>OB01G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6589871:6590541:-1 gene:OB01G19680 transcript:OB01G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLISIMAKFCPMHDLGPLEKESNTLGCFPAFHTPSSNLSGLNMLASSPHASLSRWIVAIGIDIMVPLGTLIPPSSSHPAILFMSGTDPYNLKVSSMNMLTGFSLFSMSPVGTLLQHSIITSSLAYLANLGVVLSRNIFRVSICDVVSCPAKKKILHSAMISSMLSYAGSCHGPSIRPSKSFPYPMVSLLTSRFLMMSTSTLLIFLSSDHVFIFFLVER >OB01G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6582528:6583099:1 gene:OB01G19670 transcript:OB01G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSTLMCGLHTSDALCPRSMLLRGLHAGVPLRPNGCFATPMPVRYKKLFCSPGGHGNISDTAGSHNGGDARGGSSCSVFTRALPVARAKKIYQSNPHVTGFSNTIKTTRINGKKSDQDKIYTRCSPAISSDLFLDLGEQHKELVKEMGFDG >OB01G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6579666:6580025:-1 gene:OB01G19660 transcript:OB01G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMAVAAVLVLAVAAGAAEARNIKTAEESKDTVVQPTTFPPFARFGSAVPAFGGMPGGSSIPGFSLPGSTPGFGGIGSMPIFGGLGGSPGLGGGMPGSPAAASTASVDKQAKKP >OB01G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6576324:6576524:-1 gene:OB01G19650 transcript:OB01G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDNTLGKPRWKFWRGYISIDKGLFRTQDLHDLQNTVIGKNVYDFDRNIKQTIEKHMENIETII >OB01G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6571488:6575937:1 gene:OB01G19640 transcript:OB01G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTRVISDFSALPGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDGNKKVDRTGKAAKGKRRNKIRSVDQLKGHLLDRHGLYMCDLCLEGRKIFICEQKLYTTSQLNQHIKSGDSEVDGSEVERRGFEGHPMCEFCKSPFYGDNELYTHMTREHFSCHICQRQHSGQYDYFRNYDDLEIHFQKDHFLCENRGCLEKKFVVFESEGELKRHNAVEHGKHIPGADNSLSCVQNGVAAASHGLGGQIDSSVAPFRSLSISSSSGRSSETGQGFARNQVLRQACLPPLTCQGVCDARIGSVLQEASFPSVPVQSRKAPTLIQSSRPAARIADEQFRPLSVTRNRNVALAQQGARTSPENTCASGLGQYSKGIENIHHTVQPQHLKNTALIPSGSTSRPVHVPSSAGNERQGPFGNSQVFSSVEDIRAANKALVEKMRDALGMDQDMYTAFKEIAGEYRQGVINSAEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYINLRLTSLQENGGGGTDNSEQSNQKKAKGEVPDAIGTSNDATDPLKDKLLNTATKLQSNYMTKEGCCVVLRKEGYKTVGGSSQGLQQRMPLKSAWQSHGGQRLFLSNAK >OB01G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6568476:6568700:-1 gene:OB01G19630 transcript:OB01G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLARALLVLIYGATAAATELQTTAMSELSFFFFLFLTMGDGPMEISSDFSCTSKCHALRVHKCFIDYLIVVN >OB01G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6561796:6567078:1 gene:OB01G19620 transcript:OB01G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L3 plastid [Source:Projected from Arabidopsis thaliana (AT3G17465) TAIR;Acc:AT3G17465] MTPAGGAGAAAGGGGGGGRIIEARAGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLRKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGATISVRHFVPGQFVDVTGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDISSLPFPTYFSQEGESEELLVADLGDIDPFMVAD >OB01G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6561530:6561937:-1 gene:OB01G19610 transcript:OB01G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRGLLARLRHVSIAGPRLPPCRLFSAAPPPSRPPPPPPPPRASSSSGGETRGSAEKRRQGGRRGPAMERWRRRARRPLETAALGGGGGGEPRSGGFTQWVGFSELGEAEGEMAQSRRSALVGPLASGLLAA >OB01G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6556507:6560977:1 gene:OB01G19600 transcript:OB01G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pigment defective 320 [Source:Projected from Arabidopsis thaliana (AT3G06960) TAIR;Acc:AT3G06960] MASPLVPSFAASGAGLSVHHAHLFHLAHNWSFTILEQLHVQKLVAVVKEKLSNRQEVSWSNDIKRHLHDVMTLGVGTELLITPDTTLLLELYNIKKGDRGKAIFRHKLPHQNITLEASWPGLFIDKKGVYWDVPLSLSADLASVGSKSGLSYHVLLQQNSGEPKWFGGGEETTDVPVALLPGLCAKAAISIKKSIDVWRKKEDKLKSVQPYDVFLSDPHVSFTGIIGAVASGSLGDCSKRMSVQNEIQKSNAFRYFDESKKFAAFADLFASVNFTAQQGNFQRLFLDLTRVSARLDISSGSLFLRGASQLAQDFFFSRRPDLETFCDVCPDVIVSLQQQIVGPFSFRVESSVAVDPKKQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >OB01G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6543867:6555538:1 gene:OB01G19590 transcript:OB01G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT5G48960) TAIR;Acc:AT5G48960] MEHREAVGGAPSLLRLRLLLPPRRRPPLWSLRCRALDSTRPLAVEGGLGDEEDEEGYEEGESYFSVTSSRLSRVDYLGESTKGDLNVRREHLDALDGNGQSTLHGPIEEIAWKEAREAESLLRELGIADPFSVRHFPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMENLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKNEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEHLITLIQQKEIVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGEQFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQAQEQTLGHDAHSYSRRQQH >OB01G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6540868:6541977:1 gene:OB01G19580 transcript:OB01G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGSLAFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKHGPMTG >OB01G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6536109:6539159:-1 gene:OB01G19570 transcript:OB01G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEFTARRGTTFASHQYDTGPFYDEIRRKLSFEFTKSQLIEKLRRLKKKYRVCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPTPTPTPTPRGKGGRRVRRRIAQEPEAPALLPSTPSLILTDGAHQESLVAAMGNSIPRIAHLPPVSESAPVPVTASGANEEAVRCILSPLLKEFISSVAMAGQAGAGLGLGMGFGAAGCADIAGLFGIASMNPGVPNVDKWKQQQILELEVYLKRIELMREQVTAALEELRSSEG >OB01G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6533540:6536517:1 gene:OB01G19560 transcript:OB01G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIKADLIGRACKSEILAIVAKIQGIKSMDIDEEKCTLTVVGILDPVCIVRKLRKKCFAACIVTVEDDKPKEKDPCKEAKEKLEKAYKEYCEKCKPNCCTCSCLPPCPPPCPPPCPPLCPPPCPPLCPPPCHYPSMWPPPPPVGWCYEERYHGHGGECVIQ >OB01G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6532842:6533159:-1 gene:OB01G19550 transcript:OB01G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNRPRYEFSSDEIKDHGNSEARTRATAPDPSLYRPKHQRRGALAHASCHVPTSPFGCLRPPDVLSGPGTTSLQGLFFFDQESTRALNRLYYLKTKEGASLSIL >OB01G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6529558:6530267:1 gene:OB01G19540 transcript:OB01G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVSKKTVIRADLIGSSCKVKIIHAVAMLEGIKSIDVDEEKCTLTVLGPVDPVKIVRELKKRCFAAAVVSVEEDKPKEPDPPAPAPEKEEEEEECVKVCVASCCHSPCVLPDCYFYKAYKPPPSPSFGLGYHLQSEGQCAIQ >OB01G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6510633:6514736:-1 gene:OB01G19530 transcript:OB01G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARWSSLFVGVAAAVLVLATAPSLAGDPDYLQDVCVADLNSEVKVNGFPCKANATADDFFSGVLAKPGATNTTSGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATELIFVLYGDLDVGFITTANKLFAKTVSAGDVFAFPRGLVHFQKNNGDKPAAVISAFNSQLPGTQSIAVTLFGASPAVPDDVLAKAFQIDGEEVDKIKAKFAPKKA >OB01G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6508407:6509278:-1 gene:OB01G19520 transcript:OB01G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKVLVVLFLSLCASRLGGSAADQQWTAANATFYGGSDASGTMGGSCGYGNMYSAGYGTATTALSTALYGDGASCGACYVVTCDAAATRWCKNGTSVTVTATNFCPPNYNEASDAGGWCNPPRRHFDMSQPAGETIAVYSAGIVPVRYARTPCSRSGGIRFGVSGHDYFELVLVSNVAGSGAVAAAWVKGSRTDWLAMSRNWGQNWQSNAYLTGQALSFKVQADDGSVVTAYDVAPANWQFGSTYQSSVNFS >OB01G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6503678:6504546:-1 gene:OB01G19510 transcript:OB01G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLLLLASLCALLLTASAAQWTPAFATFYGGSDASGTMGGACGYGNLYGAGYGTRTAALSTALFNNGAACGACFTIACDARRTRWCKPGTSITVTATNFCPPNWALASDAGGWCNPPRQHFDMSQPAWETIAVYRAGIVPVNYRRVPCQRSGGIRFTVNGHSYFELVLVTNVGGSGAVAQMWIKGSATQWMAMSRNWGANWQSNARLDGQALSFRVQSDDGRVVTASDVAPPGWSFGSTFTSPAAQFF >OB01G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6499129:6501543:1 gene:OB01G19500 transcript:OB01G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRGKLRLRGNSSQSCTGSLLLPVSAVAMLLCATDLSRVVVFFLPLVASTSMCCAAAYLLVPAPPESDGGGGAAAKEVVLVRGGRAEVGVLQKRVSFITASAPHKSHLGAGRNAEASGFIPPETAAPTLRTK >OB01G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6495989:6496363:1 gene:OB01G19490 transcript:OB01G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYIHVHKTARLLEASAVSGAIVGGGTDAEIESVRRYARSIGLLFQVVDDVLDTTCTSEQLGKTAGKDVEADKATYPKLLGVDRARAYAAELLAMAEAELEGFDAERAAPLCHLARFIAYRQH >OB01G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6484494:6487323:-1 gene:OB01G19480 transcript:OB01G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:UniProtKB/TrEMBL;Acc:J3KY92] MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQMASKVPLWLAVALKKRGKCTIRTPNWMTVDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQSDNMGSRPTPVTDRGPRRDLRRR >OB01G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6481483:6481689:1 gene:OB01G19470 transcript:OB01G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTKTSSQRTKSPSPSPRAPGDTAPNPAAFVARRARELRRWDDASERIDRRAEKPSKNREEPARPS >OB01G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6473991:6476363:-1 gene:OB01G19460 transcript:OB01G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITPISKGAVHQLSHLLARFATRATATIQVLAMAAAAEASGAGDKYRSFLHGEGEKDTVWRHGAPPNYDVVNKLFEEERTKEWAEGSLEEKVQRPLKTWEMELVHKVRPEDQKSVHSQKFCASTNGMGFLSRKEVMAIGGYNAFLRTTLPPEHRIYDPDRETLESGMATFLAAFPRGFAIEVLDVYSGPPRIAFKFRHWGYMEGPFKEHPPHGKRVEFFGVCIFHVDEEMKVEKAEYFYERGNFLASFLSAPAEAASGSGCPVMGGN >OB01G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6469899:6475445:1 gene:OB01G19450 transcript:OB01G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:J3KY89] MAFEKIVVANPVVEMDGDEMTRIIWKWIKDKLIFPFLDLEIKYYDLGLPNRDATGDKVTTESAEATLKYNVAIKCATITPDEGRVKEFNLRAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDTVIRGPGKLKLVFDGREEQIELDVFNFTGAGGVALSMYNTDESIRAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRTKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLAHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSVTSKL >OB01G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6463027:6467009:-1 gene:OB01G19440 transcript:OB01G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLSGGPVTAKAARQQPLHHMFPQGKFDYENPYGIRSRSNLVLVYEIVKIITRRRKPPSVCLERGSPGATCCKGHCVDTRSSIAHCGRCHHVCKHGKTCCGGRCVDLRKDRKNCGDCFVHCPKKCSFGMCDYAG >OB01G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6458055:6459147:-1 gene:OB01G19430 transcript:OB01G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKPVALLLLMLNLCMYIILAIIGGWAVNISIDRGFIIGPGLRLPAHFHPIFTPIGNWATGFFVVFSLLAGVVGIASGIVGFTHIRHWNHHSLQPAAVTGLFAWALTILAMGLACQEISFDRRNAKLGTMEAFTIVLTATQLFYVLAIHSGSRGPVPVERPHAVS >OB01G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6457875:6458042:-1 gene:OB01G19420 transcript:OB01G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQDAKLKNCRSRHVRKYVTIKNMVYGCAFFFSLSFLFGNDDSLSGTGGEMMIRLY >OB01G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6443072:6445186:-1 gene:OB01G19410 transcript:OB01G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLFAPWISWLLLLQSAPFLRPDARWYHALWWAFSLPILALDVKIYGQWFTRGKKFLSMVANPASHITVIGNLVTARAAARMGRHEVAVAMFSVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISSSFDTCCKMLFFLSLFLFASLVSRPALFRRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVREAAASALMLALAVLSVAVTLALMVFTALRTNDLLPHDDPFSALLPPAHHRHAAAHSS >OB01G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6440187:6440369:1 gene:OB01G19400 transcript:OB01G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNNVSSMDCMVTTSLWRSRLWTGCDNIGMIRCMLFTSIYNCKCNMNFDINLLLLLFCF >OB01G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6434287:6435868:-1 gene:OB01G19390 transcript:OB01G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78530) TAIR;Acc:AT1G78530] MHTTMVALYITICSVLFILSKMLISFLLYKKWARNKRIIENSLTGGKMVIFRSAAMQSLSPKSFLSMIMALSNKDIIGSGGYGTVYRLRVGEKAAFAVKKLNRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMANGSLDTILHGKEETRRALGWAARCRIAAGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPSDSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAIDSALESFPAEEVKFVFKVAERCLESEPCNRPSMAEVAKMLEQAKNTA >OB01G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6416916:6419530:1 gene:OB01G19380 transcript:OB01G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGGGGGGLLALDASPRPLGFLNLLSPAPFHRSMEADDGGGGXAGGGGGRARRSIEVDFFSDEKRNMKKSRVSTAGAEADDAKGSAAAAGLAIKKEDLTINVSHHRLFLARSASLPGFCFNYPWRSNKARPSVCVLQLLPAGNNARSDRSMVVDDDAASRPDHDEKSRSSNELAKMQAELGRMNDENQRLRGMLTQVTSSYQALQMHLVALMQQRPQMLPPTQPLPPPPHQDGKADAAVVPRQFLDLGPSGAGGEAAAEEPSNSSTEVGSPRRSSSTGNKDQERGDSPDAPSTAAGWLPGRAMAPQLADRGHDQQAQEANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISGSAPFPTVTLDLTHAPPGAPNAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFAQPRPAIGQLPGPLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAGGHSNNSTANNAATTSNQNNTSSNNTTSNNTNSETQ >OB01G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6402447:6402827:-1 gene:OB01G19370 transcript:OB01G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIDKKRCLCNRLKACQSFALVRRGLMFLQFPHLFLSVFYPFSIFLPTVHLNRLVDFTTLLFNNFFCLLLRHYLQDIIVINESWNDQVLIIYQLSDHQPSPLAFQSAHTNCISTHIKRNVLTSRNK >OB01G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6395704:6399829:-1 gene:OB01G19360 transcript:OB01G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPMASGAQPQQPAALQQQQEEEAQAQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKSGDDTKSAEREKAKELLEISRLAKQKALSASGLSTVSPGTFQGVAKSQQGSSKAGQVSPPGKQNALSGSGILAHGTQTKAIPTYMDDFKHGFPSCGLSWETMKWWGTDSHTETTPAKDENGEAPESANEASKGMTDDDELDWGADEAEAEAITTEPSAQLCTLRRKAVDDGRKLLTGKTCGSLELCRLNKRQKMALAQVFGASLPEQSSRLG >OB01G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6386735:6393297:-1 gene:OB01G19350 transcript:OB01G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASPWAILAAIPRVSATPRAPPLSVAPAAPPRVTILTVPPRVSPDPTTPRNFPSVLAADPSGLLLFSASQGRPTGPLIVDMPGRELFLWRPFVKGYFVCDAVSATALRLPEPEGTISHPGNLGLAVAPGGGGSWYQSATTRVFSRLAGKNSWSKVGVDCSDRFRKSFCSLARVRLIWRAGIERSILEKPRYFTKQAKSIMEQGDKLDLLLKKMDKSEHKREAMEQRSHADFQALKKALETRVPLVEKKVDELGASVQDLTKKVADIQDSLDANLLQHSPNPHQPSGTNQSTYDSPPFVSPSSGATSTVSEYVEIYDSVMHQLLAYDEAAPPSYFVTRFIEGLKQDIRVVVMEEAVEGTKPTSFRRAESALVPRAVKSGFHSQNFRGSTSSMDDKKTVDPVRTQSRDDKITALKAYRRAKGLCFTCVSAQSFRVKGWLQGIELLMLVDSGSTHSFLDKQLADQLKGLTLLPAAVMVKVADGGEMNCTHYLAQCEWWLQGHQFQNDFKVLPLFGYDAILGMDWLQQLGQMTVNWEEQWMQFLWHEGVINIRGIQARTDKCDQISYLQLKGLNKMGALMHMVQLSAVSVQESTPVSESISVVLSEFQSVFEEPKELPPRRLCDHQIPLLPGSKPHSTSPFSSPALLVKKKDGSWRLCIDYRQLNDITIKRKYPLPVIDELLDELAGAKYFSKLDLRAGYHQIRLVKGEEPKTAFQTHFGQFEYKVMSFGLTGAPATFQEAMNDTLSPVLKKYALVFFDDILIYGADLASHVVHLRHVLQLLHEHQWKVKLSKCSFGQQQLFYLGYIIGVHGVSTDPEKVKAVVDWPVPKNLKQLRGFLGLAGYYRKFVKNFGVISKPLTNLLRKSKGFHWDDRATQAFFQFEKCFGDSTMEVNSLSVCTPTWLTNVVKGYNEDSQATKFLTALAVNAAAIPNFSLHNGILKYKNRIWVGNNSELQTKIVHALHDSPIGGHSGFPVTYRRVKGLFVWPGMKKMVKTLVDECDICQQAKPDRHKYPGLLQPLTIPAGAWQTVSLDFIEGLPKSRCYNCILVVVDKFSTSDVAQVYMENVFKLHGIPLALISDRDRILPVSFGSSCFKRLLKLARGVDKIVPAVLPTSLETTKVPVAILDAQIRKDAALQGFWGRGETDKRRCIQLSDGKLRFVEITPAVEPMLRNAQSPHCCAARISMWTLSEPEAGQWTPEFDVSFDEIWADESYQQTGLTEKTPVLALVHPKNADVVYFFMEEHLFSVDMRVKSVVECDKYELIEPPSNIVSRLGAAHCTYFRYHKLALRMLL >OB01G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6377931:6381438:-1 gene:OB01G19340 transcript:OB01G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGAQRAALERWAILPCIPHVVYDEVNLPPGVDVHLYLTAAPRASRLTVARRIAPDRKATDNHPYVAAVDQHGRLLLYATLGHSRPPYLDSFHPGPLGEHHGFPKAYFVCSATTGVASRIRDPDRPIGHPGNAGLLGYSTGYYHGRLLLYATLGHSRPPYLDSFHPGPLGEHHGFPKAYFVCSATTGVASRIRDPDRPIGHPGNAGLLGYSTGYYVAELQPAPTTGTATLILYSSDSGAWTDEKLTYPPHDRPWGGNGVVSHQERLWWVDLSYGLLTCDLPHRHHGDLLYVPLPEGCELPAGAVDLEKSRSVGVSAGRLRYVQIDERDGDPIVSMWTLIDQHAGTWHLDCEAPFEAIWADEVYRGTNLPPLVPAVALIHPEHPGDVVYFFLHSRLFAVDVRLRRVLEWQFFAMLHPPMAYHSSQFVRAWKMSSIPDSDETPIDPVRPPLTRGVPAAPIHESWASSSILGDAPLQRNHIVHSKWPAQAMMMSANPPKAPSSQIPFERCHIVDGAGLVRTDEEECPLCVQPMTPDEVLSVLPCGHKFHKGCNDLWLSRPSPPSCACCCV >OB01G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6376706:6376987:-1 gene:OB01G19330 transcript:OB01G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFGAAVAYPAGAPSALATAFVLGYGALLFLLLFSVYALEFLRPRNPIDLTPLSILACGTATPVALSVAVLTVLSQGRAGGDLAFTTCAV >OB01G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6376036:6376604:-1 gene:OB01G19320 transcript:OB01G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRMQKPEPGSDGPXXXXXXXXXXXXXXXXXXXXXXXAVGGGVVVGGVSFTGVSYAAAYFALPTCLLYFRDTYPYPANHMPEQLRWCYVSAPVAVAWHSRFVMAAAWQATALDIKLVAVTGIVLAVDAAAVGLLGWRSMTEMTKPRGARASASEIVASFVMVCLRYWLYLHVFYIVGNGSQRWFN >OB01G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6356345:6364587:-1 gene:OB01G19310 transcript:OB01G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHDRSMMAKTLQGMQQQYAASGFPTQQYATGGWPPSAAEILQLDNMDQDTSIFRNIIQRKIVEYLKEREEFCNLDPSFLMGIGKIIDQCLFEEADSQITYMDLETLRARSSAVLSSQSFWNSRLPWVSSAASSTLSLQQLPVMEVPVLCHDGASSGANNLPSCAYNAFSTQGCKPYDHCMVAATFAHSLADKPKKRPDILANTNFTPYMPTLPKCSLNIDVSTGHIKNHFSGDAHQNDSSQPSTSGSSSSLSAVWDQTCSSATRALPMDSFSTANGQNLSINNKSVYPTTGQGPLLQQYRECEIKLECTWSQPLEQSDQSNITTGNNDLYHAKVHPYINEENKRDRCIQMKETCGPTSDHEGFCREKSSNLSNIFMHHQQGFTTNCGACSPVSKRVDKAEQTSNSTVSKPTSPISDGSSGKHYPAKRLKVDVPHLVHVNEMGAPKEQPVVNETYASAGTLQSEAMKSPTKSACCTSLGDNNSHGMDIVRLSETAVQTEEEFHYENSDFEMKDAKQTLIGPSWSARKRRGASILYALTSEELKNHLRSLNHAISQSKAPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGKCHHLTSAKAKYEKKFSYADTDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEMDNGDVDSLEPSTILGACELPRTKLSDHIEQRLSQRLVQERQLRASALGKSVDEVPGVEGLIVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSACPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDFCKKRGFVSCSIWTCPSTKRDDYVLYCHPTIQKMPKSDKLRSWYQNLVKKALKEGVVVERNTLSDFFLQPTNECKTNISAACLPYCDNDFWPGEAERLLEKKDDDSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKLGERLRTMKEDFLMLCLQQFCKHCHHPIVSGISWVCTSCKNFYLCERCYAEELNTPLKDRHLATTKQKHSFERIEEVPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHHAMDQCLAWRCLVCLGCNFCDSCYKQHGESLHIHKLRQADKHPRLLQKYTLQQGYLESLVHASRCFDRSCNSKLCLTLKKLFFHGVRCHTRARDGGGCHMCVFMWKLLFTHSLLCDDANCSAPRCRDIKAYIADRSMADLRICG >OB01G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6350725:6352014:1 gene:OB01G19300 transcript:OB01G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPGDCEGVGCTSSGHVWHLHRLHHSDDGICSLCSSCVLLRHRDNFCSVCLLFVFPDATPQDDVYDPVVSCSSCGVAPVAVAHRACVPDPYCFVCPACAAAAEGKPFSYTGDPHTPGELATRALVVAARLAHESLERAAAAAREHADRRIREAAVAKKRVRDMLDMLHVVWGLETESPAAAPSPSPTPATPVLIKRTTLKSNAANRDSNKPLDINSIHRPALAFAAAAAAAASSTPLSTPSFREEKKPPKQGRGSANRGAKDDQRVLFGAFEQ >OB01G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6346257:6347477:-1 gene:OB01G19290 transcript:OB01G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEMARLQEEKAAEEVRRAAAQAPWVILVCVPHVVHEEGDFPPGRELRLSLADPPLASRLTVPLRIAPDCKTAENYPYLAAAGPHGRLLLYATQGPDPEPPALDAFYSRPLGVHHGFDKAYFVLDAYTRRAYRLPDPDDDNYYDTTLAILHPGNVGLLSYSTCYYVAELQPAPAAGTATLLLYSSLHDMWDDKDLIYPLGDRPWGSNGVVTYQGMLWWVDLSYGLLSCDVVYGLPPLLHFVPLPEGCELPAGTPDLEKIRCVGVGAARLRYVQIEKRDGDHVVTMWTLIDEQVGTWHLDCEASFKAIWDDEGYKETKLPREVPTVALIHPAHTGDVVYFFLHSRLFAVDLQTCRVLEWRFFAMLQPPMAYHSSQFVRAWWTPPTLYWDSGMFSHVAMLVRNLGY >OB01G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6340924:6343375:-1 gene:OB01G19280 transcript:OB01G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAAEEKVDAAEPEENRHWETKDGEQWVVLACVPLVVRGNYFSPGIENKIKAAKAPRATRLVVHRSIAPRTKTIYDHPYVAGADCHGRLLLYATLGYELKPSLVLDAFYSKPAPPFDHRGVPEAYFICDTRTHKSTRLNDHGFHNFHPGNAGLASLTEDMYVVADLNPGVGTDRASLLIYSSGSGNWEEHMVDYPPHHRPWGSNGVVVHQTIIWWVDLSYGLLACDLRIEPHNLRFVPLPEGCEMPPSTPDLDRRRCVGFRGGDLRYMQIHERVFDGKPIVSMWTLIDQDAGTWRHDCEVLVKDIWDDKGYKATKLPREVPTAALIHPEHPGDVAYFFLHSRLFGVDLAARRVLEWQFFQMLHPPSAYHSSRFVRAWKRSISSANDDTGPPMTVNIALPGTTS >OB01G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6338563:6339817:-1 gene:OB01G19270 transcript:OB01G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPMQQEVMAATGINWMTVTEDGVEMWMVLACVPHGPEPEPPVLDGFYSRPLDVHHGLSKAYFVCDTRTHRSTRLPDSGRPILHPGNACIASTSRDAYLVADLHPTAGADHAMLLLYSSASGAWSNLELNYPPGGRPWGGHGVVVWPKEIWWVDLSYGFLALDLNVAHRELRFVPLPDGRERPPGTPDLDKTRCVGMNIGELRYVEIDERDGDPIVSMWTLLDEDTGTWSFDCEARFKAIWDDEGYKATKLPREVPTVALIHPEHPGEVAYFFLRSRLFGVHLRKCKVLEWRFFEMMHPPMGYHTSRFVRLWKHVPASR >OB01G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6335141:6336370:-1 gene:OB01G19260 transcript:OB01G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVPSRDPEKKGDDPEEALAAAAAAARCSSPWVILPAIPKVIQDEEKHLVPGTDLSLQFADPPRSTMLAVSQRIQPNPSQSNFIIPYVVDVLPSGRLLLYTTHDTVYNGAYYICDAHTRVATRLPPSIENPIWPQRSVGFIENPRLRGHHLVAQLYPPYTTQHKTLVYYSTLSGKWDVKQLASSPKHQRWRCNGGVLAHDGKLWWADLPYGFLTCDPFADDVHLRYVALPEGCVMVGVDTIDSDWRKNLDKHRFAKVSEGKLRYVQIHGLPDEPMVSMWTLVDPEGPAWQLEYGVRLEEIWGDDSYKEAGLTPGKVPSIALIDPNNHGVLYFLLGGLLFAVDMRASTNRILHCEEFLLGDGLEVWDEYNNSRFVYAWDLPTTLLRLEPSSGTLLASIPLPNSALSLK >OB01G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6320565:6323738:-1 gene:OB01G19250 transcript:OB01G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELQPFIGCDEGTLLCFSSEVGEWVEKSIHYPLPPRPLAPICVISHHGRLWWVDLTWGVITSDPFADKPVLGFVPFPPDKVLQCREAWGVTDKYRYVGVSAGKLRFVDMYTRARHDAVRKVSVWTLADPDSTEWTLEHEARFGEIWADKSYKATGLSKMIPVLALIHPENPNVVYFYLEEHLFGVDLRARKVVECDAYKLVAPPSYCIANRFTRAWELPRALSSGMANWSNAINLAEKGKARSSRRSVKKSSRMMGSPGDYHLVGLTRQTFIG >OB01G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6316954:6317196:-1 gene:OB01G19240 transcript:OB01G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYQLVSCIMRCSHFFLFVCLCVCVGGGGGVXXXXXXXXXXXXXXXXXXXXXXVCMVGGGGGGGGGSSHFLVHAKTVKN >OB01G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6314113:6316114:-1 gene:OB01G19230 transcript:OB01G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78520) TAIR;Acc:AT1G78520] MARGAQPSASLLLFSLGLVLLCFTSGSTIRTVEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSYGSCTYY >OB01G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6313131:6314066:-1 gene:OB01G19220 transcript:OB01G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFSRHAGFFASLKQVEDRLAAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAATGRESGWPQRRRRRVRLQRRRRVLGQGRRRGRAQVRQGEEEGGRLGAALLQRRRVQGAGEAGAPAARQGVVVLGGRRAPGCIAHRFPDHREGVLGSRRPAALDGGGAEVVLLLTLPAWPMAVVKVATCKLNLFALLKEQCGISRLNIMARSYKRCMINLVHAFT >OB01G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6282186:6282629:-1 gene:OB01G19210 transcript:OB01G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIGGSSSSSSSSGVRVAGGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQGRGCLLRGRAADAEGEEGEGAAPRAGPPRTRMPEAPRAGAARGDG >OB01G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6273999:6279615:-1 gene:OB01G19200 transcript:OB01G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54860) TAIR;Acc:AT5G54860] MAAASSRMAAAFGAPFLWLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSVAYFPWSIKPVYGILSDCIPIKQRKRTPYLIISSCLSLFPWIILGMSEPLRSSSNFLTALLIVQNLGSAMADVVVDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIIFSALPLFQLISCIFVEESPKGFESTVDNAAPKHVVDQTVDTSSPGKGLSESYKYEGTRRRKGARRNSKRKSLSKRPEDHDKNNKSINSRPYLSLKSAFFSLCTAFKQPTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHIGLAIISVLDILLVLRLHVHYGVADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAALASALSISSAQFDNLALGLVVQLIGTLLPVGFLFLIPKEVTGLTS >OB01G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6262897:6273374:1 gene:OB01G19190 transcript:OB01G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin Homology) domain [Source:Projected from Arabidopsis thaliana (AT3G10310) TAIR;Acc:AT3G10310] MGNLTGKFYPSGYGYGKIFLPMTGMSILMVQNFTSGYEYRIINLPVALDTEAQTDVSHSVHSVPSCRLSSADGRCGKLLPMHPRGRDGAPDRVALSARSTQQPMTNGPHHPRPHIFFLFFLQALRRHQAAAWLESLIGPFGLSRCPSEQEFVAAVRNGIVLCKAINKIQPGSVPKVVANASFDSQPSTAFQYFENIRNFLVAVQELKLPSFEASDLEKENIDAGSVGKVVDCVISLKSYHEWKQRGGSNGPLKHLKSPLAARSASHAQSEYVCSGTSSTQKRLDLTETNTERQSDQNVGRNIEEAMERLQKVILDCIISCKENLDNHSLKKDPLKLVGTILSRQLEKEQFEPLLQLFSPEGATIKIGSSLPIEISSSHVENRRRLLQTQESELLNLKTMFQEVKVDFKSLKTQFQDDIIKLGDNIQGLSKAALGYNQAVKENRNLYNMLQELRGNIRVFCRIRPLINLESISSIEHIGNDGSIMVFDPLKSQTTRKIFQFSKIFGPTTTQDEVYKETQSLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLSSQDLGISYMALNDLFNISTSREDVKYDIHVQMVEIYNEQVRDLLSEGTSITKLDIRTSSSNGLLNLPDAKICPVQSPSDVINLMLLGETNRASSPTAMNHRSSRSHSILIVHVNGKDMSGNATQSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYLETLSTLKFAQRASSVELGTAHANKESNEIRELKEQVDSLKRTLATKELEKSSLKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRRGPKSPLSLIKLNRDHATICDKECSIDGFNHNIMHQGSIMQMSATLSEDPVREENEKIITVDTVPFCGLPPDANTTSKQGLHTLLRTPCRSRNLNLEVGRTNEPSSSAKLEKMNLSNATKRGSHLRKSIQSSIGKLIHGSERRNVQHSGQVTPDKIASNANYDGPSAITADLRLRRRQSLTGLPPTPSSMSRRSSLGGKSDIGSNDKRGAKTPPPVNPAVKAKRWL >OB01G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6257148:6259092:-1 gene:OB01G19180 transcript:OB01G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTSWEFHATGPRNLSNPSWRDLIRSSWTDPNYRRIAASCFVQAAYLLELDRQEKRTGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRYFTLESLRGSVRFTGALEALKSAIDKHGSNNVCIAGHSLGAGFALQVGKTLAKDGIFVECHLFNPPSVSLGMGLRKLQEKADKVLKRYISGSSSNAGELSQPREGGGATSEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTTEKHEGHSGTHSKLFVIAKGPKKFLEAHGLQQWWSDDYELQLAVHDSKLMYRHLKSLYVNES >OB01G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6253615:6256458:1 gene:OB01G19170 transcript:OB01G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYRPRGGYQAVPVQDYGAGRGHSHDRLPCCGIGIGWFLFIFGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACTIAAVLATIAIIIGATAGAA >OB01G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6234132:6239864:-1 gene:OB01G19160 transcript:OB01G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGLVLAALWAAGSLGFRHSVLFLLAFLYLYMVNGRCAMRLRKRIQHEEIKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGIQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGFGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLISHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVINVEKFASTPSENDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLADPYVKGRLGPFKFQTEIQRKTLSPKWFEEFKIPITSWESLNELVMEVRDKDPMFDDSLGTCSIDIHELRGGQRHDKWISLRNVKKGRIHLAITVEDISEEDVAGSEESSKQVNAELPISTCANKLEADELPDEKKVLVDEVEQINIDGQEQPGGLYVYRPGTGVPKTWESRKGRARSPDTEIYKEVDKSKDVPTPKSSGQGGLFNLGSFFRKNSRKGSFRDLDPSIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTSSVGDAENLTEDVAKVIEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQIEAQGPELVKGPIPVEGKPIDGHPTTEDGNGGGASGEAVETKT >OB01G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6231565:6233772:-1 gene:OB01G19150 transcript:OB01G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-tyrosyl-tRNA(Tyr) deacylase [Source:UniProtKB/TrEMBL;Acc:J3KY59] MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSVMQRKFEVLLVSQFTLYGILKGNKPDFHVAMPPAKTKPFYASVVEKFQKFYSTDAVKDGIFGAMMKVSLVNDGPVTMQVDSPSLQNPAQSSNGDVGLVGDNEA >OB01G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6230246:6231350:1 gene:OB01G19140 transcript:OB01G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGAGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGGAAAAAAVAPQCRGPAQLSEGRVTLGTRATRGDMPTANGLWVKALVRSSASEMNFMV >OB01G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6226439:6229970:1 gene:OB01G19130 transcript:OB01G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKSQAAVHPKFRNFIISIAQINHRITTRIQRRIYGHATDVEIRPLNEEKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREESLSKDLEELKLRLGEIERLAKGRGLSGILNFKGVHGAESSKAAAPA >OB01G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6221553:6222993:1 gene:OB01G19120 transcript:OB01G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVVQTRRRRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSSHFLLSPWHAQRSRDALPPMSHIGVLANGGVAPVPPQLYADLFAPGSGAPPQFDAAQLSWLYGNGKLSSSNSSELTSATAAAAAAAKEAEDSVPSVFSNQQHAKPAAPTDMSATALLQKAAQIGAVTSTAAVPLVGAFEPTKSGATASPADAFGKFDGAAMFAASHHNANLGSAMSELTAAAGNVPYDVLSAVRHAGLKDAGVGREETRDFLGVGVQALCSSSIHGWI >OB01G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6196378:6200823:-1 gene:OB01G19110 transcript:OB01G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDEPLDFEKEDPLLATTRPAKRKKAIGLDDLLVDFFATGKDELKAAGVKSKHGTKGYNSDDEDNKVTEKEIKFCKFVEEYEEQSKEMDAGDDVPQWGQRIFSYQKSPPVLSATEVEKCQLLQSFSSDDHLGFDLNIEQGEGFLEGLLVDGWLFKLVLLHSSVEDSIALWILTKLLYSSNEKLRVAASDFWDSILSLNEADKLLVDIGHFPSYSVLKSAVITYGFIFDNQTKVSTSEDVIADDGPPQNIIEWLKIISACCKIRKVHSIFSSSEAEELLVIVISFLLDRRLEGLLLTVGDCLSSLILYFDTNEWEHSCVTVAQSIAQRVTLDLNCLRIVDCITGTNCRSKFLRSQLALQFLKISFGLKVANVEKILKSVTSINVKDKECNFFSLYLYLVLMDNLLFSSDAFRDKHTIINSWRNFLRNCSTQIGCTDWRLYASKVRNKASYLLQGAILKRFSGSVSLPIQ >OB01G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6194340:6195578:1 gene:OB01G19100 transcript:OB01G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRGEGTPALELLDECWFFSNTLGRNAGRDGGGGRPPMLPRSPSSTVSGGRPGKGQGGGKEGAEQPTGTSRFYASGCRRLLRTPSLPSPRVGMEMSKDEEVVEAAGGGGCQEADAEDDDLNWSSIYEGVLRTRIAEEGVRSALRRAPSMPITSSATGRDDGGRRGEAAAAATGSTTPGMSRLRHAHSTMERHCRSHTPTKADRTPRTSGGGGDRRQPPRRELRSFSSNQQPLVRHQSLLQDKRWESSSDLESIEVQGFRDLGFVFDQEELRERLADVLPGLRGKSKTPSGSGSASDVDNDDANTTAAAATGSDNGTVRRPYLSEAWYHVRRPAATAAALRLQQSDARSAAEMKDQIRMWAQAVACNVRQEC >OB01G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6179719:6180134:1 gene:OB01G19090 transcript:OB01G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVHPETAEWTLEYAVSFADIWTSKSYKVTKLPEKAPGLGDAFVHPKNPDVVYFFVEKQLMGVDLRLRKVVEYEARVSSYILLPWELPPAVSAVAAGLNKINQTVTPEVLS >OB01G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6173478:6173921:1 gene:OB01G19080 transcript:OB01G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSLMSWSWVILSRETHATFLSEDVDLTLTFAAPSGVSVLRVSPRISPVVVHPSEKCKSAAVLAIDPSAGLVLLFSPLLPPYPGDCEDGVIPHFFVCDFVTPIGSHVYDPEGLVINNNELGVIAVPGGCQDCIARPGCIVGQAT >OB01G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6172713:6173192:1 gene:OB01G19070 transcript:OB01G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFQFIQYYFIHARQINNKNLHASQIKKKIQIAAGVGHLRSRGRKASASSSRASWEAAAGASVAGRGGRRPRSPDRRWGEGGRRRRIGAARGWRGQPATGGGAARALGRGRALPAARRPSSLLPRHPGLHERESERERRENDFSFLPRVFRSEMWLTG >OB01G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6165075:6167197:1 gene:OB01G19060 transcript:OB01G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVVYFFLVEQRHHLGVDLRARKVVDYEACVSSHNLPWVLPPAISAVLSRHGGANGANGVAPASLASSQPSGSNGA >OB01G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6161750:6162835:1 gene:OB01G19050 transcript:OB01G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPSPPPSWVMLRREVRACGGGVVLPEGDEVSVELAAPPRATVLTVSPRVSPPNKAGDWASVVALDPSAGLVVLASQWSDDYFVCDIAAGTATCVPNALDADYDVEGESLGLIAAAPGGGANSYMVVEFKPVFGDEEGEGTIIARFFPEFGRWMVQEVNNPLPYWPWRFDSVVSHDHKLWWVDTSKGIVFCDPFAVEVAMEYVPLDPEPDHNLNGRATHEQRRIVQLSNGMFRRVEISPASNGAAPELSMRTLVNPETAEWALEHAVSFADIWASESYKATGLPEKDPEQLNGAFVHPKNPDVVYFFLEKHLLGVDLRGRKLVEYEARVSSDTFLPWELPPALSAGNMIPRVSTFPLI >OB01G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6158482:6158697:-1 gene:OB01G19040 transcript:OB01G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSPRTPGISISFSPTAQLSSIAGRESTAAPELNRVLSEASAASNSALLNKSATIGFWTLLRLQLSYLE >OB01G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6155184:6159139:1 gene:OB01G19030 transcript:OB01G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLLLRILLVLVVVVNAGLALTQDGRYLLDAKRALAVPEGALADWNPLDATPCGWTGVSCDGSGSGGAVTGISLPGLNLTGSFPAALCRLPRVASIDLSDNYIGPNLSSVAVAGCKALRRLDLSMNALVGPLPDALADLPELVYLKLDSNNFSGPIPESFGRFKKLESLALVYNLLVGDVPPFLGGVSTLRELNLSYNLFVPGPVPAELGNLTSLRVLWLAGCNLIGRIPASLGRLRNLTDLDLSTNALTGSIPPEITGLTSVVQIELYNNSLTGPIPSGFGKLAELRGIDFAMNLLVGAIPDDLFEAPKLESVHLYANFLMGPVPQSVAKAASLVELRLFANRLNGTLPADLGKNSPLVCVDLSDNSISGEIPPAICDRGELEELLMLDNMLSGRIPDGLGRCRRLRRGRGGGRVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAGAVPAAVWGLPHMSLLEINDNQLTGVISPVIGGAANLSKLVLSNNRLTGSIPPEIGSAAKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGIHSWKKLSELNLADNGFTGAIPAELGDLPVLNYLDLSGNRLTGEVPMQLENLKLNQFNVSNNQLSGPLPPQYATAAYRGSFLGNPGLCGDIAGLCGDSRGESRSHSGFAWMMRSIFIFAAVVLVAGVAWFYWRYRSFNNSKLGADRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKKGTGIENGGEGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDIKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVWGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIGLLCASSLPINRPAMRRVVKMLQEVRAEATRPRLEKDGKLSPYYYEDASDQGSSV >OB01G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6134730:6134954:-1 gene:OB01G19020 transcript:OB01G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLVELVLGDLYYMNLVRFRVLPLGHVAQSGSSVRRTHVTVRSASDALPPVEPEQPPDVAGLSRRQTALEAR >OB01G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6126971:6131187:-1 gene:OB01G19010 transcript:OB01G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNAPGPACSRTSFADRWGSLSIDRSVQVSPDYWSVMETKPAGFLEKYPAVVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLLSWTVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFVLGQQVPLPLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIIEGPQLLQHGFNDAITKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >OB01G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6122191:6126318:1 gene:OB01G19000 transcript:OB01G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGAGDDDLKKAYRKLAMKWHPDKNPTNKKEAEAKFKQISEAYEVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPGAPSFYPGGAHSTSFHFNPRSADDIFAEFFGFRGPFSSIGGSGSMRGDPRFPGFMFGNENLSRYGGEGSTSMHHTPHKPPPIENKLPVSLADLYKGVTKKMKISREIIDASGRVSQQEEILTIDVKPGWKKGTRVTFTEKGNQAPNMTPADIVFIIEEKPHDIFTREGNDLVITEKISLVEALTGYTARIITLDARSLSVPINSVIHPSYEEVVPGEGMPIPKDPSKKGNLKIKFNIKFPSRLTSDQKTGIKRLLGS >OB01G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6114696:6120754:1 gene:OB01G18990 transcript:OB01G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNQEFALKDLGELHYFLGIEVKKEKYAKDVLKRANMIDCKAVNTPLSISEKLSTHGEAEYKSLANATAEIMWIQTLLHELGVQAPKAAKVWCDNIGAKYLTANPVFHARTKHIEVDYHFVRERVTRKLLQVEYVSTKDQVADGFTKALAVSVKRYYKDEKVFYKYERYLLYELAIRLAIEELELVVGLHRDEENLPDCPVIRADRSFFFHGMSNNDSLCLRFTGEMGNTCGCIRAVHFRMREFWNFFPPFCEFGSIDTKHARIVLKLDATGGAVAVRMFLRLPYGVRGSAVSWFSQEEWMKIEFVAKLSDLRRTYFSKVFPPSIMGPLSPCSVGGDLVRLGHNPRGFSPTE >OB01G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6098146:6101722:-1 gene:OB01G18980 transcript:OB01G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSTLRSPKADQRAGVGIGGGHVGFAAPSADVDEDAFIVDDSLLEYIDFSCCDVPFFHAEDGDILPDLEVDPTELLAEFASSPDEPQPTASPAAGGQAAGANEDVKEDGAASGDEPSPPRGKKEDDELGGSSSHEEKDAKIGGDEVLSAVTTDDCSAAAVAAGCDAKSSSPSAEGHSKRKPSSSSSSAKNSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIECLTRHNIASHLQDKQERLKDKDFSKINVAYCSCVQQMLAKDGHNGCMQACVSAAIAEAGPETAMSK >OB01G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6081323:6081526:-1 gene:OB01G18970 transcript:OB01G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARKWGPQAVTPGTACLPPLLPPAAAMVSLSCYCLYGDLLIVRGWSFYKKKKTNGINKIFMYMFLAI >OB01G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6074423:6078330:1 gene:OB01G18960 transcript:OB01G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVAASAVLGTVFSFMAMRTGLTAGVVPSFNMSASLLSFFAIKSWTRLMARCGVASPAFTRQENVVVQTCIISCSTLAIYGGFTSYLLAMNRTVAKSAGGVTDGQNVYTLHFGKIVAFLFLVGFSSLLCTLPLRKIMIVDYKLTYPSGSAIAGIVNSFHTPKGAATAKLQVNALFKSMAGSFMWAFFQWFYTGGDGCGFQAFPLFGLDAYKEKFFFDFSASLVGVGMICPHLINFSMLLGSVSSCLLIWPAIQTKQGEWYTDPSPTSFKGINGYKVPMGIAMVLGDCLFQLGAISIRAAQHYRKGWQGQGQRLAVGGAADGGDGEEQQWQAKYDERRRNHVFLNDGIPDHFAVAGYVALAALSMALVPRIFPQIRYQHVAVVYAVAPLLAFCNSYATGLMDWSLATVYGKLALFFVGAWVGAAAGGVIAGLAACGIMLLIIGNAAELMHDFKTGYLTLTSPVSMFASQAIGTALGCVINPGVFLTFQRLVGADHLGEAGALFSAPMAMVYRGLAVLSVDGLDTLP >OB01G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6072213:6074266:1 gene:OB01G18950 transcript:OB01G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDVDLLKPGATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >OB01G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6068510:6069925:1 gene:OB01G18940 transcript:OB01G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGGPAVPGDVLERGGRHHPGPGHDGRPHRRPHGAPRARRVRHRHDPRRQPLRARPAPRPLPPLRRQGADRDAVPARHAARHPRPDDGGVQLPAGLHPLLAQLRAGRLPAVVARLPGAGVLRRRHPDRLRPVPPRRARGDHVGAHEAAAVRHHEERQLPPQRAVHHGRRGPRRVRFGVGGRRGLRGGGPHGERGVRDGRRRAGAPGVRQDPHRVHRQEAAGAGPQAGGVRRAQGRQHPPHRRRRRQALRRDGVRRQRPPVAAHRRVGRPAHRRRGGGEDDAGAVGSAVGGHEVGAGQDRGSVQVMEAAAAAAAASTVHVSCTTTVQEGSMGDMIFL >OB01G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6060837:6061208:-1 gene:OB01G18930 transcript:OB01G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGRPGWLPSLGFAFLSFNCGMAIYRSGGDPSSVAFIAVAYLALVALFLCLHLLEQAPAQAGRRRRPLKVAVWGLSTLLTLMFSLKVAAIMPIWGAVAVWGMGIGTIAAGFYAFFVHREAP >OB01G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6059250:6059453:-1 gene:OB01G18920 transcript:OB01G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFHHGIGVTDLSNMLCRTVISANYILVPCISFYLTSTRLWFLANSCATLINVSTWTYYYFAEKSY >OB01G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6045702:6049448:-1 gene:OB01G18910 transcript:OB01G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKTTSGGSKILVIGGTGTIGRHIVAASLEAGHPTLVLVRRSPAPAAAAAGVDPDKAKLLASLEASGATLVYGDMNDHESLVAAIKRADVVISAVGHRGTVELDGQLKVVDAIKEAGNIKRFVPSEYGCDVEQAEAATLEPARSIIAAKVRVREAVRAAAIPHTFICSYWAHGFMLPRLGDPPGHRPPPPAPRRRRLRRRHPASHFRGREGHERGGDKGRGRRAGAEQDPVRAAGGEQAVAGPARPPLGEEVRQHPRETLRLRPAAGQESARVSVPGELPAGDGALDAGGRRVRAGHQPGRRGGGDGALPGHGLPHRRRLPRRPPLNLDRSIHPSMIHPSFMQAHFYIHACRSTYICT >OB01G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6038057:6041075:-1 gene:OB01G18900 transcript:OB01G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAALLSSLPARGRRRLRLCSAPRDSRNRTGVALNVYTAVGKLRVTIKAAQSGVNNVSVETLPDSEASVTGAAYGFRGATTSLTNEILTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDVCFSSPISRAKSTAEIIWKGKEEPLVFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKLWGTAREAWKEILLTPGENMLVVTHKSILRALLCTALGLPPERFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQF >OB01G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6035457:6036347:1 gene:OB01G18890 transcript:OB01G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3KY33] MFSDKARWIVAVVLRVAAARAPAPPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGPPGAAAVAAVLMAMSHETVTIFNMEVQAKFGYTPSLVFFVAANAAASACSVVVLLVPTAASKLAARLLLMADVVLGMVLTGAVAAAGAIAELGKHGNSHAGWMAICEQVPVFCDRVRSALVAGSVAIVLYYLLLMYSIYTLPMFP >OB01G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6024752:6028815:-1 gene:OB01G18880 transcript:OB01G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3KY32] MARRYGLRVRAILAFHQSGAGPHDPLWIPLPQWVLEEMDKLPDLSYTDRYQRRNKEYISLGCDLLPILKGRSPMQAYSDFMRSFRDAFREYLGAIVTEVQIGMGPGGELRYPSCPTEKLNQPGISSELGEFQCYDKFMQASLSARAQLIGMQDWGNGGPAGTDGSRQNPEETSFFRADGGCWNSPYGRFFLEWYSGMLLLHGERLCMVADAVFSGTGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSYFDLRDEERNNSKSSPEGTLRQLMVAAKMCNLPLNGENSMTRLDDTSLNQVVRSSRLYSGGTSATSFSFNYVRMNKSLFEFHNWNRFTKFVRQMSDARTFLARLEFRRGQQYLSSMSVVWVVSRACAYT >OB01G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5934930:6024591:1 gene:OB01G18870 transcript:OB01G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSIQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEDHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVAGKAFIYHRPCFSKDISQFCKLEYPLVHYAKMFGLAGCFAICLQSLYTGDDDYILEFFLPPNCRNKDDQNALLESILARMKKCLRSLKVVGNGDSNEVCLQISNVLIIENEDLKTNVHFENSEGCFRELPEGNASHRVHEVDNGDNKVSTMSERHLQADDNSQNNGASAGRPNGSGASDSSLLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNIEKASPNKVAELSNLAVEGDRDSSFQKPQENDGNLAILMSQQGFIDANNNLQLEADKASQSRSSSGEGSINSRTSEASCQGSPANQTFVCKSIASTFTEPQLIPEGFTKEPFQEPALPLSKMLIEDSGSSKDLKNLFTSAVDQPILSRSSNLAQMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRNSGSHVIRLLVSDVAAHLGSSCGSSG >OB01G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6005617:6005826:-1 gene:OB01G18860 transcript:OB01G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSHFPALAPSNCNHSSQGPLNRNRQAAPCMTRLTTVTREEKTSVDHCMRQERAYNGLRLISCEIVTILLV >OB01G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6002605:6005896:1 gene:OB01G18850 transcript:OB01G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITLSPSSVSSHHLDVDAASTSEGMSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQSIDQEGRSQQSLLIRTPKYHRRYILPGADIVEPAIHPVSEPPRYSRQHMSRKMHKSQSISTPTGRQSARRSVRSPSPSARGTHHRSRSLSPSRKTRAKSPSPQIIPTHTKETRAGSPIPNSNPLARSATVARRPPINPKHFRQTSMQLHSEWSNHREQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >OB01G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5993993:5997859:1 gene:OB01G18840 transcript:OB01G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3KY28] MFQVPYKILCKVVNVELKAETETDEVFAQITLLPDPDQENLPTLPDPPLTAHRRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHRSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQHVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKIGFNVGMRFKMSFEGEDVPVKKFSGTIVGEGDLSPQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIEICDATAPAINVPLQSSTKNKRLREPSETVDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHPGYGAVSSSSVCQNPLVLESWLKDFNSSSKGVSPTLSEISQKLFQVTSNEARVATWPTRSAHQAEEPTSKLSLNTAVCGYRTEEVAPNASKVVEGKKEPSMFRLFGVDLMKCTSVSTTTDSDKSSVGAGETSTKGTGSHEDSGQLSAFSKVTKDHIAVDESPREIQSHQNYTGRTRIKVQMHGNAVGRAVDLANLDGYGQLMNELEELFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPQPKLLPAANQEQDHKTAL >OB01G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5959101:5959931:-1 gene:OB01G18830 transcript:OB01G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSMRPFSLHLEHRSTSPPPSPATTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAASASAAAAPPELAASSSSSWSSSWQEAWCSPSSWS >OB01G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5958785:5961819:1 gene:OB01G18820 transcript:OB01G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSKDVTVADVYRPPPTSVSLFDVSAIEEPWLIATGKKDGGDGEASDVDDDDDGDEEEEEEEEGKKPSTAVPLPLLEKLDGFDLAPASWSEEALARESGEVAAVEAEAEEAERREGELSRRTPKRERRARGGGAGWTWRRKLWLIVLADLVLLCVLFAAWLAVCKGFSCIGR >OB01G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5947882:5954568:1 gene:OB01G18810 transcript:OB01G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRLRVGLEMASKRPFQKNSSEQNGRGKWQKTKHNSSQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEAVPGCDERVIVITAIDKDREVSHEQAKENDGGVACSVDGNHGKEKDHSKEEKGESKKGMDDDLENGLPKEENNGLEKDHDKEEMDDSGKDHVKEEDDSSVAKDTKSETEPELEPEKGMPLAVKAILLVFDRIFVNEMENEKGDASGERNPVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSGCEIRVSKDKLPLCALPRDELCQITGELNSVRKGLNRVAQLLFAHPPKESDVPGAHHSGSSSRSFFNRPDVLPPGMQPNLHLPFQGPNVALPNFPEALMHGHGPVPPEPLIFRLLCSSDKVGGIIGKGGNNIKTIQNDTGCEIKVLDTVPKSEDRIILISGPAHPGDGISPSQNAILHVQHKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLGKDKIPKGVPENDEVVQISGASEAIQEALMLITARLRNHLFRDRMASTVPVQPPFGLMDPQFGPYVGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGIHDVGDPMSISDIPGMAHRGMGGFPGPGQPTMVSTVTTDVMVPKIILPALCGEDGGCLNRIREFSGAKITVADPMANAMDTAVLISGTPDQMHAARSLIQAFVLSEPLAP >OB01G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5938504:5940360:-1 gene:OB01G18800 transcript:OB01G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMEAEEDGANGGTGGTWTEEDRALSASVLGTDAFAYLTKGGGAISEGLVAASLPVDLQNRLQELVESERPGVGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGAAASADNDEAKQRMRKRVLQRLHSAFGGVDEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERSVFPANYCYRGHLANAAGFRTIVLVPFETGVLELGSMQQVAESSDTLQTIRSVFAGAIGNKAGVQRHEGNGPADKSPGLAKIFGKDLNLGRPSAGPGAGVSKADERSWEQRIAAGGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGLLIVSNEATPRNNGVVESPTATQFQLQKAPPLQKLPKLQKPQQLVKPQQLVSQQQLQPQAPRQIDFSAGSSSKPGVLTKRPAGIDGESAEVDGLCKDEGPPPAIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEAERERFLESGMVDPRERTPRPEVDIQVVQDEVLVRVMSPMENHPVKTVFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAVSRAMSSG >OB01G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5931861:5934801:-1 gene:OB01G18790 transcript:OB01G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPEMLDTLAGWFAQSLSPDAAAXXXERSLASAAGSPGFALALLGLASSPRHDLQARLAASVHFKNLLRRRWPKPSPDGDDADHLPPSDCAIIKANLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTALSAGDIPATNSLLSAAASLFSRFRNAFDNNAIRLDLKYCLENFAAPLLEVFLSTSRRLQAAAVTVTPPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPAIEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDDDEELFEGNWVEYVRRDAEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRTNNWKEKDAAIYLVISLMQRPGATGGGTPVVDMESFFTSVIIPELQAPDWESEPMLKATVLRFLKEFKDQIPKATALALLPSVIRFLVHESNVVHSYAATFIENLLIIKDVVPVPGVNVVTRAPRYVAADINPYAQQIVQNLSKALSFPESYENPYLMKCLMRVLGIANIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGRAGEQDPALLPMFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNMSQPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRNLVLRSSTEDSAFYMLNTLVENVSFDIMNPYINEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGAGILISSVDAIQPNIFMTILQRFWIPNLKLIKGALEVKLTSVASTKLICESAVLLDAAAAQTWGKLLDSIVALLSRSDQNEAQQEQNDGADADSQNTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPTIEQNVDPANKGTLIQLCAAYNANIV >OB01G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5924415:5927504:-1 gene:OB01G18780 transcript:OB01G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESIQEGDFYLREKRGDGRNGEGATEVLTRVELGVAFGSEKLLNLEILLMQIAHRATEIEPLVLDAESISAESAEKVSEFDLLCCILDSEVTGLENFVGSIEMDIGNAAKMASDEDSVSGVSSKLQDATVPLKQMQDLISAIRSQSANFNKLIDTSHDNSGASEDGGYENGHVSSHSAMHSEGKRNILQMLDQSMASELDLGKKLHDSESVMEELKLKLHHSENESFFLEESVEAISERMFAAENASELFLGLSKELIGKVNTIQFDLSASISREGDLKSKLEQSLTKDISNSTLKQDSEKVTWEALQSQAQPDAEFLTLQDKFQQLEEWLRESKSGLSLTIASLGANEEPQNMPRSDMSTFENIVNDLKDATVRAESRTQNAEAKCKRLSHTNMQLNEELKSLKSQGSDRAGLLEKRLKESDTQLEHAKASVEAIVEQQSMLKSSMSDMEQVIEDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVESLENSLHKANQLKMSAAKDIGIKTKTITDLVAKLALERERLHLQIVTLTKKNKILAQKCKENINEVAPVNNKVTANEGEERPTKVIEETVPDSSPTQTKVKRTAENLGEEEDEMTAPLEDKPGACSTIDTIPVRSIEPTVLNWKCIFAAFLVLLAAALVYLLYQ >OB01G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5922075:5923589:1 gene:OB01G18770 transcript:OB01G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPVLTSIVPGAGPLHSSAYFVRLYLPEKFQDSPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSHSPWANSTNYPSKNAYSIAQYNNPFRIIGRLNEVWFDVDCKSAGIEAY >OB01G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5911604:5918674:-1 gene:OB01G18760 transcript:OB01G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in meristem silencing 3 [Source:Projected from Arabidopsis thaliana (AT3G49250) TAIR;Acc:AT3G49250] MARSLSRRMRLDPETMGAADLPPSFLVQPAERGMEAPLTLQIFEFTSKEMQEELQKLGLKVNHHEENIRFLRSELNVIEELCADLGIKIGNYYSSMAAISNNDTSVEEAEQRTIQSILNQDETAAGIICQLKVRHYQHASKLPLVKDVLGIVATLGKLNDDNLSRILSEYLGLDNMLGVVCKTYDGVKGLETYDKEGIIDKTSGIHGLGRTIGKFVDGRFTVFCLENIRPFSGDLMINDPQRKLLLHKPRLPNGEIPPGFLGFAVNLIHLDQTNLSCLTAGGHGLRETLFYSLFSHLQVYKTRAELRNAIPLINDGAVSLDGAILRPNGSFCLGNRTSLGIKFPVTVNLGVSNLPGTITELEEQVKHKNWEKERLLEDMKREGDLLSQVKDLFSKKKHQLMEYLTQPAMVQRVQGSPTVRSPATPGSNPFGAKPSHLR >OB01G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5910185:5913776:1 gene:OB01G18750 transcript:OB01G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLWLQLPAPPAAAAVHTLSFPGTIGSSSSLACKKHHSQVPRGSILCSSSSSSGSSSSAASAVTKEQEAVAAAATASQEEEAAAAAVVVPEPEFVSYRDDPNFRWEGFAPNGLLPGVAGERTVGEPCTLWKRKGHQIVYM >OB01G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5902951:5905532:-1 gene:OB01G18740 transcript:OB01G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3KY18] MYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCMFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >OB01G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5896485:5898456:-1 gene:OB01G18730 transcript:OB01G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGITVSPSNDALDLVIGQLDGDQSSCHTTEVMLLYLAVPGFPLTRMQVLESDSVAAVKLRIQNSKGFVARNQRLVFEGRELSRNDSRIRDYGVRYGSVLHLVIRLSDPRRTVVRTIYGRKFKIQVDQGRNTRHMKEINKNFECPYDIKQSMSLVSGEKFDEITLISNVCEKDSFGIDDKFSGKEIDKCFEQLSIIGANIGNTFQSDVGVKKYPLIEPVLVNPSVTLTPTIMGMIQATLAGLEKKHTPVMSSEGTGGVYFMLDPSGQEYVAVFKPVNEEPMAKDNPNGYPLSSDGEGLKRGTRVGEGAFREVAAYILDHPISGYRACDEHGFAGVPPTVLVRCMHVSTDQTRCDCTENKPKIGSLQMFMKNSGSCEDIGPTFFPVREVHKIAILDMRLANTDRHGGNILIHKDENGQIGLVPIDHGYCLPESFEDCTFDWLYWPQARQPFNDETINYVKSLNEEEDVKLLKLHGCEPSSKCVRVLRVSTMMLKKGVARGLTPYEIGNMLCRENITTKSKIEEIVEEAENIVLPGTSEEGFMEAISRIMDHRLNEIFN >OB01G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5887217:5887468:1 gene:OB01G18720 transcript:OB01G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3KY16] MADHVHAAGWLAWDASGRAPDTLYYGEYRNSGPGAAVGGRVSWPGHRIIKLPEEAMEFTVGRFIGGYSWLPPTGVAFVAGLTV >OB01G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5884624:5887180:1 gene:OB01G18710 transcript:OB01G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3KY15] MATPWLLVACFIMALDPSLLCYRMEVVGPGLTNPLVTLKIPKDGNFSPRTMLGYLGNLGEHLSNSLAIFAARGRPGDELSDTPVHNQLHRRLLTTDDGGDAASFPRWVKQNDRRLLQAAAAEIEPDMVVAKDGTGTHRKIRDAIKAAPEHSHRRVVIYIKAGVYIENVKIGRKKTNLMLVGDGAGKTVVVGFRSVHDNYTTFHTATLAVAGAGFIMRDMTVENRAGPARHQAVALLVSGDHAVVYRCAVLGYQDTLYAHAQRQFYRDCDVAGTVDFVFGNAAVVLQNCTLWARRPLPGQKNTVTAQGRRDPNQSTGISVHGCRLLPSPELAPARRGYPTYLGRP >OB01G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5877622:5881212:1 gene:OB01G18700 transcript:OB01G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIALVNQRLALLDSSSSSSGSDKDDEFEPGVRSCIECFHTSSDCCWISLSHKMLRPKEVYSEQRTIPAAPRTCNTQNTSNDLTKTTKVSVKGQNKYLSAEECCGSAMERAQEVQAKLPAEHPSFVKHMLQSHVVRGFWLGLPADFCNKHLPKHDTGIVLEDEDGTNHDTTYLSSKQGLSAGWRGFAVNHDIKVGDVVVFQLVRLTKFKVYILREKNFGTTDEALGFLSYDACKKRKISKVTDNAKPKEDPKTTRVSSKVEITDNAKSKEDPKPIRISSKVVRDDTQNLVSEAIDGIRFSDSEISFEDVASFSNFNIIVDSLVIDCKFLDHQRRTYYELCCSQKSFLHKHLLRQLNLKLVVGVIMETIIIAEGIRACKVNTSSHEDFLIWKKTLESFQLLGMNVVFLLKRVDDLLGLAAQPRDPSECDKYNEMKRERSRTGEKMKALESKMSTLKDALKKIDAEMEEMESSLRKYDTALQKIATAPW >OB01G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5865649:5871522:-1 gene:OB01G18690 transcript:OB01G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACQCISGAFCVKRVLRMVLNRVLKLFCVKNKDSKKKGKAINPLCKVAAPHPTENVCTNNNLLDPLSSGTGTVLSVQKHDPECSSVISSMTRTEYGSESDGYNSFNQFDVVQDFSDHYYAKNSPGKTTKEWAKTIQNEWKLLQKDLPESVYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTILQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKNSLAYNQTTFLLSCRTMMYSLRKPPKHFESLVARHFHDRERAILDACGSYMSGTVVGSSPGNGTKYPRDNRSFADFKKSLEKYTELLRKDLATNRTQFLELTRDSSSAAAADEIVVYQQLESFCQSMTIAGSPV >OB01G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5862142:5863479:-1 gene:OB01G18680 transcript:OB01G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLWSSADLRRRQRRLLPSSELAEVHGLRYGRRVSAPDERPVDAFEERVLLDLGGAPPRRDPLVGVLHQEAADEVPRDAARRRREPAGVREPERLLDDVAERGAVGGPLERRHAVQQLVEEDAERPPVDGAAVAFPADDLRRQVLVRADERHGPGVRRLRVELQRRAVVEAEVRLGRLAVPLGEDPREERRRRDAAHAHALAVAGADEAPHDAVGARVHRRRLHQHRAHGAPQRQVEVGQHDVTVVPDQHVLRLQVSVHNAKHVQVLQRKQHLRGVKPCSLLIEMLAGLLLPERMKVAAAAILHDKAVELVGLEMGVQCGQEGMIKKAQYLPLGLCPDQLVPADERLLVHHFHREECVGVPQLHEVHAADVSVAESLEKPEVDKVEGGVPRVGQPDGLPPAVASGVPLHLTGAAA >OB01G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5861770:5863532:1 gene:OB01G18670 transcript:OB01G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMSYNKLSRANDTMDFNTRGNAGSHGTLDRNQSGVSANPSTTSKAAAQSQIPSDKKSRLKKKSDPADKTNPVQGSAAAAATTKSETSGTTTTATKSPASRAKTNDSCVASSQGSMARSNSLDSCSSGQVKRHTGGDRRWEAIRLANSRDSSLNLVHFRLLKRLGYGDIGSVYLVELGDTDTFFAMKVMDKESLISRNKLVRAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQPSKHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVSPMLVKSSSVHAGANGVVRGLVGAGDGEGVGVGCIPPSAFFPRILPKRNRKTSKSDLGLHHGAPLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGMTPFKGATNRATLCNVVEQPLRFPDSGGFPAPASGVARDLIRGLLVKDPHKRIASRRGATEIKQHPFFEGVNWALVRSAHPPSVPEPMDFSQFGAREKPALSPPEVAAPTTKAAAKPAAASDSSPRDFEYF >OB01G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5848610:5853632:-1 gene:OB01G18660 transcript:OB01G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYQAKTTNLSINSILLNMLTFSPTGDKLNSAASVAPLKKGSLGSGRSASTNRASGVKSAPTKPAPAISRHEVAAQKESVLPPKVPSIVATVALAPAHVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDSSSVLASLQRRANENLRISEDRDVEEAKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYSQHKYKFVAKKHCPPSVPTEFFRNAAC >OB01G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5839155:5843507:-1 gene:OB01G18650 transcript:OB01G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTRRSSSSSAMATAAAKRPAVAEGGGKATTAAVAAGQQAKKRVALGNITNVAAAGGRAATAAGAGKAGNAKLNSAASVAPLKKGSLGSGRSASTNRASGVKSAPTKPAPAISRHEVAAQKESVLPPKVPSIVATAALAPAPVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDSSSVLASLQRRANENLRISEDRDVEEAKWKKDAPSPMEIDQICEVDNNYEDPQLCATLASDIYMHLREAETRKRPSSDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEVCAPQVEEFCYVTDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYSQHKYKFVAKKHCPPSVPTEFFRNATC >OB01G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5832183:5833945:-1 gene:OB01G18640 transcript:OB01G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWKTKVLPGLNKFFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEAVESSPPEIKALLKDKKPASKIKKNAPAVTKFLEELAKIEFPGAKLVSDAVAKSGTTPVSPAIAFILEKVAPFVPKEEPKAEPAAETTSREVAVEEEKKEEEAAPQPSAEVVEEKKEEAEKPAEAAAEPAAPAAEPEKK >OB01G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5819695:5823236:-1 gene:OB01G18630 transcript:OB01G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATDEEMRNWFREHVVWNDKDTNLKLLRKGVLTRIYAPMAIIRGLKESGVFTTAVTSAKAQSKVKSSNTGLQNKESIHPNTTQGSGDHLQATVRKILEMVGAQEEAVPPMSPPSLLHPVEIALS >OB01G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5816036:5820411:1 gene:OB01G18620 transcript:OB01G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3KY06] MSLGLLPGRAYPLVSPRRTPLPNRSQLRLSTVSCAAMKSYRLXELSDAELSGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTVKFDKVALDDVIVRVSDLPDVELDPVVKEAFDVAYDNIYAFHVSQKLPEKTVENMTGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGEGVDLGAIEAEVSRQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRRLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >OB01G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5812768:5813310:-1 gene:OB01G18610 transcript:OB01G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWSPSAPPTKTRRRAPPPPPPPPLPAAPPLVWSHLSLPCSPLPLPPSCPPPPGAVRVPLWFGTGTAIVPDAAAGDLLCRSVSAGDQGRFVEHLPPVSGDVPRDEGEGAFAGVPSEMLPPKKRVLRYQPYVAAWTIQEMADHVGRGQGGFGAVRPAVEEGEVADADGGLRAELLRLRISRPA >OB01G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5796580:5801519:1 gene:OB01G18600 transcript:OB01G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) TAIR;Acc:AT3G16270] MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDAGIVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEDPKPAVATEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGSSKNMTSGSWGPSPSSSAPTDDAGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQLFLTEATKLDAVALSHALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGTNNLSETKTTIPAAQMPDLIDTGDQDDPGAQNSAQEGSEKIVGNRTYSCSVDDLLGVEPIADISTTISNGNGSDPFADVSFHEVETKDTNDLFSGMKVEEKTSSALHDSSSINKNELPDIFGSSPEPFFQESVEDKGTVNDLMGGLNLNGTAEAQPGVKTEANNNTVNVSQLFDMNSQTSNMANSAAMTGILGQSSFYQQQQVPLQYNMPPQMLFNPSFAGQQLNYGAMGVLLAQQQQLLQNLGNFNAGLGHSSFNTMSSGNASVLPDIFNSSNQPQHVAVMSNSKKEETKAFDFVSDHLAAARGSKK >OB01G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5785939:5792986:-1 gene:OB01G18590 transcript:OB01G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;protein binding [Source:Projected from Arabidopsis thaliana (AT4G28450) TAIR;Acc:AT4G28450] MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRTQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVNTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSISLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPEQPFRKRRIIKEVE >OB01G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5776434:5784558:1 gene:OB01G18580 transcript:OB01G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPSPLRRLLPLSQTLAAAAPAPLLHLSRRLLPSPSYCSPRPPRPGGRRRRRAPRPPRPAPPPGRGSSTLGKRSEEKMGGGEGGEAEVAFNKTRAEGKDGRKGRSMELKSRKLNPVNTICYVQVLGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHVFLTRVCSETAGGLPGLVLTLAGMGEEGMSVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGVEQNVPSQSKDATVIIDDEVVRISAMFVKPRCSNEASCLTDTNVKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVRSDAFDKMVHPSDVLGPSIPGPIVLLVDCPTEYHMHELFSLQSLGCFYEDSSRQTGTSKKVNCVIHLGPSSITKALDYQNWMRRFGSAQHIMAGHEIKNMEIPILKGSARISSRLHFVCPHLFPSSGFWPVELANDVDSEKNKTSLLQACETVSAANLLKFHLRPYAQLGLDRASIPSLSSYGDIVDELLKEIPDIEEVPEQISKFWQSNANQNTMHSAGKHMLMVEEPWITENSSASNVVDRESNSGKLQDGTPLRESDWRKHPKDNPDIPCCVENATREDMEITFLGTGSSQPSKYRNVTSIYINLFARGGILLDCGEGTLGQLKRRFGVSGADEVVKSLKCIWISHIHADHHTGLARILALRSNLLKGIAHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRHTLKPSIEAFLSDNVTESTTSQLENTIFAPGSRMEYYSRKPASPKDTTALANLKEILHESGLEVLYSVPVLHCPQSFGVVLRAKEKVSSAGKAILGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEVDMQKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVDESDEVQEAVMY >OB01G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5754850:5755149:-1 gene:OB01G18570 transcript:OB01G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYSAGLDKSVKVWRIRVVGKEEEEEDDDDDDLDDEDDGVGVGGEHDAAETSPAGKDTDARDEAAVVADEEAEVVVALGAATPVLSPVWVEKRRTSRG >OB01G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5750906:5751925:1 gene:OB01G18560 transcript:OB01G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPMTKLELGHRGEAWEPGCLRAVVGELLLTFLFVFVGVASPMPAGKAVGGAGEPSAVTAAAVAQALVVAVLATAGFHISGAHLNPAVTLGLAVGGHITGFPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLTGLLVGANTVAGAALTGASMNPARSFGPALATGAWAHHWVYWVGPLAGGVAYELLFMDVDDAAAGGAHQPLPQQE >OB01G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5746506:5747479:1 gene:OB01G18550 transcript:OB01G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQISPCLFLTTFGVISISSILYMYASQRQFLYPRGSLVSDAHHSARGRRPWRSSHSATTARPPTPAASAPSSPSSSSPSSSSSPASPPPWPPVGRSLGCSTRAFSWAGLDMSRFLRMTTSRS >OB01G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5736857:5742798:1 gene:OB01G18540 transcript:OB01G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACTSVARSSRLGRPLRAAGSALRCLQGSFIRSNSYSIVMEGLQGSSNNVAKHKKRKSPVQRWRPISTEATAPKANLNEMSNPVSKQVVENSAIDKRTDVMEVSTNIESLPENKLATEDTMEDASFNKDLDRSNLSEKCSSSLQVDAPLMRFLKGKGGTMQKKIEEETGVKIIFPSSKEETCIVLEAKTTENIRDASEKIAKVLEEAVKSPMLDYSHFISLPLAIHPNLVEKLNHFQCSILGTSSHVDSDKGEDLSEGSMDEMDHEQKQVESPSVSIKMQVQEESSVRVKMDIKGSRPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQRACKVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKKQNQRNDSFDARNIFRKFGEHDWGEYLIPEVHLSQRFKFDETGYYYCCSSIPLPEEMQTD >OB01G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5733893:5735377:-1 gene:OB01G18530 transcript:OB01G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGDEWTAKQLKGDLEASAATHYELQRRLVAAASAADSAAGVQSSFAMVTPSSAVFQVIIGAVGGGAAIGGGAAAGGAAAGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >OB01G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5731335:5732682:1 gene:OB01G18520 transcript:OB01G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLARSWSLSAAEISKALKVLSGKCVSDGVPDAGDRKEQRSPVQVDGRRHEQTSKENVPRRGAAEQRPDAPSSMAAQGGEAGGAMSPPISPRANLDVKLLRASAAATTGRGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSHCVEMAQAIGASHDQILAAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIHAAALPAGGGGDTTTREPERDTSPFVFVSRGGELLKRTRQGILHWKLVTVYMNSSLQVIIKMRSAHMAGTFIKTKKFVVLDVCSEIPAWAGREIEDGSHRRGYFGIKTVERVIEFECRSKYEQHKWVLGITEMLNRRDSIKN >OB01G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5726945:5727124:1 gene:OB01G18510 transcript:OB01G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNHPYVVTYQYCNLFVQLSMIFLIFCIINHLLPTVKLRYSVFQSNMRGILYLHIKHS >OB01G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5718261:5724772:1 gene:OB01G18500 transcript:OB01G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENILVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFVHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRAIPGDLDKQAGLGVNGAFHHNGAREQTGPSHLAGSAAQLQVKRATEGGPYPNIQRTENVEQDMTSRKAHLTASVLPGAEWRKDGDSRQLGQLDVLHQKQSFVSNTGSSSGRFPTFLYDSPAN >OB01G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5715998:5716653:1 gene:OB01G18490 transcript:OB01G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEGGRAAAGRRGGKKQQAAEQQQHKVAKQPQRGLGVAQLEKIRLHNQMVAALRSAGGGALHDDAPSPPPPFAAPPAMAASPFHHQHYLTDCFEPADHRRIAGVQPYYEGHLPYSSNRLAAAPAAFVAYEVKGDQQYASSGQRQPQYHSWMSSGYDGGHSGGRSSTGSSSEELDLELRL >OB01G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5710415:5710642:1 gene:OB01G18480 transcript:OB01G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHCISFISLCLCVGSCQFSPYPAPGIAGIPVDIIPAASSSTTRTYCCLPLPAGHWNTIILFMKAQSASFLPLL >OB01G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5705637:5709617:1 gene:OB01G18470 transcript:OB01G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3KXZ1] MSPPLELDYIGLSPPPAPSVVVDDDLKGTELRLGLPGSESPDRRPAAAAATTLELLPAKGAKRVFSDEAALTPPPAAAEKGKGKEEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQMKSSKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGTENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >OB01G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5679036:5686987:-1 gene:OB01G18460 transcript:OB01G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGELKSSSLVQQMVWGGTGSISSGVMGSLRLQPCAEEQADAAASPASMLFLPQQLLHISSSSSSPGLNILDEVNCSSSTGLPLGSFHGDGQQEGGMAEYQSWRQLLLGGLVGDHERYSTATALLSKGLDDEGPMPHESAAYDFYGHGASSAAAGEEINKASPEASFKSQLSQMLLASSPRSCVTTSTGLGSSMMEFSNSAAAPVPELTRKQHHGQSDNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLGQIEALSYPYLGHCCSGTPMQQQTGIMSGERSIGLLPEFPGQEAEKDDGDNNNNEAIKDLRSRGLCLVPVSCMPHLAADNDVVVGSDFWAAAGAPPLAGINLR >OB01G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5678291:5678494:1 gene:OB01G18450 transcript:OB01G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRRTIACFCLTLLATLLIVFTSQGTAESLGAAILSVKRAGVMASLVLMLFLLTGGGCRTPPPRG >OB01G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5671699:5671944:1 gene:OB01G18440 transcript:OB01G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAPARSGTNLSMTSTAFCAAMLRNLLASLPSEEPPPARSERERMVRNGTPCWCATSATAALSISTGVHPNLARRNWR >OB01G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5671689:5673308:-1 gene:OB01G18430 transcript:OB01G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLVLAGAVAAAMMATAAEGFISRKTWSEIRQANRGGPFVGLVVPNAYEMDPVLGSPSFTPSATLPYLDVQGRRFRFGTIGGQNVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPLEAAGDYTRELGFLNFSDYAAAGHSPNLLNSIWYQPEEIFPVSGIPEQRQHAFWVPVSKRYFALAEKLEXXXXXACVNATTPCLPRAPRVTRVSRGCSANLFLDNAAYRQFLRAKFGCTPVEMESAAVALVAHQHGVPFLTIRSLSDLAGGGSSLGNEASKFLSIAAQNAVDVMLKFVPLLAGAHDEAMDV >OB01G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5662843:5668451:-1 gene:OB01G18420 transcript:OB01G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3KXY6] MAVSVFAPHLASTIVDTKSTIFPPPCASRLCARARARGVLLLTRTWRGRRRRTRPSWRTPPRSWRSLSLTHSSGATCSPPPRRAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASEGGEDRSVETAAMTAMRVLSLMSGVQATEMRALEREYEKVLDVNCRAYALYLKRILEAGDAARASSPPPPPPELVFSVGDGGHDCDDNDEEATAETDEAPIWADADEAADLYPRQGSGKGRGGLKMRPPSLYPQRVPPHLIVQQQKPPAPATGTGSTVSRLRGIEHSATPSDDSMDSSSSVSALLAGREEKHTASPLSRRDRARPHGGEDDDDDDDAVVAILSPEPASSAVGSDGDEARRRRRQQHTVATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNVPCPITRHRLRGAHLPNTNYVLKRLIAAWRDQNPAAAPTPSAGIAQATLDSTVGELRAAVSCLCTSEELADSEKSVLRIERLWREAGAEQVALSALAKPAVINGFVEILFNSVSAPVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLAEAVSLIYLLSPSPEQLVEMDMADALASTIRRNDDGAVKMCIKPKSASVILLSQILLEGGRDSTSPVAKSALVSERFIRGVATSLEAEQVEERIAAVRILLRCVAEDGHCRSSIAEKSALGAVLDAFHVVGDVDKFDIVRLLSELLKLKRRSAADRLLRTIKEASSFSMMHTLLVYLQSTAPEQSPVVAGLLLQLDLLVEPRKMSMYREEAVDSLIQCLKNSDYPRSQLLAAETIMNLPGKFSSSGRPLSLSSLLKLARVKERHRQSQELSISRGGGGGDGEDEMEEEKAASEWERKTAYALVSHEFGLVFEALSECLRAKNAELFTASLASAAWLVHMLSLLPDTGVLGAARVCLLRQFVLVLRSGKHGSDRALAMVALRSFMNDREGMHEITTYIKDVLKTLRELKKSSGLAFEMLKLLSDGQDSSIDMWNHKELNHADCSSNGEVTSIVYLKGYIFSGHSDGTLKVWGGSESILRLVSESQEHTKAITSLAVLPSEEKLFSGSLDRTIRVWQLRDTLRCVEVHDTKDAVQNLAVAAAMACFAPQGAGVKVLSWNGGNSKVLNTSKVVRSMALVHGKLFCGCSDGSVQEIDLASGTVGVIQPGSKRILGKANPIYSLHAHGDLLYAGSASLDGASVKALQKQKLSKHLLFDFFGLKDQNFDEICLCFLGVQIWSSSNYNLVGTIPSSVEARSLAVSSDLVYLGSRNGVVEIWSREKLTRAGALQAGGAGCRVQCMAVDADGDVLVVGTSDGRIQVGKWNAVSLVDGFLPPSKKIQFLMAYPLLCLKNNRFLIQPEIVFSGGHRKL >OB01G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5652218:5652989:1 gene:OB01G18410 transcript:OB01G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPASLRPAALARRWLENPCDSLARGREHACGDAFNTVVMPGFRVSVAEPGRLVCSFRVPATVAVRTFPPPPRPPFPGDHAVAVHRSSNLRFLVSSSSSTAAAAQDADGRWHAGAISAAVDNICAAVVYTVDGAHKFTVNHAISFFSPATHGEEVEMDARVAHRKGKLMAAVVEVRRKASGELVAVVRQWMTSTQARSEKSGDSRSKL >OB01G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5649405:5652172:1 gene:OB01G18400 transcript:OB01G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSISRSQSKRQCAAARRPNQSRLRMGGPATPPSRMAAGARKWLEEAGVAFDGSDRRAFNALPLTGVRVSLAEGGRAVCSLRVPAELTDAEGNWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKYHEEVELDGRVVEQKGKMTAVTVEIRKKDSGELVAIGRQWMSTTRPKEPQASSKL >OB01G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5647294:5647734:1 gene:OB01G18390 transcript:OB01G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHAKIKTNKHKRKTQLKNTHRKLPHCLLHCNCHRVNTPLISKQRTNGPPSPIVILSSLKYTTTTFCLFVQLFLLIFNMFDLKVVATFIQNNVSYSLKFLDVGFHIIIKETAFTYKCFSWTSLTPTVKLPETNETFIKNTVHLAR >OB01G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5640616:5643214:-1 gene:OB01G18380 transcript:OB01G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAAGAGFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRTYLADHPASSIITTEQGEELRKQIRAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQTGPNQPVRRYLCGSACFA >OB01G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5635138:5639904:1 gene:OB01G18370 transcript:OB01G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVEEGGRVGTNCMLARGTSAAAAPVLELTMPLQDAAAELAGDEPADQHQCEHFSIRGYVALLQKKDPKFRSLSRIFLDQKKCNGHQASSSPFSVAKFRRWDCSKCLEKLKASDYGTSPRTLPAKQDGTSDGCSITFVRSTFMPATVGSKIVSPSAQSSQGKKSDRSTLPKSAQEGNNSKCNAPSGQKGAAEGNTGPHMKDLQGAAQNYDMAVNIPDNTSIDVGVLPEVPQIALHKEGNDEDQSPSTPKLSEVILKPNDDRDGKTKGLLVAEKCNLTKHLKPMSGQKCDQVCNSEPCEDFVPKRSAKSKCKKEMNKKLMKKKKHSNKHTSQADVSEAKLCRRKPKKVRLLSEIINANQGGEPRSDEDHHENVSDHREDERRLIPLEVSMDFPGSHQKVREDELKSTKSKTKRKFADAVDDGSSLMNWLNGKKRKTTENVHHSVVRPAGNLSNRKVTPTVSAQHDDDDNIENGLDVNMHMTDMRQHESENSTQRCSSKGTTAGLSKWKTHSPASAKNGDENTRDSQNIPILRTEDQCQMETENSVLRCSAKVSPAKHDIQNLSGLHEQSLPKKKKKKSLELMHEKRTMIDDIPMDIVELLAKNQHERQLMSETDCSDISHIQPKTTADGDCVVVAAKDGSDYASSVFDTNSQQKSLAPQNTHKELQNHVASSTQDISPHPLELQISGHSKSTQEQPTHLRMEEMVTIAASSPLFSHHDDQGIAEAPMECWGHKDAKKLTWDHFKAATRNSPAATCGAQFRPSIQAVDLTSTHVMGSSSNLAAHQQVIAPLDHYAERAVNQMQARIFPSTIATMEAGKLCDRRNAGQYVLYPKEPMPATHLLRMVDPSTLAGFPNYETSSRNQMEFQLWNSQYAHNQYKGSTSTSYGSNLNGKVPLTFEDLSRRQLHDLHRPLRPHPRVGVLGSLLQKEIANWSENCGTQSGYKLGVSKGMASVSKGMASHQMNRKEHFEALNSGMFSAKWNALQLGSVSSSAELLSARNSIAQSWARGKGKMVHPLDRLVRQDICITNRNPADFTTISDDNEYMYYL >OB01G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5605793:5614365:1 gene:OB01G18360 transcript:OB01G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQQVKEKVLVMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSERPAPLLNGQAQAGRNVRSPDQQDEAESSAVNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQVVNGTTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSMNQDSKKEQALVDIEDPTTQDSNKELNQSVGEQSPFEQLALPAPPMSNGSATPAPKSDPGDLLSWDDNPSTAENSLALVPVTDPVIDSTSSQNALAIVDIFSQNNTNNSAKPADPFGVNSSSTLPGSQPYNAPTQHPLQAQQPQPVGLYPNGGAVNPGTSYDQSSQFNHTNPGWNGQIANHATPPAQQTVNYDDQSGALPPPPWEAQSVASSDMSNGQLGGMHSHPVSNGQFGGMPSLPTPPPNQIGGMQSLHPQMNHMGAPQAQPMYNHQPGAMLPPAMQPSQPAVTQMQPGYGNQFGSLPQQPMPGMQFAGMQPSPMLPGAQPVMMYGQTMPGLQFAVMPQPRMYGPQMSQYRLVQQQAAQYYSNSQARPTYYSAMNDLSQKMYGLSTQDNYMGMNSSSYSTTPSSSSSMGQPIKTSKPEDKLFGDLLSIAKTKQNKA >OB01G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5601590:5605387:-1 gene:OB01G18350 transcript:OB01G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:J3KXX9] MAAAGYEREDGGGGGGGGPGAAGREAYDPSYVPDSVKTFVTHLYRHVREKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAYARLPPPLAAARRAESWANYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTDDELHQLKQFDKAWNVYGVLNYLQALVEKSMIPQILEREKEGLEQFTATDGYDYQGGSNVLKMLGYYSMIGLLRMHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVEAIREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQHNLIDENVSTQLKEKYNDKMTKMQRFDEEAYAAYDELFSYACPKFITPSPPALDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTINIAKLAQYLEVDEATLRSILMTYKHKMHAVDNNGKIISSADFDFYIKEDVIHVMESKPIKRHGDYFLRQILKFEEMIGELDKVQFD >OB01G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5592317:5600067:1 gene:OB01G18340 transcript:OB01G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAMTTNSGKDPNKGREASGPPSAPQEGEISKEPQRRRPLNGRTTGPTRRSTKGNWTPEEDAILSRAVQTYNGKNWKKIAECFPGRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQKEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLTQVSSLPLNEYSAHCNSSPAMTQQNSEDSGCFAVQEVENSSGCSQSSLAKVSCSQVHNTSVALGCDLQTNGNVDKNETHDSQSSMGHEACYTSAEAAASVMTDVHYHVSSSNFDPDQHLQEDFAQGLNLHMSIDEMPSAPNSADNQSLCSIENHERSLESYDVEMEMPLSLLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQSLLSGPDAVQTDCFSRLNQQSDAHSSKADTHFLAPSCPLQTSNPSCVMEDAYDQSPQMSVPPSLICSNVMTDAPSDNRPEPKEMPLSQAEMVMQSSSSSGDAEMFANPGSSNDWHVPSSTMGRIPEFGHQQVTNVEEPKASVEKEPSLTQSMTAPDEKKEQEEGALFYEPPRFPSVDVPFVSCDLVTSEDLQEYSPLGIRQLMHSTMNVCTPIRLWGSPTHDGSPDVLLKSVAKSFICTPSILKKRHRDFLSPIPDKRIEKKCVTDKDRGVSYTSSTGIQTCFNATNDDSLITKSVLRIERSASSKPLEKNLFSDENKENWTYTTEQAKDGQSAKNDEHMDEQARQERHSTTNIATTYDDLPGNLQPAGILVEHSSDDLVSPAYDKNTMNQKLNTNIEPLSVCKEGVCAKSKPKELIVEKSSPCINVDYEYVNIFADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPADRTYDALGLVKQINVQTAAALAEAREVLASGGQCDNINSDKENMQNPDAKEEPGATKLPTKIMAEARILDFNECTPVRSSDKNAGSSLGRSLGSPIPSSNLLKSFR >OB01G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5579857:5582959:-1 gene:OB01G18330 transcript:OB01G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDSFRSVVCRSLSKSLPSKTKESSYPETAQCALPCVVTLQPTVCRNCQGRDWSPSQSNREDRSMVLQKDYLMASSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRISNKKRRPEACEKSPDNSVFREAMLEASNANKTVARSVSNGLDGQVRNSTDELKKVVKDSFYRKNILSAYSNDEQASVNKSSRYTANKTLISKPNDQKKAAPRSLPSCAPGQPDKSKSPCLVAKLMGLEGLPTHSSNTVKKDETMKTVNSPRALFDIEMPKVQQSDDMNFPYSRKSIVSLYDSNAINELGSKKAIQRGKGIDQPQTRASKDIKVVSHTSRKQQIKETSEMGRSSSDKQKPHLTYRNGEGRKDSKSKTGSASRSNASIVKRPDKKSTIASSTSSSSTCRTRKPVTRKTPNSREKAVPSRSRKNSAIDDIVAYELHREFIQFDGLSTEHSATPSDDSYQSVDWDTQPCTDGVQEDLSESYEPSVATSSAERTDSTNRDGFHPSTHLASTNEVEIKDEMSLLLLSDQSFLTRAAELIGIGASEIDHLVNRYKRIPNPKKAQMENHELYLDIAAEQLERKHRQLNSFCCTAIWGQNYRTAAYFSLEALLTDVRDTARELSSYADDEGGLGATGGDTLYVKLERDLRCADASINSAWDMGWEDWICMEETQRFVRDAGERILSALVEEVALDMCGHIEA >OB01G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5575088:5578492:-1 gene:OB01G18320 transcript:OB01G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALPALEIVASFSVGIDRVDLAGCRERGIRVTNTPDVLTDDVADLAVGLAIATLRKIPQADRYVRAGRWKSKGGFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPKYKFYANAVDLAANCDVLVVACSLNPESHHIVNRKVIDALGPEGVLINIARGAHVDEPELVSALLEKRLGGAGLDVFEDEPFAPERLFDLDNVVLVPHVGSDTEETCRAMADLVLQNLEAHALNQPLLTPFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQAYPNYTYYPSVVELAANSDVLVVACPLNEHTRHIVNREVMEALGPKGVLINIGRGPHVDEPEMDEPNVPEALFAMDNVVLVPHVGSATHETRTAMADLVLANLEAHVAGKPLLTQVWKLMGKCLVLKLHCHLKNVSADGRAPSGLEV >OB01G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5569470:5570856:-1 gene:OB01G18310 transcript:OB01G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLATSSPSRSPKAGPAYYVQSPSRDSHDDGDKSSSTQATPVYNNSPLDSPSHHSSFGRHSRVSSASRFSGNLRFSVLVVAFTLLCLIIWGIARHYKPDIIVKSLTVGNFYAGEGTDRTGVPTKLVTMNSSLQINVHNPSTMFGIHVSSTSIRIMYSEIAIANGQLEKFYQPRSSHHVASAILHGEKIPLYGAGATLALSNSGGAVPLTLDLAVRSRGYVIGKLVRVTHTKRVKCPVVIDSSSSKPVRFTQSACSYT >OB01G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5565694:5569125:1 gene:OB01G18300 transcript:OB01G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSTVKNNGKIRINGEVLNGSPLKEKHEAAGSNGAAVQPSNGRAAKQPQKVLCTTCGKGHACQAVIGRTRQMRALIDARKPHQAHSAFRRLVDDGHRPSLVTYTTLLTALTSQRMFESIPWLLAQVEDAGLRPDSIFFNALINALVEAGRMGEATNTFLRMGHSGCSPTVSTFNTLIKGYGIAGRPEESQRVFDVMAGGEGVRPNLTTCNILVKAWCDAGRLEEAWRVVARMRAAGTEPDVVTYNTLASAYAKNDETWRAEELVVEMATQAGLRTSERTWGIIVGGYCREGRLGEAFRCVRQMKDAGVLPNVIVFNTLLKGFLDANDMAAVDDVLRLMEQFGIKPDIVTYSHQLNALSSMGHMARCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLRQMDRLGVRPNVVTFTTVISGWCSVADMDNAMRVYAAMRDAGVRPNLRTFETLIWGYSEQKQPWKAEEVLQIMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSTPSDLRHDDDDDDDDEEPDHPDDGDDKLQRFERSNGHAKSDPSRSMQVTSCTGLASMSLQAIRKPARPSSPSLLRRSCQLPLRSSWLCRKQLQMQCGVYGHSISSLKMVFLS >OB01G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5560315:5563569:-1 gene:OB01G18290 transcript:OB01G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT3G24570) TAIR;Acc:AT3G24570] MRRLWRWYQQCLATHPVRTQVVSSGVLWGLGDIGAQAVTHYSRSHRAKNTEVLLVLSNTVNYSNPLAFDVFSIFELGLSNWIKIKSSKLIGRGWASLAHLDLLSLDQLDITVSFHLFVAIYSYCKLLLRYCGIYASRYEYLDRFILRRYQPKTFKFVASKVAADGLLFGPVDLLLFFSYVGLASGRSVDQVKDDVKRDFVPALVLGGTVWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDAPWKQWFTSFQKIEGQKGKV >OB01G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5559116:5559466:-1 gene:OB01G18280 transcript:OB01G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSTVSVECRHFGGGPERLKAEATTDERGWYKVEIDQDHQEEICEAVLVKSGDPKCSETEQFRDRSRVPLTSNNGLKQNGVRYANPIAFFRKEPLADCGFILQKYDLKDAPETP >OB01G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5555472:5556589:1 gene:OB01G18270 transcript:OB01G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNTVVSRAAFGDGSARGLYADVDRGRELKKVFTDFEELLGTEPVGELLPWLSWVDTFMGLERKVRRTFEALDSVLDKVIEDHRRLREERRRTGADDDDDVDHRDFVDVLLDVNEIDKDATIQLGTIEIKAIILDMFAAGTDTTTTAMEWAMAELLTHPSAMRRAQEELRAVVGVPSRVTEDHMDRLPYLKAVLKETLRLHPPIPLLVPREPPADAELQGYGIPARTRVVINAFAIGRDPAAWGQRAEEFVPERFLDSAVDYMGQHFELVPFGAGRRGCPAVAFAESAIEMAVASLLYHFDWEVSAAGGRGSQAGTASPPLDMSETHGLSVRLRYGLPLIAKMHFS >OB01G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5550202:5552552:-1 gene:OB01G18260 transcript:OB01G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTDPYVENLDELLVGCMTPHEIEDSIEIIADEVAHGDKSQPYVGMEFSTPEEAYTFYNDYAYRVGFSVRKSSKTKNRDGVSSVRFVCNKEGFSDSQKKKKKPIGSVNDQRTPEKEKGMTRTGCKASCRIRLYKSGVWRISVFEENHNHVVIKSPSKKRNLRSHKCLSEEDKKIIRNLSAQNMKPSQILEYLAVQYGGKQNIRFKKKDVSNEVSAENRSLLGVDVDTTLCYFQKKKEKDPEFFYAIDLDENGAVKNIFWVDGRGRRSYQEFGDVVTFDTTYQTNIYSMPLAPFLGVSHHRHTISFGWALLRLEDAPNFCWLFKTWLEAMYGKHPSAIITDQDPAMKKAIVLIFPKTKHRCCQWHVMRKARDHLGLLYSQMEGFKEELQAVINRSLTVVAFERDWEAMLVKFKLTDNSHLKLMFSTRTQWVPAYFRDTFFANMSTTQRSESMNAILKLWVDSHKSIYQFVKQIEKLTDGIWQRESDEDLKSMNEQPHLYSPYQMEIEARMVYTRNVFSVFKDIVRESFLGFVTEIIKDKLYHVRISFNPQFRNFKPESYEIEVDIETSRVSCTCKGFEVEGLICPHSIKVMHHIGMAHLPAHYILTRWTKGANANSKKHLSERSMDTGQTIELQALRFATIKSSLMEMGKVGALSVETFNCLKQIIIDGMAKLMTMEDAKLMSMGQTIVTVDPPEDGTKEVSSKPLYIDPPDAQCKGKRKKLTRFQPPADKKERKMRTCSICNAKKRPQC >OB01G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5540335:5542188:1 gene:OB01G18250 transcript:OB01G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIAYVASRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWRQARRVAVLHLLSPRRVLSFRGVREQGVAALLDGVRRHAGGAVNLSNLFMSYANGVISRVALGDAGYRLEKLRELFVDFEELLGTTTVGECVPWLAWVDKLTGLDARAARTSAAMDAWLERVIADHRERRRRSRRRGQSVGDGEADGEHRDFVDVMLDVSEAEEDGGAGEVVFDTVAIKAIILVSTTYT >OB01G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5537122:5537985:1 gene:OB01G18240 transcript:OB01G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSFAALLPSPFLLAALVLILVFSCLTVSSTKRPPPSSGDGGRRLPLPPSPPGFPVLGHLQLLGSLPHRKLRSLAEAHGPVMLLRFGRVPTVVASSAAAAEEVMKTRDLAYASRPRVRMAERLIYGRDMVFAPYGEFWRQARRVAVLHLLSPRRVLSFRGVREQGVAALLDGVRRHAGGAVNLSNLFMSYANGVISRVALGDAGYRLEKLRELFVDFEELLGTTTVGECVPWLAWVDKLTGLDARAARTSAAMDAWLERVIADHRERRRRSPPPRPVGWRRRGGR >OB01G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5531386:5535414:1 gene:OB01G18230 transcript:OB01G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFERLNSWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEDIYLPDTIDVGGAGRQQRSSGCEC >OB01G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5523358:5530399:1 gene:OB01G18220 transcript:OB01G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTDQPQICLKLPEVEFAGGRKNLHREHILDRVATWDHYGGVYQNWQQNGPPPPPDHVLKKVPSHPSPPPPPAPLNVHSGGSGSNYSGGDSQPPVSPGTALGFSRCTFTYEDLSAATDGFSSANLLGQGGFGYVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISGGKRLLVYEFVANNTLEFHLHGRGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKAANILLDARFEAKVADFGLAKLASDNNTHISTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVRSNQSQMDDSLVDWARPLMTRASEDGNHDALVDPRLGQEYNSNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYSSNEYEYDTGHYNEDLKKFRKMAFGSGGLESTQHAPTNEFGQNPSVSVGDGHQIPETEKEKETESAKREGDNNGVGDGHAS >OB01G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5518384:5518629:1 gene:OB01G18210 transcript:OB01G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNSSESTQKLRKKTMEKNATIRSSMGKQNFHFTWYKQCTDSVSHYEQGLLFFSSDRGKKYRRELFHEKYLVLVSGQCTH >OB01G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5511915:5519008:1 gene:OB01G18200 transcript:OB01G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MMSSGVIVAVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQRETLLHAKVVGTSCDVCKPEDVKKLVNFARDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQEKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAVNYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >OB01G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5498564:5499686:1 gene:OB01G18190 transcript:OB01G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRGGGRSSSRLRDRLARMFRPGSLLRSTCNNAAASTSSSLGGGAKSDKTRRLLSNPYGFSTSDDADTDGDDVFSSDADDRVPGAGGGKKGEAEAFFSSSRSFSSDSSEFYTKKKTKPKKKSPSSIHSAKPPPPPPPPPRTTRQRRKRRAASSCDTCGVRDGFRPVVSAAEEQVRRGFAVVKRSRDPYADFRSSMVEMVFGRQLFGAAELERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >OB01G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5481509:5483715:1 gene:OB01G18180 transcript:OB01G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADESDDSGVPPRRVAGDVHTPASCSSEELMFRERHGGDLLIAMASSPHTSAFRAARPVSISMPASPTGFGGARPEVELQRHAMTDVPCMLPLPAPRPPMMPVAPQPDRVVFRSQPIAVPDGGAGLPQQGRNSQVHGDSSIRGGARRAAGTATTTGRVRTRRDTSYDSFKTLSGKLERQITTHLRGVRQQPEQRDEEEEEPEENDAATGAAAAAKETTAASAATSRRPYSSMPRVQRFFAALEGPELDKLRVHHEQLSPRSLALALALALVEHLPYRVALAPGSRAVVVLCRRQSSEELVLPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKRIAISASTRFLHITVKINLVLWCVSVALMCVVSALYACKVVFYFEAVRREYYHPVRVNFFFAPWIACLFLAAGGPPVVAANLPHWLWYLLMAPLVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGAIMGLREGPIFFFAVGLAHYTVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRIAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQSLCIALSVLATLTVAALFLTTLLHAAVHRDLFPNDISIAITERKLKPIAEIHPDAACKDLEAGVPPASSYAGDRSTW >OB01G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5468557:5469533:1 gene:OB01G18170 transcript:OB01G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPGAFGAAPPPLQQPQPWQWQQPFHPPAATSFWQQDNVQEHMGRLQETIDLASAVINELEEIALARNSSDATAQEHDLSSAKSSSEHDGFSAEKPRCFVELARAMEISQDTHESLATDAANYLCSQLQNLLAPIYPAVNQGGPWEEMSAMIRLSQKLQKSKRNKRWRRKKRKHVAELYQKEHADYDRIDQEADEWRARQIAKDIAQRKTIKFIDTVKSGGKHEANCQEKSK >OB01G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5459625:5464305:-1 gene:OB01G18160 transcript:OB01G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALAHYFEARLLLLDPTDFLIKIHNKYGTGSSTETSFKRSISETTLERVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESMGSLPKLKRNASSSSDMSSLASQGPPNNPGSLRRASSWTFDEKILVQALYKVLHSVSKKNPIVLYIRDVEKFLHKSTKMYVMFEKLLNKLEGPVLVLGSRIVEMDFDEELDERLTDLFPYNIEIKPPENESHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMFLGRYIEEIVVSAVSYHLMNNKDPEYRNGKLILSAKSLSHALEIFQENKMCDKDSMKLEAKCDASKVSGKGIAPVAAKSETKPATLLPPVAPPAAAAAPPVESKTAEKFEKKDNPPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLKRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDAVLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKRESGGNASDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >OB01G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5446349:5448028:-1 gene:OB01G18150 transcript:OB01G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFLKFLRGGAAAGNRAGAVAATTIAASACEDGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFSVAGDVESGGEVVAVDDAVAPCGGEPGDAKVVELVSEAAPPPASFLKPATKFRVLLLKLRKPKVPVAAECNGGGSPAPKTNRFLIKFRVDDAPFVSLFTRDNSSRSESPLLRTMSDPRDGGWADTKDGGGGGGDGAAGRGTVRFRRYGQTVIAVLKP >OB01G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5455745:5457396:1 gene:OB01G18140 transcript:OB01G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3KXV8] MMMNMNYTASKQSSPRIPEVVIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLLYIVFVVLHTVPILYEKYEDQIDSYGEKGWVEIKKQYAVFDAKVLSKVPRGPLKDKKH >OB01G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5435952:5436409:-1 gene:OB01G18130 transcript:OB01G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVAEKVARVQKPEAELADVSLRNVGRGGATLAGRVDVSNPYSHSIPICEVSYSLKSAGREVASGTMPDPGSLRAGDTTRLDIPVKVPYDFLVSLAKDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDIF >OB01G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5428569:5431436:-1 gene:OB01G18120 transcript:OB01G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KXV6] MVTAYDYPSGVQVDTAGFDICLVGDSAAMVSHGHDNTIPISLDLMVEHCRAVVRGTSRTFLVGDLPFGSYESSPAQAVSSAVRVMKEGGVDSVKLEGSAPSRISAAKAIVEAGIAVMGHIGLTPQSVSALGGFRPQGRTVESAVKVVEAALALQEAGCFAVVLECVPAPVAAAVTSALQIPTIGIGAGPFCSGQVLVYHDLLGMFQHPLHAKVAPKFCKQYGNIGEAINRALCEYKQEVETSSFPGPSHTPYRLPATDVDAFLNELLKKGLNVAADAAAAAVENAEKEMNGNGTRS >OB01G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5424851:5427421:-1 gene:OB01G18110 transcript:OB01G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KXV5] MLSNVPESTVYGGGGGGGGGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSSTQAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEVGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGHVINKALSEYKQEVETRAFPGPSHTPYKITATDVDGFANALQKMGLDEAANAAAAAAENAENK >OB01G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5419885:5424645:1 gene:OB01G18100 transcript:OB01G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT5G62650) TAIR;Acc:AT5G62650] MRRGRSSSSSSPSNPFHAFASTLPFLPSPSPPHASAAPHLAVPLILPVASASXXXXXXXXXXXGGGVGKGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPQFLASRTPMWFKRILSPLKKNENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAANKLLKSFPQRSRRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMIQNRHTRRRRDIVDDSLTSEMIEESADSLLEPPEVQELMNEIGPVGIPLSIVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATASSSFTASEDANCFQNSKESQTLESDFHNSNRGKHDNSNHGQPQFPFSNLLPNIWPGHDRSFKAQGRDRTFRRCDASINDVQANPLLPKITMVGISMGEGGQMSKANLKKTMDDLTKELEQAGEKTIFSDEKDPLFVANVGDYSRITKISSA >OB01G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5412102:5418998:1 gene:OB01G18090 transcript:OB01G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MAAAAAAIFCAALRRSRPAAAALLLRRPLPSSRCLSPLPSSLGGASRVFPRVPGSAGFGHYATVADESTPPARPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTVDMTGNVLSRSQFAIFLIDVRDGLQPLDIDVGQWLRKHASGIHTIVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLPNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMSHPEVMIARQAIEEGRGLVVLVNKMDLLKENRPLFDKVIEAVPKEIQTIIPQVTGIPVVFMSALEGRGRIAVMHQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKTNSRNTGPRINTRMRTDKRTTVSEPTTAS >OB01G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5403096:5409835:1 gene:OB01G18080 transcript:OB01G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:smr (Small MutS Related) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58720) TAIR;Acc:AT5G58720] MKPSKKKSKKKKPTPAAVPTPPVAEEEGSSCSPQSDTLTLAAAAAAASETESSSSCEASAFNLGSSGGASTSSFSAFSSSASTAGATPNPVDSSSREAGGDAFLAAGILGSSPEAQPPPPPRPPDLSPRAGSGSKKTNRRPKRVAVAATGMVADVIGKGYTRPATSPVSKTNACKGNAWKEGLDDRKYSVEEVEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGISSLQIKERCSPNGQINGISSPNIFISCGLSEEESIAGIERSSYQFADEIPTMAYNPSENEEEYFWGGLQRSYLKVACEDPPSTLSSSRSTSSVSKTPQQVLDSLFKIPEQRTYEPSSMDWKKVVKKLQSFNSPITSNNQERPKNGMRYFRDGYQEFRTVAARHYDTMKTYYQKAAMAYSKGEKSYASYLAEEGKHYRELARKEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPSTFLRVITGCGVEGTGKGKIKRAVTELVERERIEWHEENAGTIVLKLGGPREYRFLEHDSDSD >OB01G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5396208:5397921:-1 gene:OB01G18070 transcript:OB01G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G79900) TAIR;Acc:AT1G79900] MGAPLASVAFQNAMVFQVYAILSRSLDPTSSISETPSYASVALAGVGTGALQTLILSPVELVKIRLQLEAAGQKHRLPGGHRGPVDMARDILRKEGVRGIYRGLAVTALRDAPAHGVYFWTYEYARERLHPGRRHGGQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQSYPPRYRGIVDCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASSSSNDQRLVMEEN >OB01G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5377561:5380872:1 gene:OB01G18060 transcript:OB01G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3KXV0] MPFPVSYPEYPTKDQFLEYLDSYARRFAVEPVFRRAVISAEFDGESWWVYTKEVITAAVGGEQAVLGCTMTVYRSKWLVVATGENAEPAVPEIEGAGRFKGQIMHSSEYRNGVGYAGKRVLVVGCGNSGMEVSLDLCNHNARASMVVRDTAVDRLVLLVARLVIGDTARLGFPRPALGPLELKAVSGKTPVLDVGTLAKIRTGHIKVVPAVQCFQEHGVEFVGGRVEEFDVVILATGYKSNVPYWLKESEFFSEKDGFPRKSNGWKGQNGLYAVGFSRRGLLGVSIDATNIVEDIVQRWHDNGYKTSQSN >OB01G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5361451:5363240:-1 gene:OB01G18050 transcript:OB01G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSDMYRKPVAEKSDDTSDDEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREDKKAEEEKLLGIFRKRDSKSSGAQKTRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKKGKGQQKGGRRKRR >OB01G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5356861:5360483:1 gene:OB01G18040 transcript:OB01G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLGPSCSSECLQYRGTMDVFYKVTKQEGIVRLWRGTGASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKESNVGGKPPGMRKTLLGVLSLRQSINHPENFRPYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIGMIGEQSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEKDPARVLHMNTRRILHEVWSKEGINGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEP >OB01G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5352869:5354693:1 gene:OB01G18030 transcript:OB01G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTTTAKPVCAQEALALLNCAAESSYDRDKCLALLDALRACIAQKKVKKFSLADTSPTGTSETPKSK >OB01G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5349684:5352500:-1 gene:OB01G18020 transcript:OB01G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEAEAVLETIWDLHDKVSDAIHALSRASFLRTVRRRAGDKPAAGPVRVKGGDVGSGDEAAALDAVAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDISLARLEQSRIMLAIRLNGHRGVNQKIIGEALDFVRNVCHGLWPSLSVNKPDKLRSHSGADNSCDSQKGSNFLVQVVASSFALAKNSFNFKTFGSLLGQSAVLVVGMTTLLQSHWLSSGQQGPSVGKYSYKMINQEYSPRLETCLDARINHLDVFLAKG >OB01G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5346210:5346506:1 gene:OB01G18010 transcript:OB01G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTGITPLASSGTSYALVREAHLSVMAVEVCDTRRRERGVSVGGGGWTMVEEGERERRWKQADAWRRSTCGDVRRRGGCGCASLFHFKYLVRWNTVV >OB01G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5321386:5322434:1 gene:OB01G18000 transcript:OB01G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFVAVKRTEHVEVTSVAVEAAPAGKVKGKVVGGGGAGGGPSTVRVFCDDFDATDSSSDEEEEEVTERRRVKRYVQEIRLQRVAAAVPAAGKGSEELLPAAAKMADAAKAREAAKVYDSAAIQLRGADATTNFNQSGDAADLEVPPEVAERVPQPPGASKNASPATSYDSGEESHAAAASPTSVLRSFTPSAEATTAETTNKKKPSPPPVVRETDESSEVFGCSFSDDGGFTGELPPLYTDFDLLADFPEAPLDFLSNLPVEPFSLAPFSGNNGSLDPPLDDDDDAPSPAAAAQVDGFFPDITDLIHIDPLPVV >OB01G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5292162:5294495:-1 gene:OB01G17990 transcript:OB01G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KXU3] MAQPAPLALLVLLLLLAGGSEFLAAAASTDTIVPGKGMPGNQTLVSKNGEFELGFFTPGSGIHYFLGVRLRNMGEYSPTFWIGDRVGVINLPGVALEIFGDKLYIKEDGVSLWWSSVAGNGSSSSGAAAAAAVLLDNGDLVVRDQGNPSRVLWRSFDSPGDSLLPGGRLGLDAATGANVSLTFQAYSHNGSLRVDASRRNGFVLTTDGIDSRGVFPDWMVTSQDNGSSLVLNHPNATNSTEFLQFNLGQVSLMRWSDSTAGWVARWTFPSDCKSGGFFCGDFGACNTTTGGCECVGGFTPSYSDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDKLQGLPYSPQDEAVTTDEDCRAACLNKCYCIAYSTESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLRSSRGLTTRWIVLLVVGSLAVASAMLALVLLCRYRRELCVSSKFEVEGSLIVYSYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSATAVAVKNLKAVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNRNRMLLVYEYMSNGSLDAHIFSEKSSLLSWPVRYQIAIGIARGLAYLHEECEDCIIHCDIKPENILLDGEFCPKIADFGMAKLLGREFNSALTTVRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTERMKFGSHRYFPTYAAVQVNEGDVLCLLDSRLEGNADVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLVEGEDSGLYSEESWHLRTQD >OB01G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5287271:5289676:1 gene:OB01G17980 transcript:OB01G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KXU2] MACSSLCKLWLPIESASVKPASSPSARARTVLLAVLFLLLGGGGDGEVLAAAASTDTILPGESITGDQTLVSKNGEFELGFFNPGVGIHYFLGVRLRNLASYSPTFWIGDRVYVVDLPRAALELFGDSLYIKEAGASLWWSTVAGNDSSGAAAAAVAVLLDTGDLVVRDQRNSSRVLWRSFDYPGDALLPGGRLGLDAATGTNVSLTFEGFSHNGSLRADASRKNGFVLTTDRRDRRGTFPDWIVTSQDNGSSLVLNHPGTPNSTEFLQLKVGQVSLMRWSDADTGWVPRWAFPSGCKSGGFFCGNFGACTADGECRCVDGFKPSDPKEWGLGYFVTGCSRSLPLSCDANGQTEHGDSFAILDKLHGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSIESGCKLWYYNLYNLSSADKPPYSKIYVRLGSKLKSNKGLATRWIVLLVVGSLAVASAILALVLLCRYRRDLFGSGKFAVEGSLVVYSYAQIKKATGNFSDKLGEGGFGSVFRGTLPGSTTAVAVKNLKGLGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVKGNRKLLVYEYMPNGSLDAHIFSEKSSLLSWQVRYWIALGIARGLAYLHEECKDCIIHCDIKPENILLDGEFHPKIADFGMAKLLGRGFNAALTTIRGTRGYLAPEWIYGQPITKKADVYSFGIVLLEIISGRRSTVAMKFGSHRYFPSYAAAQVNEGDVLCLLDSRLKGDANVEELDITCRVALWCIQDGESDRPSMGQVVRMLEGVVDTEIPPIPASFQNLMDGGDSDVYSEETWRVRTHD >OB01G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5282177:5282392:1 gene:OB01G17970 transcript:OB01G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKTTMVGVSGESTTTPPPTPCVLERKRNITGPNNQHVNHPTNSLLLVERKKSNVGPKGPHVNNKYIKK >OB01G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5279129:5281453:-1 gene:OB01G17960 transcript:OB01G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KXU0] MNGKHSLSVLSAAMILSHYCSAFFAAMILNMIILFVGCHSASASDTLFPGQSLSGSETLVSENGIFELGFFSPGGTKHYLGIRYKNIISNNPVNFWLGNRIPITNFLNATLYINASELYIEELGSVLWTSNSVGNGSGSGTAVAVLLNTGNFVVRDRLNSSVVRWQSFDHPADAMLPGGWLGLDLAIGSNILLTLQKPPYNCTLMIDQSRKRGFIMFIDGHDYLGTFPDWMVTYKENGSLLQLNDPEIPNDIEFMKLHLGQISFLRWLDNASISGWQPLWSYPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFVSGCARISPLNCQGVVSTDSFVLLDNLQGLPDNPQYVMAATSEECRAACLSECYCAAYSYHSDCKIWYNMLLNLTSSDNPPYTKIYMRIGPQSKSRSNILVFILTFGLIAVALIVLMLLLIYKRSSCVSRQTKMEGFLAVYSYAQLKKATRNFSDKLGEGSFGSVFKGTVAGSTIVAVKKLKGLGHSEKQFHTEVRTVGMIRHTNLVRLLGFCIGRTKRLLVYEYMPNGSLDSHLFSESSIVLSWNLRYQIVIAIARGLAYLHDECRDCIIHCDIKPENILLDAELYPKIADFGMAKLLGREFSTALTTIRGTIGYLAPEWISGQAITHKADVYSFGVMLFEIISGRRTTEKMRYGNHRYFPLYAAAKVNEGDVLCLLDDRLEGNVSVEELDVACRVACWCIQDDEIHRPSMRQVIHMLEGIVPVECPPIPASFQNLMEGYDSDIYSVEV >OB01G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5268396:5271828:-1 gene:OB01G17950 transcript:OB01G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLPLCLAAFLLLLMMGAAEPDAAFLLLLMLVMMGAAEPDADRAALLDFLSWVGGGRGRINWASSPRVCGNWAGVTCSGDGSRVVALRLPGLGRLRPGXXXPPPRVCGNWTGVTCSGDGSRVVALRLPGLGLSGPVPAGTLGRLTALQVLSLRANNLSGPFPEELLSLAALTGLHLQLNAFSGALPPRLAALRSLQVLDLSFNGFNGSLPAALSNLTQLVALNLSNNSLSGRIPDLCLPALQFLNLSNNHLDGTVPRSLLRFNDASFAGNNVTRSAPASPVDTPPSLSPPAASSPAKGRLRLSQAAILAIIVGGCVAVSAVIAVFLIVFCNRSDGSEEVSQVVSGKSAEKKGRASPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNIFLNSHQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFVLELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMTCVSRTPERRPKMPDVVRMIEEVRRIDTGTRTSTEASTPVVDVQNMAESSSAAP >OB01G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5252199:5256707:-1 gene:OB01G17940 transcript:OB01G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSLLPAMAAVALHFLLLSPAAVEPRAVSTAPHRRYDAIFSFGDSFADTGNNPVAFDWYDIPDPVTRPPYGITFFDGLPTGRNCDGRLIIDFIAQNLSLPLVPPYLSHNGSFRQGANFAVGGATTLEPSFFHADDPPGTSQLFPLNTSLSVQLSWFDSLKPSLCSTPQDRSTVPRVRPRHDPTVGPGRRASALWPTILSPARLALEVYKGFFQKLIGDGATTVVVPGMIPSGCSPPILVVFADADAAEYDAATGCLREPNEIATLHNSLLLDAVEELRDKYPDVAIVHTELFDHVSEMVRSPVKFGFRKDILSVCCGGPGRYNYNTQVFCGDEGATTCKDPSKSLYWDGVHLTEAAYHYIADDWLRAIT >OB01G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5249245:5249484:-1 gene:OB01G17930 transcript:OB01G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFWPYAISLLLSSPSLEAAAGGGALSSRRYDSIFSFGDSLADTGNNPVVFGWYSIFDPVTRPPYGSTFFGRPTGRHSG >OB01G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5237518:5239263:-1 gene:OB01G17920 transcript:OB01G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFWPYAISLLLSSPSLEAAAGGGALSSRRYDSIFSFGDSLADTGNNPVVFGWYSIFDPVTRPPYGSTFFGRPTGRNCDGRLILDFVAERLGVPFLPPFLAHNGSFRRGANFAVGGATALNSGFFHAGDPPDASPLPLNTSLGVQVGWFESLKPSLCNTTLECRDFFRRSLFFVGEFGYNDYFFSLRKKSIQEIRLLVPDIIKTISIAIEMLIKHGAKDLVVPGMIPSGCAPPVLAIFAGQAGPDEYEPATGCLKAQNELAVHHNSLLQESLQGLRDRHPDASIVYADFFSPVMAMVRSPGKFGFEDDVLTICCGGPGSAFCGDQGAVTCEDPSARLFWDGVHLTEAGYRYVAEDWLSIIMDSPGNKII >OB01G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5233578:5236560:1 gene:OB01G17910 transcript:OB01G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTASVLVCRRRRGGVRRWPDARSQFTRHLAVPSPLLLPAPASLACGRMCRGSVPASAGLAGRTKPRCSMKWLDGVACVSGDRPDYFPGHPLVLIKLAYANNTTDTLLQGQSLHGNQSLVSKDGSFRLGFSWSSNSFGIWFTNNSRCSMFQVWEPDNSIMDPHSASFTLSESGTLQLLNNDSLLWSTHYVKKTSISVVLVLLGSGNLVVRDKANDSMVFWQSFDYPTDTLLPGGGLGFNKITGKNITLISRYTYSTLELDPRKSRGFMIDSIDSFPDSPQSLTVRSTKRVKLLARVIAHLWNTKVLPFGSAGKHMHLRVGKQQETSLKTSLSSVIVVGLGLLWRFHRKLFTARSICASSGLMVFSYAQVKTATKDFSEKLGEGGFGSVFRGEWPDCSVMAVKKLKCVVQVEKQFRTEVQTIGMIQHINLVRLLGFCAEEGKRLLVYEFVPNGSLSSHLFSDSSEKLCWKLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITQKVWMFTATA >OB01G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5231784:5233154:1 gene:OB01G17900 transcript:OB01G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCERGVSTHPETAFYTIDGVYKYPQNSWPSDARSMKECEASCTRDCTCTAFAYNTTCLLWFVELRNTVILDSGSDGNRLYMRIATNQQQNSGSRAAPQFPNQKKVVVLSVMGMLAIIAIILIFLWRFRIKLLAAKPMFENGSLMVFSFAQTKNSTKNFSEKLGEGSFGSVFKGTNLGSMTVAVKKLKDLRQGEKQFRAEVQTVGMIKHTNLVRLLGFCADESNRLLVYEYFTNGSLNSHLFSGSSTKLSWELRYQIALGIARGLAYLHEECKDCIVHCDIKPDNILLDAELCPKIADFGMAKLIGRDFSRVLTTMRGTIGYLAPEWISGLPITHKADVYSYGMVLLEIISGRRNSEKINEGKHTYFPCYAACKVNEGNVMCLLDSRLEGNADDEQLERACRIACWCIQDAEDQRPTMGQIVLMLEDAIDVLVPPIPRSLQNFVGLEYDSTHSAEL >OB01G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5221699:5227520:-1 gene:OB01G17890 transcript:OB01G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hAT transposon superfamily [Source:Projected from Arabidopsis thaliana (AT1G79740) TAIR;Acc:AT1G79740] MRERDVCWEYCDKMDGNKVRCRFCYKILNGGISRLKFHLSQISSKGVNPCTKVKPDVIEKVKAVIAAKEEHRESQILKRQRDTELSVRDVRPRRIRDLPSQPPSPERATSPAITSASDHTQFLALEVSAPAQKLSAAANKACSAPASEAERCIAEFFFENKLDYSIADSVSYRHMLEALGGQGFRGPSAEVLKTKWLHKLKSEISQKTTEIEKDWATTGCTILADSWTDNKSKALINFSVSSPLGTFFLKTVDASPHIKSHQLYELFDDVIREVGPDHVVQIITDRNINYGSVDKLIMQNYNTIFWSPCASSCVNSMLDDFSKIDWVNRCICQAQTITRFIYNNKWVLDLTRKCIAGQELVYSGITKSVSDFLTLQSLLRHRPKLKQMFHSSDYASSSYANRSLSISCVETLDDDEFWRAVEEIAAVSEPLLRVMRDVSGGKAAIGYIYESMTKVMDSIRTYYIMDEGKCKSFLDIVEQKWQVELHSPLHSAAAFLNPSIQYNPEVKFFTSIKEEFYIVLDKVLTVPDQRQGITVELHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQHAAVRIVSQVCSTLTFQRDWSIILRNHSEKRNKLDKEALADQAYVHYNFTLHADSKTKKGDGDPIALDAIDMTSPWVEDSDNPNLAQWLERFPSALDGDLNTRQFGGSIFGTNDTLFGL >OB01G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5221699:5222625:-1 gene:OB01G17880 transcript:OB01G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRPEITVVPAAGGGGAVDAVKAGSREPVSPGSPSPAGGAATARTCRSKESPGRSAASAVSLPVWKLDELCQESCTSPAAMRARFPYF >OB01G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5217430:5221146:-1 gene:OB01G17870 transcript:OB01G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQLVEAEKKRIKQEYERKEKQNDIEKKIEYSKQVKDSLIEVLRAQDDLVKSMLEATGKELLYISRDHHAYKNLLRILIVQSLLRLKESAVILRCRKEDRELVESVLESAKNEYAGRANVYPPEIVLDRNVYLPSGPSHYEAHGPSCSGGVVIASRDGKIVYENTLDARLEVVFRKKLPEIRRSFFGQIAA >OB01G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5210611:5215220:-1 gene:OB01G17860 transcript:OB01G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGAGEPRRVVLLVDVDPLLPFPKATTPAAQSASPYLAAVLPAAASLLAASSSPASLSAARLFFSSLSPILSSSLLPRPLPAAPTPLSFHLHTETLNSLAPLRRLALPACAHPHVTPSSSIAKSILQLEHDYPWDADPEQGRRGRLRVFEQTPNLVVLFTAAAEFEEFGGESNFAGRFRGVFRPVRDRLAARGVQVCWGAVSACGGGVGRAVTELGWWFTTADAVTLGSAVATPGLVWGGLGLGDEESGRRGEVVLEIADVEGKPLVCKGCEVEVIGSTPWLLGAGSVFKIHVKAVCEVDSWEQLMAGDGDVAMVRGCFREAGKSDGEEAVEKEFFPQQILELVLGDEKDKLGRGKPIWQLILVFLHRKNYCAMVSVSDGDGNTIDGVIVPVSMNSALLHVSKSGAGFGQVVAKGPQLLDSCMSDTSKEQSARKKKNRLINKLFEATTWSSFCDVLLKHADGSMPVVDLEDLYFSRYGATSKKLRFLKCWMKQVKRQCLSTLSSTVAITEEEKYLSSKDETEAKNPFSEEDASAPLVNFSVDEVDCDKEDKPLDEINCNKVEKPMYVDTSEFSSMEDLEAFLGSVPQKIEQSVCSEDADLGSLAGRLVGLSVHALMIKNGKISVRYSDRGEMEDVSDGKIASEASSILLRKPKELVAKYKDSNSACATSQQTPKYSTTYKIREHELQILLRMEIMKSELGTGIEEGSKQKMIKEICSLLQFIDINLQGDSFQSNGILEFAEKTIKIRYIDSMEDVIKKIYTEMEFDLFDDEVECSDSLPSSSNHEVDGSNSRGSHHRSNSAPDLPRHDHASGGRSRHEERLAKAEERRNRERRLSSFTSWVPDLRRVWALKHPGKEPPVPPQSRQGSKRRKRRRAACTAGVGGPPVTGGGRRPQPPALTPGGAGSLAAVSKALFHDDEAADVSSSSVWRLYLHDVKGAKGCMDVKKSHVPDRILGLECVLHREKYITPVTCVSSMRQFLVLHLATKFVCDWVFGALAGSLPEQIFRVPRL >OB01G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5209911:5210078:1 gene:OB01G17850 transcript:OB01G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFNSSSICDCEEEEEEEEEEEEEEEEEELPLIQYRRGSGRMQLPVHGYLWSAW >OB01G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5205418:5205657:1 gene:OB01G17840 transcript:OB01G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGLTKVAAMVASESSKAACHGHWVEGETLEEVYLLLGDAGGARRLHELAGDGEVCDNPNGGVKNWREKSSGAFFPS >OB01G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5198442:5198885:-1 gene:OB01G17830 transcript:OB01G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVAGDDVRRGLLLTRAWATTTTASAGLGIGAFFRAANGSRPPHHASGRGEAAASSDRGEVAASSSRGEATMSPRKGGDGVVPWMGGGVVVSGGEATSVSGGGGQWWRGQGRGRRCPVEEGGAVRWYAAVASWRLGEEVMLRRAYV >OB01G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5193843:5194043:-1 gene:OB01G17820 transcript:OB01G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLQAIHTANGFIHPRRRKLIGRRGVRAGASASFSRPGKIRAPRQNFVRNHDEDMHRMKPLPHLA >OB01G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5183712:5184026:1 gene:OB01G17810 transcript:OB01G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDHTQIISSRKKKREMELKLKVAKKRRNPDRIQQEQARYSYCPESHITQSQIAVLSERTPMCDVRLSFWKRTEVLKLSKSRICSPKGACDGKYKNLGPPLLD >OB01G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5178185:5178460:1 gene:OB01G17800 transcript:OB01G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSLLLIIYFLFTNISFDNHPIKTELVLLQKRNHFSHQLPFADNQNVLIQAYSKAQNSIDASVLSHCKQRKTPSEVRLVAVTKPQSTDH >OB01G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5175737:5175892:1 gene:OB01G17790 transcript:OB01G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAREKRGNMCLGRWGTNGALVPYLTPCLLRLKRSIQFEGVITHKVEILYE >OB01G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5163378:5163847:-1 gene:OB01G17780 transcript:OB01G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGHSYNLMDVRRRRIVNVETASGRRFSVREAGAAPFFHANMYRHLQVKQVHDENSMARERRAAELSPDSKEKALSLLGDTADGKYPIYMTGPTLYTLCTVLVDLDEETMTIYKGNPMNRDAVRVFRML >OB01G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5152298:5163654:1 gene:OB01G17770 transcript:OB01G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREQQNSILWSSTARRQNADRPRRAPAVAVAAELVVRNGRCPPPARARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPAPPPAPPPAARYVLRRGSTGDRAQRRRGYDMGVPCLVQVVRCLPQRGPEKLAARGRGASDSDDEKGPEPASRRGRGGSAWPLDASCGYAFAAVAGVLMLQGTQQALAGTQFAGLQPADVLGDLGDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGGVIFLGTFGALAVMTIVSVVLGRAFHYVDGIIPFSFGGTDFPVDDFLAVCLLVYYGVTTLIDAASGDEEKMNEEQEEAELAVSKFSGNGAGIMSAASTVASTFVLVFIAEWGDKSFFSTIALAAASSPLGVIAGSLAGHAVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAVTLAEIVSS >OB01G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5148582:5152263:1 gene:OB01G17760 transcript:OB01G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICQLTPKDVVPEGAKKPISKGLSPRKWLRDVWEFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVLAGVFAIVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVQYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHKELVNNFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSSKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEISDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNHAKPQLKISRVVSFKNQSGEPECKTMESTTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPKMRALESTTALSLATFTSLLIEFVARLDHLVEAAEKLATMARFKQQTAN >OB01G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5141431:5143780:1 gene:OB01G17750 transcript:OB01G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYRRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWESHGRFDGASEYKLDKNGKIHEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFEISSQSLSVAPCYLRLAWARCYLQLCHMLSLANLGEG >OB01G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5137833:5138075:1 gene:OB01G17740 transcript:OB01G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGQKAAGCGGRQFQFQMPLHYPRYRREEYERMPEWQLDRLLSEYGLPVDGTLPHKRAFAIGAFLWATNNNAAAQT >OB01G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5128964:5133312:-1 gene:OB01G17730 transcript:OB01G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIAFLEARVSSLEAENARLRGAMAGEGEPAGRAGNGNTPTSSSRRFGGGNAQGEAEVAGGRVAARDVVEVSDSDEEAEAEAEKGRAAEEGTGAVPIPRKRAALRVVTGESGDEDEVEDAEAGGGDDGGDQGSVSCGDNAGLEDDDVSATPHGRKRAAALVVTSDSEDEVGSWGGRRRGKDDNRKRALRGGRDDEDDDEGEGVTLSRKRALRGDSDSENEDGGDGAHVVATGIESDDDMIPIREVVKKMRKERVSKGGGELGETEGCSTPTTRRSARLAKGRSKRPQSARRALNFVEPKDCQESECDSDEDDDINEFIINDSDCSENSAEPEDSDASAPTEGSSSELEESDNEIDYKDVMACIGRKRNTKEWKFEAEMLSAFAENPELCLKAVCALYRKQTEDEQRSKSTIVHNKQGFNQFDALRGSSIAEFLLDGDTFGLLKKTVHDLEEYDPCALEFCHKLAARYSKQLFSIYQNKEDPNFHP >OB01G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5110683:5119900:-1 gene:OB01G17720 transcript:OB01G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLVAREMLGQQYTKIPSSLASEHLKAFLKDGKLSLEHIDRFRLHNGRYYHASLVSWLLLGVSKCGEMLEKKATRGDGFSGEEVIAEFERLTRDAAIVQRDTLRRILAENAGVEYLRGLGLAGRTDPDSFRACVPLATHVDLERYIERIADGDTSPVLTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRTSYAFRNREFPVENGKALQFIYSSRQFTTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLCGLLFAGDVQIVSATFAHSVVLAFQTFERVWEELCADIRRGEVSPARVTAPAVRQAMSSLLAAPNPGLADEVARKCAGLSNWYGVIPALWPNAKYVYGIMTGSMEHYVKKLRHYAGGLPLGAAEYGASEGWIGANVEPGTPPECATFTVLPDIGYFEFIPLKATSTAAGCYAEAAPVGLTEVAVGELYEVVMTTFAGLYRYRLGDVVKVAGFHNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDSAARAALAGEKLEVVDYTSHADMSSDPGHYVIFLELNTDAGAVDGEVLQACCDELDRAFVDAGYVGSRKTGAIGALELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVYRSNSGVLHILAGSTTNVFFSTAYD >OB01G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5105768:5106373:-1 gene:OB01G17710 transcript:OB01G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELAKQTLSMITSLGCDRGDDGLEDLETLVLGHDLLVQRPHLRLVQRLPSRRGAQPGVGLRRAPLRVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASASACTAPTRPTPSMTTAATTLSYLRARPTRPPVNSLLSPESF >OB01G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5101685:5103774:-1 gene:OB01G17700 transcript:OB01G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3KXR4] MVSNAVRVAVGILGNAASMLLYAAPILTFRRVVKKGSVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENSTVSSINGLGILLEITFISIYTWFAPKEKKKFVLQMVLPVITFFGLTAVFSSFLFHKHGMRKVFVGSIGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFISSPNFIGCPMGILQLVLYCIYRKSHEEAEKLHDIDQEMGLKVVTTQKKITGRETEVQRD >OB01G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5101209:5101496:-1 gene:OB01G17690 transcript:OB01G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLSLGERRNGANVVGAVLCNDGSNDVGANKKVHLYNKFFKGLFIKISFSKKIKMSKLQEILPTRIEYWNFKVLKGSFERKLTSNMNFLIKCV >OB01G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5087182:5087789:-1 gene:OB01G17680 transcript:OB01G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHQEVYFVFMNFDPVYERLRADRSVQGGVGHAGRLPEPQARQAALQAPAAGDLPEEVVARHRRWLRRRDHRCSGEHPEVGEGGEGGGEEPGACLMPSQIDRDYYYYTYIIHMCVPISSQLDA >OB01G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5081421:5082977:-1 gene:OB01G17670 transcript:OB01G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAAVALAAAVCLLLVPDAVDREAAAEPLRLTKPVADADLTTTITTTTVLAVPEEEGRGRPAARRGGQLSSLLCLVFRCEEPSAVAVRTSGDSQDDGWWPRPAWTKGGDDDESDSDSDCESDSDDDDEGGVVGWFRSLAHRF >OB01G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5078033:5081786:1 gene:OB01G17660 transcript:OB01G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT3G18760) TAIR;Acc:AT3G18760] MPLYDCMLLVKPLVTKEAMAELAARVARRAYQRNGVVTEVKSFGSINLAYGIKKLDGRHFKGQLMQLTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGVEFINEDDRKHELSYFRFRTKDDSSDADEYDADSDDYEYEVDEEVTE >OB01G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5062379:5062690:1 gene:OB01G17650 transcript:OB01G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKCTPPPPPTSHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHYSRPANELGGQQPTSNTMGRTVNRPP >OB01G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5062286:5062864:-1 gene:OB01G17640 transcript:OB01G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAFRGPLYVGIIHDGLSREAMTERLFIGAAYMAMRDRLVFTWHGRLNVSDITKGSSWGTIDCSSHGVRGGLLTTELISWSRVVWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGGGGGGGGTLLRAHARLGIKQCNNFVMTSRPHYNVAVPCKYKTN >OB01G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5056350:5060792:-1 gene:OB01G17630 transcript:OB01G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQDIQSPTELQSSAQGTNEVQSNQPNLMATDAPGGDSGSLSVASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMNKYPAPPNVPLAPMQNGMHHMPVNNLPMGYPVLQQPMMPAPGQPQLDSMACSLSSGHVVNGIPATGGYHPIRMNSGNDMVVDNGAPETAHAGNTCSAMSSEMAVSPSSAASSNHAPFTPSDIPGMTMDTSALDSTFVSDVGNTGQLQLGPDGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENHAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >OB01G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5041342:5045149:-1 gene:OB01G17620 transcript:OB01G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3KXQ6] MATRLLELVLVLVAAMAQVARGGGGGGHDYGKALSKSILYYEAQRSGVLPQSQRIAWRASSGLADGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVLEYGEQMAAAGELGHAVEAIKWGTDYFVKAHPEPNVLYAEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQNPGSDLAGETAAAMAGASLVFRTSNPGYANQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYLATDDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGKASEHAGVMQSYQQKVEFFVCSCLGKGSGNNVERTPGGMLYHQKWNNIQFVTSASFLLAVYSDHLAGAGAAVRCSAGPTQPSELLAFARSQVDYILGSNPRATSYMVGYGATYPRQAHHRGSSIVSIKDSPSFVGCRDGYASWYGRQGSNPNLLDGAVVGGPDEHDDFADERNNYQQTEAATYNNAPLMGVLARLAAGHGSRARLGQSLKDGIVANHTSLPPLSHAAASPVEIEQKATRSWTKNGKRYHRYSVTVTNRSPAKTVEELHIGIGKLYGPVWGVEKARYGYVLPSWLPSLPAGKSAAFVYVHAAPPADVWVTGYKLV >OB01G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5030695:5033463:-1 gene:OB01G17610 transcript:OB01G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3KXQ5] MARGRAASACASSSSSSMANLLRLAVVLAIAATVRVARGGGGGGHDYRKALSKSILYFEAQRSGVLPRNQRIAWRANSGLADGKANGVDLVGGYYDAGDNVKFGFPMAFTVTMMAWSVLEYGRQMAAAGELGHAMEAVRWGADYFVKAHPEPDVLYAEVGDGDSDHSCWQRPEDMTTSRQAYRLDHQHPGSDLAGETAAALAAASLVFRRSSPSYADNLLQHSKQLFDFADKYRGKYDDSIPVAKSFYGSVNGYGDELLWASAWLYQATDDRHYLDYLANNGDALGGTGWGTNEFGWDVKYPGVQVLAAKFLLQGKAGQHAAVLARYQQKADVFACSCLGKVGGGGDVARTPGGLLYKRSWNNLQFVTGASFLLAVYSDHLRRGRQATVRCSAEPARPSELLALARSQVDYILGSNPRGMSYMVGYGERYPQHAHHRGASIVSVKANPAFVSCKDGYVSWFGRPGGNPNLLDGAVVGGPHERDDFADERNNYQQTEVATYNNAPLMGVLARLAGGCGGGRGSRLGGHQSPQEYNAGVRLAAADDDASSPVVVIEQNATRSWTRDGRMYHRYSVTVTNRSPAKTAEEVHIGVGKLHGPVWGLERSRYGYVLPSTLPAGKTAAFAYTHAAPPADVWVIGYKLV >OB01G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5030088:5030339:1 gene:OB01G17600 transcript:OB01G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGGGFPSVDFGRASALPAACGLSISFTIAPNMDCDQYVPLPPDQLIPTPNSIQLTRVLRTLALSQGYGGAESVSKSTSVCVLS >OB01G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5022116:5022304:1 gene:OB01G17590 transcript:OB01G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRFNPGLISGTWIIEVFFLIQQIKVYLVSGPQSTMLATHTHTYTHYSRRMVISASLFKIELN >OB01G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5020385:5020888:1 gene:OB01G17580 transcript:OB01G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQGRTTLPAAMNPKQRFYSLKLLVKALHKMKKKMMKPCSSSSSKGDKIGGSKSSSPAPTTTSGAASATAAAGGGAAAAGMGSKVLTKEEAARLLSLTVGAGAGAGRKTAAQIVAEIKRMEARRAFASTAAAWRPALESIPEEHHHSPPRRGLDVQAAAA >OB01G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5016344:5017830:1 gene:OB01G17570 transcript:OB01G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEVAKYLFGVELARLSSTCRWFRRLLADESIWRYAFLRDLSLLPSSADRYPPRPLHRSWRLLYTAAFNGAHSFWFRRSTRHLGAYRIGGFLLESPYMLLTAMLAVPRWLPPEEDGPQIAIEMTGACMLPNARPGIWIADFHLVRCPNCTINKCAGVLQVMDARHCELFLEQGFWNGTWEYEDLGDHYNDEETPTAACAIFNASIHAHASISSVLSSKSWVRRCDDPQPKAHCRRHAVALNSNLLSNSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >OB01G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5008993:5012213:1 gene:OB01G17560 transcript:OB01G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G24170) TAIR;Acc:AT5G24170] MDALTRLHRSLAGGDDEEQPEDSILGDTEGICSLSPVQRVYGFAACLVAGLALMILSLLVFIRPIKFAVMFTFGNILAVGSTAFLMGPSQQLRMMLDPVRVYATAIYGGFVVLALIFALWIHSKVLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTEL >OB01G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:5008116:5008418:1 gene:OB01G17550 transcript:OB01G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPVEGKLETRAARMRGGVSAARGVATLAPPGSMVDGLGAAVMAECEMRGKAASMVLTWPEGARPVEFGVMRRVAAELGVDPAQAAVGRVSGRAELDALYT >OB01G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4997253:5001304:-1 gene:OB01G17540 transcript:OB01G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPAKRRRERVVPSRFRDSVVAVPTAARKGRPAGAVDGELYDLEAPEGEPSVAACAGGDEHPAPTEEELYWACRNIRRSSTSGCSSGSVVTTALSNGGGNGWRKPRRGGGDRKEDLCCPCRPEGKATVVECKRKSEGGEKKDDFYWPEDFALGDVVWARAGKKCPAWPALVIDPLQHAPAVVLNSCIPGALCVMFFGYSYSGLRDYGWVKQGMIYPFMEYLDRFQGQPLHKIKASRFSEAIEEAFLAERGSFELEMDGLQEGTGSNNEQECQLEALVFGKSPGCDSCGNRLLCKIANKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECEIWVHVECDQTCINMEDLENADYFCPDCKSKR >OB01G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4987233:4995809:-1 gene:OB01G17530 transcript:OB01G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KXP7] MLASRIPLKRCTAAAVPGEVEVEVEVEEPPAAGGDGGGSGMVPAKRRRERVVPSRFRDSVVARPPPAKKGRPAPLPARKAEAAGGGGDGEVYNVEVQAAEPKGADFGAVETVVWTGDEQPVQTEEELYRACRNISRCSSSSGGFSGSVVTSLSNASRNGAQEGKSVVVECKPKREAGEKKEDFYWPEDFVLGDVVWAKSGKKCPAWPALVIDPLRHAPAVVLNSCIPGALCVMFFGYSSSGHGRDYGWVKQGMIFPFVDYLDRFQGQALYKLKASRFRESIEEAFLAERGFLELQMDVECSLEKSVNDQSIPDGLQEGTSSNNEQECQSEAQVIGKSPGCCDSCGNHLASKIAKKKKQVGEQLLCRHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDRTCINMEDLENAEYFCPECKSKRKSVSPVEQMSTPNSSECASTSKEKLPEMIPVFCFGMDAMYLPKKHMILCQCISCKERLMSLSEWERHTGSRKKNWKMSVKLKSNGDPLVTLLEDIPCANLKSSIPAINKEELLKLLANSYSPICARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGVRDIQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDLDQLWVHVTCAWFQPKVSFPVDETMEPAMGILNIPSEYFKKTCVICKQMHGACTQCHKCSTYYHAMCASRAGYRMELQSSEKNGRNITRMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLPGNNEKQTGARLVRKENIQEKVFPAKISDCPAARCLAHEMLKNKKEPGWAIAHRIMGPRHHSQESIEVLNACMDQKDEKSFSTFKERLQHLQKTENKRVCCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVVDATEKGNIARLINHSCMPNCYARIMSVGDEKSQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCKAPNCRGYMN >OB01G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4979996:4983842:-1 gene:OB01G17520 transcript:OB01G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G54350) TAIR;Acc:AT1G54350] MTSYYMKRYFKNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSMTLFNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNNSSLLPKRDSIDGINIIFKSTGPSVQSSNGSPTQSDPCVVLEICNLTLLTPRSGNTLITDLTMELKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMELKTSNSGPDEPSNIQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDVHHSSNNDAQNKDPLTFLSEASTSDGVGSKPEMPTTDELIRVLEAVKLGYILPRFNGLDSMNDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDMNESHLYSQIEAAGITYISIGHRKTLRKFHNKVLYISNADSMDSNTRNWDLKPTEQMSTEESSSFAS >OB01G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4973816:4979410:1 gene:OB01G17510 transcript:OB01G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRGDPLVLGRVVGDVVDPFVRRVALRVVYGAREVTNGCELRSSAVADQPRVAVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFEQLGRQTVYAPGWRQNFSTRDFAELYNLGLPTAAVYFNCQRESGTGGRRM >OB01G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4949914:4954535:1 gene:OB01G17500 transcript:OB01G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRPPPPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLTHSSKLPTPSSSSGSSGSVSRAPASALASRRSHSGEIPLPSEGPPRGSRPGHRRTGSGPLIFTSGSSSCSSSATSPLTNALPAGNICPSGRLAKPLSSCSAAAPPPPPPPRAPRHDVLGSGTANYGHGSIVRSRSGGVVVAEEDAVVRRAMSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHHRLASLHIRLGHIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKAGDWKNVLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLSISSASKLDYSSSCASDNKFCGFLANAYLFYVHAQVDVALGRFDHAVSSMDNARIIDPGNSEIITMHNNVKAVARARSLGNELFNSGKVSEACLAYGEGLKHHPVNPVLYCNRAACRFKLGQWDKSIEDCNEALKIQPNYPKALLRRAASYGKMERWAESVKDYEILRKELPGDTEVAEAYFHAQVALKSSRGEEISNMKFGGEVEAITGMEQFQMATSLPGVSVVHFMTPLSQQCCKISLFVNALCTRYPSINFLKVDINECPVVARAENVRTVPTFKIYKNGIRVKEMICPSQQLLEYSVRHYGI >OB01G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4949802:4950224:-1 gene:OB01G17490 transcript:OB01G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPEPVRRCPGRDPRGGPSEGSGISPEWLLREARAEAGALDTEPEEPEDELGVGSFDECVSGDTGDSLPGGSVSGLSVDDSSTAAAKASDSVSGIGGGGRCDIPPSTSRGWLAAAAATGSRPPLPHGTLRPRWGGSGIS >OB01G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4927526:4928478:1 gene:OB01G17480 transcript:OB01G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPFGDAAAAGRLYDFQGYHGGGHGPYGVRQPQPGRASASSVFFDDGETDGMAAAAAADMDMPRKDCGGDRDEKAAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMDKAALLAEVVSHVRKLKSAAARVGRCSPVPSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXR >OB01G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4926641:4927603:-1 gene:OB01G17470 transcript:OB01G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVPLEIVQPTGGGGVTEGSRAHPVVAVQAGCHYGDDPQGKRTCRSKAEIPNKASTIQEDRTRSARANDQSRPGKRLLHPRNLQGISVPRTPETSLSPPRMSQMFRPQREDGSKAKPSPRTCKIQTRTRASGKKQAGRVADSSNTKALLFSQDRLNKRQGRRSRNFQPDHKKSRAMSLLPVRAKQWSDRDKQEAGGHKDRSFCGLSGCSRTQEKLQTPRRERRDERGGGSRRAQVPGGRFGGHDQKGSVTRRSFLCSAEPTSLPPVQNLGVRRCASITVFGELLFLQQRRLVQGTFHPGASLLYERDHLLFFSLSDR >OB01G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4919977:4922847:1 gene:OB01G17460 transcript:OB01G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATADVLNRAGARVTVATVDPAGDHGLLVEAAFGVKLVADARVADLEGEAFDLIALPGGMPGSANLRDCNVLEKMVKKQADQGGLYAAICAAPAVTLAHWGLLKGLKATCYPSLMDKFNAEIIPVDSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGADYVIDEYNSVEWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQIVTRRHKFNLIADIMVEEAATREFDLIVMPGGLPGAQKLSSTKVLIDLLKKQADSNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAYLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVHKLFGREKAVSIAKELIFM >OB01G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4911822:4918003:1 gene:OB01G17450 transcript:OB01G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPAKKVLVPIVAGTEPVEATVPIDVLRRAGAHVTVASADGFGGLVVEAMYGGRIVAAALVAPAAADDVDDSAAARFDLIVLPGGVPGAANLGGCAALEAVVRRHAATGGLYSAICAAPPLALASWGLLDGRKATAHPLFVDKFPPEVAAVDASVVVDGSAVTSRGPATSSEFALALVEQLYGKGKAEQIAEEMLVKYEAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIVDVLRRAEAADVVVASAEDAAEVVARHGMRIVADTTLDEAAAAAGQTSFDLIILPGGTPGAKTMSSNEKLVALLKKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADGAGEGEGEGSRVVVDGNVITGSSAGTAMEFAVAAVEKLLGRDVAQRVAEGLLF >OB01G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4905852:4907051:1 gene:OB01G17440 transcript:OB01G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPCLGLLFSVTCLLLLHVLPPPTMATPSPSPPPPPSSCEKSDSELRFLFSRWMAQYGKSYPCPIEHEKRYQIWKDNTKFIGAFRSETEISSGVGAFAPQTITDSFVGMNGFGDLTSGEFVQQFTGFNATGFVPPRPTPISPHSWQPCCVDWRSSGAVTGVKFQRSCASCWAFAAAAAIEGLNKIMTGELVSLSEQVMLDCDTGSNGGRGGRSDTALSLVASRGGIASEEEYPYTGVRGGCDVRKLLFSHQASLSGFRSVPPNDQRQLAGXXQPVTAYIDAGARDFQFYKGGVYRGPCSSEKVNHAVTIVGYCEGFGGDKYWIAKNSWSKDWGDHGYFYLAKDVWWPQGTCGVATSPFYPTA >OB01G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4900455:4901610:-1 gene:OB01G17430 transcript:OB01G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSRQMASAVLLVLCTFLALQAMAANAHGDGGGGDGGGGGDGVTMQGFEEWMGKFGKRYPCQGEKEYRFGVFRENVRFIRSYRPPASYNSALRINQFADLTNDEFVSTYTGAKPPCNKEAPRTVDPIWLPCCIDWRYKGAVTEVKDQGACGSCWAFAAVAAIEGLTQIRTGTLTPLSEQELDGATGKKYWVAKNSWGKTWGQQGYILLEKDVSSPHGTCGLAVSPFYPTV >OB01G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4893117:4897954:-1 gene:OB01G17420 transcript:OB01G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLKMIPSGFPALLRRKMKSKVVVYGVVLVVFLLACTAAAAAAAITISRKHQHRASCDVFAAGSWVVDESFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKIDPSTTARFEELNVTIVYYLSHYLVDIVNERAGRVLKLDAIDQGRDWLGADVLVFDSWHWWPRSGPTQPWDFIQEGNTMVKDMDRTQAFTKALNTWARWVDANLVQASTRVFFQGISPSHYRGQDWGASARATCMGETAPVNGTAAGAGAGAYPGGPIPQQAILRGVLAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGEDCTHWCVAGLPDTWNVLFYAALTGQQ >OB01G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4888910:4892716:1 gene:OB01G17410 transcript:OB01G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSPSKPVAFLLVVVLLAGLQYAQASPGRPFILSIFSFGNSYADTGNFAKVAAPLLPVIPFDNLPYGETFFGRPPGRASNGRLIMDFIADEFHLPFVPPSLGEGQNFTHGANFAVVGASALDLAFFLQNNITSVPPFNTSLSVQLEWFQKLKPTLCSTSQECREYFKTSLFFMGEFGGNDYVFLLAAGKTVEQLVPYVPKVVDAITAGVEAVIREGAVYVVVPGQLPNGCVPVILTLYASKNNGSGGGGDYDARTGCLKKHNALARYHNSVLFEAVSRLRGEYPSVKIVYADYYRPVIEFIKRPARFGFSGASSLRACCGGGGGPYNYNPTAACGLPGGVRAGTPPRPSAGTESTSRRPPTPASPPAGCTAATRIRQSSRPCARSVLGPAHLMCATWSLNLKPIYSRNILLFRFKTEISESPVRLGRLELFNRITC >OB01G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4883270:4884258:1 gene:OB01G17400 transcript:OB01G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLANKVTLLVLLLLLLLLLGCAHYAQAKISSIFSFGNSYSDTGNFVKLAAPVLPVIAFNNLPYGETFFGRPTGRASNGRLIIDIVAKEFGVPFLPPYLGQAPQNFTRGANFAVVGATALDLAFFQTNNITSVPPFNTSLTVQLDWFHTIKPTLCSTTQGCKDYFDRSLFFVGEFGGNDYVFLLAAGKTIDEVMSYAPKVVEAISAGVEVAAAHACVFFSSNFTVLLTVTV >OB01G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4878315:4879632:1 gene:OB01G17390 transcript:OB01G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVIEDGARYVVVPGQVPIGCLPIALTIWDGIHLTEAAYARIAAGWLHGPYAHPRILAAERP >OB01G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4875033:4876279:1 gene:OB01G17380 transcript:OB01G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTSSNKVIFHLLFFLLPILGCARYAQASSGHPKIEAIFSFGDSYTDTGNFVRLAAAALTVIPYNSLPYGETFFGHPTGRASNGRLVVDFIAEKFGVPFVPPYLGQVKNFTYGANFAVIGATALDLAFFQKNNITGVPPFNSSLTVQLDWFHKLKPTLCSTAQGCKEYFEKSLFFMGAFGGNDYVFLLAAGKTVDEVMSYVPKVVEAISAGVEVARLLFMSLPNPFSLKIMGNE >OB01G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4872261:4872989:1 gene:OB01G17370 transcript:OB01G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGKLAVVFFVVALHGGACSDGQPGPVGGPRHPQPLAQEPTFRGDVLRPPHRPRQRRPPRDRLHRRGPGATSRAAVAGQAAGLRRRCQLRRGGGHRPEPDVRSGTGRHRLCSDQRLAQRSAVVREIQAFPVPRRGRLLRQRSVHHGRVRGKRLRQHPLLQHDPGGSKRICPRNRRRDL >OB01G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4867783:4871244:1 gene:OB01G17360 transcript:OB01G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLAVLIAALLCCSLARLSRCGGGVGGGGGGQRVQNYTSMFSFGDSLTDTGNLVVSSPLSFSIVGKYPYGMTYFHRPTGRSSDGRLVVDFLAQAFGLPLLQPYLSRGKDVRRGVNFAVGGATAMDPPFFEEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPTVAGAVADATERLIKAGALHLVGRGTLPIGCSSAYLTLHPSGNKSDYDATGCLKTYNDFAQHHNAVLQDKLQLLRRSYPEARIMYADYYGAAMSFAQNPKQFGFRHGALRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAGYHAIANSILNGPYTSPRLL >OB01G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4866170:4866415:1 gene:OB01G17350 transcript:OB01G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAPFHTSFGVACRVSYRLYSSSWGEWCCSQVLPTQFCSDRAPNLTRQGALSEKVLCCLEVPVAELTKVLIWSLSLLL >OB01G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4859141:4861623:1 gene:OB01G17340 transcript:OB01G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMHASSNMSIKHLNKSGEIMRMRQKDLWVQILVLLSSVAYSLELDYTSIFSFGDSFSDAGNIVFIYGHRKPDLTMVKPPYGMTFFGHPTGRLSDGRLIVDFIAEALGLPLPPPSFAANRSFEQGANFATAGATALNRAFFVDNNFTVLSPFNISLGDQLGWFDDMKPSLCGAGGEPRRCECYFSNSLFFVGELGWNDYASVLFAGRGVDEARSHTPEIVRTICAGTQKLIDDGARTVVVSGVSPMGCTSGNLVRFASSSEADYEPDTGCLRKLNLLSMEHNRQLRQALAQLAGRNPGARIIYGDFYAPIAELAATPRRFGIDGEEGALRACCGSGGGRYNFDLNATCAMPGATTACEHPSAYVNWDGIHLTEAAYHHVADGWLRGPYAHPPILSSRSR >OB01G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4855673:4858817:1 gene:OB01G17330 transcript:OB01G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRIVLLVLLLPAVSSCIPGRRRRRYDSIFSFGDSFADTGNDAVVFAARSLSTPSTQPPYGMTFFGHPTGRNSNGRLILDFIAEKLGLPFVPPFLAHNGSFRRGANFAVAGATTLDASFFSDIPGVGKFVLNTSSSVQLSWFDSLKQSLCSPARECKGFFHKSLFFMGEFGVNDYSFSVFGKTLPEVRSIVPDVVKTISSATERLIKQDGAKTVVVPGIPPLGCMPPNLAMFPSADPAGYEPGTGCLRQLNEIAVYHNELLQDALRDVRISHPDVRVIYADFFTPVIRIVKSPRTFGFISDILRCCCGGGGKYNFNMSAGCGMPGATVCEYPSNHLFWDGHLTEAAYRFIANGWLNSLNGS >OB01G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4845343:4850421:1 gene:OB01G17320 transcript:OB01G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVCAVVVLVVLAGLRPPKAAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAEELGLPLLPPSKRSGGAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHTFIPDVVDSIGKGIEAKSSSSPSPSVNSPAAIYRFQFSSTACVRAWLCLQKLIEEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGGRSGCIRDLNTLSWVHNVALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFAEPPILGDRHR >OB01G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4836329:4838950:1 gene:OB01G17310 transcript:OB01G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAVVLFVAVFLLSVACRPGSSQSYNAIYNFGDSITDTGNLSPGGCPSWLTTGQPPYGNTYFGRPTGRCTDGRVIVDFLADRFGLPLLPPSKASGGDFKRGANMAIIGATTMNFDFFQSIGLGNSIWNNGPLDTQIQWFQQLMPSICGNDCKSYLGNSLFIFGEFGGNDYNAPLFGGKSMDEVKGYVPQIISKITSGVETLIGLGAVDIVVPGVLPIGCFPLYLTLYQSSSQDDYDGNGCLKSFNSLAVYHNGLLKQGLAGVQSKYPAVRLMYGDFYDQVAQMVQSPGSFGLTYGLKVCCGAGGQGSYNYNNQARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLRGPYCSPAILH >OB01G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4830762:4831541:1 gene:OB01G17300 transcript:OB01G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGGDCRPIGWLLGLPFALLAVVVSFIGAIIWIVGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >OB01G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4828237:4831145:-1 gene:OB01G17290 transcript:OB01G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSAERCMEKQNNQQGILEVNHVMTWSGALTSSTATSSSTVTHRQHGQMHEIGSLRKHKFPSPHRVNPSIPDPSHLETKKNGRRVVVTLPDDPDDGADEGDDDGEEREGQAEQPPDRPAVAAAAAHLVTGLVLPTPPPRRASATLWFVLLVPDARSNMSFRNSKAGLNELSVSLTCGAAGKREHGTQLSKLEFEWDLEYTVYIYKKSLGIILLTAVILDSQCHVLSSTISS >OB01G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4825992:4827913:1 gene:OB01G17280 transcript:OB01G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF455 [Source:Projected from Arabidopsis thaliana (AT1G06240) TAIR;Acc:AT1G06240] MCVKKLNKLRTFRPRRYYKRIRRYRRSQPDSHKPPQPTSPICELRRDAPAPALPWPGLHAWRRAPPSDLRTWGPHGPCAPPDADEAGSEGASASSSLAEMGALVLSTADPLAKARLTHAAFTRWVAGLPVGLAGAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFADFARVADDESRHFRWYSQRLTELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPLVQEARGLDAGPRLVHKLLGFGDHRSADIVNKVAEEELAHVSVGLHWFLKVCQMMGRVPDATFRDLIKEHDVVLKGPFNYSARDEAGIPREWYEEKLKHEKPSKLLEVHDRLACIVEMEKENTSLNG >OB01G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4817996:4819857:1 gene:OB01G17270 transcript:OB01G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSPSSKAFSRKSHAHGPGPNSSKAAAGGGGLSAFDAHNGTHIRTVTFSLSSSPAARRELRRRLTTELAQVRATSKRLNSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGPSNPSLSAEARRKLYAPVFKTCGTVLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFAADVRLTFQNAMRYNPKGQDVHFMAEQLMNMFEEKWPEIEAEMAQLSPQPPTPSSAAPRKPKELDNSKVLERSDSTVHAAGMEATPKQQTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAEHPMAGQDDTNHDLELEKTEHARLDEVEQDQMPSVQETLQNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENAGRSSSSGSSSSDSGSSSSDTDTDSSSADGSDAAQSPRT >OB01G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4812013:4815723:-1 gene:OB01G17260 transcript:OB01G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITELSFADGGWGAALADHFARKADVVLRGFSGYNTRWALRVLARAMEGAAAGAGGSDPAAVTVFFGANDASLPDRKQVHQHVPLEEYRSNLRAICAYFKEQWPSTKIILITPPPIYEPARIRDMYGEDDPSKLPERTNEAAGAYAQACLTVAKELNLPAIDIWTKMQQFPDWQTSALCDGLHFTLFGNKILFDCVLETLESIGFSQGSLQPDLPLFHDIDPKNPLKAFEI >OB01G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4801584:4802430:-1 gene:OB01G17250 transcript:OB01G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFALRNPAVRSYLDLPPMDTVFAPAQQPTFNLFDGSKSIPAVGSPTADSDRSNSVLSQRFSDLENRAKSRRESQD >OB01G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4787049:4788326:-1 gene:OB01G17240 transcript:OB01G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGQSHHHHGFQTQLLSFGGGVGHHHPLHQFTAQATQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAAGNAAAPPSSSTHPDSPENSDDQAQAITVAHTAFDFAGGGSGGTSFLPPSLDSDAIADTIKSFFPMGGTAGGEASSSTAGAQSSAMGFQSYTPDLLSRTGSQSQELRLSLQSLPDPMFHHHQQHRSQGHGGGNGTTQQALFSGAANYSFGSGAMWAEQAQNQRMVPWNVPDPGGGGGGGGSTGAYLFNVSQQAAHMQAAALGGQSQFFFQRGPLQSSNQPSERGWPETVEADNQMSHHQGGLSPSVSAAIGFAPGVSFSGFRLPARIQGDGGGNGDKPPPSSVSSASHH >OB01G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4773277:4773886:-1 gene:OB01G17230 transcript:OB01G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTAAPPAPRADDAWSQQQSYNFSGRVLLTAVVILFVIAVIFAVRELPNCGHVFHVECVDAWLRSRTTCPLCRAEAEVPKSRVQAAAATPTTSSSLGTGGITVVVTIHGGTNDAGGDRVARGSTVLTGQPGPS >OB01G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4765856:4767499:1 gene:OB01G17220 transcript:OB01G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARRARRTGSAYVDDERERDITFFKRRNGLFKGASDLSILTGASVAVVLEDQNRGKFHSLGTPLVQTVVDAALSRDMEPTEPFAGEQLKGRLVPLERELARLKDVAAIKEEETRASKARYNEAKKEEEDDDERDALLKKLFFSKQYNLSLDEMNELYGTLVQIQKELRVRLPPLRRRAGESSIQGSSVPPPPPPPPPPPQQQQLPQWPNLLGPHNQLLPVEPPPFVADQAPPPPPPAAGGSLWIPELPPPPPAGSPWARVLPLQPPRFSEMEPIFHASQQAPAQDNTQLAPLPPVAAPLPQHPFLLSDHAPALGPVPASVPLQMPVEAHFPLESPLFHFHETFLVPEQAQGLAPLPAPLQMPMEAHMPMEDPLFQEPFLVPDQAPVLSPLPMPLQMPVEAHMPLEAPWFQEPIIVPDQAPMLSPLPAPLQMPVDAHFPLAAEAVQQQTQGYENYDFMFDNAGLSQPLVAGAGNDGDAAMGNDNPLGYQQWAASPLYDGQIYFGTGVDDMGIIVGDHGGVLEADMVEGGHASSSGGGDDIAGGAWF >OB01G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4759010:4759693:-1 gene:OB01G17210 transcript:OB01G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLRTIHLGRRRRDRAFFLEELEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRTPLRFGLDAAAIARIPSFPYVRAAAQGGEASVAPAECAVCLNAVEEGEAVREIPLCRHMFHVECIDLWLSSRASCPVCRGKAEPADELADDDIAAARIAEEETSSDVVTPRVAISVVVPVEMLEGEMVGASSASCAASAPPEQLDVLASGHETDLERK >OB01G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4754711:4755265:-1 gene:OB01G17200 transcript:OB01G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDDATAKAGLHEQTTGCLPVDQSCFALSRLSYRGGGGRGSNPHACCSTTSYLEVLAISFASLLVILFVLCVIRCYLMRRAANRVTVVAAAAAAAAAAVVSVTKKRPPGGLGEDGIAALPKFEYRSTGDECDRWECAICLCVMADGEVARQLPRCMHLFHRGCVDKWLVGHTTCPVCRGEVVV >OB01G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4739980:4750937:-1 gene:OB01G17190 transcript:OB01G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVDVGVDAVGALISEYDGLALELVAFSIAVVVLRYAAVLYANHLFDSLSELQAAWHPSQLVLSTFSCSVPRHFDTAQTGGLCANPQNESAEPETEGGKLGRGCTIHCFSLRKRSDFDREKVNIWGQVQKKGVLTLTFSIKSLHIAQDCECFSYYCSTSNMPYRPLFVWLI >OB01G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4735658:4737079:1 gene:OB01G17180 transcript:OB01G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEFCCHILLVAVLHYNLSVHVRTYTHEPIKSQLINRLSCLLSTSILARSLTRVTVYSLNHALTVPEAAVHPEARRRRALDRWKWQCLCRGRRRVGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGGGGCRCTRWRGRRGRRGCRW >OB01G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4726263:4726999:1 gene:OB01G17170 transcript:OB01G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTRRKPCSVLCGRETIYHGKAQHPQHAERAISTGFGSLQYCSNRGTLAYGFDPSIAASAFAYRLKFKIFNLKFRG >OB01G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4709789:4711504:-1 gene:OB01G17160 transcript:OB01G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHGGSNHLQLQQQLAKYGGGGGSMAGTGVARASRKNKPKKIPQRGLGVAQLEKLRIEEQKKIEGGGGGTVLSSHALGGGGGRPPPPPPPPPPLAFSALQSRSAVDGGFSPALWSPVDPIKHAYKRSTCPQPPSPMVSTGLSLTASSRHPTEPPSNQMYRSSSSRSSVTAAAASAAAEEERETAGVDRSWPFMFEGMAAFRTTSRAPPPVVPFSTRTTTGELVGLADVCSDLSRYELRASNYFSANANYSDWTTDFAHCISSKENGRAREPAFLTLSSQPPHLIKQPHVMPSYNDFSAMASQGNDIPSSSSSQPFYSFLPVGPVRCERTLSECKVDVSEGIDLELKLGNC >OB01G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4704663:4709202:1 gene:OB01G17150 transcript:OB01G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSFPLVPLLLFLLAAAAYGRLISDGSPAAPSTSLLSSNPVSAVLRLSTSASPAAAGEKCEQSYGFLPCTTTVLGNVFLVLAYGFLMYKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQNNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKMLKTHHGQRLAVLLALIVSFLLVLAYCLYQVFQPWIQRRKLAYAKHKHVISGILRNAQKEALGRLLNEDGTPNENVIKKLFHKIDMDQSQTLSRSELHALLVGINFEEIDFDKEDAVDKIMNDFDTSRNTVVEEAEFVEGMKRWLHEAKRSVPGSGAYSTKFMSDYHARTRQEHDLLVEASDETVESVENPGWCITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMGLFTSFKTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >OB01G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4680112:4693791:1 gene:OB01G17140 transcript:OB01G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MEKLSLKDFPDSQKLTTKRSSFLESKALPNRPFVQQRNQYAVCMIKEDRCIAEFVAIMDVVERLPVYKYRRAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEIGVRLGDEVGYTIRFEDQTNPGTTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPDLRLIISSATIEARSMSTFFNIRRKNSMVESADRLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIVHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGLLILPLYSGLPRGDQDLIFTPTSKGKRKVVLSTNIAETSLTLEGVVYVLDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDDDAKLTVPLGFQVAEMPLDPMISIMILSANNFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIDIREQLVRITKRFGLPLTSCDRDMEAVRKAVIAGAFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLH >OB01G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4666249:4667775:-1 gene:OB01G17130 transcript:OB01G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMATAWPEFGGGHHHHAHGHHHQRSPSMGAFLAAPLPPFPPPPPAPANGGAHQQQQQQPSWVDEFLDFSAAKRGAHRRSVSDSVAFLDPVADDNAGVGAHDFDRLDDDQLMSMFSDDLQPPPPQQQPAAPAASASSPSDHNSMNDEKQDKGETEEAQSECDGATPGQPASPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDGGTEEGDREAAANLPPAKPQERGIHTGRRGRPGPRQRQGRPDRQRGDRRARGLVIDRPRSGRAVCSEVALASAPRQPRALPRAGRRHVRFGALFGFAFFGV >OB01G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4643522:4645060:-1 gene:OB01G17120 transcript:OB01G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVESLSGFLDPRAAAPFVVAALAFFFLVEQLSYHRKKGPLPGPPLVVPFVGSVAQMIRDPTGFWDAQAARAKKSGVGLAADFLIGRFVVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAAIQQRVILSHLRRWLDRCAANGGKAEPIRVPCRDMNLETSQTVFAGPYLSKEARERFERDYNLFNVGVMALPVDLPGFAFRRARQGVARLVRTLGECARASKARMRSGAEPECLVDYWMQETVREIDEAKAAGLPPPAHTDDEELGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVAALWSPESGEPITAEKIAEMKYTQAVAREVVRHRPPATLVPHIAGEEFQLTEWYTIPKGTIVFPSVYESSFQGFPEPNAFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRHRTEGCDEPLYMPTIVPRDSCTVYLKQRCAKFPSF >OB01G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4633002:4633511:-1 gene:OB01G17110 transcript:OB01G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLGLVDLHTAAPLLAAAVALFILVEQLSYHRKKGPLPGPPLVVPFLGSAAHMIRDPTGFWEAQAARASKLGAGLAADFILGRFMVFISDSELSNRVFANVRADAFQLVVHPFGKKLFGDNNLMYLFGDNHKDLRRRIAVNFTPRALSTYAAIQHRVILSHLRRWLD >OB01G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4631985:4632950:-1 gene:OB01G17100 transcript:OB01G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDTSQTVFVGPYLTKEARERFDEDYNLFNVGVMALPIDLPGFAFRRARHGVARLVRTLGECARASKARMLAGGEPECLVDYWMRETVREIDEAKAAGLPRPAHTDDEELGGLLFDFLFAAQDASTSSLCWAVSALDAHPDVLARVRAEVAPLWSPESGEPITAEKIAEMKYTKAVAREVVRHRPPATLVPHVAMEDFQLTDWYTIPKGTVVLPSVYESSFQGFPDADAFDPERFFSEARREDVVYRRNFLAFGAGPHQCVGQRYALNYLVIFMALFVSVVDFRRDRTEGCDVPLYAPTIVPRDGCTVYLKPRCARFPSF >OB01G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4621512:4623068:-1 gene:OB01G17090 transcript:OB01G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISTSSAGAMESLHGLVDLRTAAQLLAAAAALYILIEHLSYHRKKGALPGPPLVVPFLGSAAHMVRDPARFWEVQAARASKSGAGLAADFLIGRFMVFIRDSELSHRVFANVRSDAFVLIGHPFGKKLFGDHNFIYLSGDDHKDLRRRMAPNFTPRAFATYAAIQQRVILSHLRRWLDRSAANGGKAMPIRVPCRQMNLDTSQTVFAGPYLTKEARERFEEDYNLFNVGLTALPVDLPGFAFRRARQSVPRLVRVLDRCARDSKARMRAGGEPECLVDYWMQETVREIDEAKEAGLLPPAHTDDGELGSFLFDMLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVASLWSPESGEPITAEKIAEMKYTKAVALEVVRHRPPATLVPHIATKEFQLTEWYTIPKGAIVLPSVYESSFQGFPDPDAFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRDRTEGCDEPLYVPTIVPRDGCAVYLKQRCARFPSF >OB01G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4610095:4613963:1 gene:OB01G17080 transcript:OB01G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase 11 [Source:Projected from Arabidopsis thaliana (AT4G18270) TAIR;Acc:AT4G18270] MLNPTSDLELPAMSKSRFEGAEGAITVAAHRFAMIEKGHRKSRTQQGLMNNVGLIAFLAILLLFVDWCSWRIVRLPLDSFYLTRPFLISSVLSALAGFIFAPVADNMKIHHFRRREKLMSPSYRKPTPAMGGLFFVPIGILVARRHVGSNSTGVNGAAIITLVFAMVGLLDDISNLFMDRNRKVPEWMRFFVQIVAGIYFSLWLSSTNISTPYNMKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDRLDGLAGGVAALALSGLSVASLPICSEMSVFGASMSGACAGFLFHNRYRASIVMGCVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLMVILQVPFQMAMKHFFGRNRYPLRVLPSYYFLKSWGIKEPYIVAGAYVISCLLTAFAGYLGLVSA >OB01G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4604429:4604839:-1 gene:OB01G17070 transcript:OB01G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVVVAAFAPAILAEVDTAAGVPCGAAAAGGGVERFVVNALASPDRRLQEVKENSLRSFNEAARFTVDYVEFDVQVRSSALPFSALKIPSLSTSNMAANSSPFG >OB01G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4599667:4603944:-1 gene:OB01G17060 transcript:OB01G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKIFLVDNIVDSFFAMDIILTFFLAYLDRKSYILVDDPKRIAARYLSSWFLFDVCSTIPYQLLGRIFKKHENGLAYRLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYPDPARTWIGAAIPNYRSESLWIRYVTAIYWSITTLTTTGYGDLHAENPREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPEHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIAVNLFLPVIKKVYLFHGVSFTCMLQLVTEMEAEYYPPREAVILQNEAPRDIYILVSGAVEERMEIDGTEKVQEVLCGGEIFGEIGGLCSIPQPCTFHTIKVSQLLRLNTTVLKTIFKGNSDDRRVILNNLCQKMNQDHRFSTEVKEKSLQMLHQHFGEYSRCSSFNQDNEKNELKANNGHSMALEWKRVTIHMYSQRNKRAEAPRAKVINLPGSLDELFTTACQKFNNYCLTKLVNPEFAEIDDITVIRDGDHLFFVEL >OB01G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4593893:4594402:-1 gene:OB01G17050 transcript:OB01G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHREGAEVYRGAALCAEKAVELLGGTQMPRGLLPLAEMEEVGYNRATGFVWLRQKKSITHTFKQISRQVSYAAEVTAFVEDRRMKRMTGVKTKELLIWVSLSDMYIDKDDPSKINFKTPTGLGRTYPVEAFAKEDDGKAKLPAAAAAAANGKETAVNAKAGK >OB01G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4591045:4591319:1 gene:OB01G17040 transcript:OB01G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMGAVDAHMASLYRRISNPLALSTTSYAGHSMRSHDGGTAAEATAAAASSSIRPLRRAMVAVRGCVRAAVRGWMGFWWTRVRAVGDRS >OB01G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4589835:4591286:-1 gene:OB01G17030 transcript:OB01G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGLILLLAAAAVASAAVPPSCERIECPAYEVVDSANGFEIRRYSDAMWASTAPIEDISFVAATRTGFLQLFNYIQGKNARNETIEMTAPVLTQVAPSDGPFCVSSFVVSFYVPKKNQPDPPAAEGLHVQRWAGARYAAVRRFGGFVADVGEQAALLDASLQGTRWAAAVSDGRRADPTSSYTVAQYNSPFEFSGRVNEIWMLFDAKDASDM >OB01G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4586835:4588474:-1 gene:OB01G17020 transcript:OB01G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MGGWVRVRVRSPSVMQSKLLCLSLLYLLTTLPLALYVSFSGPGSASRCLLFLPFRSSAPSASAALFKYPREYGEHKHAIPATRALCSDPAVFSDYKAVLEEINSLCLNLSASPSSTPALRYQSGRRDSFAGNLSTDQRRFFFNHTDSAAEIPCGFFKEFPLREADRLAMEKCHGVVVASAILNDHDKIRQPKGLGSETLRTACFFMFIDDATHRILARHNILTGQRGEPGSTVGAWRVARLGGGGEHRLPYENPAMNGVVVKHLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSLLVGKGADMAVSKHPFNLHTMEEAIATARWRKWDDVEAIRAQMETYCRNGLQPWSPSKLPYPSGIVMQTQKEFAFLHSIFGLLIDQSKKQLLHEATDTDISTMPKR >OB01G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4581141:4583643:-1 gene:OB01G17010 transcript:OB01G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEEIEGSAEPSSYSKVIVSADSNRWIAAMHDEMESLEKNQTWKLVELPKEKKHIRCKWIFKRKEGISRTDEVRYKAKLVAKRYSQILGIDFNDEFSPVVKHSSIRTLLGIVAMHDYELEQLDVKTVFLHGELEEDTYMEQPEGFIVPGKENLVCRLDKSLYGLKQSPRQCLGRLEMDLFVVDSDFTGDLDKRRSLTDYVFTIGGCAVSWKASLQATVALSTTEAEYMAISEAGKEATWLRGLYTELWNVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMNPKVSMNMFDVEVFDHIAVEYRHNLKRGDGGAGGKQGVTTMASSGDITRSSCERYLLKMWGEPTE >OB01G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4580144:4581426:1 gene:OB01G17000 transcript:OB01G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVDALSDSLFRRDDAPRDRATLMDLVFEQFTKEHIGDRGKLTSLLQKEWSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAIINMMMAVETMLSPETATTDDIEKMSKNAIDSWKEFKTKYELAKGSTDSST >OB01G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4575590:4576162:-1 gene:OB01G16990 transcript:OB01G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETSPPAFSSTTTEGPAATPTSSGRIVVRIRVSQAWTPEEDACRERLAGENGFRRWRRVASAMSRRRTPGQCRDRWRDHLARDVYHRPFTSEDDAELARIRLRDGRGRWKDISRAVYCRSSRALKRRWRELRKSDAFLRALYWYPHQPVQPPADSIVLSCSVGCDAVAGGGKTPGISPAWSYNLQESY >OB01G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4568479:4569113:1 gene:OB01G16980 transcript:OB01G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAVQTPKRMKACAPSEPMRKRLVAMDSDGSHGTSGTPHTLGARAAGTSSWMPSVPAAHAGTSTVYPTHRSGAKPQYAAMPADEPITTASSTTSRVACVLLVTPRTYRRTRRAVAIIMKMKSVLTERRLESTSRLENKPMQAPAAAISTVACTGVPVLGCTSANTGGMMWLRAMCVVYRA >OB01G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4568301:4569862:-1 gene:OB01G16970 transcript:OB01G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G17120) TAIR;Acc:AT1G17120] MLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPASALRIHTSLAEGYNELDPIAVVVIAVTATLAILSAKGTSRVNWIASAVHVVVIAFVIVAGFLHAKTSNLTPFMPYGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITVIYCLMALVLSMMQPYTAIDRNAAYSVAFSNVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATVLIAAAGACIGLFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTSRTHATRLVVLLAVVIGSSAGIAAYWGLAPERWVGYTVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGAQAFIRFGVCTAVMLLYYVLVGLHATYDVAHGACSDDADEEVYADPADVDDGKQAAAAGAATTDVERAGAKI >OB01G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4548026:4549779:-1 gene:OB01G16960 transcript:OB01G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLGVGPADAGGRAGGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPNLTGFGEHTDPQIISVLRSNGAPGLEISLRDGAWASVPHDGDGDSFFVNVGDTLQVLTNGRFRSVKHRVVVNSEKSRVSMVFFGGPPPGERLAPLPALLGDGGRSRYREFTWKEYKGSGCKGRLADDRLGRFEN >OB01G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4530559:4531980:-1 gene:OB01G16950 transcript:OB01G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLSSKQYVNVVSQGSSGPGRRFTVLTRQTAPTDTRFKATVQVGNVISDSKNFTLANNEHSERNKTSRCDDAKLVSQRPEKPSQMLANHLTAAIGKTHAKADGNNAPSDINEKPACGIQMQLKESTAAHRSTVLQSLRDSFMPNNLPTVDVKSHISVVPDEISDLHNKSASETQLQPMNHTKTTSSENAGDACGIASNQVLFNDGKHHSSSQGGDHSLYKRDKTQSGDQTSSQHAESVFSPRLINSLSSIDIVAKGDKGIKRHACPGFQELHRPSDSDKSTSVSSSTCSVLCSAPDAVQGPCSATDQPHIIISWVSECLEDGGGTTQSNSLSIPSAVSSTDITWGSLQYPASLFSGASNHCLVSQYSSGLTQRMVGGIENTMSCCCSYPSISGMANHRPEYWSGSADSYTSTGGYDAFSQDATSGMIAGMVGSSPKQPSPHLHYNDWTMGSVDSGLNCPQVDHTYPMYSLF >OB01G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4517068:4521560:-1 gene:OB01G16940 transcript:OB01G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGSPVAAPAESAAVAAAKEAEYKTGVQKLVDLLSKLNPAAKEFIPSSAAVSSPSRKVLSADAPVFDYCSIGGGNGGGKESGADAYQQRRRRNGYISQGRRRITDRARHADREDSIRRTVYVSDIDHTVTEERLADIFANCGQVVDCRICGDPHSVLRFAFIEFGDEEGARAALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVIRTVYCTNIDKKVTQLDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEFVHAECAIMALNCSGMILGTLPVRVSPSKTPVKPRLNRVASN >OB01G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4510014:4516549:1 gene:OB01G16930 transcript:OB01G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLREELVYMAKLAEQTERYDEMVEAMNSVAKLDEALTVEERNLLSVGYKNLIGAKRASMRVLGSIEMKEETNGKEFHARQAAEYRHKVEAELDKICCDVIDIIDKYLIPHSSSAEISVFYYKMKGDYYRYLSEFKIGTEKVEVSEKSLKAYKTASKTAETDLAPTDPLRLGLALNLSVFYYEIMNSPDKACQLAKHAFDEAVSEFRSLSEENYKDSALIMQLLRDNLALWNSDMADDAVMEMLIAGRVGVCMAWCPGLGADCGRLPFTYC >OB01G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4506340:4507095:1 gene:OB01G16920 transcript:OB01G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGDAARIFPASIDGDAALWYDLTAPGADESPPPWQAVRAAFLDFFRPLDAADRARDDLTSLRQRPGEAVNRYYLRMQGILRRFPDGGADVPDSFLKSAFVEGLLPEFQEWVAPQQPEALDEAVALAMSWEGADSVREARRAAKAVVSRCGFCAMEGHQEARCGLRRRMREFCLGRSSSGGGRGGAAMVVEAAAGAEEVGGSMRLARLGSAVSTRSCQCRKHQCWKRAVVVSELAGDGEGDGAAGVAAGN >OB01G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4500785:4505874:1 gene:OB01G16910 transcript:OB01G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT1G16900) TAIR;Acc:AT1G16900] MSSFVIDFVYFCSCSSNFALRSYLYLFIHALVAGPASLIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRRYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVSVAAAGVILGWPFSILVFLPVTVYSLIRGSFRRVFLSGFLTSLFLLVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGFSFYFRNGFNNFNFAFILALLFLGVVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAASAVIDIIPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEYHEDTGPGSVLCVGSEWHRYPSSFFVPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYLKDIGACNLLVELDLRRPYPSRGSDLSTWETLAALPFLDRELSPALYRSFFIPYRWQHNNVFGLYKLLRRLHADQQ >OB01G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4493414:4497443:1 gene:OB01G16900 transcript:OB01G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQPAPFTLFVKLPGRRRSGLTTPPPPRCAVRGAGSVSSSSSVVREMAVAEGRRHEYSIDARLRQLAPEKVSADDRLVDYETLLVARFLDILEDLHGGHFRQVVEECLRLSGEYQSEGGPARLGELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKAETSRRGDFVDEASAPTESDIDETFHRLVRGLGKSPREVFDALRSQTIDLVLTAHPTQSVRRSLLQKHARIRSCLTQLCIEGISDNEQQEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALRNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMAELMFELSMWRCNDELRARANELHRKSSRKYAKYYIEFWKKISPGEPYRIILGDVRDKLYNTCERARQILSKGISSIPEDQTYINVEQFLEPLELCYRSLCDCGDKLIADGSLLDFMRQVSTFGLCLVKLDIRQESDRHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGPDLSQSEEVADVLGTFRVIAELPGDSFGAYIISMATAPSDVLAVELLQRECGVKKPLRVVPLFEKLADLQQARATMELLFSVDWYKERINGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVATDEYRSIVFQEPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMEHDGGRGLATLREMYDEWPFFRVTIDLLEMVFAKGNPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVAGHKDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGEFRPETRPPLSKELLGSSAAAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >OB01G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4483303:4491689:1 gene:OB01G16890 transcript:OB01G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGGGGGGGGGGAGGIGAAAKKGGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFTYVPGSDWHSQLKDEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACSRRHSDPSYFKKAWDMMRADKTGSFQREKKSQKIKRKGSRLREPYHGQTTPKQRNGELQRALTAVQLTSRHFASPSTDGRSFSENRSTPDVRSNPENISRSSSFSSKARLSFTEQALDTKPTVVPHENGHDKLANINLHKLSNTPLHTRLDGTSADDLGDGLKQNSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHEEAKCISPEQQEIDHREMETLEQQEALHQKAKLLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPEVQTKSKVAPVPSLNVYVPQVDLIDNIGSESPDSSIAEFPVACQNFGMPPASDSTADYPSLPSADAPDISQPVLSGCTTIPHTEGSPISTNTLVSNTEDAPGLSEISESPLRAYITTLPNQSSPVTNEIPESKAEDAPTDSPEKSEPGPSSYIATPSNKESSAVSQSPDNNAERVSRDCSEGTACVISYPQCTISDMPPNEISATKSSLDDASGDSFIMSQRRPQDYPGKNDDEVESGGSTEVSNSRPMPLNESLENGCATQGFPTNAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGSTEDTKPGYDESQLYHSTGYAEPHFSKPIESAEVDVPNGNVPITSSFVGKLVGICPGSANHTSSEPKQSVRTPDTVIGQTVGTSGCSTSFEHGDHKSITGKQTSISELLESEDSAENGGEMYTKTDMAGRNNMNLVSASSFSSIAQRFLANTLQRRTPKYPDLPMSSVIGNTDANGTDESTQISAVAPSETAFEASQLEKKAENDSNGLPKSSLFSGCEYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDGNFHENADDMILPTFKLLPGSSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDAGGLEDHDMHNYPNQTGSFGAPITNFVEFEQMDLSGVKSTVSLADLGGDNGLGTLDSHPAGELPNFDTLMVTNNHKNEDAFIPHNPVSLSPNEGQLPPPPPLPPVQWRTMRQVASVQEETCSAAKDMLKSTSGLPPVHTPIQEENLQPIAPPDQQEDDRIVDGVKEISNPPNIEIIRASLLQQIRDKSGQQKLNGHEKSKAVGNDAKNLDEREELLQQIRSKTFNLRRTNASKTNNSSPSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >OB01G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4471382:4473154:-1 gene:OB01G16880 transcript:OB01G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSCYVCVLFLLVVATPLPISIASPLKLRLSPSEVSALEARAPPPPPDVPTTYFEVDRPHRPPPGSFGPCSTPLLSHSFGLTYNFPPVTASYSPPPCLAAAGGRASVISLAVLEWRAACRGLPXXXAAEGDRLVRLQGRHQVRVPPGRPQLLHPRRLPRQHRERPQLGRLPRKCHAPPLLPAPAAAAAAGAGAGGPRRPHLAEPAVERRAVVPDQQQGRRRVRESRRAGERLPRRPRGVPLLPWLRRVLVHVHEPSRRQRPVPRGHCSDRRGHRRRRLAVPGDLHRGTQLLPLEADQWHRLVQPPVLRHRAHAVLGLVDGRRGARARVRGDRRPRLLVHRRQPPPLAGPQEHGDDGWHHQLRRAAAGEGPRRLGQGTRRRVLPDDGVPAHLGRRVGAYVVVRQDHRDLDAEVQLREHGPAPRRHRAVDQPDHRRLLRRPRHRPRRRGVLAGGRAELPALYSPRPGEPDVERLVHPGDERAAAVRGGEGRRRPAGVLVPVAEQRAGLRRGRRLAGRGRRRDVVGHAADVQVRGDGRVLLPGRGKRRLRHRLRPNRRGLREGITCRPRHCRRRRRGCGSGTADELVRGAN >OB01G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4467880:4469343:1 gene:OB01G16870 transcript:OB01G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3KXI1] MDGKKPYVIAIIIQVIYTGLYVVSKAAFDHGMSTFIFIFYRQAAASVLMLTLAIFLERRNAPPMSLRLFAKLFLYALLGNNVSLNLNSLGLKYTSTAVQSATANSVPVVTFFLAVLLRLEVIRLRSLSGAAKVAGMGLCTGAVLVIALYSGPAIRPLNHHRAFGGGGRHGRREAVTAKSGRGARWMEGTSLMLLSTVTWSLWTLLMASLLKEYPSKLMATALQSVLSAVQSLPPAAAAVERDPAAWRLRPDAGLLAVAYSALAVSGVSYYLQAWCIEKKGPVFLAMTNPLSFVFTIVCSSFFLGEIFHLGSIVGGVLMVAGRYSVLWGKSKEQNTLTLTTATVAAVQQQQTAAAPPAAESSNSDSDSKHRLASPERHV >OB01G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4449020:4459999:1 gene:OB01G16860 transcript:OB01G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3KXI0] MAKVAGVGLCLGGVLVIVFATWSLWVVLMAPLLNEYPNKLLATSLQCVLSAAQSLALAAAVERDPAAWRLRPDAGLVAVAYSGFVAWCIEKKGPVFLAMTNPLTIVFTIFCSSFFLGEIVHLGSIVGGVLMVAGLYSVLWAKSKEHDTLTTATTMDTVAGATQQEAADADSNNDNKLEQGRLASPERRV >OB01G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4443975:4444312:1 gene:OB01G16850 transcript:OB01G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYVIAIAIQVIFTGMFVISKAAFDHGMNTFVFVFYRQAAASALLLPLAIVLERRNAPPMSLRLFAKLFLYALLG >OB01G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4433496:4435280:-1 gene:OB01G16840 transcript:OB01G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCSAPRRRRLLLLCLCFTLLLRFHSCAASPRDLRFSVGDIAAVEAVLPSLRQARSTFFEVDRPLHPPRGSSGPCSTLLLSHSFAFTFTKPPVTASYSPPSCLAEDGGGARAVSLAVLEWRADCRGAQYDRIFGVWLGGAELLRGSTAEPRPSGVTWSVSKDVTKYASLLAAGNSTLAVYLGNLIDDTYNGVYNANLTLHLYFRRAARSPTAASAPADRVVPVSRSLPLNDGLWFVVDNTTDVESTRLTVPPNAYRAVLEVYVSSHNFDEFWYMNTPDQNGPFREVTVHLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNLPSYDIELTPFLGKLLDGKEHELGFAVTNAQKSWYVDANLHLWLDPKSSATSGGLIAYDAPKLTGRIVSNSSNGIDGQYDATASRNITATGWVRSSRGNIPTTFTQRLTFVHTNVVSNQGSSQAINQTTEAHTEVTGDGPHSQQLHQSFPLYIFLGGDGSGTSSQKLMRRVALGFDETRAGAAGRPASTLHNEQTAAAEVVLRDDQVVGASWRMPQVYEYGGSDGGCYLRNVSSVGYDVLFDHNEESCAGTRRRRRRRGVARVGGRGRG >OB01G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4424777:4426309:-1 gene:OB01G16830 transcript:OB01G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAAGGGASSLALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRAIGIVWSVSRDVTRYAAVLAEPGEIAVYLGNLIDSTYTGVYHANLTLHLYFHPAPPPPPRQADLIVPISRSLPLNDGQWFAIQNATDVQGKKLAIPSNTYRAVLEVFVSFHSNDEFWYTNPPNDYIQANNLSSSVPGNGAFREVIVKVNDDIVGAIWPFTVIYTGGVNPLLWRPITGIGSFDLPTYDIDITPFLGKLLDGKEHDFGFGVTNALDVWYIDANLHLWLDHKSEKTSGSLISYDAPQLVLNVDSRFSGLDGQFVTGANRHISATGAVKSSYGEVTTNFYQRFSYENSNVYSKNGSVQVVNQTIDAKSGVCAKDALSVLLSEELHQIFPLYVYTGTSDQKADEYTLISFVKLGVNEKKTSGGKMGFSYNSLRNSQSARGSMKVKKNLVVGGLGETHHVYKYVGTDGCYFRDVSSKNYTVLSDQSGDSCTKRKPYGGAKFSSRNDQSSRRKLLAGE >OB01G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4422334:4424055:-1 gene:OB01G16820 transcript:OB01G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTSSCLLALVLLLLLPLAALAVLPRRRFPSTLRLASFDASEPPTTFFEIAVYLGNLIDDTYTGVYHANLTLHLYFHQASQQQKQHADLILPISRSLPLNDGQWFAIQNSTDMHSKKLVIPSNTYRAVLEVFVSFHSNDEDWYVHPPNEYIEANNKSGLPGNGAFREITVKVDGDVVGAVWPFTVIYTGGVNPLFWRPITAIGSFNLPTYDIDITPFLGKLLDGKEHDFGFSVTNALDVWFIDANLHLWLDQKSKKTFGSLLSYEAPTLALKVDSNFRALDGQFATSANRHIAATGWVNSSCGNVVTTFYQRFTYINSNVYSKNGTVQVVNQTIVAKSGVFSRSKSVVLLLEEVHSTFPLYIFSATSDQVGDEYSLISVVRMGFNEKRTSGRKAEFSYNSLRNAQSAHGYMRVKKNLVVDGLGETHQVYKYTGTDGCYSRYVGSRNYTIISDQSGDVCSKGSPHNGPKFSSVKLT >OB01G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4416485:4420424:-1 gene:OB01G16810 transcript:OB01G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWGPRLTTVELCQRDALNLLFICLVLLQAQVGRGGATLNGEGMALLELRERVEADPHGAFRDWNPTDATPCGWSGVQCFDGKVEILNLTGRELVGTLAPEIGGLQLLKSLLLPKNNFRGQIPKEFRGLTALEVLDLSSNNLDGTIPEELRAMPLLKQLSLHDNHIQDGISSLNTQGIAYEQAGCLSRKLGCWVEFKDWTSFSGLREKYSTNLASNCTFECLSEPHIIQNLQSFASAMRRRLLSEAGNLPALSGNDAKSPGPENSEETQRAIDVLSLGSGSFSAFPNSDGEVLESTLNADAVAVQSVAANQSTGEVSSAKYSKWLYFMILPAAILLISLIVAPILLCRKQGRAPIGPWKTGLSGPLQKALITGVPKLNRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAVSSIKDWPRSSETCFKKKIDTLSRVNHKNFINLLGYCLENQPFMRMMVFEYAPNGTLSEHLHLKEFEHLDWAARMRIIMGVSYCLQYMHHELNPPVAINDMRSDTIFMTDDYAAKVADVSVWKEVATKAKAAKEESRSRSESPPDLASNVYCFGALLIEIISGRLPEADDQESMCNWAAEYLNGKNYSKLVDESLKDHNAKELEAVCEVIQQCIDPDSNQRPTIRDVIRKLRPVLNISPEAATPRLSPLWWAELEILSAEAT >OB01G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4408954:4410339:-1 gene:OB01G16800 transcript:OB01G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) TAIR;Acc:AT5G45820] MEKKVSILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRIGMIDQIKREISVMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFSRVARGRLKEDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSAFRECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDANLMEMYRKISKSDVKYPQWFNTDVRKLLSRLLDPNPNTRITIEKLVEHPWFRKGYKPAVMLAQPQGSNSLKDVQTAFSTDHKDNEGKAKEPASSLKPVSLNAFDIISLSKGFDLSGLFEEDKEQKANSRFMTQKPASAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFYVVEVKKSAGDTLEYETFCNKDLRPSLRDICWNGPSERPSPAEPSTLAQSSKSISRHAI >OB01G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4406835:4407344:1 gene:OB01G16790 transcript:OB01G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGEASSKLLRFLCFVGAGVICTKAINTYRDYERKQEASATAAAAAAAAKGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPARPGAGAAATVVVAADPAPATATAAKP >OB01G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4397200:4398754:1 gene:OB01G16780 transcript:OB01G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KXH2] MARLGISKGGSGGGGKEAKKALLLGRFEVGKLLGQGNFAKVYHARNAATGEEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVSRGRLPEADARRYFQQLVSAVAFCHARGVFHRDIKPENLLVDDAGDPQVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLFVLMAGYLPFQDRNLVGMYRKIHKGDFRCPKWFSPELISLLRGVLVTNPQRRATSEVIMENEWFKIGFRRFSFRIEDDRSFTCFDLDDATTVDASTTPPDTPRTVDVAGAGAGYDVAPMRLRKEGNLTSCGSAPSLLELEGRSALGGSSRRRSSLNAFDIISFSRGFDLSGLFDQDDGGAGSVPEQQQNSTARFMSAAPVEVILATLEVAATAAGMAVREMEDGSISMEGTREGEHGALAVAAEIYELTPELVVVEVRRKAGGAAEYEEFFRARLKPSLRELVCDDRPCPDSGELCRSL >OB01G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4389135:4390458:1 gene:OB01G16770 transcript:OB01G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KXH1] MGWGWSSSSSGATTMVVVVARVVALAAAAGVVLAVEAQLSPGYYSATCPGVTAIVRRGMAQAVQKEARMGASILRLFFHDCFVNGCDASILLDDTANFTGEKNAGPNANSVRGYEVIDAIKAQVEASCKATVSCADIIALAARDAVNLLGGPNWTVQLGRRDARSTSQSAANTNLPPPGASLASLLSMFSAKGLDARDLTALSGAHTVGWARCSTFRAHIYNDTGVNSTFATQLRTKSCPTTGGDANLAPLELQAPNTFDNAYYQDLVSRRVLLRSDQELYGSGAGNGTTDAFVRAYAANSTAFGADFAAAMVRLGNLSPLTGKNGEVRLNCRRVNSS >OB01G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4376570:4380372:-1 gene:OB01G16760 transcript:OB01G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGHVRPDLGCGVGSGLWLGADLLVSVLLWLMLVSGVSADCCFCGCWCWVEVLQKKEEGAGDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMEHPNVITLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSTGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPEHIRRQNGLNFVHAGS >OB01G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4372950:4373951:-1 gene:OB01G16750 transcript:OB01G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQYLGSVGLGLVEDDTLILDLKPLHGILLGDPVLDTNTGLAPPAPCNTVPSTLKNDVEVHTVNTRIYNLT >OB01G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4371850:4374233:1 gene:OB01G16740 transcript:OB01G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKARVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OB01G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4370227:4371525:1 gene:OB01G16730 transcript:OB01G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILLHHRQISPNYLARAAFQGSFAFPREFSDGVLIRRPQHSFVCSSLASIRSEANGSPMPRTVNKRSKEELIAFFKSIQTSIAKESPRTSRRMRKQSSDPFEEAERRKQSYGNASEEQEDGEPKGLDLNDMKVAQLRELARARRMKGYWKLKKSELIDRLKSA >OB01G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4366419:4369787:-1 gene:OB01G16720 transcript:OB01G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLASLSSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPRAAPPPLASVSSHFCAPPPWPPPLKSGGRRTRVRCGVLAPSGHVMEAAAATANRAPRADVNVRIERSCRAGDLAEALRLLGSDGVDARSYGAVLQLCSELRSLEAGKRAHFLVRASGVGEDGMGSVLGQKLVLMYVKCGDLGNARKVFDEMPQVSDVRVWTSLMSGYAKAGEFQDGVSLFRQMHCSGVRPDAHAISCVLKCMASLGSISDGEVVHAYLEKLGLGIQCAVGNALIALYSRCGHLEGALQVFDGMPHRDVISWNSVISGCFSNGWHGKSIELFGKMWSEGLEINPVAMLGVLPACAELGYDLVGKVIHGYSVKTGLLWEFESLENGIDENLGSKLVFMYVKCGELGYARKVFDAMSSKNNLHAWNLMMGGYAKLGRFQESLSLFEKMHDCGITPDGHTISCLLKCITGLSSVMDGLVVHGYLVKYGFGAQCAVCNALISFYAKSNRIEDALVVFDEMPRRDIISWNSIIGGCASNGLSHKAIELFVRMWLEGQELDSTTLLSVLPACAQSHYSFIGRVVHGYSVKTGLISETSLGNALLDMYSNCSDWRSTNKIFRNMEQKTVVSWTAMITSYIRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGNESLKHGKSVHGYAIRNGMEEVLPVANALMEMYVKCGYMEEARFIFDHVTNKDTISWNTLIGGYSRNNLANEAFTLFREMLLQLSPNAVTMACILPAASSLSSLERGREMHAYAVRRGYLEDKFVANTLVDMYVKCGALLLARRLFDKLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGNGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMRNEHRIEPKLKHYTCMVDLLSNTGNLKEAYEFIESMPIEPDSSIWVSLLHGCRTHRDVKLAEEVAERVFELEPDNTGYYVLLANIYAEAERWEAVRRLKNKVGGRGLRENTGCSWIEARGKAHVFFPDSRNHPQGTRIAELLDEVARRMQEEGHDPRKKYALMGADDAVHDEALCGHSSKLAVAFGVLNLSQGRPIRVTKNSRVCSHCHEAAKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >OB01G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4362375:4366581:1 gene:OB01G16710 transcript:OB01G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45760) TAIR;Acc:AT5G45760] MEATNQPISSVCFKAGNEDLVYASAGNEILSFDVRMGPQAEPLEKYNYNRDEINQIAVSSKGFLAAADDSGDVKIINTIQKCMYKRLREAHTSICSSVQFIPWKPWTAITGGLDSKLAVWDFSKGRTLFSIDYGSPEMQNGSSGTGQCFNPPFVHSIAVSEEGILGGLYKVCAVARGDGAVDVVNLEYELAPAKSKGLPRMADLSLSSKRTDIGDGCASQSQGKRIHLDYTVGGHTSSVSCVTFSVFGEKGKFLVSGGNDSSVKLWDWSKGFSSETNSNGELVLNMNVSKKVNWLCTAPTDSDNLIVCDTSKVLKVYNLP >OB01G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4361408:4361854:-1 gene:OB01G16700 transcript:OB01G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDAAGDATEQQEEEKSESPVENPTVGDATEQQQQEEEEEEQSGSPMENPTVGDAAEQQQPRREKWKAASAAESAARLDFLRAALDDVLRYIEMTEEDVVEEYRRAGKLHKYDPDKEWQKRFARVARAHPPPPSFLARIPKYEAIP >OB01G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4353993:4358498:-1 gene:OB01G16690 transcript:OB01G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT1G78650) TAIR;Acc:AT1G78650] MAAAGDLALLDLIPQIHALFADPLRVISYKWLSRNFSVSSNDAKRLLQEFVKEHGADIKVIYSVSGWLKNNPTNYCVKLISGHKLEEARQEFKDSCSVQVYSIQACIPKDSAVLWNPEFVQAEELFNQPFDEDNCLRDNRFCGVLNSFVKRTANGKLVSSLPPKPINTIATAAQLKPSSIPKEQSAKGQQQGLAGSSSPKKGTNNKAEKDNSSVLDKAANPPVVKEPSIALQGNKNKAQNGKALPSNGGSLATMWGRTSAKLKTPATTNPTVLPSVAVTADAQICAKEEADADSSDDEHGVHYKRGSSANNRKRRAVFDLSDDDEDDNVVAIASPEAPEQCITNPVTEAGQESKPKQENLENKQEVETDLKGCIGRTDSPDCKTKSSNTVNHSGITLKEKNSPTNDNKQDHAAEAASRSPKRKKVLKTRIDERGREVTEVVWEGEASAGDKTEKNVSNTGATNRTTLSSKPQPVANTGKGNASSKTAANKKPAKAGTKQGNIMSFFKKV >OB01G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4352864:4353034:-1 gene:OB01G16680 transcript:OB01G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VETVALRRQQTARATMKEMFGCIVMPNWRSIVKAAPLLLGRDTNVTTRLDSTTSIY >OB01G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4336411:4336671:1 gene:OB01G16670 transcript:OB01G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNIQNTVRSNFDILGKYHANQHTDRTNPAHTCQEKDMQVFEACEQRNKSFTTTQTAKYSVKKALMMQKSKMHYKLLETDVLTPL >OB01G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4333039:4334487:-1 gene:OB01G16660 transcript:OB01G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLXXXXGGGPGVADAAAAAAAASVAGDEYGCSVETAAAVPSLSKLKLSGNLGAAYSLDAFFRNAAERKVPAAAAVGGPQTSPQVAPDVAKERSCLPASRRSRRRTRSCSSRSAPTTRRRYRPRMPPWWRSSPSCQTRRGGISGPPPPRPRTRRRRGTRPSSRTPRSSGTFSRRTRSRRGSWRGSSAPRRRRPTAPGAPSPRSSAPSGPWRRASTRDARSRLSTSSTSPASTPPTSSPPSATPSSRSGPSPSQCSTRCSLPAGISAAPAAAAVHPGVPLRRAGDAKFVFESYVAMKMFANFHRRDFNFSFLDEREFYDRRRFFEEFTELKTAPASAFLDVRNARWGGFGKFLRAKYLSLVHAKMETAFFGRLEQRGIVSAGPGFPESSWFAEFAEMARRVWLLHCLFYAFDGGAEEDGASIFQVRMGARFSEVYMESVSDGRSDEAAAEERVVGFTVVPGFRVGRTMIQCRVYLCRPGRRP >OB01G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4332265:4332702:-1 gene:OB01G16650 transcript:OB01G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGCLLLVVCDQDLKPGRLVCYENKTRRGSKAFLALAQGNIDPFLLKF >OB01G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4326226:4326549:-1 gene:OB01G16640 transcript:OB01G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISVGRGHRIVRATDVGSAWWRVTDSGGARQGRVADGGGGRARRRRSGGACVSLLSLSFLRFLCPLFDEAIVPSQRDAHPSWGTVHTDLADQLLRGELELLAT >OB01G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4318537:4318857:1 gene:OB01G16630 transcript:OB01G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSATVLLLLVFFLVVASAPTLLQARPTPPGDGVVLHQEELAHGETTAGSSASATAAAAGTSSSSQDGLLIVQRPPRPPLPRPATAGARGRMLGSVPSPGVGH >OB01G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4309578:4311925:-1 gene:OB01G16620 transcript:OB01G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSSTAGAAAAPQPGALSSLFAPRSSPKPRSPHSEPGDGPRERRKPRPRPKPRRPWGQAATALLRRFYDGGYLPGPDLTTAPQVLSPDVVKGAAERFGHDHQVVAKWLSGSDLKTVALFGCPSVERRTVFASKRLRAFFNIQEAKTCSSCKLKNSCQFVNQQVSRHDKVILSDTMRIVTLFVLDAFPQRLKVTSELRASICKLVKDTINLSQ >OB01G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4298707:4300267:-1 gene:OB01G16610 transcript:OB01G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVWTRARAWWVDLTECTLAILFVLQYRALALAKAGYKPPERMDVIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHPSNMANGVDNNPILPWLKTLSHSPASKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNAQPQWTGSNSPCVVNSSPPSPGRTMLPDPAWLAGIQISSTSPSSPTFSLVSTNPFSVFKDAIPVGNSSSRMCTPGQSGTCSPAIPGMAPHPDIHMMDVVSDEFAFGSSTNGGHQAAGLVRAWEGERIHEDSGSDDLELTLGSSRTRAAA >OB01G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4294349:4296716:-1 gene:OB01G16600 transcript:OB01G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAAAAAGSMEEGQARKEAKCENSEDGSNSSSSSSRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVAVNLSTGGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTVAFATCGRFPWKQVPSYVVAQVLGSTMASLTLRVVFGGGAREHFFGTAPAGSSAQAAALEFVISFFLMFVVSGVATDNRAIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVAAPVGGAVCGAWAYNLIRFTDKPLREIAMAGSFLTRSTHRSS >OB01G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4291320:4293042:1 gene:OB01G16590 transcript:OB01G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSLVLGRVIGDVVDPFSPAVTLRVSYNGVRVVNGEDLRPSAVAARPRVEVGSGDDIRDYSYTLVMVDPDAPNPSNPTLRELVTDIPGATDANYGREVVCYESPRPAAGIHRVAVVLFRQMAHGTVGQPPLLRHNFSTRSFADDHGLG >OB01G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4283286:4284729:1 gene:OB01G16580 transcript:OB01G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSGCEAAEARVLCCADDAALCPRCDRDVHAANRLAGKHHRLPLLSSSSSSSAPAAAASSSPPNCDICQESHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLDPAAADDDNQPDPEPHNNNASSAPPLQPPPQCARKRSPSPLYSDDDVIDWATGGQAVSITGNLPDWSVVNEQFSTPAPAVGQAAEPVVTKTPPKRSSRGPVTPATAAAGVRQPRRRLAGLAARRVLRLRRVQRRLRLHREHHHVQGGQRQAREHGRHAERQVVAFVFAPRRRRPGLLRPGAGRPLGRAGAPLAAHGLRAPLATGPAPRRRRHRRRRRGRRGLRAGHLLAGESLPLLRRRRR >OB01G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4270859:4271053:-1 gene:OB01G16570 transcript:OB01G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVKLCACNFLQGEGGHGHDRADRCDGKADERSKGPDSPFSPPSLPFGVTVLWLWAWFCPCNA >OB01G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4244189:4251465:-1 gene:OB01G16560 transcript:OB01G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIRGYAASMRGGAAAEGRRLFGVEDLPPVDATRFRWWADELAAAAAVAEPPPPPPSPSRSPSPQPKPSRRTLGKARAPKKRSISDLFAAAPPFALPRAADSGGGNEAEDDEALCAIMRRTREQKRKRRLEEAAAAAAAAEARESEGNFPRKETHDEIHLPGGLDTPQASKKPGSVHHARTSEERCPDLKRRKKVKITSLEKKNNNKIDKKRNSESRRATKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDTKGTEVIEVCRKSVKRVKFSEVNDVLGINKQSICKLFSDALASSSSSSTDMSSEGDKNIAAESSSSHMPEKAFSKEASKNTDHEGSLELTSTELSSNLIDLNEALPESTDLNYPYVSDSEVQNPEPTQLDSDVQVIHEGRQNRQDLSFDSHGLECQALPESSLGRARNSISSGTFLRSELMEVSDTDIVGPSLKLTGDLAESHGDCSSGSVKDATAKGQSTCVLPTRTVQDSLQQHQKCYSFNLNLDDIQLSSEGEFPLCQPRECNASSRVGSSVHSGMGVQQECRPSAGQTVRLMGKDLAVSTTRGEYLAEMAQEQTHSYTEGHSTKLFLELPREGRPYLSLQAQSFPNVPANSASSSQSHIRYTAPHNSSQPFSTANALSGDRFSYLSDSRPHGNVLLGSPSRTNHGSTALHQNTSSLWLRYSDLSSRTASPSAPILPTTAQHVSPPSSVYHGNLPRSYGVISAGSSMHPHISAGFTFTHPVQMAEEASDSRRGAALPSRNAESVTARASIPDISNSSSGGRYVQRTGPMKLTPGAKHILMPSETTGDGTSMPVYSRVSFGSRRGNVSATQNMGAELYKL >OB01G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4224291:4233889:-1 gene:OB01G16550 transcript:OB01G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSASSAVAAAAAAGSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTTNSGTVAEVSAQHYQQESSKLRQQISSLQNANSRTIVGDSINTMSPRELKQVETRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVAENERGQQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYSHQMQPTTLQLGQQSAFN >OB01G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4224220:4224465:-1 gene:OB01G16540 transcript:OB01G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACVVCCIDLSVSRTFMITARACMCVCARSDLCGTQQQIKYCTTTYIYVINYVRIKGLFIHYVIDLHVELTYISTVVFAS >OB01G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4221698:4223016:1 gene:OB01G16530 transcript:OB01G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMKRARGVFVLAAAFAMAAFVSVVESRTGPVEKTSQEDGVKKPDCVPAFDPRSFPGHGGTTTPLPGHGGSGGSTSPPSHGGTGGGFVVSCRSSNEVK >OB01G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4212465:4213154:-1 gene:OB01G16520 transcript:OB01G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQQRRLAVAIAVAVTGVLAASCCEARDFYVGGRDGWTANPAEPYNRWAERNRFQVNDRLVFRYSKEKDSVVVVSQSHYDACNATDPILRDVGGDSTFVFDSSGPFFFIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPRSPPPPAAGTNGTAPPVPAPAPAPGAPPSPAGGNSTSPAPTG >OB01G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4209613:4209843:-1 gene:OB01G16510 transcript:OB01G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXAGGPAADEPVRELRGAGREQGAVQQEGPVLLPELRVAEARQPLPPRLLRHHPVRSHHELIIPPAAAAITGTAT >OB01G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4197965:4199767:1 gene:OB01G16500 transcript:OB01G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGPATGEGRAGAAWAEAAARRRRRHCRAGKGGGRTPSRRWRGPRPRRPRPTRAAAGAASRGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGGARGRSWVEVVAMIKMSPLAPRERERERESEGTKGERRRGGFIGLLDWSFGIWISNSPSLTLTLK >OB01G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4180336:4180560:1 gene:OB01G16490 transcript:OB01G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPAAAAAAALSLSLCSLQHWRVWFRIRIATGGGENSGSNRKVEFFGGNCGFFLPSPHGVRNHHTSAHIGRWWW >OB01G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4175969:4179171:-1 gene:OB01G16480 transcript:OB01G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENSWLRRAKFSHTVYTRLDHHWSPIAPLGRDVEQKLQRFVSMGKSVSMPVNRDEDAEAAFKHSVSLPTARSSLQLDKNKANKQKADFDIPSSPPVNSVNSKGLKAKSLVKSPSSAMLLSYLNKAHPNRDYSLKKADGSQHKQRSKSPLPSVVPSDVFREARASSRRFTSPPPKHRGSERNIYGKSFGRQVSDISQSPDLCSSPVASDKHKSVKDSSWTKRYFDNGGRRRVSAVDPTDARRNHGVSMAQAVQTTVDWTLDPSKLLVGHRFACGAYSRLYKGLYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEINALSHLYHRNVIKLVAFYKCLPVFYIITEFLPGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGVVHRDIKPENILFDENFCVKIADFGIACEEALCDVLVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMVSGRIPFDDLTPLQAAYAVATRHARPVIPPECPMALRPLIEQCCALQPEKRPDFWQIVKILEEFHSVLLQGGCLDSLKNSTCQDQKKRLLHWIQKLKPSHST >OB01G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4172469:4174483:1 gene:OB01G16470 transcript:OB01G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGGSEQQHSPTTSGMTPRGGSDHYSPLATSTPTKQSPRGGGGDLDRLWRTLHALYHPRNLYVVHLDREAPVAERLELAARVANSSMFRRVGNVEVIRRANMVTYRGPTMVSNTLHACAILLRRSRDWDWFINLSASDYPLMTQDDILHVFSLIPRNVNFIEHTGYLGWKEGQRARPVIVDPGLYMAQKQDIFYVAQRRELPTAFKLFTGSAWVGLSRDFAEFIVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHTQIVRSEAFANSQCK >OB01G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4156804:4162614:1 gene:OB01G16460 transcript:OB01G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53050) TAIR;Acc:AT1G53050] MAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVSVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYPRRVSETFKDFPLPAVALVDVLLSIDPADRGTASSALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPQKRARESRAVPAPDANAELVSSLQKRQNQVNTKSRSEMFNPSKEDSASGFPIDPPRPTHAVESSQDSHRVYSTRTFHSGPLVNQNNPSKAGQSENGELHLSGIANTLNFRLVVSTRSNLHSDNRHGTVVTQAEAFPHERRLSESINERFSSSGKYDQVFQQKDERSSRVDGAIGYGSKGNKIHHSGPLNCPSGNVDQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDFGAAPVFPSSRSSYRAAQQ >OB01G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4143144:4144286:1 gene:OB01G16450 transcript:OB01G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGNSYGVVLVDTQESRLEEIAGAGAENGGCNCGSNCTCTDCKCGK >OB01G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4132948:4133691:-1 gene:OB01G16440 transcript:OB01G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQSSESSAATTTTSYSSCSPASTTSSRSRAADGGGGAKRQQVGKRKRDGEAAAAASYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDHAEPSTENEQQQPATAAAQVAVAELVFDELAPLWMEDVVDFGPSDHCWTAYDALDHVGFQPLLWEY >OB01G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4121744:4124439:1 gene:OB01G16430 transcript:OB01G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:J3KXD7] MSASRPDHRRHHPLSSPVSSAVRLPPASLRRETQASTPPPPPPPPPPLXXXXXXXXXXXLPHRGGLFASPLRANGSPAPAAWWSPSREESAREGSPVDGVVQQPPPQQQQSPTTASGQQSQQQQKVTLITLPPPREVARPEMPRDSTPAAGRVDEEEWVTVFGFLPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRYDAQKALAKHGEQLNSVLIIGVKQVDPWQRQYLNENINENFQVGATVPFPSQTVAPSSFTTRNALAPLPSNSMPNGISNGSGRGASGAIASPTKSVLSKVMDLMFGL >OB01G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4114355:4121477:-1 gene:OB01G16420 transcript:OB01G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11640) TAIR;Acc:AT5G11640] MEVATATEASAPAASAGPSRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSTVLSPDDGGELPSRLLRREIQAVLAFLVLFVVKIVKEENWETFIGDSLLYAKGLLLAVTLVIDYWLTITYLLGFLVIYAVAQQPPYDGLGHSNHLTPLQLEGLLTEEPATRFWLVEFRTSFSGTCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKYGISMWDHLPTYILFDKATEVARFPEITSESKVFVPKITKKLLCQHFNLDRRLIEYLSR >OB01G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4105556:4110154:-1 gene:OB01G16410 transcript:OB01G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAREAALAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRHHGSAGQLATTDTSCESVVTSGQHHHNVVQPPPPPPPLPPPRDGSPAGLMAIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRALWLRDCRSMDVVNVLPAGSNGTIELLYMQLYAPTTLAPARDFWLMRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKMSMAVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCVSVNSSKVIGSSNAAFNNGLPMVSTGVLCAKASMLLQVSITLKLDSVDVSPPALLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMNRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESTAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGGACAAASPKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIAVALSSSRLAVPRAGAQLAPATSEAATLSRWICQSYRFHFGAELIKPADANSSSTSESILKSVWHHASAILCCSLKAMPAFTFANQSGLDMLETTLAALQDMTLEQVFDEQGRKNLCTELPSIMEQGMACMAGGACVSSAGRAAPYEKVVAWKVAGDDGAAHCICFMFLNWSLL >OB01G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4102963:4103421:1 gene:OB01G16400 transcript:OB01G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNKMEKLIIMSSGSSNPRPGDGDGGGGAEVETVRCACCGVAEECTAAYIVGVRAAFCGDWLCGLCSEAVKEVARRDPAGGGGGGGALSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFLAEVIGNNNGAASGDRCR >OB01G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4097971:4098640:-1 gene:OB01G16390 transcript:OB01G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPYLSHSSGSLKASILTDSKPAFSALLIATVATGIPFGICQINKRESVPCKDEVLMGTPITGTEVNEATIPEPK >OB01G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4092807:4099617:1 gene:OB01G16380 transcript:OB01G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPPEGHSEQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRVAILDPLEDCPASSVCHEHVVGSFNDGDMVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMTIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKNELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDDQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKTPAAIMYNILGEGEGEAGFTLAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGASKYSVKARLDKLLQRDAHDPQKVKPRVAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMFDYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIRTSSLHGTDSLLSIVQMPKGIPVATVAINNAENAGLLSVRMLALRDPELWDKSTEYQQDLRDMVLEKAKKLEELGWEEYSKLYLKH >OB01G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4088387:4088902:-1 gene:OB01G16370 transcript:OB01G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEGAVAAPAVGKGRREKPKRISMEGLQRAMSDLALELTKADSKKQQADPAGGGAGKLPGITEQVVVEEARCECCGMLEECTPEYVRGEGGGGGRTSTEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRPNGPRDHAHGGLTRSSSCIPAITKDINCASK >OB01G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4078892:4084192:-1 gene:OB01G16360 transcript:OB01G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11650) TAIR;Acc:AT5G11650] MVEDADGERGRRWETFVVAGARRNALFCRAWAPAAAAETRGILVIIHGLNEHSGRYLHFAEQLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDIDLLLGKIAHENPGVPCFLLGHSTGGAVVLKASLFPHIRAKLEGIILTSPALRVKPAHPIVGAVAPIFSLLAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSHLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEASSRHKDLRLYDGFLHDLLFEPERDEIAADIIDWMERMLALQTV >OB01G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4077714:4078043:-1 gene:OB01G16350 transcript:OB01G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLKRTHKRHLQSVHFCKLAMQPPKPYSKQQLPVQIQVTVTHPSWELTIMCRLLREKLVQFLDQQWRTVGRNNHLPHFDCALHHVQCSSAVPQAPSQNIIIYNIDYN >OB01G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4058926:4059656:1 gene:OB01G16340 transcript:OB01G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAGAPGCELRWEFESEPVRGTPKEALVARLQAGLDGMAARVQEHLLSTRAAAAITSAGVELNPDNSIALLADAVASSPFDQVNYAADEYGTAATGVARSTGND >OB01G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:4018257:4019564:1 gene:OB01G16330 transcript:OB01G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELPSPRSTRKSFFPSGVSGGPSKKFSGFTSPWTYPLACIFSSMEMASIPIQATISTDILGNCYSKHPKGLSMLNYHDVKPALLSFVVYPWDTMISTKKILTCRLATRRELHSYSLCWFD >OB01G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3911503:4021165:-1 gene:OB01G16320 transcript:OB01G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQQPPPQPSPSPEEAPRDVGQPERKQREGMEGSDKGGAGDDGSPAPLPETIQIGNSPTYKLDRKLGKGGFGQVYVGRRISSPTHGNRNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWRDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPPPFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPLNTDGAQKLVYQVGQKRGRLTVAEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVSDDRLAPHIQKGNEDGLFISSVSSCSNLWALIMDAGTGFTSQVHELSHYFLHKEWIMEQWERNYYITALAGANNGSSVVIMSTGTPYAQQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRRKPNDETQETLRTSAFPGQHVKEKWSKNLYLGSICYGRSVS >OB01G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3994737:4007226:-1 gene:OB01G16310 transcript:OB01G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:J3KXC5] MASRERMRRNARDIPAAHSFAAHPREEEFVPTSMYMNEDHMDVQSPNNAIAFGLPNHAIVEAGSPNQPFSLYGSGGSVRQISRLVPDLARLTVESPCYQLSSVLQNLSYIEVDTPAQAMASPVWNQMAEQIDSPARATVPAVPNDVMMQEMPNYIEIDDSPMQPLISTPRRGSTLIPVQDLSRRIENMAGPSGSPLSATTRHATANPLRDSFMPMAQFESKIWRTFGYKNIAVSDRAKNLDSDPLTTKVYHCNVEIRGDSVVTLFKELELPKGPYIGNTRTHLQKVVGDDNVLVVKFMEKLSETKTDFSTYCEHYHKVANDGILLGLRRYQFFIYKDGGKEKKMIEGEKRNSSSTVRCYFVRTESGWNTDEPYILSGKTVGQARELFMHINTAPTLAKYMARLALILSKTITLDADLSQVLVRLIDEPCLDEHGNVVLRDGEPLIRTDGTGLISEDLALKCPTSIFKGKFLKSQGIATCDEGETMMKPNSLKRHRSLAAKHPLLMQFRLFYYGSAVKGTVLVDRRLSPGNILVRPSMLRSYPELSDVQSVNSSEIVFARNAKKSLSGVQSVNSFEIVSTRENPRNVIDKCPFPRNFSTNSAFHSLVGGSLAWLDCCHMFNPHGWGLFGIPSVSQFAFSYADMEDSISARMILSGIPLEESYLQSRLAFMARQERKGIKQGKIPIDECYYLMGTTDPTGTLGPNEVCVILENGQLCGDVLVYKHPGLHFGDIHVLKATYIKDLEKKYVGYSKYAILFPTSGPRSLADEMANSDFDGDMYWVSKNPKLLEHFKPSEPWVETITPKRRKQKKPQDFNESKLERLLFHEFLKTRFTPSYALDAPKTGNKVNIASGLITKRYPHFMGRIPSYHSSSILGQIYDRAEDIESLRYDNVAPSGISLLPCFTERDAPPETKRLWQQRYEEYLTDSRLLYEVDKEERNMKFQKLYEKYKR >OB01G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3993895:3994407:1 gene:OB01G16300 transcript:OB01G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIWHAPCPLKIKHFLWLADRDRIQSVFQLRKEVGGGGGALIFFFCILCGQIEDARHIIFDCPIAIFMWCVCKLAFCWNTRPNSFEHFYQLCIRRAGNRNSRWGTTLLAAVSWSLWNTRNDMIFRNKIVSSPVTIIFRIICLLRNWRTLLKSKEEAMMDQAIEKLQDSVS >OB01G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3974806:3986553:-1 gene:OB01G16290 transcript:OB01G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:J3KXC3] MPHFESQIWSTFGHKHISSSDRAKNLYSDPGMTKVYHCNVQIRGDTVIKIFKGPYVENTRTHLQKVIGDDNVLVVNFMEKPSDIKTDFLTYCAHYHKVAEDGIVLGLRHYRFFLYKDGGKDSKMKEEKRKEKNKKCTSSVRCYFVRTESRWNMDEPYILSGKTIGQARGLFMHIHTALTLPKYMSRFALIVSKTITLDVDLSAVRVELIDDEPCLDEHRNFVFRDGEPLIHTDGTGLISQDLALKCMSSIFKGKLLKPQVSKGKLLKQQPLLIQLRLFYNGSAVKGTVLVDKRLSPGTILIRPSMVKIKTDPKLSSIHSVNSFEIVSARNAKKSLSDVQFVNSFEIVSTSNRPRRTSTSRILIALLHYGGVPEEFFMELLQNAIEDAENARFDYGDALNIAFSYADMEDSMSARMILAGIPLDELYLQSRLTFMAKQERKGIKEGKIPIDNCYYLMGTADPTGILAPNEVCVILDNGQLSGDVLVYKHPGLHFGDIHVLKATYIPGLEEVVGCSKYVILFPTTGQRSLADEMANSDFDGDIFWISINPKLLEHFKPSKAWEWEQAITPKEAKQMGPQDFNESELERLLFHEFLKARFAPSYALATASNNWLVYMDRLLTDPVDESEKELIKEKMLKLVDLYYVALDAPKSGKKVNIPSDLMVKQYPHFMGRSSSYHSSSILGKLYDKADDANLLRFDNVQPIGISLLPCFTERVAPPTIKNLWQRRYNEYLSDSSKLLNDKAVDKEEKNMKFEELYKKYKHMLYDASEFEETPRNFGDVFTDACAIYQIVYEKARRENAAARCCFAWNVAGRALCHYYALKNEGDTVVCSLPLLRSYKFSKKYRK >OB01G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3958430:3962596:-1 gene:OB01G16280 transcript:OB01G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELCVLSSDTYVCHHVHISEGVGEMVTCSKEKVPDLFDAVLGGLGQFGIITRARIPLAPAPARARWVRFVYTTAAAPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTPLAPAPARARWVRFVYTTAAALTADQERLIDVDRRRGGEVGGGGAVGGLMDYVEGSVYLNQGLVENWRTQPSSSSSSFFSNADEARVAALAEEAGGGLYFLEGAIYYGAAGGPSAADVDKRMDVLRGELRYERGFAFAQDVTYAGFLDRVRDGELKLRRAGLWDVPHPWLNLFLPRSAVLRFADAVFHGVLRRSAAMGPVLIYPMNRNKWDSEMSAVITDDDDGDEVFYTVGILRSAAAAGDVGRLEEQNAQILGFCEEAGIACKQYLPYYSGQAEWVERHFGAKLWPRFVQRKSKYDPHAILSRGQRIFTSPPA >OB01G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3957932:3958189:-1 gene:OB01G16270 transcript:OB01G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPPGSAAAAGDVGRLEEQNAQILGFCEEAGIACKQYLPYYSGQAEWVERHFGAKLWPRFVQRKSKYDPHAILSRGQRIFTSPPA >OB01G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3951423:3951671:-1 gene:OB01G16260 transcript:OB01G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQEQSSSSIAAYISSSVAAYKCTHHRFCQPDLRPCQPPVQKPCRPPPHRPLPCQRQLLCQSGRLRRLPLFRRPGLCPRAL >OB01G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3951263:3951929:1 gene:OB01G16250 transcript:OB01G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDDHAAAGEGVVGAGTAPGGGGAAAAGPSIAGAGRAGKAAADQGGGVPAGSRAPVGRGPAGGRAATGGGVPTDRGAAVGKGGGDGAAVGRASGPVAGRGVGPAGRTDEKSYISGARAMLRTKQNHQLHKLLSRAAPG >OB01G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3942883:3946003:-1 gene:OB01G16240 transcript:OB01G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT5G11870) TAIR;Acc:AT5G11870] MRNLLGVPNTLNVFSAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMLSASSLLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNFVPCVAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAVVSLILNVMLSFRNVKIVRDS >OB01G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3940993:3942615:-1 gene:OB01G16230 transcript:OB01G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAIHAHALHLGLHAQPVVAGQLLAAYSKLGRAAEARHVFDAMLPSGRSTFCWNALISAYSNACDPDAARHAFAPMCAAAAGARPDAVTWTALLSAHARCGKHPDVLELFGDMHRSGCEGNAESMAVALSACPYTGDLALAKGKAIHNCGVVRGVVHGYLFVTNSLVCMYGKLGEMEDAKKVFGDAAEKNTVTWNTMITSYAAAGLCDEALGVLDQMERIGGAVAPNVVSWSAVVGGFASSGDTGRALELFRRMQRRWLPPNVVTMATVLSACAELLALRLGRELHAYAMRAKLDRHSLVENGLVNMYAKCGKVAGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFADMAGAAVAPNAVTFVAVLSACSHAGRVPEGRRLFDDMVREHNISPSMEHYTCMVDLLGRAGLLGYASELIETMPMRPDPCVWGALLNSCRIHGDAAMAEATIARVLRSEANGTGHHMLITNLYAMCGMWDKSKKVRVMTRETGLRKNPGQSWIEVNNTVVAFTSGGTPPSLPGAEDVFRALDTLYAEM >OB01G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3938316:3940993:1 gene:OB01G16220 transcript:OB01G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLVRVFLTILANMLLQSPYQEPHSPPPESSQCSTPLASESGAKRGRGRRKQRGLDGGDKVVSVYEEKGAKPSPFPLLLLCRATKRRITEVYDEMCQIARNKRNDFGKVHEFINCLVDARNELLHKSETVQRSCRVKKALLSNPCSRRANSYERLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSHSYKLLTELSAMMERADREEALAAEATEMTFEELLALEKSDAAFWQRHRKLTSISSK >OB01G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3927413:3931896:-1 gene:OB01G16210 transcript:OB01G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G55150) TAIR;Acc:AT1G55150] MSRFDGRAADPSPXGFARSEGAFGGGTRAFAPPSKADSAAAADLDGLPRFEKNFYVESPSVAGMTEDEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEISKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCVYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVSPELANMGRGAPPASSGSRDRYRGYGSGRSWS >OB01G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3918007:3918345:-1 gene:OB01G16200 transcript:OB01G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGWHNPLLCAADPFCPPTYLSLIRRLFMISFCLGHRSSAIVCVSYTTHASDKKMNSLFMFLSDKNENPTLFSPGSFSCLANNRGSLIASVRMFCSGFSCSKLFYLSRSSD >OB01G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3915604:3923329:1 gene:OB01G16190 transcript:OB01G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVAAVAGAVCVWVVVAVLCREFVWRRRRLLGGGGGRKGAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRREMHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLMELMGESSILRRVHGLVGAFFKSSQLKSQVTADMHRRLSSFLSRHHHHDPSSLLRVQDLAKSVVFEILVRGLIGLEAGAEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMAKLIQRIIRDKRARRGAAGSPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLPFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDALYDEPYKFNPWRWKEDMSSGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRLTCKEHDDDD >OB01G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3904957:3905921:-1 gene:OB01G16180 transcript:OB01G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGECNKDKGFRTIFLGSRIHQQAKRDLNSDLAQGPRSYCMQLTRTLVKCSLGLYECGHKTHEQEENGLGERKWQEKKRGMGENNRLVVPAADCSTPVPPGRPSHYHRTLQMIQGSLQQ >OB01G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3902971:3903135:1 gene:OB01G16170 transcript:OB01G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLIGTDTIDHLVAFSAQIGHIVKTQPNLTIRYICIACMYGSTCIVFLVLLL >OB01G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3899843:3900778:1 gene:OB01G16160 transcript:OB01G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHEPKWWLGEPLWVTAADQGIRAATYFWPGSEVKKGSWDCPDKYCQHYNGSVPFEERVNTILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVERIDEMIGKLIAGLEARGVFDDVNIILVGDHGMVGTCDKKLVILEDLAPWIELKEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVDNGAYLKMYLKEDLPDRLHYSDNYRIPPIIGLVGEGYKVEMKRSKKNECGGAHGYDNAFFSMRTIFFAHGPRFEEGRVVPSFENVEIYNVIASILNMEPAPNNGSASFPDMILLPSE >OB01G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3893462:3893815:-1 gene:OB01G16150 transcript:OB01G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3KXA9] MNYAIVVLVVVFLSLLWHPASLIVFLVCMVAWLFLYFLRDEPIVLFGRVVGDGAVLAVLAAVTLVLLLLTNATANIVSALLIGIVVVVLHAALHKAEDNVDDEVGRWYTPVPPTPSH >OB01G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3891897:3892190:-1 gene:OB01G16140 transcript:OB01G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLISFSHFPADTFFLVLSTKFRYFFLISGTYHYLLTLFLKLFCTPCLLSHFIYGNPFQFPFPMAVSPYNALCMHVFLICLPCIFSVWPIFYTKTS >OB01G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3888661:3889140:1 gene:OB01G16130 transcript:OB01G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRGGRGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGDVEEEDGAVRLSPKGHNSRALPATSRAAPPSARGLPSCPLLGPA >OB01G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3873372:3875803:1 gene:OB01G16120 transcript:OB01G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMQLTDRYNEQITDRSISRVMDSSSSSWIHVYAGAGAGGNNGFMCGYAASCNPGEFEQQQVVVSSQIQDQLNQISMHMSMDDESAVYDGASMVEVDDLLMTSSAHHGGCFTSSSSSSASFPSASVSCSPESPESSAAAHVLGAPAAAAAGFLYPEVSSQAAPLPLRRPPLVPYEPEPQHQCHGSYTDLQSPASGRGAFKRYARHLGPRRPPNKAPGQRMFKTAMSVLAKMHVAMTYSRQYYYQQAAGGGGGGGAPEPPSGNQLQHMISERKRREKLNDSFVALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEKKNRELEARLAMAKNDGSETAAAAAAAPDAAGDDTKREDELVEIELTSGGAGAAVGSGQDEETCCTLNVAVTPPPSRRGGGGGMSTTDVVLRTLQCLREQIGDGASLGAMSTSAGSGGRPPRANLTLQLKV >OB01G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3869370:3889022:-1 gene:OB01G16110 transcript:OB01G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPRPPRWPHKVHPPRTLLGFLGCRTGDFFVLIEWKLESVRLARSPMSSYACAADSYTCAPAQS >OB01G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3864550:3867517:-1 gene:OB01G16100 transcript:OB01G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT5G11900) TAIR;Acc:AT5G11900] MAGEKPAPVRVLYCGVCGLPAEYCEFGSDFERCKPWLRANAPGVYPEELLASSSPXXXXXXXXXXXXXRLRGVGISTADGSTSAGDASASKPEEVKRLPGGKVKKKDKQEVSIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFVEDGGRKVAAA >OB01G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3859842:3860921:-1 gene:OB01G16090 transcript:OB01G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPSTQAPAPAGNGAGGPPKMYQRPIYRPQAPAKRRRGRACXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGAGAASYLLSRPHRPAFTLSVARVDKLSLSSSATAPALTDSIDVTLTAKNPNKKLVYLYDDFAVTAATAANAVPLGEGSVPGFVHEAGNITVIKATVSASALAVDPTTASTDIKKSGDIAITLDLETKAGVKVGGLKTKKIGVQVHCEGIKVATPAPPAPPAKKKKGVKLSVADAPSPASVDDTATTPSPPAATTVARVCQVRIRVKIWKWTF >OB01G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3855342:3855521:-1 gene:OB01G16080 transcript:OB01G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEDDDDPAAAQGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPSPPTVPWPLPPPPP >OB01G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3840907:3847514:-1 gene:OB01G16070 transcript:OB01G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLACAVLLLASFLGSKGTIVDPSPAVANSPTAQEQASSPPEPSIALGPVTLPSVPSAPSASPPAEKGTVSPAVPTQPQNAPSPVTPPKEYDAPPPVELTPPALTHVAPVAPPQASVVNPAPVLPGTPALLPSVQAPAPSVAKKPNLPIVSPPGVNSPPSRPIGSGNGVLPPQRSLPAVPPSTSGVPREHVKPPIPPHIIAQAPRQQALAPSSDHSNGNTMPPANTSPPHKSNHIPRALPPNESSSQTGSAHKSPISGSAPAAAPFPQNTNMPAIPKNGPSVSHVQPPSTVAAPKPGTSSRYHGRGGAPKKGQHLPFAPSYPPSHVQGPEVSRVPRQDGAKRQKHHAPPPMFRGHTSFPADPPSSAPASSRTPTPSNKRPHVSPTLPPIPPKTAPKAPSAHPIWALPPPPPNLDCNSLACPEPLTEPPAGAPCVCVLPIKVGIRLSVDLYSFFPLVSDFAEDVSSGINMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFSTFESLWSKKVSLRPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFSNSRNARAMKPLGVDVNRPKRKANGSLIAIAVLSTVIALIICTLVAWLLVIRFRGLDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSKVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAELEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGADRETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPSVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAVYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSNR >OB01G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3833164:3834856:1 gene:OB01G16060 transcript:OB01G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQQQLAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPKTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEAVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQHAGAGLLFPQGGGGLQLPAVLDHPAMAMGGHGLMQELCLKREQQQQFAPSWLTAQQQQLETMGSAVSPAAMYGSARLDQEFIGSSTPESGAAQHQGGLSFAFSSTSSAPPPVASSAHMSATALLQKAAQMGATLSRPSSQGQMAAASTHNSTSATTNAAPPPTSNVTSTCVGAGSYGLAFEASHFIGADDRTRSDRATSNGGGGGATAGAGNDGLTRDFLGLRAFSHGDIMSMAGFDPCSMSTTSASSAAYDQGHHHSNKPWHS >OB01G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3832489:3833076:-1 gene:OB01G16050 transcript:OB01G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQISLPATTTTDPPPIMCSKQLAVAPRTSTCRARWGPAATLTREPVSDDETIEAGRGRRLAPPPPLQLLAAATTTTAYPACTCSVTTGIDGITELSTPSLSPRTELMISISFSPPINRSIGLSSNCERAIREREREREREREREREREREREREREREREREERDRVNWLAQESFYLVLLARVDERERNELS >OB01G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3819903:3820373:-1 gene:OB01G16040 transcript:OB01G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVFYNLFCILNNTMQRLFTTREELKDAADRVLENHAYKRVNDMMYQLRVDVVKLYFHIVRGEGIKNNVACAIELSKEEHLQARIEWVSEDDWPSLCHHWETKQYLEKRQKARESRLQSKNDTRNRGGSRPFTETQQWLVLNLSINQLSLSTTFY >OB01G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3814391:3814760:-1 gene:OB01G16030 transcript:OB01G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAIDDDLLDELMQSGPEDEANDRKFEETIATLASIATKYLDDPDPPSPELLDWAVGPELTVRRMAGDFASKLADFRRGLSVFSGTGRPEEAALRKNAAWLDARCAEATEIVSAMRPLQDKHL >OB01G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3808804:3809280:1 gene:OB01G16020 transcript:OB01G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAGIRRGLTIDPAGEEEAPAERVGRLVRESPVVVFARQGCYMAHVMKRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRGPHGAPPQRPPGPTPQGGRRPLRLAPPRVHARFVSLPARANSEASSSSSLPPQSLYHGQLHVHKLG >OB01G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3807696:3808049:-1 gene:OB01G16010 transcript:OB01G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSLVTETLLFFFFDWDPQFHGGFFRRNPVAGNWKDAEEEEEEEEKDDAGAPPEFGGRYAQSSAIVPRSAHADRRSVQEDDATGVSSNKNAVHCHVIAPLLGTYSYMLPDLAGRPS >OB01G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3787907:3792778:-1 gene:OB01G16000 transcript:OB01G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLFAASTFQVAELTNLFQRRLLDVLDKVEVDNLLLILSVANLCNKSCPKLLERCLDMVVRSNLDMITLEKSLTPDVIKQIIDARISLGLISPEDKGFPNKHVRRIHRALDSDDVELVRLLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARSADLTFDGRKAVQISKRLTKHGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQVGEASVSLAMAGDSLRVRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFNLSSGENPLPERKRTAVDLNESPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPVSLGRDTSAEKKRRFNDLQDVLRKAFHEDKEAYDRSALSSSSSSTSIGAIRPRR >OB01G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3777586:3779983:-1 gene:OB01G15990 transcript:OB01G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 6 [Source:Projected from Arabidopsis thaliana (AT2G26180) TAIR;Acc:AT2G26180] MGGSGKWVKSLIGLKKPDREDCKEKLQVPSGNGGGGGKGRKWKLWRGSSGEHGSLWRGSRGGAHHRPAASEASDAAGGGGGVPPAPFTAAVGTVARAPAKDFMAVRQEWASIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILRDAEEGWCDSQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGATKCNNLQPKPTSYGRLNQSGMLLKHQSFDKSNGNWSWLERWMAARPWENRLMEEHNQTRSSSPDLLSSKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPVASHHHRVKAQSISSLSTELHNDESSASSSSCFASTPISFSTIVTTEKNEDSVRTRPNYMNMTESIKAKQKVCNAQRTAAAAKQMEDRKVSGVVDVKVA >OB01G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3760888:3763448:-1 gene:OB01G15980 transcript:OB01G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLEECCWEMQLQQQQKRGAPQQQVVVVPIRVRKDGWASDAILYVNVELVSLNTPSESIGRTVSFRESRARTIPAPAMRDDRKSLEAGTYHEVLDLKQLLDLADREHKVAVYNRSKRNSDSSSVSSMSSSSAISSISSASSSGGASPEPGLTSKRRLLPWRRKSRDFDKRSNESLSQELPMKFMEDDPTGSWETREFTSRDAETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDEAHMERFPNRHFDLDTVLATRARPIAVQHDRAFVGFFQPESFASLSGAMSFDDIWREISASSSSGAGADEPGRADVYIVSWNDHFFVLKVEGDCYYVVDTLGERLFEGCDKAYMLRFDATSEMRSVPPPSSSPAKEDEEVIVTGKECCREFIKRFLAAIPLREELELEKKGCADAPHRRLQIEFHFTVLKEDDDQAR >OB01G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3752659:3762235:1 gene:OB01G15970 transcript:OB01G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKISVKEIFVGVSSQGRIFLYNKSRKISMGPAKGSRTITKITIKGYDDQQHDEDPTSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYHRYGKNWKKISASVGGKSADTVEALYSAHRTFLSLPEREGTAMGFVALVTGHHNVSQDESKSHKENDQMGRSSGKARKRGEATGQKEKEVCHVHSSYHERTYGLSSFKKRYYGELVKSIPRHPTGRRTPRVPVIVPADMNAAHAAAPEIENAINYTKKDSEAINNELGCSPDGSSGISESAKVVQGQTSLETKGTVDSQIHQTLGGLKKRRIKQSMDHGQAVKDEHETTVYQSLMRMFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGKDDSALSHRREEGPSSVKRSKQGKQAGECNSSKTRNKRRKKLIAEEAPTEEPNISNHLDLLEERQVDATGCALNSDPERGMINLPESTANISSEVPDRLPPMKPEINMSRRTKRKSMKQCGSKYAICNGADSLQARRLHHCLSSESLRRWCTYEWFYSAVDYPWFMDNDFVNYLNHAKLSHLSRLTRSEWSTIRSSLGRPRRFSDHFLVAEKEKVEDYRKKVRQYYADSRDSLPPDLARPFLIGQEVIVRHPRTRELCDGKVVMMEHDGYMVQFDRPDLGVDKVKDTDCMPVNWSDNLPDDLKKRIFLPNNSHSRAEAEQIPKLTSKENWDHISGEAEPSKTMHITSDEQIEIAVDIEGLPNKSASSNCGPLQPLQSVDDIVRARDWSKHSNGYNDELISSFVEMSLSKAKQMVDEVIQTIFENGKSSLEETVISNETTETKSPEPEFAAHSELPCNLIFNCVATILAIKHLSENRHPPANIAGVLERACLMLRPSCSENLPIYNDIENCISVIKNQIVALVPTTSSNARLPMYM >OB01G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3744351:3748436:-1 gene:OB01G15960 transcript:OB01G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFGTKRWMKREWKEEKDDEVVREEKIEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADKVLAIVQETWMDPSLGLTPYDVHKHIGFLKHLMIRTGRNVNTGVPEVMVNFVTSCYKPELLGPLINKITEIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIGDSAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKSPRIVYVSCNPATCARDLDYLCHGVEDKDLRGCYQLKTVVPVDMFPHTPHIECICVLELC >OB01G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3740202:3741038:-1 gene:OB01G15950 transcript:OB01G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLKNAWLSVDGLRIMPNLTHLTLEFIRLDDEDLNKLNECFPCLQILNLIGVGGLKDPKICLHQLNTCHWEVSNVPRSLTIHAPNLVHLELKCVRPDMLILDTPSMSTLKLTMDKLGPNAIVDGLASLKNLRVESLDLKSLLQVFIDNRDITTLELELPASTKDYELFEAVKPEYLLQLFASISEVKLAPRFSREMMRCLILCTINHFRSRSRRLLLHLPPVKDVPLLAPLFENCAPSCEVTILFHADSSDDIRRAVASIWTQRFPEIIWQWGTWN >OB01G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3738167:3739327:1 gene:OB01G15940 transcript:OB01G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPPAPSSTETAEVSTPSVEMLPSDAVEEEAAGDRPDRRSSSSSSSSSSSSSEASKAENLFHPDAVETSSIPLVVVVTSPGDEAVASGDGAVVRRPDEEAAASGDGAVVRLPDDEAAVASGDGAVVRRPDDEAVVPGDGPVVRPDDWASWPQQPPAQAADSPVVEDGGVPGLTTQAPEVQTMAQPAAEPAPAAGFDPGRIPASIFQAKPPSSSQAEWSVASNESLFSIHGARPSTDLCGFYAGESRSHFDYFYDEAMAAAGGAGHADWGGGXGRAAGGRTPAAAGAATGPSRRRPSRSGATRAAPPAAPATSPSPSPYCRRRRRRRGRGSTAGGGGGGSARRRRCRRSRR >OB01G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3724210:3737127:1 gene:OB01G15930 transcript:OB01G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSAETCSCPPIPPSGLSELPPMPSDAPSPPTSMDPDRRLLDLGGSFTSLLAPTPAPLPGGHFTTTGFLLGMAPPAPSPAPPAHPPPTHPHPPVSQAGPEGIWAMGWPHLSI >OB01G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3722733:3723224:1 gene:OB01G15920 transcript:OB01G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAAEDRPPLPPPQPQADKSLDNLYHRGISPARAVGRSNPCPCTCTGLLRSCLLACLSVAGSPNRFVIEETQRERERDEEDRVQMILLQYECMSVRASGRAGLGAYLYSLMPFLVLHGVRTDRSSVLDPPVSLANLRLPVGLPLLFIATSGEKFSEMPPLV >OB01G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3719879:3720229:1 gene:OB01G15910 transcript:OB01G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYANSCGDDATGAGVTLPSTLAMLVMQMMLPLRWSTMTRAPCLTPARTQRMLIAMTTSKLARSSEPSGRCARSPRCRRCDDVVEVVVSRHREVDGRDDLNYKLTTVMAVVARSS >OB01G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3710246:3711840:-1 gene:OB01G15900 transcript:OB01G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAFAGSPPLSQVATSAAHGEDSPYFAGWKAYDENPYDAVANPDGVIQMGLAENQVSFDLLEGYLREHPEAAGWGAGATSFRDNALFQDYHGLKSFRTAMASFMEKVRGGKARFDPDRIVLTAGATAANELLTFILADPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCDSANGFQVTAAALQAAYDEAAAAGVRVRGVLITNPSNPLGTTVKRRALEDILDFVARNNIHLISDEIYSGSVFAAPDLVSVAELVESRARRGDGEGIAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTTARRMSSFTLVSSQTQKTLAAMLSDEAFAESYIRTNRDRLRERHDHVVAGLARAGVPCLRGNAGLFVWMDMRRLLGDGEATVAGELRLWDKMLHEVKLNISPGSSCHCSEPGWFRVCFANMSLATLDLALARISRFMDRWNKATSQQEQHY >OB01G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3694212:3697415:-1 gene:OB01G15890 transcript:OB01G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDVERISFGGKEHRVRTRYGSVSVSVFGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVPSVDELVDQVADVLDFFGLGSVMCLGVTAGAYILTLFATKYRERVIGLMLVSPVCKAPSWSEWLYNKVLLNLLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGANVWRFLQVINERHDLTDALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >OB01G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3692925:3693140:1 gene:OB01G15880 transcript:OB01G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLRCDVASWSPVSWFGWDGLHNPGLTRLAVLLIHGRPRSLLAVSLIAPSSPWRRFISNWPHTQTQTT >OB01G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3683573:3685421:-1 gene:OB01G15870 transcript:OB01G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCTGHRSTQSSGSGSYGGGGGGGAGGVRLFGVQLHVGASPLKKCFSMECLSSSPSPAYYAAAAAVAASNSSPTVSTSSSLVSVEETGEKMANGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVVENAEKAPSVNEMGFPALSLGISSRPEPAEAMLPPPSLTLTPSCSPAAAAPRIHPSSLMVAKPQVQPDLELKISTTRQNDQPGSSPRTPFFGTIRVT >OB01G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3670684:3670920:1 gene:OB01G15860 transcript:OB01G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRLPTIQNLAFCWWRPSAGRPSASDKPIPPKNEPRPVVSKNGPGGRRGTASIDPDKISLFPSMSWSEHACRQRAKL >OB01G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3656196:3656537:1 gene:OB01G15850 transcript:OB01G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRAPPHLELVHPELVARVRVDRGRHRGRLLLVVSPHADVVRRQVLPQRGDQVRRHLISPSRTTSPSAKNINAAHREVRPRRDATDAFPHHHHGRRRATTLGRLPSVDGLR >OB01G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3655327:3656483:-1 gene:OB01G15840 transcript:OB01G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSGVPPWSHLPVSGVDVLGGGGGGAGGGDEMTPYLIAALREYLPSNDVGVGADDEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRSVASSPLAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLSKVKSSPSGGWSYPASSTTAAAGPPPPPPPRAPPGGGPPASSFMANLEPIDMGLIGEEEPVQRVESGRALREKVFERLSRDGAVSGGAGATTATAGVGPDVAWVSDLIN >OB01G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3640678:3641583:-1 gene:OB01G15830 transcript:OB01G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDREAVKRGPWSPEEDAALRDYIQRHGTGGNWISLPAKAASNWSADTGVVVGGGGAGLFPDFCMSSDLTAGAATAEDDHFLGGYYYPLDPSLSLVE >OB01G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3631561:3634667:-1 gene:OB01G15820 transcript:OB01G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESCCHEEKAPPHSQEEKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHSNILRLYGYFYDQTRVYLILEYAAKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDNHVDIWSLGILCYEFLYGAPPFEAKEHSETYRRIVKVDLKFPPKPFVSPSAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPSGVYRG >OB01G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3627441:3631329:1 gene:OB01G15810 transcript:OB01G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3KX75] MEAVGVAPAPAAVVPERKLLDLKESLRASPAPPAPSVAAKWAAKKKLVGGDGGYVLEDVPHLADYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTISLTPKSVNDIHKRGGTILGTSRGGHDTSKIVDSIKDRNINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESGENGIGLVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNLVDTRDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLSSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKEKDSSKCNGTAPAV >OB01G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3612359:3617643:1 gene:OB01G15800 transcript:OB01G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGALKRHGLDGQMLNIAIRSASTSVAQRSSGGFWSWLTGARSNALPPPDFTLPGVTIPPPLPDFVEPAKTRITTLSNGVKIASETTAGPSCSVGVYVNCGSVHETPETLGVTQLLKKMAFTTTTNRSHLRVVREIEAVGGSVKASANREMTSYNYATLKTYMPEMVEVLIDCVRNPAFLDWEVKEQILKLKAELAEASSNPETFLLEALHSTGYSGALANPLIASESSVGRLNTAVLEDFLAENYTAPRIVLAATGVDHDELVSIAVPLLSDMPSVTGPSKPKSTYVGGEYRRTADSLNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTFSWGRHGKGLHSRLNHLSSEFDQIKSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLVTRELTSLATPGQVDQTQLDRAKATAKSAILMNLESQVSASEDMGRQVLAFGERKPAEHLLKVVDGVTPKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFHSK >OB01G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3606586:3606792:-1 gene:OB01G15790 transcript:OB01G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFCPPSLSCIKLVKAAGCLPRFFLYVHLFHTPCSLVCSSTAAPLVLALFGLPPLVPSFRCSISMISSP >OB01G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3600328:3606750:-1 gene:OB01G15780 transcript:OB01G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGAASAATTAQAEQRRRDSGSGSCSSRDHEVSATSYPAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGVSADQVPSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPAVSSIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQKLDRRSAGLEELIMGCTSSSTKGEASIPHSQETEWPYPSYWPPDNQDHHG >OB01G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3597395:3597646:-1 gene:OB01G15770 transcript:OB01G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNIISTKPSQLCSKQILVNTLLFNMLIILFSLNIFFARRTMHSSTGVYNKQLGLLSLGTCGLVILTWHLTWLLVDSLSLID >OB01G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3591693:3592939:-1 gene:OB01G15760 transcript:OB01G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLFHVRRLVCCFLAVLPASAGAGDVSALSFVDRLRQIGIPVGDGDYCDSWRLGVEANNVRGFTTAPRKCDNYVENYMRGHHYRRDSKVVVDEAVAYAETLHLSSAAAGNATWVFDVDETALSHVKFYKRNGFGYHKFGEPAFMEWLIAGKASALPNTLTLYKKLLLLGIKIVFLSDRPDTPELRNATATNLIKEGFDCWDDLILRSENSTTTGSVVEYKSGERKKLEEEDGLVIVGNIGDQWSDLLGSPEGHRTFKLPNPAYYVDNYMLAGVQAVATPVSSSST >OB01G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3584120:3584777:-1 gene:OB01G15750 transcript:OB01G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:J3KX69] MKDFNEPGSLAPTGLHLGGTKYMVIQGEPGVVIRGKKGTGGVTAKKTNLSIIIRIYEEPMTPGQCNMVEQGF >OB01G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3578261:3583472:1 gene:OB01G15740 transcript:OB01G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPNAAGETDSNISSLPHWIGVECAINRSLRFSFLQINVLVLAGREEICCKNMAEKKRIMVAFPPFTNLATKKKGYNLSWRCFLGFVHEVCNKNLYLETDYVFQGPNGEEQEETIRYLEMESNQFTGDNAESKIPRFLSSHNCQETRRTKKSKQFLRMKFVSAYLMAVLAGNSNPTAEDLTAILESVGCEIDSEKMELLLSQVSGRDITELIASGREKFASVPSGGGAAVAVAAPASGGAGGAPAAEAKKEEKVEEKEESDDDMGFSLFD >OB01G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3561040:3562179:-1 gene:OB01G15730 transcript:OB01G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGNRAMEIVAVMNLLMSCNGERRLIRCCSPPPLQEEVAVPLGGRLRRAVEAAQHGGLEAERLLDAVARPLHADAAQARPGPQVPLAQRHPLAGAGGGDSRLRPLLRRALGTDLRLRRLRAGHVASAVRRRAVGAATAAAATAHALRRCHWHVRQARRALRRRRPGLDLQRAPVVLREVARARRRYPRRRAGELVERRERRRHRVGVPLLAGAAGVDHGRARPDRRRPRLGPPRVHLHDLGAPVVPVQRRLDARRQAAAVRRHAMLAPRVVHVPLLLQTQETQGKELSPTSSDEHIKNGSVTRFARKRSWSHAPGSSAAGREGGGGGGAAAARRRATSGRRGWRPARGRAPACSAASPPPASAPGSHAPAPAASPAP >OB01G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3557356:3562086:1 gene:OB01G15720 transcript:OB01G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKFYALCFDDTLYNQMHQTKFIDFTSAFSKAWAVKRPRNRLCRAIESEQLSTSHPPGPARASSEDGTSVAGALAAVEVVRLTSQGPPNSSVFAVLDPRGLAVAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAIRAARSSRAAALPPLHLHHHPPVRPRYSLQERYMDDTRSEHGVAAYSRRLSASIESSLYGYDRSPKIVEMDTGRPKSRSSSVRTSPPVVDAGGAGEEWYANSVSSPLPPFNQLPGAPPRISAPSARHFPEYDWCTLEIKARPATAQSTPRLAHMPVTPTKSVCGGGGGGGCAYGASPNCRGYMSSTQSSEAKVRSQSAPKQRPEPGVPAAGPRKRVPLGEGNLGARASLSGVGMQRSCNRVQEAFSFKSAVLSRFDRSSEPAAERDRDLFLQRRW >OB01G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3552325:3554985:-1 gene:OB01G15710 transcript:OB01G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3KX65] MAAAAVEAEQVVADLRERCATPASLLRDVASAMADEMGAGLEEEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVQLGGNKKHVVNSESREVTIPPHLMSGTSSELFGFIASELAKFVADEEENANANSLNGKKRELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMSKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVERANAIPKWEGELPNSGDMVINMEWGNFYSSQLPVTEYDQELDKESLNPGEQIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGDIDDSKLKAHFHLRTPHISAMHHDETPDLNIVAEKLHQILQITNTSLETRKMVVKICDIVARRAARLAAAGVAGILMKLGRNGCANTQRSVIAIDGGLFEHYTKFRECLESTLGELLGDEASKSVAVKHANDGSGIGAALIAASQSQ >OB01G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3549680:3549838:1 gene:OB01G15700 transcript:OB01G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRVIMTVLTSCLISTYVAWKSVIRRVVKLICGALWRCNFGCILLCRHVSN >OB01G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3548277:3549005:1 gene:OB01G15690 transcript:OB01G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3KX63] MAWRSGIGESGLELSLGLPAYFSKNSSASVQAEGSNRAAAFAVQSNGSSSSKARLARTAAAPVVGWPPVRSFRRNLASSSSSKPATSSSQNAEKSSKDGGGGCSGGNEHAQKGMFVKINMDGVPIGRKVDLTAYGGYAELSSAVDKLFRGLLAGKALHLRRSIMVAVC >OB01G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3536002:3537444:1 gene:OB01G15680 transcript:OB01G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRAVAEERAAKLGMKLEWSDDGGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIAGCGEILEEECVAVPWRRGDILLIDNWAVLHSRRSFEPPRRILASLCK >OB01G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3514929:3515216:1 gene:OB01G15670 transcript:OB01G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLLLIKGKALVVMWIPQKGVPTVAQDQLHQQLHFSMVNKNYLLHFTKSLPLNRMKQLSCQHNILCQHGSAFECHDKLVFYSSYSKITFSASS >OB01G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3511235:3516154:-1 gene:OB01G15660 transcript:OB01G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:J3KX60] MESAAETEKEEVSAAGGGAEDKYCEDTANEEVPVTPWAFSVASGYTLLRDPHHNKGLAFNEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYNVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFGRPQGLYVSLKDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHDLMDEFMNAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKGHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTKAPLEECRKKVWLVDSKGLIVNSRKETLQSFKKPWAHEHEPVTTLLDAIQSIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVDYNGKIHVPGQANNAYIFPGFGLGVVISGAVRVHEDMLLAASEALADQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >OB01G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3505943:3510280:1 gene:OB01G15650 transcript:OB01G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLADPDTQKSVALLKQACLDSGFFYVVDHGISQELMDAVFAQSKKFFDLSTDEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVAEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKLVARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEDQVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLDGRERYSIAFFVEPSHDCIVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >OB01G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3495898:3496980:-1 gene:OB01G15640 transcript:OB01G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVVAEQLMLCSTQDETTNSNQLPMLHLVKQKEPEFARHLSDLQLRKHEDSEFTEPSLAALDLEMNHTVPFKAKSVLTMPTFYPALIPVPLTLWPPNVANVDEAGTTHEILKPTPVNGKEINKADEVVGMSKLSIGEGISDTIQPSALSLQLTGPTNTRQSAFHVNPPMAGPDLIKRNNSPIHAV >OB01G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3470533:3471605:-1 gene:OB01G15630 transcript:OB01G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSKAVNSDLFDAVLGGLGQFGVITRARIAVEPAPARARWVRLVYTDFAVFSADQERLVAARPDGTHGPWSYVEGTAYVNRGLAGALRGAGFFSDADVARIVALAAARNATAVYSIEATLNYGGNATETASSATAAAAASLAGLRFEPGFSFSRDVSYVEFLDRVYGEEVTLDKAGLWRVPHPWLNLFVPSSRIADFDRGVFKGILQTADIAGPLIIYPVNKSKWDGEMSAVTPEGEEEVFYMVALLFSSVANDLALLEAQNRRILRFCDLAGIGYKEYLPHYSVRGDWVRHFAGGWDRFVRRKDKYDPKKLLSPGQGIFTS >OB01G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3461916:3465799:1 gene:OB01G15620 transcript:OB01G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLASRLGPARWSPYARPRPSDPARAGAGSSVPPHSRPPLRLAAATSRKEAAAAAPYGSRCPREAAAAPDGDAGTKAPQRRGREKPTTKTETRPDRKPPAERGEEEPPVRESSGGGGFAFLRDLAGHTEAISGISLPVGSDKLYSGSADGSVRVWDCNSGECVDVIKMGGKIGCMITHGPWVFFGITKSVEAWNTQTGTKSSLQGPSGLVCSMTIKDGMLFAGTGDGRIMAWKFPADKSDFGPVAILSGHERPVISLCVSTTKLYSGSLDKTIKVWDLKTLQCVQTLSKHKAAVTSVLCWDEKLLSCSLDKTVKIWASSKSGELQVIYTHAEDQGLRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKGVRTIELAAGGLLFTGDGAGELKVWRWAPQEEPAIPAPVKSSV >OB01G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3449697:3460832:1 gene:OB01G15610 transcript:OB01G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGGGKISASGGNGLAGGGGGRVAINVFSRHDDTQVFAYGGRSSGCPDNAGAAGTLYEAVPKSLVVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLIDGGGDSIVAMSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDTIEAQRLILSLFYSIKVGPGSILRGPLVNGSSDDVAPKLNCEDDTCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVIHFNRARSVTVHTSGTISATGLGCRSGVGQGNMLNSGVSGGGGHGGRGGDAFYNGSHAGGGSMYDSADLPCELGSGSGNDTTGFSTAGGGIIVMGSWEYSLPSLSLHGSVESNGESSTDVVTNASLGGPGGGAGGTILLFVRTLSLAESSILSSVGGPGNSGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSILTSGGISKGKGFPGENGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCFQCPPDELPHRAMYISVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLNWLETHANPSMGVHGVRVDLAWFQATALGYCQLGLVVYAVEEPVGAELDGSPRIKIEQHSPTHNTHADTQLGHSRTKDALMRKRITGGVIDSTSLRTLKERRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFVCGLVHYKSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPSIFWQS >OB01G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3438208:3438378:1 gene:OB01G15600 transcript:OB01G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVRAHSLSVSRSSPSSDLFFYIYIYMLRIISFQIFRFSLACLLQKLYCNFPPN >OB01G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3426008:3427033:1 gene:OB01G15590 transcript:OB01G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPEYPDEEFRKGFRMSKATFEMVCEQLAAAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAMKAMVMPKVVRWPEGADAAAIAAEFERISGISGVVGAIYTTHIPIIAPKSNVASYYNRRHTERNQKTSYSMTVQCVVDSAGAFTDVCIGWPGSNSDEEVLEKSALYLHRGVPGLIQGQNQWVVGGGSFPLMDWMLVPYTHQNLTWAQHMFNERAAGVRAVARDAFRRLKARWGCLQKRTEVKLLDLPTVLGACCVLHNICERSGDVVDPDNAFELFDDDMVAENAVRSPAAAHARDAIAHNLLHSGAGASSFF >OB01G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3404430:3412721:-1 gene:OB01G15580 transcript:OB01G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFCGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHLDQQRNQSVIRPSIGSIFGPTDMDPSREPNGRDNHMHTVSSGDPMIQ >OB01G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3402238:3402411:1 gene:OB01G15570 transcript:OB01G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRNSSVSKEAWDETWYGFDWKPPKIHHGLNRIIGVDIRWSTAKRSVQPCTVCKY >OB01G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3401411:3402139:1 gene:OB01G15560 transcript:OB01G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISGMFRTAFDRIRPSIASAAELSSSGFNRLLSLTGSFNSRTGLLAGDGEEVPPVSQAHETTTTAVTSSSSGGAFDVEALATTRDQAVRDDDGAGDEAKRVSKSVQPVCLSVATASLAMSFNLPAKASGALYVANLSFICLGLFASLGLSMYTVVSTPGDAAVASVQKRGMLLAMASVLAAFTLRICATLPGQALESAWLVFFLLAGAAALYLTLAWKLGGGGRAQQRIANEDAAGDDRV >OB01G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3390995:3395784:-1 gene:OB01G15550 transcript:OB01G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B [Source:Projected from Arabidopsis thaliana (AT2G02710) TAIR;Acc:AT2G02710] MSVWEKWRKDDDAPWTMGEEQPRESWKGGMGREKEGEMADRPRHRGCSRRLFPACREEARVDEECPCASHAGEVFVDVDKRGLDTEEPRVASEHDKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIVYASDAFLSLTGYSREEILGCNCRFLNGPGTSMEVIEEINQHICSEQACTVHLLNYRKDGRSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLSPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >OB01G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3386516:3386716:-1 gene:OB01G15540 transcript:OB01G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDWGHLGGSRLIELVCIFLRVYSTDRSCFVFFFLTACKGNMTGNIMVLYFCCVLRSIFLTARMGKID >OB01G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3378470:3379048:-1 gene:OB01G15530 transcript:OB01G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHDTSYAAYSCPSLCFPPQPLMADDDGAAARGGFFFPPASFGDFLELGHPEYSLPPPPQQQQAVIGGVGEYYGVPSPSSSTMATTSRIGFRTRSEEVEVLDDGFKWRKYGKKAVKSSPNPRNYYRCSRRPHPRPPRPRRPPVPFRRRRAGLDLSSSGAASLLGAAASRRRRRRALVGIVVLIIHS >OB01G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3373356:3375380:1 gene:OB01G15520 transcript:OB01G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVESPPVMIEEKKVDAAVIGSGAPPIVFESFASTQRDSSFVVKKEEKMEATKAEMGEVREENERLKTMLSRIVSDYQSLQMHFLDVVKMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMAILITTGGGAAPAAVTDTIAKAITSDPSFQSVLAAAITSYMGRGGGAAAQNK >OB01G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3355373:3364359:1 gene:OB01G15510 transcript:OB01G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFRRVDSHGTPKITYLHLYECEAFSIGIFCLPPRGVIPLHNHPNMTVFSKLLFGELYVKSYDWVEASQDSTDVQLQGLRLAKVKVDGILNAPCETSVLYPEDGGNLHCFTAQTACAILDVLGPPYDDGSGRHCQHYNVSLSSPSAGDSRSLPGGDGYAWLEECEPPDNFHLVGSNYMGPRIVDN >OB01G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3361390:3361632:1 gene:OB01G15500 transcript:OB01G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVVGPCLFLRQYLFLPLCRTYPDCCAKCQPHCKTVPPTCMQIDYFKKAGQWGRTQCQMLLRGGEASNLRWLAHHPWC >OB01G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3348671:3353278:1 gene:OB01G15490 transcript:OB01G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGRVLVDLDGDVLANCARFLGARDVASLAMACRPLRAAAYCDAVWYRLFRERWPFQHLPRGALGLRELYIHRHTEVHQMKFADPISAIYYPNPAVVTPSHLMLDRNYIWLSQGPMARRLRVDCPEIELVETYRSHSARITCMRLFPLIDTPLFRGDSQTNEKALVTSSADRTVRVCWKGHSRCFKGHSGPVTALSDKLLGDGEFKILASGGEDCTVRLWSMSLRGKNHPLISTFHGHEKTLSLLSVAWHKPSLLVSCSKDSKVKVWDTKAPPSSGSSSCVGSTHLGTNGAPIAVKCHDSLCYIAAGSEVTAIDLRTMKKASVLALRDHRILSCEMLPSEWLICTGIKDKALLWDIRMLQEPPNTVAELHSDDRVTFLHLDPYKVITGAPSDGQVHVWETRTGHLVNTLSCGEPAKSVGISTLSAMAVDGCRIAMVGSSLELDMVHYRDFLMSSIPISLPGNSKEVSKFWGAQQYSDDEDDNDDDDNEEQDSVQVQQALQFGNQKL >OB01G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3103744:3347750:1 gene:OB01G15480 transcript:OB01G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFKVTRISEGAVKPAAATPEDTLPLAWVDRYPTHRGLVESMHIFHSGADAAPGVIRDALAKALVFFYPLAGRIVEPEAGSPAIRCTADGVYFAEATADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPRVKPVWDREKFPNPSIKPGPLPELPVLALDYIVLDFPTGYIDSLKAEYKAHSGKFCSGFDVLTAKLWQCRTRALGLEPGATVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSEKVLGSSLVEVVDMIRQAKDRMAVEFFQFAKEETAQDPFQMTFNYESIYVSDWSKLGFADVDYGFGPPMFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKDHSDEFVRGMKEDLP >OB01G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3343494:3344099:-1 gene:OB01G15470 transcript:OB01G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLHHHDGVMGLDAPAVVAGVGDEVLLGEEEGALQEPDVLQAAVGGGLGEVDAVGGAADGRGPGLGLHDAAGERVEEDEGLGERVADDARGRVGAGVEDVHRLHQPAVGRVPVHPRQRQRVLRRRRRRLHRALRDPRYLEAPRHGCLFFFSLFFFFLFFFLLSLRVVVFERGCELALNGARRVGEWVAVVWAPRGDVGST >OB01G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3325831:3331892:-1 gene:OB01G15460 transcript:OB01G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISRCDKSVGNLLYTVATKYPTNALVHRPVLIDYVVSMKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGQVRKRHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKPAKVEDQKAAVVTALPPSEEELNPYSIFPQPEENFKVHTEIFYSDGNIWRAHNTKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYASDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIDESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRAMVVLNPLKVVITNLEDDKVIDLDGKMWPDAPANDASSYYKVPFSKIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTKVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQAAPGVEPLKVEVRLFEKLFLSENPAELEDWLGDLNPNSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTTENLVFNRTVTLRDSYGKAGPK >OB01G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3320890:3325243:1 gene:OB01G15450 transcript:OB01G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQLGMGVGRVVLLCGAGFVGSMAVRSGSFADILAEFQLAMEDQEASGSSGITEITKQLDQLATQLREHVSRAAVVYVDSAGNGVASSLVGPAAAAGALSYGYMRWKGISIASLMYVTKKNMANAVESMTTNLKQVQTSLAAAKRHLTQRIQHVDDKLEHQKEISGQIRDEVTGARLKLQNIGSDMQNLKEMAEGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVENVQRTARLAGITGDKKQLLGLGQLLAIEPGSRAGGLHSTSPLLQFNAPSSARHSSTDRSKVL >OB01G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3313168:3319641:1 gene:OB01G15440 transcript:OB01G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:J3KX38] MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSVTNFMQKNMGLSPDEKQLSVSGQNWGGVDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFVGDENRTAAQILWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTIVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALSREVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGASGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASDSGGEKEKLSKKEAISSKPPVKRKAKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERATMKNNNPDLPTTEIAKKLGEMWQKMTAEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >OB01G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3307816:3312587:-1 gene:OB01G15430 transcript:OB01G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14240) TAIR;Acc:AT5G14240] MADYHFVYKDVEGASTQVDADEQPKSKEWLDAREPDELEDLEDDLDDDRFLEQYRKMRLAELREAAKAAKFGSIVPITGSDFVREVSQAPPDVWVVEHLLFVDKLSESIRGNQIISHNIYMDCGGIAATQPESHLRSFKPALHLIQSDKAASLLVYMCFTLIGDCIPNYPDRNVPTILVYNNSAVKGTCVGLQKFGGKRCTPESVALALCQSDPVLNDGQGGNDSSRDNVIEGVRRKFIEKVVAQHEEREEEDDSD >OB01G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3301932:3308020:-1 gene:OB01G15420 transcript:OB01G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) TAIR;Acc:AT4G09730] MAGSLGCVHGAALGRVLTRAKMMTALARIHGAKTALTTLDDSSGSGTPFNATATIAAGTSSPATITDVGFVQDTYAAAGKAPATSRHELLLERLRLRHLKGPSTAPARPSAREKAGRGGAQDVQQKRRVEVVDSFEELGLGEEVMAALGEMGISKPTEIQCVGVPSVLAGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAVLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLSGSENKLEALLQVLEPSLAKGNKIMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVALATRIEEAIKKNESLEALTTNNVRRAATSPHHASTKGRPSGLKVVNQKGRRGVALSTKSSRVVKDTTSSRRSSRVVKDTTSRRRSPAKNQPKSKSTSSGKAKPVRSAKPSKSGSPKVAKSRPRPEGRKGDALNKLGTKLSVVGFRGRSSGKSAQAS >OB01G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3300262:3300600:-1 gene:OB01G15410 transcript:OB01G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPADVKDVPGAYVFVVDMPGLRSSDIKVQVEEDRVLLISGERQREEKEDVNYLRMERRMGKFMRKFVLPDNADADKISAVSHDGVLTVTVEKLPPPEPKKPRTIEVKVA >OB01G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3295234:3299139:1 gene:OB01G15400 transcript:OB01G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPSARTEAGGPPDRGXASATATVSSVPSPPVVVVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFNVVGEAFEDLLNPTLRESERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRCGANEMLPLVVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISAQSKNLPDGMFWLDCGQTGPNMINIDAPFHDVFNRSLLIQKDSYGMRERRLVEYFKQCFPSDISLTTNKELAYALTSLPPYEISISDVMVIHLHYEVPPSEVWHSLNATIIGLAISCDTTEAGRSIPWCAGLGIVRGVDVQRGILYVITPVPLEHLQSVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERVNS >OB01G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3287239:3289593:1 gene:OB01G15390 transcript:OB01G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIACGREYSAMARSRPRRRSSSSVGRRHRRAATARCGARRAGCSRASHASSWPPTSRRAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAASACCSSATPAMLSRTTTTATTTTTRQLLIMMRKCDFN >OB01G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3283957:3284517:1 gene:OB01G15380 transcript:OB01G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERSASSSKATKKHLAALADTSSSDSSPPSMEPSTAQGSPAPATVPWQRLGQAPRRQDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVWAVAVALGNTVAWRATDAAPFLALKLAVWAVTLVLLGFVYHFIFSCKAGDCCNAEHDHAQADVSCTQPERTCHELTAEEKV >OB01G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3279724:3283898:1 gene:OB01G15370 transcript:OB01G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVPRTLEVDSMGMADWANLQTDILGVIVKKLSVPDYLRFRAVCTSWNLICRDVSNPPRIDPWLMLPTNTSVLNGSKFLCVPERKNQTIRLPSTDTIFGSMWVPVGSSHGWLIFYSPSHGSMQLINPISGGQFQLPQIRSRGLSKAMLLDMNDANFTVAVILRDLRGYKVTRKGSNSWSSVESKHDLVDIFKHRGQLYTVDIYGTVELWAEPPRSWPDEDAPQMNAPHHNLVHYPQHGKLNCLVESPAGDLMRVKRQSNDKFVVWILDKGTFSWAKVDNIEDFALFVSYYSSVCFRARDHPNLKANCVYFIDSYSNLCAFNLENGTKELVEALEPAHAHGHPEPHAVRRRPEGQRYMWLIPSLR >OB01G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3277034:3279350:-1 gene:OB01G15360 transcript:OB01G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKVEPIYMLARPISIRPKPTARFDQNVARAAANRRRNSEIFPQPARLQIRKFQTQNFETFRFPPNPKFREILGSSLRARHLANLTAKIFGHLNPGSFRSQITRCNLLPLCVAKIPVSIPSMEFATGSGSVRDLPPSKRFKYVRSSLGLVPCLPAKKRLCPPMTGTAGVPVCLPAKKRAYAAAAVEEGDFALCLPAKKRSYVPPVDDVVTPVCLPVKKRAHASPPPPPPPGKGGVSRSIPVPVRKPVHAPSPLGTDASPRVPSKEGVDALAPPPPLPEKADVSRSIPIPAKDRVPAPPREKAAASPSIPVPVRTRVHAPPPQGTDASPRVPFKELAVNILPPPRNATIPPSIPAKKSARAPPSPNGTAAPVSVCLPSNKRVMPPFLPPSPPPSVNSDEPRAAAVKEAKPQGSSKRGGAITNPGSANGVEDHARAEASKMPEKPINPEVIKEQVLKKSRSSTDTESKDQECKQSCKVISATQSEGIEVEAPKKACNAIDLNEAARDEDSWEEEEVARDPKQEGVAAVEEEEEDDGVHCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLAHSIPDGDWFCSVCSAAKARSTTTTTSKKTKPFRPRCCLCPARGGAMKRTTDGRWSHIACALLVPEVFFRDPDGRDGVDCSRVPAHRFATECYVCESSRGCALECSQPKCGLGFHVSCGLDAGLCIEYQEAKAGGGGVVAGFCLEHTKLWEKQQLTGKYRIVSRGKK >OB01G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3272682:3274414:-1 gene:OB01G15350 transcript:OB01G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGSDQFCHCQGCLGKYTLLRDEENPRLAMFERRLPCFGCGIGWSSFLLGFLCPLIWYYAAALYCFKYYNKDPRERPGLAASAVAAAIFTTATIITLTTMLIICLYK >OB01G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3270658:3270873:1 gene:OB01G15340 transcript:OB01G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRHAAAQAVHHPPHEGWAKGEGAPETRGLNTKGSLDMDPLQYHMSLAATFRTVTLSLTYIVLFYYRYTCQ >OB01G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3269018:3270538:1 gene:OB01G15330 transcript:OB01G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELTPHKHAFTALNKSQGMELVPWLLGFLGKYPEIMVSAACFLLLLCRFRMRRRADRVPTNWPVVGALPAVVVNAGRVHEWVTEFLRAAGMSYVVEGPWGSPGDVLVTADPANVAHFFTAIFGNYPKGEGFAAMFDVLGDGIFNADGESWSCQRRKSHALLSDARFRAAVAASAARKLGGGLVPPPDGLAASGAAADLQDVFMRLTFDLTAMFVFGGDPGCLAADFPSVPFAKAMDDAEELLFYRHVAPVPWLRLQTYLKIGHYKKMAKAREVLDASIAEFIALRRERAADDATGADLLTSYLACQHEIGKDGAELDAFLRDTTLNLMVAGRDTTSSALTWFFWLLSKHPDVEARILAELRAHPPSSTGAGGHRTAAELKRLVYLHAALSESLRLYPPVPFEHKAAVRPDALPSGVAVGPTRRVIGSLSSMGRTAAGGGGPAGGGGGCDAEPWHDGSDDGLHLGEREVTAEARAGAHVERRELARALVQVRGVQRGPPHVPRP >OB01G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3263768:3265384:1 gene:OB01G15320 transcript:OB01G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLWSFILLYPEVFLAIICFFWLSLFRLIRQCQKRTLPVNWPVLGMLPFLVKNLHYIHDKVTDALREAGCTFMVTGPWFLNMNFLVTCDPATVNHCFNANFKNYPKGSEFAEMFDILGDGLLVADSESWEHQRRMAMYIFAARKFRSFAMSTIARKTGNVLLPYLDHMAKFGSEVELEGVFMRFSLDVTYSTVFAADLDCLSVSSSIPVFGQATKEAEEAVLFRHVVPPSVWKLLRLLNVGSEKKLANARVVIDQFIYEEIAKRKEHASDGVQGDILSMYMKWSMDESLSKQKGDQFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEAMILQELKGLQSSTFPRNLSVFECDALRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSQSTRIIFSLYAMGRIEGIWGKDCTEFKPERWVSKSGRLRHEPSYKFLSFNTGPRSCLGKDLSLSNMKITVASIIYNFKVELVEGHEVMPQSSVILHTQNGMMVRLKRRGAA >OB01G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3261730:3262194:1 gene:OB01G15310 transcript:OB01G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAVAVADEAMERVEGGPEEAVAVADEAADGERKEADAAAEEATVVMEEVEGEREEADPVAGEVTEAMEEVEGEREEVDDVADEAAEAMEQVEGEREEGDAVAGSEASLRLALPLGRVKRIIRVDRDIKKVTSEAALLITAATELFLGSL >OB01G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3251339:3252041:-1 gene:OB01G15300 transcript:OB01G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKKQRKPGEVSWPKVVLKKWLNLRSKDSEFNADEEDDDDGSDVDEQGTYCSS >OB01G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3248252:3249608:-1 gene:OB01G15290 transcript:OB01G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFLTVWVRRGLRRCVHNVRVSTVGVGAMGYIGNKGSVSVSMSIYQTMFCFVCTHLAAGEKAGDLHKRNADVQEIHRRTHFTAPGDDIAMPRDIYDHERIFWLGDLNYRIDVAYERAHELIAAMDWHQLAEKDQLKRELRKGRAFDGWTEGVLEFAPTYKYELNSANYVGDDQRGGRRTPAWCDRILAFGKGVKLVRYGRAELTMSDHRPVVAAYAAEVEVFSSRKLQRALTLTDAEVEAGSVVAAAPELDRPGFEAS >OB01G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3242098:3246429:1 gene:OB01G15280 transcript:OB01G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAKPEPAQKAMEGGEQEAAADEMEKKLHKYSRGKAANLGALRDKKLRGQLAAREKLYGHSAKAAALADTWLLPTGLGYLEPEDDLEKTYRYKQESVVKEVDLLSSRKPFDMILPLLGPYTLGYTGNGRYMVVGGRKGHIAMMDMLNLELIKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKHFLLASINSFGQLHYQDVSTGEMVANYRTGLGRTDVMRVNPYNAVISLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAVAFDRGGHLMATSGVDRKIKIWDLRKYEVINSFAARAQSLDFSQKGLLACSNGSQVEIFRDAGSQDYKIYMQHRMVKGYQIGKVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFVDNPIETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKNAEFKKKTKGRSKASKRAKKREEEVLKAKRPFLEQYKENEGRPDKKQRVGEEIKLPKALQRFAKSRQ >OB01G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3241163:3241903:-1 gene:OB01G15270 transcript:OB01G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERDTTTAAARGGAPLPGGERGGVGRTEAAAAARREAGRGGGRRKARRGLAVSYNAATYNRNSQD >OB01G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3239216:3241321:1 gene:OB01G15260 transcript:OB01G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPPPQEAAAPPASMKRSASFDRVPEEARRILHRLAGELWGGDVDPCALAVSQLKGAMTNEVFRITWPGDGEGEGDGEGDHRKVLVRIYGQGVEVFFDRDDEVRTFECMSRHGQGPRLLGRFPNGRIEEFINARTLSAADLRDPEISSLIAKKLREFHDLDMPGPKNASLWQRLRRWLEEARGRCSAEEAREFSLEKLGDEIAMLDSSLSGIDQRVGFCHNDLQYGNIMIYESTRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIEEQRRFVQTYLSYSGENPSDVEVDHLLGLISKYTLASHLFWGLWGIISAHVNKNIDFEYKVYAGQRFDQYWQTKDKTLAANLTR >OB01G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3216783:3236123:1 gene:OB01G15250 transcript:OB01G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASREVDDGPAVLHLYRWKRSQPHLELSEFREAFISPTRRLFGLLSDHGDLILAMTDVDQSQVQSPSTLSDSCSPVLFDCFSSIPRVKSLAWGQCCDASSQLEDSIFNEVLVVSNDDSITLHAFCHSFKSTLTDSSALETRELHGEWKEWHPNQCSVLEDGESDPKNYFRSFLTTISGSVSDGKYQAKFPMKSSLPHSAEVVSFNIFDITLSFLRFWSSNYPSKTQMQNDSESQHGFHGHVPTTEASCNCRWECLKVLPSCSGYLIGLVLVRYEPVSCEVHQCNMKDILIVVLEINQWGIQWNFVADLQNVYDRAVPNQQWVDFQLSDDFLACLNLGGFVVIWNVRTSNIVASFNVLERCRTDLEIPLWSTTPTAKKLDGENTLVRNLAGRMFKRLVLSSYSLVLAVVDEVGVVYVFYGEGILDLKTSMHGNFHPSSMYHCGGSFAAWEAAGHEIGSLTFCSHQSGQQLVYNFSERDSPGVVRPRKRRKHCRSNEDQVDSWPSGFSTTTQMEDELAYPDTMAVSNPLRRVILPPCRLQENVISISPFGLTRVFRNCIADGKKHLRIVHTKFLMASSFLDERDIDASFLERSSFQKDSTFTGECVLCSFQGYVYLITQNSLSVVLPSISVSSFSSHNNGIKFWQPTNAGGSACDALNLSLVNMPETRWKPWHAEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWMQLALHYTKISDLEQLMVLAMRFATRMIKSYGLLKQNKGTPENSVKLHEMAFLLGAIRSIQSRISAKNQNSIRMQGDDKRSLKIGTEISLNNSSLPIVVVDGVSAGQSGGIESRDRQESRPAMFELVSSSNGQLVLTPVESSISATEHHVIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALMSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRQQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAANIVTLPGEDKPVLILHIHSHSPIECGDVDGAVIGSWANVNDYTNLKEFSESSLSDGYWACAAIWSDAWDQRTVDRIILDQPCHAHTHFSWESQFEYFVAHNDVMQVCKLLDIIPDSVLLEGILRVNVENSQATCNTMTNVANQDYKMYLCDSEEPEPFCMEIPHVKVFRSLCNHDSTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGILINTSELCSDVLDDAYYWDCEGALHKLVLHFCVQYNTPNLLGLYLDYCNLALEKDSIPSLKEATSDCKWAQWLLFSRVKGYEYEASFCNALWNLSQDKVRHNSLAAIEIDEILYTVDDMAERIGEMSALATLMYASAPIQKSICTGSVNRNCGISSQCTLENLSPRLQQFPTLWKTLLCSCFGQDGYGCLNCSPTNAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNTPSSEELFTHSVADYIYNTAGYSEVNALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANARQVISAQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTLLLELCGLSANMLRLDIAALQRISDYYKSFHQNKQCELSSPRSPRLHVLSHGADIVPTLARALAEDYVQSDHLHVLEQKQPSKSPKREHPSQPLKAILHHLEKASLPVLEEGRTCGFWLLSGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSTRRKSSNNATSGSSEFSFVAVDGDSTMELFSVLAVCEKQKNPGNALLNQAKQMQWSLLAMIASCFSDATPLSCLSVWLDITAAREMSLIKVDGISSKIAKNVGSAVEATNKLPSMSRNVVFRYNRKNPKRRRFLEASPESFISGFSSCGPSSIAASSSPNVDAQQKIQNPISEETKTPVDIDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLPEASAHLASFSARLKEEASHAQLSSSKEESAMMGWVAVTVVKAADAVLLTCPSIYERRCLLELLSAADFSDGGYSSAYFRRSYWKIILAEPSVCKDGDIYKWNDSMDDASLLASLEKDGRWEQARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMKYSLPPLQAGLFFLKHAEAVGKEIPAQELHEILLLSLQWLSGTFTNSSPVYPLHLLREIETRVWLLAVESESQSKADGEFAPPSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRTERNGIRDNKPSFHQHLQLFESNGEGTNNTRAKRRSKTNMLLRRVANDSVDSSINDSGDNSNSFHNSKIAGQASNLLSEEEFAKMEASLSGWEQNVRPVDMEKAVLSLLEFGQITAAKQLQQKLSPSYVPEELVLVDVALRIANNSSNGEISLSCFDSEALPTLQSLGSNTIDPSEVMEELAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLFAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVLEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFNPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNRWLSRHDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFGDVLDACNRVLDKVPETAGPLILRKGHGGTYLPLM >OB01G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3216970:3217149:1 gene:OB01G15240 transcript:OB01G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLPRRYALANYCNCKWLNHFHGCLFSWQGICNSEQCHFYLQQLMLEYAVVSYYTIFL >OB01G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3208921:3209784:-1 gene:OB01G15230 transcript:OB01G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGQHGAMAAGRGGPPAAPPPPRRGPSHRSLHLPALADGASHGRCCSCCCSPPSPPPPSPWHPRVQPARPRPPNHRRHLLLPARGALSHHPPPRPPRRSSSSHPPFPSSEIVAGDASMVTSPSLPPGLPDTPVYVRLRAIHMTPPLLICHPCCACARSCEEGDRGRQRGKGAAAVMGTGRIIDDDDPGGSYAAAAGPQQHPPHHQLAVGAVRMKKAAAGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRYTILLTHLHHGIHR >OB01G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3182571:3189632:-1 gene:OB01G15220 transcript:OB01G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MSASNEKWIDGLQFSSLFWPPPQDVQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTMSYDRNGFPFKSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKAEHQHCEAECSSTSDQASSCESIEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVTRYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSTEEVTDDSRLTNGRNRCKKKQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEENNTSSSDGGALEKKDASRSHRKNDFARPLLKCAEDALLNGDVASTSGKVIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQADKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVHLIVEWGDSGVAVADGLSNLLKCRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQIHSSKLIQIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEARSRRATGLTLAFLTAAAKELGCPLTC >OB01G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3179510:3182006:1 gene:OB01G15210 transcript:OB01G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKRRPEEEEEEEMHLAFRGAANALSQVYAQAVAAQEASLLSGERHAMENVYRWICGKRDEGLEVSVADLLAFLQTEIEHREGGIPGPQHTSAQPAHHFPSANVQNNPFSFGNVTAALNSHTAQTEQTQIVGMLNALPNPSRQNLYSNHPVHSSAFGPVNSIPNGNAAQSNHSPQNQNFMQCNSYEPSMDMNHDAS >OB01G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3176526:3178211:-1 gene:OB01G15200 transcript:OB01G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQQRYEPADTGTIAKFGCSKMQSLKPGKYINKSSGNASTKHRRAGISAPQLCTEKQIALKDGNFMEAPFHVSISSRPPTHSGKIKLQLFPIDEEIQKVLQQENRNPYLELILAPRKKISSVVQHLNAKWGNSQCARGELMLFPNDAKLDNITVSEKWTHSDSCTAADVHAAVGSPSIFRLRYGWFETNFGQRSSAPSLGSVHSAGKIIRNEPLDPVFREQKQMACLSEFPSNFAAPSIEASTVNTLKQDNQSKGTPLSWIDCISNISFGALLAESAPSRDSKQLLSQNNSSLQQIPPTADSFDAAIASLIARQQASSQPKVSNPSLWDAEETCHAFPSQNRLRS >OB01G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3170753:3171091:-1 gene:OB01G15190 transcript:OB01G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXGGGAGAVRRLQLRAELRRRRGVGRAGEPLALLLRALRRPVEGAAAGRRVDDDGDHPRENRWLSLLHFFSSISLSFFVSPFLLPILESKTVKREIVLICKCQLFMRDQ >OB01G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3169512:3169733:-1 gene:OB01G15180 transcript:OB01G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAWSIVHIQCLFIFVLCCVHLSVIILLSWPFILEQTNGVTELYMDKFTVTSTYVFFRKFVLITVSFTRIM >OB01G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3147648:3168654:-1 gene:OB01G15170 transcript:OB01G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVRAAAADLAVTFLWVLCVSTLGASTAAVTSYLSIQEGFHYALLVTVSLLSVLLFAFNLLCDALGGASFNPTAVAAFHAAGLTGPRDSSLLTVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDLHTGAAAEGVLTFVITLAVLWIIVKGPRNPIIKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >OB01G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3159757:3162760:-1 gene:OB01G15160 transcript:OB01G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3KX10] MELLAPDSQEVEMTEAPCEENPAATEKREEHADEEEEEEEEDPEEIVPASEDEEEVDEVEKEAEDPEEVEKEGGEAEAKVDDAAKAGEVEKRKVEGDKKASGGEAKEGEKGNGGEKGELGKAEAQIFLQIVAAFGLKDRYDVDFLRRLFVDNGRRRELARIACILGFEDSLRDVIEELIKSGNVIEAIYIIHEAGLLEQFPPVPLLKSYIRTLTNKAQVALRSGRHSTSVMEEANNSECNAYKSIIRCVEACQLTSVFNLDGIRKKVARMEKEKADRRKPGMNRFQNKRARATSGPQPFPPSKYARGSSSSYASSFRNPASHSFPYTDRAGFVGPAPGARPHFTPGSSMGMRRGRGL >OB01G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3154450:3154659:-1 gene:OB01G15150 transcript:OB01G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVPTVFLRERLPLALVLVCVVYTAHCCIDFFCAMGVVVAAEEPKHDGVAACGDGRRWCLLGVFDA >OB01G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3151466:3158217:1 gene:OB01G15140 transcript:OB01G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRQLPNEEHVPDSYGAAPDELGIAPEVYQALECHLPSGLVGAPAEVKRYFMRSILQNYVPSPAQRTRTQNQREYRERILSAYQPLHQELYTDDPSIFLTPTFLQAITVNTEESITSLMMEVSPGVYAFHMLKPSFCEMLMAEANHFFTWARTADQRIMSPITLDMPAAGRGACLFDFGLQGMLDNLMKNFISPIATVLYPEVGGSTLDSHHSYVVEYDETDPARGLHVDDSEVTLNICLGKHFTGADMYFRGIRCGNHINSGMHDEEHFVHPNVPGQVMLHHGSHRHGVFPVTSGQRVNMVMWCRSSLFRDMKKCMNDFSGFCGECQFERTARQVQHLQELTARISINAQEDEAP >OB01G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3142460:3148236:1 gene:OB01G15130 transcript:OB01G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLIGRKFSDPELQSDLAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKAIAESNLNAAVADCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTSTALAYGIYKTDLPESDQLNVAFVDVGHASMQVCIAGYKKGQLKVLSHAYDRSLGGRDFDEVLFKYFAAKFKDEYKIDVYQNARACTRLRVACEKLKKVLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISAPILERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDYQQTVVFPKGNPMPSVKALTFYRSNTFTIDVTYVDTGDLQISPKISTYTIGPFHPSKGDKAKLKVKVRLNIHGVVSVESATMLEEEEVEIPVVATTEAKDSTKMETDEAPNEAAAGTDVNMQEAKTSADAAAEGAENGTTNSEEKSVPMETDAKVEPSKKKVKKINVPVAELVYGALGTKELDKAVEKEFEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTSEDKEALIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPIEARHKEWMDRGPAIDQLAYCINSFREAALSKDPKFDHIDIEEKQKVINECSEAEVWLREKKQQQDALPKHANPVLLSSDIKKKAETVDRFCKPIMTKPKPAPKPQTPPQTPPTETGGAQTPEQQPQGAEAAAEPGSEGGATESAGEQMETDKPEGTEAA >OB01G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3132745:3139455:-1 gene:OB01G15120 transcript:OB01G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYPLRLLDVVSHLRTMYMEREKIQEKGTHAEIKVFEPVIEGKLGLSAEEIETALISNEHDLARIHIALLKALDTAHGIPPANKNLKVDDGWITVTAKKLSEWWAWVAEGANPFKNNPGNEINTYKQQDPTKRLLILKALCEVRSEQNDAVWYVNDEMKKGVSISNFRKEKLGSSSNGSVYWYDGDSTIGHRLYKEHVTTDFKQNWKGKNGRLTKPIINIQWETVATNLDEFVEISEKLCSKGRPESAIGEHIKAEIIPAVEKIQKKKERDLKRQQKKDELLAFAASFQTRSLRERRPVNYNYSDYDRSIKEAIKAAAKTKESDPNVAAKKEKSALHQGNKDANGGSDTSSEHNKVGEQEDAGKSDINMEQKKDGEQEDAESLDDLSSDEDDDRDYSDKGDGLSGSDGDNHAYDPNKGDTKEEEVAVPRKRTRLAARGFNGKPPQGLRRSQRNVKNDGNIMRPGKLTPRSMTKKTMRQRPTSISKQFSLSGSEDDREMVVADSEEESD >OB01G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3121491:3131985:-1 gene:OB01G15110 transcript:OB01G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 7 [Source:Projected from Arabidopsis thaliana (AT3G24495) TAIR;Acc:AT3G24495] MQQPRRQQSILAFLQRKPPAAKEDGATPEKPPRPPAASVARIXXXXXXXXXXXXXXXDQDASQVRHVEQKVLPVKNQTPSNECSSALFSGSCNGVDNRATKLVAGKGSSMPPLQEPLKSLRSPTDEFVRASMLFQELGSDQTLLPECPKKFPFESSNNKCIQDNSSFEEFDVQTPSQDPSKGVLWRSSSGASTPLTESDSDKTPLQHPSKKFSLVSSNGEYVRATTLFQLDSNCTPSREPSEKLPSGPSDLQYIKATNLFAELDSNGTPSQNHLKKISSVFMNDKRIGAGATLFSEFNSSPLKPETPLMRAVTPRLKRVQEDQRASSNDQCSPLWVPNKKVKSAQCSPAEKKVHSEMAESARSKFEWLNPSNVKDANGRRPTDPLYDKTTIFIPPDALRKMSTSQKQYWNIKCKYMDIVLFFKVGKFYELYELDAEIGQKELDWKMTISGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKARGSNSVIQRKLVHVSTPSTVGDSNIGADAVHLLSLKEIALTSNGSRVYGFAFLDYAALKIWVGSFHDDDTFAALGALLVQVSPKEIIYETSGLSKETHRSIRKYASAGSVKMQMTPLYGIDLSEASEIQMLVQSRGYFKASTSSWLSALDSSVNKDAVICALGGLISHLTRLMLEDVLRNGEVLPYHVYTTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPSGKRLLRRWICHPLKDINAINERLDIVEGFIQNCGLGSVTLEHLRKVPDLERLLGRVKSTVGLSSTVLLPFVGEKILKRRIKTFGMLVKGFRIGFDLLDVLRRQDHGISALSKVADVPTLSTLNELIHQFEEAIDNDFPRYQDHNVRDDDANTLAILVELFVGKASEWSLVINALSTIDVLRSFAAMTLSSFGTMCRPNILLKEKAPILKMKGLWHPYAFAESVNGLVPNDLSLGHDLSGQNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCQSCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILEKSTEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEVVRCRLLFATHYHSLTKEFASHPHVTLQHMSCVLKPRGGGHGDEELTFLYRLTSGACPESYGLQVATKAGLPRSILERAAVAGQMMRTKIAGNFRSSEERAEFSTLHEEWLRTILAIGGVKDADLDEDTMDTLFCVFHELKAHFRKGE >OB01G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3118836:3119794:-1 gene:OB01G15100 transcript:OB01G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRARPVAVLSLTLAAVWAAAGVVHARHDPYWSQNLVGGDGCDGKRSKETVSGGGLGGGGGGGLGVGGGGGVGGGVGGGAGGGGGMGGGGGFGGGGGVGGGAGAGFGSGGGVGAGLGGGGGGFGGGAGGGGGIGAGGGAGDGLGFGGGKGDGFGGGAGAGGGLGGGFGGGGGIGGGAGSGFGDGLGAGAGLGGGFGAGMGMGMGMGGGVGAGAGAGAGGGGHKKGGGKHHGGLPVPGRLI >OB01G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3105040:3106101:-1 gene:OB01G15090 transcript:OB01G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAAPGASATTAGTSVPCSVFFHGDLKSGPEAATRSDDGAAHPNAKRRSFDLGGGKIPGPGSLPASIAAAAGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGGCILEPQTVDAGAATAMEVTEAAAESYWVVKCATGAGAGDDFLSSCFTCKKKLEGNDIYIYRGEKAFCSATCRDQEILIEEEAENTTTTTTTMGSPRSSWSSLHDEIFMAGMIVAT >OB01G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3098551:3103173:-1 gene:OB01G15080 transcript:OB01G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHLLVALSPPLAFRGQPSNGCCRRGRGRRGAAAVVASSYGDAGPSPSSAAAYVMARRAVLLGVSALPLLRAREAAAAAVATRSSGDLATEKQDIPKPDEPQPGETQPEISLPEALQPESSLPVTQEQTPGNPLAGLLNAIAVVASGVLAGLYGTSQQEKKALESVISSMESKLAENEVAISSMRETYEKRLLDQQTTQKKQAMKFQEQEASLLDQLSSTKKAVTSLSEEFRREKTLAEELKEEIHQLESSLAQAGDDKDVLEAKLKEKLDAVNILQEKTSLLSQEIDNKDIRIRELSSLLSSKEADYHNLCSLLGQTKESLELAEAKIQQLEEEVHRTRNDLASKISSIDLLNEELQALNSAKNEAEGKLSKLTKDYTDLKASSEARESRDSELLLEKDNMIKQLDGKLSDALSDSSKDRETIAVLNKELDATKAMLENEVAAVKSLRESLQSKEESLTDSRSEVSKLSEELDEANRMNQELVLQISKLQDEFNKMQEDLTNKLGEVESVSKALSDELVSVKEMVQKGQEELEATSNELASIVEARDNLKKELLDAYKKLESTSQELVDERKTVTTLNRELEALVKQLQMDSEARKALEADLDEATKSLDEMNRSALSLSKELEETNSRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRSQKAQTVPRTNASPEVSQAPKDEPVNDNQNTSKVAAGSQYTAKRTSRTRKGGAST >OB01G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3085029:3086661:-1 gene:OB01G15070 transcript:OB01G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVVVVLLVACSAVAGIGGGAAALDFSGDGGGFGGEGVRTAAVAVDPGWRFPSSRMRDAYVALQTWKQEAIFSDPNNLTADWVGPEVCNYTGVFCAPLPSDKRGVAVAGVDLNHGDIAGYLPPELGLLGDLALLHLNSNRFCGVLPHTLRRLRLLHELDLSNNRFVGPFPRVVLDMPALRFLDLRFNDFEGGVPSQLFDKPLDAIFLTHNRFRFDLPDNFGNSPVSVIVLAHNSFGGCLPASLGNMSGTLNEILLINTGLSSCLPPEIGMLREVTVFDVSFNQLAGPLPSAVAGMRKVEQLDVAHNLLTGTIPQAVCELXXXXXXXXXXXXXXXXARNTKKKNTGPPPPPPRPAQRTPQQCAAFYARPPVNCAAFQCKPFAPAPPPPSPPPPSPPPLSPPPPSPPPPTPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPHSPPPPSPPPPAPIYHPPPPPASHPPCPQLPPPPPPPPPCGSTPALPPPPPPYYPGPWPPVHGVPYGSPPPPQQHPGSWPPVHGVQYGSPPPPPLH >OB01G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3084932:3085429:1 gene:OB01G15060 transcript:OB01G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTNCAAADDCRRRGREQNKYPFTVFPLENSINGAEEVVVIHIVRHGRVAMSQGVVEEEVVIHMVLHGLVAMGRGNMVVVVVAMLALSHRVAVAAAAAVAGDMVDVRPVVAVGGRLAQAVEAMAEVVNVEAVTAEVVKAAVVMAGVAMVAEGMVAVGMAGAVKA >OB01G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3071263:3078036:-1 gene:OB01G15050 transcript:OB01G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHSHALRPRRCMCGEGRAAMPSRPRPPDLHTIQTNHESKKEGVRWTMTLGSATPETEERAVRGGGNGDGDGDGGGGGETARCVAVAVEEACCGCAQLVVGPPNPMMARYVYAFVFLATNMLAWTLRDFGHPVLAELRRLRGCQGASYCLGAEGVLRVSLGCFLFFFVMFASTARTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPSVLIQLYGKVAHFGAGAFLVIQLVSVTRFIGWLNDCCRSEANLKRCHMQVQVVSIAAYVGSILGVVLMYVWYAPRPSCKLNILFITVTLFLVQLMAGVSLISKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVTATFATGIDSKCLQFKKAESEQPEDDDVPYGFGFFHFVFAMGAMYFAMLFVGWNANQTMEKWTIDVGWASTWVRVVNEWLAAIVYIWMVIAPIVWKGRQVGSSPECT >OB01G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3063533:3064277:-1 gene:OB01G15040 transcript:OB01G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAVASLRQHGVDIVPVGPVLSFLDAAGAGVAKNGTAASCNDLFKQDDTGYLEWLDAQPAGSVVYISFGSMSTMSRRQIAEAVRAKAAAWKEKARAAAAVGGSSEKSLREFVGKARAN >OB01G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3052520:3059527:1 gene:OB01G15030 transcript:OB01G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSESASVGKRQGLCTQNGSGKEEPSSSSSAGSKRKRTSPSSDRVELNGPDAAGTSSGDSTWSEDSFNGRHERSFSRNKDGHLEHSVNSGEVAVIRKPHGVLRLRKLAQNVSIESGTGGSKVPRSNGIAKATQVQRRNRQRKSQALKENRVGGDDPVTYVKVENGTCGQDNSTNFCSENDVSVEKQPSLSGEPSEHVIPIKESSLHPQEEENVNLEENAARMLCSLSDNRCDSAPRESTKPPNRSSNACYIQHSDHFKDSCNKTKGVAAPRLLRNRDGKASFRKRRPRRHFYEVSPHDVDPFRIVKERIRVFWPLDETWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARQKSNPHDSRSIFKPKYEVDEREGMDGNSMGSSESGPIVSLLSRSNYARSATSSNANNRNLTHTDIAPVLFDKKQSILCSTGGSPANGGEGILEDRTTLVDSRFRLVYSRKRSCRRKNEFLNISEQDSDLKGKEITGALFASVAGRGSGTENAILRSHGILLLIQPLKSIYKIVSEACHVWLLNTLVLLHRGAMVVLWPAVCLDILLVDNTLGLKHLHLETCLSSAVSFFGLLVGSFNSYSKQSTCNESKIPCTSVRVQISGLHGRSQVVFVMFSFVGIKYPKWKHLEGQLQHDHMKRGLSKVNCRCANIKQLMNCTGAYQSVCTSMEHLSKGLSIDAQDSLFFTESKYSDVNPVIFCLDERSESVQNHLDVAAAPSLLFFHHLKLRSESNLTSNGLPEFMPFTLEEDLVHLAASASEACSVYVSPSDAGPLDMGTAGCINHSGPANSKLSTTETASLECNNSSIGGANITSRSFADQIMDADNPCSGNLTSTCPPQNQRRCSIIIPEDKTSDLPDDKLLNKDEKALLPASDLVQELNEYPIGRATPTAPRTTSHRNRFTSISRAFGDGSKLWQEDVMMTGFAGGSKKPRTLVSYSVSPRSDEPGSKHKGHFRKIQSHSNAKTNGAKRLPDNSRSEHSSPESLTCVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVCQALQPGATNRYTHAMIWKGGAEWCLEFPDRSQWLIFKQMHYECYSHNIRAASVKNIPIPGVCFVEAYDDHDIAPFVCSQDYIAHIGTDVEMALDESRVIYDMDSDDEAWFSRWSKKVGEDITPGKLTDNLFERIMDKFEKLAYSHNCNELTVDQMKELDSDNIPLDTIKLIHDYWQEKRKKKGMPLIRHFQSAMWKIYEKQLQEWESTVLRIQGSSNGYQEKLPPKPSFFAFCLKPRGLQPQIPYKGPKQRSHKKLMSSGCHSFSREQDGFYRPGKKYSEYAGDGRACESYDGGSIYSPTGYSPRLSVRTESPRAFDGMERSSTPRFFRINNGKRTASFAFSEDHQPPPSFRHQRAKRGAPDHWNAAIHDWPSSKHLLPGASHSPRVVDIEELKQRDASSAAQHAVAVARMKREKAHLLMHKADLAIHRATVALMMADAIKASSTSRDSSSSSRDHGRRDLRDN >OB01G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3036784:3049635:1 gene:OB01G15020 transcript:OB01G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) TAIR;Acc:AT2G25660] MKIRLRPFASLRRGKVVVDAVLSEPSVLVAQTKDFSWLGIPTPSEASPKRHSGEEGIDSRTKTRRLAREKAAEQWYEERDKAAREAAEMGYIVPSTQSISPSTDEMMEDDGPIDTGKSSPPLCADEMHRKDHHIDAGIDSGSKHADLEKSFGVKARIPGISFWSRMIPNPSRRRYRRKAHSKLISDIDNSSQQRILRRSAYAAVAYFQNKCSGNPDDSSPGPGKSSSGGGHMNAGGEEVSSNDGPLESSEITSTDYGELPSHNQQPSQISSHTCDKNVRLSEAPVLKKHENVSEDNLVHEQGFDFGAFGSCTHAHNWASFWPFQVKGFPVRFNAPSASLNVQMQKLRSLFAIGPGDVSAELPQGVGQIHPGGVQQTLPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHIKFKNCYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWHKGRATGEVHLCMSKGDYFPTIHGQLDVKGLAFQILDAPSSFSDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGSVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFNHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGESDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYINDYLLHKEMYQMKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLIDEKNYGALLGIIDQSKLESDVSRLVGEISMSGIKLNQLMLAPQSTGFLSISPDSVMLNATGRPDENFSIEVNGPLFFGTHDAIQDGRLLSIFLQKGQLRSNICYHPGNLTSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFHMENQSNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQSLNSVGFNAESLHDQLKASEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTKAEFDFSGEDWEWGTYNTQRVLASGSYSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSNSIEEELEGGDGKQGIIRIPVWAKDRGLPNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQVKGTVDQPVVDGSASFHRATVASPFLRTPLTNFAGNVHVISNRLCINSMESRVGRKGRLSMKGTLPLHNSEPSANDKIELKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLASNKSSSLPSGFDQRTVSRDVSHFLGSLSTRTDSQQSETERTHEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGRRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >OB01G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3027773:3034785:1 gene:OB01G15010 transcript:OB01G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVTVFNANSSYLLKFMLWRLVVRAVVIVADVFRVKLLVAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVHCNSQNESGNLSKDTTSHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVARDGPESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRFPPSPCRRCKGLARGEMGEPRAAHARRSVTPFSTPTPHPTRIGRRGAAAAGDEVKLNTGNVFAALETLKKKKKGGDKAKGGSSSGRGRDGRKAQPSSQQQQQEQQQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTGQGDDGAKEEEEDEDEEDDAVHAALQEEIESEDEDLDDEVDDGAVDEPEHEEDDAPAESAGKKASVPVAPPKDTERQLSKKELKKKELAELDAVLAELGISGASSNAAQDENNAEKKGSNQTGDGERKEDAAVPSESKSSKKKKNKKAKEAKETQEPADGTEETASAEPDEDTTSIDVKERLKKMASMKKKKSGKDTDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVR >OB01G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3019420:3020708:-1 gene:OB01G15000 transcript:OB01G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNCKSIERLGHHRVVPAEPTPGGSLRLSWLDRYPTQMALIESLHVFRAVSGKGVGDGGDDGGVDVAVGPARSIERALARALVHYYPLAGRLGFADSGEVRVECGNAGVWFTEAEAGFSLEDVDYLEYPMMVSKDELLPPTPAGEDERELVLLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMAASRTRAAGFAPGSPVHVCFAMNARPVLRRALPEGFYGNCYYIMRVSAPAGKVSGSSVNDVVRIIKDGKRRMPSEFARWAAGETVAGDPYQITADYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVAAFHHGLLDMN >OB01G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3014966:3015205:1 gene:OB01G14990 transcript:OB01G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACTARATWCVAGAEEDDDEETLASYPCDRSSSHPSRPPRPRVDRHLFFAVVGRCNAVLKEHKTRLYILGRCVSMLLC >OB01G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3009409:3014244:1 gene:OB01G14980 transcript:OB01G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIVVSTIGIFMQVIFDKYLSSKLEQWAGRANLGGEFQNLYHQLDMAKAILASLKGSPVMEEGIWQLVRDLKSSAYDAEDVLDELDYFRLIEIVDGRSEDKFSASMALSSPSALRKAFHLSGASLLPPFKKARPTFDPVSCDWYSVSCKMKSVSDRLQRATAHIERVAHFKKLVTDDMQQPKFTNSRQTSSLLTEPEVYGRDEEKSTIVKMLMETEFSNIQNRYKSFLVLPVVGIGGVGKTTLVQYVYNDPAIITCFKVRAWACVSGFLDVKQVTIDILQSIDEEGHHPFISSLSLNNIQTMLVKKLEGRKFLIVLDDVWSCSNWELMCAPLSSGIPGSKIIITTRHHSIANSVGTISSVTLRGLQDSPFWSFFRQNAFGDASMVDHNLNLIGRKIANKLNGIPLAAKTIGKLLHKELTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGYIQCMRKDKTLEDTAREYLYELASASFFQFSTTDNLYRMHGLLHDLASSLAKDECFTSSDSFPEGIIDVVRHLYFLSPDHAKFFFRKFSLIEHGSPSNESIPGRSPGHSLELNNLRTIWFRDSTISLSDACDDGFWKMSINYKRIINLRMLCLNHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLYHLQVLDVRCCKNLLKLPTGINNLISIRHLLVDASSKSLAGYAGISYIGKLTSLQELDHFNVRKGSGFNIAQLKELRDMGQSLSIGYLENVGSREEASNSGLREKYRLVELNLLWNNNLKNGTSDAEISILEGLQPHQNLRHLRITNYRGSASPTWLANDLHTKYLESLYLHDCLGWEVLPPLGQLPYLKRLHFTGMGAILCIGPELYGSNSLMGFPCLEELHFENMLEWRSWCGVEKACFFPKLLTLTIKGCPNLQVLPVQQWSDQVNYKWFPCLAMLNMQNCPNIDQLPPLPHVSTLSRISLKNAGIISLMELNDEELVISGISDLMLERRFFLPFHNLRGLKSFSITSCDNFIVQPLKVQGKHGISEVSTTMHDAGCSLSNIGELKICGSGISEDVLHEILSNTGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCRALTALKCMKTLIHVSELTVLRSPKFIEGWKNLVEEAERSHLGITASLTRLHIDDLSFLTMPICRTLVYLQYLMIDTDQQTICLTSEQEQAFGTLTSLKSLVFSECSCLRSLPARLHQIPFLKSLHLSSCESIDSLPHLGLPGSLERLFIVGCDLLREKCVEGGTDQHKIAHVTEIIL >OB01G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:3003702:3003902:1 gene:OB01G14970 transcript:OB01G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSVCSAYRHLSAPAPPASAMPRRRRRGKLAGRCNAVLKEHKTRLYILGRCVSMLLCWHHHDSD >OB01G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2996266:2998654:1 gene:OB01G14960 transcript:OB01G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNSAHRDHTIDILRNDVTFPSTSHQANHNDLGELRQNRGPINDVPRVPESSSGVVAASISRNASFSRRDQGHRQPNPLNSGFWISIELIVSLSQIIAAISVLSVSRSEHPHAPLAQWLIGYTIGCIATLPHLYWRYLHRNRQNIEQESTSQVPSERGIYEPNSYVVVSSAHGSEVVDSANSTGVPRIASTRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIQEDLDFNRGATAEAIDALVAYKFQSKKIHDGDVGEDHGGVLAAGTDKERTISAEDAVCCICLSKFSNDEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNASDSSSRGGNDSNRAGNDVESQQ >OB01G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2993558:2993806:-1 gene:OB01G14950 transcript:OB01G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRGGVRALGGRRGGAGAVGGGEGDRAGVEVVADVEVLLREVPEDGHRLLLRRRHHGQAQRPLLHHHERVFRLQRHRRAAA >OB01G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2992270:2994513:1 gene:OB01G14940 transcript:OB01G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVLVLFGALLVAGRLSAGGGFSVEFVHRDSPGSPFRDPALTHCPRPRARRRAAEGTLCLAMVATTEQQPVSILGNLAQQDLHVGYDLDAGTLYEIRLKEKDLMNSYRKCQIYDGRKNITIEFDK >OB01G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2982112:2984768:-1 gene:OB01G14930 transcript:OB01G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3KWY7] MSVETERSSTESSAASGLDFEDTALTLRLPGSAAPEPDRKRASSDADAAGESPLAASASAASSDAPPAPKARVVGWPPVRSFRKNALADVAGAGGKAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAMNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRSAQ >OB01G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2978805:2979101:1 gene:OB01G14920 transcript:OB01G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGEAPGNGGLVRARSGGGRRRPWMGSRGEEEEEEGKAVEQWGNFGVLERRGEERRRRRRRRWWWSLDTSEAGSVARRIISAVRSWGPGIHSRRIV >OB01G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2975494:2978504:-1 gene:OB01G14910 transcript:OB01G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKSLKSNSGGGGGYSPPAGKPSPLSPRTNGNAVAKPNASPPPAAGAEGRPREEAFVQKVNICCVVFDFSDRGKDSTEKERKRQVLMSLVDCVGAAEEPLTEGMTAACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQVVYELLLRFVTSPMVDAKAARKYVDSSFISRLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLLKALIPLHKPKTVGVYLPQLTYCITQFIEKETKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTDMAEFQKCMVPLFRRIANCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPIIYPALERNTRWHWNQSVLNVTLNVRKMFLDMDERLLLACQNNFQADEEKRAATEERRRLMWEQLERNAAAATFHHHPVITNDTSFPAPPSSAPLVAPTVT >OB01G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2970653:2973965:1 gene:OB01G14900 transcript:OB01G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVGGGGVWIRAAVAVAAGGAIAARAVRRKSVDSTAVFVGVPAMVAHTVGGYRFAGMLLVFFFTASRVTRVGEARKRALDPDFKEGGQRNWKQVLSNSGIANILVVLITLVTGGADKCLDTRESSLVTALIGGVIGHYACCNGDTWSSELGILSKTEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCDSDVFWRQLLVIPLATAAGLCGSLIDSLLGATLQYSGYCNTRKKVVGVEGPTVTRISGLNILDNNGVNVVSVFLTTLITALACVYIF >OB01G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2965922:2969938:1 gene:OB01G14890 transcript:OB01G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G19950) TAIR;Acc:AT5G19950] MAAAETPGSSASPYEERLLQSLGGCGWCFRDPSNEIIQELLRASPSPSPEAVEAGLLDVDLRLFGGKSLPDRAAAAAATGRRPSYLHGPIVMQVVSVRDIYRSSINASFKNPQQHRLLRFGLTDGISESAAIEFSPIPFITEDIAPGTKIRLENKIPIQNGILCLSAKNVTIMGGVVQSLYEEWQMNQKFKGLSRPSLRLSQNDDGVGPPPFEKLDIEAHPSRTSQYQAYSADNKARKLEVNRDNVPISSSSKPVNEGSSGVNNETAASRVEPKQSNSDGRPKEVSEAVPVQNQAAAQKLLQKMSQAAPEDRYGRGHRFKGKARQEETPVFTLDEWEKRKSIGLKSAAESYIDDTSRDEELARQLQEQLDLEDSHGVPESSDADRLRMSMFSFSGPEETGGGRGSFRGRGRGRGRGRGRGRGRGRGRF >OB01G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2963065:2963283:1 gene:OB01G14880 transcript:OB01G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASVSTALLASLPKLPISPPPPPPPPLQALQEPRTGDVGYAVRVRDPRRPKNSSGKPKKPWKEEERWGLG >OB01G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2957519:2963219:-1 gene:OB01G14870 transcript:OB01G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80360) TAIR;Acc:AT1G80360] MGSFGRLARRAVETDAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAAMNKVKEIVWEPSISKYGSDDGLPELREALLEKLRRENKLTNSSVMVTSGANQAFVNLVLTLCDAGDAVVMFAPYYFNSYMSFQMTGVTNILVGASNPETLHPDVDWLEKVLRENNPIPKLVSVVNPGNPSGAFIPKPMLQRISELCKNAGAWLVVDNTYEYFMYDGMEHYCLEGNHIVNLFSFSKAYGMMGWRVGYIAYPNEADGLHAQLLKVQDNIPICASIVGQRLALYALEAGPEWIRERVRDLVKNRELLMDAMSPLGEENVRGGEGAIYLWAKLPENCSDDFEVVRWLANKHGVAVIPGTASGGPGYIRVSFGGLKESDTRLAAERLRRGLQELVTEGMAQ >OB01G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2938383:2948606:-1 gene:OB01G14860 transcript:OB01G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MATTTTTTLRGGGDVGGGGGVRAVGVVEGGGGRAGGAALCEVDVAGLSPGDRTALVDRLLADSGDVENFFRRIRARFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFVIGKRLHDELAVPFNRKRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVAFITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNIQAVVSKDGIQHRAPRRKNDKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPPELKSQGIVEDRLQLLVNVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLEQPLLLADAPPPPPAVEFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >OB01G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2937822:2938007:-1 gene:OB01G14850 transcript:OB01G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQREVDTCNPFDCFNRRPPWGTLLEVAARRFCIPWLLLPPRTAKQQEATARAALHCSNY >OB01G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2937629:2937853:1 gene:OB01G14840 transcript:OB01G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVHPSVLKVLDPPRSINQSTHPSNSPHLISSHLITNGSSTYVLLLPFSFFSHHSHSLSWFFVSSCCNAMLL >OB01G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2917257:2917742:-1 gene:OB01G14830 transcript:OB01G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEMRRLAGELLELFLRALGLTGEQVAGVEAERKIAGTMTGKGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGGSALKMVSTAAAAEHEDVVDVELPN >OB01G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2915093:2915465:1 gene:OB01G14820 transcript:OB01G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRWQQWRRAAPSVSGAPAGALWRSRRLSGLRADRKAPGKPHASLLCHAHPIHVTIHRHACGRIKKRWAFIHSWELMIFHWEGGATAA >OB01G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2899935:2908359:1 gene:OB01G14810 transcript:OB01G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3KWX5] MDLLRSHLHRVRIPEPSDRIHKDECCVSFDTPRSEGGLYVDLSSFLGFGKEHVAWNYEKTGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFNDQEPEYDEAFEIVILPEFTSLPFPSVDLPEKVRIAVDKVILAESADRKQRLASWVADNKKISAFAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTVTADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSCYMASVMQVMFSTLPFISRYYEKQSLKAAFTISPADPTMDLNMQMTKLAHGMLSGKYSLPNQEGQEGIRPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANPGNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPDFYSTALNSKTTAIKTAGFKSFPDYLVLQMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGIQPGEELLPEGAAGGNTAEPAYPVASEDIVSHLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDIDDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPETSSSAPTVDSSTSNMEADDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHIRKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >OB01G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2889983:2898303:1 gene:OB01G14800 transcript:OB01G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSAWEAEKMLDVYIHDYLLKRNLQNTAKAFQAEGSVSSDPVAIDAPGGFLLEWWSVFWDIFIARTNDKHSDVAASYIETQSIKAQEQQPSQLQQQEVHSQQSPRQIQMQQLLLQRHAQQQQQQHQNQQHSQQQRRQQKQQQRSEGTHLPTSAQNGLISSDPPTRSTAAASSLSAKMYEERVKNSVQRDTLDEAPAKQRFTENIGQLLESNSSSMVKSVAISAQASGQIFHGSAGGMSGTLQQVQARSQQLQASTQEMKVDPNAALHMRAAGADGSLIGVPGTNPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTAQQQQLLLQAQQNMTSSPGEMDSRRLRMLLSSRNVVPGRDGQSNAYTEIIPSVGPSLQSMCSPVQRMETDMLMKKIAAIQQNQQSSNQQQLLQHTLLSQQPQISSHLPVQQEKMGAGSVTIDGSLSNSFRGSEQVSKNQNGRKRKQPISSSGPANSSGTVNTGVPSSEPSTPSSQSPGDTISMPSLHHNTNLSKALVVCGASTPVAMGSPTNQLTDMDRFVEDGCLEDNVESFLSHDDADRRDAGNRCMESTKGFIFQEISSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAENLKQKSILEEHSLLITDVRFSPSIPRLATSSFDKTVRIWDADNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSINSGNIVRIFKGGSSQLRFQPRLGGYLAAASENAVSILDVETQACVRRFEGHSNHVDSVCWDSTGHYVVSVSEDTVKVWSMNAGSDDRCVQELNCSGSKFHSCAFHPSYPSLLIIGCYQSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFLKLWK >OB01G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2885053:2886518:-1 gene:OB01G14790 transcript:OB01G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVIPAQPGQTRRRPGSLLALAFSYLELSSMEASGTVASSPPKPAPYSPWFTVATHRLIMD >OB01G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2879970:2880371:-1 gene:OB01G14780 transcript:OB01G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAGREEGGGEVAMAMAPEKAAAGRRRGCIRSTRGPWTVRRRGRGGSVTTSLRHPTPRERENNRQRGRXXXXXXXYAGLRASAGYALPKHADQNDVLRALCAEAGYLVDDDGNVSRRHEVVTSTPLASASS >OB01G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2866427:2878883:1 gene:OB01G14770 transcript:OB01G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2921) [Source:Projected from Arabidopsis thaliana (AT1G52780) TAIR;Acc:AT1G52780] MVVATRLQLVGALLLPLLAVVSSFDPFHRDGQQMNSMGGGGVAGGPFIPPEYARFADVKRQCKSVLSAAAELTFDANRANGLMPELSFVKGDWKHDGGAPLMPFDGTDVAEDAAGVAGPLSLASFSLTHVDAARRGRTALNVSGVLGVAISRNGTAPEMGPYVSPEFKVWPGNTELKILLEGVYTENDDGESVLCMVGDAVLPKRGGDAANPWDWARHSDRDRFQPPITKDGNILLVLRYPKTLTLRTRAIRGELTSTNGKTDAAYFDAVHLLSQLGAYSNYNFGSDELVGTACKTYPYRDDLLASGGSGLYKGTSFCGILDRFTSEDVLAVVPNWKCNTTDALCRRLGPFETDKAVEATDGGFAGVSIVMQEVRCEPRTDNGEISARVSAVFRAVPPWEHAYTAAKRSGLGGMTLSAEGVWRASTGQLCMVACLGVGDKACHSRVCLYVQTTFSATRRSITVGQITRISGAGGAAHFPLTFQRTVHPVELWSRFGVSGGAPLSLAYSYTKTKQAGEFLRRSEPFDFGTVVAKSLLSYPRKSGDAADETTSLSNLAEELTLHVPAVPDPFPRGRFERPFLQLEVLSLGSLIGRATPRSFPGTPEIGGGSKPSSSSSPSSSTKLDATALLNVSAELTLSGEEYVNVSTLSLEGVYNPVDGRMYLVGCRRIQAPWRAFSSMGEVEEGMDCSIEVRVEYPPTTARWLINPTAKVHIASTRGVGGGGDPLRFNATALQTLPILYREQRQDILSRRSVEGILRIPGRVPSDRRVLAYTTSAHLVGFMVILAAHYVSMLGRPVRWESSYMDARGEAHALREWAVTMEEYIGLAQDLFLLPQVVGNVVWRVNCRPRKTGVYAGWAALRLLPHLYDYIRAPAINPYFAEEYEFVNTSLDFYSKFGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVSGVNDGVGLRRDASLS >OB01G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2861768:2863857:1 gene:OB01G14760 transcript:OB01G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03500) TAIR;Acc:AT2G03500] MASSSSDLTLDYKPNGDCGAYPGQAAAATAAAALVIDHHLTATGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQQAAAAAVARPLVLEEFIPIKNIGIDVAASAADKAAGGNVSSEKASWMVSAQRWNAPAADAAPAKGPQTPKEHHPLDTSPKLSALDGGGGACQRGGGAFLPFSKDSALADGSAAAALPELALAPAEKDTITVAAAEVDKKLYVHDVNGSNNGAAGRPREAQNGGEPSSTAPDGGQAVPPQPQPHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPAPPTAATPQLVVLGGIWVPPEYATQAAGPAIYGGHPATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGTGPRASTRRAREIRTARTTTTTTTTRWRRRPPPTAPSTPPPTTRSPPPPANTKLLINKPSRA >OB01G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2846473:2849364:-1 gene:OB01G14750 transcript:OB01G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAVDDALGYPKAYARLCRGGGGGFPYGHGPPHGFLPYVLHPHEAMRAKDLNEMFPVRDAEAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGRTVPSNLRIVQAQVCRKKHNKLEFLVPWWDLQLGISVNHFLSIFASKNADFRNRAFAFLFVDGASEELSSMQAVEAHAFPHHFSDLTKKVGLAPAAIVSNSRGSDNSVLKSLDANRPLRPNYPLIAAKKFTSEKDESVNLPVGHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEATELKQKNEEERVAIQDMEALLIKRRRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRRKYQQKDELEKQIKPFTDQARKRYRVDDEMLEERQTESVKYFPGIRLRSRSPLKQELRVFLEEDQRASDAYISLEEEEIRDGTSAMGNFKNSPYKVISFPRRSMEDNTVDTERGRASVREKLEHLAIKERQRGRRRERTMASRGSRATSTPVRSRDDGKGKAAMVQCEYETEKSHTISVPRTSSVPPSPPYRVTGMYGTPRYPAERPVLLKKNEVGHCRGFGRSEDDANMSHIGKGTVDKWLHMLMEEEQQQQEDPAPTYHSSEDHNAVDEIASDEHQRQGRIDDDGGRNEITECTDEIVEVGGESAATEQARCRNSFEIRERGEEKKIWFPRSDSSRGFRSLPSSPSKILGVRRSVECMSRKPKVIGDDDGRYGYEDSVSTSSSKFLSRCKQAIKKAVNK >OB01G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2841000:2846023:1 gene:OB01G14740 transcript:OB01G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSAAMKASSQSQSQRTPSPRAAAGEHTRSSSEPWLVAAAAASTCDDSCVNDVENFARTVAAAKSRMGSSSSSAAGAGAASRPDMLASVLSHYAAKWLPHLAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAHARPADDALYRAVDTYLKAHPSTSKEERKSLCRLIDARKLTAEAAAHAVQNDRLPVRTVLQVLFSEHGKLNRLAELSGGGASFGAPSPAFDLPGARCPSKREMLAQHHEVRRLREDFARLQVPVPRPSPPPPLVQCGALQAQVDRLSTERRRRGGGGGGFFKWSTFWFGGMSADVARVEDSESGMERRTPVSGKKGRAATATPTPKWRKSMS >OB01G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2835829:2837808:-1 gene:OB01G14730 transcript:OB01G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15930) TAIR;Acc:AT3G15930] MVRGLSRARGLLDGIPHRRAGSSASGHGAEDAVAGYVRMLAGGVRPDAYTFPSLLKAVAAARGASAAAVGGAVHAHVVKFGMESNAYAASSLVVMYAARGDGAAARAALEACPASGGGASVLWNALISGHNRSGMFGLSCCAFVDMVRSGAMATAITYVSLLSACGKGNNLLLGMQVHKRVLESGVLPELRVENALVDMYAECGDMDAAWVLFEGMKVRSIASWTSVISGLVKSGQVDQARDLFDRMPERDTVAWTAMIDGYVQAGRFREALETFRYMQFCRVRADEFTMVSVVTACAQLGALETGEWARIYMSRHGIKIDVFVGNALIDMYSKCGSIERALDVFKDMHNRDKFTWTAIILGLAVNGRAKEAINTFYRMLRALQAPDEVTFIGVLTACTHAGLVDKGLEFFLSMTETYKIPPTVVHYGCLIDVLGRAGKLKEALDKIEEMPMKPNSTIWATLLAACRIHGNSEIGELAAEHLLELDPYNSTAYILLSNMYAKSNRWEDVQRIRQAIMEKGIKKEPGCSMIEINGMIYEFVAADRSHPMSKEIYSKLEKVLTDLRNAGYVPDVTEVFVEVTEEEKQKVIYFHSEKLAIAFALLTLESNMTIRIVKNLRMCLDCHNAIKLLSKLYEREVIVRDRTRFHHFRHGSCSCKDYW >OB01G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2816043:2821079:-1 gene:OB01G14720 transcript:OB01G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRTPFKGVVADIEGRVAWYNHDWVAGFRSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSIVGGQPMLIVGVAEPTIIMYTYLYNFAKKQQALGERLYLAWAGWVCIWTAMMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPADADRSSPIYQFQWMYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLHHWTVAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSSYHYDILVLGFMVLLCGLLGIPPSNGVLPQSPMHTRSLAVLKGQLLCKKMVQTAKEGLMNRASSLEIYGKMQEVFIEMDCDKNTDSIDKELKSLKNAMLQEGDKGKLAEELDPRHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKTIPTSVLWGYFAYMAIDSLPGNQFWERIQLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQFVYLLICFGITWIPIAGILFPLPFFLMILIRQYVLPKFFEPNDLRELDAAEYEELEGVYHDHTLEDGGSDSGSCGSRDDAEILDELTTNRGELKHRTFSHREERHLQVHSNAVQPRCGDTENWSEC >OB01G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2812432:2814738:1 gene:OB01G14710 transcript:OB01G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISASGSGPHGTETVSTRSYSPCRSRTVGGDIEMIIPNAKPTGGDISLFFFAAAETTKPIGVGDRESRRRPRADQAPIPPTQPQPPAQARCLVSSQTIAGHADERISPTETEAEAPGHGRSKRFRPFDEGSAREGSCPYPAWVVLNRIWLQVYASSNTYACKLTDGTTSAVSHTSRGEQVSVSFELAAEPPRTSLVTLDWPRGPGPPEGTTSYPQVVAAHGNAVLLEIISTDKHPRAGAIDYFVYEAKAGGGKPSLTRLPICYWQGTSNRGRLRPRIMSNEATGMLSCSEDFFIVAELEGSHQPSAATIYSLSSRSDGWSLQRCAHPPWRWRRSLLGLVEKKKKKISRSPFYGKPYETDRAEACPQSSRSLCDTRHGVKFVDVRRHGSSSITTLWSWREDQTWREDAALDAAQLRGLCSENRLPTNAQPEFPVVDMESPCVVCFLLREEGHRIAEPDATTWMVKVDMKRKIVLGCARYSEERRPARWVVFVSSEMPSYLSRQQTLKRKC >OB01G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2795140:2800436:-1 gene:OB01G14700 transcript:OB01G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFVYLRPTNDGTGAPVGTESGGGAPLFYAANNGKDKTLKILLEQLEDVMESNAGLHEKPTVTYARKSPNVSTALTKTQVFVKHPHGTLSLQIDTDKDVCFLKEMVNQRIHLGFSGYFTYQLSTLEAGKPLSSYGIVKDSDIYMRARLHGGKRYRGRRFRGRRKLKTLSQFSLEHRDELLRSVELPDQSTSVELTSLGCTILSSLVHLFTAVFVSGRTWDGTIDMEGLIVVHGRVRIKKTSWEGLNSSRLKSDCARLTSMIREMFVQNNVHPPYLRHLLKKFDTLAGDFLEDHRAAFDLHMSSMSSLNRVNSVYQIRRSFDGWDRMEQHRFRCVANTCKFREDGLNHLYSNHLFRAVIMEGQKMNVRIKSNGIGAFFFIPVPIDQREQLCQLFECNSGVELMVPKYLGDFLAEVVFKFIEEDFDIRDVLMTCSTLGPSVTTSSSDGSSSSDSHSDSTSSPRKRKRSFKKKKPKRCSSSSDSDVSSESTRKRKRRHSTVKKSSRSRHSSSSYADSNASGSASKKRRIHSTVKRSSQRRHSKKCKAR >OB01G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2790758:2792405:1 gene:OB01G14690 transcript:OB01G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFPTEAAPSQRRRDKGPSQSVPLFRPFKFADSSHLKPSPAMRVLPLNADSSHRKPSPAIRMFPREMEAEIPGHGRSKRPTSTLSFDADSTREGSCQYPAWVILNRLGGRRDSLHGDGTTSAVSYTSGGEKVSVSFELAEPPRTSLVTLDWPRGPDPSEGSTSYPEVVAAHGSLVLLQIISTDKGIIDYFVYDARASEKPSLTRLPICYWQGTSNRGKLRPRIMSNEAMGILSCSKDFFIVAELEKPNQPFAANIYFLCSGSDDWRVFKDVPIPHEHDHSFPGLLLWSTDAMLSYRHRYLIWVDYFSGMIVAKFAHPGDIDILNPQEPVLSYVPLPVEPFQGQPYEVDRGEVCPEASRSLCYTHDGIKFIDVNLHGSGSGFSITLWSWCGDQTWRQEATLDAAQLWNLDSENRLPNGQPEFPVVDMDNPYIICFLLTEGHHIVSDPDATTYMIKVHMKKKILVDFTTYSNKGSPSYHKSYMTSRMMSEGLSFISSEMPYYLSRQTKKRILLV >OB01G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2786733:2787311:-1 gene:OB01G14680 transcript:OB01G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATCPSPPLPLSTRFPPPLPSSSLALKSLLLSSQFQEIQVESKAQGDILWFLDTFAVESNGGGVGDGFPGEAGGGGEAVRCGGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHVPLRHRLPPRLRRPRRRRRPLAPPPPPPPPPPPPPSTHLHILRLHPPRRQ >OB01G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2776300:2779575:-1 gene:OB01G14670 transcript:OB01G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLSVSVGLSGWLPCARSLKNKIESSQEAAQKASSVPLLLCHGKADDVVLYKHGEKSAEALKSTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLAISSSSST >OB01G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2773507:2775852:1 gene:OB01G14660 transcript:OB01G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMSTALVRGLVRSRHAPSVAAVSQPAIQHFRNYSSGLDGDSSASGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVAQDIKENPVLIYMKGYPDAPRCGFSALAVKVLKLYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQKGQLKDVLGDIAQKREQNESS >OB01G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2765542:2766388:-1 gene:OB01G14650 transcript:OB01G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCGRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRPAKGAGGGAGGETISYMNTPSVRRSPALPWPWPEVISGQGHGSAADWRTLGVFMYEMLYGRTPFKGESNEKTLINIIKQPVTFPRLAAGAAAGATAASGREWEELRAAQDLMTQLLAKNPKKRLGSTMGSAEVKRHPFFKGVNWALVRSIRPPEVPPKPKPAPAPVPKKVVMMSKKERQEPYSYRPDDHFEYF >OB01G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2760422:2761447:-1 gene:OB01G14640 transcript:OB01G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGGMERERERERERERESNRRREPEDVIVLSPGPSVRRRPPPAPVAKAAAVEVEMEYQPPEKLFYKTRLCETFVSSGRCMYEGGCTFAHGSDELRPSLTACAGGWRRTASSGAPLPPPPPPPPPPPPPAVAAQAVHDLARGSVSGGGGHRSITKVCFEFRDKGTCHFGETCAFPHVSAAEIRQGSRLSMSSSASELPARRSMAGTVPRTFDSVPPVAPPSRVGGNNNSIGYGGASSMPAAGSDDHQQPDQEGRKLTRLEILSRKKMTGIYGDWLDGFVHP >OB01G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2756737:2759840:-1 gene:OB01G14630 transcript:OB01G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent oxygenase [Source:Projected from Arabidopsis thaliana (AT4G25600) TAIR;Acc:AT4G25600] MGSGIGAVLVLVAAFLALAPPCAMASSRRFDLSIAQEKIANTTSDSTASSSQLVLDPSKSKRLSWHPRVFLYEGFLSDMECDHLVTMARGNMESSLVVTDGARNSSYNNIEVSLKVYLADSQDALVSKIEERISLWSFLPKEYGESIQLLKYVVNRNGNIKDEPKSSSGGNRLATIFMFLSDVKQGGETVFPRSEMKDAQAEEGAPSQCAGYAVRPAKGNAILLFNLRPDGETDQDSQYEECPVLEGEKWLAIKHIHLRKNDPPKSLLASEDECTDEEDRCVSWAASGECDRNPVFMIGSPDYYGSCRKSCRVC >OB01G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2748152:2755320:-1 gene:OB01G14620 transcript:OB01G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase [Source:Projected from Arabidopsis thaliana (AT5G20080) TAIR;Acc:AT5G20080] MAIERGKLKMQERGGGAVAVALLEEKGEEAVAKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSNKLGLDVASCLITRAPIGEEVEGEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKKIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSSDWRGGVGYISKDMALKGLPGPREDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTAEMVYKF >OB01G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2744664:2746195:-1 gene:OB01G14610 transcript:OB01G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMSDGHSERDQEGETLELRHSRSVGSIGLQRRHGDGAEHTRCNNGQAFRTRRVPAAQDPPSPKVPGCIFCGIFRKSGPPEPSKPRSWKRKETLKAMWVHSV >OB01G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2737585:2740120:-1 gene:OB01G14600 transcript:OB01G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAATLPARSPSDAADACMVPRRATPPARPPSDAAAAHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRNRVSAQQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRSGGGGGGGGKGGDGGGKKHHFTKS >OB01G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2732231:2737905:1 gene:OB01G14590 transcript:OB01G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYLQFQFGRRREERFPASLRLWWALFLFISVYAVAVHAVMSLDGLPVLPHSWALDATSGLAAVVLLLAGFLGKRELGGSAVEEPLLNGASETAGENNSNNCDADASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDSVAGLLPSFKSNLEALAGDDSGRKVTAFKLTKALVRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSVLVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTNAVEKLPNGSSDVAIEVRNGCFSWEASSEVPTLKDLNFQARQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKHMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYEEILGSGEEFMELVGAHKDALAALDTIDVTNVDNEASSSSKIANMSRSASVEKKDKQNGKEDDVSAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQMLFQVLQIGSNYWMAWAAPVSKDVEPPVSMSTLIYVYVILAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTNIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVIAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDTLSSVTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSKGEIMLNNLHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPSNGQILVDNIDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDGQIWEALDCCQLGDEVRRKELQLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKASLFSKLVAEYTMRSTHT >OB01G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2720155:2722117:-1 gene:OB01G14580 transcript:OB01G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVTEGVRNLTVEGDAAGAAAGEGAVRRAAGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRVRPLQKAKIEIVLGKSEKFDELMAAAAEEREAAEAEEQA >OB01G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2715718:2719482:-1 gene:OB01G14570 transcript:OB01G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT1G52590) TAIR;Acc:AT1G52590] MLFDGVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLATFLKVVPLFLRDFAYDNVADNRYTVFGRSESESCEIL >OB01G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2715619:2718347:1 gene:OB01G14560 transcript:OB01G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENLPLPQKDELLAHSRKEKKRGEFLAQSTNSTVNLLEISINGRIIAVNDTSHPQLFNSTWIVSKYTFVFLLVLALRSVRSEALKRPSHNVLDFNAAGDGKSDDTQAFLAAWEQTCNDDGWPILIIPGGRTFLLKQVTFSGSCKSPIMIQVDGNIVAPNYIWTSEQDNLITFYSVDNLTLEGNGQIDGKGAIWWTCYTQKLLAFAQCNNLSVRNIHLTNSPIKHMILFRCSQVHVYNVSINAPGDSPNTDGITMAISDHVYISNCSIQSGDDCVSMLSHTTDVNITDTRCGPGHGISVGSLGQNEKALVERIVVSHCSFFGTMNGVRIKSWQGGKGQATGFIFENLNMTAVQNPIVIDQFYCPQGNCPVKDGGVAITDARFINIHGTSSEKEAIKILCSQSVHCQGIYLSNINLSWENHTAPANATILNAQGTIEGWVVPKVDFSKP >OB01G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2714105:2714671:1 gene:OB01G14550 transcript:OB01G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSGPPPPHPPPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATAAEAEADGGGAAEAARTCWYTVQIKTSCASPRRTSDAVSLAFGDAYRNEVYAASLAAGSPSSFERCATDTFRVGGPCGYGVCYLYLRRSGRDGWTPQWVRVYEPTSGTPSTFYYGDPLPNAVWYGLNRCPRLAVATSPAR >OB01G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2705725:2710966:-1 gene:OB01G14540 transcript:OB01G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLASVHLVDLGAPSPDLALAAGLDGDAAHPDHGLGELVAEPEAEEAPDVAGVVLHGGLRGGVAPEPLVGFQHGGAVPDVLEEGHVERAGAAQVQRHPPGAVXXXXXXXXXXXXXXXXXXXXXXXXRREVVEAVPEEDAVRLPDGVSSYKYIRRLTWLAAE >OB01G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2704362:2705832:1 gene:OB01G14530 transcript:OB01G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KWU7] MAASAMAALFFLFSALLRSSLVHSQGLQIGFYDNNCPDAEDIVRSTVQKYYNNDATVAPGLLRLHFHDCFVQGCDASVLVSGASSEKTAPQNFGLRGFEVIDDAKSQLEALCPGIVSCADILALAARDAVGLTGGPSWSVPLGRRDGRISSASDAKSLPSPADPLSVQRQKFGDQGLTDRDLVTLVGAHTIGQTNCIFFRYRLYNFTA >OB01G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2700629:2701238:1 gene:OB01G14520 transcript:OB01G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPGRSCWRSSLEYMSQYFSAILFTFCTHSRLSGRQNTSSSMPRCSYHSAIRKPWIWPWAGCLVARWYGWYPPMAISESLEPAIDLWLMFADPTMMYSSSTENGRNEVTSFDKQNRTEVNLLA >OB01G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2700442:2700885:-1 gene:OB01G14510 transcript:OB01G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRDSEIAMGGYQPYHLATRQPAQGQIHGFRMALWYEHLGMLDDVFWRPESLECVQKVNRMAEKYWDMYSSDDLQQDLPGHLLIKGPQLAFRTGAPNFWRRSCSYPIDIARDGVVMELPGMKHFPDTQARVLGTKSDYLPSILTS >OB01G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2693849:2697837:-1 gene:OB01G14500 transcript:OB01G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3KWU4] MAQMLLHGTLHATILEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPAQELLSGEEIDRWLDICDNDRQPVGESKIHVKLQYFDVAKDRNWARGVLSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSAHHDDFHQPNFANASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDIIEALQAKGIEANPKDYLTFFCLGNREIKQSGEYQPEEQPEADSDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQRVNAIADKYWDMYSSDDLQQDLPGHLLSYPVGIASDGVVTELPGMEFFPDTRARVLGTKSDYMPPILTS >OB01G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2689275:2691910:-1 gene:OB01G14490 transcript:OB01G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGEGGSASRVGDMGVELGEGWDWRSIPRLLSSACLFICSGGCFGCCDRAVRHVGQLSRSLIAPDQNYAVGEELWSTTTIEVEQAWALDQHGVGGSHSPPEYVNHGLVLWNEIRKDWTAKTRQIPETKQIREPILSWNAAYESLLGSNKPFHQPIPLHEMVDFLVDIWEQEGLYD >OB01G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2681489:2686692:-1 gene:OB01G14480 transcript:OB01G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASRGPRYAPPDPTLPKPWRGLIDGNTGNLYFWNPETKAVQYNRPTGLPPSPPSSSPAQPPERPRNSDPAESQAQAGASRTQDAPPHADDRARNDHFERRMEAAGSHAQNMPFTDQKTTRSNPSSQLCSAAGGYSAQNVVSEAANGERISPEAYRAKHEITIVGNEAPAPFMTFQSTGFPTEILREVLQAGFSAPTPIQAQSWPIALKSRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSREGPTVLVLSPTRELATQIQDEAIKFGRSSRISSVCLYGGAPKGPQLRDLERGANIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLTNPVQVNIGNTDQLVANKSITQYVDVITPTEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLSRQYGASAIHGDKSQAERDSVLSEFRSGRCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVSYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGGRSRRWASSDDSYGSRGYDSGYTSRSTDNYNSGFNQSGSGSSFHASFHSSNSGNQFGDTSGFQTSFHNSSNNNQTSDDSSFHASSGNSQPGDGLSFHARFYSSSRGSDQSRTNNGGGCRDRSRSPPSNRNHEDPGSKAVGVSNW >OB01G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2680052:2682649:1 gene:OB01G14470 transcript:OB01G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAWYLLNIYFNIYNKQVLEALPFPYTITAFQLAFGSAIIFLMWALKLHPAPRVTVAQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTEVSFNWIGFWSAMASNLLNQSRNVLSKKLLGGEEEALDDINLFSILTILSFLLSLPLMLFAEGVKFTPGCLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGAALGGVFLYSRLKKTKPKEASQARTQALLQLFSKFSFLFEAHRLGW >OB01G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2673783:2677596:-1 gene:OB01G14460 transcript:OB01G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparagine-linked glycosylation 3 [Source:Projected from Arabidopsis thaliana (AT2G47760) TAIR;Acc:AT2G47760] MARVGKHSAGESSSPSPSPSPPPPDRRPLYFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFAEGERDYTKIEGDTGPLVYPAGFLYVYSAIKFLTAGQVFPAQILFGVLYIVNLSLVLLLYVKTEVLPWWALGLLCFSKRVHSIFVLRLFNDCFAMTFLHASMVLIIYHKWYLGLIIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALSGAAALQVLLGIPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLIVFAHYKWLKHEGGVFRFLHSRFKDATSIQQFIFSKPKLSSLSTEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTPFPTFVRIILFVGVEICWNIFPSTAYSSLLLLFLHISILVGLWSSPAEYPYANGKK >OB01G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2670746:2673647:1 gene:OB01G14450 transcript:OB01G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRRSPRIRELNEKTKVNNDQDSNTRKPKEKHTMGQSREANLQRTQPHQLNLQISRQINIEGSTYMSHLLGINPMHQKSGQVHDQFGFSSASIGFGQSSFQPQNQATYSGILPRDGQTTAYLYYPLLSSFTQTAPTPSGSASTDTTRVLGNNSEHLRKTFGENNFGGSNPRAASHHINHHIYSFYPVAPLSLAPLQPARNEQPPLPSFESSEITTGSTSNAGRSASVLGKRSAEIFFQPAAPPLDDGDDSMAAVELGPQCNLQTVDLLSLVESAGTPEFLANSERVLGSVGGSLYQPRRRAVSAGGAPAHVPVASSGAVPPPVPRMILGLGDGNGGGSTAVPVLPEPSLVSLVLGLGDGNGDGRGKEKPRSYWNSSAMARTLERKRRTAPAPATQASQAALPAKTLGLDGHGCSTDAAMQRRP >OB01G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2663864:2666764:-1 gene:OB01G14440 transcript:OB01G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMAVDSTTPEYWLNWRFMLCAIWVYSCMVLACFLIWKHECPSSPDANGEGGRDSEDARPHRGRDASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFVLASLLVVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKDGDDRSGADHGTYIIAPAGESAYDHSTKNTCYTKMHGGKEIAGFWGYLFQIMFQTNAGAVMITDLMFWFILYPFLAHNQYDMNFLLIGTHSINVVFMIGDTVLNSLRFPWFRIAYFLLWTGAFVNVQWLIHANVSIWWPYPFLDLAFPKAPVWYLVVAVLHFPCYALFALVMRLKQSLLERWFPHSNTCV >OB01G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2662669:2663145:-1 gene:OB01G14430 transcript:OB01G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALAPTPTVGEAQAEAQVPRAVLWIGGAYLVCIPVCSAAGAACWLCWGDRSFPGCLRRDPWRVTPVLMIGAYMAVLSLLIVYTHLFLPRAPRAVLKRLANVGGAWVMVVLTWPFAFAFALRLAWLAIAVDCVLLALLAAVIALWVRLARTYGSSD >OB01G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2654230:2659956:1 gene:OB01G14420 transcript:OB01G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYILNRSPAKALDGRTPYEAWHGRKPAVSHLRVFSCLAFTKQLGHIGKLDDRSTLGVFIGYAKGLKAYRILDPETPYKLPSSHRHPLHRGFNTGGTWHLTRGYVVSIFGGGDKNKCYSVGVINNGKMHIIVKFGSFIGMVVPVVLSILPYFYHGYALGALFIISVSIIFFVVNWDANPSEFVKWLFGAFGILSLVICHWIQLEDILHGVAERSQRIVTIIDIVPSCIMTVVSFVITFHTHPEHILILVSSAIGFILNLAEILLLINFATVGYLFPLAVNTNADVEPARQSDQPATQEPHPMLLRDLEIHYWMVISKLYRTRSATPFHPRRALADTLPLEENASKIPDIDDSIAVLILQVRNIYEIHEVRPMLNLNLHTRVLLVAAIPWMNMQIERDLYITMLLQASGMAEPHPMILRDLEIHHLMVISKLYCTRSATPFQPRRALAGIVPLEESVSMIPDTDDSIAVLILQVRNRYEIYEVRPMLNLNFQTRVLLVEAIPRMNMQIERDLYIPMLLQASGMAGGIVVELKLLLQQLQSHTPCYFEILKSTTGWSSASSIALDLQHRSTLEGLWQHKLYMLKELSGV >OB01G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2638151:2638639:1 gene:OB01G14410 transcript:OB01G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWASTLISANRRGNSASSAARRRRIVITVVLRRWPVRKSTYGLQEGSVSGPPPPPKKRQTLVYSVIVHIEDVLDPTPLHTSCSLSDESNSDDEDVLRRNGFAYWAGRFDGAGPWISYQGGGRSFGVVSAPLAGSWGLGPWSGPLRESCPPGRSPARPPRP >OB01G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2632948:2634422:-1 gene:OB01G14400 transcript:OB01G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIQAGANVNGCGAGFNGTTPLLLACSRTGAIRFVKCLLESGADPNIPDELDRLPAEVAAIHAEREVVEAIFPLTHYVPTILDWSVGGIIRYVKSAAYKEWARNASCRRKDELKEQGNLFFNNKDYDAAILLYSMAMKFNNIDASLYSNRSVCWLHLGIGDEALSDAQFCSKIQPDWAKGYYRQGMAFSLLQDYASASCVLQRALKLDPLNATIAKALRCEKTR >OB01G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2618357:2623642:-1 gene:OB01G14390 transcript:OB01G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRWWRWWAALAGVLAVILPPSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGPIPASIGRLGMLQTLDMSDNQLTGSIPGSIGDLKNLNYLKLNNNSLSGVLPDSLATINGLALVDLSFNNLSGPLPKISSRTFNIVGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQSIARSHRIAIICGVTVGSVLFLTIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRIANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >OB01G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2613731:2614135:1 gene:OB01G14380 transcript:OB01G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSFSYIRLRMEQAIMHGSTYLKHGEYAFMCYKLEHTKVILFSLHTSTNGTDKSALCRIRIYVYCILLATHHHTKIKDQVRINLLTILRAEQRMISRLRHVNTHTHTRSKPDHTYIHLSRHHGSTKQSDHPKT >OB01G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2608765:2610501:1 gene:OB01G14370 transcript:OB01G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G66345) TAIR;Acc:AT1G66345] MAMNAAKSGGLVVARRGGASLFGRAVASASVSSVSTSTPATTPQSVSHYLAHHPRATWEALSAAFPAAAAPHGHIETVLLSLAKHRPSSSPELVARNALTFFYWSASSSSSPHSLRAYCLLVHLLSRAALIRDASVLLESAISKHSSSPASPFLDAFFAAYEDSGTAATTRGLHLLVHAYSRARLPEEALEACRYLSQRGVVPSLSAFNAVLHAAQRSGRLGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALGRMATLVERIHGKKSVPGVVAHVALTLRIFEEGRTEQGILLLRRMLQRNMVFDDIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCCECNVDKALQLFEEMLSIGLKPYAATYSHLTIGCFRQGRREEGSEYMDKMLIEGFVPDTGTCNEMLEALCDSGEVGKANELLTAVMDKGIVPDQNTYFSLINGYGKVGDAQGIIKIYHEMEHRGINLGAEVFSSLIRVLCLCGNLKEAEKFLAVLERKLLAPTSEIYDLLISSYCEKGNTKKALWFYDRMTTGYSTLVPSGDTFMMLVRRVIKAKSACSPNC >OB01G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2602032:2604947:1 gene:OB01G14360 transcript:OB01G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGHAICCLLAADGDAARCHMTASALLDAQSGEHPVLLDGDPRATTGCSHGVAAVGHGQAFAGAMRQRREEVPPDPLRVVLREEHVGWTEVVTCANGNKIDHPSGLIKKAWRASVRNICRFVASAVRFCWDIGTHMLPLWAAAGFRRRLPTSAVPEKFSWRNVMSTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQGTFILELPDSTRNLRRLCQQRQVWVKDKGACMDGLLSVIRTTGGVPATSTTTNTRRGLLQLPLHSHDSFSLRGSWTNLTPRRAAQLIFTGGPCIGILWVDGSYADKRHYSDDDGELDMLVYLGCDPKKKKKRSSNSSKEDARLHAVVCYGYRFTGQQQLHIRVQDNMPIHSPHNWILFEAFDMFYTVRVTPLDASRLYDPSVKVPPKISQKMGKDRALVNANLRRLHDAVSQ >OB01G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2598682:2600321:1 gene:OB01G14350 transcript:OB01G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLILIHVNSSYQNEQGAVHLWEQSGSPLIPLVEFSDPHITKTYAVSPDKETLEILTEMSNQRGVEVFAKIFYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYVVNNATCPVTVVKENR >OB01G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2595305:2597455:1 gene:OB01G14340 transcript:OB01G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLAKEATAEYPRRGAGLAASPRLTAPARAFSAAPPAANPAGEFRVFGLFLSPMARRAGAFGVPSAAGRNGAQSVSSRCSGTAFRAGVPSYGGLLAGRMARGFYPQLSGHKLLKGLGTGSAFVATLCSQKIAYADEQPSEDIVRPSAKHQITKLCPLIRKYQLPVGVIALIALGWQNPLGLLINILLILYSSTPNPYSIYLFLQEIRHGETHQHPASWTEEAVLTRKVDAKDYKFFSIGTVETADRKVLHVIGILGSWWIYRSSYGK >OB01G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2591097:2591540:-1 gene:OB01G14330 transcript:OB01G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSASLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLCLCMKKSKALQSKGWLLQLAAGSIILSSSSVQCTLTSSGTQRPTLLWPLCSLSLIRLPSLFILSCTGLDESKQSKAKQKLLRAPLTATAAC >OB01G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2584925:2589843:-1 gene:OB01G14320 transcript:OB01G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCRCGNRFGQVGVVALLLVVVVGSSCLVGVASAKHKGGNSTRTTTTLPFHGKEELRRYRKIMAQVARLKKASVKTIQSPDGDVIDCVPPHLQPAFDHPKLRGQKPEAEPEERPKVSGAAAEQQQEEEAVFPQAWTDGGESCPEGTVPVRRTRKRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPKQGHWWLQLGSGPLVGYWPSSLFSHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQLVDWDNNLLPAAALRLVADHPSCYDIQGGSNRAWGTSSSSGGPGRNVRCP >OB01G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2579695:2579994:-1 gene:OB01G14310 transcript:OB01G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSGRVLAHSRAIPRACSISWTWVSGRPCNRESITSAGSRFRWRCSRTHCTTLCPSPNTDSIGRHPVIISSNTTPKLYTSLFVVILVMHAYSAEIK >OB01G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2576725:2580181:1 gene:OB01G14300 transcript:OB01G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVSRRRWRRKPDVAAPAPLLLLCCAAVMAACMGGALAADAQGAALLAWKRTVRGGDTALADWNPADASPCRWTGVMCNANGRVTELSLQQVDLLGGVPDNLSAMGATLERLVLTGANLSGPIPPQLGDLPALTHLDLSSNALTGSIPTSLCRPGSKLESLYVNSNHLEGGIPDAIGNLTALRELIIFDNQLDGTIPASIGQMASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPTSLGQLKNLDTLAIYTALLSGPIPPELGQCSSLENIYLYENALSGSIPPQLGGLSNLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPPSLGNLSSLQELQLSVNKVSGPIPAELSRCTNLTDLELDNNQISGGIPAEIGKLTALRMLYLWANQLTGTIPPEIGGCVSLEALDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGALPPELGKLGGLSFLDLSTNRLSGAILAEIAGCRNLTFVDLHGNAITGVLPPVLFQGMPSLQYLDLSYNGIAGAIPSSVGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLGGNSLTGAIPASIGKIPGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLQPLSALQNLVALNISFNNFTGRAPETAFFAKLPTSDVEGNPGLCLSRCPGDASDRERAARRAASVATAVLLSALVVLLAAGALVLFGRRRQPLFGGSSPDDDKDADMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRASIPSTGVPIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWATNRRTRLLFYDYLPNGTLGGLLHGGTNGAAVVEWEVRLSIAVGVAEGLAYLHHDSVPAILHRDVKSDNILLGERYEACLADFGLARVAEDGANSSPPPFAGSYGYIAPEYACMTKITTKSDVYSFGVVLLEIITGCRPIESVFGEGQSVVQWVREHLHRKRDPAEVIDSRLQGRPDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRNDDGAEARKAGSGSATKWAEPAKLTALARPAQAQAQSQSSSLAYSTTGSV >OB01G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2563222:2567394:1 gene:OB01G14290 transcript:OB01G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G34140) TAIR;Acc:AT4G34140] MDGGGGGGEAGLAGGGGFEWDEDSQLYYHPSTGFYHDAVAGWYYSSRDGQYYIYENGNYMPWMPDAGNETKTEHPCDESNQGFLEPSSGLEPDISDNQNETQRPPSEWMEETLINMFLSGYSNAEANDEVPLGGSQTNEGDRSETTQNKLGNFASENEPSSLHDATLHQTEDGMQNENSTTVHESLSEEEEKWLSQYGQVEQEIDDQPLFPSIDLWDWDMVKEPVSKGQPIVRLVGRLVKGSSKLHPSLPARGGLLRTAPVHEVHVDLVRVSSGKIYRLRRPSSKYLASLSSYDSSNPTKDWGFPNIYANPDNNLHKQPTAQCQSEVMDVFSIRGVSAASANEHRIYAYRDRAAERRVLHRGIGIGPGQKQSSSTNFYEHEETDEGMVLMGDASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGIVEPIQPTVNKHGAGLGWKQNC >OB01G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2560425:2560580:1 gene:OB01G14280 transcript:OB01G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYPHMSFYYQLLPYLLGNFLIHSYGKIVLHACLATVPLASMQAEHSRYNSK >OB01G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2549325:2552206:-1 gene:OB01G14270 transcript:OB01G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G40390) TAIR;Acc:AT5G40390] MAPNLSKSKDGLLGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANVRLTPASTLVATAANPPPPPAGSFLGFDAPAAKDRHVVPIGKLRDTRFMSIFRFKVWWTTHWVGMNGRDVENETQMMILDRSGSGSGSNLTGRPYVLLLPIIEGPFRACLEAGKVEDYVDMVIESGSSTVKGSVFWSALYLHAGDDPFDLVKEAVRVVRAHLGTFRLLEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGMVLIDDGWQSICHDDDDPGSGAEGMNRTSAGEQMPCRLIKFEENRKFRERGGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLRRTMEDLAVDKIVSNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFGGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTAPAGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFGLLRRLALPDGTILRCERYALPARDCLFADPLHDGRTLLKIWNVNRFSGVLGAFNCQGGGWSPEARRNMCASEFSVPVTARAGPADVEWRSGGGESTISVKGVSHFAVYFVEARKLQLLRPDESVELTLEPFTYELLVVAPVRAISPERDISFAPIGLANMLNAGGAVQGFDARKDGGGGLAAEVAVKGAGGMVVYSSARPRQCRVNGQDAEFKYVDGMVTVDVQWTGSSSKLSRVEYLY >OB01G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2544859:2546080:1 gene:OB01G14260 transcript:OB01G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNVADGLDTVMEVGFEALEVEVAHLGQEVLVVVLRPGLDAVAEWLPAVELLAADVNLYVQIQHFLMTGYQTWVAGVLQDGAVSQQFPVYRAKSAHQLAPPSACSQVASAFHQCCHYSCRLLASTHPQSFQDGVFDPPAVKALSLHLRVHAIDYQNISSWFDQCLP >OB01G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2543648:2547145:-1 gene:OB01G14250 transcript:OB01G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASASNSNSTSGGGGGGGAELLDLMSPNPQEEGEDRLRRRHSSNGSADDVLPSYDFQPIRTTTAAAAAAAPASWGSLDSGSKAASASYNLKSAGILEPHVLKKVSHEEDRSNFGAVTMADIDRTMKKYSDNLLHALEGVSSRLSQLEGQTHHLENSVDELKLTIGNYNGSTDGKLRQLENMLREVQAGVQILRDKQEIVETQLHLAKLQPPKSDTPSLENVGSAHTDSHQQPVAPQQATIQPQHQALASAQPPALPAPNAPPPPPMLQSQPPSQYPGHRPHSQVPSGPPAPVSSVPALPRDPYYAQPAQPTETMHQQYQAPPVPQPQAPPAPPQQYQSAPQFPQYSQPPQSGMVNPSTPLPPAAPQQPEEAMSYAPPQSYPPNVRPPSPYMPPASGPAPPFYGQNPSMYEPPAGRPNSGPPPSYGAGGYGPQGGSGFSESYGYSGSPSHRGNAGMKPSSSPFASSGPSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQPQKGWFGR >OB01G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2529267:2532641:-1 gene:OB01G14240 transcript:OB01G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVGTRAVEGRFQGNGNGGGGGGVAPSVRLLAANRDGKPRGSHSAAPGKLRRRGGPMPLWRVAVFASVALNVATLALLLHHYATSPPPPPQHHSHDDAAPAARISSGSAGAARTTASSMAPSTGKPAVTPDSVINLDHGDPTMFEAFWRETGDAAELVIPGWQTMSYFSDVTNVCWFLEPELDRQVRRLHRTVGNAAVDGYHVLVGTGSTQLFMAALYALAPDAAVAGQPVSVVSTAPYYSSYPAVTDFLRSGLFRWAGDADTFAGDSYIELVCSPNNPDGAIREAVLASRTGNGRAVHDLAYYWPQYTPITKRADHDIMLFTVSKSTGHAGTRIGWALVKDTAIARKMTKFVELNTIGVSKDSQMRAAKGGGWRAAKVLAAVSEGYERRPEQPKGTVTPPPPPPRLFDFGRRKMVERWSMLRAAAAASGIFSLPDETSGYCNFTNQTAATNPAFAWLRCDREDVEDCASFLRGHKILTRSGAQFGADPRYVRVSMLDRDDAFLIFVNRLASLG >OB01G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2513324:2527324:-1 gene:OB01G14230 transcript:OB01G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27670) TAIR;Acc:AT3G27670] MVAEGQQGVLGFLRPFVMFAAVRKGDTAFVKDLIGALAAAAVAAPKPDSTVPLLKLLAECLLHFGRGDGEEVRLWLSSVECLVDAYVVLLRKLTHAQMTTYDAQASSVELIEMLLSQCSLHHQFMGNASVVLGLSKHLFLTQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLAGLKLLAFLIEWRHENALRTNEAVHGFSEEIICVLPVINLVISPSKSVKSVASRVLSRFRLLVLDLLAPCSPEQQDTSVVHHISNPTSILPKLVHHLWFQSSSSGFMFTKYITSRGIAESAGNLIETNYCTNQINNYLLALRKEKLTLDNLYSKKIPSVAISSLLSSAVSVLVLHPKIGTCAAQSLGLLGAVDPKLAMPLLVLILFYNKVLYSNNDCNTYILLSLLESLPSLVVHGFVLPLALQLILPMLKEDVKSVLYAIAVRLLCRTWTVTDWAFQNLQGILDPENFSNFINDREVSTSIAASVRDVCKQNPDRGVDLILSVAACIESRDSVVQALGLESLSYLCEEDLVDFYTAWKVISKQLVDFSINPTVSHGLCILLRWGAMDAEAYPGTSKQLIQTLWSIRTHREENSDPLWVKTRGTAFHSLSHYKISLIKEAIPDFSRINYECFTNERNLEVLKAMENFQAKIIKFEHINRRRLATDKITTVHKFEKLLEAFPQAVFKEKSAHHRLPGAALLTLNFTPKDILHEGKSKDLPRVHASYEQALGEMAESMYISRNMVVALLALHSWKSFVSNWMQAVMACLDTKESSKSNKALKVADDIFKILCKRVPVSTPRVVVNIAFAIGALCSVVPATAHLVISSASDFLLRWLFQYEHEHQQWSAALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYCCQVLLARADNSAGLELEAAAQLTERASVEEILHTLTSSLVQLCPFSCFSLKKLSICGIRSLEGVEEKHVSLDDDPWAVAGLVLGLGNSVVSLYRLGAYEAVIEVKNILISWIPDVDSSSVLFEENDSASLCMGSCLALPSVVAFCQKVELLNDDLDALFNRYTSLATELLSLKKPGTVFQNLLMAICIGAGSFLSCILNDGVHAMKFTDVKNLLDTLKHVYTQPYPPLVHLGGMFGTVNAFGAGAGDLTDICWQPTNSQIKHEKESSLVRGPVLTSPVGETLSTSMIQEIFLLAKDAEDGHIQDYAAWAISFLRGRWLLKNKNIYDDDCSQRSSSDSNQSTSFSDESLVWNLSLWLRDLNFEKPDNILSASTVATVLRCLSKAPRLPAIDWGVIVRRCMKVEAHISDKLTNRHDSKLLREECLYLSLAQASHISPLLHFIDDLTDLPRFRRLEINLQSIFLQYLSHLMKLFSHSRLDKLYEDLIEYLYSPTSSYLDYSSEQRSMLRTSFWTGIRNCLVEDVSEMSSGFSCIKKCIESLSPLLSLHKDGHPEFIEEWSAAIKCLTVAQKILFGDMLKVEISSSLSEVERIDVARKIIIRARMCASSCGSVDELGNVKTTILSTRLDGVWWNVLVEVAVSVHYADSHIKRQWLLDALDIGCVTVYPSTVLSFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTTRIYVWAEQLTHGLGLAGHDHIHGSEAAKAVFLANILRYTCIAVEDHLAVEKKLKLGNLEAL >OB01G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2504101:2504847:-1 gene:OB01G14220 transcript:OB01G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNNSAISTVVASGAATTTPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGEVGIPTALPVTAAPRLAAAMPAPGQLAAVSAGMYGSRRLGVVDGGGIAPPSPHAGCYYARNNNAGSIGADVAPVLPYASVANWTVNAISTTTTNSGSESIGMDHKEGGDSSM >OB01G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2481073:2481934:1 gene:OB01G14210 transcript:OB01G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVQQQQQQRRLQEEGCCSEKKKKLRKGLWSPEEDERLATHIARFGVSCWSSVPDLAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEALILALHDKLGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQTESSSSTAAAAGPRRGETDRSRQPPPEAFNTFSHRSAHNDRPTPAAAAHIAAAAVVEAPAATAGAVLAENAGDRDAGAAEVARGHVGGGGGDDDGFLAGLLGGDYLDGGHGDGFSFLGGGGHVFS >OB01G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2468256:2468941:-1 gene:OB01G14200 transcript:OB01G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAESGEAAAAAAEKERKGLWSPEEDERLYTHITRCGVSTWSSVAQLAGLRRSGKSCRLRWMNYLRPDLKKEPITDREAETIVSLQKLLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKXX >OB01G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2463233:2466335:-1 gene:OB01G14190 transcript:OB01G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLLLPAQLTSLLELRRARALDGRAETAVVLCDSDAALAAKGGVAEVTELMAPADGKALRRLMALCVHRPPKFIPECLVRDLLRKYFADKREEKIQLIKGIITEQDDSQLNSPLPQEVLIIWGEFDQIFPVEKAHKVKEILGEKATLKIIPNTGHLAHQEDPKMFNDILLKFLLPSVVASDAK >OB01G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2462383:2462559:-1 gene:OB01G14180 transcript:OB01G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRYLTLTKHTLDSTVLYTHNKASRNPCQAPIILLNLPKIMQSIIIYFSATKLPISKNH >OB01G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2458927:2462370:1 gene:OB01G14170 transcript:OB01G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGICDMVTIARYLNLTLVVPELDKRSFWADPSDFGDIFDVSHFINSLRDELMIVKELPMKLQLKTKRRLYSMPPVSWSNETYYLKRVLHLARKHKVIHFNKTDARLANNGLPLQLQRLRCRVNFEALRFTPQIEALGRKLISILRKNGQFVVLHLRYEMDMLSFSGCTHGCSDEETQELTRMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGEKRLAALKAAYPRLVRKEKLLSPDELQPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTIVLDRMKLVELLDNFQGGAMSWNEFSAAVKEAHQHRMGQPMDRKVIPGRPKEEDYFYANPQECLGAKEELRDIL >OB01G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2453204:2457198:1 gene:OB01G14160 transcript:OB01G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSASRGLHSPDKLGTFESSHPWQLSASTGQGEAGSGDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHANTSVLACPGVHQGHVRVEHFGLKVTRLISAHDSHISCMALTMDGIILATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVDGGQMLQKEYFRFIKAGLTPLRPSVA >OB01G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2434859:2441157:-1 gene:OB01G14150 transcript:OB01G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVTFAGIYTRARLTINPDKVYRIAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEKEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDERREREEAERRQQEEEAEALRREEESWDAKLLAGSSRLKQQLPPNSCHSSFAALGLVWRQIYQSCPSLPKRSLLLYCSQLLWGAVWESVSHVDLLGQERSDEEQKIDFKGGNVHVITNKENWDQKIAEANKDGKMVIANFSASWCGPCRVIAPVYAEMSQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGEQVDKLVGANRPELEKKIVALADGA >OB01G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2429822:2430070:1 gene:OB01G14140 transcript:OB01G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLLAGLTIVAAMAASESSKAACHGHWEEGETLEEVYLLLGDAGGARRLHELAGDGEVCDNPNGGVKNWREKSSRAFFPS >OB01G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2413032:2415212:-1 gene:OB01G14130 transcript:OB01G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTQVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSVSESDSETQSIPPTPEPNLEEKTPKQKRKTRAAGFDVFLGSGGSSDVSKKGSDGSSSSSESDSEVDEAREENSDGSPFALNARIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKASHSEINSLQKELEEKVRSLESNRGINSEKEDLEAAILANKNEIEELKGRIASADRHYEGQLAHRDHEVAKCKELEQVYERYSHDKSTLETEVRKLQDVVKNFEGDLAKISQEKLQLEAKVRELEQASHSLDDSSAEIMKLQETIKDLQTRLDNDSNEKRMLEERAIEFEQVHRELEGSRTEVAELQATINDLKAELGRALQEKSHLESRIKDLEQSMACNLEKFLLEKSSLDAEIEELTEVNASLEAKLTSTDAQLQELQEEKSQASIDSEKHISDLRTKLELLSSEKAGVVSRLSSLSADVTARDERISEMDGHLHQLHLEHAELIAEAGAARAAASELRGRVSELEEEVERQKRMVADGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAT >OB01G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2412911:2413243:1 gene:OB01G14120 transcript:OB01G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTHQIHMQHKNNKNKNKRGVMIRLISRHGRRRSSHSTLRWPSPMASCGGPAAAAGAAGTHGGSAPARSRAAGSPPASPPRRRPPSASASPPPPPAPTPGRAAPTPPPA >OB01G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2406877:2409117:-1 gene:OB01G14110 transcript:OB01G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNITTRLQYQGSLTGSDYGSELQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPASRKEHEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNAAKQKLHNANEKLEILEERNLRCHCDSKQNGNSADQSATKDKLQSSQQEIDNLKNSLEVLSEEHSRLLGQNKKLEAEIVNLKEEIASDRQQFEEKLSHSDAEIDKCRQELADASEKLLQEKSSNSSVTAELQGTIESIRLKLEKVCEEKLLVENKFKQLEEANSEAEKYNQELSHATERLSEEKFKHEAEILALNQAIEHLKSKLESIAQEKSLLKSWFTDLEQVVEQGRRIFPE >OB01G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2404533:2404925:1 gene:OB01G14100 transcript:OB01G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLADEPPELLELPRRRKRLGEHVVLPGGPPRAQRREEAEHLERLVHEPRGGKVGDDDGVGAGVRERAFPDHGAEGLHGGAVRRGRNGSEGVEGGGVVPRGGEEAEASERRGG >OB01G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2403354:2404802:-1 gene:OB01G14090 transcript:OB01G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66631) TAIR;Acc:AT5G66631] MELHVRAADHAATVEAFRSMVREGALPNARTYTVVIAHLASAGFVDQALEVFRLLPSLRARRTTRQYNVLAEALAAAGKFEQLRWLVREMVAGDGVMPGPQMRAAIAAMREEGHTEGTEDFLEELSPNERIGYAVDDVEGEGDSEEEEDDEDAANRDKGRGRAQATLKPWLDPRELARALEGWEPREVAELEAAGIVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLGKVRSDGILLPFATVRLVIDFYGLSKKADAAIRVFREADSICGALSRPNLTLLCSSLLRTLAKCRRGVDAMELLEEMMARGVLPDLQTFSGLMEHLAGAGDLKGVHRLLGLVRQCDLQPDGYMYSVLVRAYCKQERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAAQVEERCEDTAGGLPEASPGHVWTASAADLEKVFDIYYGCFTQPRAAQTA >OB01G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2393954:2400836:-1 gene:OB01G14080 transcript:OB01G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFEGSKFSSPSDHQDGAASANPYEEPIGCKMTPPRNSSVKKQNSNGELNREISLFDLVSDEGPDNISTARPAHEAHVSFSVKGVGHVKMETPPQSPRSIKRTLPLPPKVMRYTQNKARRSIPFDATKELDSIINNIDVLKERRFSEKRTCSLGESGYERSKQSNCYFPHSFENHNDKFFPEDEDMFCEPRAQKGWRSKHGRLDDNLVDENSERLWKMESFNSDDRFPTPRVEQFDTLDYGFKDRYSPEQRTSKRTNTRFETSGIAATHDLFSDHSLMDNANDTVLFDWERHPPIKKISNSKSTFGPSAWSFDMVDDSERRRSPLSEESCSSAAVKKDGSCKKPSLSVQCEENKMNEKDGFHIRFDKLDVPKMDAHLDGISLFDDLEEPHKISDDQNNLETSYWSDKATEKQRTREPSCRVSLKEKFSNWGSTSPTAHLKGRIGLNNPSTCTVLHEDKPFNSVSNMSTYQTVGSSSPERRPASKVPPVFHRPDNAIFDDDINPQSSVSDIFGNRIEFSKPICSMGLQSDIDMSTFLAEKIDKRKEDNFDSSKNQNADIFLANNSVSSVSQNVVGQHGSYPQQPGKDLLRQGFSPGIDFQDSRLNSFWEDGHADNGTFQGDAEPSDLLTTKNGDKNERKIEKLLKPEIKILTEAPQAYADFRNDIREAETFSDGSDVTNPPGVQKQTSLAAQVPANLGCLQEISREMPQVHAHVECVKKEKIENPSVDFNTPLRLRNKIPDIDHSKSNFVFHSPFVGEEVGVEKKMIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPVKNKLDKDNHFKMMKDGYHVLPRSV >OB01G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2393488:2394309:1 gene:OB01G14070 transcript:OB01G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAASASPSSSASAAASASPSPPGASAPRRRRTRAPAGSSASWGAGWRAAPPSXXXXXXXXRPRSLTCVRHGRSERWRGRVTAKGDGRRGRRRRSASWPCSPSRGLPSRRFEPWYIPPKFTIAMLSWIYLISLLNCAMVNLAEWVWERIPRTGWSPHAMEILGREEDCQLVGVGASSLRVAHEAQLEQAPQLVEICRIYSPLEAGSQWIVEGLMLTCKLI >OB01G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2385343:2388656:-1 gene:OB01G14060 transcript:OB01G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFTFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFLIGPFLDGFLTNQNVFAFDYTTQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQVKESESDPLISDSLSTAENGGSAGDDEPMKVPMWSSKYSKA >OB01G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2382493:2384433:1 gene:OB01G14050 transcript:OB01G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3KWP9] MALNPLFTVTFNVSSSDNYGDFIAGIRNRAAHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRARDAVAALLLMVHEATRFQTVSKLVAGFMHPKAASKSGKITVPIKKQVNGWQDLSAAMLRTDAQPPARSTPFSDMGVKTVEEAAATVGILLFVEVPGGMTAARALQLFHGNYI >OB01G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2376911:2381210:-1 gene:OB01G14040 transcript:OB01G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F target gene 1 [Source:Projected from Arabidopsis thaliana (AT2G40550) TAIR;Acc:AT2G40550] MVGPQYDLVGNPLGAVRSTFERAASGGHEPVAAFRGKDWGAGELFRSFLFEQGGLDKVPVLDESNLGLIKPNTLVRFRGMVQDMLGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNSWTHESSPGPDVRRMSSCLTSEQREKRKRGAENDAMEVSENCNGEASCTKKPKDDDAQISNSSPEVSMNEEHVPEMNGGDHHIPGSSFSCLVKVYDMAESQVKLNDVAEFIGVYTFDPELASPSDNSDDIMFDLIEDVTAQLPPSKVPRLHCLVWRSLSAHDFLSRSPAVEPSPILLKGIRQSLLSHLTLVLGNDELAAQCLLLHLLSRLRNKVDVVTVGRLSMNFTGFNRESVSVFGNQLNNLIQRLLPYSQTIPLSIEYLNTATLQPRKDNHSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQMVEYDFEFYKLEMATDVQLLTLSEGKSNILPSDLIVPFRPSSVPAVNASSEELESWRWYLATVRSFPQSTETETYQTIQDEMVNAMRDDRNLGCSELSRWLTMAQITAASFGETSLSMEHWQMVKELERLRKERLQ >OB01G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2373529:2376551:1 gene:OB01G14030 transcript:OB01G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTGRFAFVLVLAFSVAVAESRDSFGVLAQKSFPLANKRAGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVGPLKQQCITLVDYYIPLFFLEVSVVQPEKFCESVHLCRKGTMLNLPTRGDICGLCHHVLVEVLIMLKDPDMQLEIVDILLKACGKADNYVQQCKKMVLEYIPLILVKSQKFLETTDVCSEIHACKTGTQASETMLLSATS >OB01G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2371866:2372198:-1 gene:OB01G14020 transcript:OB01G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHDHLDGIVNNVDVVGSLAQRPLDSLNLADFDAVMAINMHGVLARVKHTTRVMVPRCSATSSAWPTSPACSAASPPHLYNVSKSALVSMVSVVAVTVVVSRRLQYTVI >OB01G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2365554:2366303:-1 gene:OB01G14010 transcript:OB01G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGAGAGAKEKTEEASLQLKAAGAGSKVFSKLLSRESSVAAPSFRVYYGVASAGSVPFMWESQPGTPKNAICDAVLPPLTPPPSYYTAGKEGAKKVSGGGGGGGKHGKHGLLRFFVLPRIRLRRGARPASGSPTSSCASSSSTSTSSSSLSSFYSSSSLSFRSTQSPTCSSMRSLQHARAFSTDDEDEDEDEDDMAATACFRVRHESFRAIKNCRVAMTLRSAMASSDARGHGHGPSAAAAAPQKA >OB01G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2352359:2354759:1 gene:OB01G14000 transcript:OB01G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTLGGPLVTRPARRPRPRPRDPDAVAAGSGGESLPPEHPVPPGPAFCRNGRGDRGCVHHRFERLGGMYATHRHRREKGALAHDGAGGAGGGAKKRRTSPVLFCFVAATVLLGNAYHALFLQKKESSHGFSRRRSLMPNKPQGVKYELHTLPVDAKAVADGDTITVYVVIADHPGFFSVPQEVHRAATDRAEALMAKNYQRADELQKIILDAGFRQLTNSTGGHVLTKKYRIRLRGIDAPESSMPYGREAKEELMTLVQGNRLKISVYGNDRYGRLVADVDCNGVFVQEHMLKKGLAWHYIAYDQRLELARWENQAKASQMGLWSLPNPEKPWEWRKEKHFRISR >OB01G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2348227:2351777:1 gene:OB01G13990 transcript:OB01G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRAREARSGGGGPWGTAYTGSCAASARRRRTTGRTARTRPAAALGRDIQRFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPTPRTPEEAARLVITTLKNHQKADVEGFLVFYGLPIPNAAASTPVPHTTHASKPEGCKFELHTLPVDAKAVADGDTITVYVDTADPRESGSVPREVQKAAAERTKARSVRDYQKADGLQKIIADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWASSRPQKPWEWRRDKRNGTA >OB01G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2344710:2345512:-1 gene:OB01G13980 transcript:OB01G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDTSPYDISVQTPQKPSTHSGDTSKARREKIGQRMKLLQDLVSGCNKVVGKAVMIEEIILTMFSCCNGKLRWI >OB01G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2331942:2339236:-1 gene:OB01G13970 transcript:OB01G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGGTMMTVLITGLVWSGQIKNAETNGANVFIQRSRTLEAEGESGGEAEAQRVLLLRGPRVRANSTSVLCADVDAGVGAREWAGVSQTGSNKTKLTMTSLMQVWDKWEIQLMLFLLLTGRLRRRNINPLLRVLVWLAYVGADLVAAYALGLFSHYEEKYILGKHSFEDTLPLLWVTFLLVHLGGQDSITAFSIEDNNLWLRHLLNLGIQGALSMYIFWKSIGRINWRVLITAAFIFVSGVMKYGERIWALKSGSRDGLGKSSMLSSSNSNQNEQSHGGSNENGISSNSSTRRASYALQTVLLARGLFIGRTVLQLGNGAQEKLGNYFKTNNQELQVEEKLKILVTELGMMFDLLYTKAMVLQSRAGRVFRCAAQFCMIVAFILFLQAEKHAGDNCSSVNIAISYTLFVGAIFIESCSVAMVLASPWTRAHLEEETFLHCLVRISSNACSHFKAILCDYCMQWLSPSSGYSIGQFNLADLSISHASTSRMTCKIINVLGLGKQWIRLWHMKRVEAQGIFECMVEWFDRSPEERFGQLHQLGRRLNYTLCLPFEHAIYRLHIYTDLHISRHFDSNPDRISSHSDATIQLKEECEKLSNYMNYLVKAYPSMLPVSTMVDGGVLVPATDEWKRNADRLRFLEYEEEHLVKEPDSACPFEPALENEAGLELSLQEIKEMWTRLLVYAAGKCSGELHARRLADGLELLTFVWLLMIHHGLGDAATEVKLLTSDDPSLPEMGSLVADGGSNWGPRGEEPRYAFNFCRQGPDQAGIFPMSLMLPRSTCIEIIVSRETEQDQDGASGHSGPGENDQEETSRLEMVQVEGRPHAAC >OB01G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2329583:2330101:1 gene:OB01G13960 transcript:OB01G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSQQGAVGRILIVSPESELERGEIYFLIPAASVPEAKRRTSTGGGAGAGAGRGHVRSKSEGSAVGADRLSGLGSASPESTKTTRAQKQQQHQHRRRMSTGSHASPWQPHLACITEDP >OB01G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2316465:2321753:-1 gene:OB01G13950 transcript:OB01G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMRTKTDSPTTPTQRQADAPRYGEQEKLRELPQKELGGVIFCCNRNTFDECFAKQLFGLPPRHIVYVKNVKPGLPLFLFNSTDRSLHGIFEATSPGQRCIDQFAWMSEPTGGTITPFPAQVRFSTKTKCHPLPEDKYKSVLINNYFSKERPSYFYFELDHKQTRDLISLFAPAPVRAMPRPATAHAVPNAWDGPLPFLTAKTCVSEQVKSEHDVKDVNQFSVLSHSHDIVSYSLPDLDVNYANESTTSRSNIDKDASDYDDLVGGSIKEDEETVNDDQHAKMEELSSSQQKEAHSSEDALVSASVQCIRQDTWLAATFPKDSSCATSQSDTSVKDNTPSVQCHGYTEMHQIIINLSKKTEAMEKKQIDSDQEILSLKELVKGTERRVEELKQQFEKLQLEHRSSAPLFSEILLMGGHNGINWLPSLDSYCPATDILETLMPMSSARAYAAVATLKGHVFSFGGWNGKHSLWYNSVECYNRRANKWIALPCLNHEKGHLAGATLNDKIFAIGGGDGSQSFSEVEMFEPATGKWIYGLSMQQPRCAPAAAELHGVLYVIGGYDGNISAERFDPREGFWTQLPSMGTRRGSHSVVALGDSLYALGGQDRNTTLSSVEILDTRANSWRTGSPLSVPRAYGCAVAVDGNAYLLGGIQSSEKYAETVEVYKEGQGWSTSGSKAVGKRAFACAVAV >OB01G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2311454:2315293:-1 gene:OB01G13940 transcript:OB01G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCICPMPSASVSSQPSINLSVVKTPPTQPSFVTFSIFANCRVPISLWTSKPVHLKSKTQRALDEQEMLELFVDIVDRVLQYGPDKKPSFRFPGAQIQGNLKGVFNIVFLSLAFLVCIYEAPHDLRYQCLESLRTQLTGPKCKDAAKTLVRMLGANLEDQWMQAMNLAVTNWIVELRSSHHSFGAPSPLFSYALSASGLWKVQLYCPVIAMGMEEPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRKNNWIDVELKVDNIRCDVDSLVSEILMTERGLGSEEKHFPSRVMLQITPMQQSEVLSVSVSKSSDNPTHEFGLEKGIEGSFDPPNSFGLKASVSESLTLAMKPWKFEQSVHGNTATLNWFLHDGVNGREVYSSKPSKLSLLQPRAWFRDRYSTVYRPFTKKGGVIFARDEYGDSVWWKVCGAALGKTMDWEIKGWIWLTYWPNKQKTFHSETRRLEFRECLQLPLTEFP >OB01G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2310837:2313371:1 gene:OB01G13930 transcript:OB01G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRKGDCSAQVRKKRTRRKSDGPDSIAETIKWWKEQNEKLQEESSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNCADTNSGCTSAPSLMMSNGPTTVRSDEKDELESPPFVVVNGPTVVLHRSGKKDALECVIPDQQLKKEVSNDLRSTCEEQETMDACQSEGSVLHKEVNVSYDYFNVHEVVEMIIVELSADQKMEVHEEYQEGDDGFSLFSY >OB01G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2304020:2309826:-1 gene:OB01G13920 transcript:OB01G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWQMWGKPDGSLVWIPASDGPPSEAVPGEAPLVPPDPQPDAAAMEDAPSGDEIIEGPGATDGCSVPSMADLFTQVLDKLVAADERAVAIERAGKGGVFCTGLGRSVAVSDTAIERAKLLVGEVAEETSNKRRQPFGNGSDLERELGERNVSFKGSVHKDILSPMFQTGSGKAVSLNKDLIQKAGAVLEGNVENSVVAVQPVQSMFHTELVMTDPISMSSIDKAMPVLEGQTTAKHGDVADVDDTGLFPLFQTGSGKAVSVSIASIQKAKAVLEQNDESAGNMDDLSRPDQSLIFQNGSRRPVLISEIANSVVKGGDAGNIVFRTGLGRPAAVSETSIQKARAVLDEDAKRRGYGLTGVCTTTYQTETPTSVLMSGGLTMTDRSVTPDGDVSIQGKNYGADGHMPLFQTGFGRSISVSKSSIKRASTLLEPRNITKELEDEANFDGSATPMFKTGSGRSITASENSRKKAHVVLEGDDPVKNVYNDTGEAIEPILHAGIQKFAPQSRSSSHKANALMEEGSSIKEVRGREPPMFRTGSGRSVLITDRSVQRARAVLEEEGNMKRENHKQLNNVDKYIPNFTSPLKTSCIRTVNISSVGVSRAATLLGLEDNTLSTQLLGHVGDKLGTKINVERENSEHRFDVASVRGVSGGCPMNLGPAENQVLMDPHQQSTFSKTTVSDSSEQAIKFSTAGGRSMAISSDALQRAKSLLGESDLEVLPNNFLGHSSASPCKEKLQNSTRLRKGETDLLKTIRGESKTEPAIFSLPAMPDRKHTDSLGRAIPDTTLANGNSVRFHAARGYHPINEIPKLPKPSSRCSFGTENASDTNDKARRFQMPPGPLVDITNYMGTHSVKTDSLPTEKRRIGGRNTISTFKRPRSSRRTISSHY >OB01G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2290935:2297673:1 gene:OB01G13910 transcript:OB01G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSMRLSMRSRRDLPPPQQTIQKLENMVAGGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKGAFPCNEETFDRIRNIYDAFPRISIPHFLGDDYDDDGQKLSEAISAAKVRAESCSSFMRAAIRWSAESGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFAFMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLELPKTDLVQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSPLEGLFGDMFKI >OB01G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2285722:2289338:-1 gene:OB01G13900 transcript:OB01G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G30170) TAIR;Acc:AT2G30170] MAASTASRLSPPRLRVPYPPSPHLSLRRSRFSPLRAAKLEAVLSIGTHLIPHPRKVETGGEDAFFVNSDASGVFAIADGVSGWAEKDVNPALFSRELMSHSSTFIKDEEVNHDPQLLLMKAHAATTSVGSATVIIAMLEKSGILKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQISSEEVGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPSWKKFIGGKLIGGKMDDITVIVAQVKAVLVPDDEGVEEEKGQGDGQGSAVAVASSEQNED >OB01G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2281206:2284519:-1 gene:OB01G13890 transcript:OB01G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:J3KWN3] MSSSSSLAAAAAAARKRALTEQKFSELSPALSPEVVKALRAGGFRRCTPVQAAAIPLLLSHKDVAVAAATGSGKTLAFVVPVVDILRRRPSPPKPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVTSMLLVGGLDIKAELKKLEEEGANILVGTPGKLFDVMERLDTLNYKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEAKPTSKDGAQQELGPSKTPLGLRLEYMICEASKKSSQLVDFLVQNNGKKIMVYFATCACVDYWAVVLPLLDSLKGSPIIPYHGKMKQGPREKALSSFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERECSTNAADIVPQIRSAALGDRNVMEKGLTAFVSFVRAYKEHHCSYIFRWKDLEIGNLAMEYGLLQIPSMPEVKHHSLSLEGFTPVDDVDVTKIKYKDKAREKQRQKTLKRKAEELAQKPETEKRKRKAPEKPEKPKRKKTGKQRQAVQTKEDIDELGHEYRLLKKLKRGVIDEDEYEKLTGFGDSNDGDSSDGGDPDLDERKERGNKVLKKVKQKGNAKGSRKFVGSKQKSRRR >OB01G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2275088:2276958:1 gene:OB01G13880 transcript:OB01G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGGGGGGGRGRGGGGGGGGGYGGGGAGGGYGGGGGGGYGGGGGGFGGGGGRGGGGGGYGGGGRGGGGGGRGGGGRGGGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGDGGYNRSSGGGGGYNRGGGDFSSGGGGGYNRVGGDYNSGGRGGGSGGGGRGGGYNRGGGDDRGFDDNRGGRGGYGGRDQGNNQRGDESRYDSGSYGQVPPQGPPSYGGPGGDYTAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPKPQYSGGAPGGQGGLPPTYDGGYGGRPMPGGGGPGAPPPPYHGGGGGGGGGGTADTLAVLPMSQLQRLNSVMKTVMRHATMLGFTSPTYLQM >OB01G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2272689:2273444:-1 gene:OB01G13870 transcript:OB01G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCAHRNSWSLGGDTPLPGAKVSVPCRDAKNRVVWWRLAVADRSGYFLAEFDVTEVSGFFEGDPRRACYARLLASPDCGCNELTNINLGIEGAPLRDEGKRWAGQGYENVVYAAGPLAFRPSKCPPKHPF >OB01G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2269698:2270507:-1 gene:OB01G13860 transcript:OB01G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATEASSPWLFTSFESARRNSSAVVAFDLNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGGHNLLLAAGSHSGPAGVVGDICLWDVRASTSVPVWELREKEDCFADIAASDTLSSLFKVGAASGEVFMSDLRMISGGAIGIEPWVCIGDGQRAAAAASAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMRRNWVGNGPSVVMAGGGGGESVKEKAKIVSWGFGGSRMALARDDKRSIEVWDSAPAAIRINP >OB01G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2267139:2268514:-1 gene:OB01G13850 transcript:OB01G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISKQSATKSSQREGPFFTPRKAVELSHFGEIPLASEFDIAGLILYVGNVYLLNDQNRQWLFLTDVSKFISGEESEEQDDCLLAVNFSSPTTGEDSAFFNSAVSGHIVGFSNLVKRQKDQTRHMWVAEATESSTYTLSHEMPKKSHLKEAANSAEKWASSSHPVLDITEILF >OB01G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2262594:2263063:-1 gene:OB01G13840 transcript:OB01G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDRSRETKLVRSPIPAGIWPEKGGEMLRWVSSVSRSNPAAGSRDPLKLLPPRLRRRSDVRLKTAGSRPPLRRRRPPRSREVTRPPPSQRTLAQRQQSVPARHDRKAVADALVAVNDRLSWSSAAAWSGEHGSELAIPIRRRTTIARRSSGSRWS >OB01G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2262571:2265409:1 gene:OB01G13830 transcript:OB01G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRIAQRDHLDPLLLLAIVVLLRMGMASSLPCSPDQAAALLQLKRSFTATSASATAFRSWRAGTDCCRWASVRCDGGGRVTSLDLGGRRLRSGGLDPAVFSLTSLRRLSLGGNNFSGSRLPAAGFERLTELTHLNISPPFSGQIPAGIGLLTNLVSLDLSSRIYIVNQGDGVNVMSNLYPAWGFSRVNFEKLISNLGNLRELYLGLVYMSNGDERWCQALANSTPKIQVLSLPLCKISGPICQSLFRLRSLSVINLQRNHLSGPIPESFADLPSLSVLQLSRNQFEGLFPTRIFKNRKLTTISYNYEIYGSLPNFPPNSSLIKLHVSGTKFSGFIPSSISNITGLKELGLSENDFSTELPSSLGMLTSLNLFEVSGLGLVGSMPTWITNLTSLTELQFSHCDLSGPLPSSIDNLKNLRRLSIFKSNFSGNIPLQIFNLTQLQILELVQNNFMGTVELTSFWGLPYLKHLGLSNNKLSVVDGLVNDSAASSPRVASLMLASCKISTFPNALRHQDNIDLLDLSNNQMGGAIPSWVWETWKELFFLDLSNNKFTSLGHDTLLPLYTRYINLSYNMFEGPIPIPKGCTDSLLDYSNNRFSSMPFDLIPYLAGTLSLMVSRNNVSGEIPSTFCAVKSLQILDLSNNIINGSIPSCLMENSSTLKILNLKANQLHGELPHNIKEHCAFEALDFSHNWIEGKLPTSLVACKNLMILDIGNNQIGGYFPCWMHLLPKLQVLVLKSNKFYGQLGPTLAKDESSCELQDLRILDLASNNFSGILPGEWFSKLKSMMLVSTNETLVMKDADTYSTFYRTPYFFPTTVTYKGLYMAFTKIFNTLVLIDVSNNKFHGSIPETIGMLSALSGLNMSHNALTGPIPNQLGSLHQLESLDLSSNKLSGEIPQNLAFLDFLSTLNLSNNMEGRIPESPHFSSLPNSSFIRNVGLCGPPL >OB01G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2259792:2260664:-1 gene:OB01G13820 transcript:OB01G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3KWM6] MALNPLFTVTFNVSSSDNYGDFIAGIRNRLGNPRHFSHPFPRTRPVLPPVEPGVPPGRWFHVVLRTQTAALTLATRADNLYLEGFRSSDGTWWELTRGLLGAGATYAGFGGSYPELVRDTDKLVEVELGRQPMTQAVDALAARTPADLANGTAQQVARKSVTAVLLMVNEAVRFLTVAEHVAGFMHPKTANRSDSESWRITGDMKEQVNGWQDLSEALLKMDALLPKPKPKPSKNKEKTTAAEEEAKQEEAARKLAKKAETAAKVLGILLFVEVPGGMTTAKALQLFRGS >OB01G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2247877:2254106:-1 gene:OB01G13810 transcript:OB01G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTIGSVPSASDAAAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQESDCVYSGNSGVVHYQGKAVVNNLEDAHEQIDTAISTAIRESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKSVKPVLVGGPKMRVAKACKSFVELADACGYPVAVMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKDFLHALSARLKKNTAAYENYRRIYVPPGEPPLSEPEEPLRVNILFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OB01G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2246946:2248640:1 gene:OB01G13800 transcript:OB01G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLLQLQEGKELQELQPYDGCDPSVYRGSILLPRQASSAAPAGLPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASDITQQMALPPETNEVSIECFTGDAAAAVAVAATGNHKTLYIKASISCDDRPDLIAGITQAFHGLRLRTVRAEMTTLGGRVQHVFILHREEEGLAGVSLKSLKEAVRQALAKLASPEIVYGSNHFQSKRQRILESHCSIMSI >OB01G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2231920:2237809:-1 gene:OB01G13790 transcript:OB01G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKQGGGGDGREEDGAKVGLPALDILLAFPQATPASIFPPSASDYYQIDDLLTTGEQSIRKKVRAIMEKEIAPIMAVYWEKAEFPFRAIPKLSSLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFILVHSSLAMVTIALCGSEVQKQKYLPSLAQLTTVGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >OB01G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2222770:2225766:-1 gene:OB01G13780 transcript:OB01G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHGNHSLLPEMVQPNNEQQLNEPLPLGQNLFVHAGNDTSLKIGPSYHGNVTIRSNDIPSSSRAAQFSVHRVKNTGALHNPYVHCPAGSSHGHVSYNAQTEPVITYPHGSEEEFAPVSSQINNRTAAVKRKNLVIYPEYSINGDGYYAGSSSSTQFSNYLQPAPFSESLYPQMPPSIGPSNWNDHSLVNQGGIQRNVRQRHNFANISLESRPVHSTSNASQITSMKRNGESFSTQMRTMPSGASGMSPMEIAYGPMGSSNSTVPVPTSLGSSGSATFTNGVFAPRAVHANTGPSYVHLPSVASSSSTAIPHEAIILSYPPATSATTSTSMRANQPFVVRAAASSRHARNVPIGHANSGRNRRARNSYYAHHPLIDAQHLMIMQQLALRESREAQDPHRGMRLDIDNMSYEDLLALGESIGNVSTGLADEKISGCVREVIYCSSDEQLYDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCIKQWLKVKNSCPICKAAAA >OB01G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2213863:2214742:-1 gene:OB01G13770 transcript:OB01G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPHSPQRNGNHAPAHSGETFAKFFECWISEQSRDLAALRSAASASPAPPDVDLRGLVDRVLGHYEYYYRTKSAAASNDVLCMFSPSWTSTTENLYLWCGGWRPTAALHLLYSKSGAQLEAQLPMFLTGGSLGTNDLGDLSAEQLQAADQLQRSTVRKEREIENAVASAQESLATVKMVALAGGGGMDVEAMETEMKSKAEGMKRVLEMADGLRLETMREVVALLRPSQAVHFLIAAAELHLAVHEFGRRRDGGGNGPASPPPA >OB01G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2207305:2212896:-1 gene:OB01G13760 transcript:OB01G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;zinc ion binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT5G05660) TAIR;Acc:AT5G05660] MPSSYAAAASGSASSSRKPIPLAAAAARRPAPSAAAAPSPSNPSAVSDSDPSSCSSSGEETDLTSSDPAAASVISAYLSVAGNGANLSKVGIFLSSAARRRSPPRLICFDPIRPSDPVWSCSASCFALLHLHCIQSWAHQLASAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNANLDSGCEHTCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCTGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHKCECGATMEERLCSERVFQCKRDCGGMLECGKHSCERGCHAGKCGECPLQGRRTCPCGKKDYPSLDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEVCDKRLRCGNHKCLSPCHRGSCSPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNVARLCSHKLECQPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPVKRKKEKHIESTPGTQCPPCQEVVLVPCFGQHLGQERAILCSKKRQFPCQNLCGNPLNCGNHYCTKGCHVLENPLSQPEGDQSAILTAFAEACEECNLPCRRVREPPCSHPCPLPCHLDDCPPCKVLVKRPCHCDAMVHAFECMYYNNLSAKEQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDRCMKKVNVRCACNTLKKEWVCQDILKEYHRSGRDPKQIPKNQYGIGLLACGEDCMKKVKAADAELHLRKNQEIKIPAVEVENVPKRRKRRNRGQESVESSKFQETKAFALKCLLVVLLSIIAVAGLYLLWKGVYRLSDWMNELEEQRAKQRHLKPARL >OB01G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2201808:2206582:-1 gene:OB01G13750 transcript:OB01G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASGRNPRFEAVRSIDDIFKDFIGRRTGIVRAFTEDLEAFTAQCKPDLDGLCLYGYANGTWEVAPPAQHVPTELPEPTVGINIPRDTMYKRDWVALLTVFSDSWLLAVAFFHGARLNLDREGRVRLFDLINSVPTVYESVFGVKKSREQRHPFQRKKNSADGDDDGAIFLRKRDDEQSEAMPLLFVVQVGLNPDITKNHDPTLEAPAKCTTPGSRCMQLDVRQRDVPLIIDANVINFVLLYVNVIAISEVKIIDTIVFA >OB01G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2199956:2201439:1 gene:OB01G13740 transcript:OB01G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSQLEAPSSSSDAGNGNQAQPKKAAPHMFQEIVAGEKATTASTLEDQIYTGIFLAGKTKKYWVDGATMCNCFMLYPRGLSITWGETPTYWTWYPPKDGSNDADNQIEVASLLNVCWLEIHGKLELSYLTPGVTYEVFFKVMLTDKAYGWSVPVNLRLKLPDGTVQQRKEKLQEKIRGKWLRLKVGEVKTQQGQKGQIEISMFEYDGGHWKSGLLIKGIKILPKE >OB01G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2196151:2196429:-1 gene:OB01G13730 transcript:OB01G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLWTIFLSNIVPLRNTCMQLVFLKMVCHTSRSHFSIHRTGSFRDHLGCVWFVDEVGWVGSIPSLQDRLVPFFCLVERIDWTIFSVWLEE >OB01G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2193804:2195157:-1 gene:OB01G13720 transcript:OB01G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSRPEPPHMFQEIVAEEKITSSSLEDQVYYGIYLAGKTKKYWVDDTTMCNCFMLFPRALTIIWGGTPQYWTWYHLKDGSNDADNQIEVASLLNVCWLEIHGKFELAYLTPGVTYEVFFKVMLTDRAYGWSVPVDLRLSHPDGTVQQSKVNLQEKIRGKWLRLKVGEVKAQQGQQGQIEISMFRHGGAWKRGLVIKGIKILPKL >OB01G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2191228:2193536:1 gene:OB01G13710 transcript:OB01G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3KWL5] MLFLDSPAGVGYSYSNTTSDLFTAGDNKTAHDSYIFLVNWLERFPQYKYRDFYISGESYGGHYVPQLSQLVYWNNKGVDKPVLNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVSCDFGSSAHPSKACDKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRRLIKGNMPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALHLPTVTNWYPWYDDDEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDNPMPQPVHSIQSF >OB01G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2188162:2188693:-1 gene:OB01G13700 transcript:OB01G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRQGHWSLALAALHVARDEPWYRPDPALYATFVSSSPASEDGAAAVDALVEAFLEEKERGGGFVEGEEDVYKLTRLVRALVAKGRARAAWRVYEAAGGMGGCEVDEYMYRVMARGMKRLGLGGGEEAAEVKADFREWEARTSSPAGDLLDEMRAREEQHKCRLTVN >OB01G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2183264:2188215:1 gene:OB01G13690 transcript:OB01G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3KWL3] MADDSASPSPSSASPLQNHRDGIKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPLSGSNEAEMEEGDMMVDEGKASLEAKTANTVEELKSALSNQGKGAQKKKIEVLRDLRRLLSQPEVPLVDAAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGVELRSTLLAQGVLQPLTRLMLSNKGSTARTAAWAMSNLIKGPDPKAANELINVDGVLNAIIMYLEKGDEELATEVAWVVVYLSALSERAITLIVRSSVPQLLIGRLFSSDNLQMLIPVLRALGNLIAADDYMVDSVLLVGNNIIDQALSGLIKCLKSDNRVLRKEASWALSNIAAGSFEHKKLIFVSEATPLLIHLITSAQFDIRREAAYTLGNLCVVPTGNCELPTIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHDNEQMRNMANGLVDEYFGEDYGLDE >OB01G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2180751:2182968:1 gene:OB01G13680 transcript:OB01G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G37110) TAIR;Acc:AT2G37110] MGAAQDNHEEASPLLPQEGAGEKLPPSSPPHPRALEAAKGCADGVPVVMGEPLAAPAGGVPRESWNSGVLSCLGRNDEFCSSDLEVCLLGSIAPCVLYGSNVERFAARPGTFANSCLPYTGLYMLGNSLFGWNCLAPWFSHRTRTAIRQRYNLEGGFEAFAKQCGCCLSLVEDEENREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFNGRSVIVMVPPMEQTMGRGM >OB01G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2178227:2179613:1 gene:OB01G13670 transcript:OB01G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3KWL1] MDTEERSKKRLLLWSRAVVHFSLCFAFGVFAALVPPAATGAPSIDVIGASFRPTVAAATPPLPDLDLLLVVTVARPDEDGMSLEASLTRLGNTLRLVAPPLLWIVVGAENRTATARAVHALRGTGVMFRHLTYAAENFTGRPAGDEVDYQRNVALSHIQLHRLPGVVHFAGASSVYDLRFFEQLRRTRGIAAWPIATVSSSDQTVKLEGPTCSSSQITGWYSEDLSTNTTETTRDSSSSTTQAAVDTNASNQNSSSRPPEINISGVGFKSSMLWDSERFIHREKSTGINQDLIQLVRQMVTDDEDKRRGIPSDCSESQIMLWHLDMPRYTPKIEDQEALEKESPMKVDEEVPSKKVDEEEPVTR >OB01G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2176689:2177573:1 gene:OB01G13660 transcript:OB01G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVPEILLVVATSEGVLTSIERAFSLFEEDASDSSSSSTDGSEDSFDFDVLVESTYNPGSGDAGEHSFDFEFSVDSSGEASFGPGAPLDTTGDEQYQYTFLVPMDTSGNVEHADDHGEASNVTPDHEWHKAGSIDYWADTLASALAPDGLLTSAHREITRLVTLHGVAVRLLNLCVSPHGDEAAWKRWRGHREAVVLRAHDALLKLSSATSATAAAEDFLRLRSVLSPHRSDWPSEAKRLVCDARRDVDEAWDAVMLMRDAAARQFFETWMILKASQPLQRRPVAYRIDPLY >OB01G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2174950:2175830:-1 gene:OB01G13650 transcript:OB01G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEPEPDVTWEALFQRRVVMAEGHCLNLQELLRGLLDAPDGQARSDMAGMEEMLRGLEAASTQVGLAIANMGAACNLAPIGKAPREWAPPPLHSADDDDFDSRVWLVHFLLQKGSEIAKRVHDRLETARVHMSAAAEILEVLGGDDHSPWVEDLAITELMYGLLELTETLDLTVDLVAVTTTAREDVFSDSGGVG >OB01G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2171733:2172332:1 gene:OB01G13640 transcript:OB01G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPYNKREWELGEEERWRKLARTVPAVLMPIGTSRKAIRSTMKVKSAIKAVRRTRSLSVSGEPSDYDLLDGAHGKIERISATHAKAGHLFVRCAAHLSGLQGGAAWQAWENHRADADRFANEARQCLSRVESAIEAAGDVLLAVQWRRGRRLPQEARDLLHRAKDDVSKALDALRDMHRAIVLEFFDAWMVLNRDR >OB01G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2170927:2171460:-1 gene:OB01G13630 transcript:OB01G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFWLRVAAVDQSVRVVREDPAAVQQQLALPPISKSKVGCAEDKLREASRNLVLAVFYTAAASLLARCRLGLVAQGRVAATAARVHDDDLAVPPHSESPRARGEEAFDARDRCRGHLGAVKRLLHHKIVPGMADHVDAEHAAAVVGELEATRQLMADIDAYISASISEGS >OB01G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2167326:2167895:-1 gene:OB01G13620 transcript:OB01G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAWRTLFQDLLRQVHGQCDGLVGVLALSQETLSRMQIGTAGDLLQVHVLQYNVEMASTSFSESISLMGLAETLALRGCADDPSAPLPSCHAIARDHHHAIRLAMASLQDAKVHAEAALRHTVKASSRMWAIPFIVYQFLHFPAANDFVEFQIHAAIKDFQKALECAQWAVPLLAAAVTATTSGANS >OB01G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2155328:2156950:1 gene:OB01G13610 transcript:OB01G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSCTAAIGRRSRSMSAPRPPYRSAAMRRSTSSRSRSGPPPGLPPQYPRRRLVMQQQSSPAGSSSVAPTAILHGQPQCFPIGMPATHHNVAAVQSSIGWDCTGVLPPTNDMQSFDWASTSGTAAWLNHGSQIEPTVSFPGESSFMGATSFSNMSMDWTGGTSEMATTSTVQDETIELPLSPDDLRFAQVYRFIGDIFDPDTPCPVETHLQKLKNMDDITVKTILLVLRNLEDNLLSPQFEPIRRLLSTYDPNQGLSGHL >OB01G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2150717:2150965:-1 gene:OB01G13600 transcript:OB01G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGLIQDARGKFEQHIDLLRSLYQRTPVDVFIHNFTDIVPEEVLLVTVILKITQREISHTVVHHAKTHHVFICSDTYLDI >OB01G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2147502:2147933:1 gene:OB01G13590 transcript:OB01G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRVQRVVTALGEVSGDLACAMSSTKAAELLALRGGSFHPSMRLLGNSQLGERHLAERNAGNKLPDAGKYAQDAYTSVCWCRSHLHTVLLLLEHKGVPDVNVFIDEERIVAVGDLADAIARVELSAGKAASARQDVPGGGGH >OB01G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2144025:2146708:-1 gene:OB01G13580 transcript:OB01G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEHLARTVPGALMLMCSGKEEIRLIEVARSKVQERAALMRNIRHGTPAEVAYNQFADPAPVGVCPTVILKDAHREVAHTAARHAMADHVFVRYAARHRIQDEQPFHRWKAHHQEVVGHLTELRRKVQDAVAQYAAVDDVVNIVSKQMPTHDSGQFKRWAYAAELLLDNAATVATLAIDLVHQVRHVVALEFFDTWQILQHCQARLATMREAALSTLGALRLIGNSGMEVFGLLRDVYGKFDKLTDLLERARKGVSVDVFVDNFADPVPEEVLPVALILEIMQLEMSHTVVRYGEIHRILVRFGTYFGLQDDERYQGWHSHHQHGVAHFDKAARMATEVVSGFRAARKSIAKLRDNPSLQEENILWAYGSMVLGMSFVSAAMDEVRLARHSVLLEFEEIWMILRLRRGH >OB01G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2137368:2140365:-1 gene:OB01G13570 transcript:OB01G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTVEREAKRRPRDPSGGGGDLISHLGDDVLAHILGLLPTMADVVRACAVSRRWRHLVARVPFLRFPCPDDDHELCRQEKLDEFVRFVNSVLGRRGAADQSDAGVEELAISLRWPSFHTLDMVGRAPCVDVAQVDAWIRYGMQRVSESFTLQLECPFRLGSSNSLDGGVVLGELPGSARLKTMVLSLTNACLRLPADVTFDSLTDLSLENVRLDDDDSVHLLQRLWSPACCPRLQKLRLHRLIVAQVVELHIVSDGLVELSLEEISRGNTPLLLEIKTPRLRVLHMRCSLHLRKLAISAPRLEEFTTPHVNVNPIVIAEDMPRVRNLEIPLRLIGPGCVRRINQASIQLLQCFRFLQFLKIDMCISQEYEEVDLMKDVPQLPHVTSLSIRVLALDEMYDIASILCHLTRCKFLKHLQLGISYHQKANHKRSVGVGNQNQWDHPIISLEHLQEIRFTCYCRVREYEARLMKLLHWLWLPSTVDINVGI >OB01G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2132844:2133191:1 gene:OB01G13560 transcript:OB01G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELLAVRGASFNPLFPYHEIDQLGEDEYLAEMDAGAKLHGAVEAAQEACTCFDSICGHLHAMILLLDYPGLLDVIEEERVAAAADLSAAIERVRLGRSMAAGARQDVSAGGTD >OB01G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2129629:2129883:1 gene:OB01G13550 transcript:OB01G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLFPPLSELKRTNFYRKIEVCGLNCGKRGLPLEPCQPHCFQGSCPPYFRFCVFLYIQCLDLILLLYRTSPHGSNPNKDITLD >OB01G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2127415:2128091:1 gene:OB01G13540 transcript:OB01G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHNMQGGHNGGVKGLVSKLVGGGGHGSGGGHGYEKGYGGHGYQQGYGGHGYEQGYGGHGYAQGYGGAAHGAYPPPHGAYPGQGYAPGAYPSSHGAPHGGGHMGSYQTGHGGGGGGGHYGGKHQGGKWK >OB01G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2125734:2126269:-1 gene:OB01G13530 transcript:OB01G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAGRLAGIRESVAILVEESRAILVEESWEESEAILVKQSEDLLANISMP >OB01G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2121072:2123964:-1 gene:OB01G13520 transcript:OB01G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYDDRYGGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDPRDADDARYNLDGREFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLSGRGRSYSRSPSPRRGRSRDRSYSRSPSRSYSRSQSPRRGGRDERRSKSPRDNRSPRGSPRDSRSPRGSPHDSRSPRGSPRDNQSPRGSPRDSRSPRRSASPPKGRNRSPTPNASRSPAPREYSRSPMRADSRSPVDNERREISPAANGRSPSPRDYEGNGNHKASPRGSASP >OB01G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2118098:2120731:1 gene:OB01G13510 transcript:OB01G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMIKVRPVLGFLVILSILCIGLAAAYTPVDNYLISCGSSVDTPVGRRLFVADNSGTVVLTSPETTAVKASPSAVSGLGDTDAAMYQSARVFTAPSSYSFRIRDPGRHFVRLHFFPFVYRGYDLATASFKVSTQDAVLIDGFSPAARANASTAPACEEFLLDVARDTLVVTFVPLAGRLAFVNAVEVVSVPDNLVGGADSSQSIGQQLNPAVMPLQTVYRVNVGGPAVGADSDTLWREWTIDQPFLIATVTTAETKMVAYNGTLNYLPGQATPDDAPAIVYATGRELIMNDSFDGMKQMAWQFDVDRSASYLIRFHFCDIVSKAPGVLRMNAYVDSSSSSAITDLDLSAIGNGVLAFPYYRDYVLVTSSTSGKLAVYIGPSSKKITTPAAILNGLEIMRILASAGSVVVVEPAATETKKKNLAVVLGSVCGAFAFVSIAAALVILLRKKEEKEELRTPSTNQPSTAWMPLLGRISFRSATPSATGSMSPSFTVDANTPGGATPASTAASSSRSYRFPFAALQDATRNFDEALVIGEGGFGKVYAAVLQDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDEQEEMILLYEYVEHGSLRSRLYGGGAAAQELSWAQRLEACAGAARGLLYLHTAAAKPVIHRDVKSSNILLDAGLTAKVADFGLSKAGPDIDETHVSTAVKGSFGYVDPDYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQGRDELEKIVDRRIAGTVRSAALRKYGETAARCLADRAADRPSMEDVVWNLQFVARLQEVDGLDVSDVSSLNMVHQLTPPSHARQRSAGGSVTGGGDEEEGSSVLDDDYTDASMRGIFWQMVNVRGR >OB01G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2107012:2115171:-1 gene:OB01G13500 transcript:OB01G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHNYKSVKKTVKRLEEVAVSARGEDRVQVLRRWLRALQEVEAEVGGLDGAAGQQSAPSSEPNTSKVPLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNADIMRIDAEAAELWTKLNDKETSRDQLTQEHAKITERTSAATVEAFKEALSEVRFCSRMEELLLKKKSSTAGDSLEIRSQKVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEASNQMIFSLKSKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTENFLKLTKCHLSTFKEVLSPSIERIRTYVDNLAVIRSREVSTEHDNDEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGANSRRDDDEVRNLFSEIDKLREAFESVERPTLDIEVRRAKVPTKERAESSSSPVQAPSTPKAEMVEAPSTPKAEIMGAPKSPVKPDQPLDPDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSGDKK >OB01G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2105037:2106008:1 gene:OB01G13490 transcript:OB01G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3KWJ3] MALERKMVALSSMLLMLLVSGAVAARPTRQLAADDGTVAAPAAAPAAVDVGVSVNSGAAGAIDNNNIPFLTGLGGATNAVTSNNNNNNNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPELGSAAVGRAQGFYIDSSEEGVSQTVAVTTMFKDGEFADSISFFGVHRTADSESHLAIVGGTGKYVGAKGFAKVAVVRPGGAAHETDGVETVLQFTVFLMMY >OB01G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2101531:2104044:-1 gene:OB01G13480 transcript:OB01G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KWJ2] MPGPLLYALFALVLLLGGGPACSAASTDTVSPGDVVAGDDRVVSNNGKFALGFFRAPVNTDGGESSAQKWFLGIWFNTLPSRTTVWVANGADPVMDGASADSPELTVSGDGDLVVLHPATKSITWSTQSANVSTKNTTTNNTAAVLLNSGNLVLLETSNLSDQPRTLWQSFDHPTDTLLPGAKLGRDKLTGLNRRLVSRKSMAGPSPGPYCFEVDDDAPQLVLKLCNSFITYWSSGTWNGHYFSNIPELIGFTPNFHLAFVNNSREEYLQFNVTIEVVTRNIIDVNGQNKHQVWVQSSQDWLTLYSTPKIQCDVHGICGPFSVCSYSLLPLCSCMKGFSVSSVKDWEQGDRTGGCVRKNSLDCVGSNTSEAASTDKFYSMSNIILPDKAQSIQDVGSSDECSKACLSSCSCTAYFYGSKGCLVWHTELLNAKLQQNHDGTGSNGEILYLRLSARDMQTSNKHRVTIGVVVGACVAAFAVLVFIAVLLIIRRKCKSSSENYGSLIAFRYKDLRSATKNFSEKIGEGGFGSVFKGQLRDSTGIAVKRLDGSFQGEKQFRAEVRSIGTIQHINLVNLIGFCSDGHSRFLVYEHMPNRSLDINLFQSNGEFLDWSTRYQIAIGVARGLCYLHESCHDRIIHCDIKPQNILLDASFLPKIADFGMAKFVGRDFSRALTTMRGTMGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRTNLARSEEQSSTSASTNTSDNHAVYFPMQASRKLLDGDVMSLLDQKLSGEAGFKEVERICKIACWCIQDNEEDRPTMGQVVQILEGVVDCDMPPLPRFLQSIFERPSSDRNSSSVLLLVGTADCR >OB01G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2100645:2100902:1 gene:OB01G13470 transcript:OB01G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKERAPPPRGYVPILIGRQGEERERILVRTEQLKQPHFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGTATREREREHMS >OB01G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2094904:2095761:1 gene:OB01G13460 transcript:OB01G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPINSLAASLHGCRDKNRCFSSSKTVSFTGLSISFSLAAYCTVRSWRMSRPATTTSTGGEGNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGGRYIRSLSPRVASYTRSFHWTPGTSGKCSGGCRAAAASSERMTVPSLRSRMTPR >OB01G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2094876:2095802:-1 gene:OB01G13450 transcript:OB01G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHTTPAPHVVEDYRGVIRLLSDGTVIRSDDAAAALQPPEHFPDVPGVQWKDLVYDATRGLKLRMYRPPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPSPPVLVVVAGRDILHDRTVQYAARLKEMDKPVKLTVFEDEKHLFLSLQPWSEAANELIGVMNRFTREDE >OB01G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2093466:2095024:1 gene:OB01G13440 transcript:OB01G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELSIKLLIDTKAHKVCFAEAGSDVVEFLSTLLCLPMSTIISLLTKERMVGSMGNVLDSVEKLDAKYVISSQSKERYLSPTVAPTSLCPLQELLDSELNANVGFFTCEGRATSSSYTQARFPCGYFSVNKGSVCPTCFTQMNTAITHVKTVGFAVGTATYTVKDDLSMTPASSVSSISLLAQCGVKDLSTLQERTVNIHKGEALEILLASLKSKTVLTDVFLQKRKVGCKKEPSA >OB01G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2090610:2091406:-1 gene:OB01G13430 transcript:OB01G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTKAQRVLYAEGSKDVVDFLLSLLALPLAGTKLLTAGAMVGSVGNLYGSVENLGEGYAYRGDVKAALLASTVLRLDSPDAASSSTAKNGAGALYRCFACSGSCRNFVTKVNATPCPVCRSKMTSLVRLVEPDDVPGGAKSARTAPAASDEASCKGHVPAGTVTYTVMDDLTVSPSSTVSAIAALVALGVMDIRGLKQKIVEVGYNEGLAVLKASLQSKTVLTDVFL >OB01G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2088039:2088818:-1 gene:OB01G13420 transcript:OB01G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTKAQRVLYAEAGKDVVDFLFSLLTLPVGTVIRVLSKDSMVGSIGKLYASVEELDATYLRSADARNVLLAPSGGFKCGKLLQLPETAAAPATVVYRCSTQAYDRCDNYAAKVSGLPCKVTACSGKMTAVMKLVVPSIGVVASLAPSAVAATGFVLAVATYTVMDDLKVAPVSTISGITLLNSFGVTDLGSLQEKIMQIGYTEGLAMLKASLQSETVLTDVFLGKKRKA >OB01G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2085901:2086786:-1 gene:OB01G13410 transcript:OB01G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTPCGGEASSGLSMTLLVDTKAQRVLYAEARKNVVDFLFSLLGLPVATAVKLLGKGSMVGSVGNLYASFEQLDDTYVQADVAKDALLSPAVLSPAASSNSSVFRLPAPPSSAQPKSFFRCNSYNCNNSSSCRTYVTEASGTRCPNCQNEMKTACGYVAGAPEQGTQNVAGAVGGGGGSSKGFVQGVVTYTVMDDLTVSPMSSISSITLLNRFAVKDLGALKEKTVQLGYTEGLAILKASLQSKTVLTDVFIGLKPAC >OB01G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2084444:2085433:1 gene:OB01G13400 transcript:OB01G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06570) TAIR;Acc:AT5G06570] MAPHVVEDFSGVVQLLSDGTVVRGDGAALLPSLEPNHDVPGVQWKDVVYDAAHGLRVRVYRPTVGDGGKLPVLVYFHGGGYCIGAVEEPNFHTFCLRAASELPALVLSVQYRLAPEHRLPAAIDDGAAFFSWISGQAALGAGADPWLAESADFARTFVSGVSAGANLAHHVTVRLASGQPVVDPVRVAGYVLIDAFFAGVERTASEASPPANVSLTVEMADQLWRMSLPVGATRDHPVANPFGPDSPSLALVALPPALVVAPGGDVLYDRVVDYAARLKEMGKSVELAEFEGEQHGFSVLQPWSPASSEFIRVLKQFMEKTARPGPAQI >OB01G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2082309:2083434:-1 gene:OB01G13390 transcript:OB01G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPHQSVPLSIDSGEFARLNKFTILQIDLILQGFYTFTHSRVVLKFRFCTNMGNAAGMPSSPASADAAASTTPTIKLLVAKEAQVVLFAEAGKDVVDFLVGLLAMPVGAVVKLLAGENALAGVANVYASVRRMDAAYMQSAGARDALLNPAPAHPCLGATAGGFPSLVPPPTHGGKGFVRDMVKYTVMDDLTFMPMSTISSIALLGKLGVEDLSALEEKTVKIGYQEGLEILKAPLQSKTVLTDVFLNRKKRARAGDKHHRCGEKNVDTRAPIEKKDAAGQNGNSAPPMPQNFSV >OB01G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2075680:2076784:-1 gene:OB01G13380 transcript:OB01G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSMKLLIDTKARRVLFAEAGKDVIDFLFSLLALPIGTAVKLLGKDSMVGCVSNLYASIEKLDGTYVQPGASKGELLRPVVLSPAATSNSSLLGLPPASSAQSKSRSLFRCCNTNSYSGGNCRSYVTETSGTSCPFCGSSMKTAMTEVHPAAAGQVARAAEANDERAKGFVQGIVTYTVMDDLTVSPMSSISSITRLNTFAVKDLGALHEKTVQLRKRGLAILKASLQSKTVLTDAHVGHESTAISRSICLG >OB01G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2071332:2074058:-1 gene:OB01G13370 transcript:OB01G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59900) TAIR;Acc:AT5G59900] MPPPARRHLAGDPNSAPTTSHSGMVKLLADILHHAPPSTWPSAIAAPLLRGRLAAAHVSSLLLLPASLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSSSPHAASLLLSLASSTPSASSSFSSLSHASSLSSFPPGATATAASLLASSYLRLRSARDAAAVIDLSLSSGITVNQYTASHILFSLVKIRQFAIARHLFDKMVHSGVCLDEYVYTAGIRSYCESRNLDGARGLVARMESEGVKASAVPYNVLMYGLCKNLRVQEAVEVKNDMVKRGVTADEVTYRTLVYGFCRTEELEMALRMTQDMITLGFLPSEANCSFMIDELRKRELVEEAFRLACQLGDLGMVPNVFAYNALIDKLCKNGRFDDADSLFTEMADRGLEPNEVTYAILIHSLCKRGMLEDATCLFDRMREKGIRATVYPYNSLINGYCKQDTLDQARRILSDMVKEGLTPNAASYCPLIAGLCRNGNLSSAMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIGSNIIPSDVTFNVMIEGYCLVGNMKKAFQLYDQMVETGFRPDNYTYRSLISGLCLTSGASKANEFVADLEKGYAVLNNFSMTALLYGFSREGRFTETYHLWDEMAARGVKPDIVSSTIIVYTALKQHDKEKSCVLFREMKEKGVKPDDVFYTCMIDAHSKEGDMIQALNCWDQMVDDGCSPNTVTYTVLINNLCKSGYLGSAELLCKEMLGGTFLPNKFTYNCFLDYFATEGDMERAKDLHSTMLQGRLVSIVAFNILIKGLCKSGKIQEAIDLMSKITEYGFFPDCISYSTIIHGLGKRGNINKAFELWNEMLYKGIKPDVVAYNIVIRWCNVHGEPGKALSIYKNMIRCGVQSNWDTYRALLIGASLMVSNGQALLLTT >OB01G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2066246:2066587:1 gene:OB01G13360 transcript:OB01G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWRKRCALRLVEGPEARIDDVDEFLTFHAGVGGRDAFLRGWPARRKWCAGRDGSEERRERFPRARVYTTFGVGLSVGGKLRLRPNSALRLIFWMHWITFTTIVSHQSSIAT >OB01G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2065714:2069134:-1 gene:OB01G13350 transcript:OB01G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3KWH9] MAPKAEKKPAAKKPAEEEPAAEKAEKVPAGKKPKAEKRLPAGKGGKGEGKTDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OB01G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2060851:2064115:1 gene:OB01G13340 transcript:OB01G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVAYLLSVLAIAAGGGSSSDEATLLAFKAGFSEGSSGALASWNSSTGFCRWEGVTCDRRMPTRVAALSLPSSNLAGTLSPAVGNLTFLRWLNLSSNALHGEIPSSLGRLRRLQVLDLGSNSFSGAFPRNLTSCIRLTNLSVSYNQLDGHIPVELGNKLTMLQSLLLENNSFTGPIPASLANLSSLQCLHMHNNHLNGLIPPVLGSIPALQELSLGGNGLSGELPASLWNLSTLTVLAVYGNMLQGSIPANVGDSLPNMRTFGLADNRFTGVIPSSLFNVSSLTLVALYNNRFTGFVPPAVGRLQSLAFLYLSDNQLEANGRKGWEFITSLTNCSQLQHLVIANNSFSGQLPSSIVNLSTTLQKLYLGENSISGSIPEEIGNLVGLDTLYLAFTSLSGAIPSSIGKLANLVEIGLYNTSLSGLIPSSIGNLSSLNRLYAFYTSLEGPIPASLGKLRKLFVLDLSTNRHNGSIPKEILELPSLSWYLDLSYNSLSGPLPLEVGTLANLNQLILSGNQLSGQIPDSIGNCEVLEFLQLDKNSFEGGIPQSLTNLKGLNLLNLTMNKLSGSISETISRIGNLQQLCLAHNNFSGPIPATLQNLTLLWKLDVSFNNLKGQVPDEGVFKNLTYASVAGNAELCGGITTLHLAPCSILVGSKHRKQYPKSLAKALPTTGAILVLGSAIVLILLHHRKLKRRQNGQGTSPIIEEQYQRVSYYTLSRGSNGFSEANLLCKGSYGSVYRCTLEEGEILAVKVFNLQQSGSAKSFVVECEALRRVRHRCLIKIITCCSSTDPQGQEFKSLVFEYMPNGSLDGWLHPKSSNPTLSNTLSLSQRLSIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMTAKVGDFGISRILSESIIKTMQNSNSTFGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFIGRSPTDDMFNDSMDLHKFASAAFPERVLEIADQTIWLHEEAKNKDATNAGITRGIQECLASVIRLGISCSKQQAKERMLLADAVSKMHAIRDEYLLSQVVKN >OB01G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2057321:2057845:-1 gene:OB01G13330 transcript:OB01G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3KWH7] MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKGEKGEGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OB01G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2051151:2054742:1 gene:OB01G13320 transcript:OB01G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAKLASCLFPVLTTIAVIAAASSGDEASLLAFRAGLLRGGSSNASAQLAPWSWNSSTGFCSWEGVTCSRRRPTRVASLSLQSSDLAGTLSAAVGKLTFLRRLNLSSNSITGEIPASIGRLRRLERLSLNHNSFSGAFPANLTSCVSLRLGDTLPRLEMLLLTNNSFTGPIPPSLANLSSLQYLYLDYNRLDGLIPPCLGSLPALQELSLEANMLAGDLPPAMWNLSRLRVMGVGLNMLQGSIPGDIGDKLPDMRFFGLHENRFHGAIPSSLCNLSRLTDLYLADNNFTGFVPPTLGMLQSLEYLYIGSNQFVADDRKGWEFVASLANCSQLKEFVLPRNFFGGQLPRSIVNLSTTLQILDLENNSFSGTIPNDIGNLIGLTLLDLGFNPISGVIPENVGKLANLVDIALYNTGLSGLIPSTIGNITKLNRLLAFQTNLEGPIPASLGNLKNLFNLDLSANHLNGSIPREILQLPSLAWILDLSYNFLSGHLPSEVGTLVNLNKLILSGNQLSGQIPDSIGNCEVLEFLKLDKNSFERGIPKSLINLKGLSVLNLTMNKLSGRIPDAIGSMGNLQQLFLAHNNFSGPIPATLQNLTLLSELDVSFNNLQGRVPNGAVFRNLTYDSIIGNDKLCGGIPQLHLPPCPTLAVSKNKKRQLMFLKIALPTTGAILVLVSSIILILLHHRKLKQRQINQGASSVIEEQYQRVSYYALSRGSNDFSEANLLSKGRYGSVYRCTLENEGESATMAVKVFSLQTSGSSRSFEAECEALRRVRHRCLIKIITCCSSIDPQGQEFKALVFELMPNGSLDGWIHPKSSNLATNNTLSFLQRLNIAVDIFDALDYHNRCEPSIVHCDLKPSNILLAEDMRAKVGDFGISRILPKSKTEALQNSKGSIGIRGSIGYIAPEYGEGSVSRQGDIYSLGIMLLEMFTGTSPTDDMFEDSLTLHEFVAAAFPERALEIADQTIWLHETNDTNVTDASITRGIIQQCLLSVFGLGISCSKQQPRERTMLADAMSKIHAIKDEYLRSR >OB01G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2044212:2047725:1 gene:OB01G13310 transcript:OB01G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTSLLLSLSIVLHLALAAAGAEGEDAAALLAFKAAAVGGGGVLASWNGSAAGVCSWEGVRCDRLRRVVALSLRGQDLSGTLSPAVGNLTSLRVLNLSYNWLHGEIPASLGRLRLLGTLDLSFNTFSGDVPGNLTSCTSLKNLLLGSNNLTGRIPAELGNTLTGLQRLGLDNNSFIGHWPASLANLTSLRYLSLRMNSLEGTIPPSFGSNMPRLRSIDICSNNLSGALPSSLYNLSSLEIFVAGNNKLNGSIASDIGEKFPRLNSFAVFNNQFSGEIPPSFSNLTNLSNLQLAENGFRGFVPRDLGKFNALENLQLGDTMLEAGDMKGWEFVDSLVNCSKLKVLVLSGNNFTGQLPTSIAKLSTSLQILYLGDSRISGGIPSDIGNLVGLRSLYLSNTDISGVIPESIGKLENLTAVYLNNNSLSGHVPSSIGNLTKLMKLFMQDNKLEGPIPANLGKLKSLEVLDLSRNHLNGSIPKEILELPSLTQYLNLSYNSLSGALPSEVGSLSSLSELILSGNQLSGLMPSSIKKCIVLTVMSLDSNSFQGTIPEFLGDIKGLRLLNLTMNMFSGVIPDALGSIHSLQELYLAYNNLSGPVPAVLQNVTSLSKLDLSFNDLQGEVPKEGIFKNLSYLSLAGNSELCGGASHLHLPACSTHAVRTRSKMWLRSLKIALAAIAVVLFLALVMAIILLFHRRKPIDRKKGQPLTRVVKEHYERVSYQDLSNGTKGFSHDNLLGKGSYGAVYKCTFFDEETIAAVKVFYLEQSGSTRSFVAECEALRRVRHRCLIKIITCCSSINNQGQDFKALVFEFMPNGSLYGWLHPKSDRPTVANTLSLIQRLDIAVDIVDALEYLHNDCQPPIVHCDLKPSNILLADDMSARVGDFGISRILTESASKTLQNSSNTIGIRGSIGYVAPEYGEGSAVSTLGDVYSLGILLLEMFTGMSPTDDMFRDSLDLHSFAEAAHPDRILEIADPTLWVHADTKDSITRSRVQECLISVIGLGLSCSKHQPKERMLIQDAAVKMHAIRDEAYLMFSGSFSVDMEDETKQI >OB01G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2037925:2040144:1 gene:OB01G13300 transcript:OB01G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMHWSFITTTVDGSFGDFCISRILYENTSKNLISSIRSIGTTEFHVPFLFIPNSKPFFVGFVSDMCPFNQLNWNNQNLL >OB01G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2039504:2039734:-1 gene:OB01G13290 transcript:OB01G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLKGKIVGWMIDNCIRRYEQMNSSIKVSGYGTLLTLFAYKTMCINSVMLLFGAQEVGWTLKRLDFVNHKTAFC >OB01G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2037660:2039475:1 gene:OB01G13280 transcript:OB01G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15200) TAIR;Acc:AT3G15200] MPPAFSVLSATCRSNRVLLVLRRLGVLCSCAPTVRRNASEELPSAGLHSRFRQSVVQSGYFLGSLRICHYSASDGSKDVLVSEIVKILKPANGESELAEVLNQFADEMDEDVVLKVLQKHRSNWKVALSFFKWAASLPRYAHGSRSYTEMLDILGRMKKVRLMRQLFDEIPEERRQLVVTNRMFAVLLNRYAGAHKVQEAVDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFLPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLNPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFSEMNDRGCQANVATYNTLIKHFCKINRMEKVYQLLDDMEDKGISPNNMTYSCILKMTEKPKDVISLMQRMERSGCRLDSDTYNLILNLYASWNYEKGLQLVWDEMERNGSGPDQRSYTIMVHGLHSHGKLDEALQYYRTMQSRGMTPEPRTKILVKAMLMKKDESPTEDQPPTVIRRDLKLDPMSRLFCVRN >OB01G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2024448:2034626:-1 gene:OB01G13270 transcript:OB01G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLSGLLARHPVLFYAGTWTALPTAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTASACQGGIVLPLDGPPWDAACVPAALFGRVTLDVVVPLVFAGAVVSTALWFTKAVGVWEDDDGSEEAAMG >OB01G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2019970:2023949:1 gene:OB01G13260 transcript:OB01G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGPSGFGSGSTAELVTAGVDACRLTVIVTGGASGIGLETSRVFALRGAHVIIAARNTEAASEARKNIVEANPKARIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFELSEDGIEMQFATNHLGHFLLTNLLLDKMKATAKSTGIEGRIVNLSSVAHHHTYPNGIDFDKVNDEKIYNDKMAYGQSKLANILHAKELSRRLENEGANITINCVHPGLIMTNLMRHSFFLMRVVQFATYILWKSVPQGAATTCYVGLNPQLKGVTGKYFADCNVEKTSKFARNDVLAKQLWEFSEKLIKSSK >OB01G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2019752:2019958:1 gene:OB01G13250 transcript:OB01G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSVQNPPKISTNPTRIDSCAAIPTLSLSLRPSHLLPCTDSSPATKSPPASPTINNHHLFSLPAPTYPSM >OB01G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2009199:2014527:1 gene:OB01G13240 transcript:OB01G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCASTPAGGEEEMRARAADHLEALSLEIERKLQKALNSNSQRLQLLRQLFADIALKVDDRARDVILSTNDDGIAPVDDREDTRLCFYEILANHFVRVPESGRRILDLIVQLWSQSFASNIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNVFWIDIQTNTRHFLSLYNYLLKEVALVPNQLSKISVQAGRNLFLLLSRFMLFYDQDHMLPSFLEHFPTFPNSFLVGGPADYFVIELTDQLQKLKVEPVLLHYLSRLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWDTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCIKTVYYYILNLIVSSWENMRRPNHQRMHKE >OB01G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2005560:2007350:1 gene:OB01G13230 transcript:OB01G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-glutamyl transpeptidase 4 [Source:Projected from Arabidopsis thaliana (AT4G29210) TAIR;Acc:AT4G29210] MYASNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGYTVVPYVAAALKKTEQDVLADPGLRAVFAPEGRLLAAGEVCRNPALADTLEAVANDGVEALYGGAVGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTILGMPPPSSGAVGTALVLNILGGYKSLEFLKGFLGVHRLIEALKHMLAIRMALGDPDFVNVAGSVSEMLSPAFADKIRQKIVDNTTFPPGYYFPKWSQLRDHGTSHLCVVDGDRNAVAMTTTVNYYFGAHVLSPSTGIVLNNEMDDFSVPAERTPDHLPPAPANFIAPGKRPLSSMTPSIILKDGQLAGVVGGSGGTNIIATVAQVFLNHFVVGMHPLAAVQHPRVYHKLVPNEVVYEDETVVDGEVIKLSGETREFLRRRGHRLKSTDSGAVCQFIVQDLLAPVGSATHRKHGSNGGAAGGENVFHGMLTAVSDPRKGGRPAGM >OB01G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:2003445:2003852:1 gene:OB01G13220 transcript:OB01G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENALLGGGPVSPQDRRRRRPWTALAIAVALLALACVVLLLSSGGDREVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSSPGTPPPATPSPSTPARPRRPRPRR >OB01G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1998713:2001176:1 gene:OB01G13210 transcript:OB01G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQPQLKAIQKRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNILSTAQQVWLRKLGGAKPVVNEGGSGIITAGRAKRTSAQSAQPGERFRQLKEEESKKKGNRALAAGDSDPSSSTSEDEESDDETTTEEGGPEERLNSISNNKKLPSYSGKKGKRSKRKRMVQ >OB01G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1993745:1995379:1 gene:OB01G13200 transcript:OB01G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTTLSMENSNNHPCTRLSMDPAGSHAASGDSSGGGGGGASSTGAGGGGGDRELFIIPRRDTAHPGPPDINLPLSADPSPPPPPPPSWGLDQFDMLDVSLGTQNYESEVALTLPKLTGNGSAAVGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRESSGIISGFEKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVNSPNLSAVPEAEMKKWAELTGREVNFSIPPEASDFESWRNLPSTDFELDRPQPPSSKSVTHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEIHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLLLS >OB01G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1984459:1987536:1 gene:OB01G13190 transcript:OB01G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:J3KWG3] MAADDSVMRSLYLSAYNWVVFIGWVQVFCYMTLELLVNGHEGVYAAIERPLLFAQTAAIMETHSHILVTSLIISWSITEVIRYSFFGMQESFGFTPSWFVWLRYSTFIICYPVGMVSEVVLIYIAFPFMKASGKYCFRMSNKWNFSFNYFYFSALLMALYVPAFPHLLLYMVAQRKKALSKEKTT >OB01G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1980657:1983488:1 gene:OB01G13180 transcript:OB01G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:J3KWG2] MAGVGSAVRRLYLSVYNWAVFFGWAQVLYYAITTLLERGHEAVYDAVERPLQFAQTAAFMEILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMILYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDYLYTSILALAVYLPGSPHMFTYMLAQRKKALSKAKAA >OB01G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1976015:1980399:1 gene:OB01G13170 transcript:OB01G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G39550) TAIR;Acc:AT2G39550] MGKPELAEFARDRHVLFLEVMASELPPDYAPQEVNHLTLAYFAVAGLSLLRELDRVNKDQIAKWILSFQVLPETDSELDNEQFYGFCGSRTTQFPSTDVKDPCHNVSHLASTYSALAVLKIVGYDLASIDSKALLSSMKRLQQSDGSFMPTHIGAETDLRFVYCAAAICSMFKDWTGIDKEKAKQYILDCQSYDGGFGLVPGSESHGGGTFCAVAALCLMGFIQDDLASNLREPAPIDVRLLLEWCLQRQVADGGFQGRRNKLSDTCYAFWIGGVLKIIGAYHLIDHDALRSFLLSCQSPYGGFTKFLHDQFPDIYHSYYGLAALSLLEEEGLEPLCTELGILSAAL >OB01G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1969626:1973487:-1 gene:OB01G13160 transcript:OB01G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G55360) TAIR;Acc:AT3G55360] MKVTVVSRSGREVVRGGLELKDSAKVADLQEAIYAKTKKYYPARQRLTLPLQSGKSGKPVVLSAKASLTEYCEKGSGSLTVVFKDLGPQVFYSTLFFWEYLGPLLIYPMFYYLNVYKYFGYEGERVMHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQIKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNVVTCANYTTEIYQWLGFNIATQTVAGYVFLVVAASIMTNWALGKHRRLKKLFDGKDGRPKYPRRWVILPPFL >OB01G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1961232:1964921:-1 gene:OB01G13150 transcript:OB01G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSTFYGKEFHGRRWSILQFFGFRRRMRSTKMISDKKQSQGKGSGGSRHRSSYVPLKDEDIGVMDDEKYNEVTKKNKASKKSSGKGSLGSLILKKLYGKEGQKEKMLPVAPKLLRTLSIHYLESNEYVLDGESATNGDGSSQNTALSMQNPRDTNIQNATFIGQDGCDNDTSSLLLKRGESHVKRKSHRSISMDGVLHKVPYGQKVSGDVTKEGLSRSASATYDRDGLKPYNGTAAKRPVNQGFQRSRSLTESLERYSHLLDSISSSQSKRMLTSSKSTRDYSLDGPAVMSGLQRTFAETRSTSLVIHAENLVIPEDALASYFPENPIVDGDVDTSMNEISGHEDVDGSENTALIEQYTNDRKSGISVSIEVNVCTAPLPSEVTDISQEHTEICDDHQVPSSNVIDLSISHSTYEEVDIPEDHGTSCNEDHFNSSTEAVMHTKIAEDGSIKEEHTSVSDDKQIHSSDVLKSREGTFCVPDPSQEIEAEINISCEQETDSPMSVLDVTFSDDPASPVKYTILDDSSLKPRILCLDDADDLDDTVLNDSTSMELSTTELTHKKIQESDSEKLNYLQADQKNEDELIYVKDIFMKSSFRNEILFDAWYSMNITALQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSKFSRFSSFDRPKPVADHALKELWSKVSCHLDEQPQSSIEIDTILSNDLAKSDRWVNFQRDADHLGNMLADFVFDKLLTEFTLQLAKF >OB01G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1955240:1957046:-1 gene:OB01G13140 transcript:OB01G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCKMYPEMAEGVTTTQTVIMGVAPSKGHAEGVEAGAAAGAGAENGCKCGDNCTCNPCTCGK >OB01G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1949666:1952638:-1 gene:OB01G13130 transcript:OB01G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILDSFTWKFLEKLGQLVEDEVVMTLSVKKGIKSLMKNLEFFRAVREDAEALAMEDPWIDSWWKNMRDVMFDVDDIIDLFMVHSQKLLQPPRPVCCNQLRFSSFAKFSFDHMIAKRIMNINEKFEEIKMNKEMFSLERTTRPQVQITIVDRRQTSPVDELEVVGEDIRRAIEDMVKMTVRNCYDNRSTVFGIQGMGGIGKTTLAQKIYNEERIREKFQVHIWLCISQSYTETGLLKQAIRMAGGICDQLETKTELLPLLVDTIKEKSVFLVLDDVWKSDVWIDLLQLPFERGLNSHVIVTTRNLDVLEEMHAVYTHKVNKMNDCDGLELLMKKSFAPNEQRRQFSDVGHQIVKMCDGLPLAIKVVAGVLSTKRTRAEWESIRDSKWSIHGLPKELEGPLYLSYNNLPPELKQCFLWCALLPSNFGIRRDAVAYWWVAEGYVTKVHQYSIHEVAEEYYHELIRRNLLQPKPEYVDEGVSTMHDLLRSLGQFLTKDHSLFMNLKNSKALSNLRHLGIGNDVEEIPTIEEQKCLRSLLIFDNKNFKIIHKDIFRELKHIRVLVLSGTSIQIIPESVGNLLLLRLLDLSYTKIEKLPESIGNLTSLEYLSLLSCKHLDSLPASVMTLSNISFLELVKTGIDHVPKGIAKLQKLCNLRGVFESATGFRLDELQRLSNIQHLRVEKLEKAASTGAFVLKNNLHLRKLSLCCTVGVNTHDKTHYQINEIERIQQVYEILSPSPSLLYIFFLGFPGLRFPDWLCSEPEHNMPNLGHMHLNECVSCSELPPAGQMPELLVFQIKGADSIVNIGADLLGKGVSSAKCITVFPKLELLLIIDMGNLENWSLNTDYLCGRSEQLVLMPCLKRLFLNGCPKLKALPEDLHRIANLRRIHIEGAHKLQEVDNLPSVLWLKVKNNRCLRRISNLCKLQDLLAQDCPALDQAENIISLKRLYMVDCPNAMQFRMCLLEEQELAVRVVTTGADGRDIFPDESLYN >OB01G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1935386:1946531:1 gene:OB01G13120 transcript:OB01G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 6 [Source:Projected from Arabidopsis thaliana (AT5G02310) TAIR;Acc:AT5G02310] MAGMDAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMDAGGETSDAPPELSPQERVEQKLILFGVPQEQLQEHQEGLLLYLEEHKELIPEIAKLILSVATDLLETQKASSKDGDSSNSEACNEILSWLQWLMFNNEPHAMLDDLEHSSAGERAVCGSVWGHNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGPEHIKPLPEELASSVGPVLDVLLKYWKERICLVEGPPHAEGDGGSSCKRVAEELTACIAKMLLEFCTCSESLLSFVSQRIRECPDLLDALTKAERLLDKEAVKKLHELLLKLISEPAFKYEFAKVFIHCYPVTFGEVIKGCNDSLMEKYPLMHTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWTNLYDASVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYLGICHGSLVKGAFCPPEQHESTDVTVCSTATKGMESAENQRHAKVGRVSQSSSVCNLGSKDRSSSSGLPLPAPWLIFQCLKAIESWLEPGTALRGKLSSLDASSSDPHNFMALLEEPLTSNIDRSNTNIAEVDVKINEESRSDDIADNPESFSSFVQDQDNLMQIDQIRVPPSSNMAGKRKMYESSSAADTQLHPENAISCNLTDGSLLYAHPDSRIEELGILNTVGWPHVVFDVSSQETSFHIPLHRMLSLLLRKSMEKCFGEDVKAEHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYTSLDLMEHNEYESVLMQEMLTFLIQLVKERRFCGLSTSDNLKRELIYKLAVVDSTHSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLREAFWKELDLYHPRWNSRELQIAEERYYRFCKISALNAQLPQWTHVFSPLHSISKIATSKAVLQIVRAVLFHAVYSDPLSVSRAPDNVLVTGLHLLFLALDICESESKMYAKQHGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVAPVSGRVKNESMLTLLVSLMHKYKEDNDTSFSGSKYCNIPSLIESLLKKFANLSKECMSAIRQMAPHMVPSMPQQTSCKQNLESSDSMDKKAKARQRQAAMMAKMRAEQSKFAESMKSSGSEGHDVPMSEPDVSSSTGVVLEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGNPSWENPTQSNKISGSIRREESSDSSGAASFSSEELIPDAAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSFPSIYSNSNDGDITSLETIEDDVCKDILNYMSGSSSVESKDGEQTLSTTNLSIGSKKNRSPRSSVLGTYVNCLSTKQLHSSKSSGSVTRNRFGPVDCDGIHISTCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPELGELLCPVCRRFANSILPASPDLCSKLSRKTMPTVQMPSEAPPAASWVTTSNLQFPHALWLLESAGKIVGQSMFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHSSFSASERLNPSLFLWDTLRYSVVCTEIASRARSAGYSESRSCVESLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLASSICSGISGDKDLLNATKRKGTLPPIVDPTSEGGVFPDVQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSTKFFIPLVHLFYVVCVVQALITCYAEETFDRSSFSNCILNDVCQTMSSYDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLKSSSSAPLYDSSNIWEGSSQLYLNNSATDSFSVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKYIGVHFSTPAVPFRLMELPPVYQVLLERYVKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRIAFWPSPYLDAFGEEDHDMHRGKPLYLSQQRYGALTYLVASHSLDRTSEVLRQTTISIYGSD >OB01G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1924967:1928659:-1 gene:OB01G13110 transcript:OB01G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTADQVDKIVKTLNEGQVPSPDVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMEVVSAQTKAIAEKIKDWTNVVVAYEPVWAIGTGKVATPAQAQEVHAGLREWLATNVSAEVAESTRIIYGGSVTAANSKELAGQPDVDGFLVGGASLKPEFIDIINAATVKSA >OB01G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1920128:1923742:-1 gene:OB01G13100 transcript:OB01G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPLRASAAGSYSGSSSALHLHLHHHRRRGRVAGGIRRPRVRRCKMKLMYFLMDKEEQHRKRAELEFEVSELEAVLEKEKRLSRILHCSLQGRVVCHCCLSTLVPTKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELIPRLPCPGSDEALECESKASVGSVSSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIKLTVNIFHKLNKTTDATELELSSTSKLNISCIGPRSLVPKSSSSGGAAISSLKNRRASLGGDGAAKEIECHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHAYLQHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDVSNELERAKVEYLESSVRAASKKKVVVPRLLHWHMRDFADDAASLLEWVYSQLPGRPSGQLKCTIRELLPPRGAGGKAAAAKAVEVEPYSAEFHYLLPL >OB01G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1916297:1918867:-1 gene:OB01G13090 transcript:OB01G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADTDNAGGELIVWPWPGVPATATGDDDASAATTLTVHSQQHFAGVPTTALQEAAAHDGHGHRHHLAGARRRECRQLRRRDEGATAGAGEEGLPGDGAAGRFLPEASCAARSAEDELRKITECIMLEMNHDVHDENGRVASELDETGKEIDTKSQRIEELKGALEISSMMLPSGQCSVGDHAQMLQEIHKQDMEVIYAKLEQLEKQLEQRQALESTVRQLNMKIQAGERLRHDDYEHIYSIMICLRTIIDEEKERLVDSCADLIKRVQMNFSELRELRQELIKGFENMTISKNTIIGIKRMGELDDKPFHLACKRKHRDDDPWGKAAMLISYWQEELKKPSWHPFTTIQVDGEEKEVVDGDDPKLRQLCIDYGISVCNAVKAAMAELNEYNPRGRHVTNELWNFREGRKATTSEVVKYILKQLKTSSSQPDN >OB01G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1914047:1916248:1 gene:OB01G13080 transcript:OB01G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3KWF2] MGALETSKITKDHEGWRLITCIWLHAGVVHILANMLSLLLIGIRLEKEFGFMRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVVIILINLAVGILPHVDNFAHLGGFTSGFFLGFVLLVRPQFGYINQKNSPLGFPTGTPKRKYKTYQIILWVIATVILISGFTIGLVLVLKGFNASEHCSWCHYLSCVPTSKWSCNAPSNYCMLSQLGNQLNLTCQSTGKTQTYTLNNPNNTEAIKHLCVGFCS >OB01G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1912367:1912531:-1 gene:OB01G13070 transcript:OB01G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRCGGGPNEGVLLVRLEREPPELRLEPAPLRGGALPDGAAYRGAGGPRGRGA >OB01G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1901716:1906297:1 gene:OB01G13060 transcript:OB01G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPYIDEDGEPLMDPYDRDPSPEPQQQPPFDDLEDDLGDDGADWNRGRSPTPVHGDDGAGSSSKPRKRLLKKGGGGGDHGMPGDGLDDWSEEAAGLADDDVDPEADATKKRKGSSALRDLARGGGKEKKEKKRRKEDGRERESRGMGMGREKRGGSGGKGFSGGGHGEQDEGEREIQELWDTIAGGDSEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEAEEDDELDRLFKGGKKKKKKNERPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQSGARQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >OB01G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1900855:1901112:1 gene:OB01G13050 transcript:OB01G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLLIYRPPHGVLFEHHALAYYMALAFIFAAGAVEVWTAFWLAEEEDDDHHHHGRRRAFGRAVLCVSVIPLAAGVGLGGYAVLV >OB01G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1879884:1880216:1 gene:OB01G13040 transcript:OB01G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVVARRGGEADGAASNIPTVLVALGLVTASLTINLIAAAYDPPLGFGDTTYYHLALAGSFLAGMVQMSAAVWVADDPRGRHDAGKKVVYASIAPLVVAVGLTGAALL >OB01G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1875594:1875869:-1 gene:OB01G13030 transcript:OB01G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANVARLRRRRFDGFRATAIRWWPREISRALTPPNAGRGRQASAEKAPQQRKMEAWARRAQGEGEGAGAMAVARSRRQQTPSCRLLTSE >OB01G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1874807:1876847:1 gene:OB01G13020 transcript:OB01G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKVVVARRGGEASNIPTVLGALGLVTASLIINLMAGVYDPPLGFGDSIYYHLALVGSFLAGMAQVGAAVWVADDPHGRREAGYKFIYASIAPFLVAVGLTYGGGAATRNLPIIMLVKTTSYQVMGVVQKSEHFLSIGFTSNEEPNSVCLVDGPCHRTCASGL >OB01G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1868574:1871917:1 gene:OB01G13010 transcript:OB01G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVDVARRGGEADGAASNIPTVLGALGLVTASLIINLMAAVYDPPLAFGNSIYYHLALVGSFLAGMAQVGAAVWVADDPRGRRDAGNKFIYASIAPFLVAVGLTGAALLRRPCLGEPAAASPAARRFRPPLAGDLGFTYRANRQNRGTALPRIPQGNRKNCDEFESKKFKFKLVRFSRLTARFRAVTASILYDDLHEWFRMTNFVVMDVGKGFNPCMAT >OB01G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1863030:1863326:1 gene:OB01G13000 transcript:OB01G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGLGPTAMAPAPSPSPCARLAQASILRFCGAFSALACRPLPAWGGVRAREISLGDHLIAIAGIPSNLRRRSRAMVAIAVAAALELITSDLWLCFP >OB01G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1862236:1862887:1 gene:OB01G12990 transcript:OB01G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVAARRGGEASNIPTVLGALGLVTASLIANLMAGSFLAGMAQVGAAVWVADDPRGRRDASNKFIYASVAPFLVAVGLTGAVLLATLCDAACLIIRVVCRLGHGGVVYLLAAAAVVVVEFINSWIDEFPDANFESILCDIVFEIVDSKDDSSFC >OB01G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1859386:1859835:1 gene:OB01G12980 transcript:OB01G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLPHRNTPCKKSYCEFVLDVCNRVLNAVILVGGVVDCDSTILVFNTNMVRLFACFFCLPVVCVLPHGEPRGLCVYVCVGVNRNARYGSEALVKQKRRVKYNFQRGIIYSFLCLICFMYLTIDLTISNNPLLKDTMVFLFFRRREIDL >OB01G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1857028:1858443:1 gene:OB01G12970 transcript:OB01G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVDVSRRGGEADGAASNIPTVLGALGLITASLIVNLVAAAYDPLLAFGNGIYYLLALVGSFLAGMAQVGAAVWVADDPRGRRDAGDKFIYASIAPFLVAVGLTGAALLRRPCLGEPAAASPAARRFRPPLAGDLAAVARAAGEIPLGPDPIGIAGTPLPGPSPTFANMRPPGTDLVAIAEVDVRRHVCVLGIWGLEDSILDVLWGPVH >OB01G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1852581:1856518:1 gene:OB01G12960 transcript:OB01G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLADNYCDTTFLAAFIWGETFRFKRGSAQDSLLQPPRFSLFADDGEGGRCSPGRGGLHVILIEKGGSTLSLAALAVAFAWASEDFSAGNRFFYSMPPSPRLWLGLGSFLFQSTIFPFSRENDTQDPKDGSEHAR >OB01G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1846205:1848698:1 gene:OB01G12950 transcript:OB01G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPCYGVSASATRLAHAFYWQWLIVVWRQVMLRRISYDGMDLLHIEESGYACQGLYFCICGDWQLCIFLLRPWRICRRWCQTQQTIRRPRRTRSLCAGRRVYSPELFFHLGFCISTQYSLDCLDLVVC >OB01G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1844926:1845246:1 gene:OB01G12940 transcript:OB01G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLVEPEADSKIAAALGVVGVATISTAATLFAALQPAPGDRAATAAYNHVAVAGGFFGGVALVGASVWVADNPAARRAAGKKLLYAAVPLLLAALGLSAATLI >OB01G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1839048:1840628:1 gene:OB01G12930 transcript:OB01G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASILASSVEKLQAAAEDAANSSSRSAAAFSEQADLVLMPRAAGRVVSLSTCTKISAVSFAVGVVVGFTLKKRLRRWAARLLKRIKDDD >OB01G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1835723:1838305:1 gene:OB01G12920 transcript:OB01G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:scarecrow-like transcription factor 11 (SCL11) [Source:Projected from Arabidopsis thaliana (AT5G59460) TAIR;Acc:AT5G59460] MAAKRELSSTLRNLKFMQRAAVAQKIEEKPGVEVEVEAATAAAAAEEVTVASGGPGSSVRVARKCIVIMEGNPHPGAVKGRMSFQNFNPSIDKLTQEATGGRQSQSASPSNYHQYGANPSRTDGVSRTRFSDFNIDGSESISVNELKRKQPELDMETPSSHRQPKTTGKSVDSESASQSNGRGSHKSNKREKLDWNLLRQRKSK >OB01G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1833770:1835125:-1 gene:OB01G12910 transcript:OB01G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEYLELQSETFAQLYRCLPISPLNADDNGGNRVIMPQSALDRLGYLHIEYPMQFQIQNANTLQASHCGVLEFTADEGFVHIPAMMMEHLGLKESDLVLLQSTSLPRATFVKLRPHTNRFFNVSDKKYLLEHSLSKYVCLTTGETIAVTATAATREGTYYLDVVETRPANAVCTIETDCEVEFELALAHAHPVAELGAAMQVEDDAPARFTGAAMRMDGKPVEEEAKKAMTPSSAAAGARAQKAQDGAPVWPLGACQ >OB01G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1825889:1831757:-1 gene:OB01G12900 transcript:OB01G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MSRVYRPERETDFASFPHATPIVIDNGASTFRIGWAGEEEPRVAFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRSSIRSPFDDDVVYQFEYMEYILDYGFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDAFSYKYNQKLGNCGEDGLAISCEHGTCHVVPFLKGQPVLGACCRTNIGGFHITDLLRQLLSLKYPYHSASITWEKAEELKKEHCYIALDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPIEEPPSEEELARKAALKEKAGQRLREMAAAKRSQKIAELEKQISYLEELMEQLDGAEEEEATAILGRSGYLSQQEIKSAILKATQSLRKAKGETNGNEDKTDASAADKYPLVNVPDETLTPEQLKEKKKQILLKTTTEGRMRAKQRRAEEEALREKQEEERRLENPELYLEELRARYSELSDKVDQRKRQKLNGGKTNGNHNSSGGVGRGERLNAAQKERMRLLTSAAFDRGKGEDTFGTRDEDWLVYKKMSKDNDDDDDGNDDDESELARIASKIQEMDPTFVNKTEAVQQTPEPPKVRTLTAEDYRISIGIERFRCPEILFQPGMIGIDQAGIDEMVSISLRRLMEDESVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLTPLKLVRAADPLLDAWKGAAAFAASSKFGRHTFSLADYREHGENLFHRYNIVYSL >OB01G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1823700:1825219:-1 gene:OB01G12890 transcript:OB01G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKRGDHPVQNGQNPFDEWEEQQRYWPSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPMQEDMMWTPRAGPSAEDSSSKEHNDTDHGSPSTAQQHSCESVRVPEVYMEASPRQNSPLPSPTTHKEQPYFKVSSRFESEMKEANEMLRESKQLRVNTTKQKTVTFAPILEQGPTPVKNEWNSKELSETASEIFSNAYAIVYKAVLRMVSIIQDTIATYNIDRRKMLEKIISFNRYLMLKLEPGEGDNVLSEVITEAVLDLFDAWCENVEMPLVQRAKEVSSWFVPKRREELPPVPLSTNPCVYEGKLTERARVVLSAENYY >OB01G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1820056:1823286:1 gene:OB01G12880 transcript:OB01G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Vacuolar import/degradation protein Vid24 (InterPro:IPR018618); Has 318 Blast hits to 317 proteins in 131 species: Archae - 0; Bacteria - 0; Metazoa - 80; Fungi - 184; Plants - 51; Viruses - 0; Other Eukaryotes - 3 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT2G37680) TAIR;Acc:AT2G37680] MAMMFMFLERKYPVISYYISEFLVSGKNHRASLLAAAEDAGEGGRHRNPFLPDLPPQPSSGQDANAGHPSPPSCSLLSAGRCFAGTQNVSNIQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >OB01G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1816941:1819264:-1 gene:OB01G12870 transcript:OB01G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44920) TAIR;Acc:AT2G44920] MATTILGSLKLTPSPPPSVAAGASATPGRSSLYFHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKGSSRIILQILQMFHSETINENTSAKLLMGSIQPLETPQRRLFSASEEQYL >OB01G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1812510:1815845:-1 gene:OB01G12860 transcript:OB01G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNRTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >OB01G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1811427:1811645:-1 gene:OB01G12850 transcript:OB01G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAIIHYANGGQTPIGNPFINESPSASPRAPYYVRLAGGADSGRLRFSKVPVFPSPASRDAQLF >OB01G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1807677:1809596:-1 gene:OB01G12840 transcript:OB01G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISVTAASAAAFLLLPAASSAARAGSPLLLQRRPLAGPLRAAQGSSSGAVVMESKVKAKKKKGSGAGNLPGAIDLEIREAQEYLSSDEQEPVPENFPFEILDEEGMSVAILKRDYKDEKIEVIVSMPNLEGGPEFDDEEEEGEGKNSSKDDEDEDEDESAGDSSVSLKVTVSKESGPKLEFTCTAFREEITIDDMLIVENTATEGEEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFVRQ >OB01G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1804028:1806343:-1 gene:OB01G12830 transcript:OB01G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVASARNATQSGKQFASSSVGQLARIEVLVTVCCLLVGVLVLSNSRRRHNSSGLLRLFVWGAFMLNYPVISYTIGLMQSPSIRNELFVVWACFLLLLLGSADTMTAFNFDDSSQQTRSMMNQAIHVVYLLFLVLYYKAQLRGVFVVSLFLLWSLSVVRLGLRVKAYLSTNRSRGLIRDNQVVHEYMEGEWVNSAGLRPRSGSSYDPRTMKEYIYLIDGKEDEKVRYGEHVIEVPYRVPETVDVEKVFQCEGKLLRLSGGDRGAARRRDLCLSFALFKMLRLRFAVDHVGNIDFHFQSKKCRDFVVKGLLADDQDLDRAFRVVEAELGFLFDFFYARYPSIKDSLAPDLAVYAAILTTSLFTLFSPDLLQYSGRQQGDGGTAANIVIHGFNLDLLITRLVIVWYIFLESYQFMAFIFSDWHKVKMLCRYVRNESWQNKVLVEVPLKVLCYFNVTRYWKGTIGQYFILDNAHPHWIKTFLSWFSIEAQALDAWLMTRSVRLTPELRRAVLRELKNCDGEITDGRMRMYRQGVIDLDIDKTGYTYASYILEWHVATSICNYKLGMEKNAAAAAAADGELARHHEVAMKLSGYCAYLLAFRPELIPNKTCTSTSYTRGTLKNAREFLAKCKSDEDKYNKLIDLGSSAHMEHPEVLFLCEGSRIARYLINRIVDAGDLWLMLATFWANMILYISPSDRAVAHASRMATGGEFITIIWALLTHAHVVGQIGKPGGNPGLHTLLDKEKKERMTERSSNKSRGIPVPKRVKIRRYM >OB01G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1796034:1798376:-1 gene:OB01G12820 transcript:OB01G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVASARNATMDQIVASARNATQVGKQFASSSAGQLARIEGLVTVGCLLVGVLVLSNSRRRHDGRALPRLLVWGAFMFNYPVISYTIGLMQSSSIHNELFVVWACFLILLLGSADTMTAFNFNDKSQQTRSMMNQALHVVYLLFLILYYKSQLRGVFLVTLFMLWCLSAVRLGLRAKAYRSTNRSRGLIRDNQVVFDYMNYEPLNSASVRNGSWDPKTMEEYIYLVDGKEVEKVHHGEHLIRVAYRPAPDTVDVERVFQCKGKLLRLSGGDRGAARRRDLCLSFALFRMLRLRFSVDYVGHINFPFQYRKCHDFVFKGILADDQDLDRAFRVVEAELGFLFDFFYARYPSIKDSLAPDLAVYGMILATSLYTLFSPDLLRYRPGEGGAASNILIHGFNLDLLVTRMVIVWYIFLESYQFMAFIFSDWHKVKMLCRYVRNEWWHDKVLVEVPLKVLCYFNLTRYWKGAIGQYFLLDNVRPHWIKTFLSWFSIEAQPLDAWLMTRSARLTPEVRRAVLQELKNCDGKITDGSMCLYQRGVVDPDLDRDCMHGLIYAKYIMTWHIATSICDYGLSTGNGAAAIDELARNHEVAMKLSGYCAYLLAFRPELVPDSTYRSTSVARGTLQNARDFLAGCESREDRYTKLIDLGRLSRLLSHDNRRRERAAFADGQSRGESREDVFKKVSEVARPCRGSPVREYRLLADGASIAMYLVERITDAGERWKLLAAFWANMMLYIAPSDRAVAHATGLATGGEFITLIWALLTHAHIIEAPPKSETPK >OB01G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1785261:1787696:-1 gene:OB01G12810 transcript:OB01G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVASARNATQAGKQFASSSAGQLARIEGLVTVSCLLVGVLVICNSRRRHDGGALLRLLVWGAFMFNYPVISYTIGLMQSSSIHNELFVVWACFLLLLLGSSDTMTAFNFDDKSQLTRSMMNQALHVVYLIFLILYYKSQLRGSFLVSLFLLWSLSVVRLGLRVKAYLSTNRTRGLIRDNQIVHEYTMCAERESRSSPPRPGNWDTKTMDKYIYLVDGKEEEKVRDGEQIIQVATGVPETVDVSKVWQCKGKLLRLGGGDHGAARRRDLCLSFALFRMLMLRFAPDHVSWVVFLFQDEKYWDFVVNGLLADDQDLDRAFRVVNAELGFLFDFFYARYPSIKDTLAPDLAVYSAILATSLFTLFSPDLVRYQPLPSPGGNGNASNIIIHGFNLDLLITRLVIAWYIFLESYHFLAFIFSDWHKVKMLCRYVRNESWHKVLMEVPLMVLFHFNVTRYWKGTIGQYFLLDNIRPHWIKTFLSWFSIEAQALDAWLMTRSISLEPEVSHAVLRKLKNYEGRKITDGRMWLYRMGIIDLDLDRDVLLGNSYSRYIMKWHIATSICDMEDTTTVTQDHDVAMKLSGYCAYLLAFQPELVPDNTYKTTSIAHGTLQTARDFLAGCKSREDKYKKLIDLGRLKISRSHEGHKRWQDIRKSSVSEEDEDRKLKELHSFTHPTVLNEAGLYLSGGAYIAVYLVDRIKDVNERWKVLAAFWANMVLYIAPSNRAAAHAAKMATGGEFMTIIWALLTHANVVNPLQEGGANLGLHMLLEEEEKQRKVLEERERFRQDREERWRRMREEQERSAVQIQVHSS >OB01G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1766804:1769388:-1 gene:OB01G12800 transcript:OB01G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSAASTKPSSDGELLRIIDSEIKYAEESDDHDRVEEIPENFPFKISDEKGSKEITLTRTYQGEEIEVLVSMPSLVTGDEPDHENESDEDGQEEETQSSNKSSIPLTVTISKGDGPSLEFICTAYPDEIIIDALSVMPPENKDGEMITYEGPDFNDLDENLQRAFHKYLELRGLTPMATNFLHEYMINKDSREYLLWLRKLKDFVRH >OB01G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1765445:1766326:1 gene:OB01G12790 transcript:OB01G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTQSMAARAKRMKRKSSPAPAPATRKELALCHDNAVHIACLVAATSPDPITDLLSLRATYVQGHARGGEGARRREARALGRLDGMKWLDNRRYLAVVGHLVGAGNPDACFAAGVNLVFARQDMDRGLALLDRAAAAGHKAAAYVLGLLLYASGEARFAGEKYIGQVEGDGEAAGRTRTNRECRRCRKIAEDAVREAMWKVGGRRGRALVMPEDGPRRTSSGGCGVESGWGGYGVFCSDGCRIRHEYYMFFTEVMNYMP >OB01G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1756129:1759867:-1 gene:OB01G12780 transcript:OB01G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDXXXXXXXXXXXXXXXXXXSTSSPPASGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKFLQRIPGLDEGSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSTAVVSLFSGSLSGIASSTATFPLDLIKRRMQLQGAAGTTPVCKSSITGTVRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKGLLSSMDEDDES >OB01G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1747236:1748174:-1 gene:OB01G12770 transcript:OB01G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVRSNDQKRFGTTRARKSCRKSYTGEILTRWLPWYAGYYGRNEMRGFSITQQISGSVVSGVQRGVVPEIFRSSVTWPVAPLEFAMELVYLCRLNHNV >OB01G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1740867:1741046:-1 gene:OB01G12760 transcript:OB01G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMYSSYYANYSHKLDFEASPKACLLISIVIKCSIRHLDLVFAEDTQNHICLKQFYSL >OB01G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1732268:1736615:1 gene:OB01G12750 transcript:OB01G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAPFSLTKSWTSLLQGTKFRAAQARYLDYIVVTDTLQDKRPVLPANHSHMDCHSSWMEKRIPEGQSLAAFLFFSSGKEGDMLYDRYYGMPVYNADAYRETEQLVATVSVSVSKAEQQRRASGIAMGEVADMYTQDGTVDVKGNPAEKKSTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLIGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVRGLVPACDGGTCHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVVSFFVGTPLYRHQRPGGSPVTRIAQVLVASARKWGVAVPADKSRLHETVDKESGIEGSRKLEHTEQFACLDRAAVETPEDRAAGAGRSPWRLCTVTQVEELKSVLQRMGIGLVISIFSMLAAGVLDVIRLRAIARHGLYGDKDIVPISIFWQVPQYFIIGAAEGNTLDASMGPHFSIPAASLSIFDTLSVIAWVPVYDRLIVPAGRALPGRPRGFTQLQRMGIGLVISIFSMLAAGVLDVISLRAIARHGLYGDKDIVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTKNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFVVYLVIASWYTYKKTADSPDDDKADHHAAN >OB01G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1724692:1724844:-1 gene:OB01G12740 transcript:OB01G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASSGSEEKKNNSFSTDKFNHGCISYIFIFRPFHASDKFGAIQYMVSFS >OB01G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1719648:1721572:-1 gene:OB01G12730 transcript:OB01G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDAYMEVLPPMDHYASRGNWFMPPPRKWSPEENKQFERALAGLDLRCPDWDKVARAIPGRSVIEVMSHFKDLELDVQQIENGMVPFPVYAPGGGGGGGAFTLQWDGSGGHGVGDFRNAYRFGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSLITNQSNTAVAPYSSTSDVKPQNAANASFNSPSRTLGMPAYGMGLQDQGLQCGGPLHDQLAASRSILF >OB01G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1711988:1716091:1 gene:OB01G12720 transcript:OB01G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPPTPQLYTPISSSSSSSSSRLYTPPRELVLLFLAPPRHRRLLFCFLFFLEGGLAMAIGAEIKDDLEEAPPLLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAHVISANRIRLWNKGVDSASFHPKFCSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFVGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLEDCVGKIQLLLANKEFRDNMGMTARAEMEQCDWRAASKKIRNEFYNAAIWYWRKKRAELIKPLQWLAQMFLPAPEANRITQH >OB01G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1707814:1709662:1 gene:OB01G12710 transcript:OB01G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLALFSDVSNSRELLELMQSGKLEPEVSFLNASLVPDAFPVLAAAHKALLSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTTYILAARFDASDEEIKSVEKLIHGTEIDLAELETRANQSQILKHYKITPQELSISTLPDAIVCRIAARDAL >OB01G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1700897:1707609:-1 gene:OB01G12700 transcript:OB01G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MASPPSQATAAAAAAAYGCCQRILLASTSLPATGRRTRLGLTLRSSRPLVGRRIRNRRFACQAMTETEPDGNGDEEKEELGDDASSPSVDSATQENGYAESDSNADNTKDETLNSSDTVQNIDADATAANDAQDKVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKVFLLINPEDEKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGVYGALVTAVIIGVHEIGHILAARDTGIKLAVPYFVPSWQIGSFGAITRIINIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPSVFHESFLVGGLAKLILGDALKEGTKLSVNPLVLWAWAGLLINAINSIPAGELDGGRIAFSMWGRKIATRISSFSIGLLGISALFNDVAFYWVVLIFFLQRGPIAPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDASQLTDFDL >OB01G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1700901:1701329:-1 gene:OB01G12690 transcript:OB01G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDDGVDDLVAGIDDLVGVDDLLTGAEALPEGTDVLVAGPDVLEGVIDLAEVSVDLDVGVDDLRAGGPDLEGTVARDVGVEGLEPLDVLVSAGRPVGVEGLDELDVCPPEDEGLRVVAAEFKLFDDVVLNGRVVLDGGSG >OB01G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1698514:1703561:1 gene:OB01G12680 transcript:OB01G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLESESKKSPGSQTGTPKTRPTALKSRLANQPDPPSRTTLPLRTTSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGSRPSTPTSRATVPSKSGPPARRSSTPTSRSTLTSARSITPSRTSGPATRTSVPSGRASAPVSRSSTPTRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRRPSSTSTQHGSLAAPVRSSSISKPAPTISKSSSPAKTIASTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVESGPAARPRRQSCSPSRGRTLNGSVPSGSSMPAVRRSHLSNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSDRAGQYRR >OB01G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1696882:1698552:1 gene:OB01G12670 transcript:OB01G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVARAAGGGGDGGDARGRTLGAVIKEKDDELALFLEMRRREKERGAAAAAAGGGPPGAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGRGAARRPTAAASRR >OB01G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1687361:1691663:-1 gene:OB01G12660 transcript:OB01G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) TAIR;Acc:AT5G58760] MGPTTRARFVHNRRRRPGPYASDDEEDQQEASSSSSSSDDEEEEEEGEGSGEADDDDEAAAAPSGKDEVEEEEESPVAAVRSGRKASITISLKKVCKVCKRSGHEAGFKGAVYIDCPRKPCFLCKMPGHTTLNCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKISMVKPQFLIPNQLECGNIKFHERRVTCLEFHPTKNNVLLSGDKKGLLGIWDYIKLHEKTTYDSVHSCILNSMKFDTGNDGLLYTASSDGTICSTDLETGIGSSLLNLNPNGWTGPRTWRMIYGIDFNAEKGLLLVADNFGFLYLLDRRLKTRIGHPILIHKKGSKVTSLHCNPAQPEILLSSGNDHYARIWDTRKLEPNSPFASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDYSETVVVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTEADATEERNRERAKEFVYGSGSQKKSNGKHENSSDDDSDGSPDGKKKPKKTRFTHTVKGKGKSKA >OB01G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1681689:1687236:1 gene:OB01G12650 transcript:OB01G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MQKARPLLVERYQDGISKRYVLDGNSKVQVQSEKHESLVNRLEKEDDANSLIPRAIKDFVLPAGFPGSVSDDYMEYMLWQLPTNVTGWICHTLVTSTLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGGFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAKSGNLGEVAAKEEVWEVGAQLLGLSIGILIMDTAGIKSSYSTLASTWLSIRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAHHMVPDYKTCNEEENILTWERFLHPQISFGVPMERMLCGEEPSDMVDRILKLYRNEKYVLFVKPFGSSGTACFVTFKEAATSMSVLRSIWQAHWLHKNQLRQDDVFSWLEDSVLALEKGFTDFLKQMEIAGWDQNQVILKVPKEPVLVMEYPDQEV >OB01G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1681003:1681182:-1 gene:OB01G12640 transcript:OB01G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLFLIFCARYDDVLEVSLRYRLKAWTSYPFMGPFFYIELCLKIVAGCRQRPLIRRQS >OB01G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1663125:1670154:-1 gene:OB01G12630 transcript:OB01G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G27600) TAIR;Acc:AT2G27600] MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGPGANGGDAAVATRPKTKGKDGDGGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDTWMPCGPKQAGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >OB01G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1659502:1659924:1 gene:OB01G12620 transcript:OB01G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIPFHEYMHRSMKWMSTKASPSCKLQEAAFQWKTTSCLALVERQFETYSTQFRTVCVPSWQLAAQAGILAAVTGSSFHCHPSIHFQTQSNPILLLPPPPHLCVDLACSFLCKLLLLLLLLLLLLACRPLAPTLKMDG >OB01G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1649778:1651070:1 gene:OB01G12610 transcript:OB01G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDTISSSSSDSSGASTATTESGGGAVQLLSVVEPAAAVDALPVVVGGDSSTVDDVVTSKPAAAQQSSRYKGPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRSPDSAAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSRSAYGPEKLLFIDCKKNKTAAAAATTTTCTAANSEKPSEARVVRLFGVDIAGGEGRKRERTLEMAAALERGQEAFLLKRQCVVHQRSPALGALLL >OB01G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1621485:1623374:1 gene:OB01G12600 transcript:OB01G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGMVVSCNSGGSSSTESKPEDLVAMEEDEFQDLGGGHQAVELRVRPSSRYKGVVPQPNGRWGAQIYERHSRVWLGTFPDEEAAARAYDVAALRFRGREAVTNRVTPEGASAGVLAFLAAHSKAEIVDMLRKHTYDDELQQGLRRGARAQPTPRWAREPLFEKAVTPSDVGKLNRLVVPKQQAEKHFPFPLRRSSDAAAAATGKGVLLNFEDGDGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRPGDTVAFSQSAWGTDKHLLIDCKKMQRNQETHAIADDEARVVKLFGVDIAGDKGVPQRICDSQKLQTVWDSFWQK >OB01G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1602664:1603137:1 gene:OB01G12590 transcript:OB01G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPGVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRHKYNVRSIPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKAILERKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >OB01G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1602654:1602912:-1 gene:OB01G12580 transcript:OB01G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLDVDYPAAAVDLHHLALAALVGATHHLHLVVLADRDGPSDDSAADMSTRRTDDGAVKCALRHFRRELVTPGLRFMAAA >OB01G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1596305:1601938:1 gene:OB01G12570 transcript:OB01G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain [Source:Projected from Arabidopsis thaliana (AT1G25570) TAIR;Acc:AT1G25570] MRLRLLLPLLVAAVGLARASDDDPFLSGAPANHSYNIDCGGATDFISVFGRRWLADQFFSAGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPSVASIEVAPVHPLAYDGATTGADLILVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNDLNYDAITAGGRKVFGSNQPPNYFPTKLYTSAITTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSAGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVDNLTSSTMSVKLVPVVGRPILCGLENYAMVPLEMRTVPNQVAAMKALKNSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLISLNLSYNSLTGSLPLGLGQPSLATLDLSSNQFTGSIPGTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASLGFVLLVTLIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSPDGYYTNGGTH >OB01G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1588071:1589640:1 gene:OB01G12560 transcript:OB01G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVLLLVFFFLLLGALPRAVLCPRHASPPLATETLDVAASLSRARAAVSTEAVSLHQSAAAVEVVGEHEEGLGLRVHSRDFLPEEQGRHQSYRSLVLARLRRDSARAAAPQAYAALRDAFARGTQSLARTSGVSLFDTCYDLSDRTSVEVPAGARRFEGGGELRLPAKNYLIPVDGAGTYCLAFAATNAAVSIIGNVQQQGTRVSFDTAKATVGFTSNKC >OB01G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1587845:1588401:-1 gene:OB01G12550 transcript:OB01G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPAPSRGGGEPARATCSSGASPAPPARSPWSEPANPAPPHAPPQPRRRRRTGGATRPPWTPRPSRGSEAATSSVSVASGGDAWRGQRTALGKAPRRRKKKTRRRTGGRIAAAAGGGDKLVVGGVRSGGERRATNLRETKRRQPVKLLHERMDGPVILLLQLLLSPSPSPCNESRSIHGDRLKT >OB01G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1570381:1570767:-1 gene:OB01G12540 transcript:OB01G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLGMCFGGGDDYCRAASETAADETGRKASNDDPRKDSVFVVAATTTTRGVYGGGYAPADEPSHKPPAAAARNSKVADDTEVKHPAAAAAAIPRYSNRVY >OB01G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1566960:1568135:1 gene:OB01G12530 transcript:OB01G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDFIYQEIHWHIDCVGVLYSVLITAVVTTVVKNAVGRPRPDFFWRCFPDGKQLYDQVTGSVICHGEKSFLKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDNYRHHWEDVFAGGLLGFIIAAVCYLHFFPPPYHHQGCSVFILSNFL >OB01G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1552340:1558412:-1 gene:OB01G12520 transcript:OB01G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFIDRVAPAQPPRFPLISSFIKNLYQYDSTVPSVYLLKKKNKLMIAFFCRVLFLGFHPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSTHSRGGPPNSAPAEEQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRVAAPIPVEDHFAQMGISDHPVPPVGAYMQPPPQVPIPNMAVPSISPSDASSRVFSDDDKSDHGGGARKPQPPKQEVPPVADPTNRAMYYNDRSPPAELKREMPVGTDAASYRVPVPTQDAAAAAAAVAAAAPQPPAGYVYAQMHAPPPPQQQHPPQQQQQPVPQQYVTPQNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPPIPQPVPQPQQSAAFDPNTGMYYIPMRPNAPQAYSMPPGATAVPPPTLVDTTPKPTVPIPQMGVKQPELQQPGLYRTTAAPAPVPASNAAPAYAGMGYHHVIQSHHHPAPQPAATMAGNFGYEYGDPTRAQVFYSQAAPPPTLPPQYQPMGSPDAGQADLKQARAS >OB01G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1548378:1548557:1 gene:OB01G12510 transcript:OB01G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIERTDHVCFFMTSSPVCLSTSTRYGWLQYIYSQIYWGDALATEETGGSSAVSARIRST >OB01G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1546528:1548557:1 gene:OB01G12500 transcript:OB01G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3KW94] MAIAVRTHRTTKLFGRERPLHDALGGHKAADIILWRDKKVSASILAGATVAWYLFEVADYHFLTLACYLAMLGMLVVFIWANASSFMNLPAPRIPETVMSERTTRQVILALHSRLTWFVHRLYDIACGKDIKMFILTVLSLYIASLIASCFSSLTLLYLVALGTMTLPALYENYENEVDHLVSTAVHDLKSHVGEMDSGVLRKIPRGRGATAN >OB01G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1540642:1542550:-1 gene:OB01G12490 transcript:OB01G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDAIANSIRIPLWRLFGGASDNVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTAIQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLHDVSTVAMEKYRVAVAADESCRSLLDAQKIIHGNLAHVINIKLAKLGILGALEIIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEAFGPLYKFTNARGHGGFLHLDNNGLK >OB01G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1534581:1536140:1 gene:OB01G12480 transcript:OB01G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANQEHERSIKQIKNTNNRASERAKMSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYDGGDAGAGLSAEQLDADSSHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKPGSDEFDYPGCPKAYQEFVRAVVAYQERLAAAALLDRRAPASPKLNQEMEKQRKVLVRSFSLAKNLYVSGAEAGSPRPAEQRELDAGAEFLEVKTNQAFRMHTGCQVCQLPFRANRRRVCACAVQSNTAALSVQQEKRLKQMGATVRNASGYLNRLKLNEGREAAARALMAKMSVEQLSDLASFYHIMGNICSEVLDRKLHSAAPAP >OB01G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1525676:1529449:1 gene:OB01G12470 transcript:OB01G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGRRWAAAGGAPARRCNLERFLEATTPVVRTACSSKKRMNGWRQSDADNGLPFFTLGDLWDEFRESSAYGIAVPIVLNGCRDGAVQYYVPYLSAIQLYGRFRRHFYNSRSNGEDSDGDYCQDTGSEEMSDLEHDSCPSTSTDAFSVQDTCESSTSEASSDEGESRSSHEQLLFEFLESEPPYQREPLADKISGLAKRFPELNTLRSCDLSPTSWMSVAWYPIYRIPTGPSLRDLDACFLTYHPLSTQLEPKGSGGDPRAHGGDPVTTAMCLRTFAMASYRLKVAAWAPGGRDRQLVSSLSRSADAWVGLRRVHHPDHRFFAARRVVPRR >OB01G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1520056:1524026:1 gene:OB01G12460 transcript:OB01G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHTPLLRLLFVVLQAACLLAPRRAAAAVTSCAPRTCGNVTIAYPFWLPDSPSSASSEPCGPAAFQVSCNGSRASLAHSFRSGYKILAVSYANRTVVVSNDNVQTDASGCPVPRIDVSASLSLAPFTASPANNQLVFLFNCTSSSRPPPAGFVNVTCPGAQAVVRLDPSYNNSAARAVAGGCDYAAVPVVGVPGASPTDYPQLLRGGYMLDWRAPAGDCMACNASGGLCGYDGDTEAFACICSDGSNRPARCDAKKSGNKAILIVSSSIGATGLLLACILVVFMCRRRIRSRFGFLDAMDGSSRTDTANVEKLLQKYGSLAPRRFRYSELKKITKSFSQRLGEGGYGTPNGEEFLNEVISIGRTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLDKYIYSTSTAAPASPDRDFLDWKVLQEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDLDFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGSNVKAYAEKANDTFFPLWVYDHLLEDGGVLQSVVAASAATGGTGTGRSSGGGEEIARKMALIGLWCIQTVPANRPSMGKVLEMLERSVHELAMPPRPYHSTSSSPSRPSSYPSSASDFTPRYESTPSVC >OB01G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1515516:1516175:1 gene:OB01G12450 transcript:OB01G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDNININTDNRSGNSGNGSGSRKGTSTAMKRVTYLFASATHQNPNSQKSGLTENQPNANVHLHTTIRLGAQQSSILNS >OB01G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1512165:1515101:1 gene:OB01G12440 transcript:OB01G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPAPACPVLCLTMVPRALPVASSAFDAINATTSAFCEPAKCGNLTIGYPFWLAGAHLAECGYRTFNHGNASLKKEFWTYQIQRIFYHNSSFRVTTKALECSGTACRWRSSVEAGKRPGREGKKLRYVLCTKASSTSEKNIENLIVSYGSLAPKRYKYSEVTKITSFLNNKLGEGGYGVVFKGRLQDGRLVAVKFLRDSEGNGEEFVNEVMSIGRTSHVNVVSLFGFCLEGSKRALLYEYMSNGSLDNYIYSESLKEILGWDKLYRIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCQTKESKLTMTGARGTIGFIAPEVIYRTFGIVSTKSDVYSYGMMLLEMVGGRKNVKQMVENSSEKYFPDWIYDHFALDDGLQACEVTVVPMHRPTMTQVLDMFERGLDELEMPPKQNFCELLEHPIQMRNTDSTSCARSSKDAQAVSEVLKVEEISLVNSENTIGTTNSAKR >OB01G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1507374:1508198:1 gene:OB01G12430 transcript:OB01G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSLLLILASLLLLCRFAHADCETATCGEVTVKYPFWLSGPDLNRSASPAASCGHPAFEVWCSNYGVASLKGSQILVLTIDYTNSSFITSHKRVAEGSDGVCRTDFNISSSLALSPFTISSRNRAICFLYGCNGTGPPEVDSLVNATSGCGKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLGSEAPVNLTPATNYSRLFKDGFALEWPKNGFGDCDACNASGGQCRYNNDSAALECLCPGGKLSGTTCAGELPLLQFPMISRAQMIFKDC >OB01G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1503246:1506461:1 gene:OB01G12420 transcript:OB01G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPALFMFFAPSIWAAWSLFLILASAVDAQGGEGSCKAGRCGNVSILEPFGLITGQQEENTCSWLGYQVTCSNNTLYFGYPGNNRQPKFQIIDIFYNNSSLLVTNLKKIGDFDTRNCHVPNSNTSSKLGLPFSISPVNQKLIFYNCTKAPAPARQRDLGLVETKCRNNTFARLEERYNESVYFLENCGAVIVPVRGRYGEANVSNYEQLISDGFLLSWPPPPPQSVAPHASVSSCNCPALLSHATTGPALPLDSSTVDNINTTTTSPFCEPVVCAKPTIGYPFWLADKHPPDCGYRAFQVPPRQTKPALIFHEVLQPKLSISKPLPRESPHPYLRTEERYRCLPSPHTQQRSSFNSTEQDIERKLVAHQGQDKAKKNKGLTS >OB01G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1498550:1500630:-1 gene:OB01G12410 transcript:OB01G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAFLWRMIKPSLCFRRCIIQIRHVKNKPAVADMEAGKFYRKKKVNPCHMKICLYRV >OB01G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1496003:1499762:1 gene:OB01G12400 transcript:OB01G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPDHQLPILAHRRSAAVLRLPDLDLTCDNETAFLKKTFRDHLYRVDNIFYKNSSLVVAIEATFAGDSACSVPDFNVTSSLSPYPFIISGTSKYLNFVYNCSVFEGVRNQQPCGNRPMGVYISDLWKSTPPSGVQGNCSSVSVPVRGYHQAGMEPMKPQYEQLIRDGFVLEWMNSIIGGEDCESCRQMGGECRFPQLTFQCICPNGLPCSNPTSRPDGSHLKPARPILIAGLFLTCLIWIMHRRKQRLGFIIRHKNAGNESNAEEVLKRYQSLTPKRYRYAELKTITKCFKEKLGEGGYGMVFKGNLKDGRMVAVKLLKGSKGNGEQFLNEVTSIGRTSHVNIVNLLGFCLEGSKRALVYEYMANGSLGKYIYSENLRLAIGLESSQKIAIGVARGLEYLHQGCSTRIIHFDIKPHNILLDEDFCPKIADFGLTKLCHLKDSAISMAEARGTIGFIAPEVFSRGFGVVSSKSDV >OB01G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1491393:1494490:-1 gene:OB01G12390 transcript:OB01G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVLVANDKTKRSLSSVHYPNKTCEKQASCSRQGSWRVLQKKKGGLFINGGHTYQNYSGLLMIPICNKLQFRQWKIQL >OB01G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1490517:1493419:1 gene:OB01G12380 transcript:OB01G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQLLPAIVVVASLLHAAAATGNETSSNTSCAPATCGNVTIRTFREHLYRVDNIFYDNSSLVVAVQTTFAGDAGCPVPDFNVSSSLTPYPYTISSSNKRLVFVYNCSLPEQVLLPGTCADLNMGSYISGQWNSTSPAPAEIPGNCSSVSVPVSGFQFQGTDAVLHGDVSVNGPDVLYVGEARGFSNASIGARRPANQQYERLISDGFLLEWQKPEQSDITVVWRTAISQFNLASLNQNQTDKYRNHQKPRIILIAGLLFTCLVWIMHRRKRTLGFIIRHKYAGNESNTEEVLKRYQSLTPKRYRYSDMKKITKCFKEKLGEGGYGMVFKGNLKDGHMVAVKLLKGAKGNGEEFLNEVTSIGRTSHGNIVKLLGFCLEGSKRALVYEYMANGSLGKYIYSENLRLAIGLESLQKIAIGVARGLDYLHQGCSTRMSIIHFDIKPNNILLDEDFCPKIADFGLAKLCHLKDSASMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMVEGRNNVKGNTDSSSAYFLNWIYDHLVKDLESHEVTCESEEIARKITLVGLWCIQTAPKNRPSMSKIIEMLEKNINELEMPPKPILSCPSVPSYFSSCT >OB01G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1487221:1487498:-1 gene:OB01G12370 transcript:OB01G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSLPLNTYISLELGIHTIVLTSQCSFHCCCESYCRGENTTFSPFYTISAHKYMERSGLQLQISKLRVQEVIFFFRIRSCVIDINTLFIF >OB01G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1483495:1489374:1 gene:OB01G12360 transcript:OB01G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTSVLLVLSSLLLLCHHARADCEPTTTCGNLTVKPPFWLGEPGRPPCGPPSFQLQCRGGEAFVAHSFFQTYQAVRIFTDNSSAVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTKRRTAPPEGFRRMPCSPDESFVFLGEGRRYTPPECSLSVVPVRGFQDGDYVASMRQGLLLEWMLVPGDCQKCSASGGQCDYSNDGMGFTCKCPDGVQSPTNCSAGSKGSHRKVLLIVLISAAASLIFPCIYVLIWYRKGKMLCFLLCNKTRSSNEKNIEKLILSYGCLAPKRYKYSEVAKMTSFLSNKLGEGGYGVVYKGRLQDGRLVAVKFLHDSRGNGEEFVNEVMSIGQTSHVNIVSLFGFCLEGSKRALIYEYMSNGSLDNYIYSENPKEILGWEKLYKIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQGFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVLYRSFGVVSTKSDVYSYGMMWLEMIGGRKNVKSMVQKSSEKYFPDWIYDHFDQGDGLQACEVTSEAEEIAKKMTIIGLWCVQVLPMNRPTITHVLDMFEKALKDLEMPPKQSFCELLEHPVHKLNAESTSSISSEKAHAVSEVLKVEEISLVSSEFLQRLPTM >OB01G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1479857:1481434:1 gene:OB01G12350 transcript:OB01G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCQLVNAMLHPPSRKQNYHFHSICVITVTFKLLCFHINCHLVLCRLVWTYPSLNLLVFIDISLHFAGKSRRGFKLILIVSLSATASLILTCLVWIACHQKEKGTLFTIRKYAADESKIEEVLKQYDSLAPQRYNYSDLKKITKSFKDKLGEGGYGMVFKGILQDGRMVAVKLLTGTKGNGEEFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMANGSLDNYIYSEESKIVVGWEKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPHNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHVNQTTTHSSETYFPNRIYDCLVEDLQTHALITEAEEITKLMTIVGLWCIQTNPENRPSISRVIEMLEKNFNELEVPPKPFLS >OB01G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1476870:1477897:1 gene:OB01G12340 transcript:OB01G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASLLLLCSSLSLVAVTADEQVAGPCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCSNSTPYLGYYRRKYRFQVLDIFYGNGSLLVADVHKLEDFKNSSSKGCHVMTANTSSKVGLPFSVSPVNLNLIFYNCTAETAAVAAARRDSGLLETKCSGGTTLVRVAGHYNDSDSYEQYMVEGCGATLVPVLGPSSGEANASSYEQLISDGFLLTWQPPSEKP >OB01G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1473453:1475997:1 gene:OB01G12330 transcript:OB01G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSELLLFTIFASLQLLPSITNGATVDASCPPATCGNLTITYPFWLGDRDQSSCGPPAFRLTCNNTELGPFLRNSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAITDRFRISPSNRELYVLSSCKERLPPASSVPVINCRANSSGMYAYLGGSYGTGQAPANNGGCEVSVFLVLGSGNAADRTAANYRRLIAGGFLLEWENVGDCNACTASGGRRRYDASTAAFACLCSNGGMKPSTCDGKNRGKLALIVSLSAVASFTFASLAWLMYRKRQKFRSAICGIYSGNTSSEEEMLRKCESLALKKYKYSELKKITKSFEDNLGEGGYGVVFKGRLQDGRIVAVKLLTASKGNGEDFLNEVMSISRTSHVNIVSLLGFCLEGSKRALVYEYMSNGSLDKYIYSESSRLAKGWEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHVNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMIKGMKNLKGIADNLTETFFPQWVYDRLASEMQRCKVAIGTEEVARKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPRPFLCSPIHSTNVSSCKSDKFTTYTSS >OB01G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1466247:1470640:-1 gene:OB01G12320 transcript:OB01G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPAVLLLPLATLLHAATATGGGEGDETSGNASCVPARCGNLTIRYPFSLTGVQPLYCGYPVLDLTCDNGTAFLKKTFRDHLYRVDNIFYKNSSLVVAIETTFAGDSACSVPDFNVTSSLSPYPFIIGSTSKYLNFIYNCSVFEGVRNQQPCGNHTMGVYISDQWNSTPPSGVPGNCSSVSVPVRGYHHAGMEPVKLQYEQLIRDGFVLEWMNPLIGDQECESCRQMGGECRFPQLTFQCICPNGLPCSNSTSRPGKLNNGIKIAAGTAAAVVCIILLGSGSILINTHMKRKRSASLEGLIRGGSGVPLASLRKELSLAGLPSTHIFTYEELDEATDGFSDDRELGVGGFGTVYKGTLRDGSTVAVKRLYKNSYKSVEQFQNEVGILSRLRHPNLVALYGCTSPTNSRDLLLVYEFVPNGTLAHHLAGGGVAGAARRGGGTRLGIAVETASALEYLHTVEPQVVHRDVKTNNILLDERFHVKVADFGLSRLFPADATHVSTAPQGTPGYLDPMYHQCYQLTDKSDVYSFGVVLVELISSKPAVDMNRRGGDVNLASMAVHMIQSYEMEQLVDPQLGYVSDGETRRTVDLVAEVAFRCLQPEQDVRPPIGEVLGALREAQRMDKADYVKDDAGLAKKSRDGSPDCVMFQWISPSTTSNNSS >OB01G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1463079:1465847:-1 gene:OB01G12310 transcript:OB01G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCSSLWLFLFLRNLIVDTPAAAEMIPNATTPSCPSYRCGHAVDIRYPFWIDDNTSAAGSYCGYPSLRLECRRDTPVLPLPSGEYAVTHILYSDRTVSLFDVGVFSRSNTCPLVGRNLSLPAGAPLSLGSPLSLTARDTNLTFFVHCSFMGMPAHLVACLEGDGRHHSYVFRDGDDRTPYGYAGLCQDVVSMPVLRRSLLGGNNSLLDAVVVPALNMGFELSWRPPREDGECGDCEKAGGWCGHRRRAAHEPWTFTCFRTATTATRAGTKSPTPDHTAAAACILFLLLHHLPCSASPSWCYSLSQQPPLMPATRPHRRSASRRSAGTSPSATRSGSPASIRRSAATEPFRSRATMASLPSRTGSGRTKSSTSSTTTARSWSPTRSCRKVDATSRAL >OB01G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1458297:1459094:-1 gene:OB01G12300 transcript:OB01G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNVLVVLVFLPLLATAPDAQPDGESCAPATCGNLTIKYPFWLRGRQPAHCGHPTFAVTCDDATPPILNDSYLRVLAIHYGNSSVVAFYASLVGGPPCLATRFNMSSTLALSLLAISRANSELLLCANCTRTPPAGAGAVAVNCTGFRGGGEWFLSLNPMYDPGGPALAEETMGCRYSVVPVMPGSELRSVRDYAGLVRRGFLLEWTVPGDCDACSASGGQCRYDVGAMAFRCFCPGGRLQPATCGEFVKAMQFFLLVDQHAG >OB01G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1456430:1457281:-1 gene:OB01G12290 transcript:OB01G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLFFPLLTSLLLLGDLADAVCEPAICGELTVRYPFWLGGPDLSRSSSPATSSCGHPAFEVWCSNDGVASLKGSQILVLTIDYTDSSFITSHKRVAEGSDGVCRTDFNISSSLALSPFTISSRNRAICFLYGCNGTEPPEVDTLVNATSGCGKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLGSEAPANLTPATNYSMLFKDGFVLEWPKNGFGDCDACNASGGQCRYNNDSAAFACLCADGKTRGPKCTGEYTHQLAWLFHSAPFNKLTTVLARCNR >OB01G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1454770:1454952:-1 gene:OB01G12280 transcript:OB01G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFTIWKVASCNDDGCIVHARQSVLDPEPRRCDMIQSPHNGGCKLYQLSHSSIGDPKKYP >OB01G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1447092:1450786:-1 gene:OB01G12270 transcript:OB01G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLLLLVASFLKLPAPASSANSTSPGCSPASSCGNLTVSYPFWIREPGQPLCGSPSFQLRCNASGAYLARSIFGAYRVDRIFTGNNSFRVVDENLPLATGCPAPSFNISHGIWQAPFVISRANAKLHFLSCNQSLPVAPPGFHSQPCAAANNTSFVRLAGDGIQGGTPPPPPPGCNFTEVPILERPDGSRDGYVGSMRSGFLLEWAVVSEDCPKCVASGGQCMYTDDLRFACNCTEGMQTEKCDEFGKSKEHGSKGNRRTIGIALGAGGGILAIIIFSFVWHKHKKRKQSRDLKDLMRSTSSMQSYSKDLELGGSPHIFTYEELEKATDGFSASRELGDGGFGTVYKGKLQDGRVVAVKRLYKNNYRRVEQFVNEVDILSRLLHQNLVTLYGCTSRSSHDLLLVYEFIPNGTVADHLHGPRAAERGLTWPARMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNSFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSDINLASMALNRIQNHEVDQLVDPELGYETDSETKRMIDLVAELAFQCLQLDRDNRPPIKEVVAVLHCIKNGECPAKMMNKDASPKEDSHLLKDNLQYSPDSVIHRFHSQSTNHSVASNSSG >OB01G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1444229:1444663:-1 gene:OB01G12260 transcript:OB01G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLYRPRVKSFWVLVRRLLYCRRKSYRPGYAAAAEEDGEKSRLLISSRSSLEELLASDDADGDGGIDAAVCRSASPCSKPVAAVVRPTPGLHPPVVARQDGLTGGDPDGAAVQCRRRFMFSGFRRRLLMRRPWRPVLVAIPE >OB01G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1432327:1436748:1 gene:OB01G12250 transcript:OB01G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KW69] MLPCFLLLLLCLCAHHALARAADTVSRDRPLSGGQRLVSSGGYFALGFFRPDNGAPNRWYLAIWYNKISKTTPVWIANRATPISDPNSSKLTVSEDGNLVLLDQAKSLIWSTNITSNTNSTVGVILDSGNFVLAPTSNTSNFKWQSFDEPTNVWLPGAKLGRNKITGHTARFISWQSSVDPSPGYYTLEIDPDGSNQCIHRWNNSAIYWETGLWTGHMFSGVPETAMYPKDHLSYEFVVNNQETYLMYHTNASVVTWMLIMEISGQVKTVQWMEGRKDWVPFLAMPKAQCTVYFVCGSFAMCTEDDVTFCSCLRGFSKQYNGEWRYGNPSGGCTRNAKLQCDGNSSRQAKADGFYALAVAKLPDKAWVLATVSADKCEKACLNNCSCTAYSYSGSCSLWYGDLINIVAPDGSTGQSIYIRLAASEFSSSAKTQAAMIWASTVGAILLTLIVIIGILVILKRRSFSEVNKVEGSLVVFRYRFLQNATKNFSEMLGKGSFGSVCKGILPDGTLVAVKKLDGVFQGDKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEFMPNRSLDRCLFGSTPMTLSWKTRYQIALGIAKGLAYLHEKCRSLIIHCDIKPENVLLDDAFMPKIADFGLAKLVGRDFSRVLTTMRGTIGYLAPEWISGVAITVKADVFSYGMMLFEIISGNRNTDWHQQGTDTFFPVLVAMRLHEGKIQDLLGPDLTPDANLEEVERACKVACWCVQDNENIRPTMGEIVQILEGLVDVAFPPIPWYLHVLAQSSNFSTEASH >OB01G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1429774:1430290:1 gene:OB01G12240 transcript:OB01G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSSVFDPFSLDLWDPIDSVFRSVVPATSDNDTAPFANARIDWKETPESHVFQADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFRRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >OB01G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1425357:1425823:-1 gene:OB01G12230 transcript:OB01G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSSVFDPFSLDLWDPIDSVFRSVVPATSDNDTAPFANARIDWKETPESHVFQADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKRPGEWRAHRHRAQGRGEETRGEGHRDLRLSAGG >OB01G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1417007:1417456:-1 gene:OB01G12220 transcript:OB01G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRGNVFDPFADFWDPFDGVFRSLVPATSDRDTAAFASARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >OB01G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1414271:1414756:1 gene:OB01G12210 transcript:OB01G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKLFDTLAFDAWNPFSIFGTTVAADAWLASDTSAFANTYIESRETAEAYVFRADLPAGVKKEEVRVEVDEGNVLVITGERSIRREEKSQRSHHIERSCATFFGRFHLPDDAVVDLVRASMDGGMLIVTVPKVVADKPAIAAAPAVAPAAEAKAIEASP >OB01G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1408325:1408780:1 gene:OB01G12200 transcript:OB01G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSGANVFDPLSVEFWADADPFGTVRSLAERCPVLTNVRVDWKETPAAHVFKADLPGVRKDQAKVEVEDGDVLVISGERARDELADDGKNERWHHVERSSGKFQRRFRLPRGARVDQVSASMDDGVLTVTVPKEQAKKPQVKAVQISG >OB01G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1406717:1407259:-1 gene:OB01G12190 transcript:OB01G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSEKKTQQQQKAEKPTALAPDAEIERVFTRFDADGDGRISPSELAAVTRAIAPPSSESAAGREVAAMMSELDTDRDGFVDLGEFAAFHGRGRGDAEQEAELRAAFDVYDVDGDGRITAAELGKVLGRIGEGCSTEECERMIASVDVDGDGCVGFEEFKKMMCRDGAETGVADKAKTE >OB01G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1403775:1403969:1 gene:OB01G12180 transcript:OB01G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETVYKGPIGRPSKSLRHSSKAYRPPKILCKLEATTTAIEDDDLCFSISRWLCCVLSNSKA >OB01G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1400385:1403654:-1 gene:OB01G12170 transcript:OB01G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKEVVFDVNLLENSTLEDGLAGWSPVGECTALSVHNEAPSEVPTETINTVADDYKPSGRYILAAGRGDEEDGLCRAVSGALRPRVTYRVAGWISLGDGAEGSHPVRVNLRLDGDGDDCVVEGGAVCAKAGRWTEIKGAFRLKASPCGATVFVQGAPAGVDVKVMDLQIFATDRKARFRKLRKKTDKVRKRDVVLKFGGAGSISGASVRVMQMDSSFPFGACINGGVIQNPAFVDFFTKHFDWAVFENELKWYWTEAQEGQLNYNDADALLDFCDRYGKPVRGHCIFWAVDNVVQQWLKGLDHDQLMAAVQGRLQGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVESGNDPSATPEKYIEQISALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVCESDVDLRAEDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLVNSDGSVNDAGERFIDLRREWTSHARGHIDSDGQFKFRGFHGTYVVQLSTTTGKMHKTFTIEKGDTPLVLDMSV >OB01G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1394336:1396285:-1 gene:OB01G12160 transcript:OB01G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRVDEPPRCAKVYVHGPPTGVDLKVMDLQVFAVNKIARLRHLRKKTDKVRKRDVVLKLGRQTGGAAIRVVQVENSFPIGACINKTAIQNPAFVDFFTKHFDWAVLENELKWYYTEAVQGQVSYSDADELIAFCDRHGKPVRGHCIFWAVENAVQPWVRALNGDQLRAAVEGRLRSLVSRYGGKFPQYEVNNEMLHGAFFQQRLGDDINAHMFRETARMDPSPALFVNDYNVESANDPNATPERYVELITDLQKRGAAGGGRHRRAGARDAPGGRRDLRRAGQAGGDGAPRVDHGAGRVGGGRGGARRRPGDRAPGGVRAPGRGGHHAVGVHAGQHVALPCPPRRRRREAQPGRPPLRGSPAGVDVARAWPSRRQRPLQVQGLPRQVRGAAHDRRRRDEAPAVRRRQGGRHTRARHGPLASQLHHR >OB01G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1387714:1389815:1 gene:OB01G12150 transcript:OB01G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT1G73805) TAIR;Acc:AT1G73805] MAAKRLHDGYGQDPDQPNDKRVRRLPSFSTVIREAMMVKQLQNLFVVLEPLFRRVVQEELQAGLDRSPRYIERSSPETPAAEQPLWKLAFRYKPQLPIFTGSKIEDVNGNPLEIILVDADTGAPATFPQTLRIEVVPVLGDFPPEDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRKFRIGVRIVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLAQHHIKNVQEFLRMLSVKPDELRAIMGDGMTDRMWDVTTGHAKTCVPGDKVYVYSTQHGTIYVNSIFQLMKVELAGAECPLHQLNRVQKIYVQQLLLEAYEQRNNLHEADAMATLPCNDVPLLQDATQIVLPPIETPVWIQNSQDIDFQVEIPQAEFALQWTGQMYNISG >OB01G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1371729:1375237:1 gene:OB01G12140 transcript:OB01G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIIVASKAMPLYCALPTVSEHMVETGWTRCFVNISEVGWPMYLIYVASYLIFIEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPVDGILQAIPHVFALFLIPTHFRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTIREPEDILKKD >OB01G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1358156:1358380:1 gene:OB01G12130 transcript:OB01G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKGIEEEMVAMLQLALSCASAAPDQRPKIGYVVKMIDEIRTCGEASPSHESMDESSGVSDSPAVSEGGAVSQ >OB01G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1347077:1348658:1 gene:OB01G12120 transcript:OB01G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G68400) TAIR;Acc:AT1G68400] MPRNSGVGGGGAPPLPLFLSRLLVQCLVCYGDAAAGSLDADVAALSDFRLAAGPAGALESWNLSTNPSPCGTWQGVACSGGRVTRLVLEGVGLSGDAALPALARLDGLRVLSLKGNGLSGAIPDLSPLNGLKLLFLAGNSLSGPIPPSLGALYRLDRLDLSFNNLSGVVPPELNRLDRLLTLRLDSNRFSGGIDGIALPVLQDFNVSNNLLTGRIPVAMARFPAGSFGGNVGLCSAPLPPCKDETQQPNASAAATAAXXXXXPPPPPPPPCPPAAAMVASSPSAKPAGAAASGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGAAGVVTAAGGTFERGKMVFLEDLSTSGGKRFELDDLLRASAEMLGKGGCGTAYKAVLGDGSVVAVKRLRDATAAAASKKDFEHHMAVLGRRPPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLDWAARMRIASAAARGLX >OB01G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1343791:1345776:1 gene:OB01G12110 transcript:OB01G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVAFLFLVRAQLPLRPLWERFFAGHRKELYSIYVHSDPPYAAPMPTDSVFYGRMIPSQKTSWGDANMVEAERRLLANALLDLSNERFALLSESCIPIFDFPTVHAHLTDSNDSFVDCFRNAGALARYRPALFAPHNITAAQWRKGSQSRSSSRWTAPSPWRSPHPRTHGTGDVTDELFAKMRSAAANCTSLPSTGWRPRSPHPRTHGTGDVTDELFAKMRSAAANCTYNGAANNMCFVFARKFSPDALAPLLDLAPKVMGFR >OB01G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1339790:1341613:1 gene:OB01G12100 transcript:OB01G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNKPMAASHSGSKLLKAVLFLLIFSLGFIMGMVSMANFPKFYDSPLLSPMLFSSLAPSSTPTAPAPSPETPCAWPPSSPSPPPPPAPPPPPVQAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPATSGKTGGAGGTGGVSCAERRGARHDRRGAPVEGVHGAEGVPHAVQPRPEGGVHVLGDEPAAAAPSVGEVLRGAQPKPLLHLRALASSFRRVVAHGLRLLRPYDSKPEDELGRREPGGGGAAAAGERAAGPVERAVRAAVGVVHPDLRLPGRVRAPDRLQRQLRRLLRQRRRPGAVQAGRVRAPQHHAGALAQGLPVVRDGPRPRRRGRRRRLLLPGVPRLHPLHHRRALHPHAGEHPRVAPQHQPDAHVHGVAAGDVAPEDPRSRGRHGGTAQEDEDRRRQLHVQRRGHQHVLRVRPQVLAGRAGPAARTGSQSYGFRLRRRDPSVVMDACMFSQVHASQFYFSMFLHDMLIFMFIFDHSFY >OB01G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1332394:1338438:1 gene:OB01G12090 transcript:OB01G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25375) TAIR;Acc:AT1G25375] MGSPSYRLAAAITIPSTDEFLVVRQPPPPSPAPASEEEEEYRGYVDSELYDLPSAPLRPLAGELRSDVTIRGADSIAGRLDISRLDVSAALAQICDQFGLPSGVCGEWRFLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQESCKWMTKECALGLLSEAKPGGTRIGPYAYVGLVKTELPSNWTIGSALPSQEYPPGITLVPMKSRTLQPFRTTNLVVVLAANAAGGSACSDCVASGDALLIDPGCCSQVHGKLAELVDSLPKKLVVLVTHHHNDHVDGLSVVQRCNPDAALLTHENTMNRIGKGNWSIGYTAVAGGEIICIGDQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMMDYFQTTYKFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREASILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQHKLPKSFSMEKFEASCGMDFMLRWAVAYVQARSSPATLAATALAGGLAIAYAFKRKSGNEL >OB01G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1320634:1321381:-1 gene:OB01G12080 transcript:OB01G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSSSRPILGRAMAILALPLTPISKARGPIARNLLIFKKRGAAGKARRMLSSSSSSYGYKPHRHYNYAYVGEYQFSPSSSPLIAYPPGVSSWRRAAKKRRSKARMILASLLCGGGDLDVAVLDGLPRADEPRAAVEWEECGRDGGAYGEEEYGDDDNDEEEEEEEGVDGRAERFIERFYEEMRLQRQRSLVQRLL >OB01G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1315173:1331493:1 gene:OB01G12070 transcript:OB01G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLALAYACSSPQHRMDGHSDALQRSSIPDDFLTRSDSLPLERSSRLQPVAVQPPVLPADTEWDNAVTSGRHDKHSIDTMSSSDATDVASGDAIVVLRSDFDDANASRGSSGVDKAIHKARKARTDRVSNCAGVRSSGAGVRTGEERPLRKRGTHSLQDVAGNIGSRSRLSSTHRSTGSTYEMMSGSRRPPHHGSGQRRDLMGTVGSQRSTCRDAMGSSNPQRSTRRGSIDRSGLASTTTSRNTVNTASGPKRGSRKKVGRDTALSNREINKPVAFASSSSVSPVTRASSQSKFSEKKGCRRTHVISTSSTRMPVVESSPSVAGSSEKEEFSRLLKAKINELGLSNRIESSDALSGKLTASVLQELISALTNDTATSDSQYSNYSNAYNSQHNNHSDAADLLNNDRSACHFNDRSPDFQSCYQRDREVDSSSTCMNNEPNQPSPTSVLEACFPNDTSSSGSPTEKDEGKEYFVSIENKMEDLFNLESDIVDLATKTDAEEIFHGSGKMSSVHNLTTHDFKLLETRLHSIGEAILNAELLLGSSHLCTVASLHCFIFEMLEAIMDLFGTSKSLGLTEEKKYQQTNFIFDCIIESMNSKFCDFGKCGYKAWLRLPLSLTEDLLKREISKEICNWRETRETTPNRVAEKELDQMAARWDACQVEAFDISIAIERDILEALVDEFAIDQCKNASGLCGGVRWQAGTGAAVKMMRCAVKCGGNVVVAAARGDRRSPAIAPPPTTARMPDRVLCCGMRSRGADLAGVEMAAGPQGGGVTGLFRARSSPRYARVRATASVDPEDIPLEKVQSKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGALLSATAQDVRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISVVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAAGQGSSEPDASWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMVASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYAGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERALSSAS >OB01G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1305993:1309252:-1 gene:OB01G12060 transcript:OB01G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIAREEGITMNTIQSKSLLGVGSIGEVPVLLVKPQSYINYSGEAIAPLAAYYEVPLRHILVIYDEMSLPNGVLRLQRKGGHGRHNGLQNVMENLDGSRELPRLSIGIGSPPGKMDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSV >OB01G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1294094:1295763:-1 gene:OB01G12050 transcript:OB01G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAVTASPAVFSYTPAASAAAAAAAAAPYVTDDHHGRRIHASSAASLARYPGMAGPAMVVAEPVAAVPEDTLGLDLQLSLAPAGL >OB01G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1283088:1285886:-1 gene:OB01G12040 transcript:OB01G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGGNAGAAAGPTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVQKQKTLLQKADADVSSLVDNFSALINIARVNDPPVRNSQEAFQMDMRGARMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEVLSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPYE >OB01G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1276366:1277984:1 gene:OB01G12030 transcript:OB01G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPPPPPDPVADGAAVEAARRRPAVTPASSVSPPPERRAARARADALDRPRFSVTLTSEEIEEDVYAVTGARPRRRPRRRPRPVQKQLDLLFPGAWLAEITVESYRVPDDRSNTDNYSDETVNK >OB01G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1269822:1271567:-1 gene:OB01G12020 transcript:OB01G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKHAAVDALEGEEHGGGGDPVEGPSDDEVGGTGGRLVRGDASTEPEGSGSTAVDNAGHGVVAEEIVEDDGLEEPSLEWEGVTAAGGGGDGAASDGDKDEHHEEPKNPLATRFAFRATDANTTLVSTYRRMLSGRRRHAGPTRFIAAGDSVSGGSSNPAPSTSSPELVTGSPEDDSAVPDADHGASPAGEKKKDLEVVEEQREDRIVSGHMAANAIPPSTFRIRPTRSRKQSSPTRCIAREAAPPPPGLLAVISPAPGASASSSSHREPSRSRKQPRPEHFIPEEGEAAVHAKARRSAIALDRFITSQLNNPSGPRSEWESEITAADVGEQREQGTTTDQHSCSIQIPESAEPQGPQADDRASIYGVLAVLGASLVLSVVACVLFYVVGSQRPSSDQNQE >OB01G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1264137:1264700:-1 gene:OB01G12010 transcript:OB01G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPELTGPRISFSHDGVVATAGAATPGQAVRLELDTSSRRLPEPEFDFANAAAADVAPAGRLFAGGKLLPVPPLPPVHPKPSPCKQQARGSVKAPQQPARRPCSWTSPFTRSCSVNSATTATASATTAPRAAPGGFSAGELQLPVIPAHAEPVGRLGTEWLRQRRLGRRRPPAAAQESRRYSSSK >OB01G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1263947:1264135:-1 gene:OB01G12000 transcript:OB01G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSTRAAYYYGGSRNGSSGHGVRVSPVINVPSIGTSMVNMLSYLLCDCGNKTTKNRGFGVNC >OB01G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1255943:1257380:-1 gene:OB01G11990 transcript:OB01G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILAIQAVLVMGIFAAVAKENAVGESKAIDINPGQLKCCSSCNFSFSGLYTCDDVVKKCDPVCKSCAVVKTYPAKMFKCADTFLGMCGPPCKH >OB01G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1251808:1252365:1 gene:OB01G11980 transcript:OB01G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTIKHLCILGNALTNLASIIHYYKWLDAEAAYKQYRNNNCFYSLPSSIERGYEHTCVGHSVVREVYKQRPTTSHLLHRYPSNNGFCCWRGSLHLGRAHQRLALVLLAGRAARAEEGVGALELLDGVRLDDGAALADGVALDDVVAGVEPREGEVAAAAALELATVGLPVALVLPHSCTHTHTR >OB01G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1248471:1252327:1 gene:OB01G11970 transcript:OB01G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWVQRLLATATTAALLLLAACAAASALDAFHAPSVQAQAHVTKINRFHKQLNGNDKVTLTFNLSANLESLFTWNTKQVFIFLAGEYENSKNSLNQVSLWDHIIPDKDHANLQLEVKSKYPLIDQGSSLRGKKVQLVLQWHIMPKAGVMIRDRMALSEFDLPDAYIS >OB01G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1241335:1242363:1 gene:OB01G11960 transcript:OB01G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQQMLLKKVMAKKPKTKRLSGFGLKPSSAAFSRPSQPPPPPLAASLQPRRRVRVVFEDPDATDSDSDGEDDAGASKKRYFELFIGKPASTKQASPASTVAAYANVGKVGSTSYRGVRLRKWGKWAAEIRNPFTGHREWLGTFETADAASAAYQSASRSFAEEKRRRGQRAASSASPDHSATPTPTASSSSSTSAAPFAHPSPSSVLEATTKPAPKPESPLPEQAATPNLVEASNETAELPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDISEAPAYMDGEQDILFSEDMLLGDFGEEDDLDLDDIGDDFCEDFPEIPSGYDFSRGDMFRQVDFCV >OB01G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1240654:1241171:-1 gene:OB01G11950 transcript:OB01G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNPEYLTPNPSLSIDEDLFQPWSLWCIDRRAQTSTRRRGTAATTAQQTRSTTTTRSEKGLEDIYGAWCSRPLLLRRRRGGRRRRLRPPTRSLKPRPKAARGAKGLASSPPLIF >OB01G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1230093:1231336:1 gene:OB01G11940 transcript:OB01G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSSSSPLVLSSNNTKRARDAAEDEAEAAKRLRPEDLLDMLDDDTDAQGAAGDLASVMRSFEEEIAAGDVSDAVAPTQPELGFLLEASDDELGLPPATASSSEEEAGAGEPEDAIGFGGQIWGFEDEMGGGYAALPLFNLGCKVSSRRLKTEGRKLGKKFHGWRMEEKIRNELYSDGNLEIRSFQASLDCMKKVKQEILNV >OB01G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1208728:1210040:-1 gene:OB01G11930 transcript:OB01G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGRELCRSMGLPAGWGLVSCDAVAFGTAEGRRRKLAGGRGVEAGSGAPDGQAAGQRTSLTAYRCREGDGDGGGDGLSGVNEGGAGVAALGDGGGSGFGGVGAADEPD >OB01G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1204140:1204292:1 gene:OB01G11920 transcript:OB01G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKNFLRTNRDIFRKLALRLTRALLEVSFISHQRQKRTRKANERIFKD >OB01G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1196671:1196934:-1 gene:OB01G11910 transcript:OB01G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPDVCAGGHDAGAATVEWDLDGGLSTGSSWWTFGVEEEKLLGWFPFVEEDFRCLSGRGDAEMAFDDDIWRIHQIYEIPNYAAK >OB01G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1188558:1188764:1 gene:OB01G11900 transcript:OB01G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSDLGSSSARLCKLSLAAHHI >OB01G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1183643:1187996:1 gene:OB01G11890 transcript:OB01G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTTIASSSCTLWCLLFLTLASGNGVTAAAPPRVGSGYKLVSLVEHGGALVGYLQVKQRTSTYGPDIPLLRLYVKHETKDRIRVQITDADKPRWEVPYNLLPREPAPPVTGGRMTGVPFTGGEYPGEELAFTYGRDPFWFAVHRKSSRETLFNTSYGALVFKDQYIEVSTALPRDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYMDLRSLAGHGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFSGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLEFLDKIHAQGMKYIVLIDPGIAVNNSYGVYQRGMQRDVFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCEIPTTHLCPLPNTTTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHRALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPQPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYRLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPCYGLSTQYLLGASVMVSPVLEQGATSVSAMFPPGSWYNLFDTTKVVVSKGEGSVKLDAPLNEINVHVYQNTILPMQRGGTISKDARATPFTLVVAFPFGAREAEAEGAVYVDDDERPEMVLAEGQATYVRFYASVRGKTVTVRSEVNMGSYSLQEGLLIEKVSVLGLEGTGRDLAIHVDGANATAIAASSPYFAGAEAELHGHHDHDVEERKKSVMVEVGGLALPLGKSFTMTWNMQIEA >OB01G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1177908:1178579:-1 gene:OB01G11880 transcript:OB01G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAGMAVSAMAEGELVSLSYSSNLSPSRQFPRPPPAPVCCAVQQSREPAARLADAQGRRTPPTWPSRLLASSVAAAPLDSVNVVTPSSPASTASARTKRGAKESGEGSVGFRRDFRGLRRHVVDGTPSPPAPVARRRRHCPICAPARWVVAARPQPTGRRQTGSMHPASARSASASSMASPDRRPPPPTIRAPALQAIAAGIGMQGERDVGTGKGQKKKKD >OB01G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1167667:1168101:-1 gene:OB01G11870 transcript:OB01G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTAKRKRPAAPDIPGAAADDSPLRPSTRSPTPRSRSSTPSSAACATPPDNSAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRAPRHSPAARHEETTSARCSLLTPTDYYYSSALVAS >OB01G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1155876:1156052:-1 gene:OB01G11860 transcript:OB01G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEKKTQGDERAATKKKNASVMVATVPLSSLAAHLHPSTGLLFCRSSFFHEKRANYGR >OB01G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1149721:1152925:-1 gene:OB01G11850 transcript:OB01G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGRRAAGDTGEPWKLRVGDDFTVPERFHRRPPFLSRIFPAGSHGKHRKIARYYKKQESLLKDFSEMETMNQIGTVDQNAPTEEELRQLAKGERLAINLSNIINLILFIGKVVASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITNEHQIFDHRKELWMIGSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVQYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHQQIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >OB01G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1145999:1146235:-1 gene:OB01G11840 transcript:OB01G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAEKRSGTRPPLPRRRRLALIVCLAWALCLHGGGSGTSLQADAAPARRPGVASGASQFADDKRRIPSCPDALHNR >OB01G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1133326:1134338:1 gene:OB01G11830 transcript:OB01G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQANATVFLAKFYGRAGLINLITAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPSATAVPPLPTCDIRHVGRRGDHGASPLADLHRLDNSSRPKFKRPGGAGHRSDVSSFELVFSKPSAAMAIDVVRQAQPLNWAPGALSHESASHDAVPPESEGHSNDTADTVDGSHVSQSEPEPRATEVHDAGLDLTLGLQPAPVQKAEPADSDGSNHDRKEKPVELGLAISTSVAAQ >OB01G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1116088:1119119:-1 gene:OB01G11820 transcript:OB01G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT1G73850) TAIR;Acc:AT1G73850] MRNATGSSCCSTVAAWHAQVLGSPAPSHGYGGAAGDSCLLPLSSAACSMEALTPPPPSLLRALVSLNVLLLVAYLLLFLLAKLAGRLHRRDHGGEHRARERSEEEFAGADITGGQQQADMLFWFDEAVFEDSALLGDEGKDQLLYTATPARCLQVESTIPTDESTRRISSHQRQYSYVQEEPKDVVAVTAAGPTFVLTLLCVHLLVAEKISRGEEDGRDDHGEDEEEEDDEQEEERFRGDDVKLFVNSRALADTKKLVLKGAVAGKGGGGRAVQREREREDDKDSRFGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSSRWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSVSERIVQKLTPKPRPAIGLRDPYPELERVYVAQICLTWEALNWNYASFQRHGGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSSPKLLIVPEFRDEEDEKDDLISAVQFLYILEDSIRTFMAFLRADKRSHYQMFREMVKRRRSSMDQTLVITLKRANKKKKSRLKDLTRPRRCLRRTKLRVEEETSILLGLIDLKVVARVLRMPEITDQQLHWCEEKMNRVRVDPAQGKMQRDPSPLFFPAH >OB01G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1105340:1106773:1 gene:OB01G11810 transcript:OB01G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRRQEGSPLDLNNLPDEFGKQPVESSTTTAASSAEASRITKKKSVGGKEEAGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFGNDSLAAVGAQLNFRDVNMGGAAAAAAPPTLQMGGGFRGGGAGIGDPCLPLRPVHPRLSPSQXXXXXXXXXXXXXQWTPATTPPPPRQQPAVGDYVIGHAVSAGDALVPPPHRGSFSCFGAPLTAAANVQPTDSANCNCSFGCGHNRNVNAAS >OB01G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1088492:1095257:1 gene:OB01G11800 transcript:OB01G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MGKKKAKKLHAKDALEFLNQVMVEQPLLPFLVPLVLFAWLVERWVVPFSNWVPLAAAVWATIQYGRFKRRTTIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSIKFKSTVEKRLMRQKPKLIDKIELQEFSLGSCPPTLGDQGMRWMTSGDQKAMRLGFDWDTNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAVLYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFPLPPVDLRKRAVGGVLSVTVVSASNVGRNTANELGIHQSSSGASTSGIAGKKVAQAFIEVEVGSLMRKTSTSKGPNPAWNNTFNMVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDGSTIFWAIGHNSGVVAKHTELCGQEVGMVVPFEDIRGELTVSLVLKEWQFSDGSVTLSNSLSNGSHSPFDISPKLQSRTGRKLRVAVVEGRAIAVNAKSGKCDPYVKLQYGKALYKTKTLSHTTRPVWNDKFEFDEIMGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLEIEPIKNDFNGDLKTSSGRVGATWIELVIIEARDLVAADLRGTSDPYVRVHYGNNKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGSCTVEYSMLPPNQPVKKWIPLQGVRSGEVHVKITRKVPDLEKKTSFQTDASPFGKGRNISSQMRDSLKKFTGLVEEGGDPDALALALTEMEGIQDEQDMYIQQLEREKAVLLRKIQELGSEIVRTSSGPARMPY >OB01G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1075112:1080604:-1 gene:OB01G11790 transcript:OB01G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLVAGSSSTSYMDSVAADPSHGWQKVTYPKRHRKQQQAPPAPAAAPDLANGKVNVFEAVDRNAEKRHRALLAARDAAADPDARIAAATAGDSDDDDDSDEAAPARPEGEVKKPKVTVAEAAASIDAEDLAAHLVQISENYDNQQDIQLMRFADYFGRAFASVSAAQFPWAKMFKESLVSKMVDIPLCHIPEPVRSTASDWINQRSPDALGDFVMWCIDNIMSELSGQAVGTKSSKKAAQQTPRAQVAIFVVLAMTVRRKPEVLINVMPKIMGNNKYLGQEKLPVIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKASGNPQSRDLVLQLLERILSVPKARGILLNGAVRKGERLVPPVTFDLFMRAAHPVSSARVKATERFESAYPTIKELALAGPPGSKTVKQAAQQILPLCVKAMQENNADLTREAADVFVWCLTQNAESYKQWERLHPENIEASVAALSNIVMHWSELSSKLNAESLKVTLKNLKAKNEVALEAATDSGKQASIKEADKYCKEILGRLSRGKTCLKGSLLVIALAAAAGFLLSDNLEIPSDWEKIQAMVSSHLSF >OB01G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1070420:1073575:-1 gene:OB01G11780 transcript:OB01G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:abscisic acid (aba)-deficient 4 [Source:Projected from Arabidopsis thaliana (AT1G67080) TAIR;Acc:AT1G67080] MATSQIASCAFTWGTIAVLPFYTLMVVAPNADLTKRAVDSTAPYVALGLLYAYLLYLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIATHALTKVLVGSIDRSH >OB01G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1068713:1072085:1 gene:OB01G11770 transcript:OB01G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELTTTTQAEMPLAAAPPLRLRRPPLVAVVVVVVVFFFLAAAPRRADAWGKQGHIIVCKITEKYLSEKAAAAVQELLPESAGGELSAVCPWADTVRFRYRWSRPLHYANTPQLCNFKFSRDCHNSRHQQGMCVVGAINNYTDQLYSYGDSKSSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIHVHWYRRKENLHHVWDNSIIETTMKDFYNRSLDTMVEALKMNLTDGWSDDISHWENCANKKATCANDYAIESIHLSCNYAYKDVEQNITLGGQYSIYHNPVMNIITISHFGLRMITIGNSASWNTDDYFFSRYPIVEQRLAQAGIRLALILNQIFGEDKANANVIPLQSQ >OB01G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1066028:1067702:-1 gene:OB01G11760 transcript:OB01G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) TAIR;Acc:AT1G68290] MQQPITTLLPLLLFAAFPAPSNAWGVHGHLIVCKIAQGRLSDAAAAAVRGLLPSYAGGDLSSLCSWADDVKFRYPWSAPLHYIDTPDRLCGYTYDRDCKDEDGVEGRCVAGAINNYTSQLLTYHTASPSTQYNLTQALLFLAHFMGDIHQPLHVGFTSDKGGNTIDVNWYKRKTVLHHVWDDNIIETAEDEYYGEGVAEFVDALLQNITGEWSQRVQGWEECSKNQTTCPDMYASESITAACDWAYKDVAENSVLEDAYFWSRLPVVNLRLAQGGVRLAATLNRIFS >OB01G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1052113:1053391:-1 gene:OB01G11750 transcript:OB01G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRGAGPMGGLGAAAAAASAVMSKQQQQQLEADEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMASTTVHQAAASAADPPPPPPLHHHLAAMAASSSSPFDTHLQHADTCGGGGGVPPNVYHHHVQVHPIDPSPSTSTSAGSTAALPPVPCFSELRWDQLQSSGELDGAAGVFDSALGSLGLDGLDLGSPAEYYSDSTLLDYLNSSCTGSAMMTMMTASGHGGGYNYCGGAMDGDHHGGSTWRADELCQAARKLGEWGGGI >OB01G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1042821:1042994:1 gene:OB01G11740 transcript:OB01G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICCIDVHLTAKFQVIQFQPCCFPACVEEECLLGSLLWIKRLISGSPPQVSCRHAS >OB01G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1035998:1040960:1 gene:OB01G11730 transcript:OB01G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVTEFRALCGFAGIEELKDVLRTVPEVEDLVGPEDAAKLLSVKEYHGVNEVKSSLCSAFTKLMMANKEAVSEAIAKLICRLDAESKVRTLTEKENLVLSLEKQYPEDVGVLAAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVEPHVRRYTPPFDEFEVDCCSLPSGELVVISPVPGPSVYLVMAGEGEIQVDSMPEGEKSKQGDVFFVPAHTEVKFSASGPECMLLYRAGVNSRFFS >OB01G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1015111:1018699:1 gene:OB01G11720 transcript:OB01G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPSSSCGWCGRRRRRRRTRGGGADHHEANGGGGGGAAASREQEEQWSLFIELPVLEAATAGFSDDNLLGRGGFGPVYKGVLGNGQEIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASAGHKMLVYPYFPNGSLDHILFDRRKSVQLDWPKRYQIILGLARGLLYLHEESPVKIIHRDIKASNVLLDEQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDKHQDAEKTDLLNYTWKLSEEGRQMEIVDTALSEWDADEARLCVQLGLLCCQAVVSDRPDMYSVHLMLSSDSFTLPKPGKPAIHGRVGRWMSATTTASSTASTTTTAGTGTTTTTTTTRAEAALGGIAEDDSRNSISISFTTEGR >OB01G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1011521:1012144:1 gene:OB01G11710 transcript:OB01G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRCSLVALSLLGALLLAGISAAVAAEDDDDNILLPTDVLVVEGEGEESINDNGRRPWECCDNVERSPVRIQPPRFRCNDFVDRCAAACQQCDPVPSPIPRRRFVCRDWYVGSNPGPRCSRKHCSGEDDDELTGSSEAAPSPGKRRPWECCDTAVCTRSRPPTCSCTDTVAKCGSGCVQCKQVSSRPSRFRCLDSYHGSPGPKCH >OB01G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1008814:1009215:1 gene:OB01G11700 transcript:OB01G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTVGVLRQPRAVAAQDLAAQVQVPGRGRPLRRRLQGLRDGGGGYVCRDWCASPGPKCTDDVETEKMRSRRWNCCDEARCTRSWPPTCSCLDKVEACVLRRVRRVRAGGVEPRALPLPRPLLRLPGAQVSL >OB01G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1008761:1009228:-1 gene:OB01G11690 transcript:OB01G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIHLARVTLGPREAVVAVEAAVARGARLHPLAHAALAGARTPPPCPGSCTSAATTSCTAPRRSSSTASTSSSRSRRRRCTSARGWRTSPGRRTRRRRPAVLAGGGAAVDLVQAPVLGRPDPERRLLEVVAALPRWSRPFAAVAGEANVGGGGGGG >OB01G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:1006615:1007061:1 gene:OB01G11680 transcript:OB01G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVNPSFVVGPVLGPAATSTALVVLALLRGDLPRYPNTTVGFVHVDDAVLAHVLAMEDPRASGRLICSCHVAHWSEIVEALRERHPQYRLPAACSGHKGDDRAHRMDTTKIRALGFPPFLSLQQMFDDCIKSFQDKGLLPLPLPPAN >OB01G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:998231:1001548:1 gene:OB01G11670 transcript:OB01G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLTRPFVRPAPPAAAAARRSSRAHCLASSAGARAPCFTRPYTSVLVVPTGVGAAVGGFAGDALPVARALAAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPECGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPALSPSVSPRSAAEEIGYTFLPCVLAGLSNAPQYVMRRNGNMGNGCIVAGDVDSVILPKDACGGDGTIAFAQTSRKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAGVNPNALRRQGVDHLKRPLRLYPVQSRGSREATYSAAHTSLTI >OB01G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:994492:997486:-1 gene:OB01G11660 transcript:OB01G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPVHIMFSYSGCGDGSMMMSNPRVLVVAEKGAEVAIIEEHFGVGEEGGGCYWANPVMEIIVEEDAKVVHSYVQQQSAAAAHTKWTVVQQNTSSHYEFVEASIGARLNRHNLHIQQLGPETNTQLSTFHFSWQDKQIHDLHSKLILDHPRGRSHHIHRCIASGRGNGIFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNAIYFFRARGIDVKTAADALNFAFGAHVINQIPFKPIEKKTLAYFKELLTSLRQNDE >OB01G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:987664:990517:-1 gene:OB01G11650 transcript:OB01G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVRRLAAVLLLAAAVVAAVAAARDEPRRGSQWDTVMSMLNCRSDSSLIPSYICSVIGKSRWGWGWGSDDPDEYTAPAEDPAPAAARRWPVMTSLNLSKYVDVLPQMQRIQGYNLWNGIPVPTSLNIGMYQKTWKFHRDMPPTPVFVYGESPEKATFPGPTIVARQGVWLDVKWENHLPDAHILPWDRTVPTAIPKNGGVPTVVHLHGGAHPPEYDGSAFAWYTRDFNETGPAWNRTTYRYPNVQAPGNLWYHDHALGLTRASLLAGLLAAYIIEKPEIEIPMNLPSGEFDLHLVIADREFYANGSIFMDTKGVVPNIHPQWNPEYFGMTMTVNGKAWPFLNVQRRRYRLRILNACNARYLDLRLSDGLPFHVIAADSSYLLAPVTVTHLVLSPAEIFDVIVDFSLSPTATEIELLNSAPYPYGLNGTPPDPNLDGKVMKFIVAPYAAQLDGTPDNSTVPEHGVPYANVSALPPTMMTRYIVLYENMTADNRTMNLYINGLRLEDPVTETPRSGTTELWHVINLTNDNHPLHVHLGTLQAIEMKELVDPLTFKNCMIKNNNTDACNLLQHAVGGTLPVPEEEKTWKNVVKVPPNFVTSVVVAFLLVETNQFYPFDATTEPGFVYHCHILDHEDNAMIRPLKLKKW >OB01G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:981672:983461:-1 gene:OB01G11640 transcript:OB01G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSGEFDLHLVIADREFYENGSIFMNTTGTLPDIHPQWQPEYFGMTMTVNGKAWPYLNVHRRRYRLRILNACNARYLDIRFSNGLPFHVIAADSSYLFAPVTVSHLVLSPAEIFDVVVDFSLAPTATEIELLNSAPYPYGLNGTAPDPNLDGKVMKFNVAPYGQLDDTPDNSKVPEHGVPYANVSALPPTMMTRYIVLYENMTTDNKTMNLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHVHLGTLQAIQMRELIDPLTFKDCMIKNNNTNTCNLLQHAVGATLPVPEEEKTWKNVVKVPPNFVTSGGGGVLFRGDQLHDQEQQHEHVQPPPARRRRHVAGARGGEDVEERGEGAAELRDVGGGGVLARGDQPVLPLRRHHRARVRLPLPHPGSRGQRYDQATQADEMVTTTHSIYCAW >OB01G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:962263:962565:1 gene:OB01G11630 transcript:OB01G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGTFTTFFHVFSSSGTGKMGPLAYWLSLHAVVLLNLIMQFLKVFWGSTSSCILTAWSVPRWTCSGWLSLVRLITCHSSVVPDLGVSVTGSSRRSPLM >OB01G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:961994:962735:-1 gene:OB01G11620 transcript:OB01G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIVSPKKHLDDMPDNSKVPERGVPYASVAAVSPTIETRYIVLYENMTADNQTMNLYINGLRLEDPVTETPRSGTTELWHVINLTNDNHPLHVHLGTLQAVRMQLLVDPQNTFKNCMIKFNSTTACKLNQYANGPILPVPEEEKTWKNVVKVPPGSITSVVVAFLLVETNQPYPFDATTEPGFVYHCHILDHEDNAMIRPLKLHK >OB01G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:953219:956253:-1 gene:OB01G11610 transcript:OB01G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRERILQVLVVAAVVVAAGNVAAAQAPPVTAADLQRVAGSLQMYVDALPQMAKIQGYGFQRGQAVPINLTIGMFQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIVARHDVPLFVSAHPPQSDGSAFAWFTADYREKGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLIIADRSFNVDGSLFMNHTGVAPNIHPQWQAGDFGGGITRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRRRPPPPLPLGAGHRQQPPPLPGRDLRRRHRLHPVADGRDRDAQLGALPVPDRHGGRPAQRQGDEVRGPAQRAARPAGQLDGAGPRGAVRERDGAPADDDDEVHRPVREPDVERAVDAPLHQRAPPGGPGDGDAQVRHDGAVAGDQPHRRQPPDAHPPGHVPGREDAAARQPAGLHRLHDGAQRRGQVQHRPARRRPRRAGAGPREDVEERAEGAAGLPDVGGGGLQARRHQPDLPLRHHGRARIRLPLPHPGSRRQRHDQATQAACMTNDGVIDASLGLIILRTIRLR >OB01G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:949021:952408:1 gene:OB01G11600 transcript:OB01G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAANARDNARHHRAGPSHMERDSPDEQSHSQAVGLGAQPHQSRDERFVFPWMGILVNVPTERKNGRHVGESGNRLKERFSQFSPHKVVPLWNRWGHTGNAIVEFAKDWTGFANASAFENHFEQEGYGRKAWMGKKHRGSEMFGWVARADDYHSLGPIGDYLRKNGDLKTVADLENEGTRKTDKLVANLASQVEVKNRDVEELESKFSETTASLERVMEQREMQLQAYNEEIRKIQDISRRHSQMIMEENQKRRSDFESKMQELDSRSKELDALAAQSNSDRRNLELEKEKNNTKAKHLKMATLEQERADESLLKLVEEHKREKQAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGEEDSEARRKIDELNEELKSKYDDMNEMESLNQILVTKERQSNDELQLARKELIDGFKEITVGRTNIGIKRMGELDLKAFANACKQRFSKGDVDETAVMLCSQWEDEIKNPDWHPFRVVMIKGKETEIVSEDDEKLRELKEEHGETIYALVTQALKELNEYNPSGRYPVPELWNFKEGRRATLKEVVQYVLKQWRTHKRKRTP >OB01G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:942615:948553:1 gene:OB01G11590 transcript:OB01G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRFLGLFLLVLLLVFQAALPGRGDDDDDGGRLLDPRKLEMFVDELPDMPRMRGYGVTEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNHLPPRHFLPWDPPPPAATPGSGVPTVVHLHGGVQHSASDGHSLAWFTAGLAATGPRFSSPAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFRADGSLFMNGTGNNPSVHPQWQPEYFGPVVVANGKAWPYLRVLRRRYRFRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGGATSIVLRSDAPAPYPGDPGDKAETVPVMKFVIERGASTEPDTSSVPATLMPHYPKPDVREATVTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSEVWDVINLTDDNHPLHLHLALFVAVEQRSLRRVDELRECMMREVVPRQERGWKNVFKVRPGTVTRVLVRFRPLSPPDSRRFPFDVTAGPGYVYHCHILDHEDNEMMRPMKIVRY >OB01G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:940217:941188:-1 gene:OB01G11580 transcript:OB01G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVAGLWRGVERIRLAGIDHEELDHDRRHVRRRDLDHVLPPLLVLRHRHLLADGVLAHVAPHRVVEPRHAALERVDVDEPAHAHRLERREVEVERVVVLRQVDHLPHLRRPRPRRLRRRGPQPLPVDVQVSRVAGGGVFVLVHHDVSLLHCIFLGDGDVVEKLCRNPGVVPRLRRRDQELHHLAVEVVRRVAAGVRVRRRVDQLRFGGRGLGEVDDDVERLGDGDEDVAGGGRLGEVVGVGADDGEGEAVGEREVEEARVAGVDDAESVAAAGGGGGTAFPGGGGGGPRESPPPATAGGCWGCRRCSSCRWSRRRRSSGRRA >OB01G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:939383:941843:1 gene:OB01G11570 transcript:OB01G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEMRTKVMELAVLLLVAGVAGATRPPSSPPPASEETLQKVAGSLEMYVDELPQMPKIYGFSMRYGHPTPVRLTIGMYQKKWKFHRDLPASTVFVFGTSAATATFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGEKGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGDNPNIHPQWQAEDFREGPHPHRQGRPFPAAARRRYRFRIINTSNARFFNLSLTNGLPFTVVGSDTNYLSKPATASNILVSVAETFDVVVDFSESTTSEAELVNTAPYPYPGGDAPNDLNGKVMKFLIAPAKARDDSRVPAKLLDYVAVAEEDAVQKRYIVMYEYEDAATGNPTHLYINGKRLGAPATETPRAGTTEVWEVINLTQDNHPLHLHLASFQAVRVRGLVDIDAFKSCMARLNDAVRCNVSQHAVGEEVAVPEHEKGWKNVVKIAPSHMTTIVVKFFMVDSGKPYPFDATPEPGYVYHCHILDHEDNAMIRPLKLIK >OB01G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:927491:933679:1 gene:OB01G11560 transcript:OB01G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) TAIR;Acc:AT2G16920] MDDLSNGSANIDEKNQDNERSADAGEPEEVANIFIYRDDVVSLKSKEDARGLVMEVAGEYDSEGSITDDDTDTEEHEHKTSHRTENGDADGDNGSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASASDPTGQMGLVADVSLVVDLQGPHGDIIKGVSSKDLRRIREFNVGDYVVSGAWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPASGPLNPNASCPFYPGQRVKAVSSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWVASAHFATNQESVPPEEQNPKDLTLLSCFSYSNWQLTDWCLPYQYTSSCTDDSLIENSEIKDSDDPLGPPSDIPEASDVKMELVEKTDMDENPAMIDGDASADGSNMVHEDNTRIANESESGMGSGVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDDSFERALLITNTYTKVDVIWQDGTKECGTSSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPLESTYRDNTMELDKNLNSTEASATPVADAEEQFPQQESSLEFTSLSWAGNIVGFQDGDIKVIWGDGSVSKVGPHEIYVVGRDDDGASLDDGTASDGASWETVEDNETDLLDDSAQDDSQNVAESNIERENGSFSSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVVTPDCTVVTTNDSSAGKSVDVDMAEKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLIRAVIVGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFSKRGNYILKACDAYLQGNGVGTLTDDACTTERSKEQSSSVGFKLALAKILPRLITALKDAGANCDQYEHLGKTETAQEVEH >OB01G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:920809:926333:1 gene:OB01G11550 transcript:OB01G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQETYACSPATERGRGILLGGDPKTEAIAYCTGRSVVIRRLDAPLDAWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFISVSSDKKGLIYDGKTGEKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACHGTGGVDDMLVGCLWLNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFCPQSNPKTILSTSYDGVIMRWIQGVGYGGRLVRKNNTQIKCFAAAEEELVTSGYDNKVFRIPLNGDQCGDAESMDVGGQPNALNLAIQKPEFVLVTTDSGIVLLDKSKVISTTKVNYTVTSSAVSPDGTEAIVGAQDGKLRVYSISGDTLTEEAMIEKHRGAITCIHYSPDVSMFASADANREAVVWDRSTREVKLKNMLFHSARINCLAWSPDNRLVATGSLDTCAIVYEIDKPASSRITIKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >OB01G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:919082:920609:1 gene:OB01G11540 transcript:OB01G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLEEVQQCMDAIDSKANLVSKMLAATTFTDNCNNAFSERAMEPSFSAVQRNVCRVLYSDPNSQQRIVFIYLILPGDAFENREDGQRESLLGRAGGSRRVLHRRCQPGRRQPALPQPKREEVCHHTML >OB01G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:914562:919212:-1 gene:OB01G11530 transcript:OB01G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLKALLQLSVKVVAANILETSFLFHPPKPSPTSVGATPYHFLPHLRLGLAARCPGPLPALAITRCRSAGPCFALIGPPGGKRFFADDRSHYDLFGKRRPGDEEFRKAWQEHVDEEDCLWTGSEDDEEEENDTKLQREIKKVKRQAKENANLIDADDSDELRSICSESDVDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPRKKWQKAQARKRKNERR >OB01G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:908115:910083:1 gene:OB01G11520 transcript:OB01G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKLLQKIEGVYSVALDVDNHKVTVTGNVDSDTLIRKLTRGGKHAELWSQQKGGNNQGHKGSNNQQKQQQGQQQHQKQGANQSKDGNKNNNSLKDQGKQGGVGGLIQGLKAFKNQHSKNQLPELDSEDDDLYDDEDDEFDDDYEDDLRFLGDKMSQLGFLRQEAAAAAVANAKNKNGNTASVNNHQNNTNAKKGGGGGGGGNHHQNNHHQNQKTPNVINMAAANAKMGNGAQKNTGAINGMMGLNHGLGTAGAAGFQGYTGFNHPSSYASAGYGGLQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNNNMMMMHQPQQQPQMMYHRSPQISPYTAYYNPYSYYYHPGAAGYHPASNGDVETMFSDENTKGCVVM >OB01G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:899423:900166:1 gene:OB01G11510 transcript:OB01G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYAVWSWACHLLVLPWQARRQDQVPPLQHFPLAASPVSLAFRPWLPPPPPPPGPPAKTAYHDGEGHADECPHAPCFCPEPGRGLAARPPHLRAHFTPTHGWPLTVIRRNNRAVDLQLQEGRRVLHFLDVEGDGGHLFLLNVAPAGPAGVAVGTVLLVEPRVGATSTPAPPMFECHVAFYCRATGSRQSSEFAVRSTSLSDGLPVDSYAFVVPKVGQPPATASVVVSAFDVSRRRARSGDLRLRSN >OB01G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:885661:886157:-1 gene:OB01G11500 transcript:OB01G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNHSSKGLLVLCWVLLGFFANPALVNGKDKDYTWTKTKSGGSYGINEDGLKPVKCVIYPTGSCCDNPKSKNFKKCYPSVFECVNNCIN >OB01G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:881971:882288:1 gene:OB01G11490 transcript:OB01G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGKGPGASRHTAQASTHWLASSSSLHRHRGGKIFSGSFSMLSQHFHGLACCSAAPPSPERRMSSLLASPAAPARRKKKIMEVAMATFIFVPTQVGDGMVVVT >OB01G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:881718:882242:-1 gene:OB01G11480 transcript:OB01G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAMATSMIFFFLLAGAAGEASSDDIRLSGDGGAAEQQARPWKCCDNIEKLPEKIFPPRWRCNDELEASQCVDACAVCREAPGPFPGPLICDDVYWGVDPGPLCTERPWGSCCDRAFCTKTNPPTCRCADEVASCAAACQDCQPVESSEPPRYVCQDQFTGQPGPSCTPDAYN >OB01G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:877898:878434:-1 gene:OB01G11470 transcript:OB01G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAAMATSSILLIFLLAGLSAADANTIRLPSDGGTPPRPAKPWDCCDNAEQSPFEVFPPLFRCNDEVQQCAAACKECVEAPGDFPRGAFVCRDWYATEDPGPTCAPAPGKPTKKRPWKCCDNVVQLPEKISPPFWRCNDELEPSQCTAACKVCQEAPRPFPGPLICEDVYWGADPG >OB01G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:876619:876840:1 gene:OB01G11460 transcript:OB01G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNILFLVGSKVLVFCTRPRVKKLPTTSSYLAHLWRSSTFCSVSSSSRPKRVFLREGIKSLSFRREDEHKDNL >OB01G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:866615:874045:-1 gene:OB01G11450 transcript:OB01G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G01320) TAIR;Acc:AT2G01320] MEVRGLGQLLAALAAALFVRAIAGPGPALLPTAAAEEDDDEDVEAGGEGGGVPPVTIRWARITCALKNKRGDVARFLLSNASGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGYLYINGRPMSERGHKIAYVRQEDLFFSQLTVWETLSLAAELQLPDTLTPERKESYVNDLLFRLGLVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLTYFASLGYHCPDHANPAEFLADLISVDYSSAESVQSSQKRIANLIEEFSNQVTIAECNSSVTKPEGSEFSAKFIQKSSFKHRRGWWRQFRFLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDREWAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMSKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPRVSLIRWAFQGLCINEFKGLQFEQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQNKRKVK >OB01G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:866382:866837:-1 gene:OB01G11440 transcript:OB01G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSVSLVMSPAELRHGAWRGPALKPRRRTAAARRRCAFQRDQYSGGTLVDESMAVLRRRIREARMAETNYEAPAGWSAWEKRYYPAYVSDVSGLVGALQLLLMGTRPSLAIAAAALLLASVPVSAAAAAHHLAQLAAESVLLLQHHVP >OB01G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:859873:861140:-1 gene:OB01G11430 transcript:OB01G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSRLYVRASSKITIVVEHQIISYYLHESLNSYSWNPKKKKKNATCELRVPGRPPAVARRAGPALPCRRRTRTTLRRPAWARPSRRDGAEFSGGGGGGAVDEGMVVLRRRIHEMRAAERGWEPPAEWAAWEKEWYGRYDADVCAAGGAVQAFLMRSRPGVGVGIVAAVAVSVPASALLLLFHLLHASQALLAN >OB01G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:857038:857436:-1 gene:OB01G11420 transcript:OB01G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQLCHPSDEPFIGVKRSSTSEPKRNQRLIQSRTTNQETKYRSSKRDLKHHDSLASTKTGLQYQKYIEKPASETHTVTKKKLNYAYTERLERLWIKRAMKSTSTPREGERWKNYCLMLLMCEGLQEEGVLL >OB01G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:839189:843393:-1 gene:OB01G11410 transcript:OB01G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLLLFYCVYYWVDLLYCLLLVDFLNPVVLGVLILVIRFPCREKRSTPSRLEQVNTEVNNLGPWAKGLLKGMPASAAGPAAMGPVAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGVAGFVLAAVWFISFGIAVAVHCFCKSRIGKEKDSHADILHLVLLVVFTLALTAGSVVLLYGQSKFGKQATGTVDFVVNQSDFTIQTLRNVTDYLSLAKTISVAALYLPSDVQGQIDNLKVDLNKAADTISEKTSENYRRIRKVLHNVSVGLICVAVLIPALAFLGYVLELYGPRCTVYVFVTLCWSVVAALFVLLGVFLILNSVAKDTCGAMDEWAQHPQAETTLSNILPCVDESTTNQTLYQSKHVVVILVRLVNKAISALSNRRPHHKHPTQFMPYLCSPYDANLTDRQCKSREVTFDNATTAWLNYTCMVPDADLCSGPRTITPEIYSQLVLAANVSYALYHYAPLMLNLQDCKFVRDTFNSIASQYCPPLWRDLSLVSAGLALIASGFVLGLLLMLFADRPQREEESELPSGSRITPVDCSP >OB01G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:828565:831199:-1 gene:OB01G11400 transcript:OB01G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGDGGSARKMVECRICQEEDWDTSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIGRQDLHDSQIITMVPSERDFMDGYEDYLPTRTRSSTLCCRTVAIIFMALLVLRHTLPLMIGGNGEYSLALFSILVLRTAGILFPILVMVRALATFHRRRRQQESREMYMTSSDSEEEEGDSDTNSAQPIHSQTRLVPIY >OB01G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:825040:828407:1 gene:OB01G11390 transcript:OB01G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G66680) TAIR;Acc:AT1G66680] MAGIRWPPEDPEIFPTRMVSGGGGAGGGPGGPPGPPPEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADATEVLLASCSAHAAASTASVAANPSTDFSFDKDVPDSSEMEPPMLGLPNYHQDGSYADYLANFQERSHADDWFGTENMDVLIGWTKNLCSDKDLCSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDHSEGAIEVARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVAGLVSPGGILVITSCSRTKDELVQEAENFNQRKLGAMGPEGAQASDAAVFKYLDHVQTYPSVDSSCITTVAFLHS >OB01G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:822520:823101:1 gene:OB01G11380 transcript:OB01G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPSCIPLAPVAGGGSSSSSTACKIIHVDGTVTRLARPVRASELMVDYPGQFVCDSGRLAVGCRVPGVAADELLEPRRAYFLLPMDMLYSVLTDEEMVALSSFHAATAATSSWKRIATGRSRDGSHGRSSEPTDDDEMMSDDGGKFFPVLSLQLQAPDAAAAGGVKPSGGAAGMRRNRSWHPRLEAIDEVP >OB01G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:809243:816547:-1 gene:OB01G11370 transcript:OB01G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3A [Source:Projected from Arabidopsis thaliana (AT4G11420) TAIR;Acc:AT4G11420] MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALDDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATDLSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLLSELAAKGVISCASQEVKDLYNLLEHESLPLDLVSKVQPMLSKIAKTGGKLSSAPSVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSKMIPFFDFSVVEKISVDAVKHNFVAMKVNHLSGAVHFGNMDTESDCLSNHLSVLAESLNKARSLIQPPVKKPSKLGKNLTSLAGVVENEHRRLLARKSIIEKRKEDLERQILEKEKEEELKRLSTLKKSADDERLRLLNDVRLREQERIRQSMLEKEKKEAEEMLATKINKNKKGKKPVILGDVTKQAVMELAMNEQVKERQELEKKLQKIAKQMDYLERAKREEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLDHKNVFQERIVQRRESEFSRLKKERDEKTSQLISSRKRERDTVRKLMYHLNLEEQRIERLREEEEARKREEEERRKREEAERKAKLDAIAAKQLQREREMEEKERQRREALFGKGAGAGAGAEPAARTPDAAPVAQPAQPVAAPAAAAAAAAPGKYVPKFKRGGDSGSGVGSQRPTAAPEQDRWGSRDDRPRPDIRPLRQDNDMRPLRQDAPPARQDPPAGQGGPVPTWRGSRFSSSSSSSSTWSSSRPRN >OB01G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:805452:806225:-1 gene:OB01G11360 transcript:OB01G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQLLEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHKRRDHSLSDSESDYSDVDRKHRKRKDRKRHRKHGHSDSDEAKRRKRRSKRMSSGSSEDSDSDEYDSESEESRRKRRSHRRRHHRHSSRSDSDASDYSSDDEERRSTKKDHSMRRKRHHHRSSGDEDAPSDSNNHKHRRSRSLEESSDDGAAGEYDKARNGKSSHKSGHRHRHHHHHHDRRGNSSEPNDKKRHDGHKTLEDGNAD >OB01G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:801823:806831:1 gene:OB01G11350 transcript:OB01G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding LERKREAAYKSPPTSREAAVRRDPATATAPATASSASSRARAGAAAARLACGFLFPLHAPPVGAAPPPPPPPAPPPPPIHRRRRRGSAADLLASHVAAGQFLPFRLPDTPYPIFLAISSPPPHLLLARSFDFLVKRLPGTPSARLCDLRPGDLVHVGGSVVGRGFEVGRIADARDILVFATGSGISPIRSLIESGFGETKNIDVRLFYGVRNLQRMAYQERFSNWESRGIKIIPVLSRPDDQWTGERGYVQNAFSRLKKVVNPSSMGAILCGHKQMSEEITRALVADGMPKDRILTNF >OB01G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:790425:793249:-1 gene:OB01G11340 transcript:OB01G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine-tRNA ligases;nucleic acid binding;ligases, forming aminoacyl-tRNA and related compounds;nucleotide binding;ATP binding [Source:Projected from Arabidopsis thaliana (AT3G16565) TAIR;Acc:AT3G16565] MGTEYAPARAMAPTKLAYFDDMWALRSTATVVSLVQQDGGRRAVVLHSTVFYPQGGGQPADTGVISSGGARFLVEDVRMKDGVVFHYGRFEDVGDGCNSEFSEGQSVNLEVDAERRNLNSRLHSAGHLLDICISNIGLSHVEPGKGHHFPDGPFVEYKGGIPPDQLQDKKNKLEKEANELISKGAKVLACILPYEEATQLCGGALPSYISKGSTPRIVKFGDHPGGPCGGTHVADISNINNIKVTNIRVKKGLIKVSYNVSP >OB01G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:778659:781060:1 gene:OB01G11330 transcript:OB01G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCVALLIFKNWEDTCYAKFVEVPESTEVPERIEVIECIDIEKEYQEHVLDRTRNKCVLDFDEFSVDEKTYVECFQPLSYMHSKILHLVCHLWSLDWKDKIILSAYAASELLGQRNGTACLDKELTTEKMKSVKQMLTFLCGFHVLLYIKGFENRDIFDINRPIHDIEVEEIHNEEKQLVDDSDDKEGKGTGRDAVNDEKSAGSDANKSASGNDEKSAAENETSVESTLPADEDDAGEDDDNALISPPALVSPPGKRVRRKTAPNSSKHDPPKFEVATQLTAKKRAEVYHYVKEYC >OB01G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:776867:777192:-1 gene:OB01G11320 transcript:OB01G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELWFRLGHSRSLAHEPFPEVIKPERLHYLHSKWQNSIEYLEESKIVLTMQINGKSRGTILIDKECYEDDAF >OB01G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:774443:775870:-1 gene:OB01G11310 transcript:OB01G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPQPPYSPTNLTTPPTLVPLGDHTAVVVADDAGDGYILADIAGGPSSTAAGRPALPEAKIFAWPLNNKDGEWVPSSILQLPLPAHLCGPSYFFEIDMAFSLDGGRICWVDLLQGILLCDHLMAPQGPSLSFIPLPPGCCRDVHHKRRHVVMPIAHRSIACVSGVIKFVALDVGWGPNAPPPKEVMLTTWALSPDFKDWKEDSKPLSVGDIWESDSYKQMGLPPDLYAVFTSPQPDRRWRHEIPEQVDEFGFLADDNQQLLVHRGSYMIRFDIVQNKVLSSTRVAESRDWRWTMPNIIAVDFSAYLQDHKRAEEASASTKGKKRKQME >OB01G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:761311:769432:-1 gene:OB01G11300 transcript:OB01G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFEKGRKFQNLILKARLVWSSSSSLFYLATAPALPLSNLLSHPMALAMPTPLQLRLHPRPPSLDFTHHRRRRLPRSAGWCRCCAGADADAGKAQVRRAYPYDEIEPRWQRHWEEHRTFRTPDIGDGLDTSRPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIDRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQDSVMFLVLYLWFSMIFRLALLSYNQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKDMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYLVLAPEHSLLPSLTSEQQRVLVEEYIELAARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPSHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMVPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTIDPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLFDIGVVSTKEPFNCLINQGLILGEVEYTAYRDNEGRWVSADSDSSLIGCYQEKVPADKVTKVGDHYVLKDDTNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGSPLSDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDAHPKSVIESFVLLLSPFAPHMAEELWFRLGHSRSLAHEQFPEAKIEYLKESKIVLPVQINGKTRGTILIDKECSEDDAFQIAASDEKLSKYLTEKGIRKRIYVPGRILNVILDKQKART >OB01G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:757491:760327:-1 gene:OB01G11290 transcript:OB01G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLPFVLMNRVVFFAGGTLADGTSRDAAGMPIGWSRARALSPREAMEAMEPITFLAEPPEVSYLQMRSPKPAHVLQMGSVSRGDVSGTHKGIVVIYADFYRPGCSSLHGCYLLYDASKPNNGDALTAIPQLPNSLRDPTLVYLGNSAVLVTDPRSAAGDDDYILADIVTSTGPGLAGYGLPEATIFTWSLACGVGGEWVRSSIPHLPLPAHLCGPDQLFQIDTAFSLDGGRICWVDLLQGILFCDDLLAPEGPKLGFIPLPAGCCSDVHHQLRHQTMPSIHRSIACSGAIKFIALFGCARDYRTPAEVMLKTWALSPDFKEWEEDTRALSVADIWASESFNQMGLPHVIPVSLVLSITEDGVMYAVLNDIDRVPAQFDEFGNVVRRGHLVAKANYMIRFDINQNKVLSSTKLSEEGSLSWTTPDFFATDFTAYLSDPKRAEDEAGKVGARAKGKRKQMEY >OB01G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:748803:749814:-1 gene:OB01G11280 transcript:OB01G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVRVLTVQSLAEPAASSLAPACTVRHDVPGLLFSDRGYTGNYFHAYTDVVVSEGTHEVAPFAELANTCDAVVGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHPIFTDPDGVKSKGWVSLKEAYLDKQDVRLDMKRFRPILKKAIAHLRQHKSNNNTTLH >OB01G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:735830:738672:-1 gene:OB01G11270 transcript:OB01G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVKPAKGLRRHLNSGFVAGFLLVLVTYVIVSQQFAMDTPTAVTSTAPRIDEKESMSKAPVETDDKVRQEWQPKETPKDTSSAVATEELPKIDSTNAKPIENGKVVCSSNGFYSDTCDVDGDVRINGTALSVTLVPASRSSERREWKIQPYPRRTVSGIQEVTVTQLATPGAAAPACTVSHGVPAVVFALGGLTGNYWHDFSDVLVPLFVASRQYGGEVQFLVSNIQPWWLGKYEAIVRRLSRYDAVDLDRDGEVRCFRHVTVGLRMHKEFSVRPELAPGGLTMADFTAFLRDAYALPRAAAAIGVTRAPPQKRPRLVVIRRGHYRKLVNMDEVVRAAEAAGFETAVMAPRFDERVEDVAREVNTFDAMVGVHGAGLTNAAFLPAGAVVIQVVPYGRLERMAKADFGEPVADMGLRYVEYSVAAEESTLLEMLGPEHEVLKDPAAVHRSGWDMVAEYYLGKQDVRINVTRFAPILAQAFHHLRHS >OB01G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:727681:730359:-1 gene:OB01G11260 transcript:OB01G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEHGKLIKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHPSPTITPAVEEKKQLPPIIEQKQPPKAEHEHAAVVQEKTPSAEEIEIQKETEEDHTQDKPTDVATVEESAPAKKPACDIQGPWASDVCSIDGDAGGSNPNPQEWRILPYSRKHMGGLKEVTVREVASAGDAPACDVRSPVPALVFAMGGLTGNYWHDFSDVLIPLYLQARRFDGEVQLVVENIQMWYVGKYKRILDRLSRYDIVDMDKDDKVRCFPGAVVGIRMHKEFSIDPAREPMGHSMPEFTKFLRETFSLPRDAPVSVKPGDEARKPRMMIISRRHPRKLMNVDEVVRAAERVGFEVVIGDPPFNVDVGEFAREVNRADVLMGVHGAGLTNSVFLPTGAVFIQVVPYGKMEHIGKVDFGEPAEDMQLKYIAYSAGVDESTLVETLGRDHPAVRDPESVHRSGWGKVAEYYLGKQDIRLDLARFEPVLRNAMDLLKEN >OB01G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:719861:722876:-1 gene:OB01G11250 transcript:OB01G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMVQHGAGRAIAMKAAATARERKPRHSNGTAAATAAKNTSKPEPGRQLAVVRLFPACLLALLVCVCLAKFFSALPSQALWIGAGSRVVSSWEGSAASTNVPRRPAAPLIMATVSEDISTRSPELGSELRSESFKNETDSENKSTPERKVAISAGYDPPPEQEDSFRKSPQIAVSEIEAPKPRSKMSCDDKSKDDGFPYARPVVCHMSGDIRVAPATSSVTLTMPSQQREAAPPPPRRIRPYARQDEFLLPLVREVAITSAASEGDAPRCNVSHGVPAVIFSIGGYTGNFFHDMADVLVPLYLTTFHFKGKVQLFVANYKQWWIQKYKPVLRRLSRRDIVDFDSDGNVHCFDHVIVGLVRDRDLILGRDPTRNPKGYTMVDFTRFLRHSYGLRRHRPSVLGETSGKKPRMLIISRRRTRKLLNARQVAAMARELGFDVVVSEAGGGGGGGVKRFAAAVNACDVLVGVHGAGLANQAFLPTGGVVVQVVPWGRMEWMATNFYGAPAGGMGLRYVEYHVAAEESSLAARYPREHAVFSDPMAIHAQGWEALADVVMTQDVKLNLRRFRPTLLRVLDLLQD >OB01G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:715630:715839:-1 gene:OB01G11240 transcript:OB01G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEKLEFTWQHRRCTALQSLTSREKKEEYKRAARQALPFHFACAQKLPLPPAACSCRASLARISSPPLLEA >OB01G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:713279:715583:-1 gene:OB01G11230 transcript:OB01G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLGPRASFEDARRPAIKTLLRSSSSAAATRDFHVDILPQQQRDARAHLQHGIDQASERTTEWVKDTVIIQESTDGGERPEEEEAAERVTADSGDDAASAVADEDNAVQTTAAVETETTTSTSTRPDHPVEEKKQRLPVKLQDGGEHALTPELRETTHNVRAMNADSVDRQPLCDFSDFRTDICDFAGDVRMAANSSEFVLVESAAVGQPASHKEVGFEVVVNESDVANDIGQVGRLINSCDVLLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAEAMGFKYIQYEIAVPESSLKDKYPAGDEIFTNPTGLHKRGFMFMKQTLMDGQDITIDISRFRPVLQQALDNLAN >OB01G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:703096:709710:1 gene:OB01G11220 transcript:OB01G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYEILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICILELLIGIKFGHGLFPKSMPSWLFIAWTTVASLLTMFLLVWTWKIYRTMLRKRL >OB01G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:703098:704653:-1 gene:OB01G11210 transcript:OB01G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLHGDNSYLIIWRKATAPVRSRVGGDPGRRKRSKDLFFSPPSPPFLSSSSCTWESRERE >OB01G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:679845:688867:1 gene:OB01G11200 transcript:OB01G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSVSSKGGSTLDNVDEWKWKLHMLLRNEDEQEVISRERKDRRDFEQLSQLAERMGLYSRQYSRIVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLSDYLARKRTSSGSFPNAAFSRSSSTDSFATDENFLEQQDNQTSTSAVIERIQRRKSLQLRNQQEAWQESYDGQSMMEFRRSLPSYKERQTLLDAISRNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRTHFLEDILEVTGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDSVQAADLRDYSSRTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINALKEQLQANPLLGDPNKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDHNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPVLTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLFLLRDTGLVDENMTLCNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKSELDNLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVYGRQEQRSKKAKTMLSAAPMVHGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALSWLTGGAPSDSRDHQDMDHMSMLQKPPRRKRHHHHRRS >OB01G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:675417:678692:1 gene:OB01G11190 transcript:OB01G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3KVW3] MAMVTAKLSSPAAAGXSYADELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEASWGLARYAAIAQDNGLVPIVEPEILLDGDHSIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQKATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGSYTGEGESDEAKKGMFQKGYTY >OB01G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:670326:672571:1 gene:OB01G11180 transcript:OB01G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3KVW2] MGRDQAAAAAAAAAVVHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKLVFIVYRNLISLALLAPFAYFLEKKDRPPLTLSLLVEFFLLALLGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSRRHGVAKVVGTVVSIGGATVITLYKGLPLFHHNLNIESLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYTGGIIGAVLIVIGLYFVLWGKSEEKKSKNTQGHSVQAGGDDITRRLLGQEDASRKDEEAAVTDELA >OB01G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:662215:666271:-1 gene:OB01G11170 transcript:OB01G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLIGDDPEKKPYSKASKALFSDIEHGCLPQVILGDMPCKFIDGTVVCEVRDYRPFLSNAGDSSGDDFPIVKRISLRLGTECVVKDLASIVNASWTYHDQLIAEATILRALQPRLNLDPTPCLERLQNSVVKKIDLGINKGRQQTKATSIVNISADPPENCKPKEFITCEGAVVYIENEAPLNEAPEGLPCEILDNLSMNCPYSLQIEKAKSAAGSDPDNATQYSSTFMNRPALYDRKQSSSGTPAPDLLLQSQEQPVQGAVLPVDHEYGRVQKETVLPQNRKENSNLRCEVQEHQNCGPSNKYSRLSSENSKCHFQMSMRTANNKGLNLVSPNEQTVRFKLDQTTGSKDMTIQQQKALSAFTATHPSLDTNNLCAEKIPEEVNSSSIRLTDRNMASTVGPDNYFVSQLKDRRAPFVTSCSASSRKAPSKPPKFATEPRPTSSKRKVLEVSTSLNQEPDSEGKRQKKACNQSSTLCENRSSVEQDFIGGVSSQLGISPDIESCIEDPSYTIEPDIEKILSEAILTSQRHGLNGKAAKIEGPERTWPLPPSNFFPTESAAEIAYTQNETMSYYQTGRTMDARKIRKLSFHPVQYFCRGVVDECHYTLCLLSSEALDDHQIAVETIYGDERIYISTVPTSHHANKLVDQFISLMKRDGYTLCNDIREQTEIAPQLGSLTGEYPQYPWRSSPIARNVAINGNNNIGYTFHNGSPYVHANGQQQWMQAQQCATLASFPTYFWNPYHPGHQRYTNTILDQGRSFPNGMFSMNLNQYQPVQQRQGVGQCCQCRHDTPGFSESTGSYNQWRQVSTPMGGKVYQWDLPASDRHFCSCPPLHYAGSSTPLSTLYPVGSPPVSSDDGSVTSTPVQLQAPLGYQYMSHGHGMW >OB01G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:660896:661132:1 gene:OB01G11160 transcript:OB01G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKSAPVLLECDLGRRWVGEPRIELKTREVELAPAAAQRRSPWKQEPPLERKERVIRRTSSASPWSHHFLLFSFLLVSIQ >OB01G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:656055:660850:1 gene:OB01G11150 transcript:OB01G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDAVKVGWPFLQSTCLAGVYLLFALLFPSYPSPHPFALPLRQKLILIIKMSELALAAGAVLLVYLLLGADLQLQAKAWEDKDFFSYCPPARCSKHGPEIRFPFHLNSSNSGKIPSSCGLPCMKLSCSGQDTILHTNKYSNLASPYRVTAMDYSRAILTIVPLAVTDPSSSSSSLSPCALPKSVDHYDCEDAYGDPCYNYETAAIISCSTEFAPTSLPAAADYIAGPISCLSNNTHFSYLVHYDVPISLIPLDCEAVSDFPIPVPFPNDWVSFRETAERIINFSETKVNWRTYPNRIASNCSKCEEQGQFCAFSSQRNQTFCMHQGSRAKVIAATSSVAAFVVLLSMVATALYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKLGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGSRHALIYEFMPNESLEKYIFSHDSNISQELVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSAKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSRRRSADPSVESQNEVYFPEWIYEQVITGQDLALAREMTPEEKEIMRQLATVALWCIQWNPKKRPTMTKVVNMLTGRLQNLQVPPKPFFSADSYPAL >OB01G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:654350:656835:-1 gene:OB01G11140 transcript:OB01G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIASPRSSSTTTLTLQKAVLICSLLTVVGAEVEGQNLQCSPSSCGDLHNISYPFRLEGDTRECVGAPRPWYNISCSNGKATIQINTRTYYVTSINYTSKDFMVVDATINDVNSSCPLPRSDHIPYNGYIDSYGYIDLATSSYHWACFVNCSRAITDSRAWYSTRYRPITCMPANCSFVFIPSLLPCPVGELQPSCRYLAMIPFDTRPAMNDSQISQLPNASYRNIIGFIRKGFRVQFPIDLNYRRVRMSTCLKDSTTYFKQHTSRASIQNLTRALFWSETYSEVDCSYEGAPTKDLIFLGIIVSAIDITKLHFVLFRFVLAPLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTSSSSCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNVANSSQAYYPSRVYRKLTRRETCEISAIVDMHELERKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGSDELQVPPRPFFCDDEQFPGVDSYHRSSDLTAISEEQDDDESICLFQSYK >OB01G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:646060:647916:1 gene:OB01G11130 transcript:OB01G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIGSTVTYTLQKAVVISCLLGVAAADVGGGGQDLQYCPPSSCGDLANISYPFRLESDSRGCVATPHPWYNLSCSRGKATIQINTRTYYVSSINYTDSSFLVVDATIQDESNNSCPLPRSDHPPRTRWPLSLLDVPTDSYGFLDLDTAFDSAWACFVNCSKPIADTSPRYRPVSCLPANNSFVYINEFSSCTVGELQSSCRYLSTIPFDSRHISDLQLQNSSYTDIIAFIRKGFRVRFPLDYNFLNHHISTTECLNNSLSYFKENISGGSILNLIRAIFWSELYFTRCRAADHAYTAKLMSLMVIIVSSIDTIKLYFVVCRLLLGPLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTSSSSCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGSTTCIRAVRCRFCTLTSSHTTSFLMITLSQKLQISALLSCTQGIRALSQLALHEELWAT >OB01G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:642804:645808:-1 gene:OB01G11120 transcript:OB01G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPAFVAGALLLVSSLHLQAMAWEDKDFFSSCPPSRCSDHGPEIRFPFQLESSNTPSSCGVPCMKLSCSGQDTILESKYSSLGSPYKVIAIDYKYTTLTIVPLRGLNSSCPLLQSVPLPSLKSAYYYHDANWSSCDIYDWDSAVLVSCSAELTPTRIPDVAAASAIAGPISCLSNATHFSYLVAFDVPTFLIPIECEVVSDGRIPIPYFYSGDTYKFRGSAERILSFSDTTADWRYYANDAANNCSQCEGQGRHCAFSSQRNQTFCMRRGSRIKVIAATSSVAAFVVLLSMVGTALYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEVKKIARRFKVKLGQGGFGSVYKGELPNGVPVAVKMLENPKGDGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFLHDSNTSREVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLARLCARDQSIVTLTAARGTMGYIAPEIYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVEVQNEVYFPEWIYEQVTTGQDLTLTREMTQEEKETIRQLAIVALWCIQWNPKNRPSTTKVVNMLTGRLQNLQVPPKPFFSADNHPVL >OB01G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:641761:642501:-1 gene:OB01G11110 transcript:OB01G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGSVASGRAAQDGGEHGSIVLRWRALKAERIPGREDSGCACDSSVGPPPRGGRAAGDGERRSGELGSWATNGPLFGAKSKRASSHLGLPEILLLPLLRRRRRRRSACRFPEHWRRRHQGSGTPFRPACWRVRFLSLPPLCRQRGAGAPRCFPRHHASPSLERHRHPERFHDAPAINWFQILPYAARRLPLAR >OB01G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:638140:641401:1 gene:OB01G11100 transcript:OB01G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLVVVLLLSLLIYAATAWDAEGFFNFKSCSPNRCSKHGPEVRFPLRLSLQSPSCGTPLLELSCSGQDIILYHQVLGSCKVTEISYKNASMTVIPLVDSTPHCPLQKLILANLSTDAYKPQTLGAASLPDCTVVCKGIHSPSTYKETEDGVIGVSSYVITIAAPSVAIIVVLLLIVATALYLFLKPQYDEEVHLKVEMFLKTYGTSKPKRYTFSEVRKIARRFKHKLGQGGFGSVYKGDLPNGVPVAVKMLENSIGDGQEFINEVATIGTIHHANVLRLLGFCSEGTRRALIYEFMPNDSLDKYIFSQGSDVSRDFLVPAKMVDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYRFNPKISDFGLAKLCARDQSIVTLTAARGTKGYIAPELYSRNFGAISYKSDVYSFGMLVIEMVSGRRNLDPNIETQDEVYFPEWIYENLFTGQHLTIGMEMTQDEKDRVRQLAIVALWCVQWNPKNRPSTTQVVNMLTGRLQDLQMPPKPFVSSTSNSMQ >OB01G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:634938:637300:-1 gene:OB01G11090 transcript:OB01G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAFRRSAALHAMVAFSVLAVLVPDHVQGQHRCPDFSCGRLNNVSYPFRRRGDPSYCGVPSYELACSDSNATIHINKATYLVTEINYSDLYFWVVDASLDSANNCPLPRWNRPPYVEKYRLGEDSHRSVQVQLAPDVEWFATFVKCSREMHSSNVMYRPVACRSSDSSFVYVITGRGSYFAEYLEPSCGYLAMTPLAVGGLENWRTATAAATLEDVSYEDVVTSMREGFAVRFPFKSGRFFDCLRGLISDSSGKPTRSRPFNIFVNIKSYVSICGRHATQLPHPLLVLLMSVPLTQAFSIVRGIFVCRFILVMLLVLTFLIYMYWKIIRMKVDVVEKFLRMQQMLTPTRYTYTDIAAITSHFRDNLGQGGYGFVYKGVLLPGDIHVAVKMLKVDSSCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDANFVPKVADFGLAKLYPRDESFIPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRKNADPNAENSSQAYYPSRVYRQLTRQETGEITAAAADMHELEKKLCVVGLWCIQISPCDRPTMSEVIEMLEGGVDRLQVPPRPFFCDDDHVAAVETFHLSSGTELAAISEDDDDEQS >OB01G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:628675:632340:1 gene:OB01G11080 transcript:OB01G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSCLQCREIQMSGSSKLLAISLVLALLSHGTCTYNKPGWDDDCPASRCSKDGPEIRFPHRLESSNTSSACGASCARLACSGQDTILHHPFLGPCKVTSKDYKKAVMKIIPLQFTMSPCPLPKPVFDSLPPYGIYSCDLYSRVPAKIVQCSEEFIPSDTSPIPLEYDPFQNAAENIVGPINCLSDKGHFSYLVSARLYMYLLPLDCRIVSRGYIPIPGRENIGRPTFKEITEKFINFADTTIASWLSYGGVIYNCTTCERHKQRCAFSLQRNQTFCISHGHHGSSVKVISATSSVAAFVVLSFIMATALYLSLKSKYDEDVHLKVEMFLRTYGTLKPTRYSFSDVKKITRRFKEQLGQGGFGSVYKGELPNGVPVAVKMIEHCTGEGEEFINEVATIGQIHHINIVRLLGFCSDGTRQILIYEFMPNESLEKYIFLHDPDTSQESLAPNKMLEIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIENQNEAYFPELIHEKVITGQDLVFGREMTEEERLKVRQMALVALWCIQWNPRNRPSMTKVVNMLTGRLQNIQVPPKPFVSYESHHVP >OB01G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:628455:628739:-1 gene:OB01G11070 transcript:OB01G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLPLIWISLHCKQLPDMLHQAKADSRVLRCKQQYMQGDCLDLGWKTPSSPVLLYCFCFPLIARIRCGTWSHNSRMVRFNYRTFEILKVV >OB01G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:622089:624886:-1 gene:OB01G11060 transcript:OB01G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTTALSTVLCVLALVVADAERVHGRQHGKDDACAPFSCGHLQNVSYPFRRRGDPRRCGVRAYELDCSSSAGKATIRINTGTYHVTSINYTASVFWVVDAGLQQDAAGNSSCPLPRSDQLPFIRGIRGSHDSWYLVRDSTQWVIFVNCSQKLSTNSSIYRPVNCLTTSSSFVYMATIPSLLVHMRGTSPYVALNANSPSIEFIEPPCGYLATVPVSDQYMDRDATYADFVRLIMAGFAIQFPTDGGPWTTWFGLIKECLNEAVSLADHQDQPLPSTGTKDRIVDILSIDLRFWGCTIGLARSYYNDIPHAITDLIRGVDNSLYHKLFILYSLCLVKWIAVLCRFLLAPLAVMIFLARKYWKTRITIDAVEKFLQMQQTLGPTRYAYTDIVAMTSHFRDKLGQGGYGSVYKGVLLPGNVHIAIKMLDGTSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSERRSFSWDKLNEIALGIAKGINYLHQGCDMQILHFDIKPHNILLGDNFIPKVADFGLAKLYPKDKSFVSDRALRGTVGYIALEMVSRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNANSNASQAYYPSWAYGRLIADQQQADDEISSAVEMHVLERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVNVLQVPPRPFFCDGDFMPPPSVMDSYLHSSGLTAISEEDDEITEFASLMMDGNEARN >OB01G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:615692:620723:1 gene:OB01G11050 transcript:OB01G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKLLSTTLLLCLLSYQYYVAAAWDDEDFFKTCSSQRCSKHGPEIRFPFRLSTQPPSCGAPGLNLSCSGQDTILDHPVLGPCKVTAISYRYAFINVIPPVDSSPHCPLQNLMSKNQSTSVYELNTDGASLVVCSRDPIPANRYTDIVGPISCLSLNNNQSWYLADPYTYMSVLPLGCTVVSKDIPMPYSYDKIGSINWDISNFKEAANRVISNGETVFMWYSSNITRICQQCEREGRPCGFSSQSAQAFCHHHGLHVTLVAATSSVATFVVLSLVVATVLYISLKSRYDEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGGFGSVYKGELPNGVPVAIKMLDHSTGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFPHSPSTSAELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDFSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNSDPTIENQNEFYFPEWIYDRVINEQDLVLTMETTQKEKEMVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLVM >OB01G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:611756:616405:-1 gene:OB01G11040 transcript:OB01G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSFCRSTALQAIYVLCLLVPDAGGRHHHRPTCPPFACGHLSDVSPPFRRRGDPPECGVASYELTCGDGKATIQIDSGTYLVKSINYTDQTFWVVDANMLDSHNTCPLPRWKRNLDYYESLEEDSSRSHKVELMPSYHWGTSAAVFVTCSREVTNSEMQYMPVACSSSSSFVYVLTGRYSQYMHSLEPSCGYLAMTPLALGKENASYADVIKLMTGGFAIRFPYTIHPFSSSSVKDCMAESFQYIREERSKPAWIVHTVMLDFGFWPCVFDPRSRSTKAARVFLELSTLAMWIWKWIAVFCRFILAPLVILTFLAHKYWKIKITTDAVEKFLRMQLMIGPTRYAYTDLVAITGHFGEKLGQGGYGSVYKGVLLPGNVHVAVKVLGNSNCNGEEFISEVSTIEEMRRSLVYEFMPRGSLDKYIFSSERSFSWDKLNEISLGIARGINYLHCGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAEGRRNSNMHAENSSQAYYPSWVYDQLFGQQMGVGETTFAIVSDMHEIERKLCIVGLHCIQMRSHDRPTMGMVIEMLEGDIVGLQMPPRPFFCDDELIPPVGDSYHFSSELIEISEEDE >OB01G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:605754:607715:1 gene:OB01G11030 transcript:OB01G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPRTRGATALLPLLLLLVAAANSTSHAATLNITNLCSFTVWPAAAPVGGGVRLDPGKSCPLDVPAGTGAGRVWPRTGCRFDANGNGSCQTGDCGGALSCKRNGDPPLTLAEFSIGQLNSQDFFDISLVDGFNVPMDFLPAPEPRQAGAPRCSKGPHCQANVTAQCPDELKAPGGCNSACNVFRQDKYCCTGSGSGNGTCEPTTYSLSFVRMCPDAYSYSRDADSSTTFTCPSGTNYQIIFCPPADMTSSSPTPPNPPIAIGPPGMESSSKRGGRVVAAIVSSVIGSTAAFAIVIAYVMIKRRTRRHREMQDEEQEFEELPLQGMPRRFTFQKLQEATDQFSHKLGEGGFGSVFMGDIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLVGFCAEKSQRLLVYEYMPKGSLERWIYHQQGIDSPALDWWTRHKIITQVSKGLSYLHEECTKRIAHLDVKPQNILLDDNFNAKISDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSHITEKADVYSFGIVVMEIISGRKNLDTSRSEQSNHLITLLQEKVKSDQLVDLIDKHSNEMQAHEQEVIDMMKLAMWCLQIDCKRRPQMSEVVKVLEGAISINTDIDHNFVATNPVSFGVTGTADSDPPLASDVSGPR >OB01G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:601341:602873:1 gene:OB01G11020 transcript:OB01G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLPELNQGGTPPPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGSITCEPTTYSLPFVRMCPDAYSYSRSDASSPVFTCPSGTNYHIIFCPPLDLTSSIPAPIAAPDSNKQGKVIAGIVISVIGSTSVFTIVTAYIIIRRRTRRHQHHEVHEEQEFEEISFQGMPKRFTFQQLQEATDRFRDKLGEGGFGSVFVGRIGDERIAVKRLDRSGQGMREFLAEVQTIGNIHHINLVRLIGFCAEKSQWLLVYEHMPRGSLDRWIYHQQGVVFPSAPPPPLDWETRYRIITHVAKGLSYLHEECMKRIAHLDVKPQNILLDNNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSHITEKADVYSFGIVVMEIISGRRNVDSSRSEESVHLITLLQEKVKSDQLVDLIDKHSSDMQAHGQEVIQMMKLAMWCLQIDCKRRPQMSEVVRVLEGTISVETNIVHDFVAITPVNFGVNEIVASAPPLASDISGPR >OB01G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:600889:601077:1 gene:OB01G11010 transcript:OB01G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPALLHLLPPCQNKRRVNYITAQDFNHDTNGTSYYCYFLLHPPSPSSTARCLSRRHHHHH >OB01G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:595632:599260:1 gene:OB01G11000 transcript:OB01G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWVWGALFLPGIFQALNILSVLGVWGVLVTKSGAADFQEQRACIPFSCRHLEDIGYPFRLRGDPLGCGVESYELDCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNSFPYFNEIDTELYIVAARWATFLNCSRVINNGMYMPVACLSGNSSFVYVLTTPSSYYVQSIEPSCGYLAVIPVNDSRENVPDYASYADVVRFMRNGFPVLFPRVKSQSHSPVIKACARDTFQNFKGQISSRNIQNWTSAVIGTEMEFLGCVINDYSNVAQVWVTLILVLAIEIVKCIIVLCRFILAPLTVLTFLGYKYRKQRISIDAVEKFLQMQQALGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALLYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPMSAARGTIGYIAPEMISRSFGAISCKADVYSFGMLLLDISGGRRNREQHASNSAHPYYPALVYDSLTRQEASKIPEDVAMHWVERKLCIVGFWCVQMKPSERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDSCCHSASKSNSITEDD >OB01G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:594671:594934:1 gene:OB01G10990 transcript:OB01G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSLMNSSPSPFEFSSIFTATGTPFGNSPLYTLPNPPVPSLCLNRFVILFTSENVYLVGLDVPNVLRNISTFSCISSSFLVLRAR >OB01G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:593697:597464:-1 gene:OB01G10980 transcript:OB01G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVTSLLFSLLNYDAVMGAGSDEADFFRNCPPSQCSGDGPNIRFPFRLESSSSSCGAPGMQLSCSGQDTLLLHPVLGLSKVTGTDYSYGFINIVPLAESWSQCALQKIISTNYSTSVYEQYGFQYASLVSCSGEFLWNITDNIFGPISCLSNASQSLYLVAPYLFVSIIPLYCKVISTEIMLPYTSNQGRQFDASAKRFTAFSELTFTWSAPNITDVCINCEQQQRLCGFSSQRRIAFCKPHGSKSLAKVIIAAVVPTIVVLTLVVASALYLALKTKNDDEIQLKVEMFLKTFGTSKPTRYTFSEVKRITKRFKHKLGTGGFGSVYKGELPKGVPVAVKMLENSNGEGEEFINEVATIGRIHHVNVVRLLGFCSEGTRHALIYEFMPNSSLEKYIFSHYYGSSQETLVPDKMLKIALGIAQGIEYLHQGCSQRILHFDIKPHNILLDHSFNPKISDFGLAKLCARDQSIVTLTVARGTMGYIAPELYSRNFGVVSYKSDVYSFGMLVLEMLSGKRNSDPRINSKNEVFVLEWIYETIVSAQESEFAKEMTEGEQDKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLRNLKNPPRPFVSSLG >OB01G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:584775:588721:1 gene:OB01G10970 transcript:OB01G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDELDRSAGHDATPDLGDGLAARVVHARHATRGAAGNWLSCFSSCCRPSRHDNATVAGSPSMASQTKHDNEHTKELPFNRADISQKLKTLIEGLDSICPAVSELIKTYPTSVPAPMKRPATSSVITQKKLNGRDAIFHQTIDEMLKCGTHHDHTENMSVLPIVGPGDIGKTTFTQHLYNDNRAKQHFTAFGWVCVSTNFDVTELSRKILKSLDANGSEGSIRDYDKCELDQLHKFIQEKLKSKKFLIVFDDIWEHDSSKPTSTKSFSKGEWEKLLAPLGMGETSGNMVLVTTRFPKVANAVTKGTNQVDLHGLEPDEFWEFFRQCAFSGTEDDNDMEILTDIAKQIAKKLKCSPLAAKTVGPLLHKNPTRKHWRQILEKEEWLKQKDGDDSIIPALKISYDYLPFHLKKCFSYCALFPEDYKFDMLEISCFWDSIGIIDSNGKNDKTEDIGSRYLSELYDNGFMMKGDDNHYVMHDLLHELSQIVSSAECAYINYSSFRADDIQPSIRHLSITIKDKYIESFKGEMEKLKDRVDIRNLRSVMIFGGYRSIRTANVLKDTLNQILALRVLFIFINSPDSLPHNFSKLVHLRYLKIETLWRCEVCIPSTVSKLYHLKFLDLKSWGGNDYNLPKDFSRLINLHHFLADEEFHSNVSEVGKMKCLLELKEFHVKKGTLGFELAQLGQLQELGGELCICGLENVNKEEAVEAKLKDKSNLSKLRLVCGTEHGDDILDSLEPHSNLTELSIVNVGGGLAPSWLGKNMHITNLDTLHLDGLDSLECIDCPNLNELPLSSCSGSSAEETNTMWFPNLCRLEIRGCPQLSLALVPHTSTLTYIHLNDTILTLDNDVCFQGYNGALAFQNLGYFEGIYSTAYIRHMSLIDFQPLHSLQKLLINLEDCECEDTFFRGLDEDVNVVVFNSIKDLGLYHFSLTRKVLSNLFKCFPALHGLSITSKESNEEVTLQIPSSCSLRYINLWDCKNLMLPVNDGVGLGNLTSLESLTIGDCGNIFSQWYSHMGKATQTTSNPFPSSLSKLYIYKESSIYSMALLSNLTALTSLRLEDCYNLTKDGFNPLITSSLKELSIINSGSVAADLLAEMATMPEVAFQLEHLEVDSISAALVAPVCSFLAPTLRKLSICGDDRVKVFSDEQEGALQLLTSLERLSFYDSDVLQSLPEGLHQLPSLTELRICRCPGIKSLPKKGLPSSLEKLSVSDCSSELEEQSKIFQEEKNKRFEEERERQSESD >OB01G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:578849:579213:1 gene:OB01G10960 transcript:OB01G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRRKELEEVNRRRHFCSLLLLLMSSIVVVLLVVLQTALLPGVGQSMAERGGDEPPPAPTSGGGIHEAFTYWPGYGEVPPLSPEKQH >OB01G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:562901:565035:-1 gene:OB01G10950 transcript:OB01G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03440) TAIR;Acc:AT3G03440] MLTSWFMNLVLGWPHTNPWLKVKPGQFGAVWNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTVTDNLQTILSIQPIPSLIELLKGGKRSSKTADKCCALLESLLAFDQGRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >OB01G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:560450:561466:-1 gene:OB01G10940 transcript:OB01G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNKVDAIVVGNVDGERVTGNGQDLEQARRDPAPADHPATRGLRIGFLIREVMVEGLASFLVVFWSCVAALMQEMYGTLTFPMVCLVVALTVAFVLSWLGPAHFNPAVTVTFAAYRRFPWRKAPLYVAVQLAGSLLACLSVNAVMRPRHDYFYGTAPMAGRGTRLPFLLELLASAVLMIVIATVATGSAGKTAGGIAIGAAVGGLGLVIGPVSGGSMNPARTLGPAIVLGRYDGVWIYMVAPVAGMLLGALCNRAVRLSHRIVAFLCGAVGVAGAPPQTS >OB01G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:559026:560144:-1 gene:OB01G10930 transcript:OB01G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNSAAAAAPAPATNAIVLTPGAAPPPPTSSALPTLIPPSDWSLSPADPALATAASFLSTSLSSASVSLPRFRSLLGSFLAALSSSLSLPEPSASLPQAIRSIAPYFPAALASPVASRASSLAEYDVLLALVDCGLLRHPPPNILSSLSEANRPDLVCAVVRQAADLRSSELLATLRFFLSPASDGAYDAMMSIKDRWKEAAVLAVNRCRQGKKADATARQAALLLMMGYDGFTSPEVCLHYLFASGNVDSMVFGTAVAELDGGEVARLMKYLAKWIGKYQRFPEAQPCPEAVGMPGLELCDAVPSFQVVAMALGLVLDQHFSHLVLNAELKEDLKTTEMMVKQLAAEAESAGPVLDLLRRLQQDV >OB01G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:553865:554320:1 gene:OB01G10920 transcript:OB01G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTLLVILVFSALVSPPRLAVGDSYPADCPYPCLLPPPTPVVTTNCPPPPSTYSYPPPPSSSYNTPPSSSYWNYPPPQGGGYIPYYQPPAGGGGGGGFNYPAPPPPNPILPWYPWYYRSPPSSSATARGRSFLGSVAAVTAAAALIIVF >OB01G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:550440:550751:-1 gene:OB01G10910 transcript:OB01G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVPTAKQLLIFSTYCNDDRTDVTRPIHLIISPNYPTFFYFSLVWWHKERHTCMPLPCVTKPSKAQLHTKIKCVQKSDHTSPRFPRIPSIYTVFKEKVEHKPS >OB01G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:548063:548563:1 gene:OB01G10900 transcript:OB01G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWLQLPCTSLLLVLIAAASVAGDEKCTACGTPSPCGTTCTYASPPPPDLPTPVYYPPPPPVYYPPPSPPPGGYPPPSTKPPPPPPPRGGGGGYEPTPGYNPTPSYNPTPSGWFTPPNLPSYLTPPGTLYPQDPGVRPNAAAGSTVSWRAVVLTAAAVAGALAL >OB01G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:546066:546485:-1 gene:OB01G10890 transcript:OB01G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQMQYSVTVESEFNTYIKGTVNTGHCKSTKKAESTKARGSHASAILHAPDARNPGSWGNSVVAGDGSAQGNGCVKLPGGGVVGQNGNGETVAGGDGIPDGSMSAATQAADHSDATATAAAAASKIGRRGGIFAPFS >OB01G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:546019:546405:1 gene:OB01G10880 transcript:OB01G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCRSCELLLAQIPQEKGANMPPLRPILLAAAAAVAVASLWSAACVAADIDPSGMPSPPATVSPFPFCPTTPPPGSLTQPFPWAEPSPATTLFPQDPGFLASGACRMALAWLPLAFVLSAFLVLLQ >OB01G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:541855:542370:-1 gene:OB01G10870 transcript:OB01G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILIQLHSDQTAPDLLVIVAAAAVALFVVSLCTSSQHAKVWKPQQSGSSPPLKAEEAAGGGGSRKPQLLATLSGIGGKAAAVAKMVSWNRRARPGCSSDDDEEVDEMRSAAAVAGGEEEEALWRKTIIMGDKCRPLQFSGHITYDSDGNQLPAATIKKGGAADASNSI >OB01G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:536695:540601:1 gene:OB01G10860 transcript:OB01G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLGRPSAAGDPPLVRISGRRNHLYTLIMTDPDAPSPSDPSMREYLHWIVVNIPGGTDAFKGEAMVEYMGPRPPVGIHRYVLVLFEQKARFVDGAMMPPAGRANFNTRAFAAHHQLGLPTAVVYFNSQREPANRRR >OB01G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:528719:530053:-1 gene:OB01G10850 transcript:OB01G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQASSKRATLREPLLYDDDEASMPLELFGYHGGSGDADYYYSWSGSTSSSSSSVLSFDQAATGCARQLAFHSGGDDEYCTAMWMDAAAGMVENPSVAGNYCHRAQLHGAASFGLASPGSSADTGLDIHDSNVSKPPPAAKAAQKRSCPGGEARAAGKKQCRKGSKASKAASAPAPATPTNKDHPQSAAAKVRRERISERLKVLQDLVPNGTKVDLVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >OB01G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:521948:525227:1 gene:OB01G10840 transcript:OB01G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger ;hAT family dimerisation domain [Source:Projected from Arabidopsis thaliana (AT1G18560) TAIR;Acc:AT1G18560] MGNATLTDMTLLPSVEPPAADADALSGMAPTSSGKPKKKTMKSLYLQFFDTAPDGKSRVCKLCKKSYCMTTATGNLGKHLNNRHPGYCQLSEGDTAQSNTISSMFSRGKKSQSIARTRSQAQSLPQVQPQPQVQSQPQTLAKVHPQPKAKSAIDIDHVNWLLLRWLISSSLPPSTLEDSMLIDSCKYLNPPVQLWQKEKAHEVLLQVFRSMKENIKASLQCVSSRLSITLDFWTSYEQIVYLSVKCYWIDEGWALQKVLLDVRRIPYPCTGPEILQVLMNVLHEFNIDSKILACTHNNSQHASHACHELRQELESRKLPFCYIPCAARTLKIIIKDGLENVRPLLSKIREFILETNSNQEMMEDFKHWTEVYQEGSWKLPFDHSSNWSGDYNMLDVVKKAPNAMDNTMKKFEGIFGPRDWVLSSTEKSAVNSLHSFLEPFYKTTTNLCTCKIPTVGLVFFFMDHVIELINVCHDSTRHEWLKKIAGDMSETAHNFASQAYNIYTFTAAILDPRIKGELIPEALNSTSNLEDARNQFIRDYSSTFEAVGNGYSTQDTTDGGDAFSFAEEIVRKRRRVNMITAADELSQYLAEPPAPISTDALEWWKGHSSRYPRLSLMARDFLAIQGTSLDPEELFTSKGDSMRKQHYCLPLSSIQATMCVKSWMQSGYQFNFQSTIIDFKRLIESAVAPDAVDAPEFS >OB01G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:519675:520073:-1 gene:OB01G10830 transcript:OB01G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGVLADAQNPAPKPPHSLIRARRPDTNKIFFTQNLTAIRFSPVQLRNQDARYNFDGVTGTCRGSSCRGGRRRAWWRRAGSPSPPPYPRPPRPSPLSPPSPACIPMITHTTPPFQKKIDKNRRRMAASGR >OB01G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:519292:521005:1 gene:OB01G10820 transcript:OB01G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G48960) TAIR;Acc:AT1G48960] MESQRVVVVVEDVAAARAALQWAVRNFIRSGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFKELCNGIAEAKVEIVVRDGEVGDTVVATVNQLGATTLVVGLHDKSFLYRSASPYERVRRLGCRVLCISQHATARHGSFNAELTQIETINLHVPPPKIPFPMFTLPLGLLWGRRSKAKKRK >OB01G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:512391:517190:1 gene:OB01G10810 transcript:OB01G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEXXXXXXXXXASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQSALASSSLPSAGSTVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSAPWGSSAPPAGNAPALSVPPMYWQGYYPPGGLPPHLQQTPLLQPTPGLSVPQGLQYAGLNPNLSSGPQKLSELQPPFLQPPGSTQGPSSGILPTTTAPSSANLLAPETSKPLLPNMGPLFTPPVSSVGATLPLASLPTSIAESSATASHNFNSLVSNKIADIPGSTLAYQSVSQAISSTVASSSSAQMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKTVESKTRVVEPLLPDPSSRALPENMEPILPLPKQTPQKYNGAGSHSNHNFRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKTQSRDKDGELGDDVFDEDLEYEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRGRGSYYGGRGYGNMGGRGGGQGNSYPHRGSY >OB01G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:510029:511290:-1 gene:OB01G10800 transcript:OB01G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G74070) TAIR;Acc:AT1G74070] MSHQILNTSNPTLPSLPQPHNHHQPPPPPPKLGRRAAAVAIAAAPAILSVTPAPSRAQEATGAAPCIADLPVTAKAFPDVSIGGEPAGRITVGLFGDTAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAIEEVTDRLAAEMGAVRAQCRDGRRPHAAAGAVSIVVRDPSLPPPKPKLVARGGKLEIDQEQVGVAPNGTEFVITTGDAPELDASALVVGRVVDGMDVVGKIAAVPTVRDNTASPYFRVAKLIGDKRAVVAERGFNRPYTKILVTNCGVLQQQP >OB01G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:508849:509353:1 gene:OB01G10790 transcript:OB01G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSASAATMTTTIVLLVAVLSVSARYGGGTPEGDALRICSGAYFDAANDLDIDAHDSLDSRDYVAASRLVSGAGGAADTCEGAFAAAKVSSVMADVDQKMKDRCSVARDLINLLIPPPPPSDLSSLILTT >OB01G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:504449:504676:-1 gene:OB01G10780 transcript:OB01G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAPSSSCLSSPIVFLLQMQERAQLRKSRLQQNSDTPGWPYIQLQYCSRTDNEMIDRWYHHESIIYLKGVVHKS >OB01G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:503844:504017:-1 gene:OB01G10770 transcript:OB01G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHTSPASQQPPKTQQLESMPPPPHRTFLQSGVKPHSTPHLLLHGVCSLLFIILGG >OB01G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:500460:503260:-1 gene:OB01G10760 transcript:OB01G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCSKHLALSLLLLLLVHGCSGQQGEAAAPMEEKEKRALYAAIEGFVGKGWNGSALYPDPCGWSPIQGVSCDLFNGMWYPTVMSIGPVLDNSLQCSADAKFSPQLFDLKHLKTLSFYSCFPAANPTPIPAASWDKLAGSLETLEFRTNPGLTAAIPASLGRLSSLQSLVLVENNLTGAVPAELGALVRLRRLVLSGNGLSGQIPASLGGLKGLLKMDLSNNLLQGSLPPELAGLRSLTLLDLRNNSFTGMATLQDLLLSNNPLGGSVQQLAWEKLLSLATLDLSNLGLVGAIPESMAALTRLRFLALDHNRLTGNVPPKLAELPNIGALYLNGNNLTGTLQFSSAFYQRMGRRFASWDNPGLCYNNVAVDAAHAPPGVTVCKDVQAAGAGVRDKVDGRTPEVSSSLMATSFAISASNVNGFWFLVLVQGMVATSLLFIFL >OB01G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:483589:492288:-1 gene:OB01G10750 transcript:OB01G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase 4 [Source:Projected from Arabidopsis thaliana (AT1G68750) TAIR;Acc:AT1G68750] MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRAAGMEDTAAVVEKQLWADLSAMSLEDSLSLSRAFSHHLNLMSIADTYHRVRKARNFSDLSKSCDDTFDKLIQSGVPPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNDRADLSHEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSVLSQWMAIDLYIRELDTLSFELSIKKCSEKLENLANDILLKESASEDQKTNTWKQTGRQSNLKPQPSLPLPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLFLNTTEKREDSTLPSPSHRQMGRTPSGGQLRKMFTESQMGRSSFRKLLEPGISDRPGITPYRVVLGDVKEKLMNTRKRFELLLEDVPCDRDTSEYYETSDQLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTETLDAVTSYLDLGVYSEWDEEKKLDFLTRELKGKRPLVPPYIQVTADVQEVLDTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLREAGSAIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTHLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDPNWRRVMEEISRVSCAQYRSTVYENPEFIKYFQEATPQAELGYLNIGSRPAKRRATAGISNLRAIPWVFAWTQTRLVLPAWLGVGRGLQDACDKGHADELRAMYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVGDGGRRALGAELRQELARTESCVLAVSGHKKLSANNRSLRKLIESRLTYLNPINMLQVEVLRRLRQDHDNRKLRDALLITINGIAAGMRNTG >OB01G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:478480:479355:1 gene:OB01G10740 transcript:OB01G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTPMPAFAPELTPPSFGKRLLSCVLGLNDLLDRSAAVAGTEPGDGGPEAGVDGVVPGGPELAADGVATGDKGLVGVGMAGSPEIGDGLTGEGLDGLPEGDGATGGACGVLGVLRCGGGGDCCVTGGVSERVGGLGGGKSDRDDGGGGDAATGGCCTGEGFGGVAGVGGTGTEAGGDGGGNVAGGVGGGDAMATGGGGTVAGGGTAAGTGGGEGGGEAGCGGGVAADGRGGEAAGGVVEGSAGVALGCVAGVGVAVEGGVVVVVVGAAAAAAGVVVPGEGDGDADAIGG >OB01G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:476092:479345:-1 gene:OB01G10730 transcript:OB01G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSPSPGTTTPAAAAAAPTTTTTTPPSTATPTPATQPNATPADPSTTPPAASPPLPSAATPPPQPASPPPSPPPVPAAVPPPATVPPPPVAIASPPPTPPATLPPPSPPASVPVPPTPATPPKPSPVQQPPVAASPPPPSSRSDLPPPNPPTRSDTPPVTQQSPPPPHRRTPRTPQAPPVAPSPSGSPSKPSPVSPSPISGDPAIPTPTSPLSPVATPSAASSGPPGTTPSTPASGPPSPGSVPATAADRSNKSLSPNTQDSSRLPNDGGVSSGAKAGIGVVIAILVLSLVGAAFWYKKKWRRATGYHAGFVMPSPASSPQVLGYSGKTNYSAGSPDYKESMSEFSMGNCRFFTYEELHQITNGFSAQNLLGEGGFGCVYKGSLADGREVAVKKLKGDGGQGEREFHAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNSFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALETGDVGELVDTRLDKNFNEAEMFRMIEAAAACIRHSAARRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSQSSWDSRSRDVDASGSRPL >OB01G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:471670:474966:1 gene:OB01G10720 transcript:OB01G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSNSDLLEPRDVCIVGVARTPVGALLGSLSSVPATKLGSLAIQASLRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAIMIAAQTIQLGINDVVVAGGMESMSNAPKYVTAARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYSIQSNERAIAARDSGAFAWEITPVEISSGRGKPPVIVDKDESLAKFDPAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKANSLGLQVIARIRGYADAAQAPELFTTAPALAIPKSISNAGLQTSQVDYFEINEAFSVVALANQKLLGIPSGKLNVNGGGVSLGHPIGCSGARIVVTLLGILRHKNGKIGVAGVCNGGGGASALVLELMQPSLFTRSLL >OB01G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:468134:468382:1 gene:OB01G10710 transcript:OB01G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVLGRTRPPGYRPATARPAPAGSTVQAPARRPSCRAASSSCACSCSWPEPPPEAAPRALRPLAGASSLGATRRAPRQVXXX >OB01G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:466951:468377:-1 gene:OB01G10700 transcript:OB01G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXPVEAPAALPPATTPPPVAEAPAELPPAEAPAKSKNKHKKKKQRGKKASAPAPEPLSPPAPAAPSPADNQEDVSGPAPSAFDLNGSNSKYGQWGFVLQTVMAALLLSLAW >OB01G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:462659:468104:1 gene:OB01G10690 transcript:OB01G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYSLLKKDLKRMQNDQFQGTLITTLQTTRHDQHQSIAPSSYVSRCRLMLLKLSFFGSAKDHAGLIQVHRRLSRGEVYETDVTELETTAAREFFARLDEQLNKVNQFYKAKEEEFLHRGDSLRKQMHILLDLKARASSPSSLSGHHRAGDDPSISSSSAVEDESTRYVTSATDSEENESVSIRDTTDEQPPPPPPAISRALEGSGSFWRQCPETKSLGRSVSSSCQRKKSLKISIPLTTPCRTIDLLWDDLVAGHQHSKNKCDSGSLSINKTKLRHAEKMIKGALVELYKGLGYLTTYRNLNMMAFVKILKKFEKVSGKQVLSIYLRAVESSYFNSSDEALKLMDEVEDMFVRHFAGDNRRKAMKYLKPTQRKESHTVTFFIGLLTGCFVALFMGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFLYGCNMVAWRKTRINYSFIFEFAPRRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGYHAAQAIPGFLLMGFLLVLFCPFNIVYRSSRFQFLRILRNIVLSPLYKVVMIDFFMADQLCSQVPMLRSLEYVACYYISGSYKTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTGHLVNLGKYVSAMLAAGAKVAYEKDKSLGSLSILVIVSSSATLYQLYWDFVKDWGLLQPNSKNPWLRNELILKNKSTYYLSMGLNLVLRLAWLQTVIHPSFGSLDYRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKYRAVKTVPLPFHEADDED >OB01G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:452746:453913:-1 gene:OB01G10680 transcript:OB01G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLMRELCLQMCHGMHPDAKSKFVTKVFRGPLRNEDCHWSMRKLDVAFQNTVNIGLQLMNTGIDQAIAKRQVNMRRANNCEVMVTEIKMPIPDMIVSIFLP >OB01G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:442966:446195:-1 gene:OB01G10670 transcript:OB01G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQNPHKGKSTDHASPPLLPPLESSMQQMSLLPRPQVCIKLEQSTSAKKVITSFNMLVKIGTISQLNPIQQPKEHAEFATAKLRISVLLPVRDSLTLVLQDPEADDNILQERFGKWIISASYVPPQAFQQSAYDPQDSLINKFISQKNMFVQTRGKQAFIKTAGTIYKKVQDGVFDVSNESYGIKVGYAVPNASGGEAGSSSQGGGCCS >OB01G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:437884:438948:-1 gene:OB01G10660 transcript:OB01G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATNIVLIPKKNNPTHPTDFRPISLCNVSFKILAKSLANQIKDHLPSIINPNQQAFIKGRKPSTNLILAQEIIHSFTLKSFTTDAFLLKLDLPKAFDRLEWNFISLALHSKGFDNHFIQLVRACIQSASFSVTINGTTGHFMAQRGIRQGCPLSPYLFVLALNVLADNLQEQANLGNIKGVTLGTNGPPIHSLFYDDDLIITGQANSQEASVIHSTLQNFFNLSGQTPNRGKSSIVFASCTSPASIALVKQLFPVSDFSSSTTYLGHPLLISTSSKNSAYFFLLDKFKSKLSSLRANKISHAGRLALMKSIFASIPIYHVSHILLSKKLIRKLRPCLVSKFFFQKYHIDFLDI >OB01G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:427842:430076:1 gene:OB01G10650 transcript:OB01G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVHRSIVCVSGVIKFVALFGCGRDFRTPAEAMLKTWALSPDFKEWEEDTRALSIADIWASESFNQMGLPHVIPVSPVLSITEDGVMYAVLNDIERVPAQIDDFGNVVRRGHLVAKANYMIRFDINQNKVLSSTKLSEPASLSWTTPNFFATDFTAYLSDHKRAEDEAGKVGARAKGKRKQMEY >OB01G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:417500:418477:1 gene:OB01G10640 transcript:OB01G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQPTTNGDDASSATPLSVQDATVNLVTALAAAHAVAAAGEGDANDALLVALVQAHAALITTSSSTALPSDGTGTSSSPSSTVVVAPPPGAMVLVAGMPSPPPVHDAVVPPVLSPTTASALSVPSVKTHVPVVLDMKSSNYTRWRTFLVAFIGKFGLLGHILEPSPPVDAVGTWAQEDFAVLTALYCSISTDVLDIVMEPSHSARELSVAAEGVFRDNRKTRIIYQETEFRSLQQGDMTVTDYCRRLKGLADSLRDLGEPITDRTLVLNLIRGLSPRFATQADLLPLQVSFPSFANARSALLLAEIVSKLRRNSYAREQVLCC >OB01G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:405222:405656:-1 gene:OB01G10630 transcript:OB01G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRRRRTGGRRGVDSRCSRRRRRPGGARASTAGGRRSRLRQQAVAASTAAGRGLRVGGRGYGNQHSRRRRRXXXAGMAATGQGRRRLGRLWHRHQRCHLPCSLPIPSPAHCYFSVRHPLFFMRAITTSGICKNRELFFHRAA >OB01G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:397160:398062:-1 gene:OB01G10620 transcript:OB01G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSVTMSSRTADAVAPPKSRGGPLPAGNGQGTANLEREPMVGDVPEKRGGGARASKKSLLAVPVGIKNKAAIDKLVSKFPAEEFAVIRKKNMSMPSLDIEVLLEETLLRVDIKPNLEVFD >OB01G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:388682:389053:1 gene:OB01G10610 transcript:OB01G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERRERGGWTYLVAAHRTSTVRPKISASRAPRRAPRPPAPELRCVRPNWPSVGRSASPPPDLHAAVARSPPHRQICAAARAPSPSLDLPSSSAAPPGERSSAEGGRKPSPVGERGEGDAME >OB01G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:385131:388934:-1 gene:OB01G10600 transcript:OB01G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRRRKSGGAADFGRLRRVDRAAERQIGRRRASSGGRSGAPAPAVGGRGAELWRRRSWGARCWSGGRRREQRKYYYFDALLRFALLWNIREVNEVHVKQNGHGPSSYFPISMGGLGPDSDWQGPGRLKRPCDFGYGSIGQSVECFRLDLQVKSSPPPQPLPQPAAPNNDPAIIQLPINPSKELVPCGEGFCNCDKELQSVFLKACGVSISVQVDVFNTKVHSIVNSACQSIGVNAQDTYALLCGKILDYDKSLSEYPVRRNSTIEIRYRGRGGQPMTFDEKFDCNDMAKWFSQVVIGPNLQGQYRPPNIVGIPTVGFTKDTCAGDFYKLYSILKAKFAAHDPLYFDHLLDYLETCPDKANSNDEALVAFIINHPCLQSYLSRMGQIEHLDNMWHRHPGHSKKNESAMGFYSWYPLVDSAPVLHDVFVHGPNGDAYFLNGNWYDLNTLYTEDAKGCLHFANNFLKHAPNSFMLHQVEAALSFNLKNFLPMILLNLARLAQKQPLGRQYIIDVKKSGGVSVGKR >OB01G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:374219:383637:-1 gene:OB01G10590 transcript:OB01G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTPQPQHMPLGGSASAAAPPPQAAAAPPAQPPTAAAAAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVVSSRVPLLRRDGPSSMAPGSRNLHNEKRERPHPSHPERDLSVDRPDLDHDRQRRRLEKEKERKADRDRRDYERDDKDGEHDSKDLDIGRKRKPFPRKMEDPTNADAHHGVSLENHGILGASASSYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIDGFLAGVFNKRQTGRIVKTEDKERDKEREREDRDRERDKEREKEQRERIEKGSSYKEVASQKPVFSSKEKYNIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLIEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCGSSDQLDKVMRMWTTFLEPILGVQPRTHGAEDADAVKSKSRTTKSGLAIVGEINATASGAVAKQGHDESVPQEQTPSTPARLVNGVATDTQNGFHDADRTARRAEEPSNTAINGRAQGASPGTNEIPAVNAQNMSTERSAENIPVARTEQHGNTKANLEPTSGVNASRSSHAGNDAAAEARAGNETLPSVEGGETGRSGSTLNGGGTSEGNKGRLFNEASASHNTQKVEREEGELSPNGDFEEDNFVPFEDGAVDGVPKTKEGSTSRPFQGRPGEAQPSCVEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDHDAKAESEGEAEGTTETHEVEGVSLPLSERFLYSVKPLAKHVPTALHDRDEKPSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFITALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSGSKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRLSPVGNVPEKLQVSKTYAAKVQRFHRFLSKP >OB01G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:363995:370596:1 gene:OB01G10580 transcript:OB01G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCGAAPEEDPAMALVTPLPPTKHPYGCFDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLQMYQGEELGVDCVDVQTVKLAGLLHDIGHGPFSHLFEHEFLPRVVPGSTWSHEHMSALLLDSIVDKHNIDIEADHLKIVKEMIVASSMFGTTKSANEKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPTDFWKLDDTIIKSIETAPNNELDKAKGIIQRIRRRELYKFCNQYSVPKDKLEHFKNITAQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDERVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKRIRFH >OB01G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:361116:361676:1 gene:OB01G10570 transcript:OB01G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAADEPPVLQEIAQNRSSLSKRRGALGVSKSVSFAPGTLDDGGGTKNRSSNASRKCLVLDKIGEDGGGGGDEKRSSGGSAATGALGSSLRLAKQMQSEAGSWFMDFVEAALETGLKKKSKASATADGRKQSSCCCPQSLILRVINWVEMEQSGDGSSSSSRKAGHPRAAGIARKLRIKAKNP >OB01G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:355940:358306:1 gene:OB01G10560 transcript:OB01G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKQQQSTRSAAGGGGDTSSSGGGGGNWYSSSKMERKDVEKNRRLHMKGLCLKLSSLIPPPPPPQPHHHHHSTSPPSSTCKETVTQLEHLEQAAAYIKQLKGRIDELKKRKQQAAPLSSMPVVEVRCQEGTLDVVVVSEAGDRAAAPVRLHEVIAVLEEEGAEVVNASFSVVGDKIFYTLHSQALCSRVGLDASRVSHRLRTLLLLV >OB01G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:347880:348903:1 gene:OB01G10550 transcript:OB01G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDGTRTTQMSSLDEAAAYIKKLKERVDELQHRSRSMATSGAGGHGAVSPSTSGAGAVGSDHDDYYSAGADGEETTAPVLEVRHHVQDGSSSLDVVLICSARGEAGEVPRGDRRPRGRRRRDPQRQLLLRRQQNLLHRLLPGL >OB01G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:339847:345237:-1 gene:OB01G10540 transcript:OB01G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTTSELVLDNDNAGSNAASAQEPLTTNGKTDGGRNRYKQTAKRGRKGSQISPSKTYPLRSSHSSVRLLRSASKKKNETPTVTTNDNTAVQRVAKKRKRSKPLRPAPSRGLRSASEKKNKAHNELVNDSAGVQPAEKKRKVGRPPKGGTPKNDYLSIRKRVRYILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKAEILRCKLRIREAFQSLDSLLSEGKLEESLFDSAGEISSEDIFCAICSSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEHIPPGDEGWLCPACDCKLDCIDVLNELQGVKLSIHDSWEKVFPEAASFVNGSKQIDASDLPSDDSADNDYDPALPQGHKVDEDQSSGEDGDEGSESDDSSSDEDSDSSENEKSKTSKNERTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDDESNSDQSDESDFTSDSDDFCAEIAKSCVQDETSGPSLSQIRTVDHTVGSAFDSEPTAENSNLAFMEAELEQDMVLPVSSKRQVERLDYKQLYDEAYGKASSDSSDDEEWSGDSAHQEENLEDSETDLLAESPQRGKNFTRRAAVRHQNNEHTPQNVRSGGSVSDQQTEVLCSDSNGSAARKRHFGPAINQKLKEYFKEDPYPSRTTKENLAQELGLTFNQVTKWFSSTRHYSRVAATKKENNIENQTSENNNSNTVDSIELRGSNEMVMEKASVDRNDMASEDWMGQSNLNEGFPLGKDSSFGQNVVATPVVHQDCPTVSKEIGSPKCGSRENQGNDSSSNVGTPKVKSSEKTISGLENADEARRKAVQRELRKMKTGR >OB01G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:329671:338891:1 gene:OB01G10530 transcript:OB01G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALAARLAAASVSDHPPPSTNAEHLIHVMRAVEGAEATIRNQLEENNRLKEQLLQKTRQLERIREDAASQSSSAGVAQDRREFIPTKVDASRSPTSSENSRTTSMLHQNGALETGESSMQQSIRQKYPDGAQSNGASKRSSGEQPAFDTAAISHFSTPSSRSISPTRHRKEGEYDARSNLAGQGLLPAPETASNLSWKQDLTAKIKENEEEITQLRKHLADYSVKQSQILSDKYMLERRIAQMRMAFDQQQQDLIEAASKSLSYRQDIIEENIRLTYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQVTPWQTDLVNNGTLPATDPLGKALVTSSKSNLDIVPQTPYPHIQSPMSSPVQVRGDWGVAGNKNCQVIPTDVPPRNVDRDDMGRNSLSSSNKFRRDVSAQVSQHDPQSAQLDFETQGQNPAFKHLGRTDVSDGSEGVEAQHAREPSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGFSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKEFIKRTLEIGHMSYEVQLPQVKFLDMWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATSINIPYGCPTEFLITSADGTEYNLKPAENTMSRDTIVLVLRLFRFMAVEKRRGRKKSLFFK >OB01G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:322192:326399:-1 gene:OB01G10520 transcript:OB01G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3506) [Source:Projected from Arabidopsis thaliana (AT1G27510) TAIR;Acc:AT1G27510] MSATTATACASPPAARPPLRSPPSAAHLPSYSYSYSASARRSSSAACRCTASASASPSTWDWTRWSRHFAEVDQAESYASLLKFQLEEAVDNEDFSEAANLKKAILEATGNDAVAQVMSELKIAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRITPGVGRYVAKSYSPRQLVTASSGTPLFEIFLIRDDDETYTMKVVHMRPTKGTSSTSAAAESPAKAENESSLESSAISEGVTEEANTDNSLKGDKDTEDKEQDVGSTKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELAADSSEELVQDDVKSTSENSLEDSTTEELQQDDVPDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEIYNLEKDSFELYIPGKGSDRDLAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTESTIFNRIITDSNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEALKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >OB01G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:318425:321882:1 gene:OB01G10510 transcript:OB01G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGANASIHFHLHTPTSPSPILSGAAAEMPHHPSPLPYKLLARRRAVAACAAVAVLAAAALLLATPATDDPTRPYLAGSLLEKELVEDAASRRFVDASSPSPAQPPLPSSLLLENNLPSKVTAATSMLLVPSPSPAENLDDGSMEETEPPDLKENTHAESAPFSKSSSPIRRFEINKGHDMNDPAKLPPRPEAPVPLWSTAADEELIYAKKGIANAPLVSNDPDLHAPLFQNVSVFRKSYELMERLLKVFIYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFIKEYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAADRSILAFFAGQMHGRVRPVLLQYWGGKDADMKIYDRLPHRITRKMNYVQHMKSSKYCVCPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDALNWSAFSVVVPEKDVPKLKQILLEIPEDRYMDMQLNVKQVQKHFLWHPNPIKYDIFHMILHSVWSSRVNHIQME >OB01G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:314793:315946:1 gene:OB01G10500 transcript:OB01G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDAHRRNRCAACFREFKKKEHLVEHMRTSLHSPHDPRCAVCGKHCRSLDALRDHLTGALPKPECAAAFVSRGCELCLDVLPTDTARRSHTRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAWRQRELERMSPEELLQLSTTDYYCWCLDATPTTT >OB01G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:307500:307787:1 gene:OB01G10490 transcript:OB01G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDCPPAVFSFLRHLSPFLLRRLGIHFMPSSLKMYAIMLHVFVKNKLMVCKLNVFVKIDRAVRPHVYGRSDLSDNCGPTADIWAVKPAGQPRKS >OB01G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:298649:302577:-1 gene:OB01G10480 transcript:OB01G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQGSGGGQGKRLERRLSLGDYKKAVSWSKYLVAPPGARIRGGGEELWSADLSKLEIRTKFATGRHSRVYSGRYGGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLALEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCGNGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAVAVALKDSRPPLPPSCPVAISHLITQCWATNPDRRPQFDDIVAILESYREALEEDPSFLVSYIPPPPHHHQQHHQQSLLRCFPRCRTTRRSASLRV >OB01G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:297547:298059:1 gene:OB01G10470 transcript:OB01G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRDHLVVIGEAVCAMAADMATAARAMDPSTAISLACAAFTVAVVLVCYADICARLASLHQQQAAAVAAAGDSDTPPQPPLEESSSHRTVTSSSSSSSDSESFRSDDEVGSTESSSEEDHRRQHRNRCRSPYKKKKKARRSPTPSMSRSSTAPSSSSSSWWSRSSLRT >OB01G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:293303:296369:-1 gene:OB01G10460 transcript:OB01G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTPSPQDGSGGGELSENLILQAPQPIREDYIQNAVNFLGHPKVKGSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPSSTDVAAVGSQQASIPNQSAGVQPYKTVQSPQAVNIGPMVPDAQPQLSWSRTLIGVGVFLGVGASAAVALKKLFIPRLKSWTQRAHAEGDENCDNKLKSKFYEEIKEAIQASASAFSDIAKTNQELLASKDEDKKILTSLARAFDLQAEAFRSLSMSLNHMSENRFSQYNIMEDHFQSSPWNGPSNNSWRPSQQTNAYNTSPRNDFDSGRHPFIPVPGEPTSGAFPRSYVEQQRTQRSGYGFQQQMSNDRWSPGATPLTNYHGAPPPYQYHHHGVNAVDEAPAPAPPVESPFQRRWVPPQPPGVVMPGPGAAIRQPRQPVAAAAAAAAAAPRSSESAATEQPESGDVAGVAAMANAGNGEAEQEREAAA >OB01G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:284953:290967:-1 gene:OB01G10450 transcript:OB01G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase [Source:Projected from Arabidopsis thaliana (AT5G62790) TAIR;Acc:AT5G62790] MALKLVSFSGELAAVSFLDSSRGALNQLKVDFPVQRRNRRAISLRRTCCSMQQSPPPAWPGRAVAEPGRKSWDGPKPISIVGSTGSIGTQTLDIIAEHPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFREWPVDRLKEVKVADALKHPNWTMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVVHPQSIIHSMIETQDSSVLAQLGWADMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFQSPDNVKYPSVNLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAANLQPSSGLSPVPA >OB01G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:281363:284245:1 gene:OB01G10440 transcript:OB01G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREPFLSLDLDTRRVDTIDLGSMSAMDTDINAPPPPAPAPAGEGSSAAGPSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OB01G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:246019:280634:1 gene:OB01G10430 transcript:OB01G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATFRDVDEIIEKLSSDRARTRDEGVKLLGTWLHGDRAFSFCRLLTRNTASLKPGHIPGSATWPFLILALAKCVIADISGKKRAPKTAAARMLRLAVQCAEDFRLSGRSLLLISIAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYQYQMKPRTYSSFVVLYMKKVVTGFDEKFSNQASSKEESFRCTLTLHVLLENPPGDYPDSMREESLFITYAKVQLKLARAIPEILEKLLDVIIKELDHHFNTGSSLVWCEAPRDEKAGSLRCFQEELMDLSATVLYQACKCTPRTSNNEKKLKTEHVMTIIMDSLLKGSLVWSGSIYYLIHKYGYRVDKSLLISWFEATCKGLKSVLSNTNALRFQDSLLWLIRVLKEFSALLVLDARNETPFLLTKGEMSNFEGYWQDTWNCLIHALPLFSTTALVADSVLRLLSEMIMRDQVHASFVSEDTWDLQIFKQLPSSSALYFVACYFSKIGIQGDLSNSIFIRKNLLRSTLELVHSKGFSLLNEQNVLMIPETIFALCAGFSSPMINLADTSQLFGECKDISKDESWSHEEELGYSVEALSEINLESPTKVMSDKCTQAHLPRHIQQPLFLELLEFTKGFMSNEQFEQVDLPNLVYACALVCNLIHCSLLSRVIDEKSSFLQAMLDYVTNIIKHTVSVVMKEHAELSNGLTNLGSAFDTTGSVLSSFKSFMCSPIFSLWRVNNKVSSVHDATEFLDELLVAISQLFSQLSSLMNNFDGDNPSKILPVSVVSLSEDINPDGRSSLVDMDLDMTGTGEVDPVTAGGSGSMGISPRPLEYKLQLVYIISTFFSVVPLHTWEVLYDLAEKEVDVKAGQAILLELCTNISASSRSLSSVNQDILLNLVNRATEISSTDWFFRTRLINCISQFIYLFPDVAQDMIGHLLSMLHDTDYRVRLYLARKIVVLFQVWEGHSELFHDVCSNIGVKMVQFSNENPVKAREVLAIGPQPVPIIETALITLAHLSLQSEDVEVECIFMISAVAAIEPSQRELAYALFDSVSKRLSYASRSKYLDQLIGPILFRWVACEVSLVSLVEVEEMFGFKSAEPKNFIEHCCPWLLSFLILRGDTADLNWLSKILSQPLSAVIKRYFVPIFGLCIAARCGSGPEKDISETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVVHSVKTVVDGFMEAMDGNLAETVVIDKINIFRSDRVFKFLLSIHQQIADANHPRHMRHRLCAIEVLIDVLGHRVALYSTWFYIICIVGSYIWRQPLQGQCCNILSKLLVAFNSNSSTETVPALGKQLQDLEPLPSLDCLKDIQVFHASLSSSYDSRDQFLKFVSRAPHLPPDLFLLSSSFVANEASSVLADFISRAGISDVHQVIFHVPNLSEKYPIQLDISKDKLYSGYGISDDILVGLLKLLKTCLSDDSVEIIDVTSRTLRGILSTASGLNALQSLDSLDRSLLMVHSRGINSQLVEQTLLGMENVSGVLVSYAPYGMPFIATYCSLSLFFVNLWLIVSLEDRDLWRTDGRSYKQWLCTLVGSLICHCDDIILRLCRSLAFLKVEAAEILLASALVNIAGNLDSNAGICRLISTMVEEIIFCDSNHLMKSVHLFLDALNVVRSYCVAEKARGCPSNALKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATIMYVELWCEEKFNMLLLGPPDFSHEELLPPHIGLLLDAFTRINELDSIYGITLANEISSQIIRYEHEGDWSSALEYHDILVRSTRKENLSSLPGTSLTGPSDAPSKAEKKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSSQKSCLQDSEFIDIQYETAWRAGNWDFSFLAPYSSQPSTLSQSYCLFNQSLHSCLRALQSGDSEEFHVKLSNSKMNLVLALSNASKESTKCIHSTVLKLQMLDHLTMVWDLRWKFCPNHTSKSYVGTKDFSPVPTVPTRMQLELLNKEWTSILCQTERNFDLLEPFLAFRSAVLKILGCEEHLREHLFQSASALRKGARFSLAAAALYELKELCYQMEHQAMPKSYFLFKLEEAKLLRAQGQHDMAISLGKYILKNHPDKKDISDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDLTESHKSSDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKSEKTDYSVKIQELQRQLALDREEAEKIQDDRDKFLSLALQGYQRSLAVGGKYDLQVVFRLVSLWFSLFSREQVVKAMIKTTKEVQTYKFIPLVYQIASRLGSSKDVHGSSNFQNALSSLLKKMAIDHPYHTIFQLLALANGDRVKDRQRSRSSFIVDMDKKLAAENLLKELSSCHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRNICQLELVPVVTATIPVDPNCQYEEGSFPHFNGLADSIMIMNGINAPKVIECIGSDGNNYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLLGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRKAFAKVCNNFRPVMHHFFFERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHAMNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRSCEKTLSVMRANKEALLTIIEVFVHDPLYKWALSPLKALQRQKETDDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >OB01G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:239339:242488:-1 gene:OB01G10420 transcript:OB01G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEEQPPQRYWFPYWTSPPPPPPPRRRPPSPARLLAPSPPDHRRRYTSSRIQTPACSCWLPPPPRLHQHQPPPPPSSASKDRREPPPPAPKDVAVVIPTVQQHQKQPPMHPQQQQHKGAKDGGDVRKDIKAGLAGLLSAASHGQQGTSVITLAGENKGATMKISAPGSGGTKGAGGQSTGDKKSLDGKGVGVRAMINSNVQSINNSLVLHSSCSGDDLGVHLKLQLSAKSKNKKQVADTTTTTGKPSDADTSVKEKHQAPEAAAIITRFADSAASHLPCPDAATYLRTAADATAAHHLFRRDLRKYYHGDIAPASASASATDRKIKKHIVIPALSASASASERKVNKEKHSKEHHESSIHVKQEVEEIPSPEKKRTQKKRSKEMNTDASAGDLGSLAAEQDLGSDRKRKKKRERGDDNEQLEHTSKKPRNRS >OB01G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:235355:237277:-1 gene:OB01G10410 transcript:OB01G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFASLLKKSPTMTVYLYSPKEWMCDMFGVRSNRAGNLRQIRRLDCRRESRPGYEGRCNHTLHSLVSYPAPQEKESMASEKSRILVVGGTGYIGRHVVTASARLGHPTTALVRDTAPSDPAKAQLLQGFRDAGATLLQGDLYDHASLIRAVRDADVVISTLGALQIADQTKSTGEAI >OB01G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:232134:233299:-1 gene:OB01G10400 transcript:OB01G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIVVSLLVLKGYEGRCNHTLHSLVSYPAPQEKESMASEKSRILVVGGTGYIGRHVVTASARLGHPTTALVRDTAPSDPAKAQLLQGFRDAGATLLQGDLYDHASLIRAVRDADVVISTLGALQIADQTKLIAAIKEAGGNVRRFLPSEFGLDVDRTGAVEPARSIFAGKAAIRRAVEAAGIPYTYVVSNYFAGYALPTIGQSLPPAPPVDKVVILGDGATKVVFVEEGDIGTFAVRAAVEPRAENKTLHIRPAANALSHEELITLWESKSGKKLERVYVPEDAVLKQIQESPIPLNIVLAIAHAGYIRGETTTPLDAASAVEATQLFPDVKYTTVDDYLTRLL >OB01G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:228435:229583:-1 gene:OB01G10390 transcript:OB01G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTTTNEKERILVVGGTGYIGRHVVTASARLGHPTTALVRDTAPSDDPPKAQLLQSFRDAGVTLLQGDLYDHASLLRAVRHADVVISAVRAGQPTLIAAIKEAGGHVRRFIPSEFGLDPGRGSGDAVEPARSIYSSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDRVVILGDGATKVVFVEEGDIGTFTVRAAVDPRAENKTLHIRPAANTMSHDELVTLWESKSGKKLERVYVPEDAVLNQIQELEYPKNILLSIAHAAYIKGLMCDPLDAMDDVEATQLYPDVKYTTVHDYLNRFL >OB01G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:224724:225959:1 gene:OB01G10380 transcript:OB01G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADPGTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLPPARHRPLARHPRRRRHLRPPRLPRPGLLHRHLRPRDLPPQPPHRLPLPHGRPRGPGRRRRPRPPHPRLR >OB01G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:224137:227388:-1 gene:OB01G10370 transcript:OB01G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYADTSKSKTNLFPNVEGNIFVFYHMHDLTLHCEDEKNHPIAEKYWPEDRNIKYREESHHKSYKKSFCNCIPENQANECYGHSELELGEAPDEGLELIGAAGGEGGAVGGGLGLGVDHGGEEADEEVEEVDPEAVGDDVEALDEAASM >OB01G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:217337:220856:-1 gene:OB01G10360 transcript:OB01G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G19600) TAIR;Acc:AT1G19600] MGAEAEHRACPSPSPLGRTDAAPTVLGLQLSALIDHVARVDWSLLDRIPGDRGGSQQVCIEELNHILAEVNAQILPSRDELSPIRTIAGGSVANTIRGLSAGFGIPTGIIGACGDDSQGDLFVSNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFTKEDFKGSKWLVVRYASQNMEQIQEAIRIAKQEGLLVSLDLASFEMVRDYRRQLIDLLETGNIDLCFANEDEAREIIGGEVAFDPKEAVGFLGKYCKWAVVTLASKGCIAKHGKQVVEVAATGERKAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNATGLLLPCPLSQQA >OB01G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:214909:216765:-1 gene:OB01G10350 transcript:OB01G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALRAAAHKAARKQAVSLTDAAASRIRQLLTLRHKPYLRLGVKARGCNGLSYTLNYADDKGKFDEVVEDKGVKVLIYPKALMHVIGTKMDYVDDTLRSEFVFINPNSKGECGCGESFMTTSSKGSTS >OB01G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:211926:215715:1 gene:OB01G10340 transcript:OB01G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSLCDHLLQDDLPWPSMPFAPALQAFGLNPQWSQPLVLDQLNSPELESLLSVQGHHYSQLASAPTLNPPQAQLSTVLMMQELGFQWSSYAVAADHTTATSMNNVMKEEELRRRTDQSLSSTSSYGTTTTTIYTDMHQLAANLDGAVLPSINVSRLHKPAGAGDALPETMLATSISCKRQAAAASVVGHSGMRDEHVPWTYGPPAHLIQGPSMDDIHTLQMKRNTNAAATAAKGRSGCHGSSTERRSSTELPSSSKKPRLESRSSSALIPSFKVRKEKLGDRISALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSKNSKKACRAAQQRKGASNGGEAAAKLDLRSRGLCLVPLSCTSYVTNENGVWPPPNFRGN >OB01G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:199955:200865:1 gene:OB01G10330 transcript:OB01G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKVVRSLQLQLLVEGFVVEEAAVVLEGLGGGLRQGDEHGHGVGVGQHGQEDAVYLAGERAHVHRPPLAEVDVLQAEARPGRDDERGRQVGLGAAPLLQEVHRGPHVVVVDAALGLLHQAHPARGHQVGRRGLGAGQHEGVAGVGGGELRPEAAAHVDGEEELDAQGGRRGEGDDPGAPDVRRQRLEGGAGRPGLEAAEVGRREGDARGAARAQGAAVVVDEGEVEVGGGASPGVEEVGGGDAVAETVADGRTQNHSAASQLRHLRRIIH >OB01G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:199928:203945:-1 gene:OB01G10320 transcript:OB01G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G27620) TAIR;Acc:AT1G27620] MEIPGECEYRGEAVVVRPSQPTPRHSLYLSNLDDQRFLRFSIKYLYVFPPLAAVAPETLRWALARALVDYYPLAGRLRPSAEDDGKLVVDCNAEGALFAEAFLPGLTAGDFLRGSAKPHKSWRKLLYRVHATSFVDVPPLVVQVTQLGCGGMVLCTAISHCLCDGIATANFLHAWARAAAHLDLPLVHHDRCALRPRCPPRVAFTHPEYSVSTSTTALPPPPPPHPLLPVSLTFTASHLRRLKTRAPCTSFEALAAHVWRAWVISLAPPPALRVKLLFSVNVRRRLRPELPATYSGNAFVLACAEATAADLVATGGVRLVQEAKGRIDDDYVRSTVDLLEERRGAKPDLAATLVISAWTRLGLEDVDFGKGRAVHMGPLTSEVYCVFLPVLADPDAVTVLVSLPQPAAEAFEHHCCLLHDEPLDKQLQLQGSDHLAVGHRHAHDILA >OB01G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:186017:192194:-1 gene:OB01G10310 transcript:OB01G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-mediated development protein 1 / deetiolated1 (DET1) [Source:Projected from Arabidopsis thaliana (AT4G10180) TAIR;Acc:AT4G10180] MAAFFRSSNLASRVFDRQFLSPRPGATVNTVRQFYENLFPSYTIFDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWPTFSCNEACDSHDLPPKANKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLEDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQVVRGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYADLIIWKVQFLDRHHLFIKFGSVDGGVSRSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHANPQNSSHGNFISSHSNNVQALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGTSDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >OB01G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:183564:184622:1 gene:OB01G10300 transcript:OB01G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQQPELPPGFRFHPTDEEIITFYLTPKVLDSRGFCVAAIGEVDLNKCEPWDLPGKAKMIGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRHHHLLVGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASSSAADPTPSPRHDDWAVCRIFHKSSGIKKPLMPVPMPMPVQLQATYHQQMAMGIIPMPMQPMVDLQVDDFPNGLPPLMAPAPPPPGSSYSTLPGFPGSGLPLPMNGGGQQFGNPPAPMPQFYHQQMDGGYVGEPVSEPSSLVSQDTEQNSNNAAAAAAAEISSVACNMVAMDGAMWKY >OB01G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:177446:179763:1 gene:OB01G10290 transcript:OB01G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHGRRDDDDDRRRPPPYGYDAPPPPGPYGHPPPPGPYGQPPHDPYARHPPPSSDPYARHPPPPSYGRNDDYAPSYGAAAPGGYGNVVHVSHEVPDQRPTHYGGGGYGQGHGGSDYISPVQETLPLHGAGGGPVRQQTHRIYCKAGEDNYSLAVRDGKVCLVRSDRDDDTQHWVKDMKYSTRVKDEEGYPAMALVNKATGEALKHSLGQSHPVRLVRYNPEYMDESVLWTESRDVGSGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWCKLNFLASSQILFFFSSSH >OB01G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:164355:169403:1 gene:OB01G10280 transcript:OB01G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G54360) TAIR;Acc:AT3G54360] MSSLCPFAKLASAGAKCPVKSDKNNSTTASCPANSHHHKEDDAQQNPSMVPPKCPFGYDSNTFKLGPLSCMVCHALLYQTSKCTPCSHKFCKTCILRFKDCPLCGADIEGIEPDDELQALVDHFIDGHARIKRSHAPGDEEVTGNKGGKVIYEDVSMERGAFLVQQAMRAFRAQNIESARSRLSMCAEDIREELKSKEDNQELSSQLGAVLGMLGDCCRTLGDAPSAITYYEESSEFLSKLPKKDLELVHTLSVSLNKIGDLRYYDGDLQSARSYYARSLDVRRSAVKEHSAVASQVIDLATSLAKVADADRNLGNESMAVEGFEEAIKCLEKLKIDSEEASLEQRRLSVLDFLHKQLDDK >OB01G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:157840:164206:-1 gene:OB01G10270 transcript:OB01G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / C-type lectin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52310) TAIR;Acc:AT1G52310] MALRLSFIIILLTALARTTSSSCPDAWQLTPAHDKCFIYIPTPLSWDRSEALCRNNFTAHLAALSSLQDLTFARSLCGASGCWVGGHRDNAASAAFAWTWSDDSSSWNDTVFPVDTLHANCSGTGCALATTNDTCTLLTTTHATLTAKRCSDPHGLICMINHEDRCYHDHCHKEYFIVLVVVSGFILLTTLAVVVWLLVYRRSKRRRRSREASGTSATALVLPLWKVFTGEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSRVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGMSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQDLPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASELRSGTSTSATSPMLPLEVRTPR >OB01G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:155222:159321:1 gene:OB01G10260 transcript:OB01G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLRLLNQCLLHLANLYAASSSSSSPHHLPDRLALSYIQPPAAASSPPQLKVVYRPGEKFNLRDFHHAVNSLPLDAFRPNKHGSLYTTGDVSLTNLFSNRAIYSWATDDTSKKVIALCMSAQNTEALRRSLMDAAEQCITVEFVMLETGAIFIYDGVSENSNGFIDRICDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEERLQADFLFRVPIISTVNQISCSIYASANQIIDGFPSCQICRCHGRPIDLVNTDKAKWMCPMTNRQLTASDVTDTAVKIGEHTVLFLPDSEGSSNLRRPSSSISFDVIERTNLASLNEGLIMGTPHIVIPSSNDVEVTPDECSDQNTQLFYGLCETLFKLDQGLVCSSECNTETMKIGSLQCYYLLQPSDKGPMLLRRLAGSEEISPLPVVSQPCNFTGTKEVKNFIEASLSKIVLKDYNPLQHERGFHSRLNCLVKDSLKFGSIPPACGVKDIHHLYTFSEPQVSTFRGPEENKVLSPCREEAGDLHSFSEPPAASSRGPKEKASPSITEEWEQLIVIDDDFTSVVTSRVAAAAKPKSSKLPSPVKPLDEKTNRILERLEAPRAKKQRASTSTGNGNGSSNRTPPVSSLGAGTQIKKPLLPFEPSASQPLRPTFNRLRRKPTAAT >OB01G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:146158:149034:-1 gene:OB01G10250 transcript:OB01G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRMLLVVGVAPLASSASTDLTALLALKDAVSHDPDSVLAAWSSASTNYCFWRGVSCHPNSSAVAAIDLPDASLSGALPASLPPRLPPPPPPLPRLVLHGNNFSGPVPAAFLASPTLRSLDLSYNRLSGPLPPSCRTHSLTHLRLAANVITAQIPADLAQCRSLRLLDLSSNLLEGSIPSSFAGLAALRALDLSRNSLTDRIPPQLSACRDLAVLVLTNITTSPGEQPEFNAFVGGLPPQLLDIPALQILWAPKANLNGRLPSYRNGSCSLRALNLAQNYIACSLPPWLRDCPNLAYLDLSSNKFEGPMPVGFRMGCMKYLNVAQNSLSGHLLPAVETDSKCSSRLIIDDTVMHYYERLVSGAMVLSPSAALSRDAINVVIHDFSNNSFSGPLPSIALHLGGNFSYGLLLNSNMFNSTLSSGFFGFCKGASGVTVNLSDNQLTGGLDTLSSCPVLWTFDAGYNKINGLISLGVANLHFLRSLILRGNNLTGEIPGVFGDLSALEVLDLSRNYLTGRIPSSLAHASQLQALMLDHNMLSGGIPPSFSELAQLAVLDISFNNLSGDIPRLRHSTDCGSFVGNPLLHPCLGPNGSLSTPDSTNGAQQDGEMSRSRSVMVIIVAAATAMVSFLLVVLIFVVCERRKSAKIANLRAKMLVTFGDAPPELTYDNLVQATSNFSIQNLIGSGGFGSTYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHRNLVTLMGYHIGESDTFLIYNYLSGGNLETFINGMGSRKVSWTEVQNIAEDVAEALAFLHCSCTPRIIHRDIKPSNILLDEELNAYLSDFGLARLMEVTQTHATTDVAGTFGYVAPEYATTRRVSDKADVYSFGVVLLELMSGKRSLDPSFSQFTDGFTIVGWGRMLAQEGNTIEFFSPGLWDVAPMDKLTEMLRVALSCTSESLADRPSMRQVAEKLKQLRNEQ >OB01G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:143802:145837:1 gene:OB01G10240 transcript:OB01G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13400) TAIR;Acc:AT5G13400] MDPQEEIKKKSKKLSSHFESSTQGHGHGATPTPSPGTGTGTGTTPVDIRGEAMGEAELARTGGWVAALFIFGNEMAERMAYYGLSLNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPALQAPGQDECDGFAKLLGRCQQPRPWQMAYLYAALYTTALGAAGIRPCVSSFGADQFDERSPTYKRRLDRFFNLFYLAVTIGAIAAFTVLVYVQRNHGWAAAFGALAVAMGTSNALFFLGTPLYRHRVAGGSPLTRVAQVVVAAYRKQGRPEAEEGLCLYEVAGAKSAVRGSGKIEHTEELRWLDRAAVAVPEVQDDPWRVCTVTQVEEVKILVRLAPVAACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRVTGHPHGASQLQRVGLGLLLSVLSVAWAALFERYRRAYAIRHGFLPLFLTPMPGLSAYWLLIQYCLIGLAENINVGRFDYLYWLLAVLSTINLLVFIYFAKAYKYRVKPADHNTEAQAQPTHGIASST >OB01G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:140150:142281:-1 gene:OB01G10230 transcript:OB01G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNKDPPPSIQDATDRINKRGESVDDKIKRLDEDLARYKDQIRNTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAAYGLKDAHQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPEKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >OB01G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:138237:139308:-1 gene:OB01G10220 transcript:OB01G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR-like [Source:Projected from Arabidopsis thaliana (AT3G26740) TAIR;Acc:AT3G26740] MQAATSSVVGLSAVLPAAVNAKMQARPRVAATPTRALTVRVRASAAVAVEAAEVDYSSNISVFPMEACDLIGGEACNVQMYPEAKLPSSSSATAAAARAEEVERDYLSYDEPRTVFPEEACDDLGGEFCEAPYQQAA >OB01G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:130499:136146:1 gene:OB01G10210 transcript:OB01G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEDDDDDQRLLHSLGVTSADIHDIERKIISQATTDPAQPTISQQPHLHHKLRSVQLEIDAVASTIKGSKLAEPGNKPQEDKGKDIATHGGDLQQALAAERLTSLKKAKAQIQKQILQSDTSPSGSNRKDNMLAFLVEEEPRRKKLLKPPVGPKKTVKRRLKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELHEPSQRQDDSARQTEEAIMEASRIARVAQSLQQIAQNRPATKLLDAESLPKLDAPAAPFQRLGRPLKRPVSPSSDQQEKKRPRNKTKRPLPAKKWRKANSRKLDDNDVGDASASVSEDDEDQVAEGFDELPDVTLEGGLRIPGTIYSQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLTFLGSLHNSGLYKPSIVVCPVTLLQQWRREASRWYPKFKVEILHDSANSSAKKSKRYSDSDSEASWDSDLEEEEVARAKPAKKWDDLISRIVNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNAQLPKKTEHVLFCSLTHEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEKVLTVWKEQGHRVLLFTQTQQMLDIIENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKDPQQRRFFKARDMKDLFTLQDDDSNGSTETSNIFSQLSEDVNIGVPSDKQQDHHCEPSATPTIAGTEPSSSRHEQGKEDHSSDQADEECNILKSLFDAQGIHSAINHDAIMNANDDRKLRLEAEATQVAQRAAEALRQSRMLRSRESFSVPTWTGRAGAAGAPSSVRRKFGSALNSQLIGSSQPSETLNRRGQSLQVGALNGKALSSAELLARIRGTREGAASDALEHQLNLGSASNHTSSSSENGRASSSSTRSTIVQPEVLIRQLCTFIQQHGGSANSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGADGATWVLKPEYQ >OB01G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:124538:126998:1 gene:OB01G10200 transcript:OB01G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit beta [Source:UniProtKB/TrEMBL;Acc:J3KVL4] MARSILFLLAALLSASAAIAAAADVPFLVAHKKVSLSRPKPGVERLAVSLDLYNQGSATAYDVSINDDTWPKEAFELISGEVSKTLERLDPGATASHAFVLETKVQGRFQGSPAVIKYRVPTKAALQEAYSTPILALDILAERPPEKKFEWAKRLVAKYGSLVSVVGLVGTFIYLVASPSKSSGAKASKKRR >OB01G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:121084:123475:1 gene:OB01G10190 transcript:OB01G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRTAAAALGTGALSSSTTKRFFFAAPSRVACRRLRAFPNSELTLEELNPSVELLRKTAEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALNAFRESDLNGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWINVPLELVVNDMLKTQATSDPDSFSEAMSRVRQRYDELKERYGVSDITVSVQNVASQLGYSSIDSVTLEDMVLEIVRQIERLIRAKSMMEAAGKPF >OB01G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:117509:119898:1 gene:OB01G10180 transcript:OB01G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSAAVAEALPPSPAPLLTWTSPSPSPAPPPSTWAAVAALEDQQRRRLHRIWERGVAWKPPAPAPPLVFRLDHAGEVDADGNCLFTAARRAAAAKPDARDLRHRIVRRFADVYAAASAPDREAVDAAVRHLYHPDLNSGWGVHVVQDLKLLAPKALRDDLDAAIRDLVQLGIQREMAAETIYKERCIAVNNGDSWTKYMSVSGSAEDEHDIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLIATVLQNVTPDKAAVVL >OB01G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:115010:116350:1 gene:OB01G10170 transcript:OB01G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVCRLSRPPMPMAQQHMDEFWRDRQKDIETTKDFSEHVIPMARLKKIASSQKGNMMMTFDMPAFLSKMCELFVQELAIRAWACAQSHNRCIILDIDIAEAIASIESYDFLVDILHNHRAKYNSTPCSVPTTKRCRLVDQPSISHLPQQHQRPQFAPTYTSTIPMTPNLMPPISHYRPALFSSLPQEVSVLMAPTPNVNGSMLLVHNIANGLGLQGNNINVVTNNNVTDNTIDSSALLSTTNSPTLLESSGALLRTPNYDSYICMMGMINSSDASGSGTSNVVVANQTSLALPGHFDPTIILESLCPSFLPRDNDDMIVAMLEDAHNSNTMCVANDVVNDTAMVLNGQQDQHERETNAECDDQNEIYGNIDVETINATTTDGNKCSISWDELGMADDSLLETFLEELQLRKDDALDTGIALDKNSHFDDIVWSNPSTSNGNK >OB01G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:108856:109389:1 gene:OB01G10160 transcript:OB01G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSSAAAAACCKRGGGSSSSNPPPPPVARVISSSRRKVVLLLSAAAVVPAGAGAGQASTPYSQSQSQQQFGLDAKGRIRACPSTNPGCVSTNPTVGASCSLASPLIVPANTNTMPDKAAAAAVSNHAYIDLITPLNYSIYIYAY >OB01G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:106326:108173:-1 gene:OB01G10150 transcript:OB01G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MARPRNHRHLLLLPLLMVMLAGAHAQAAVQPSRIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNPAPSDSSLRCVSPDADLVVPDDPAHAFEDVLEQLLGFRPNASSSSSSASSPSDMSGFVRSAVSVSALLTDAVMRGFTPSRLPAFSALATNFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLADDGLDYRVYFKTIPTTLFYRRLRTVANVARGTFRRYDAAFRDHARRGLLPALSVIEPRYFDLTGTPADDDHPAHDVGNGQRLVKEVYEALRAGPQWNQTLLIVTYDEHGGFYDHVPPPNAGVPSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDHVPPPNGGVPSPDGIRGPLPFFFRFDRLGVRVPTIMVSPWIRKGTVVGRPPGGPTATSEYEHSSIPATIKKIFNLSSDFLTRRDAWAGTFEHILTELEEPRTDCPETLPEVPASAAAAAKGEGWLSDFQRELVQLAAFLNGDYMLSSSFAQEERGKGRRRMRVKEADAYVRRALKSFLDATKTAKRLGANDSAIVTMKPSLTTTTCCS >OB01G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:105748:105966:-1 gene:OB01G10140 transcript:OB01G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWGPVLIALLFFVLVTPGLLCQIPGSNGRVAEFHSMRTSAASIFVHAILFFGFCAIFMVAVGVHLYAA >OB01G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:102306:105378:1 gene:OB01G10130 transcript:OB01G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRSPHLTSRRHAELLRHLLQDGGAAVKDLRLRRVVPLASAPLYDSSLDGAAAKPGSAEATPGPPEAQDRERKPAAVVQRSKLVRAPSSFGYRRLLPFLNELTNQESESPPGKDNTKTEEFRTSPFHSVDADSEAEAEACPVKEEINTVPAHLPSSKMCLSRCQSSRFVHHPSSFSYKRMLPFLIENDISSQEGHRTKIPRLVQEKQSSTDEDDKILTSIQHHFTMSGSSADECKTAQGKRSVEEQQSRLGVAYLPDGSLLQPAVSEASHLQASTVEVRKLAEERVVASDVYLLSSDKGEFTLKWNDGLPAGLHQFAVSEDFPEESNKTEVETILEENESVPDGNSVLDGGQLHVYDSKASPPEGTAQMQKATQKQTVTSDGDEGNTLPSCKHGFLAKEQPLHVIEELPIKGNAECYEVQQSQSSELGSSDVCFGGPTKVVIPSGNCHGALEQSDSMVSLDEPLLDAEMTCIPLHPCATGAPVPYSVEEAPAGVLYTSDHCSTGAPLSSEEITVSSSVVYNEPASIKVSPLRQRGAPCLEKRCLSPKKLSPKKGILKRNTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQEPTQTACRRAAQVEEVARERRRQMFMELNSHCRIPGPRVKFAQYLEERMSSSPSSGNGRSR >OB01G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:101492:101914:-1 gene:OB01G10120 transcript:OB01G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLLLPQPQVRRIDSRTGAQVLVVVSGPNHRFIHNYSRAGRLPILPSLSLLSENDINYHPLLRSYPQNASPFSLLQFLNAPQSIQTNRCCKIKDMLIISHKLLLPPYLHWSTQGATQSHPTQLYTSYLATSSFMDWVY >OB01G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:100095:101464:1 gene:OB01G10110 transcript:OB01G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-like 20 [Source:Projected from Arabidopsis thaliana (AT4G13830) TAIR;Acc:AT4G13830] MPHLAAAAAAPNSAARVAFLRPGPGPVPCPPPNARALRRDMRTAEQPTFYDLLGISSEGTLDEVRAAYRRMARKYHPDVSPPDAAAENTSRFIEVQEAYETLSDPSRRATYDRALARGVCRLAFSAPRRVAPYYHYQRSYHQLLTSARLEGCAVLILSCILLKMMRQHYAQVRHMVGIVAVAS >OB01G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:92779:97423:1 gene:OB01G10100 transcript:OB01G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MVHVDLDGSPVMGSPFPVFFSASNTAANVTTTFPTTLPAVSSAYPNMVNQTMPNMPNYAGSLSASAAFPGLIGLIPGASTGASGGVVLPGVGASLGEICREHINGKCTKVTDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAGGGEASGSTNKADKGDTLKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHTAYVEYSKPEEASAALALNNMDVGGRPLNVEMAKSLPPKTSLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDAKGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGNDRSHRDDRDKYSRSGRRESDRSHDHYSSSSRRNRSRSISPRYKKSSRSDSRSPKRQREESLSPSKSRRSARAGSRSPKHHKGSKLSPARDHHSSRRSRHSRSRSQEKNRNSDKKDGKKNEMEDNRRRSDRGNRGDKDEKYIKDPLEDKKVDASSVAHKRSSSASEDDMVNSNSKKSKHDDALEHDERKDEHIEDDIRDLDSAGSKSDKRSLGNGDHEKQNHDTNRKPDNKNHDRDDSSRKDRKYREDESRHSRDRRSHHSSSRSHRSSRHSREKYHADTTDQHKSKKSQEGSKSRKNDHLLDDSFYSDRRKVQSEDSPRRKHKVSAASSDVHGINHDTEPKLQNDFSEADQDIQEAQPVVHETNVSSAEFSLPAPLLEDPFPAQEGKKDPHSTVADLSGQHAPEADDAFVGTEESAI >OB01G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:89470:91902:-1 gene:OB01G10090 transcript:OB01G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPNRPYKRPAISDQQRRRELALQAQSARRADAQARARSLANSLLSPSSAETPPERDHEPTVADVATAASKLRGSDARRWFARQIMLPEWMADAPPHLATDWHVFARPAGKRCLVVSCNGITISRLRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMISWRGYSLYNCTAEFRFFWVNSKLTETTAGDPPSTYHRYRFSAVPIYECTLEGLQAAYSGSTPYVKDGLLFYNKHAHYQAGITPLALVWKDEACSQYVIDTDSKGQVPNEQHVVLELQEDGKLTTSDDPPVVFGSLDNEFIQKSNLRPGNLLRFAVRDERVKLVDGKMEISELQFVGKPNRARAFADSHSKVSGHLRMHFSWENMISFMTRGHGLIMICIFRLCFSMLLGMLL >OB01G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:87842:89736:1 gene:OB01G10080 transcript:OB01G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELPDLSPIRRVVLDIEGTTTPISFVADVLFPYARDNVRSHLAATYGSSEETRADVALLRAQVEEDLAQGVDGAVPIPADGEGVAVVVEALAVNVEAMIRADRKVTALKQLQGRIWRRGFHSGELRSEVYDDAAEALRRWRAKAYIYSSGSREAQRLIFANTAAHGDIRDHLCGFFDTTIGPKREVSSYYEIWQTLGTDRPSQILFLTDVYQEATAAKAAGLEVLISIRPGNAPLPDNHGFPTITSFAQISI >OB01G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:83442:85775:1 gene:OB01G10070 transcript:OB01G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMGFDVPTYRLNFALCSLISSGHLHRARAMFDQMPQKDIFSLNRLLSAYSRSGDLSATQNLFLSSPHRNVATWTIMMSAHAAVGTSSDALSLFRAMLGEGVTPDRVALSTLLNIPGCAVPSLHPFAIKLGLHTDVFICNTLLDAYCKHGLLSAARRVFLKMPDKDSVTYNAMIMGCSKEGLHAQALQLFSDMRCAGLTTTHFTFSSILAVAAGMDHLLLGHQFHALVVRSTSMLNVFVNNSLLDFYSKCGCLGDMRRLFDEMPVRDNVSYNVAIAAYAWNQCATTVLWLFRDMQKLGFDRQILPYATMLSLAGSLPHVQIGKQIHAQLLLLGLASQDILGNALIDMYSKCGMIDAAKSNFSKKSEKSAISWTAMITGYVQNGLHEEALQLFSDMRRAGLRPDRATFSSIIKASSSLTMIGLGRQLHSYLIRSGHKSSIFSGSALVDMYAKCGSLDEALRTFDEMPERNSISWNAVISAYAQYGQAKNAITMFEGMLHCGLNPDPVTFLSILAACSHNGLADECMKYFRLMKHHYSISPWKEHYSCVIDMLGRVGCFFEVQKMLVDMPFKDDPIIWTSILHSCRIHGNKDLARVAADKLFIMEPTDATPYVIMSNIYAKAGQWEDAAHVKKIMRDRGLRKDSGVSWVEIKQKIYSFSSNDLTSPVIDEIKGELERLYKEMDKQGYKPNTSCVLHLVDDELKLESLKYHSERLAIAFALINTPPGAPIRIMKNLTACLDCHAVIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDYW >OB01G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:70341:77311:1 gene:OB01G10060 transcript:OB01G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydrosphingosine phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) TAIR;Acc:AT1G27980] MDLVLRLRDAANHHLARYEPLLLLAAPLLALLAAHAIHSAASAVTDRGLRAVLLSLAMAAIKLLPGVSGYIDAEKRKVVDQLQSGDMSTKSNLQAELPTVGLSNEVINNLETLKARDVNWQGKCSGTVYIAGRETEGHFALINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEQSSGGEICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISTDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDVVDIFEVNDIMTSKGWHLNALQRPNSLHICVTLQHTVIYEEFLKDLKDSVNTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC >OB01G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:65674:69835:1 gene:OB01G10050 transcript:OB01G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIAIVIGSGIVGTVLTTGDAKIALPDFRDVLSGALKFVTRQDKKDGPSTSSPHTAHLLSQVNHLREELQLLARSNQVAIVTVDGRPGPGAYGITAVVAGALGYLYIRWKGWKLSDLMFVTKRGLSDACNVVGKQLDQVSDNVNAAKRHLAGRIDRVDCTLDECQEITETTRKEVTIIHGDISAFQEEMQSVHLVVRTLETKLGRLACTQDRTTRGIYDLCEFTKRLDKSPKADTHQVTSSTPLPTIESPQRIAKAASLPLSLEPEFSVTQSPVIESPKAVHSPTAISASGLSMLAETSMPPKRGILSRASSIKEGSLEPSNRASSSGEPTIGRHVSNSRLFGGFGFLKSSAS >OB01G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:60240:64549:1 gene:OB01G10040 transcript:OB01G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQFAMVEELASLIKDNLYSKHLVLSTEETLVGVLQNQYHNSDDEDDTVAAYRRTNRNIIELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNEDTSTSVVVKRKDTDIEEAWKEDAQENISAESSHLKNDADLKDLQQSAALPALSLKEREAAYQAARERIFSTHDAKGKDTAVVKPRHVPAVARRMIAHALGKKFESPTETAVVMNGKGKEPAESTRSKLNPGTTGSKEDTRYVENDRMRSHNRDQVEQSWRASNSRAASSVSTDELKREQVGAAKRIFAHALRLPGIDGSDGSVQKGRMG >OB01G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:52502:57481:1 gene:OB01G10030 transcript:OB01G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAARMFASNATLCACDPGYYLSAVNGTTTCLGLPAAGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEAAIAALLSWFAFCAFARFTGHDPTGKKRLFRARFWVSRLDCIYDTSHWADDQQILRKRKTELGGMCSVSSLILFVGLVTVLLYQAIQRRNIEVHRVKPANALDLLTFVNDIEFHITTISSMSCAQLVAPSTIAMGTPGSMDFRLLSLSTLLTYDCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHRNLKLLQPLVQDFTQGSSFSDVSSLNASLQNPIDGIINTTLSISYLSNYIVEISNENVLGPVSIVASIGGLYAFSVAIFLCLMAQCEARIKKLRDEDTRMLKILRKRRAQQNWDKVRKFVMYTWGPSNLDPSDRSGKWPEGSVMDSLHGSFHKKRKPIRRATSNGKKSKGALADGGVIDIERVGEMEQSSSSRQA >OB01G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:47693:50341:1 gene:OB01G10020 transcript:OB01G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MDSVRRRRRSAGTCAVLFLLFVLLRQCLAGDPYAYYEWEVSYVAAAPLGVKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVAGTNCAIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAGGGYGAITINNRDVIPLPFPLPDGDITLFLADWYARDHRALRRALDAGEPLGPPDGVLINGLGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVAASFNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLVTMDQNATTDYYVVASARFVDAAAADKLTGVAILHYSNSQGPASGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGQMRATLNGISYIAPSTPLMLAQLFNIPGVYKLDFPNRPINRPPKLDTSIINGTYKGFMEIIFQNNATAVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTSVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQIARLWKMVFLIAWLVLW >OB01G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14456:23022:1 gene:OB01G10010 transcript:OB01G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGQDNGDTAGDYIKWMCGAGARAGGAMANLQRGVGSLVRDIGDPCLIPSPIKGSKMLKPEKWHTCFDSDGKVIGFRKALKFIVLGGVDPAIRAEVWEFLLGCYALSSTSEYRKKLRAVRRERYQFLVRQCQGMHPSIGTGELAYAVGSKLMDVRTMSKETHNGEEISTSHQASQNTAGNILEDSNYGSSGTHQSQKRKSCSKSAELVGFNVHNGTSLYNSSNFIVTSTEVNSCSKDSRDFNDIREPRYDTETFDDYPSLPFTSLFSNGGVGSNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDAFRASNSDSAIFHSDAYKQDRWLDDSGYNREVINSLRICDAPEADFVGETKSNSMVASRDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEMTDVELFEHLSEIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDAILHLEENCLEPLLVDVRNDLSCISCEVKEEHRMDSYTRRKSKSRNPHHKNCEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTDVLAQNRDDDLPIFCVAAILVINRHKIIRETRSIDDAIKMFNDNLLKINVKRCIRMALKLRKKYVYKLLKGAQND >OB0199G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0199:8340:8627:1 gene:OB0199G10010 transcript:OB0199G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPQRTSLYSLWFGLGRYLIAFEPQTFVLDQREHPWQMLSLQSVLLRSKNFTSNVAIRMPPPVSIYHYFRSLKPTKWDRSPIPLFHAKIFKAIT >OB0197G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592218.1:12632:16152:-1 gene:OB0197G10030 transcript:OB0197G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVRGDVSRSLMYMAASYGSDQKDGAPHLELSDSPSIQGRKMGLLSDLLRWHELDPPSKSEQLRNNRVCSLYQHNRNPFVDHPEYADLIWGNSLGDSSSLVRTLPKAWVNEFHYENKGKDENEFVELVVHTSLDAKDLMLVLYNGANGRMYNSLNLDDKDGHSIAESSLGSSYLIYTIFITLQNGPADGIALVCKNGNGNEVLDFLSYEGSMEALDGPAKGMVSVDIGIKETDESSQNDSLGLTGNKIGDFAWRRIEGYATPGKLNARQMF >OB0197G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592218.1:6647:7760:-1 gene:OB0197G10020 transcript:OB0197G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDEKTPKSPPTVRLKIQILAYKHKQKRKDGAESSSVTYKALNIYYACRVTVSIDVEATCKWIIGFSRPVHTSWSHKIKGCWGWKRWSTRQFLALEVECRVALGSRGRVTGELEHRVSPGGIVTEGGALGNGWQ >OB0197G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592218.1:3887:10903:1 gene:OB0197G10010 transcript:OB0197G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGLPQLVSIFPQRRRDSYICALTTDYIANSGRTECKTQGLVGTRKVQKADREKMRRDKLNEQFQELASTLDPDRPRNDKATILGDSIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKASLKFEVDNLNTQFHQRSRVLYPWTGMEPSVVIGPPPPYPFSVPVPIPSGAVPMHPQLQAYPYFQNQTSGTVPNPCTPYMAYTRPVHPPTDQPSNQFNAPVQHSSSNRSHSMAQDCRSKSSKLQEASCRGKSDDDFNDVATDLELKTPGSSAPLQSELASKDSSSDLKKKQCIQETNGSTVTESSSSSRCSSSGPPDVSDSAEDGSVGDDKRSTVHI >OB0194G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0194:15072:15609:-1 gene:OB0194G10040 transcript:OB0194G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPFAVVDKGGMPHVRVDVKDGAVQLFSPEEISAMRQATKDAATITSLTVDFILNKPTAAALPYGISDGMFNVSIRAIDDSLFEVAAMNGDTHLSGEDFDQRVMEYFIKLIQRNDDRDITDDAHAQGKLRREGESAKRVLSSQH >OB0194G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0194:14868:15636:1 gene:OB0194G10030 transcript:OB0194G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVGVDCKLLHLGDPGAAADEDDLVNAVEQQLDLDAHLVLAAEYALGALALAAELALRMCVIGNVPVVVALDELDEVFHDALVEVLATEVCVAVHGGDLEQTVIDGPDTDVEHAITNAIRECGRGGLVEDEIHGRHGDNGVGDLLPEVVLRRLLHLDEHHGADLLGAEQLHGAVLHINTNMRHPTLVNDGEWQQLHVGLHPLAH >OB0194G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0194:10831:11313:1 gene:OB0194G10020 transcript:OB0194G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSPYTSPHGFTPRQDWRTPASSGCSGSRRTPRAAFVTAPPPPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRSGPPRPG >OB0194G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0194:4525:10923:1 gene:OB0194G10010 transcript:OB0194G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLVLLLLLVAAVVATEAAMPISMEHYFSPGELARIAGLNGDFFPAACLNFDHRIASCQCSCPSPCSDQFFSHKVCRNYINGTRV >OB0193G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369994.2:11154:11962:1 gene:OB0193G10040 transcript:OB0193G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3KVI6] MAKDAVAEHGESSKAPLVAAAGVNRAVAVVDIFLRFIAIIGTVGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHIIRPRARYSRLFLVFFDTAMLALLTAGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMILLVVLITLSAFALARRH >OB0193G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369994.2:8983:9288:-1 gene:OB0193G10030 transcript:OB0193G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFNPPRPLHPKPRRAGDRAKRHGPESERVPDRVRRRRRDAAGVRADTGVQHPVHRRRLHRPPLRALRQGRLHLALIDSACERLEFALRSPSLQLFRFCAS >OB0193G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369994.2:6480:7978:1 gene:OB0193G10020 transcript:OB0193G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFYTGLLLRRCMAASPTVLGYPDIGALAFGAKGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLSVGGLVVSGKQLFVVLVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWVAVVDGVGFHGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKDKFSKVLVICFVACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPVATAIEERLLAGNKRSVNVLIRTLIVVSTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLTRCGRAETLLIATIIVLGSLVAATGTYSSLKKIFYEF >OB0193G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369994.2:6077:6319:1 gene:OB0193G10010 transcript:OB0193G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGAGADGGGGLPEGSTAQPDFEEPLLQAHAAVPARGKQEPAERDHEAQCSPQADVDDDGGATFVRTCFNGLNALSGE >OB0192G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369989.2:15541:16752:1 gene:OB0192G10060 transcript:OB0192G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSAGSPKRLRICARQNPPYMSPSTESNAPVAPTTNRSVSSWTFSAATANTSTRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKSALSTWLATSVQRRRREASGTAELSAPVMRRRTKATPPATNASQTSRAAFIGCLAHATHLARRARKSTERANAGKRREAAGFVARREASRVRNHGGAALKGAARRKSRSLSFFFFSLSCSSPSSRSCDSMLNLQFLLCTEELQKIWYSTVIASCSCSRGRLIE >OB0192G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369989.2:15237:16649:-1 gene:OB0192G10050 transcript:OB0192G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEREEGDEQLKEKKKKERLLDFLRAAPFKAAPPWFLTRLASLRATKPAASRRLPAFARSVDLRALRAKCVAWARHPMNAALLVWLAFVAGGVAFVLLLMTGALNSAVPDASRRRRWTEVANQMRRRFGLPADLWTMCGGRATAADYGKWLCCAPCALAQEVRTANLYDVEENVLYVRDVKGGNGKEEEEVSPKPAAMAPLEREGCVVAVDAPPLPIRIEGNDEYCCGGGLLVDIEN >OB0192G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369989.2:7845:13210:1 gene:OB0192G10040 transcript:OB0192G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGEDSGGDHYPYYKPTSRPHYPPPPPAAPARHQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVASSRKAQVKWYKNMLEAYKNTTPPPKTPVDASHLIARALHMIQRSDLEGILEFYNFPIPSLPTSSSNHQPSSLPEGVQFVLNTLPVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHEMVIARTQARQCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNVFIQEQMLKNGHAWHFKTYDKRPEFARWEREAKSANRGLWASGNPEKPWDWRRDQRNARQEAIQVY >OB0192G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369989.2:3382:6047:1 gene:OB0192G10030 transcript:OB0192G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAANMSAGAATVKPLAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQFDLVVVGRRGGGEGDDLEGSALTSGLSEWSECPELGVLGDMLASAEFASKVSILVVQQQQHAGTAVDP >OB0192G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369989.2:1911:2225:-1 gene:OB0192G10020 transcript:OB0192G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNPLELDDVFISSNESDCHQSIDPRLVIFLQRRRPPPPAQHGAHPRQPHHRVHPGHPLQSSPHVVRPIIRLAAGAASQDLAEAARERHGLRLAVPRRALLRRR >OB0192G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369989.2:4:2159:1 gene:OB0192G10010 transcript:OB0192G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G01700) TAIR;Acc:AT5G01700] MTICQDFAGRKGQIFCGVFDGHGPLGREVARHVRDTLPMKLSSSLALKTEQDPSSNIDKDSLDKSNCTSFSDTSDEKQLLHTWKNIFVKTFEDVDEDLRQHSRIDCICSGTTAVTVVRQGDHLMIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPDLPSEAERILNCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSDKDEFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSSSEESTPGDGKPQAVSFTGSFRKVLGGGAGGEADDGTNNVWRALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDETRID >OB0191G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0191:8449:10588:-1 gene:OB0191G10020 transcript:OB0191G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KVH6] MAACAAAVAVAFALVAATTGNAQLDPHFYDSVCPAALPTIKRVVEEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKNAAPNMNSVRGFDVIDRVKDAVNAACRGNVVSCADIVAVAARDSIVALGGPSYHVPLGRRDARTASQAAANNSIPAPTFNLDRLVSSFASQGLSVQDLVLLSGGHTLGFSRCTNFRDRLYNETATLDGSLAASLQPGRVPAGLRRVHGADGQPEPARREPRRGVLVLPAGLFWLLYRYVFKVKRALSRWTYRLIYAISICHRYMYYDFGPVAVPD >OB0191G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0191:1836:7687:1 gene:OB0191G10010 transcript:OB0191G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KVH5] MASSCSVVVTAIVVVVLGMAAAASAQLSATFYDSSCPRALSIIRSAVNAAVARETRMGASLLRLHFHDCFVQGCDASVLLADAPNFSGEQGAFPNANSLRGLDVVDTIKSQLEASCRQTVSCADILAVAARDSVVALGGPSYPVLLGRRDGTTAVQMQANIDLPAPTTNLGNLVTTFARRGFNSSEMVVLSGGHTIGAAQCTNFRSRIYGETNIDSAFAAALRGSCPQAGGNANLGALDSTPNAFDNAFFRDLMLGRGLLHSDQQLYAGDGTGTDAFVRNYASNSAQFFSDFAAAMAKVGGLGVLTGSQGQVRLNCSRVN >OB0189G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0189:2714:10378:-1 gene:OB0189G10010 transcript:OB0189G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGGCYAVSSWPRLPRLPPWPCVASYSSHTQRRRALRLLPPQRRCVAVRVVAEAAPSLALGPEGRVAGAKEHVRFFAADLEGVPGHRRQEEDEEEAVDERERLRRMRISKANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWVEKLQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGSSSGTKVPRKPRSSREPGAKRDTTRKKPIQSRSAGLEDEYVIAPTVKRKKSATPYKDPMAVEKLEMITKIRAQRAAVEIERKEAINRARSLIAEAEKAANALETVASTSPFAHASLIEARKLVTEARLSLQQVDDEGPADISDDASQGTGMCELHNHDLANQNDVIKQENKPINGMKLPPSNINGTDFYFDVSTLNETNHLRDYQRIENSMERAYLLPSASSAIQDVNGNRRIKDFNAHQLMVDESITIDQIASEAAENYPDEPQEEEDGTSPEQKSKMRWVRGRLVEVDE >OB0188G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370061.2:9800:13743:1 gene:OB0188G10010 transcript:OB0188G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPRRLRKLSLDEHVLGDEQVNKQNLHFNKDLNLHLEDDDGVNIQVEENDLEDYSESDNLLTELPNLSRRPINIISKMFLSIIGTDGVVRQVLGEFKKEKSKGKVHSIDVWDEAHKKKDPRLKAALEMVYNELAKRKDTKSGNLSTKDYEEVFRGIVGKETKLQGYYDNNNNWSQENIDEQVNSTKSCDNVEQQLHSFSYAKATDGHVNLSVPQGHMIAKVHSFEQANDGSTNGFANNLANPDANLHSTSNYVQQSCNICCTNAKDHHENLSVLYERTFAKGHIQQTNNDIIDTFVSELEDLSSSAHAIPNNMQLQQASVASSGKRPRSSESYVEPILSHKDKVNQVPQRKEVLKQSSSKLDQRVVYIFSLNPVYKNRVVAKGNLVTMDSTHVVGGDMVGSEYYGVAIHAVSNIANERLPRPFENCHTVKKLKANTSTCVEKGVDYEKNRSKGVPFQK >OB0186G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370001.2:8246:9082:-1 gene:OB0186G10020 transcript:OB0186G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQHLPLFAAAAAILLLSSAADPDDERCLSSLHDSFSGGLRNWTKAFFAAPCEGFISHLQGVTCNNGRVYKLSLPGLALAGAIPPELSNCTNLQSLDLSSNALSGPIPPDLSALLNLAVLNLSANRLSGAIPRDLASCAYLNVIDLHSNQLTGPIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSAAPGAAAARTGRFNASSFVGNKDLYGYPLPPMRGHGLSVLAIVGIGLGSGLLSLILSFSAVCLWLRATDRTATMPGEEGKISHLMPDY >OB0186G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370001.2:4107:4951:-1 gene:OB0186G10010 transcript:OB0186G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQSCHAIVSGMAVLPRYCQWRGKTMLPRYRQWCGIPEAQLVSDGTPQLVLELEKLAKIVSSSRWASTGER >OB0185G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369980.2:8342:9675:-1 gene:OB0185G10010 transcript:OB0185G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPKPGRVTLVDPPAQLIRRRRGPATERETERVVRFVRADESGEFEESEWVSMRVSSNSLMHLRLTLANRLGQNRDALHTTICVRAGAYARLSPLLVDLPIGNDRIDVVVVSHGTPVDDELKYPCVDA >OB0180G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370025.2:18227:18634:1 gene:OB0180G10050 transcript:OB0180G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGMWNGRCTGKLRSAAEPLRQSSAASYARAAASAGGGGTPPGRSDLRHPRPPAPHPHPLVLPPPPSSSSSSSLPRRIAAAPRRRRLLLPTLHHCSDEAHSSAQMLPTCDRSNDDGDGSDQTASQDTAATESR >OB0180G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370025.2:18217:18690:-1 gene:OB0180G10040 transcript:OB0180G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHITPAGSHTHALHLHLPTLSCGRVLACSLVRTIAIVVRSITRWEHLSRAVSFVGAMVKSGQQEAAAPGSGGNAARQGGGGGGGGRGRQYKGVRMRSWGSWVSEIRAPGGGAPPPAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPK >OB0180G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370025.2:8073:8327:-1 gene:OB0180G10030 transcript:OB0180G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAVSSPETADHEMAHDDPTAADDVDYAALADIDAFFRSPKCMDYSMMDPCNTFFAPAPEALAAEWEDEGEISLWSFSSLN >OB0180G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370025.2:2259:6931:-1 gene:OB0180G10020 transcript:OB0180G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain [Source:Projected from Arabidopsis thaliana (AT1G44835) TAIR;Acc:AT1G44835] MGYTKEQLLARLQELNIDFCCYDHPVVLTVEEQAKYVGHLGGALSKNLLLKDKKHRLYVVSALSGTKVDMKILSQRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALVNESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLMSIGRQPTYVDLEASPVVGKDNPPDLAELVPSGVPNSSESIENVKPTNVIRQNDVPKEKTCLPEVKAKPKGQNKGPEKTQSKIPANSTNVEKFVNDVFDIMSPLFLSEVSKKLNVKQEELSSILDSCKERAAPDLESVTMILKNASYTAGFEAGFETMLNSGLNGRPSRK >OB0180G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370025.2:1465:2238:1 gene:OB0180G10010 transcript:OB0180G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPAQGGVRHHRTCRMYWCYQCGRAVRIISYPSTDVFCPRCFGRFLHEIDPPPRPALPPPHFFPQPYPPHYDGHPRRWIIYGGETPGSAFRQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEGRRRPTPAVMT >OB0178G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0178:8320:8731:1 gene:OB0178G10010 transcript:OB0178G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQHVLCLFWVFCFVGVYPDSSYLDLQHLLQDRHQFLSYPPSRASPVLKRGTEPSADEPAAKRMAFDPDAEPAGAPKDITAHSFSKPTSFEALEETHLKDGVKEIKRH >OB0177G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0177:9086:11126:-1 gene:OB0177G10010 transcript:OB0177G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGKLSTVQMEVTLRFKACLQSRFRSVRVISKGVRGLKMANFPATHRGHRMNGFVTELTRVSFIGLDRMHHDLHEATKAMNDRKLMKIICLQNDVDRLKKENAKLQGRPEPSGAKIRTTARKRTHAPPQFQAALGGPSQQRPLMQLVPTSPMQAAMDLPSTRMNSTSPIPPGAAPRMKKNLLPPPAVALPLSTRRYL >OB0176G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0176:11748:14746:-1 gene:OB0176G10030 transcript:OB0176G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGPNSVAVFQKISLLGANQKSFSSRLTLLPSLSKKLPDCVISSAKIERVLEKISALERTEALESILDQGTVTIFYADSPTNPHLKVVDVRRVAELCHHTLASPINQKPLTLGADVVLHSATKYIARHQDVIAGCVSGSEVLISRIRVWYHDLGGAINPSECGVHDHPWTEDDGKIKHNDQCKYKPTAYGVGKMSYIPLARHEPPHPYEELRLRCMANSASIQQLGLPAYTPNGQRTATNSKKKTNERNREYAHYDPLHDDAGEQDLFHDDIAKLLLVFNFCCLCFWNQTTSCFSCFIFLVLHVLLVWREPEPGP >OB0176G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0176:2757:2948:1 gene:OB0176G10020 transcript:OB0176G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEENQELTEIMHESPICHQKYLAPFQTTPKRLDGAPRFFKGPNLFLQQISAKYQKYFCSGF >OB0176G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0176:1164:3100:-1 gene:OB0176G10010 transcript:OB0176G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIFIAVFLNENLPIHRGPAGKRVDGLKAKPLKPLAKQGLQEKKALRDVSNIGKPPMSTRKPLQDVSNTGKP >OB0171G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0171:19812:20174:1 gene:OB0171G10030 transcript:OB0171G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYTTACCRDGVVKKRSTSCRRYWMHGGSLRNIPIGSSTCKRPRAARPTHAAVAATASAGAATTPRFPSSSSSSSQLQQATNATAAANQLVQEAVAMSDDGSHRAVPRTRSHGAGGACR >OB0171G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0171:17011:18745:-1 gene:OB0171G10020 transcript:OB0171G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHATGARSVDAGRRGAQATAGQWGPATTCGARTRKRSTRGLQHGVARSLPLLQRVEMAYAKFVVPPFVATECPFATSPFCPPRGRQEDRLAVHINAWMSVRELTGGTFHEQQIEAENGTKGTTLGLNFGHQVVFDGLKFIGKMLV >OB0171G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0171:6548:14334:-1 gene:OB0171G10010 transcript:OB0171G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTMAPPPVRPAAAEEQPWEYTLRKYLLLLATLVATVAYGAGFSPPGGVWQDKSNGELPGDPIMKKLYNGRYLLYFYCNATAFVSSLVVIVLILLFAMLHEKRGIKITVMPLRAAMVLDLVSLMGAYAAGTCRDAVTTKYTVALVAAVVVYVVVQTVLASLPAGEHDGDDEHVVKEKSRKVLLLLATFATSLTYVAGLSTPGGYWSAGGPGHSAGEAVMGQLHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRAWELYGCIVVSLAGLVGAYAAGSSRATRTTVCVVALAGAVLAYIAVHLAAVACAARVLRDTGMSDKLVEMYSSTIVMSKQRYGRRQAVQGLTPPSDDREIQQNQALEKTRSLVLLLATLAATVTYQAVLDPPGGYWQSIEGHRDGDPILLTINATRYKAFFYFNSTAFVASLLVIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDAGTSINVMAIAGAVLVYVVIHVVFCTLDHNDSTTPLEDSGLLEKRRKRLLLFAILCATITYQAGLTPPSGCWSKNNNDGHLAGYPVLLSNYPRRYKAFFYCNTTSFMSSVALIILLVNPNLYRPAIHSYALSVCMVGGMFGLMGAYAAGSSQHLRTSIYVFVLLFVFMVLLLVAFAVHKRSQGIQGRRTNEGRQTTETNEDPDSERKQHTKRKYLMLLGILAASVTYQAGLHPPGGVWQDDKNGHEAGNEVLRDNQTARYHAFFYSNSTSFMASIVVIVLLLPESLKTNINEWLLKAMNTTIILDMIGLLVAYGTGSSRDWETSGYVIAMAVFVLGYIVIRATLSKLSQGGKRNNGQRVACVDAESQGPGNVHCSQLGWEDKGGEFLQKLKMDRKWMYHVHRSSTEYKEGVTEFVKFADNDRKSRMSLYISCPLNVAVAPESMFAPSPLGGDTIDFDTKCLSQMLHDIEDADDNDKDFEKFNTNEKKVQVKEVSQRGEDRQRLYDGINPFGDLSSNHSTWLVLLVNYNLPPWVCFKRKYIMLAMLIQGPRQPGNDIDVFIEPIIDDFATLWNEGTRITKEGLNARRNLQDMCVRLELHPVTTESSRVYLPLACYTLSKDEKMNLLTCLIGIKVPSGYSSRIMHLVRQTKYYGPTFMTQMYPCERYMRILKGFVQNRSHPEGSIIESYTSEEVIDFCVDYLSETSSIGLPQSHHEGRLDGVGIVRRKINSGLRIEAGTDNGGCHDQYYGRVEQILELDYLKFKVPLFRYRWVDLRNVKVDTEGFTTVNLANNTYKDEPFVLAKQVVQIIYILDPCNKKLHVVREGKRRIVGLDNITDEDDYNQHVHGIAQEIPLEEEEEEDDVQHARVDHEEGLFL >OB0169G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370040.2:14685:16366:1 gene:OB0169G10010 transcript:OB0169G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHKSGDNTVMQLMDMMQESHVTQNCRIGVLFELHGQDNVPFTTRDIENRKAANVRKEKVDNIKKLLNFFNQCTLQNPKFYWDTKLDEHGVTKNLFWSHASSQAEYSDFGDAVTFDRAYQTNIYEMSLAMFVDQQTALHRFASRMLDVIVDRKEKEATETQACSTAADVDEEIFTCECKQWEHTGLF >OB0168G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0168:17220:17555:-1 gene:OB0168G10050 transcript:OB0168G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSSEGSHDRPRLRGGGCVLRASLRERDCVVGAAGLRGRSSPRRRRCLRSSRRRLISHGRLIHPPAPPPHLPADGPYKPPAGGSISCCSSSRRRLVRWLVLLLPLLPRP >OB0168G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0168:13171:16602:-1 gene:OB0168G10040 transcript:OB0168G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAAASSSCKPRLTYTHGSISFFFAPRTRSWIHAAAEDQGRGDQRRSGRLAADGPRVVEVATAPVTPVVTVSGGGFAAQDPELAKWEGLGAVVRLTYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVDGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAIQGALADIFLRGTELMKDARGMASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRTMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRRRLRQRIQEIRREETNSDTS >OB0168G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0168:11416:12062:1 gene:OB0168G10030 transcript:OB0168G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSLDAPRKPYPMTIPTNALRLNYHITTTVITLGGSRETTYAMAHAAAIADGSGKWVTIVLGCPKSTRGITSVIKDGKPYLKLAGLQIIEERLPYDDIETYMPCYRVSNWAITTWSNTIMVHSDSSDNRQEDFTVWAPDIIISDTVRSELLASGLLHRLKMVKRRWSLRCKILWCLSPHQAMVKKMSFI >OB0168G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0168:1682:4469:1 gene:OB0168G10020 transcript:OB0168G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKGAGLGVAEELALEGQPGLAHGAAGSADDILEIEGDDRRGKYIISMGLMGLYMGHTNTPVCRWFTQLLLALDYLHCNRVLHRDLKADFGLAKLLMEDLASTSVGTPNYMCLEILPDIPYGYKSDVCSLGCRMFELLPHRPAFKAADMASLVNKINRSSISPLKQIVKSMLRTNPEHRPTVLECYVGIINWAKAMYRIIPRKITKAHLM >OB0168G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0168:401:1414:1 gene:OB0168G10010 transcript:OB0168G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTYANLRFGGEISDGLRLFLAVGLENLKFGGERPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAIQI >OB0167G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592217.1:8680:22526:-1 gene:OB0167G10030 transcript:OB0167G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLLDTLAGRLDSNLKMTGQILINGRSQKLAFGTSAYVTQENVLMTTLTVREAIYYSAQIQLPDTMTVAEKLARANDTVREMGLTSALDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMGHITGLAAREGMTVVAVVHQPCSEVFELFHGLCLLAAGKTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEERLYYMPAVADEAIDILVNSYKSSNTSEDAKQEMRHINEMDSAAIGRNRPGFVTKMFVLTRRSFVNMYRDVGYYWLRLAIYVSISVCLGTIFYNVGYGPDSIRARSSMLMFIGTMLTLMSIGGFPSFVEDMKIFRRERLNGYYGVTTFVISNTLSSTPYLLLIAVVPGAIAYYLTGLQSQVDHFVYFALVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNNLPKILWKYPIYYISFHKYALQGFYKNEFSGLVLQTNIEGPKIVIGEKDIAELFQVEMGYSKWVDLAVLCGMIITYRLLFVVIIKVFDFVKPMLKGVTFRCHTKFVYGVENLCAPL >OB0167G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592217.1:2262:2507:-1 gene:OB0167G10020 transcript:OB0167G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSIPVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >OB0167G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592217.1:535:819:1 gene:OB0167G10010 transcript:OB0167G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTPSQLLLLGWLDAQSGQWDDPLFSTLFSFANDTFSFRRLRTPYSYHFPTYVLLNKRQTNRRNLSEGSRSGEHLPFALWDPRSYSFLIAGKE >OB0164G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369954.2:21360:22608:1 gene:OB0164G10010 transcript:OB0164G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARRRRPPPPPPPPRVSSSEETASDESEEEEAVATPVVPNKGEESDSSDEGESEDEEEAEEDMVKSSATNSRAPPPKNLEGEEEDEPSESEKAPQLPPKKQAFQRIWSTEDEAFQRIWSTEDEVRILEALAAHREEHGALPQGDALAASLAGILDKPGCDRRGLQGKISTLKRRYKAIAKKGGELPSKGDDRLLFDLSKRIWGSQAANGATREFDEMCELYPHLAEEVKAWEEKYPGLFKRDFGRIDDNKARALDMKIKKQRLAEMNVEMRSSNLTRQVGKVFAELAKVN >OB0163G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369950.2:10417:11310:-1 gene:OB0163G10020 transcript:OB0163G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILYWSSEGTPAGSPEGSAGSGMRSNFSREMSAGSGARAERPRQRKSIGRPASTSRATSLQGAISAAAVDGFQACAGTPSRSSETRNGNGRAVAIANRDQGRRMSWWETAGIGECASARSLSLELEKTKMKWSSGEECTENLQTGMWSAADMAEATAAGDSAKSRPATRAKRARRNWPSSSSICSERSTTHQGTGGTGGKAGDGCTGNGATWPSGVTRPRGGGDGVVAAVGEPSGVEASPADVVASEGVRREATRRRMAIESEEDPGLRGRLQSRATWCRPRHRRQRTGRRQEATR >OB0163G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369950.2:9734:11263:1 gene:OB0163G10010 transcript:OB0163G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELALPSAPGATPAPAATASPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGASSSASSLAGRQVVAVARSPLIPADLQDRCLNCLSSSHLVASCRLPVRCRRCRGLHHVARDCKRPRSPGSSSDSMAMRRRVASRRTPSDATTSAGDASTPEGSPTAATTPSPPPLGRVTPLGHVAPLPVQPSPALPPVPPVPWCVVERSEQIDDEEGQLRRALFARVAGLDLALSPAAVASAMSAALHIPVCRFSVHSSPLDHFIFVFSSSSDRDLALAHSPIPAVSHQLILRPWSRLAMATALPLPFRVSLDLEGVPAHAWNPSTAAALIAPCRLVALDVEAGRPMDFRCLGLSALAPDPALISREKLLLIPEPALPS >OB0162G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369948.2:11602:15398:1 gene:OB0162G10010 transcript:OB0162G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGADNGVFLPPPRQPTENVVVEDEVDTSDATGGGESSPHYRYPKRRPVVGRPRPLIHSDVASLSSITTIGSVNLTEKYDGNVNPIEFLQIYTTIIEVVGGDDRVMANFFPMTLKGQVRAWLMNFLATSIHSWEDLWQQFIMNFQGTYPCSKEEADLHTVQCQDDESLRQYIQRRKTRVNIAKATMTGHHRFIHRKEGKMSGRKIINYKTIAWQEQEEALSVGRVRSFDVGVGPPVLWVIVFTIPAATGGLRSAWRHEEAQGKPRLRPLDASDDLAHSLLHKGPNSLDVPTSGEFVQGDEGVQRRVASPGCHRSWLQGCLQLPLLPLNDGLVTADQRLLRPPDPDLAAGDLRFPTGEGHGMAHGPDVAKRGTFLVGCPSMGSSQSWQQQLLRYSTPLLRAELSGLLLQGSELSLVPRDGLLLLRHVNLGLLVGVDGRAVGGQQALLHRLQQIHAILKASRSSSISHITASSCHS >OB0161G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0161:20687:22615:1 gene:OB0161G10010 transcript:OB0161G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G67590) TAIR;Acc:AT1G67590] MLAMRPVDDKECYSHSSHGHGESNGRLQRKNNPASRPKPMPSKWDDAQKWLVSLSNGGGVDGIHGGKVKPRNSNADDRRLLSSSSQNGRVSCSSVEGALEYNMVAAPPTPPQLSESADDVSETKKIDYCMGLPHHGSPVAVLRSVCLRDMGTEMTPIASKEPSRSATPLRASTPVSRSPIPSRPSTPGGRRDDVAVGITAVEARTAEPVAGDRVDGGSGCPVEESSGGFGIHAPSSTNALESRAAAWDEAERAKFTARYKREEMKIQAWENHEKRKAELEMKKIEGFRFTRLQTKTFQMKAEQMKARAQEKLANKLAAARRMAEEKRASAEAKLNEHAARTTQQADYIRRTGHMPSFFSFKMPSLCG >OB0160G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0160:6185:7539:1 gene:OB0160G10010 transcript:OB0160G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKLNRMAFTVGYRYDPEYTAVHGLSGEFPHRGLDQMHHDLNEIAKELNGGKLMKIVRLQNNVACLEKENARLCGLPEPGGSKLHTTARNITHALPRVQEAYHSPSNPLTLMQIIPSSPIPSPQFGVASSPHATGDANGAGVTSWVTVSSSPPRAGILKKVKLKNSQSHSEDGDEEGEPAVIASGRRNLPEQ >OB0157G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369971.2:11844:17436:-1 gene:OB0157G10010 transcript:OB0157G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDETDFGNIIDAPAEGQTAAKFRPKARAKPRKASVPSKSLTHPIVESTYEKVETINKYNTSQEQSVDKKTVSLGCHGSETVGDACASEGMLDTPIDVPTVSVGLVSNLDVTLDSSTLCTSAVHKFSQNEEHNDDLSHVATPKESMVVSDTQAPLTCSNGKTIDELTDFEGLCDDAEVEEERIPKFQPKIRAKINKATLKSQRAKQNVGASTVDAPSRNEDDKNQAGCNDKQLDTLRHQGSVEISYPQAHLGAHNRAVNDFANSDGIEEPAQEERAAKSQPKLQPKLERTLPDVTETSPAASVATPEVRGFDADLASQDTEDTHREGLNDGLCQKYMDEEANTVSGTGPPQDMDATVDLDYHTEMVNPHPDGIRPIIGEPSGEATVKFQPYVRRKKGGKSVSFSLPNVSDPVVTTEMPSDHIIEQEPKGDARETGTSMKLRSRKKIQKDVITKHTADDNMDELVEPPSDENDNDSGDEYTARGKQKGRRKSMEKIINKEPLRGTKRASGDSAMEESQKQKVRKNKSKASSRDQNKTPKDLSVEQTEKKLTHRIRQKRMKEVKTLLETPDHEIDRMKLSVTHLRLLQEARERSKGKDPSGPSSNNSFQFEDMDDGYNQQDNWDNDRTENHVVGNATKLNYHSYMNRQTRAKWSKSDTDLFYQGLRQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKRHPMQVHDAIMHRSRDNLYFKKVMKQLNIEDAQPDINKTHGQEGASNQEDPEIKKLSDEFINEEEENGENWSDKERDTQRSEVEEKEHISTNDDDDDDLGDVFDWC >OB0156G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370013.2:482:1587:1 gene:OB0156G10010 transcript:OB0156G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRNSNRNNNGATGSQENHEGSRNGPPPPQQEDPTIAQVLANQAQMMTMMMQQMQQQHQQFSKSHVPDRIVAQKKREFRDLQQGDMTVTEYLHEFNRLARYAPEDVRTDAERQEKIPEGLGDDLTKQLISRDFADFEKLVDKAICQEDQCNQMDRKRKAEQVNIGKNQKPRLHFVQQQGSPTLIVRQHRPFSPSNYNSHDNDNNGGQLQSLSALPPPSQTTPAQKPGVCFNCNKPGHFAKECPQPRRNKPGFMQAQVNQVSAKET >OB0153G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0153:11031:11911:-1 gene:OB0153G10020 transcript:OB0153G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACGGVPEAGASEAESSGGGWGQPAAAKDGRSPRSPGVAGRRRMGVGGGGHERRWRMGAVGIEWRIRAVGAGCFAGGDCGTWKYVDTGVMYQKAVIFEGHIYQIVECHMLRVVCALVGMRAINIKRLPSVVG >OB0153G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0153:2065:2394:-1 gene:OB0153G10010 transcript:OB0153G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESFFPVQVAAWLETIGPGAFERNICVNSSLHAPPFPSTIGAHPLPCSLPPPQRRQTCDGGKLVAVAVAVAASQERSPARYPTPLPSSALPSTIDGKVGSPVSTQSA >OB0152G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0152:52:2345:-1 gene:OB0152G10010 transcript:OB0152G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLIAVERNREAQNAVLQQIAASAAAAAAHIARTEQLQDAAGDWWENFLAMQPAGRAITWPEFRNAFWAAHVPKGIMDLKQREFLSLTQGNKSVMEYLREFNHLARYAPDDVNTDDRKQNRFINGLSAEMQLELAAHSFLDFQDLVNRSVVVESKMNNLENERKRKRAAQISAAGGSQKPRGWQQPPPRYQAPPPPRRQGFVPRPPQQRVALPVGGNQQAIRPPVRSNNYFNCGQAGHYINQCPYPRRTQEQGPRAVAPRPNPFPRAAPQGQQNQKRGRVNHVTAEEANNAPDVLVGFRCHPRHGLAKKNHGVIDCGLRLITLKNNTGEPIFLALDDHPPQLHALSGAKNNDIAAVPVVCEYPDVFPEELPGMPPDREVEFVIDLLPGTAPISKRPYRMPPNELEELKKQLTELQAKGFIRPSSSPWGCPALFVKKKDRSLRMCIDYRPLNEVTVKNKYPLPRIDDLFDQLTGAHVFSKIDLRLGYHQIKIRSEDIPKTAFSTRYGLYEYTVMSFGLTNAPAYFMSLMNLIFMEYLDQFVVIFIDDILIYSKSKEEHEKHLRLVLEKLREHRLYAKFSKCEFWLKEVGFLGHVLSEGGVSIDPTNVQKVLDWGQPKNPIRHLEFS >OB0151G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370052.2:15916:20663:-1 gene:OB0151G10020 transcript:OB0151G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDAASATPAAAFLAMPGWNPGPTIPDGVESFANGEITLEGTHHGPVTNTPTLFVEIGSTEEYWGRQDAADTVAMLLWKGLGLGEEESVGNWHGNGEKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNVADVGGMWKHSIRVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITNYLQELNIRLFDDVNEN >OB0151G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370052.2:10095:12087:1 gene:OB0151G10010 transcript:OB0151G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNRSLKPPTSKPMSAKVHRLTKEDALKYLGTIKNKLTDHPDKYYGFIYIMRDFSKGRINTRTVIDRVRVLFAGYPDLLHGFNKFLPRGLKVI >OB0150G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370005.2:20086:21206:-1 gene:OB0150G10040 transcript:OB0150G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMSLANPGGGSRSVNPRTGYCATTKSFHSLRPPVPLPPPDVPLSFPEFAFTLLQSSSRLLDAHPALLDAATGEAVSFPAFLSRVRAVAGALRSRVGLRPGDVALVLAPTRIDVPVLYFGLLSIGAVVSPANPTLTRAEVSRLVSLSGASVAFAISSTASKLPAGLPAVLLDSPHFRSLCMDEQGDGLALETAVVVRQSDTAAIQFSSGTTGQVKAAALPHRSFIAMVAGFHALHAKPQSPRKNHERALLCAPMFHSMGFFFVLKDIALGVTTVVVTDTAARAGIRGLVETAEHWEVTEMTAAPPVVMGMTKERCRLTALDRIICGGAPLSMAAIERFRRRFPHVDLCMLTA >OB0150G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370005.2:19959:20219:1 gene:OB0150G10030 transcript:OB0150G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIAFNRYHGPKRLIKRYPVVVQRLRVRCFRHLVVARQLSVARLSAGSNLAVVVIGDSNNRLTYHTQIDVWESSAEPLDCRHRQRG >OB0150G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370005.2:14612:14947:-1 gene:OB0150G10020 transcript:OB0150G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYLVKITIKLLFSNERRRFTYWHSSIIACVCHSELEDPKPTDSFSSRCFIQITGLKIDYLREKILLQQILLLQKKLSIIYIENMNSIFAIYLLLLHISLFCNKKKNKRT >OB0150G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370005.2:4753:10454:-1 gene:OB0150G10010 transcript:OB0150G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQEECTHIGSAGRVTENVEVKIVDHVTNKPLLAGQQGELWVRGPSIMTGYVGDDEANATTFDSDGWLKTGDLCYIDHDGFLFVVDRLKELIKYKAYQVPPVELELVLQSLPEIADAAVMPYPHEEAGQIPMALVVRQTGSKITEEEVMYNVAKQVAPYKKIRKVMFVDSIPKSQSGKILRRELVNHLRLCELSRL >OB0149G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369974.2:18021:21501:1 gene:OB0149G10010 transcript:OB0149G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEVAAIMAIALANGGNRPPDWQDFVGIVVLLLLNSSISYFEESNAGSAAEALMANLAPKTKGDPLKVDQSALTGESLLVTKCPGESVYSGSTCKQGEINAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCIAAIAIGMVIEVIVMYPIQHRHYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTATEEMAAMTVLCSDKTGTLTLNKLGVDKDFIEVFANGVSKEDVILLAARASRVENQDAIDTAMVGMLGDPKDARRGIEEVHFLPFNPVDKRTALTYIKVDDGTWHRILSLCNCSDDIKKRAHAVIDKYADRGLRSLAVARQEVQEKNKESPGGKWEFVGLLPLLDPPRHDSAETIKRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGHGMDDSIAPVPIDELIEKADGFAGVFPGKCSRGPAWLASIPWRFLGLELMSRRVVAEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILIIAILNDGTIMTIAKDRVKPSPQPDSWKLREIFITGVVYGTYLAVMTVVFFWAMRSTDFFTNTFHVKPLLEKDEMMSALYLQVSIISQALIFVTRSRSWCFAERPGMLLCVAFAIAQIVATCLAVYLNLGFAHMRGIGWRWAGVIWLYSAVTFIPLDWFKFTVRYALSGRAWDTLIERKVAFTSKKDYGRGEREAQWVTAQRTRHGLKTAEVEDPVNERRSYVELSELAEQAKRRADVARLHEHNMLI >OB0147G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369985.2:15341:15532:1 gene:OB0147G10030 transcript:OB0147G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHACPVKASWLAGCSKNKLAGCFVYKIDQGHFSLFTIHLMAKIKENSSKTIIMEFYRECYTEEG >OB0147G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369985.2:10832:11491:-1 gene:OB0147G10020 transcript:OB0147G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCHLVTCRNKLGGHGGRDGWGWQRPPVEATLARVGGGSRGSREVPAWGEGGRRGSGCRRGGRRPRRPGLKATDEGRGAGRSVRREEAALAGDGEVEEAAGEEDADLAGDDAAAAG >OB0147G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369985.2:10015:15157:1 gene:OB0147G10010 transcript:OB0147G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDELNEPFNKLLKIMMTRTPVISPREPLIQFDNTNPANQIDRTKPRQNQVNQNYANRSNRRTHMDLIESYYYFKGLNSEVASEIWDLAADPEQSRVEVQLVDYETSSDSSLSTLGSEKLDSCTSGPICLEYGSIAEATTPNGADPQKLDSVTIGPLCLENQAISEANPPTGTTYEMPLCLEYEAISEANPPTGTSYEMPLCLEYEAILEANPPTGFREEDDRAANYGHAPSSSNVDASGLGVDEGTEGASILVADSVPGELVISYDKNNPCMDRGTKYPSMKEFRLAVTVHNEEHNCTSSMRIRTTTPSQGWVADRALDILKTSPTMGAKELLTRLQDEHNVTLAYNTVWCGLEKAKKELYGSWEESFYMLYSWKEEVLKRSPGSVIEIDIKVVDGRAYFHRFFCAFSPCIKGFLAGCRPHLSVDSTHLNGRWNGHLAAATLIDGHNWMYPVAFGFIDSKTEDNWVWFMSQLHKAIGDLEPLAICSDACKGLENTVHKVFPEAEQRECFWHFLKNFSKKFHGNTFANMYPAARAYREAAKREGNGSRDKRRSIGERLQGRVLPAVILQLKARTGGLGHLKVITASLHTAEVQDFGKDFGRHVVTITTKTCTCEEWQHTGQPCDHALAFLTTQRNVNLADYVDMFYSVEKFKAAYEREIPAMPDKSYWPKRSVGRQRKLRIKGCLEIGGSTRSKSDAKNKKVIRGPVTCKRCGEKGHRQASYKCSLNGTTKRKRKTKKAKAKNQAEPSTPKKTTNVDRILQESPGMVTRRRLAMLLGGGTINSGAAGAGYTTNQSREASTSGGSSQPIKKKKVQD >OB0146G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369987.2:19594:24372:1 gene:OB0146G10020 transcript:OB0146G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLRTNGYVIIIVFSCTLAGPRQMADLPKTHRGQGMDHFMAELSRMAITAWYPYDPEYTAIHRLSGEFPHMLLVWSRRLPDYRGQPEPGGARLRLTARKRTRAPPRVEEAYSSPSNPLPPMQYPSSPNPSQQSGAASSPRVAGNANGAGATGWVTVLSSSPRAGVLKEVKLENSQSHSEDEGGEGEPAMVNIRQGNRDTEESETTQMHRRSNTTTPAAAGEPHPCSSCGQPNLDDQPDGTTDEAAYSDDAADGQPAVVAAAKSTEWSTLGAVQEKVAFATHQLMGPASTWWDNFLVTRTATTEVTWAEFCLNFHKAHIPSGILTQKKREFCALQQGNRLVTEYLHEFNRLARYVPEVSALMLREIIGAWSIKHSSSMHGASEEPLPDSAGRGEGGFDAVETSDTTGHLLVGGVAKKEMGFGSPKDGFTRGVQYGAPYHAPIRFLPANKGYQEERRQRLQGSRTYVVM >OB0146G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369987.2:164:2504:1 gene:OB0146G10010 transcript:OB0146G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLRRNANGAGAIVWVIVSSSSPMVGVLKEVKLKNSWRCSEDGDEEGESAANSGGRRSSLKQRMFPLVVVLEVWVNTRNDNHDTDGSETTQRDHRGATPLSPENPTLAQVVANQTQMINLMFHDLKTNKNNYLSKCSGKGKQTKPSNLQLQLVKTAPHHRHSRRRTEPYFNLRNNLLLTQALALALVGEKLSKAEYKPPYSTSNTQERKNNECNRVQGIG >OB0143G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0143:3388:4125:-1 gene:OB0143G10010 transcript:OB0143G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGGDVERRRRPAKARTNPMMATMGKRERRGEEGLTGDAKDGDGKKLSKAEYKPPYSTITPKRGE >OB0142G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592216.1:6725:8105:1 gene:OB0142G10010 transcript:OB0142G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHQTESTPSYLTGSARAAGNQVPTPFPTFLGGGSTIRGQAAWWPGSLPQATFSADTSTNCGMYYYPPGGFMNFLQTGQPFIPHFSSPWPPMENESQLAPPKNSSGNQNAKNRSRNKTIINLDEGNDVRTAKRLVFDPDEDERLVSAWLFHSSDPINGNCKKNKSYWGDVHELYNKTTPTNRKREKATSIYLSGYSDDQLRDMAKQFYLDDYPKEGAFTVEHCWKILYDEPKWHAILEELEKPNKRSLEDEGEAIDISGV >OB0141G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592215.1:18610:23689:-1 gene:OB0141G10030 transcript:OB0141G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRVLLSAPSPAAAMAARSPAAAHQEATPFGARSISTQVVRNRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPDKESKYVILGEKGKVQLIRDSKDSIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTITTILSPEVMEKESEAGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENSCSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >OB0141G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592215.1:9986:11984:1 gene:OB0141G10020 transcript:OB0141G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLLLGSIAVSIAVCYLIFVRNGAAGKRRPLPPGPRGWPVLGNLPQLGDKPHQTMCALAREYGPLFRLRFGCAEVVVAASADVAAQFLRVHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALEDLRAVREGEVALMVRNLARQAAATAPVVLGQEANVCATNTLARATIGRRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPVLRPLDPQGVVAKMKRLHRRYDDMMNGFINERKDGGKPDGFAAGEHSNDLLSVLLARMQEEQKVDGDGEKVTETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEVQHELDTVVGKGRLVSESDLPRLPYLAAVIKETFRLHPSTPLSLPREAAGDCEVDGYSIPKGTTLLVNVWAIGRDPAMWPDPLEYRPARFLPGGTHAEVDVKGSDFGLIPFGAGRRICAGLSWGLRMVTLMTATLLHGFDWALANGETPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGLWNWSSYCRCKLR >OB0141G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592215.1:27:388:1 gene:OB0141G10010 transcript:OB0141G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFGDQEGFVPRSLTVWALRDSHDKRARGTSLDCAGACVEVVELQWQSSDGLIDRLALPPKLIGLFSIPNCANAGFLEEKRRI >OB0140G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0140:5912:8763:-1 gene:OB0140G10020 transcript:OB0140G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSPTKDLLGRIRLKLGPILLRIETPGVTVKLAAATPSHGGVMAMTTADRVQGWTVDMRRRWQRLGFVDGQMR >OB0140G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0140:2349:4682:1 gene:OB0140G10010 transcript:OB0140G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRQAQVRGSGEAATRRSMIARAMQGRRQHQRHPVAKTSSDAARRGSLWRWQLWPEEGDDLGSLGPPVGFPRREEGGRSNSVRGGPPGKRGIGSAQGRERAYHAQKFLTRISELKCVLKIDVQDQPGYTKAVTSSAPNPCSCYFLYKIFSGLDLMPLWDKTKPSNDTKYTFSEVEVHAVAAKVVERLLSLRDGMIGGVDVGHLKTNILHVIIVQELVPRGTMSGGSLSRNMSFDKFDMSCQT >OB0139G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0139:15353:15598:-1 gene:OB0139G10020 transcript:OB0139G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADRWAPQVVRGRGEGRMTCGPREERERKGWRRLTSGPEEARERRRGRRVGSTHRGGEENRGGDGYGDLRCSVAATGG >OB0139G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0139:10682:10846:1 gene:OB0139G10010 transcript:OB0139G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQINENLAIQNAALFEARIFSDPDEMQAILPEKEIVINYVVLLLDMPLAART >OB0137G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0137:22799:24814:1 gene:OB0137G10040 transcript:OB0137G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFFLKTPRRGVCRPAQDRRRQVHNLQTTATSRGLPYGAYWRQARKMCLAELVSARRLESNYLERDVVHMGRLVTTPEQFRWMLDELFLLNGVLNIGDWSPWLGWLDLQGMKTGSITRESASRRRTWWTCFYVAGDPCLEVKFSRDSVKAFTQDLIAGGTESSAAVTVEWAIAELLRMPKVFAKATEELDRVISHGRWVTEKDIPSLPYINAIVKETMRMHPVAIARGDQKLAVLYTRKGSSPSAVLSLS >OB0137G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0137:17141:19455:1 gene:OB0137G10030 transcript:OB0137G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWASLLLLTGVTLATILFLAATLSRRGGRRASYNLPPGPRPWPIIGNLHLVGALPHRSIHALSERYGPLMHLRFGSQHVVVGSSAELARFFLKTHDAVFADRPRTAAGKYTTYNYSDMTWSPYGAYWRQARKICLTELFSARRIDSYEHIRSDETRALLRGLNAAAASGRRAVRLKEHLSTASLNLISRMVLGRKYLEGGEVVHRGEVVTTPEQFRWMIDELFLLNGVLNIGDSIPWLNFLDLQGYIRRMKKLSKMFDRFLEHVLDEHETRRHHEGEAFVARDLVDVLLKVADDPCLQVEFTRDSVKAFTQDLIAGGTESSAMTIEWAISELLKKPEVFNKATEELDRVIGRGRWVTEKDIPSLLYIDAILKETMRMHPVAPMLTPRMSRMDTSYNGYDIPAGSRVLVSTWSIGRDPKLWDSPEEFMPERFVDSKIDIKGQDFKLLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFSWRLPDGMTREQLSMEEIFGLSMPRKFPLEVVVEPKLPVHLYTDA >OB0137G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0137:8945:11524:1 gene:OB0137G10020 transcript:OB0137G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLPPWASFVGVVLATVLLLKAVFGRGRRVYNLPPGPKPWPVIGNLNLVGTLPHRSIHELSKRYGPIMSLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKYTTYNYSDITWSSYGAYWRQARKMCLTELFSAKRLESYEYIRAEEVSALLRDLHGAAGGVVMLKDYLSTVSLNVITRMVLGKKYLDKETTAQNGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLSKMFDRFLEHVVDEHNERRRLEGQSFVAKDMVDVLLQFADDPNLEVKLKREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFTRATEELDRVIGRDRWVTEKDIPNLPYIDAIVKETMRMHPVAPMLVPRLSREDTSIDGYDIPAGTRVLVSVWSIGRDPKLWDAPEEFMPERFIGNKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFKWRLPDGMTRKNLSMEEIFGLSTPRKFPLEAIVEPKLSAHLYATA >OB0137G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0137:9079:19311:-1 gene:OB0137G10010 transcript:OB0137G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRAHLLRADVLVRLEPLGAEELGEAHLARLAPVRAVGRPRDVAVVVGGVLAGGGLGPVGEHHVVRLEEELGHLDRRADDDGEGPEPERHDRAVALGELVDGTVRERAHQVEVADDWPWLRPRREVVHAAATAEHRFQEQHGGEHDADEGRPWRQHQLHQ >OB0136G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369939.2:22430:27676:1 gene:OB0136G10040 transcript:OB0136G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHVTMPQRITTIPQYGNHDKTFHLTLPRQSHHTSGFTPSFTPSRAVPSCALVDPEAGEAFVTPRLPVHTPSRLPLPGKPLLLPGKGERDGVWTGNRGVTKASAASGFTKAQEGTARLGVKEGGGLCNGSYHGLLSGVIEERLTGGTHRSVRGNRGTGKGGCYEDAGDGARDRKVTTSVGSNSTVAARRCDDRRRSGDGVETTGHGGGWDEGGGDDARVHTGSDGSRREVSEVEVAAWDKTPGVTEAYHTRHNKACRGRLIVFDSIAYTSLFVTSSHNIGVIRGDNMEGRSGGTDGNPSRNEGLSKMELAEMLLAQGALVVNKEQFEEMQRELQRLREIQSSNMQDLSN >OB0136G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369939.2:16166:17185:-1 gene:OB0136G10030 transcript:OB0136G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSAYPNPAQGYYQGPPANTTAGQDNATAGGKPNASKKDPPGFMDNLSVISL >OB0136G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369939.2:15212:15514:-1 gene:OB0136G10020 transcript:OB0136G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGYASTTRRRESSRSLYRHSPHDAIMLLSTRIQQCLYYDPWIGCIWFQDYSGLVGAISFLLMFGSGIIWIGYLDPVTNIPFRCSMGWSPDLAGPIGPR >OB0136G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369939.2:1481:2862:1 gene:OB0136G10010 transcript:OB0136G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVILIPGKELLGCRCTFGESFPNQDSREIVVFEPFFGRGIQPLKDIDHYAFEYLGGDDPIQESNEVLQKDVITSRTSRLFQQGTFIPSQPSHFPTPYHSGNTPLAYLSYTPSRQILELKWVAETSADELVAKRVALDPDAEPSEAVEDADAPSPQQSPSPSPLKRLRRPAFRQGSRKASNIDPSGPAGCVPPADYGALASQTVPTTLAILPDSAIPQEPSADAAPTSLTPPSLLTRTKRLP >OB0135G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370053.2:25417:25932:1 gene:OB0135G10020 transcript:OB0135G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAANHGGDRAIAANEVVQVEVVETEDELQQRQLVVGDDDDDLEGGQAEKERRRVPDQDDASTAWCSAAGSFRTSTSLAAGESADGEVDLKWAAVERLPTADRLRMSLLPARSAAAVPAGAAPREFEVVDVRRLGAVQRRAGGQSLVADVERDHVRLLKKQRERMDRQLY >OB0135G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370053.2:2255:2599:-1 gene:OB0135G10010 transcript:OB0135G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding GERPLFDVVHATQTLIPGTGGAIRGLLREAGLRDMLDSAGVDISDVDRNAMFWAVHPGGRAILDKVEAALGLKPEKTGASRKVLAEYGNMGSACA >OB0134G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0134:10456:14506:-1 gene:OB0134G10020 transcript:OB0134G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSTPRLLLLPLRRLRPKPLLLLPSPAMSSPPPPQWSCAVCTLDNPARSRACDACGSSRPVVVHGNALAESRTPPLPAAMCSPAKARPCSARPAPPQWSCPLCTLDNPGHARACEACGSSRPVEVEADALDLGELAGASFLPLQRRPRKRERSASPEVVGVRSDDGGEDRAAEKKASSEIILDKKTFKIMTYNVWFREDLELRRRMDALGDLIKNHNPDLICFQEVTPNIYLLLQKSDWWQEYQCSLSNNMATQRPYYCMQMSKLPVEYFDCTPFSNSIMGRELSVAHVNTGGAVKLVLATSHLESPCPGPPSWDQMYSKERVAQANESLKILGSFRNAIFCGDMNWDEKGDGPFPLPDGWTDAWVQLKPDDDGWTYDTKANSMLSANRKMQKRLDRFLCKLADFKIDSIQMIGKDAIPGVTYFKEKKVRKEVHKLELPVLPSDHFGLVLGITLES >OB0134G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0134:8013:8936:-1 gene:OB0134G10010 transcript:OB0134G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDALLDIGLRFNPSPEEVVAIYLPSLITNQPLRDNAACIRPADVYGAEPKDLAAEFAPVAGSSNGDRFFYNRCRRIKGRFSRAAGGGTWVSHGRKRIIKNTQGVKIGESKNFRFKKDGKNTDWLMAEYHVCRQDAGDVEPVVCRMYVSPRAPQDSAARQESAAHPPPPPPPAPLPNQEPAVVTQQAVQKSPPPCAEKMGGAVSARPALHQSCATPPSPSRRTMALAPPLAPGKSAIAPPRQVAVITEQQEPLKRPAAAPVAEPPCAKKTRGSVSASPVAPSSLPPQPPSRRAVSPPIETYPKDP >OB0133G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369969.2:20441:25470:-1 gene:OB0133G10020 transcript:OB0133G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPINRRGLRLDGFVMELHYLHMTLGYSQEVEYNGVPRSPFNEEGSPHSVWEVTAILLTNTLDRVSLTATAGGDTFESGAQNAALMVIGMLHHRCLIYLSSSPFHYHIRGGPREYADFRTTRREDDTTIVHLARMVAAYDEARIDFQKLVRRGLVHNNGKILKLRKENLKLKQELDQMVNTRRRDTGNRENNQPPPPPPENPTVAQIMPTQNQMMHGSYDATDAAPASQHAESKPRSQPARCSTYHAVGIPSCPPPPMLSEFLRVHPPTFSSTSNPSEANDWLHAINKKLDVIQYFQTLVDKTIHQEDKQNQIESKKRRLAQARASQGPSQRMRYVVSPSSGSSSSTPRAPRPNFNIIKTQNQGGNGAQRQAPIAAHGGSVCRDGLGKILVRYNCHEPGHFADKCLKPHCQQGSAPTRPFNSNTVANTQGNNNNNNKVVRGRVNHISAEEAEAAPDIILSTFLVNSIPATVLFYSGDSHSFISRSFVWKHKLRVENLSNPMMISTPGSQMSCNLFSPSVSIEIQGISFLANPILLNSKNLDVILRMDWLTKNSRQQVIFQAKVALTKESLLNQTTLEEIPVVKEYPDVFPEDLPGMPPNRDIEFRIDLVPGTTPISKRPYRMAANELAEVKKQLEELREKGYIRPSTSPWGVPIIFVEKKDKTKMMCVDYRALNETKEEHEGHLRLVLNKLREHQLYAKFSKCDFWLSEVKFLGHVISAQGVAVDPTNVEAVSNWTAPKSVTQVCSFLGLAGYYRRTQETHSIRCPREPILNPARQHEDVLGPESQILRVKGEHQRPAWLLQPLKIPEWKWEDSRMEDSRMDFIIGLPRTQSGYDSIWVIIDRLTKVAHFIPVNSTYRGSKLAELYFAKILCLHGVPKKIVSNRGSQFTSKFWQKVQEEMGTRLNFSTIYHPSIDGQTERVNQILEDMLRACTLDFGKSWDKNLPYAEFSYNNSYQASLQMASFEALYGRKCRTPLLWDQTGERQVFGTDILREAEEKVKII >OB0133G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369969.2:14675:14839:1 gene:OB0133G10010 transcript:OB0133G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit J, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3KVA2] EEVCIKVFAQKDNPRIPSVFWIWRSSDFQERESFDMVGISYDNHPRLKRILMPER >OB0132G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369944.2:26607:28632:1 gene:OB0132G10020 transcript:OB0132G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSLGRRGPQIGALMDLVSALRSSGLTGVWAARHFIHRRIQPLKDRVHLSFDYTGEDDATCEAPEMIQPAALTVRMKRLCAPETDIPNSAKGFPWPFNAGFQPPARIQARSDAKSRALRLLTPRIAALEEIEKDRAEVIARAKLIDDWQRAEIEALKSARASDQETLTARASELEALHKCNAELATECSRLAKGEETAVTELVGWCLHLTPYYLLCGFFRGLTFSTASAEIRQSSRAAVAEIDARLQRATTSRDEFMAAAQEIFVALDNMEPGPSAPSAEDVLQRLRWVSRCIREEGRDSAKAAAYQAFAIVKSLYPRVDLAAAAEGFALDCDSERALELMNDAQEAAVGVCRMMGLHQ >OB0132G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369944.2:19496:21004:1 gene:OB0132G10010 transcript:OB0132G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASLLRRVAHAAGSAAAATARSCFARLSDRINRAALSPTAGAAASPAPHTDKSKGWVKFVAEEKDLESDKALWDLYKRWCKAFNVERDHAEMLHRFPAFKASVLFVHHTNNRPNLSYKLAITKMADGKLREICINGKRPDYQLRKELDFKPALFIGGYHRCLRRVYNDFEVVDGRLYVDLPEGLQLGTPDHDAISGNKQISDSSVFFKASSGKLLKRVYSVFEVVNGCLFVDLPDGIHQLGTPKDEYGL >OB0131G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369992.2:25187:27474:-1 gene:OB0131G10050 transcript:OB0131G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:J3KV99] MATQQVFNLNFSPLLGYREKFFLKIYAAAFYVDCSIGVDTARWREKVVIETFDASSVFDSIFKAPVVKSLSIILVRDVDGKTFVKALDDIITRQIKKPSTEEEHSLSTFEKAFLGRSLKQGTTVYLTWLEPSRLLISIAGNQDSSQVDAEITSATVNYALYDGFFGGTPVSPTLRSSTSQLLEAILTK >OB0131G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369992.2:22525:23731:-1 gene:OB0131G10040 transcript:OB0131G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPMGHCISMLRRKMTRRRQPPAEPFVVRGGRLPPAVAAESFGQLQLQKRAIHGGGSRGETTRPGRHGERFRHEVDPGVLLDGRRCAPKLVRLPCRGTCCGTTAVEPVATDQERPLTEGSCRTPVAPPMRPVWQRRILMGMRCERPRFSGLILYDEHGRPIRGSTPGRSHPQWKKRTAKAGTTLRDLL >OB0131G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369992.2:9181:10871:-1 gene:OB0131G10030 transcript:OB0131G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGDGEAAKLRRALDSWGLFQVTNHGIEDSLLELMGASKEFFRQPLQAKQEFSNLNDGNQFRAEGYGNDKVRSKEQILDWSNRIYLKVEPEDERNLALPKHPNSFRDALHEFTMGCRRVKSIVLRAMARISGLDDDEYFLKQFGDRATVHARFNCYPPCPRPDLAMGMKPHSDGTVITVVLVGDGADGLQVLRDGAWYSVPSSPHTLLINVMSNGMFRSPVHRVVTGAEKERISLAMFYALDPERVIEPAAGLVDEKRPALYKKMEARDFLVGLSEHFLEALDLLTR >OB0131G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369992.2:5292:5597:1 gene:OB0131G10020 transcript:OB0131G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLYSIFLKYLNFYSTYDKFILKSKLYTIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYNRMMPYHVVVPFCLTNFHPLKQSLRSKLF >OB0131G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369992.2:2866:4485:1 gene:OB0131G10010 transcript:OB0131G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSASTASLLLPLPSCSSSEESDDGKPLPPLTAQEASSPPPSQLKRRRGRLERDYNVAMKALALSGDVDEVLAVFAELRRSLADAGDGGAAPNVLCYNTLVNALAEAGRTEEALRALDEMLASGVAPNASSHNILIKMHARRSEFDLAWELIHKSEMEPDAATYSTLIAGLCRVGKVAEAWGVLDWMLEKNCLPMVHTYTPIVQAYCREGRIEEAKLLMVEMERLGCLPNVVTYNVLIRALCDSGRFDEVEQILVDSRTKDWNPSTVTYNIYMNGLCKKGKTKEALEQLDVMLGEGMDPTAYTLSILLNCLCHDSRLLDAIYLLERSTELKWHAGVVAYNTVMSRLCEMGKWMSILKLLTDMIKKGIEPNTRTFNILIHSLCVVGKFSLAKSLIQSEGFAADVVTYNTLLHWSYHCGKLTGANRLISAMEEKNIALDEVTYTIIIDGLCREGKFDAATGYFLKSLKIGLSMDVLTVLLNRLACADRIWEIHRIFDEKDFIPDHLVFNLTIRTLCRASYCHYRDFYKLNLILDKMLERK >OB0129G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0129:4642:5544:-1 gene:OB0129G10010 transcript:OB0129G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTAAVIPRPGGGGGGSEEEVEEKELEEEEEPVEIREVWADNLEKEFVLIRDVVDGFPFVAMDTEFPSIVCRPIGAFRSSADYNYATLKANVDMLHLIQLGLTFSGPRGELPALGDDRRRCVWQFNFCEFDDARDIFASDSIELLRRSGLDFRRNAECGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCNSLPDTQVGFFKLMKIYFPTFYDIKHLIKFCNSLHGGLNKLAELLDVERVGESHQAGSDSFVTSCAFWKLKDSFFGGSTEKYAGVLYGLNAENGVITH >OB0128G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:28158:30326:-1 gene:OB0128G10070 transcript:OB0128G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:J3KV93] PARPPSPPRASIPVSTSPAPLPTPAKPPLPGASIPIVVPQAPPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIIVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >OB0128G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:27392:27613:-1 gene:OB0128G10060 transcript:OB0128G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLNFNFRLKIEILQSVSFYSCSRFVELLKARCRVAQHEDFVKKRKRKETPGNHRQQKHFADLFIVYLLRFK >OB0128G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:21603:26484:-1 gene:OB0128G10050 transcript:OB0128G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNVIQYYNTIQPSVRGRNIYLQFSSHQELTTDQSSHGRNPDQEEPNRILLVTIHHMLYPITIEVLHQVFSPYGFVEKIVTFQKSAGFQALIQYQSRPSAIQAYGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIASAFGGTLPPGVSGINDRCTLVVSNLNTEKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVQYLKGAILFGNKLEVNYSKYPTVTPDPDAHDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDAILSLVGEHGTIVNSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >OB0128G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:18892:22022:1 gene:OB0128G10040 transcript:OB0128G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRGSSSHVGPTEQPYTAGHGGTVXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRPATPRRPRGDGSLTGGAILTGVSVTPVRHGLTSPSPSASTACWYWPPAKSSAWGGSDAPTPHLTSSRLPLRLPLPSAPRGFCRRRRRRGVMAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPSTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGINIFGLGFLTSLVFIFLVGIFVSSWVGASIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQSIRLNRIMT >OB0128G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:11887:12060:1 gene:OB0128G10030 transcript:OB0128G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDGEEPFVAVEQMGDRVYPCSCECNDKSHACVTRSLISKYLTGTPAWSLISAPCS >OB0128G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:10607:11220:1 gene:OB0128G10020 transcript:OB0128G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWATLMCFDLADQRGPRGGGGGGGRPVKGGGDEYGGGRSAAAATAMTVSGASELMSGHYQAQEMSTMACCKKFTNYKRLCIYINPGNISYPPFYPEISI >OB0128G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369970.2:2090:2323:-1 gene:OB0128G10010 transcript:OB0128G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIETERDRMQLCTRRYPIDPVIQGEKITSGSPEFGFCCWCLDKDGKRKKNWNKPYMALHSIISSVPYELRFLDDM >OB0127G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369967.2:27151:27393:1 gene:OB0127G10010 transcript:OB0127G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAVQPSHCISTPSLFNAPGFRVFCWDLWLAITYGRHWHRFRFLLLNTAFHNLHAGVVGGLSFIQLAAYHEYLIGYILI >OB0126G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0126:11190:12380:-1 gene:OB0126G10020 transcript:OB0126G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24220) TAIR;Acc:AT4G24220] MSWWWAGAIGAVKKRQEENAAATEPSFQSVALVLGSTGLVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPDSPAVTNLHLDLADPAAVAEALTPLTDITHVFYVAWSPQPTEAQNLEVNAAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEAIGKIPVPDPPFAEDMPRLNCPNFYYDQEDVLFEEVSRRDGAVSWSVHRPTTIFGFSPRSAMNVVGSLCVYAAICRKEGAVLRWPGTRVAWEGFSDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDLYKWKLLWPMLADQFGVEWSGYEGEESSFKLEDAMSGKEAVWAEIVMENGLVETELKEITNWWFVDAVFGVQSEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >OB0126G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0126:8588:8881:-1 gene:OB0126G10010 transcript:OB0126G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEGLLGGEAERGSILLSHPLPIVPNNMNGSKHAGVSDFLMVDRRQGVVLCVEGCCMAVTSEFNDCSLAREGSLIPDESLAFWLAMTSPAASFFS >OB0123G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:31499:32008:1 gene:OB0123G10070 transcript:OB0123G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSTHILHVHSPVGPKQRDNLDHRLRIPYHTILYHIQISVSLSTFFLSFSLTKPELSPFFLSFFLSFRPLSLYKLPIMHPWQQSQEPKKGENDLLFHVNKANPFAILPYIQYIHIYISHTTILLPHHFCCYLLLFSAQLASIVEEGSNQESKITSFLASAGHEGPPRQ >OB0123G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:30882:31100:1 gene:OB0123G10060 transcript:OB0123G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFVYSAKCSAYASACVQVFSPDTVCLLQQHVNNPFLVFQMGLKKNQVVGLEQTQRLANLMQIKVNYATRG >OB0123G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:22180:27886:1 gene:OB0123G10050 transcript:OB0123G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDGQLASHLLEKEKHKEKKHKKDKKDKERKEGKEKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEEIKDPKSKEDSVRKIQNEKGAANQSVQNFSVSNERGREAFSAAPALESERTTANKMHTHSINASRKTEGLGQKGISINQQKNGTAIRRGDVISSSQRTSDVFIAAPTAEKERVKFTRPLSNSTDSVPRKEGMGQRINNISILVQKRADSPNKETAKKETGTNSPLLPSPANIMHKGNGKVGRPMEIATQRFDSPSTSSTTPGADRGMPRSTIPSPSITIRRPNGLVRPPENISISSKKLDAGGAFPAMGKEKEQGGRILQNNIIDPKQANSKPPIVEKISDGRAERMEKVRDGAPDDAKKEDKKSDRHEKKKRKEKDKHKEKKRDKEAKKEKEEQNNNKEHDKLRENSINCQVDSSLHMKSSTPPLGPPADDAKATLADDNLKKRKNHEMNGYLQNHHDMMRPTKLPRPALSNAHVENGTASNVAAPLSSVKPEAINIEKAERLHKMEEKINGNQEGQRSSVEPRLRDPLAASENGAPSKKLPHPDSKYLSQIYSIPEAPQMMEWHGHDDEDWLFSHDTTQPKKPTSETEADGAPQVWSQPLKIDQADVIALPYVIPF >OB0123G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:21460:21813:1 gene:OB0123G10040 transcript:OB0123G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSSSASSPSSRGAVEERALFLPQPYSSESIPGEVARRRRNSEQAEGEEFFFIKISLWCGGSPQRRTNACFTDSDWVGGRIPGSPAPARIRARPAPDPRDSGGGAGDESPEDMVAATR >OB0123G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:12418:18087:1 gene:OB0123G10030 transcript:OB0123G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKALQKLNHPNIVKLKEVTMENHELFFIFENMECNLYDVIRERQAAFSEEEIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTDGTVKIADFGLAREVSSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGGSETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFNFFQIPPRHLWELVPNATLEAIDLIQQLCSWDPRRRPTAEQSLQHPFFNAGNWVPRPLHDASHTKTTESRLNPRLELNLWDFGTEPEDSYLDLTLSLKPSFSGTDFSNNVSEHTKEEILLHSGFENPPVQVQSGFWPLVASDRPMGDVPAMSSWPQTYVADSQATLPAVGFSGSPFGLSPLQPNLFENRSFATPIRQVNFF >OB0123G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:5055:7354:-1 gene:OB0123G10020 transcript:OB0123G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLFEDIFTVTRLDPDGKKFDRVSRIEARNEQLNVYMQLDVATDVYPMHPGDKFTMVLVPTLNLDGTPDTGFFTQAGRKTLADKYEYVMHGKLYKISEDKETSGQNAKVEMYASFGGLLMMLKGDPSSAANFELDQRLFLLMRKV >OB0123G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369953.2:2513:3672:-1 gene:OB0123G10010 transcript:OB0123G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSRLGLQLLLAVVGGVIIAAASDSGSETAHFLGVNYGRLALGDDLPPPHRALELARSAGAAAVRFYDSNATFLSPAAASGLVFVPGVPNELIPTLADSQRAADEWVASTLLPFRHNRRLCYLFVGNEVLSDSTTKSRWFRLVPAMANLHRALQRHGMSRVKVTTTLGMDALDGQNVFPPSAGGFRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGAAAPPPWRGGERDGG >OB0121G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369949.2:22754:29847:1 gene:OB0121G10030 transcript:OB0121G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G04880) TAIR;Acc:AT1G04880] MGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHLEQLYLFQAQGWHHETDSRSTSCTEMKAEGQVSQKRKRSSNSCSSDLASSDNVDVIIDGKFEHGYIATVIMGSKSTKVILYNSTEEPVVSTVAPRVAINSAGLKGTRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRKLKPEHPGQDRLISKIIGERWNNLSPEDKAVYQERGVEDKARYQKQLAAYREEVRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDIRLSNQGCSGSSSSSSDESADSGERNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGDRFELRRRENPKIDEKRDMPPN >OB0121G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369949.2:5166:5315:1 gene:OB0121G10020 transcript:OB0121G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTRNWKVKEGDPSFCNKNYIKNSRQFRNQAKLLNTYAKKFIIGPPLIRR >OB0121G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369949.2:1:3080:1 gene:OB0121G10010 transcript:OB0121G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSEQQMVDCDHECDSSEPDSCDAGCNGGLMTNAFSYLLKSGGLESEKDYPYSGRDGTCKFDKSKIAASVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGKHLDHGVLLVGYGSSGFAPIRLKDKPYWIIKNSWGENWGEHGYYKICRGSNVRDKCGVDSMVSTVTAIHTSKE >OB0119G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0119:19528:19957:-1 gene:OB0119G10060 transcript:OB0119G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQKLRPDALLFLALRCSRAAHLLSSLRHPRAATRATVPRSSSAAGRSELRDAGRSAELAAARREATRHAVASGAEVLLVISLVPVLLLLLGLLAAAAAAA >OB0119G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0119:16409:17703:1 gene:OB0119G10050 transcript:OB0119G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQMPPEYDRSHANAAMLFLTEVRGAMPAVYDELCMVLRDYAKDPAAPTAPADTGRPQPSRQVDRCDRIRRRGGRTGRAPGVRPAQGRSCTGTHPYLLRAIAGFLPKPACLPPPPHAAGGEQQQYHRPSSLKRKKRPRADNGATSGRNALELDGDGAATLHKKPRTARGGIKIKRHPLDGGEESESCWHFTGEESPDEAAGKFEKMLGFHAWYSKLVTTTRRAEELAEARHPRQGALAGLFADHQCHEILDQLFGGGWRTVEVVLDGGGGEHVDPTLATMLLLLKEKEDAAVDLMMRRRDKARYGAPAAANVSDRPRRRP >OB0119G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0119:14424:14818:-1 gene:OB0119G10040 transcript:OB0119G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVFSHRMFALSWCILFAQGHDPFVSYEGLDQPHRFLFILGITHLLYTSVTVVLSMIKIYSWREFENQACQLPTEQLQGQAVN >OB0119G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0119:8361:12818:1 gene:OB0119G10030 transcript:OB0119G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSKAYEQGQHERWRDTGIARRGLLIRPRFLKTKLLSDPIPSEVNERRLNIHEVHDVIYGEEIYLHELNEAVMHVREAATSENLEQMWWGSIDEWQDPHGSDGGGLGDGSSAHFVYLMPCHHFHYNHLPCSVPCICGSHMSVTTYDTDEKFDK >OB0119G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0119:5206:5724:1 gene:OB0119G10020 transcript:OB0119G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKLLEERSMERMVVAFAIEAGMLPVKRFKATMKYWIFGRALPKSEGSLPCSSFSSMPMWISEEMLRIVPGMVPERWFTCSANFSRLLILPRASGIWPLRWLSLTKRFDSDERLPSDCGMIPVKLFFPRDMDLSFGKPPNQSGILPFRLFKSSLMLIRRVQLLSPLVISP >OB0119G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0119:2905:6215:-1 gene:OB0119G10010 transcript:OB0119G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETFFKMVTALKLLLLPYLLLLAPASSTQGLPLSNSTDLDALLGFKSGLSYQSGALASWNTTTNHCEWPGVICSHRHKQRVSALNLTSAGLLGYISPSIGNLTYLTSLDLSCNLLYGEIPLAIGRLSWLSYLDLSNNSFMGEMPWSIGQLHQLSYLYLANNSLQGEITNGLSNCTRLMSIKLDLNNLNGKIPDWFGGFPKLKSMSLGKNNFTGIIPQSLGNLSSLSNLFVNDNHLSGQIPDALGKISSLEKLALQVNHLSGTIPGTILNISSLIHIGMEENELHGRLPSDLGNALPKIQYFIVALNRFTGSIPASIANATTMRSIDLSSNNFSGIIPPEIGTLCSLNYLMLQMNQLEASSAKDWGFITLLTNCTRLRAVTLQNNRLGGALPSSITNLSAQLEDLDIGSNRISGKIPDGISNFPKLIKLGLSSNHFSGPIPESIGRLRTLQRLTIENNLLHGIIPSSLGNLTRLQQLSLDNNSLEGSLPASIGNLRQLTIATFSNNELIGPMPKEIFSLPSLSYVLDLSRNHFSNSLPSAIGGLTKLTYLYMHSNNFSGLLPDSLSNCQSLMELRLDNNLFNGTIPVSVSKMQGLVLLNLTKNSFFRAIPHDLGLMDGLKELYLAHNNLSEQIPKDLENMASLYWLDISFNNLDGQVPAQGVFANLTGFKFDGNDNLCGGIDELHLPSCPTKPMEHNQRIHSVTQKVIIPIAITILVCFTLAAAFFYIRKKLRPSCMRTTRVAPPIDGMYPRISYYELFQATNGFSDSNLVGTGRYGSVYKGTVMVKRSETTVAIKVFNLEQSGSSKSFVAEGKAISKIRHRNLISVITCCSCSGLNQNDFKAIVFEFMPHGDLEKWLHPEVTSSDSNPVKVLTLMQRLSIASDIAAALDYLHNSCQPTIVHCDFKPSNILLGQDMVAHVGDLGLAKILTDPEGTQLINSKSSVGLMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFTDGLTLQKYAETAYPAQLIDIADPLLLSTATENTMGEINCVMSSVTRLALVCTRMKPSERLCIRDVVDNMQTIKASYVAEIERLSMM >OB0118G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369947.2:25769:31428:1 gene:OB0118G10030 transcript:OB0118G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFVDGGQDLYVRLAKSELDAIKNTKRFVLVITLVITGFVLLLLSLGLFLIWRKARRGKKVSVLDETGDFISECPTYPFEIIRAATNGFSRKNEIGRGGFGIVYKGQLPDGQEIAVKQLSKENTVQGLKEFMNEVDLICKLQHRNLVRLLGYCIHCSERLLVYEFMSNKSLDTFIFGKRRDLTLSWKTRMGIILDIASGLLYLHKDSRHTIIHRDLKAANVLLDADMVAKISDFGIARLFCCNGGHRDCTITDRIIGTHGYMSPEYAMDGRLSFMQDVYSFGVLLLEIVSGKSNQQTSSLIAHTWRLWEEGRNLELLDPAVRGECTAGELEQATTCIQVGLLCVQESPDQRPPMADVIHMLSREKALGQPRRPVVCTPLGARPRPGGGAVDHGAEETTTCGLTITDLEAR >OB0118G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369947.2:8780:11405:1 gene:OB0118G10020 transcript:OB0118G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMEHGLHQLTMAELARDRVCLRKVLKETENSDPAEEPVYFEIVAEVPQGPEQGK >OB0118G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369947.2:3172:4539:1 gene:OB0118G10010 transcript:OB0118G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVWCDRHSPILVAYVIPFWLLASTNTLFEPFTFLGHIAMGCPTVPHFGSLLPLSCFKVVSILSLFFPVLLLGGVGYGGGTAATNLHSSVPRMNLAP >OB0117G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0117:25295:27391:1 gene:OB0117G10030 transcript:OB0117G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHHSNSNDNNRDKHKRKPDELVAAIDWEPVWNQQLNEQITLLVTFGANDNCQANNICFEVADFENAYHAIIGRPVLAKFMLGEGTLGCNSLLWVERKKKKKNKIKNKKKEKPLRAASGLSDDEDEKSQ >OB0117G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0117:8274:16345:-1 gene:OB0117G10020 transcript:OB0117G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLRQAAEEGDMEKLTNVLAFNPDMVYSITLDKQNTALHIAASNGHYRFVHHFLISVGVDAQLVISKNLDEETPLQLAVREGHGEVVEHLIKYQVWASNIKNIKHQRMCSSTIMSSFMLPHIQGTRHRRRPWQHGTAGGPRGHGKATLACICGTVAADEKRHEAASTRIISKLFEADLDAGMHALAYMLRRGVAMRTSPIVDGDHDDLYACVVSLVERSGMYTIPNPYMHEALRASVEEGNIHKMLELTVDQGISMFFTVTPEYLNNVLHIAALKGDVQFVHHVLLYVTSYPSLLSVEHNTLGFSPKDITSNPEDGIGCKYDWKSSHMTHRSKNHLMATMIKVDKYGNSVMHEAVLNLMSDIALQLLDVDPSCAHRLNSAMQSPLYISINSGLDHVVNKIAKQGLSAASVTTHGTALHQSVLGDNIITVYKRVIRELLKQCPDLSEVLDKAGQNIFHVAVLADRPRILSWFLRYVLHAEMVNQQDLNGDTPLHLAAKNVFIQPARLLLKDPRVNRNIVNRDWYTALDISREKALIRSELNAYQLNIWKEMFKRQEIMSEPPHNDSIWQRPMGGRVGPPSDDEVRIYVYIVVAAFITMATFRATFTMPGRYDPRSGFAIAGHHWAFKLSVLCNTTSTCSSALVVLLLIAQWHSATWIVLDALVWANRFIILALFTMVVSMLMAIYLTVLPSS >OB0117G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0117:2316:4141:-1 gene:OB0117G10010 transcript:OB0117G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRCGGIVCQRETDGEDVHRLFRFYSVQRRYEEQTWKFWETYFHPYQYIVPFELDFIKISGKFEKFAAEALAGHLRDFMNPINTSKMQLRTDSPCFTGNIASADHCTYIAQYPLVTVRNKSRPQARRYNGFQPLQADWNGSQQPPWYMEVYDGNREPIEKLSIPCFPNRSSQLMMITSQTDSTVYGQSDLSDNCGQIIGIWTVRPAGDYMSRESVAGDGSGVNLEISSRPAVGISCGILRLEFNARGSEDSIWHTMEILCAYGI >OB0116G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0116:27147:30135:-1 gene:OB0116G10050 transcript:OB0116G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38130) TAIR;Acc:AT2G38130] MSAEAIERGEPSGERDGVGDGGITYVSYGGEHPLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVKRSIQVMMESGCEEVTLEAEVTNKGALALYSRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGLHPMMLGNERDDQHMDSPYL >OB0116G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0116:24874:25260:1 gene:OB0116G10040 transcript:OB0116G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVNEVTKTNLQLISHYGSDPSSATSAPEAAPTNSELVCNSGAVLTNLQHVRSYGSMPSSLIGAAAHSSEGIQFYQSATYITHTPGTYAYGASSAIYSYPGNTATCQGNNVAAPSVYAVAAPPAYH >OB0116G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0116:10949:21208:1 gene:OB0116G10030 transcript:OB0116G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G13980) TAIR;Acc:AT5G13980] MVKYPNSSQRKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQNDMIKDIVKGLISTGRLELINGGMCMHDEAAVHYIDMIDQTTLGHRFIKEEFGQVPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGEFYFEVDDTSPIVQDDPLLFDYNVEQRVNDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADDPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLELFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLAIGYTKAEKLVSSSLSCLSQSGSKSHCPAHTTNFGQCPLLNITYCPPSEIDLSQGKSLVVLVYNSLGWKREDVLRLPVVSDSIVVHDSEGREVESQLIPIANASLHMREKHVKAYLGMLPSAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLYPSHGSENSNMQIGQGQLKLQYNTAGVLSLYSDSKTQVEANLEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIMTNGQVPLTVFRGPILDEVHRQINSWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKEVATEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNRCEGLVIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFAEQDGGNWVNSHVTKFSAMDPTYSLPDNVALLTLQELQDGSVLLRLAHLYEAGEHKDLSALASVDLKRVFPDKKIGKIVETSLSVNQERAAMEKRRLKWKVEGPPADEKIIRGGPVDPSKLVVDLGPMEIRTFLINFSQQSGKQLM >OB0116G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0116:674:4895:1 gene:OB0116G10020 transcript:OB0116G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADSQQRLIAGAALVGATAVFIRLLLRSFGGGGKRVPPTIPAAPFVGGLLRFMRGPIPMIRQEYARLGSVFTVPIINRKITFLIGPEVSAQFFKGNESEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKDIFATIIKSRKASGHTEEDMLQCFIDSKYKNGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAVEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHFDFSVTTREGKEFDIPKGHIVATSPAFANRLPHIYKNPDSYDPDRFALGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWNAMVVGIKNEVMVNFKRRKLVVDN >OB0116G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0116:2:562:-1 gene:OB0116G10010 transcript:OB0116G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGWTRRRRVAGMDMAVVVVGRGRGSSARGGTGGRRRTPSSRTSSRSTGPRTGTSSPRSSTADQGRAAGCGGSTSWTRGSTGGRSRRRRRSGSWRRTARTATSGRSSRVSSPAAPTTPSRTTG >OB0113G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369920.2:28496:31850:1 gene:OB0113G10030 transcript:OB0113G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mago nashi family protein [Source:Projected from Arabidopsis thaliana (AT1G02140) TAIR;Acc:AT1G02140] MATGGAGEDGASGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >OB0113G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369920.2:8721:13193:-1 gene:OB0113G10020 transcript:OB0113G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTALLGSPPPTVRLRSILWETRVLRSSFGGEIFLKYLKYEDTHEWVKVEGAGWQENWVLLPLATMVKQKPVLGGDITIFPNEVDVVTVCKPASIPVIDYYTKKGIVANLHVEKPPKEVTVEVQKTMSCSAYSPA >OB0113G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369920.2:3406:3633:-1 gene:OB0113G10010 transcript:OB0113G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSDRERTGKERKGWSMTVHNLSGSPVAIQFAQCAGRPPAAIAFVALPSPRPSPADVDSNVAAPPAHHHRLRP >OB0112G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369936.2:30454:34415:1 gene:OB0112G10060 transcript:OB0112G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPCQSTMLTSRYSTANQLDHEDLVALKLEVLGSRDQLYSMPRSGVGVGGGGGGGGVERGRGRGYQQQLPPFSRGSLEVFNPSFLPTPTYTLRKDDDNAAQRAVEWGLVLHTDHHTGRPQGVSARPSSGSGSGSARTSSEETQSHSQSVAAIPRVSEELRAALSAFQQTFVVSDATRPDHPIMYASAGFFNMTGYTAKEVVGRNCRFLQGSATDPHEIQNIRQALAAGSNYCGRILNYKKDGTPFWNLLTISPIKDEDGRLLKFIGMQVEVSKYTEGNKDAVVRPNGLPESLIKYDARQKDLARSSVSELVLALKNPRSLSESSNSTLKRKSQESIEMSMNQVPGKRSSESGSRRNSRSGTRSSLQKISEVPEQGNKTRKSGLHSFMGFLGMGHGSVEKNMLKPRDDDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIV >OB0112G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369936.2:21555:22301:1 gene:OB0112G10050 transcript:OB0112G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTSRSSSYSSLDVINGRSILSPPLQERELLQLAGRYSAEERQERIEKYRSKRKHRNFGNRITYACRKRLAQGRARVKGRFVTNSSGNDALAHEPPINGASAAIQSIVPKWWPEMQASLAGDETCGGASVNLHMCDANEMEQLATYIGVSSIDLYTYLHCSSPPS >OB0112G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369936.2:10053:14355:-1 gene:OB0112G10040 transcript:OB0112G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLEMAEDTIKYEEEYVSNMRGMKLFACQWSPLNNESKALVFLCHGYAMECSISMRGTGRRLAEAGFVVHGLDYEGHGKSDGLQGYINSFSDVVSDCSNYFTTICEKAEHRRKQRFLLGESMGGAVVLMLHRKEPTYWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWQIIPSGDVIDRAIKNEEWRAEVRNNPYCYKGRPRLKTGYELFMASLDIESNLDKVTLPFIIVHGGDDAVTDPSVSEALYTLAESKDKMMKLYPGMCHALTSGEPTENIDVVFADIIRWLDERASSLQAAIL >OB0112G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369936.2:7458:8819:-1 gene:OB0112G10030 transcript:OB0112G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWCTSLRSGLPSLLISFFKLILILESVDLLLPPILCSRSIIHWLISCLPRIGSERAMSSSSDEGYLYSEDSVVNSRGMRLFTCSWIPTKPRGVVCLCHGYAVECSVTMRGTAERLARAGYAVYGIDYEGHGHSDGLQGYVPDMDALVRDCDAFFAAVAASFPRRFLLGESMGGAVALLLHRMRPVFWTGAILVAPMCKIAEEMRPHPVVVSVLKMMTSIIPTWRVVPTNDVIDLAYRMQWKRDEIRGNPLCYKDRPRLKTAYELLRVSLLLESSILPQVSLPFLLLHGAADRVTDPSVSDLLFRSASSADKTFNLYPGMWHALTSGELPDNIDAVFCDIVHWLHQRSDSETETETETQLKAKHDAPTKHVSSS >OB0112G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369936.2:6222:6521:-1 gene:OB0112G10020 transcript:OB0112G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHEGPSSGCILWLAQKGLYLCKIHVVPLQITLLREESCNQICNSSTDPLMMARNRPQGVVICVIVSKLGIRHLLTVHPITVHFIVIIQVCYLSYKLE >OB0112G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369936.2:3193:5487:-1 gene:OB0112G10010 transcript:OB0112G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGRQQWESDEERGERCSESASQGGIGSCRSTAMCGDRRRRMEDEVAGSGGKATRAQGAIIRLAVALLIKIGLSVCKQIGLHPPDGERGDKIRYINI >OB0111G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369919.2:31994:32407:-1 gene:OB0111G10040 transcript:OB0111G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDVARQSNLCFIYHTDDTNYLNQLRMRRAPFFRLCNLFRERELLRDSIHSSVEEQVAMFLLAVGHNHKFRAKLHVKVVVDNKYSFVVNMVTCWLRWKWMPYYSDYAYFISALFYCLKYCNVEDDGLEYCYLQSG >OB0111G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369919.2:26565:30899:-1 gene:OB0111G10030 transcript:OB0111G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGNSQQQQPDPNFQDNPAQPQPWYPPSVVGSSSHPSTPSSSSVSPHQRASDNPQSSSRGQPSPAEAAGIIARLKDKSIDELQRLLKDKEAYSAFFNSLDQVKTQNNLRDELRKETVQLARENLEKEQRILELRNQCSIIRTTELAAAQDRLADLERQKDEIMRSYSPAALLDKLQKSTAKLDEESEELHQKFLEKDIDLPTFVHKYKKLRTTYHKQALLHLAGKTLPR >OB0111G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369919.2:9893:21985:1 gene:OB0111G10020 transcript:OB0111G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPARAAPREHVERIRRERYYIGREEQNPLAEDMHQAVIYLSQELYSKDVHFLMELVQNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDVGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNENPCPECGIGYIVPEWVESRPTLSDIQAIYGSSKVLPTTTIILPLKSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEDNCNPNSNTVSEISISSEKNFQARKSVNAESYTLHLSASENGKGEGDCGYYMWRQKFIVKPENRVDKRAEIDEWVITLAFPHGQRLSRGNQLSPGVYAFLPTEMVTNFPFIIQAILECVPSAFLNAFVALVKSKTDVHPTYPPSMFKFLPVDSSPISSLEQVRTGIKDKVLGEDIVPCESYTPQRIFCKPGVVGRLKPAFWDILTKAQQCPGVDLKNLSTHGTYILSYHFDHSAYDRVLEFLGIKSVKPEWYAKCIGGSNLVKGVPEQLYLEIMLFVADNWQNCFSGTNMSSIPLLKYVDMNDAISFWSLSSATQWNDRLLIASAKNYISWLISWNKEFPSARRFFLPPNTQAALKDFSRRSTVSSWLQNIAKVEVVSVYGYGLTVVKSLGSNRRHAIAFAHFLCHSYQRDHIESYNMKVLLLDMPVIDNYGSVITTRNIILVPAMGSKWVGLIGSNPWRNEKYVELSSDYMSAGYFAGNYTSEGQLMAFLETELPALDVPFITPPNASFRTVSSPLTVENAILLLQWIKNIKSEGVKLPDRFLSCTRGSWLKTSIGYKPPNESFLSSAEWGSLLQTGSSFVDIPMIDQQFYGNKLQDYKKELKAIGVRFEFGEASAHIGDRLMSMAESKMLTKENVYSLLRLIRFMRERFPSELINSVREGKWMKTILDYRSPAGCILLDPDWEVASRISKQAFLDVKFYGEAILFYKAELELLGVLAGFKDNYDLVIDNFKFSSAEITPKASILILDCIRHVKSCDEFVKKLRGLKWVKTNMGFCVPNESFFVDPEWECLIKVFRIPVIDFGFYGNKISSYKEELKKTGLIIKFEEASKAVANIFRQMVSESSLQKASVLALLSSYRQLTTHSPVPHELFNCMHTEKWLCTSMGFQAPKDAILFSEEWQSLKHIAKLPLIDDGESQYGLGNEIHGYKDVLTQLGVIVEVKHGARFVITGLNIPNNPSVLSKATVLALLKCIGSYLSATPFPKGFLDNIVSKEWLKTTIGYRCPNECILFDPKCSCICTQDGPFIDEEFYGSEIVSFRNELANIGVIVDMKCGCDLVARHLSSHKDSDTISSIYMYLMDCCWKPENKMSNWVWIPNGSGDGEWVSPVSCVVHDRDNLFASQLNVLDKYYDKKLHDFFKVSLGVRHGPSAEDYCKLWSMWESSVSELSIADCSAFWKFIATNWGQRTKDLLSGCIKVPVCTDGKIILSNKKDVFIPDDLLLKDLFSKLSQQSVFIWYPSSSLPSMSRARLNNIYGSIGVGTISKAAEKNDSFTLGSESFKTFGLNEVIKPGLLQVVLAFPADPELDIAAEERRKMISWLLNVTVLETDEPITIGYSVCLSSGGALDVKASRMLRWEREDHKLYIQRSHGAAGYKEKIEFATYFAEEISQGLLFDVADRIPSLAELIKVGSLLDFQDAAVDFLLKTKNLQLFPEDE >OB0111G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369919.2:264:1593:1 gene:OB0111G10010 transcript:OB0111G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKCPNECLLFDHKHSSIRMQDGPFIDETFYGSDILSLKDTLAAIGVVVDVENGCDLVAQHMKLHACSETISCIYMYLMDCNWKPGNNTNNWIWIPSGIQSGEWVSPANCVLHDRDNLFCSKLHALDKHYNKKVLTFFALVLDVRVNPNAEDHCKLWSTWEASVSELAIADCSAFWGFVLENWTKATEKLLSACVTKVPVFNEGKIVLSKKEDIFIPDDLLLKDLFDKLHQGSIFIWYPPASLPYMSLARFNCIYSSIGVRTISEAVEWNGSFTLGDTDLREVDVSSVIKHGLLQIVTAFLANPVLEIPAKERHKMVSHLLSVTILETNMPITADYSVKLSSGRRVAVKASRMLRWERDDSKLDMQCDQEAGHRGNIEFATCFADEISQGLLFEMEDQIPELTELIKFGYLLGFHDSAVEFLLKSKNLQPFPEDEEFLDSAM >OB0110G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:27662:29195:-1 gene:OB0110G10080 transcript:OB0110G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGGACTRLHLTYAFQVELYMHAAVAGQRLVGAAQRGEGTHAHVASVISQHSTAQHARVAVGVAVAVAVAGWDTSRSRPCEKKRRLPVWTVRPAHFSGTRSQDQEIDFTRYKHRAECTRDSAA >OB0110G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:27277:27636:-1 gene:OB0110G10070 transcript:OB0110G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTGIWTPPAVVCHDLINATLLRRATGVAFSLHSTVAHYAALTGRPGVTEQSTKAGTIRSPNPWTWLSKSRGPNMMQSAPNLPPLHSRLVWTRPPTGLHFCSTIYKTKMSDRGRQIQ >OB0110G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:24220:26946:1 gene:OB0110G10060 transcript:OB0110G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAPALLSVHVRLYCSLEILFYMVNFRSFNYYIRFGLYWLGRVISPASFTGWSDSSPPKAKWDRAKNVDVLGVELAKSAVQLPLVWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAVWHGLYPGYIIFFVQSALMINGSKVIYRWQQAVSNPVFHAILVFLNFSYTLMVLNYSCIGFQVLSFKETLASYQSVYYVGTIIPIVVVLLGYVIKPAKPVKPKARKAE >OB0110G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:22013:22219:-1 gene:OB0110G10050 transcript:OB0110G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRILEEKNIIFPFKVPIRFLVISIRCLVRFMQCHKNERRASCELRLGTSSNMASKPAMLFYLLAR >OB0110G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:21144:21320:-1 gene:OB0110G10040 transcript:OB0110G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSELDSVQNKILAMAGQVYSEAILSCQLSWSTIWHIIILFCSEISCCITSAKSNLS >OB0110G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:19804:19971:-1 gene:OB0110G10030 transcript:OB0110G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTATSPHTKLRSKIDLVCHSNKLPSHSLSTRMQISSVQKLIWHPKLGMQLWL >OB0110G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:10860:13666:1 gene:OB0110G10020 transcript:OB0110G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSILGADGEWGMTSLGDMPESCVAAVLLHLDPPEICQVARLNRAFRGAASADCVWADKLPVNYRYLLAFAAAADDEDGGGGHGNSKRCSPTSTKKDIYARLCRPTPFDFGNKEFWIDKNKGGICLCISSKAMVITGIDDRRYWSQLVTEESRFHHVAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRIWGTEHIHGWESKPTRFQFSTSDEQHATSEYHLEEQGSWILYHVGDFAVSNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKEKYSSHVLQNGRNTPAT >OB0110G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369966.2:3236:5078:-1 gene:OB0110G10010 transcript:OB0110G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSHVGPVPAITLLFQGVVHEYQVCEGLMDRRVPTGMPGPVYLMDCGLVVPQIR >OB0109G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369951.2:12374:12911:-1 gene:OB0109G10020 transcript:OB0109G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSEPALLLPARPTPRETKALSDLDDQRTLRYYETVVGFFRRRGGDVRAPADPAKAIRAVLAEALFILNVTKI >OB0109G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369951.2:9433:10305:-1 gene:OB0109G10010 transcript:OB0109G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLIMAIADLARGAPAPTILPVWRRDLLTARCLDVPVTRTPSSSSSVVTSPAHEPALHGGGAAARPRPAAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMGEAGARLREWPPSTMDRVYEVSDIKWTAVNVLRFGWAELAGGGIPLAGDLTSKLGSDHMRCKNAAGEVSTVVSMLLPRVAMARFKKEMAVWLNKDDDKSLTIMSSL >OB0107G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0107:26491:32706:1 gene:OB0107G10010 transcript:OB0107G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35430) TAIR;Acc:AT5G35430] MEPKEAPPPPPQPQPSTEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEGDPKVLHNMAIAESFLDGCPDPKKVLEILGNVKRRSEELACASRQKADSANGTGNSASSGSRGSGIIPLISAANSATTYGDEFDTTIITFNTAVILYHLHDYESALSILDPLYRNIEPIDETTALHVCFLLLDITLALQDASKAADIIQYLERSFGVVNVTNQNENATTAQQQSGQPKPSGKISTPPDSDSNTCAGGSENLSAGNFSDDTLEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSNHTSVWCFSKALKYSLSLRSEKPCKLTAISQDKSCLISYNCGVQHLMCGKPFLAARCFREAMPLLCNRSLFWLRFAECSLLALEKGILTANGATSCNDEIEVDVVGSGKWRHLVINPVKPSHFSDSGEEVTLDKNGNLISLRFARQCLLNAQVLLDPSEKENLVIASGTEESNQTSLQGPKGSGQKNIHTDSKPPGPVLTNANGEQKGMTNLNVTLQSSLALYDDICRKENLKIRQAILGDLAFIELCLQNYLKALSIAKSLQQLPECSRIYVFLSHVYAAEALCALNRPKEAAEQLTVYLRDGDDIELPYSVENCEKALVEKDSDGEDSVAPVVTKLSSGESQYPESLKPEEAQGVLYIDLGMTAAVQGEFEQANYMVSRGVALLPNNPRAVLASVYVDLLQGKSQEAIAKLRRCRNVRFRRHSVAVSS >OB0104G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0104:29914:30600:-1 gene:OB0104G10040 transcript:OB0104G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDSKKPKVTMAPMAWQGECGYTCSLWILEDRTTTVGASGGGGGEVLSQVRVWYGDKANFVGIVAHGYACSLWIFEDRLNEYVEECVAYN >OB0104G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0104:25308:29181:1 gene:OB0104G10030 transcript:OB0104G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQLSYCCFLIQLLKAFLPCSAATAAGKAPAIIVFGDSTVDAGNNNDIPTVFKANFPPYGRDFDGGVATGRFSNGRLVTDFLSEALGLPSSVPAYLDSGYTTEELARACGVTFASAGTGLDDLTAQIASVIPLSQQLEYFKEYKEKLKVVHGEDVAKEIITEALYVFSIGTNDFIINYFALPLRPAAYSTSGYVAYLVGLAIVAVREAYELGARRVLFAGLTPLGCLPSARTLNLLTPGRCNEENNHAALAFNAGLEEAMRKLNDELAGARVAYSDVYSVVCSILSNPSDYGFVNVAQGCCGTGLIESSVLCGLNQLLTCQDDDEYAFFDSVHPSEKTYEIIANKIINADFKKVL >OB0104G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0104:8680:15897:1 gene:OB0104G10020 transcript:OB0104G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLSGYCWLLTILLIAFLLCSAATAGDVPAIIVFGDSTVDAGNNNYILTVAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPPSVPAYLDTSYTIDQLAMGVSFASGGTGLDSLTARVASVIPLSQQLEYFKEYIERLKVAKGEDVADEIIAEALYVFSVGTNDFIINYFHLPLRRAAYTTAEYVAHLVGEAGAAVRAAYELGARRVIFAGLAPIGCLPSARTLNHDAPGECDEEQSQVAVAFNSALAEAIEKLNDELAGARVVYSDTYNVISAVLSNPSDYGFVNIAQGCCGTGLIETSVLCAFNEHLTCQDADSYVFFDSVHPSERTYKIVANKIINTDLKLLL >OB0104G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0104:3837:4805:1 gene:OB0104G10010 transcript:OB0104G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTNGGVVHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVNSWNKQQNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRSKLVSLLKMPTNSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLDEKNPNTDKNSPDGLVGVDRRFVMFNGAVGKEQLLWLNDVLHNASLCGQNVIICSHLPMDPGSASFAALMWNYDEVMAVVHQYKCVKACIAGHDHTGGHSLDSHGVHHRTLEAALECPPGTSAFGHIKVYPDKLLLVGSDKMADTEMCFEL >OB0103G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369930.2:33626:35526:1 gene:OB0103G10040 transcript:OB0103G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKVLFLSIVVLAAILVSAMQPSTAEAGREGIRALRSGPSINPNALKAPVGHVPYQAGEPYTRPNPCVYREGC >OB0103G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369930.2:28071:31830:1 gene:OB0103G10030 transcript:OB0103G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPTVVSFAVTAQMPSTFVELGKAIDTRVGPVDVPPATLSTFEVVSILLCVPTYDVVLMSLARLITGNRRGLLQLQRLDAWPGLIMRQAPPYIMLGAAEVFISVGLIKFYYDQAWVTKPEKGGGDGWIDPSPHSCSDRWPTWQ >OB0103G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369930.2:25429:26308:1 gene:OB0103G10020 transcript:OB0103G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARQRWHGYDDSDGDAHNNAGWGRGAGLTIDELELVVDYTIELALSATMQTQRGQTTASSLAGPEVGNKPNWRAPPIGVSRGRGRWGRLDREWLWGGLRPTRVGRERERVAVDWAAALGQPSVGEREGRHVGGGRGRVGQWPTLLGKKGRRKKEERKKGKERERKKKRRKGKEAKKEKKKTCLQFC >OB0103G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369930.2:12267:16933:-1 gene:OB0103G10010 transcript:OB0103G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPLFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLALQGVEQARLAGESLRKELEEMRVPLDSVKILYSPFSRTMETAREVARMLGVPFDSSSCCITTVDLRERYFGPSYEFLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFAKVLSSAEMEFHGSAILIVSHGDPLQIFQAVLKETKENSSFPDEVRDLKKRGMIAPCMLLQHRKFALLTGELRRVV >OB0102G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369984.2:28064:36734:-1 gene:OB0102G10030 transcript:OB0102G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASNSFVGTEEYIAPEIITGAGHTSVVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASITVSLAGRQLIYRLLHRDPTNRLGSYEGANEIKGHPFFRAINWPLIRATGALPEPTLGLSCVGGNDASVILKILSMKEMNVCESVRLQDGVDALDKALGKHGSQPKQEQTFVPKRRGGWTFVVVVEISRALIKWVMGRSEKRKEAATSTPIRIRLLPTVLVMDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGRRIINSESDHTLNAEQRNNMRRPSSSSSVTGLPPMGRPMPSSQASDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALETVQLDRKARNLTTSWRHPGDGAE >OB0102G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369984.2:10010:14517:1 gene:OB0102G10020 transcript:OB0102G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVIVVYIAVPQRGNYSRIGLKMRPRRAGGEEERVLSHGDVVLLRCDLTVLHGPHFLNDRIIAFYFAHLSSSLPARDDLLLLPPSIPYLLSNLPDPPSVAAVADPLRLASRRLALFPVNDNPDVSHAEGGSHWTLFVLDNTHPDSGPRFVHHDSLPPTNLLPARRLAAVLRPLLPASARIPLIEGPTPRQTNGYDCGVFVLAVARAICHWWATRHQEGESDWFEAVKREVNADGVKAMSLQLHFLSCPSIASTSPCLQAVAAHETLVGYAKSQEGHCCEACGIQAFFPIELEDSGSTYDQKKADTAKITCQDNLTVSHSVRQPISSVKNRLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCSVKRKVEMTPDGQIAEIVYNGEHNHPKPYPPKKPSLSSVETVVATNDAGLENQLEGCDQAIGSNVVTEAFSGRKKRS >OB0102G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369984.2:781:6806:-1 gene:OB0102G10010 transcript:OB0102G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFHRMTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIMDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKPKAATVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGRVLKVSVSRTTGAPSQQASTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGTQEDSFTKDEIISAYTRSRVPQMASSVSQRRSGTILPPPADDFSYSAVVAAKHTTKNGINNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTPTTGVSSSQSLTKSKTDPQSNSFSSSSTVSSTKLPSSWNDDTSTVQKMTEDQDSLSKTLKPYKPGIAKETQVVTSPESSLDIDFSTIPSAWNDDDVPSDVVSKGGDEKQDVNENGKLICSVSSKPTESDYLASKPSTSPKKDIAVNSTRQSPPNCVSSSAVSKSEVKDGDSDYQVTNMASKTPTLVVVKDQSNQAAIDTVIEDTRSDSTDIDGLSVGVSSVTLSRKDGVQSMAENQQLDGVLSASVVVPLSQNLRLADNEDSTCQASSDKHRDWCSDLQSSVAPPLNDTGSSAVATDKSHVRVLDATDQASSSPYVHFPNTSPFSLWNCKEISHTSTSDRTSTMMQPGLLSSNDSSSTMFNGRQEALGNIYAPGKVPEHHILRNHQPGAVNVGGVDKAVSVNKDESSIISDILSLEFDPWDESYSTANNFAKMLSASEKNNALFDAPSWKTKTCSNESRFSFARQDNQGSFLESSMRNYGSEQNFSLPSQNSNGNIYQSGISFKSPEEGFLKSNSLTMLDMLATGTSKPKVSAPPGFSVPARVPPGFSSGFSSQEGLNPPPGFSSHNGPNPPPGFSSQGGSNQVYGSAYSETRPFDDILGINTSHYQPQLSRQSSDIEFIDPAILAVGKGRMPGISDSGLEMKTSPTFPAQLQTSNDPRLQLLMQQNMPSHQNLGFADHIQDAFNPMNDNYLASRLIPQNHGSLSSYAHMSLQQPRSSHLTNGHWDSWGDLRQGNNVSMPDMSRILYPTEANNFHMLGSNDLYNRAFGL >OB0100G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0100:17971:18399:1 gene:OB0100G10020 transcript:OB0100G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLPPNPPLRRPTAPSRCPMSVDRAGWLTGETEARFTVVLGGFALDASATCDDWYEHVQEVSLCSEDSEDVSIRGRDGLAAVAAAMGCARQSRGVETAARRRYKAFARGTAARKARRDDVVDLCCSCIAAAAFVGLLSTLTLQ >OB0100G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0100:12476:12649:1 gene:OB0100G10010 transcript:OB0100G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAALNTNDGNHDVLFPWCITGGDDHLQVLVIESIVMFISSYELNNSCSSVSGHGERA >OB0099G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369937.2:33081:33368:-1 gene:OB0099G10020 transcript:OB0099G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIPASSGEVRGWGRFRGVVRRLLHAQVRPRHPEVAARRAADVGRTEEQRVAATPVGSGGDLALESEGKEAHEHGEGDCYLDLGGWRWQRRIS >OB0099G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369937.2:18961:23416:1 gene:OB0099G10010 transcript:OB0099G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDNMIWETASSNGVAMELGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARSASYRKSSVVKIRGLNPTRNKQVHRVRQDGHRRSLDSSHSNHSSIRQLANTMVNNVADGKEEEEEVNSYERAVPTLPEKSDEEVKMTAFSKFRNKSSAAMSRVGSPCMSASEARSIGSRRTEETRVRSNDVVGSNFSGCGISYCWSGASKYRDLYYDSDGPEQPLLSPEGTDAAFQDNVPYTETPRCLSQKFRPRSFSELIGLSVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHTVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIQEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKGFLGRYAIAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLTAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSNKSNCSERHCRRLKLENIWKRAIGKCQSRSAKSFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQSLIESTLQNVLGCNVEVRFKLVPRPLRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDEAGRHEARETLSKGYSSSQQQSPFMMQPTDSKPTVHGCEDDARSTLTSNRSMTDDLTRTCRSDTNYSKGASEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSHATYSSRDDHLWTKNSSRFGSHLLCWRGTKQAI >OB0098G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369909.2:31534:32004:1 gene:OB0098G10030 transcript:OB0098G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDVPSEHQRRPVWMAAGGGVACCLATTGVGGGADRVGGGGSTPGDDRRRRWRESRLSSSGAARFSPRCSASPSPPSLLAPLSVARLLLPPARSGDGRVGSTSYQRRSAGGIGSGGCRRWVKEAGDLEPAEATTAVRRRRGDDERSASESKCG >OB0098G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369909.2:15736:21498:1 gene:OB0098G10020 transcript:OB0098G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQRNPQPSRKAKNPRSANPKSPDSSSCSGLRPPKSTHPGTPSRPSSCSWSSADKHIIPIMVAAGYSGNPRHCHVEERDDKCSSPKLQPDFSADEILTSTSGDSSLAHLKLRHLWSSVQDFVVEHVSSKDLDLYGSKELLESNRFQYMGNNDLRDIVLYSLHAFFKTAVEMISYEGHTEDAVVEAIVDSALCYQFDGPITKIAESARTLLQGGKVVGSFSGKNLDTDLHMLGFYILSCASNLLRKYFPFFTWGDALWCILLCDMDLSIAHAFLTGMNAVASEESEGLEVNGQNLNESSGLHGCSAAEYLREYPLSRSLAMQRMWFSTLTDYIVSIKKSAGKDQVASSGQGQVDSFGQMQKASSLPRTAVQNNRKSAKGTSSKGNSIKSLPDSRKDKDFWESFQLAKSCGKTSSRKLKDSRNVSAFLESACSTLTGTTKVANTMGVKSTTLVSTLPLSSLSSVKRIDSPTVVSTPPLPFPVNHASSSSYNQSGTKHQTVPNGFVHFTLPKTPADGFDFYFSHDGMQTAWVPKDRTEELALDLIRRLGELKLEFKVWTDWASDRVMQSTSRLATEKAILASLRKEVEKAEDCGVFNRKKLEETEKAIENTSHELDRADSRVLELASDISCCRLQKEAAKLQEQRSDASCADILRKKNESLEKLKSVGKEKIHLQEELAAESSKKRCQEGEKMVEEAKEQVHFEKNELRRIEMSARTESNLLILNAQNEARGLQANIKHLEQLVHERLESLHQSMKEVGSSNNFWIIPEIANHPALGLESVRREQECVMCMEEEISVVFLPCRHQVVCVGCNQLHQDQGMTDCPSCRSPIKRRICARFADSS >OB0098G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369909.2:11770:12797:1 gene:OB0098G10010 transcript:OB0098G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVSSPDPWVREYSESSRLADDVTSIIGERGSLPQSGPEIMRHTSGILRKITILGRRLDSLEALLSRIPPKLITDKQLHKRQDMLSNMKSRAKLMATSFNMSNFANREDLLGQSKK >OB0097G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:32077:36574:-1 gene:OB0097G10120 transcript:OB0097G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLQHQLLLLIILVFTCASAPQATKAAKSIPDPQLTIEEGDSSRIIQDDIIKTINKHPNAGWTAAQNPYFANYTVAQFKHILGVKATPHSLLSNVPAKTYSRSLMLPKEFDARSAWSHCSTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLLSCCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCKHPGCEPAYATPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEIFQNGPVEVAFTVYEDFAHYKSGVYKHITGGVMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVVAGMPSTKNMVRNYGSAFGTAIV >OB0097G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:30413:31557:1 gene:OB0097G10110 transcript:OB0097G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNQVDRMDIAAGDHIYSWRTTYLYAHHGIYIGDAMVIHFTKAAGHEIGTGTLLDRFLFSSSLATEGPPCQKCGHLINKQQGVITSCLDCFLYGGNLYMFDYAVSAAFFLAKARGGTCTLAASDPADVVIHRAQHLLNNGFGMYSLFKNNCEDFAIYRKTGLLVETSFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAIVTSGMYSVGRYVSDIGVRRDVIKVPVERLVEHWSRDPAPASQQRRQECHGDGHGGCVPVSRS >OB0097G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:27680:29192:1 gene:OB0097G10100 transcript:OB0097G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQVLSDGDASVPTRASRAAATASARANGNSKDDMQSESESLGREVERLRRRNDELEQQLALAHRTVAQLRQQVAADENSSAPPTCIPPAPPPPSRITRPPPRLQGSSHATALVDMYKSLTTKTPNAAASGIVGELQNRSTHLLAIKADVEGKAVLINHLIAKVHQTTFADVDQVVAFVDWLDQHLSTLTDEAAVLKHFSWPERKADALREAASEYRHLNSLLTQISNSSSDTTLASCEAALTKISALQHKLEKSMSRLVNLRSSVIPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYMMRALKELDRETGGEALLAQSVRFAYRAHQFAGGLDCEAMHLFEDLTHRAESVPSSP >OB0097G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:24511:24810:-1 gene:OB0097G10090 transcript:OB0097G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAGTIGFVVGLVAGGEVGTVEGEVTGMMIDAVGAEVEGVGSGYVTGAGEMEGFTIEGAVMGVGRGFKEGIVMVGFVEGTLMIIGVEFVEGTVIGITG >OB0097G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:23489:25955:1 gene:OB0097G10080 transcript:OB0097G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWAPFLLVVLLSCALPCCSGKQTVHDDLNPAQPVMPITVPSTNSTPIIISVPSTNPTITIPSLNPLPTPITAPSMVNPSISPAPVTYPLPTPSTSAPTASIIIPVTSPSTVPTSPPATNPTTNPIVPAFSMSPPGPTTVPVVSGQQVWCVAKAGSAQPALQNALDYACGIGGADCSAIQPSGSCYYPNTLDAHASYAFNSYYRRSPAPSSCDFGGAALLVNVNPSKNEYPLIIHLFMYFQVDVVKICNVCIFPFAGSESCVLASSMLLPSSSVAGYNPALTTPTTSSTSPITPTTLSGSGSSVLNPGGSGSSSSGFGSDIADTSNCWRTISPNWWSLAASFSVIAFAYIKGIVLETE >OB0097G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:23010:23234:-1 gene:OB0097G10070 transcript:OB0097G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPLVRSNLSYYDMLKETTYCCFYFSPSNFFLFILFKHRDGTEKVFTVPPRVEKPNKSMPTHLLTCVNKTSCI >OB0097G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:12821:21259:1 gene:OB0097G10060 transcript:OB0097G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQLGWTALLPSKLFLASSSYVISLSLPYWSLQPAPETYELFDDIILMAEGKIVYHGSKNCTMNFFESCGFKCPQRKGAADFLQEVLSKKDQRQYWNRSEDTYNFVTVDHFCEKFRASQDAQNLATEISKPHDTSEGRKNDLSFNVYSLSKWDLLKACFAREILLMRRNAFIYITKSVQLGLLAIITGTVFLRTHMGVDRAHADYYMGSIFYALLLILVNGFPELAMAVSRLPVFYKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTPEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVAGTVGGTMSFLVILLFGGFIIPRSYMPTWLKWGFWISPLSYAEIGLTGNEFLAPRWLRNSVNNVTLGRRILMDRGLDFSSYFYWISVGALIGFVLLFNVGFTIGLTVKKAAGTSRAIISRDKLATINESRKDMSKDMDKRMPKLQAGNTLAPNQTGRMVLPFTPLTISFQNVNYYVDTPAEMREQGYMERKLQLLHNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGFIDGDIRVGGYPKIQQTFARISGYCEQTDIHSPQITVGESVAYSAWLRLPTEIDSKTRKEFVNEVLHTIELDEIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAQTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNLIQYFQAIPGVPKIKDNYNPSTWMLEVTCASMEARLGVDFSKVYKESSMCKDKDTLVKWLSKPTPGMTDIHFPTRFPQKFGEQLKACLWKQCLSYWRSPSYNLVRIIFMAVSCIIFGALFWQKGDINNINNQQGIFTIMGCMYATTVFAGINNCQLVMPFISIERSVVYREMFAGMYSPWAYSLAQVAMEIPYVMVQIVLFMSISYPMIGYAWTPTKFFWFIYTMVCTLLYFLYLGMMIVSLTPNIQVASIMASMFYTLQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEDQEIFVFGQTKTVATFLSDYFSFHRDLLPLSAIMLAMFPTLFAILFSLSISKLNFQK >OB0097G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:7372:9964:1 gene:OB0097G10050 transcript:OB0097G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:J3KV07] MKPFKKEFPLGYLIDSYSFSSKSIRSNRLIDFQVKSISLCPNERAKESAAMIASYPERIPVIVERFSSSLPELEKRNYLIPCGMPIGQFIFVLRSRLHLSPGTALLVFVSNTLPQTAELMGSVYESFKDEGDGFL >OB0097G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:6155:6499:1 gene:OB0097G10040 transcript:OB0097G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKSYSCMCTSMMLLTSYVNNRKFADCKLANIY >OB0097G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:6079:6420:-1 gene:OB0097G10030 transcript:OB0097G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYDFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFISGLRLTWLHILCVTLVVEKKRKRN >OB0097G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:3782:4627:-1 gene:OB0097G10020 transcript:OB0097G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIDTATKFYISNLNYAVSNEDIKKLFSEVGDMKRYSINYDRSRRSKGTAEVVFSRRSDALTAVRRYNNVLDGKPMKNELIGTNIELPPPLAIFGFAALAGYFNFPSKRLVISVYIAVFLSLKYHL >OB0097G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370029.2:909:2498:1 gene:OB0097G10010 transcript:OB0097G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLKRWCRGGWDDDEEDQQVHDLIEMPLRGMEMACIQMQMQKRAPNSSSSICPTPTLTWLQQATDQVRVTLLTRRSCSGMEMAKHEYGYGVVVPEQLPPLAFLFCMDLLLHGSSSSPPKLQLLPPADAASQCDGNTKCDEEQREQRKHHPWPWHKEARTTRLVAAAKCAFSLGLAVLLGLLFSSDHGFWAGLIVATTMATEREWTWALAIARAHGTALGSVYGALACLLIDQRRLMELRFLALLPWLILTAGFLKRSRAYGPAGGVAAAVSGIIIVGRRYDEPPMAFTVSRLVETFIGLACTVVADLVFQPAVRPSAKATKQLVRCLATLACCFNDDDSCGGQTSTKVKAVQEQVALLKRYVAEAAGEPHFLWSVPFPASCYDKVAGRLDRMAQLLSLYTRALAVTPPADEAAEAIHRFHGLVSASLEHTSALLHRPSDDEKQPKDLEAGIRLSSCCCDDEEAPETLVQSFLGHALLQQPQQQQGASAMASIGFCMGEMAKDALQMEADMLDLTLCSSLPRHITIIH >OB0096G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369912.2:32234:32764:-1 gene:OB0096G10040 transcript:OB0096G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFT >OB0096G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369912.2:17177:22878:1 gene:OB0096G10030 transcript:OB0096G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin binding;purine nucleotide binding [Source:Projected from Arabidopsis thaliana (AT1G13870) TAIR;Acc:AT1G13870] MALVVMCGQPCSGKSAAAACLAAVLCSATSDLTVRIIDESSLHLGRNDSYKDMIVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDQCREWNTKRQEKGDPAYDNYIFDDLVRRFEKPDRRNRWDSPLFELFPSRDGIVESSPVIAEAISYLTKKVDSKTRDVKVLQPTIATQTSRTTEANSLYEMNKATQEVVNAIVEAQSCGLGLAINKISLGPDLPTIFLQRTVGLPELRSLRRTFIKLAGQYSLSGPPPPRDADSAKRMFIDYLNREISS >OB0096G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369912.2:15652:15834:1 gene:OB0096G10020 transcript:OB0096G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFQHARSHQKMGTTPTEQQQVEEMEGIGYCDKLYLKGCSFVLKFAIHVVLLKMYRKT >OB0096G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369912.2:12553:15624:-1 gene:OB0096G10010 transcript:OB0096G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQGARKAMEGAERASYSLCSMQTQKGEICIDSGTVFCTEAARVVGGGPKRSLAGGRDRGQRHPGEAWPAADCKSFRWRA >OB0095G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0095:37039:37344:1 gene:OB0095G10030 transcript:OB0095G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMEIKRYVSLLISINILRCSCICFTCYVYVYEANDYLSHILFSPFYNGNMEIMKVYRCSSKSISHDSIVVRFPSFADGRFPVIVLCDSGTAGGMGVFPR >OB0095G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0095:19964:20182:1 gene:OB0095G10020 transcript:OB0095G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPSSPDDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQVDMISQQ >OB0095G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0095:16049:17879:-1 gene:OB0095G10010 transcript:OB0095G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQGAEGNPFPSVKAAAAPARRLAAAAGSGWRRRPWKRRFRRAPVKVAAGEGPGKGGEPRWVPAVIWFGKMRANRQTVMEKVMDTLISKKRQRARRILKNSIAGCSVTGSFTAAAIIVKENGLTLDSGINGGNQGPSNPVFTLIRGVQLYPQDTVPLDLKVRRCISTIPRKGNCDRTNHITLHI >OB0094G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0094:9571:10195:-1 gene:OB0094G10010 transcript:OB0094G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLMAVERNHEAQNAVLQQIAASAAATAAHIAQGAGGGGHQVAGGLAEFQRTQPPVFTRSDDPLDANDWLRTIERKLTLIRCPDAEKTNLAAEQLQGTAGNKSVMEYLREFNHLARYAPDDVNTDTRKENRFMNGLSPEMRLELAAHSFLVNRGVISHLKNSQD >OB0093G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:38613:39841:-1 gene:OB0093G10070 transcript:OB0093G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAPVLGKKTRKPYTITRPRERWSGEEHDRFLHALMLFGRDWKRIEGFVATKTATQVPIDFIHPCVLLALCCKINGGFRIGDRVGFLNSRVSLCKPLIDLPWFIMQIRSHAQKHFLKARKFGLAGGLPPPPLHPRRAALLPAKAVTADTISPWTPSAIAPPPWPPAGGAPPSSAVQRSMSDWSPACYAPEDPFRPLIHADDCSFIEAPNCSGSGEAWIADAAFLPDETILLPISPDDLGFAQVYKFVGDLFGSGEPRPVEAQLRRLQAMDPAISETILLVLRNLEANLLSG >OB0093G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:33253:36557:-1 gene:OB0093G10060 transcript:OB0093G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKMRRYDDLSDRYDRHGQNTKLYVGRLSQRTRREDLENLFSRYGRVRHVNLKHDYGFVEFSDPRDANDARRDLNGREFDGSYIIVQFAKGVERGPGGSREYTARGPANGSDRCFNCGERGHIIRECKNSPKDLKQERGYSRSPSPRRRRSPSYGKSGPPSHWDGHGADRDEKVYSRRDDPSYSRSPRRYDSASNQRNHSPRRHALPSNERDYGPRRYASPPNERGRSPRGNALPPNGRNRNLTSDGMNPPPRERDDQNGSHRRGDRDNLARDTRAGPSPRDR >OB0093G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:29554:32442:1 gene:OB0093G10050 transcript:OB0093G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRELVVALIGIICILGTCNAKFSPADNYLVNCGSTVDATVGQRVFAADNSQSIVLTSPQSIAARTTLNSVSGFDNAELYQTARIFTAPSSYAFKMRSSGRHFVRLYFFPFVYQSYDLASSRFKVSTEDVVLLDNFSQPNNSSPVVMEYSLNITRDMLILTFVPEENATAFINAIEVVSVPDALITDSAQLLGVGQYLGLAAQPLQTSFRINVGGPKVTAENDTLTRTWITDLSFFTNPTVGKAVTYPGKLNYQNGSATQDAAPDSVYNTARQLVAQTNASSSNMTWQFKVEARSSYLIRFHFCDIVSKAAFQLYFDVYVDSRSAAKDLDLSTREFGTLAAPFYMDIVLPSSDPSGNLTISIGPSSLPNAKSDGILNGLEIMKMNISTGSVYVVKPPSAGKQQLPIILGAVLGGIGAVLIAVVLCVVVRRKKVKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFIILQEATNHFDEQMVIGVGGFGKVYKAVLQDSTRVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLWNKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIVDKRIAGTIRPESLRKFGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAQRISSLEISNADESRTAMDYSQMSTSNAFSQLINTEGR >OB0093G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:15287:23242:-1 gene:OB0093G10040 transcript:OB0093G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVKGYADAVAHHAGQAVADILHDRADYKSFKKTVTRLQEAAVSCRGGERVELLRRWLGALQDIEAELGGSDLKDPEDHEPSTESDSPKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKDVNKTIMNTIQDLAKTLSDYKDEVLVKREELLEYTQSVISGLKKNADIMRIDAETLELWKKLDEKEKSRAQLTDDQDNSSGNISVENIEGLKEALIEVRLCSKVEELILKKAAISPGDCLEIHSQKIDKLKILSDSLANSSSKAEQRILDNRRQKEDALNFRVKKENEVSAVEKELLGEITELEKQRDELEAQLKKINISLNAAAGRLKKTREEREQFDEANNQIIFKLKTKEDDLTKSIASCNVEANIVKTWINFLEDTWKLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIESIRTYVDNLVVLNSREETTQHEDDEASEKANPRISLEEEYLETEKKIIIAFSIADHIKKLFYSEQGANSRRDDPEVKNLITEIEKLREEFESIERPMLSIEANKSKPLPEERSELSPSPIPAPATPKAAHIDSPKSPMKPEQHLNPDNELANLGAELGSEEKDFSGEEINGWEFDELEEDLKN >OB0093G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:10953:13249:1 gene:OB0093G10030 transcript:OB0093G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGGNEMVAVDMDEADHADGAVGEVGRPSSSMRRLAPAGSGGRLMAELLGVFNGLTERMGKDMATSSSSRLLFRALKLALPALRDGGDAGGRAVSRALLVAASLADLQMDAEVISAGIVREALDAGAVAMADVEAQLGASAAGLVEESLRVKRAPSRVDVADEEAASAVRKRCLSSYDIRAVILELVVRLDAMKHLDVLPKHQQRTTSLEVLKVYAPLAHAVGAGELSLELEDLSFRRLYPQAYAHIDQWLSSQEDDCKRVIAASKEQLLHALTADDELRRTVDGVDVKGRYKSRFSTMKKLVKDGRRPEDVNDILGMRVILDPRPDGGGGGDGDRACRRTHEVIQAMWKEVPRRTKDYITRPDVSEPAPDGKKRPLMEIQIRTREMDMAAVGGQASHALYKGGLTDPEEAKRLKAIMLAAAEGAAXXXXPRHCRQRRPSFPAARQERRREYQHGGAGRDNGGPRRRRPRRGGAHAPPRRQQRRLTQLRRVRPLPATG >OB0093G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:10920:12068:-1 gene:OB0093G10020 transcript:OB0093G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding APARSRLGTRDVILRPPWHFLPHGLDDLVRSPASPVAVAAAAAVGAGVQDHAHSEDVVDVLRPPAVLHQLLHGAEPALVPALDVDAVDGAAELVVGGERVEELLLGGGDDALAVVFLAAQPLVDVGVGLRVQAPEGEVLQLERQLAGADGVRERRVHLEDLQRGGPLLVLGQDVEVLHGVEPDDELQDDGADVVAREAPLPHRARGLLVGDINPRWRALHPEALFHQTGGAGTELRLDVGHGHRAGVQGLPHYASRDYLGVHLEVGEGGGDKKRTGDGPAASIAAVAEGRKRQLERAEEKPRRRRGRHVLPHPLRQPIEHAKKLRHQPPAAPGRSQPTHRRRRTANLADGPISMVSFIHVDGDHLIATGVRHLIDHQRLATT >OB0093G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0093:4185:7186:1 gene:OB0093G10010 transcript:OB0093G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLDGLPKHQQRTTSLEVLKVFAPLSHTVGATELSLELEDLSFWRLYPQAYAQVDQWLSGQEDDCKRVLAASREELLQALAADEELRHAVDGIDIEGRYKSRFSAMKKLVKDGRRPQDVHDILGMRVILDPRPGGDGGDRACLRTHEVIKGMWNDVPARTKDYITRPKSDGYRSLHVAVDMSEPGPEGKKRPLMEIQIRTKEMNHAALFGHALYKGCLADPGEAKRLKDIMLAAAEVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAKPGPPVASALAASAGNVERAFRLLDKNGDGRISMEELTELMEDLGAGGQDAVELMRLLDDNNDGSLSSDEFALFQKRVPTIPTHSKSISRSSSSQVQNFQSTTVSTLVAFFAKTFLRFFP >OB0092G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0092:31306:34715:-1 gene:OB0092G10050 transcript:OB0092G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAGEARGGDSAEKTAAACTGKRRGRGEEGAHRCNNDDGKEETAMARVEEGGGLAASDDVLWRDKPSPTPYDVSMLLHKNKKQTRNQLEYSKIIGSLMYLASGTRPDISFAVSKLSRFTSNPRDGHWQALERVMRYLKATMDLGLRYSGYPMVLEGYSDSNWISDADEIKATSGYVFTLGGGAVSWRSCKQTILTRSAMEAELTALDTATC >OB0092G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0092:25873:26341:-1 gene:OB0092G10040 transcript:OB0092G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKDNPSTPTRYTASSASDQTPTGKANAPTSTKKSYVLSVSLKPTQHKQISFSPDPEVSILTSLRGEPPQVASFGELSSSGTQKAKAPTIAVEDIPSASPSSPEK >OB0092G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0092:18145:23046:1 gene:OB0092G10030 transcript:OB0092G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTHLSMDFIEGLPKSAGKDIILVVVDRLTKYGHFIGMSHPITVGDVVDVFMREIHRLHGTPLAIVTDRDRIFTSQLFQEIFNALNVHLRFSTAYHPQTDGQTERVNQCLESYLRSMTFQEPQKWFAWLALAEWWYNTTYHTAISRLHSELCMGIHLLRYKRMKFYADKNRTERQLEVADMVYLKLQPYRQNAFGLRGSLKLRTRSFHFTAVISELYQLPAVVWGKTLLSDGQHQCLSLANHKRFLTKKPLYLSQMDHVVRVYSGGHVSSDEKFDAMKVDVLVFAASSTWVELCDWICSMLGGTGSTEVLRMEGWYDAGVGAREHFVMMPICSEMEWSTYVACVASSQLRALDVVVSTGDGHEPMALACGKSVDVVEEPMALQCHTRHAIGNGVATPGRDGVLLLTVYWRGNHFCHASGSSMAVPVTGKSILFLAVI >OB0092G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0092:8458:14288:1 gene:OB0092G10020 transcript:OB0092G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRGEKKIAETRHEEPARDHKEIVEDDKPCPQGQNSLEVASCKHLHEAAMEKQQSVKKAVYALLLEPSEKRGNDCEEEEEKEGEYKSAPASPQKEREGSTEELMLISMNAMQGTGRPDTFAVMIQINGRRAVGLVDSGSTSTFMEQKFAIKSECPLHPRLAKKVTVAVGGELITDLQVPEIRYWIQGEEFRSKFNLLPLKGYDVILRADWIYQYSPISLDLIQRILPCLRSMASGKRAGKLIRKGMEACMIQINLVSESDQELQENGEVPMEIQNIIAEFPEVTQEPKGLPPKRDCDHTIVLKAGAEPPNLRPYRVPHYQKESMEKIIADLLQSKEIQPNFSPYASPAVMVRKKDGSWRLCVDYRQLNAQTIKNKFPMPIIEDLIGELQGAVVFTKLDLRSGYHQIRMDESDIAKTAFRTHLGHYEYKVMPFGLTNAPATFQSLMNQIFAKFLRKFVIVFFDVILVYSKSLAEHKEHLRKVLQVLENNQLKIKLKKCTFAQSSVSYLGHIISKTGIPEFDFKLETPVLSPTGLISISSGKIAAEVVQTELAKLVRADWSWEALPHEENTFLVTFPSEEELKRMEDVEFRLQNHDVSLSISKWQEAGDIFPLYELDEVWVHITGVPHAWRHYLGFWAIGTVIGATLDVDMLTFRKTGTIRIKTDNLDNDASTFGNDSKTGRDKADHTTKKQNANSTPSGSSNITAGGSSPMQFALTLVGNCRPMQREKEIVCDGDEPKLHLLAVTPKKVKEKMGSVEDVVSSSPQVERSTPTKKATPSSAISLLVSPGALESPTTMASLQRNLQPSPPLLSTSTILEPSSIPLKYTHASIDSDYSYNLDGCGNLQEEKVIVRRSGRCNAVIFSDSIVASDEDSLSKAMQLAAKRNLDGPMMSKTVETLKSPQSSTSLLGTFSQLPKSSLEYLSNDSCISNLDKLGVSSGKDYLAISIDKIKHMEVDRWRAAPRNKGQKNNSTMVTDPFDDSEDEDSKTDGVLLAHLVKEVSEVDLDEAELSTSIFYRPAQNEFKSSFLAELVRACQQNQLPTLIGGDFNILRDSTEKNNDRFNNRCPFLFNAVIDSFDLREIAMTGRQYTWANSLTNPTFEKLDRVLMTTEWELKYPLVSVHALDRAISVHTPLLLDMGTEAFIGNRKQFKLELG >OB0092G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0092:1176:2611:1 gene:OB0092G10010 transcript:OB0092G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVLENLF >OB0091G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369956.2:26190:26936:-1 gene:OB0091G10040 transcript:OB0091G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYYGGRDSPPQGYGYGVGYGYGSGYGYDAGGYYSDGGYPASAAYVDPMVRRRTHDFPAPMNELEFQPSAMCPKNNIIFDQTCTKSRVMFHPSLAHKFGGGSSAYNNNNAYGGGCSHDVGKGAYRDNVEYDDSCSVRQKEDTDEIDALLSSEDGDEDDVVSTGRTPGYRVGSSPDSTCSSSYGSGGQARTRHKKKRMKKMLRTLKGIIPGGNQLDTPVVLDEAVRYLKSLKVEVKKLRVRGFDN >OB0091G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369956.2:5219:5494:1 gene:OB0091G10030 transcript:OB0091G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRYANGICVELCISSKDSCIVHGYHGTRILDRESIHNTCPFGHSLQKITLTNISIIFSPCFFTTLLTTIHLIRKFYSNMQNYKSYLKFL >OB0091G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369956.2:3434:3793:1 gene:OB0091G10020 transcript:OB0091G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSLILATYLAVVSGLVYDVIVEPPGIGSVQDPATGTVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIILLDLAVDRTRPRSLRVSYGGSGVAAIVIAYAMAMLFLRIKIPGYLW >OB0091G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369956.2:197:361:1 gene:OB0091G10010 transcript:OB0091G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGFGLLKKIPPRSDFTSLLAMDLLRFWVFDRIHELSAIRHRLNGTNSICELTV >OB0090G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0090:29211:31199:-1 gene:OB0090G10030 transcript:OB0090G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNGGDIPEGGAQSSSQPPTNQFEGALLNIFQLMETSRKESQESQNKFFQEMLNRMDPQAREGMTQTRGVSLGEFQQTKPLTFSTSVDPIEAEDWLLDTERKLDTVGCTNDEKVRYAAHQLKGPAATWWVNFKRMQTAEQPITWELFQQKFKETHVPSSIVELKKKEFRALTQENTSVLRYVHEFNRLSRYAPDDVDTEAKKREKFLEGLHPFLKMQLRMVRHQQFQELVDGAIALENDYREVQEDRRKRARIETRRPPILKNVVRSPVHGRVVPVQRISSKPQSATQEQQFKCYTCGGAHFQKNCPKLKVNCFNCGQTGHYSTQCPNPKKNTGPQGSNAPGGSRFQRPQARSASGAQGRGRGFQTARLNYARADQVGASTDVVAEDKIFYADVLVIPLEGIDVILGMDWLAAYGAHINCEDKTVSLKLNKEGERVLFKGDRSPEITVGLKLNSMKAKSLDQIPIVQEFPDVFPDELPGMPLDRDIEFTIDLIPGTSPIALRPYRMGPEELVELRKQLDELESMGYIRESTSPWGAPVIFVDKKDGGIRMCVDYRALNKVTIKNKYPLPRIDDLFDQLKGAGVFSKIDLRSGYH >OB0090G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0090:16017:16698:1 gene:OB0090G10020 transcript:OB0090G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGSSSHAVSAQEIQEEWNNFSNSFSNSMSNLHHGGGRGGGGRGRGFSVGFDNFAGGRGRGFAAGIDNFAGGRGRGLFAGFGHARQVHVGDPTYFDVETLSNYSAEHGGDPYGRHGAYDDHGDDNFGRFGARGRYGDHRRHHPEQRHNDDGLSKVKVSIPPFSGKENADDYFEWETKVEQIFDLYEYPAEKKAKLAAIEFKGHSHNLVESDTY >OB0090G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0090:8300:11035:-1 gene:OB0090G10010 transcript:OB0090G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSPSADAIAFEIPEEFDDWWSEICQHLFAVPVGFYRNRIDSDYTFSNSKASLAAPTITRSGRPIEYSTFAIPALGHCTPSPSEYIKNFTGPPSPPRKLKRKNRTNKPTTKIAKITAEAVPLETSFADMDAAVDAAADKQSDNNTDQTTDPQPPPASTTTCAKYKVITHRPFLRRAKVGFHAAFSFYPSADTGFYLWQPSVASATTSTLTPLVDTDLEPIDNAEEMITPTPHTIQPADAIPGVQDLDDFFSFNVSQYFDPSEAGTDAFISLPADIKERLTDILARLDYPIDTLINNAGSIRSRIEEIQDRLPDDLIDAIAPAGYIESHRFPMLLARQRIANHACQVSAQVKVQTDRERAVVEKARLDELQSAEPAISANIADRQKKKADLEAQLAKVMEKLAAKEEKMANLPSAITT >OB0089G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0089:10435:10959:-1 gene:OB0089G10010 transcript:OB0089G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGGTVEDGRDGTTAAARDTARACGWRKMTGLIGGDHQSVSREERGVGLDLGALTGCGLGWASARRAQRGRGKEERGKGGELACPAERGKQAEWRERAGWLGRWPTGREEKEKEWAEGGDGDFERALDRGLGNLRTTQYSHNKSKTCMNYRFVRQIRSETRKRKLLAEQRQD >OB0088G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369906.2:37788:40304:-1 gene:OB0088G10030 transcript:OB0088G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3KUX4] MLARLDKPIGTWLLAWPCFWSITMAAMPGELPDLRMSTLFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGVLTPMQGVGFLGFQLLLGLGILVQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKGSLDPAIILPLYTAGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKQWISGFGAACIGSLALSGYSADLAWPYYPFLAAASAQLAWQISTVDLSDRQDCNRKFVSNKWFGALVFGGVLCGRLVS >OB0088G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369906.2:34428:36806:1 gene:OB0088G10020 transcript:OB0088G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQMLNALLLQALLIGTYAHGSPLSSSPFRFFFFFFFPILNLSLMLLSDLLVCYTAHMIHGQSDKEVLLELKNYLQTQNPINHGAYVSWSENEASPCCWKGVGCDVAGHVNSLDLSNSNIAGPSFSGFSRLTGLTHLDLSSNSITGELQDDLKHCQSLQHLNISNNLIGGIFDLSNLINLRTLDVSQNRFLGRMDRNFPRICGNLTFLSVSSNSLTGRIDRLFDSCSRLKHVDLSWNSFTGMVWPGIERLRQFKANNNNLTGRISPGMFTAGCKLHSLNIAINSLYGSFPSSIGNCSNLKFLSVWGNSFDGSIPPGIGSVAGLEELVLASNSFDGDIPMELTNCTNLQYLDISDNNFGGEVQDVFGKLTAMRSLLLQENNYTGGITSSGILQLPNLIVLDLSYNQFSGDLPTEISSMKNIKVLMLAENNFAGKIPPTYGQLLRLQVLDLSFNSISGEIPPDIGNLSSLLLLMLAGNRISGEIPREIGNCTSLVWLNLAGNQLMGQIPPEIANMGRNPSPTFMENRKKPELLEAITSKCVAVEWIPSSYPEFNFVQSLMMSQKNCQTIWNRVAMGYDVLPISSPLRTALGYVQLSGNQLSGEIPSAIGTMRNLSLLLLDGNRLSGHLPAEIGHLQLVALNISSNFISGEIPSEIGRMDSLESLDLSSNNFSGALPSSLNQLTKLSRFNVSYNPLLSGDVPSSGQLSTFDEQSFLGDPLLSFHVPAGSSSDFSPGEFSLSDTEEHPAKEEIMVTAIAFLAFFLVTLIIREFHTFLYLYFIVSRKIANCRILQS >OB0088G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369906.2:26636:28605:-1 gene:OB0088G10010 transcript:OB0088G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLERQQHARGQDESSPADSTAAGGQRGRWLNLGGRNNKGALVEHRSPRTKKIPRKKLDVSEFIAKERAGPSVNTRYDHQMLANLNGDERERPEDWALPWVFKFKVVGHGSRDLVQYVMDHLDPDSPVNHRGQLDMGTYPCSSEEQGQHLFLKAKGKGKVKIASPIESASKRKLTHEEASEEAREEAPTFSFLVRRHIESERDRIHRIIF >OB0087G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592213.1:39244:40616:1 gene:OB0087G10060 transcript:OB0087G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3KUX1] MAASALHQTTGFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPAANNAWAYATNFVPGK >OB0087G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592213.1:25971:33092:1 gene:OB0087G10050 transcript:OB0087G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3KUX0] MESYLDSVQGYISRGLDSLQEAVLRAGPSLSSHVGLAVEVAGEGREEGASVFAKQDGGDGAAAREEAPPGGDTVIASVEEEEIKAAAEEEVVVNQNGMEVAAKKVRNHANASTRVGMKSNGKGESPDLDSGEVMAIEDGKEKVVSAIEPSKPEPEQGAGMSVMETMVETEDATKKVSQERIRHAFDTIDKVALESPTQKLTLEKKVGIPLMDWTKKKEGEIFEMPLDQFNEETAMEAGSIQEDDAQGEDMDICSEEGEVHIETKKKKVAIDMQDDGMLVGGIKAEAREKKTRTRTSLEEDAKVATDNVGANAGAGRQERRHRQREPVPRRQLVAACERMGSFDLVELVLRANRSIASEFLPAMRCAPDAPALALHVTGHLLSTDPRDVHSASWENLAVLLRSVRSLATTSRATAPPPIDASAKEATTMAKMWSAIIAGEVEYHPVAWGHSATWALLQFVAAYGIAGNLEVKDMMLFHTVGDRDGGAELIRSLGLADKATELINHLLKKGKHINAIKVVRAFNLIDKFLRFLLSKHMFRMLRRLLKTWLARMEFHSRH >OB0087G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592213.1:8080:10377:1 gene:OB0087G10040 transcript:OB0087G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3KUW9] MDSSSLARMVRLSDWGCISARRHFFPALLNAPEPQVLVVRVVRDLLAGTEPEPIKDSVWETCVALLTCVPKLAIPLSADTLEQANLLAKEWKEMIGSKDLGRLAVWGLLNFLVTYNIALDFDAEEITHFFGILPGNKTESCVSLCKYLGVVHKLADSVGYLIKRGQQLVAVRLACTLNLTDKYPPLPIMEEFIQNARETAQEIMSKESDSESLKQAISKQVNALILSWRVVGECNIDSVHCDRIKAEITQLLHKYANKTHSLEDVSSDTSSPHQKHHQMSQEQQHLHQKHQEEQQQQQLQNQLEEQEKERRMQKFRKKRKKRNKRTQRRKQKQNAQAMEQHQFGKRRKLYHGGSFTHSQSYGYVRPEIHHHLSQHLSGMIGTPFAPYTGHSWQLRNGLYNGPGSSCLYSTTI >OB0087G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592213.1:7291:7992:1 gene:OB0087G10030 transcript:OB0087G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSPKPQPTPSPPPPSSFPTAAGMRMAELEAAIGALARKKERLRVAFDGLVACSPIPIPFRWDDIDAHVSSIQSSISARFRQLLALHPAPTAAAAAGTAIPSTAIRRVEPPVEHLVVEGQEPHVEHHEDEEGNGGDEGSLGMKGCLDVEAEEEEDTMVEEVATESPRGNEDGGVEEENDKTGEPIDVPPNEETQGKDTNTEDAMEVLAEKDGNGETNTAAEAEEEEEGSLG >OB0087G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592213.1:1209:1379:-1 gene:OB0087G10020 transcript:OB0087G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKSCTCMSIRMLCQHTQINRSHTPLHKEAGKRKLSMAPFTNDKQKLADSILVAAF >OB0087G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592213.1:13:582:1 gene:OB0087G10010 transcript:OB0087G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPRPGQCHRRFELSKAIWGKGIAPAPAKSPDELRRRYPDLVDTVERISAAECQGRAGNALKRGLGFIDDTTAGRLNSLAKKQRCLEIKMMLKRHDLRKRVLSKRIKIID >OB0086G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370056.2:26595:27855:1 gene:OB0086G10030 transcript:OB0086G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSDGRYGAARCALEAELVQMQGMARQLEAQMGLQGGGGAAMAGAEERRRALVSSMLSSIDRSISIARTRVPCCVEGRLAQPAGAAPESPPSADGSAGSDHGVDSRKMLPKWSTQVRVCSVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCHASKQVQRADGDPLLFDVVYHGNHTCAQGARSVVDGNPRPRHTVSPEQQPQPPPPQPQQEQNAFSVGINPAKEEGPVQQSLQEPTSSRPFTFTADDSGGTGTLLHGCSTSDCQVSSSVYELGGGSTMAGVRNVPDVELPSKTINSSMGEDMEFMLALEMDSDFFHKYPGYF >OB0086G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370056.2:16548:16706:1 gene:OB0086G10020 transcript:OB0086G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACIVQLQRITSRSQKKMFCFYLHTLVNYAEILLHKYATGIVVLTINPLLSGV >OB0086G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370056.2:11880:16301:1 gene:OB0086G10010 transcript:OB0086G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKHKLRKSKHILENKKDVDDNVENILRMIGEDNESAENEPSDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYKQLIGKLENVGHSSSDSDSSDSDDEEDGSHNDAVSEENGWKQKLVEDPQGKEQSLEVEIQKLKKNTEEQAKEISDLKELLDRAIKDKEATRLELSSDFANLSSENENLKLLVDTAERETGESHKTIALMENEIRTLSVEKQVVEKERDGLKISVVDLENKRVDLSNQLQDTMEKCTFLSSQLEKAQLTEKEVQTLLSEIEMMKNEKLMLSRENDNLKVCEKNLDTECSQLKATIAETKAENNTLTEEKHLLESKLQLLGVNINGLIAEKEELMNNMNIERGAAGEEKERLVSEHSKCLHELDKAQSSLKELESTNGALNDEIAAIQEEKIALASKLQQLEASFKNLGNELEQELERISVMQKNNEDLESVNSNLQNELATVQGQKDVAVASTVELGNKLEEQNQQIINLQEAIENLEAAKSNMYNEVTVLQEEKNAALLQVQELDACLKNLESELEQKQNQVLALQKANEELLEKSSSLERQLEEARSTLQDEIIALQGEKEKVLDNLQQSNTSIKTLGEELEKQREHNSILQLASEDLHKSIANLEKELEDNKVSSHAEILELQEQKNKALSDLQQSEVSIKNLRMELEQGREEISILHLSNEDMKDNNDRLNQQLEETRTSLHADIAALRAEKDTAQIELQQSLASVRNFETVIGKQTESLSSLQQANDDLKKNNHTLTEQFEVIKIELQEEVTMAHEEKDATLTQLEKSEDSIKKLESEMVQLKEELSIQMENNSSLNKQLEEAILKVSNLNEELKTVQAETASKINEMSANTKDLVKTIDLLSSQKIKVEENMKIITEACMEKLSFMKDFEDQVKQKVTDREIAIACLQQSLRGIVGSCQSLQYAYGEVSTRASHLEVLRRNHIEQIDKLEDKYKEILEKHRHLEEDNVYANKETRKLQNHVQELEAQLQLAKQKLRVTEAESKSKEDSYVMTVQTSHREIQCLEQKIQNFCGQNSLLEQTLVQMKGNAESGISTLVDHLDELESHFNNSFSKFSARSFACTEELELLRNRLHHHLAEQKELVKENDELCTKLREKENVLSEMVRSASEANEKMAQLEKTIDDKEEELSARVQEKREAIKQLSDAIIYHKNNSDDLVRYIRNYNRPRLPFCF >OB0085G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0085:27149:27340:1 gene:OB0085G10020 transcript:OB0085G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYICCKKRARTNRDLEPSHCSYSPSSKKRELHSSLLRLLLLTHTAAKKRKKENRWRKKRRTIW >OB0085G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0085:25396:30496:-1 gene:OB0085G10010 transcript:OB0085G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGLYLEAEGLPGARNVAAVDLMISCKKCERKRRASMLNAGVKIARARGGGRRQHYIVLDCILSRLGLIYLLRVDAGAVDPLRTLLPVAPKSPSCQPTPPSEMDAAPATAAPKGTAKTGVAPARSSYSRCGEVERRKSIGMGGGRSSGAATASDGSRLDRRTCPEGHIRYYGERGLVIRRETRIVFLDHGLLGTLVLTSCIQCGLEEQFFTEEAQLACRVPSLNKVFPLYVGSGVDRCIGLAVGWGGGQIRIPILTPRPIDHVERKSSLGIDPATEQGPGELWYQATTGVTIVFISAVVDGCHTRSFIPTLNS >OB0084G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369904.2:24463:33495:1 gene:OB0084G10010 transcript:OB0084G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G31970) TAIR;Acc:AT1G31970] MATEEVPKSSKKKRAKEESGGGEEAANGGGKVVAVTGKGSADAKYAPLASFAAAALPPQVLDCCKGFARPSPIQAHAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRKKLKEKSAKKGVPRCLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIGMGICRLNEVSFVVLDEADRMLDMGFEPEVREILSQTASERQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLSANHDVMQIVEVLDDRSRDTRLVALLDKYHKARRNRVLVFVLYKKEATRVETMLQRRGWSAVSVHGDKAQHDRTKALALFKEGTCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQDNKGLAGELVNVLREAGQVIPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >OB0083G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:34082:34342:-1 gene:OB0083G10070 transcript:OB0083G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTDFKDLEQPSLRGYYTDRLITLCKVTCYINLNFGLFALNLLEQKGFLCQSGRDVIMNCSSLYIVSNVILLPNTLYRSAYIEIM >OB0083G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:31098:32644:-1 gene:OB0083G10060 transcript:OB0083G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier-conjugating enzyme 1 [Source:UniProtKB/TrEMBL;Acc:G2XMG6] MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANLEGTRWEGSCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEVAPADAAATSGSAAAS >OB0083G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:19077:19850:-1 gene:OB0083G10050 transcript:OB0083G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPLYSLHVLYLFSYGYGLLGTFVLTPYIKCGSEKHVFVKIARVRVASFARACLLCCWVFRLLSGCLFEKLGRRAIFSLLSKYNSLSLCFYYFSLRNCILGSCGLWNFCLVQVPPHTSPSAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYIYILASIGIDAKFKSLISCNEGSIKTVAKDYLLVILVVSF >OB0083G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:8754:11714:1 gene:OB0083G10040 transcript:OB0083G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGPGHPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYSQSFSLRTVHLLMYLFTNTNVRKIGPKEFISVFYSLQNWRSIFERFDRDRSGRIDAAELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >OB0083G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:1467:5973:-1 gene:OB0083G10030 transcript:OB0083G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEALNDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDICDDTDYSWRAKGFHEQAKACGVPAITTAGIYPGVDLDCSNGRNTIRSFSHKKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPDGIAIESRKVLLERASQGTTNFVMNK >OB0083G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:1147:1320:1 gene:OB0083G10020 transcript:OB0083G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPQIAFISLKECTEICKQRYRAEHKTISMRLCPSNSQAAKVDANHDDQSGNKDKHI >OB0083G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369905.2:7:1322:1 gene:OB0083G10010 transcript:OB0083G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSSWITNQWCISTGWRGLYPAGQVVSCNINGDVECR >OB0082G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:46575:46808:-1 gene:OB0082G10120 transcript:OB0082G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLPFIIVHGGDDAVTDPSVSEALYTLAESKDKMMKLYPGMCHALTSGEPTENIDVVFADIIRWLDERASSPRAAIL >OB0082G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:41520:44409:-1 gene:OB0082G10110 transcript:OB0082G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQPRRRWRSSLASGFRAALACTIVGVASIYAPPAIRCHLTFPAFSSAVTVNTADAASPGSAVRAALSAVQATAMGAVPSVLPLWLAHRTGAGESVVATTVVVALSTFAVALPGSGAVAKRIALGQIIIIYVARFRQESMRRSDGDGAVLLHPANVVACTALGVVAALLAVLLPCPRLATQEVRANKAAYLEVAAERVRLLAHAFRLMQLDAAAGSSSSTYCCGRRRQWVAACIISQADRAASAAALLRRRITSAQGDLQWERMPALLKRWCGGGWDDDEEDQQVHDLIEMPLRGMEMACIQMHKRAPNSSSICPTPTLTWLQQATDQVRLTLLTRRSCSGMEMAKHGYGVVVPEQLPPLAFLFCMDLLLHGSSPPKLPPADAASQCDGNTKDDEEQRKHRPWPWHKEARTTRLVVAAKCAFSLGLAVLLGLLFSSDHGFWAGLIVATTMATEREWTWALAIARAHGTALGSVYGALACLLIDKRRLMELRFLALLPWLILTAGFLKRSRAYGPAGGVAAAVSGIIIVGRRYDEPPMAFTVSRLVETFIGLACTVVADLVFQPAARPSAKATKQLARCLATLACCFNDDDSWGGQTSTKVKAVQEQVALLKRYVAEAAGEPHFLWSVPFPASCYDKVAGRLDRMAQLLCLYTKALAVTPPADEAADAIHRFHGLVSASLDHTSALLHRPSDDEKQRKDLEAGIRLSSCCCDDDEAPETLVQSFLGHALLQQPQQQQGASAMASIGFCMGEMAKEAMQMEADMLDLTLRSSLPRHITIIH >OB0082G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:38697:40551:1 gene:OB0082G10100 transcript:OB0082G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPNVDTVTKLYISNLDYGVSNKDIKELFSEVGDMKRYSINYDWSRRWKGTAEVVFPRRSDALTAVKRYNNVRLMANLRKMSSLEQILSCHHHLLFLASLHQLDTSIFLPKAWFPRKILSNISSAEIFSGPGRGEFGSHGQGHGGGHGQIEGRLVVGRFQLKTWRQVQCGKNANQLDDCHALFALSAFESGN >OB0082G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:33136:33413:-1 gene:OB0082G10090 transcript:OB0082G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:J3KUU9] MPIGQFIFVLRSRLHLSPGTALFVFVSNTLPRTAQLMGSVYESFRDEGDGFIYMCYSSEKTFG >OB0082G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:27625:31643:-1 gene:OB0082G10080 transcript:OB0082G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVNWGYVNKRKSYSGATSKAEQQLDMMNQEVEMEEKQHQLREFEEEEAAEAEAVIVAEKAASVRALSSSFRAAATRSLSSSLRWDGGGDDAAGEEEAELRWAAIERLPTFDRLRTSVQSSEAVDVRRLGAAERRVLVERLIADIQRDNLRLLRKXXXXXXXQPRVEVRWCNVCVEADCHVVSGKPLPTLLNTVLSVQQLLTTALGFSRRHAARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLNKNPKVTGEVEYNGANLNTFVPDKTSAYISQYDLHISEMTVRETLDFSARFQGIGTRAEIMKEVIKREKEAGITPAPDIDTYMKXXXXXXXXRSMQTDYIMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKNCTMNFFESCGFKCPQRKGAADFLQEVLSKKDQR >OB0082G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:20885:28598:-1 gene:OB0082G10070 transcript:OB0082G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNVFIYITKSVQLGLLAIITGTVFLCTHMGVDRAHADYYMGSIFYALLLIFVNGFSELAMAVSRLPVFHKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTLEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVAGTVGGTMSFLVILLFGGFIIPRSYMPTGLKWGFWISPLSYAEIGLTGNEFLAPRWLRNSVNNVTLGRRILMDRGLDFSSYFYWISVGALIGFVLLFNVGFTISLTVKKAAGTSRAIISRDKLATINESRKDMSKDMDKRMPKLQAGNTLAPNQTRRMVFPFTPLTISFQNVNYYVDTPAEMREQGYMERKLQLLDSITGALMGVTGAGKTTLLDVLAGRKTGGFIDGDIRVGGYPKIQQTFARISGYCEQTDIHSLQITVGESVAYSAWLRLPTEIDSKTRKEFVNDVLHTIELDEIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLEARAAAIVMRAVKNVAETGQTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLEHHSCNLIQYFQAIPGVPKIKDNYNPSTWMLEVTCASVEAGLGVDFSEVYRESSMCKDKDTLVKLLSKPTPGMSDIHFPTRFPQNFGEQLKACLWKQCLSYWRSPSYNLVRIIFMAVSCIIFGALFWQKGDINNINNQQGIFTIMGCIYATTVFAGINNCQLVMPFISIERSVVYREMFAGMYSPWAYSLAQVAMEIPYVMVQIVLFMISYPMIGYAWTPTKFFWFVYTMVCTLLYFLYLGMMIVSLTPNIQVVSIMASMFYTLQNLMSSFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEDREIFVFGQTKTTAAFLSDYFSFHRDLLPLSAIMLAMFPTLFAILFSLSISKLNFQMR >OB0082G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:16785:17084:1 gene:OB0082G10060 transcript:OB0082G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAGTIGFVVGLVAGGEVGNVEGEVTGVMIDAVGAEVEGVGSGYVTGAGEMEGFTIEGAVMGVDRGFNEGIVMVGFVEGTLMIIGVGFVDGTVIGITG >OB0082G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:15743:18414:-1 gene:OB0082G10050 transcript:OB0082G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWAPLLLVVLLSCALPCCSGKQTVHDDLNPAQPVMPITVPSTNPTPIIISVPSTNPTITIPSLNPLSTPITAPSMVNPSISPAPVTYPLPTPSTSAPTASIITPVTSPSTFPTSPPATNPTTNPIVPAFSMSPPGPTTVPVVSGQQVWCVAKAGSAQPALQNALDYACGIGGADCSAIQPSGSCYYPNTLDAHASYAFNSYYQRSPAPSSCDFGGAAVLVNVNPSSESCVLASSMLLPSSSVAGYNPALTTPTTSSTSPITPTTLSGSGSSVLNPGGSGSSSSGFGSDIADTSNCWRTISPNWWSLAALFSVLAFAYIKGIVLETE >OB0082G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:13473:15003:-1 gene:OB0082G10040 transcript:OB0082G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRMDIAAGDHIYSWRAAYLYAHHGIYIGDAMVIHFTRAAGHEIGTGTLLDRFLFSSSPATEGPPCQKCGHLIKQQGVITSCLDCFLDGGNLYMFDYAVSPAFFLAKARGGTCTLAASDPADVVIHRAQHLLNNGFGMYSLFKNNCEDFAIYRKTGLLVETSFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAIVTSGMYCVGRYVSDIGVRRDVIKIPVERLVENWPRDPASASQQRQQECHVDGDGGCASESLS >OB0082G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:10956:13440:1 gene:OB0082G10030 transcript:OB0082G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEFDARSAWSHCSTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNTSLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCKHPGCEPAYATPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEIFQNGPVEVAFTVYEDFAHYKSGVYKHITGDVMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVVAGMPSTKNMVRNYGSAFGTAIV >OB0082G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:4997:5284:1 gene:OB0082G10020 transcript:OB0082G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKRHVMFPLVYRLIELALLLSVATAFVERAFSAMKIIKTDRHNKMDDDWLSDLMICYIEKKIFKELDDDIIMKRFQALKHRRMSLPRIARRN >OB0082G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369922.2:2031:4034:-1 gene:OB0082G10010 transcript:OB0082G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVCCGDPTLRLAGVWRCCIPWTSGMQDREQQLYSHALLATVRGRHLPISSEMLVAALGTDCGVPCHNVRVEAAAPNDIPKEVLLAIPNSTPVNPPDPNDEIAMEIENAASLQSPPPPPTKKCLDYKVLVHVLEVVDPSPPPTGCEAYLDLMRGDDDNEFGRGPRQNFFQCFPGRVNGTRPTRGTKGGSQSFGGSPSGTARGLQRAPYQQHRSFNAILALAELSSSEGGHGCTRGPYLASDYSGQGHPGRAGGARNCLEQESAAVEIRPARPPAMGKEGLAGETFPNRRHTTRSGPTPGICSWCPIGQSNIEGLPEASALQRLPVECGACSINGRGRGALMTLVAAVAEVHELGGVASFEEGSSEARITAHTGISPLLSRPYSGPLAAGLLLTYTCRRPPTAQMKGPPLTAMSQTEQGRVEAFITQISNSPPPSLLGQRPPASVPKLQGRKVVLPDGFRLRRSSWIEQQGGGARCHSISKAQKVVTKKMGLIAEEEEPNQVAVNKYVDLFDELLSSQHVTTLAALLGLEVASGAELPIAAAASPVRATESSA >OB0081G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369897.2:36421:38565:1 gene:OB0081G10030 transcript:OB0081G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRLMGAPAAAEDTGKSGSSKDAMRIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSSSDLPLMDLASIHAATDSFSKANKLGEGGFGPVYRGVLPGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFNEGKSAELGWRTRHNIIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDECNEVNTGRVVGTYGYMAPEFALDGVYSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWSEGQAAEFMDPALARAYAAEEAWRCYHVGLLCVQEDADARPTMSNVLLALISDHTNLPEPCRPPMFTRLRRVLLAAPPLTTKTESTTSPMSVNDVSITVIEPR >OB0081G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369897.2:21939:24222:-1 gene:OB0081G10020 transcript:OB0081G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWDKTPGVTTPSSHQAQSLNIRERVQRKFLKIDFVPTGDQVSDGFTKDLTVSNGGDESGGKEILLPIPLLVEPLPLSLLTGVETITHYVIVGERRMR >OB0081G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369897.2:12878:13825:1 gene:OB0081G10010 transcript:OB0081G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMSWNDGVVAVDDPDTTGPFDAGYIPYPCQYYYDHQTCTEEAELPVGAFLGGEPTRGDAGGVSFSNAAAAAAGATSPSSSSAITFDGEEYSAVSWLDVDTHATEPRLLGYGAPAGKGTSTTRCLSFGSSGDSGGMVTTTTTITTRSGATSSSQKRARARPSPSPGAELLRGYSKKQRAREPQSSAAKSRRERISERLRELQELVPGGGKVDMVTMLDKAIAYVKFMQLQLRVLETDAFWPAADGAAPDISQVKDALDALILCSSSSQQRLVDRAS >OB0080G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0080:35751:36668:-1 gene:OB0080G10020 transcript:OB0080G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDTTSAVVLLGIIALLASSAAAFNITRILGELSDFSTFNNLLMQKKLADEINRRQTITVLAVDNGAAGGISSLPSDVQRKVLSVHVVLDYYDTKKLNAMKNHSTRLTTLFQSSGQATNHMGFLNCTKHSDGTMVFGSAEPGAPVTSQLVKLIASRPYNISVLQVSSAIVPPSIGTTNANNSKADAPLPALTKAKTPISAPAPSASKGKKGASSPKQDVRAPGPSNNDASADTPSDTPGPLADGPTADGPSTNGPTADGPTEADAPADDKSDAADAPKGSAGNRAVAGVGLGIVALLVITFSF >OB0080G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0080:24731:32182:1 gene:OB0080G10010 transcript:OB0080G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAILTTSWASYQLAINQKHKPTKDACADVLQKGIRQTRYNLMRAYFNGVPANQIRTTSPICSMTDEQWLQLVAKWSNPKNMQISEQNKKNRLNVRFHQATGSCSCVAHLHAYKEKNKVVELNAVDVFEDCHTSRRKGLSDAAKDAISSMKAIMEEPIPDGETPRTSAEVISKVLSRDNSNTTFLKSAGLLVNSKKSVTPTETALQEELAVERQSSAILHEEVLTLKEQADLANEALAKTQKELAEFKQ >OB0079G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0079:38589:40574:1 gene:OB0079G10040 transcript:OB0079G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGPTSSLPSAAFSWLLLGAGDYDKKEKKERGDNALGLAGVMLGYATSSDLFWPSDWRWMDKSLSTRRGLGDGKDLPRHDDSDGEKKAMVQWREGDCVGAGDAKTALPLTAFLTTGAKRGMMRRFRSWHLTYTVCHDRVRYDGTGSSGVFLECNDDENPRGTSQGTQKDNVDYITIVLRNN >OB0079G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0079:20957:28998:-1 gene:OB0079G10030 transcript:OB0079G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAANVEKFTGWEVLSHEDKGAVLDLVKKDAPKTAPKIDRSISEGAQNKGKVVVSCESNASSTDLQEKLKEQRGTLWKLKDELKKHVSTAELRNMLDANGQDTSGPERHLLDSCADGMLFGALGHCPVCSSFLYYHGGQYHYNGYVSEWSKCTYPTTDPVRSKKKWKIPDEMDNDYLAKWFKPQKVKKPERVLPPMSPEKSLSQSTVGDGLDKLRVSIVAQSKDVVDILKHNLKMCEECLSHVEFAYNRVMHSTTKMSPKLMPKADGPFKIIDKINDNIMVLAIHSMSLIQSPTWEWRMRCVKDDSNSGGEDDDDISNVNIVMPNPQTQPMYKDQSRVLVLANLIIK >OB0079G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0079:18964:19806:1 gene:OB0079G10020 transcript:OB0079G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIPASDVVVTGPGADEAPAAEPFRVFVGYDSREDIAYRVCRRSLLRRSSVPLAVVPIVQQELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWAVFVDCDFLFVADVAELARLADPRFAALCVHHDYTPKEATKMDGAVQTAYPRKNWSSMVLFNCGHPKNRAALTPEAVSTRSGAYLHRFMWLDDADIGEVPFVWNFLVGHNRVDPADVAGTSPRAIHYTSGGPWFEQYRDCEYAELWVQERDAYEAEAAEEKEESQGKAILQTAPSAVSVDA >OB0079G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0079:11186:12601:-1 gene:OB0079G10010 transcript:OB0079G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPLTLAVTVLEQYHVSPTPAPAPGQLRSLPLTFFDLVFWDFPPVQRLFFYASADLSDVPDFLHSKLPLLNESLAAALHHFYPLAGRLPCRIQECASPEVVYSDGDSVRLTVAVSRDDFQDLAGDHPRDTARLRPLLPPLAKHGGCHRPSQDVLAVQVTVFPGAGVCVGTTLHHAVADGSSYVHFLKTWAAIHRLGDECREAVVVGYTPPLFDRGVLRDDTALRESFIHDHRHLVESGDRRLDEWDVSRRPDTVLATFRFTDELLRRLGRHVESDTSARCSPYALLGVYAAADFGAAWGGQPRKVEIVSVERTGALALAESGGRNGDGGIEVGLALPRLQMEAFRAFHAELVGLLHANDVATPLLREPKS >OB0078G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0078:28688:29454:1 gene:OB0078G10040 transcript:OB0078G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLSEVSQLCKTVDYLGNKRKITLISDLRIITGSRVM >OB0078G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0078:26590:38211:-1 gene:OB0078G10030 transcript:OB0078G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVTRLLRSSSPVSPSRLSAAILLKNNSKAFLSEDARNDSKQVEEPFKVEEAETVKVAPPSPDKLLVLGGNGFVGSHVCKEALDAGFTVASLNRSGKPPISESWADKVIWNQGNLLEPDSLKDTMEGVSAVISCVGGFGSDSYMYKINGTANINAIRVASDKGIKRFVYVSAADFGLVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVKVPLGLIGSPMQMVLQSAKPLTRLPLVGPLLTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSGQK >OB0078G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0078:25307:25597:1 gene:OB0078G10020 transcript:OB0078G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNELPPTNLVGCDDARLVVVVSGSMKRTTTPRLPPFLAFLVLLLVDLASRLFCRPRAVCRRPSGGVPYKRMRHASHQFHVVEKMLISHEHHLIN >OB0078G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0078:14248:18003:-1 gene:OB0078G10010 transcript:OB0078G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p (PB1) domain-containing protein / tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G62390) TAIR;Acc:AT1G62390] MGEAAASARWARGGGVGVLRWPGRWMEPPAPLRPVDHKAVAEECSLALQAEPRFPRALLRRARALEALGRHELALTDALALLALDPDNQDTVDLVHRLRSHILSPSTSTPEPTSRPSPAALGASAVVAGLGPSLPARPFPKKPSPPPPSAPLQQPVPPMSKFNPSPSPKLVPLSNTLPSSANANASGADSSKKVAPTAPVPPSLSVKDKSLMDNKVVARSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFPSSKAVLIKYKDADGDLVTITSSAELRLAESSVDITGSEVVEDGARSGQKLPMLRLHIVEVSPDQEPPMPTEEERLEQDDELLAKGEDSHPYTSTAEVSDAEVTKQDMENIVAEAEQSTLTGKKDCGHAECKEAEIDDWLLQFADLFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAALKFQEVAALALFNWGNVHMCAARKRIPLDESAPKEVMSTQLRTAYDWVQDRYARAGNKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFSLADKVDLSAWDSSETFKLFESAEQKMRAATEMWEKVEEQRMEELKETSGEALKKKRKQHGADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLAIADWKKNLDASVERFKLAGASESDISAVLKNHFSNAVSDCEDQKVMTSSTGISQTSDSIEDKCIVES >OB0077G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0077:46558:47190:-1 gene:OB0077G10050 transcript:OB0077G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSISSAVFSSHTREWTVFDIVDDDEGEDLYYYRFDDSDGMPAGRFVYWRSNRQNEKRILLLDMTTMEWTVITPPFAAGESYCVADMAEHGGLCLLSSRDQILELWVRSSSSNGGSPWLLKKTISLFDRFGHLKTLRREEWMKRVRVLAAKAGYVYMEFWSIRKPNSYLLVLDLDTTKLEMFRNDSDEPFRGPAFPFFLRLAPLLDGTS >OB0077G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0077:35556:37729:1 gene:OB0077G10040 transcript:OB0077G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33811) TAIR;Acc:AT1G33811] MAAAAAAAQQLVPCMYIFGDSLVDNGNNNNLLSLARANYRPYGVDFSGGPPGRFTNGLTVVDMLADMLGFRPPLIPAYAAAQPAAAQPSDLARGLNFASGAAGIRSETGNNLGRHYPLSEQVEHFKAAVRQMGPNASSPERLGRCIFYVGMGSNDYLNNYFMPNYYTTAQSYDPDAYAADLLQEYSRQLAALHALGARKFAVAGVGEIGCIPYELARINTQQGGGGGSGTAPPATAGTGVSISLGGVGLTIGGGGGAPANASMSSSRTGGVQRRDQQRDRDLQQGAAGDGSGSTGGRSFPGRRSSSSTPSGRGGRWWPARRRTGSRVWNDEINSAIAIYNRGLLAMVKRFNRGQKLPGSTFVFLDTVRAGRALVAGAAAHGFTVLDRGCCGVGRNNGQITCLPMQQPCDDRSKYVFWDAFHPTEAANRIYAAMAFNSTSAADAYPINIRQLAAI >OB0077G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0077:22465:27133:-1 gene:OB0077G10030 transcript:OB0077G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKMEEKKRSITVAPFECAWDEGFQFREAGRGCITFEASAQNDITLVFREQPGSQHYHYKMDNSRHYTVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSCFQSYWISIYDGLISIGQGRHPNKNILFQWLDPDPNQNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECTYVERDGAAGHTRKEESKDGSDQRVLANFLENWDFSDAIFVVGGERKVVPAHKVVLGSCGDFPFNLISGAAIELPSVSYPVLHSLLEYIYTGSTQISERQLNSLLELSSRFKVKPLVMRCEEIIGCLKINDTISLSSKKLQLSSSGSQAPQFDYFPFKAPLNTQKVEQFLVNGEHSDVNIHVNGHGLVAHAHKLILSLWSVTFDKMFTNGMKESSASNAFFEDVPVEAFFLLIQFMYSGELKVDAKEITPLLVELLLLSDQFGIMALQFECCKRIMEFLSEDTVCSVLRAVSSIPSCKLIEEMCKRNFAQHFDYCTTACMGFVLLDEAIFKDILQHGDMTVTSEERVLDAVLTWCMEACDCFNWNSVDELLSTSKPEELFGGRLTAINTLLPFVRFPLMQQSVLQLMEKSNLANNIEVFRQLVAEAIEFCNVGLCMTTNTCERFQHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNIKVIASSPNSRYTDPKALVSKNYQGTCFAGPRLEDGKMCSWWMVDIGHDHQLMCNYYTVRQDGSTTFMRSWVLQGSMDGQNWTSLRIHKDDQTICQPGQFASWPITGPSALLPFRFFRVMLTGHATGVSNTWNLCICFLELYGYFR >OB0077G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0077:18671:19893:1 gene:OB0077G10020 transcript:OB0077G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:J3KUS4] MAPSVMASSATTVAPFQGLKSTAGMPVSRRSGSSSFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLSVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGSD >OB0077G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0077:14904:16060:1 gene:OB0077G10010 transcript:OB0077G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTSEKGLHNDIPDDVISCEIFPQFPFKLVTRFKVVSKKYHELLTNNSMLVAKQSRLCPPCPALIHISCTESGNLEAIDVLSSKPDIVSIPSGFGFLDCSLENGFLSLLASTNGLILVLYTPKYAMPHFQSPILFRANPATQKASSITGSANHITRFDMCIGLMFDPMDDDKENTSKFMIVKALAMTIEGNGTKFRFATFSSSLATGPCLGNKKVAYGSGIMYWDYHDLVLWFDIANIVAGVIKMPWILLNVEVKGPIRHNIDISTDGTLLRSGLRTERLTERRLMRPIGMEDGRFVYIGVRQEWKTKDRILQYGIVTRKT >OB0076G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:46989:48079:-1 gene:OB0076G10070 transcript:OB0076G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRTDAWRGKVAPRPHPRSVRAGGICGSVTGGDGSRAQPEAAGVPQADGVHRIGASPVGGWWPTSSPSEGLYPPGGFSNFLQGNPFGNHRNANEDFHFVGAGMSQSSVSPIDMGVTRTPSPAEQTDDMVEDLDAEEDDIIKESRNDKRLNWSVPEDIRLTSAWLHNSKDPVDGNGRKADSYWADVTEEYNKTTETSRQRNRNQVKIRWDRCKNPLFDFHGCWVNASRV >OB0076G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:37785:42058:1 gene:OB0076G10060 transcript:OB0076G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQQTLNVGGSPSLRRHAPPPPPPLLAASPRARGGGPGGVGPSRGGALSAGPHRRRRRQRRQRPLRLPLLLQAIERASQNQQIANAIGTPIVRGPWYSASIAVNQARHSVSCTFPVSAPQGNGLLKFKAVRLGDRSWFSFLQQSNWEILLMDAILDIPTDDGKHQTIRVTIPDNTAPKPPVDCKACKSQPTPTPPPQPPSPPQK >OB0076G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:29695:36388:1 gene:OB0076G10050 transcript:OB0076G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MAPPSAYVRPPPVQSLQSQPPPPVQGYYLGAPPANPQFPMSRPAFQQPMQTMPPPPMGLSAGFGNQAAYATGGPPTGGSLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPVKVLEAYPVNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGYPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDIFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFHDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKRLLNFIYPSLYRVDEVLPMEPDRIDGSLKRLPLTMQCLDTGGLYLLDDGFTFLIWLGRMLPPELVNNILGVSLANFPDLSKIQLRECDNEYSRNFMKILRTLREKDHSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >OB0076G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:22035:23387:1 gene:OB0076G10040 transcript:OB0076G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hAT transposon superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43260) TAIR;Acc:AT1G43260] MSSAASGLGPSGTTTSVVTIKNKNQRKKRDSNDIGWEFGIPFHAINNEEFDILLEDVGRYGPKGKKPNQHELREKLLQIEVDNTKKLLKVQEEDWAKSGCSIMIDAWTNRKRRSIMNLCLHCSVGINFLESKQVSDEPHTGEMIFNYVNGFIDRVGAHNVVQVVTDNASDNMATNERLYVKRPNIFWSSCETHTINLMLEGIGKLARFKKTLDQAKALTIFIYGHHRTLALMRRFTKKRDIVRLGVIRFASNFLTLQSLHEKKN >OB0076G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:16217:17338:-1 gene:OB0076G10030 transcript:OB0076G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHSDSSSQPSSSLPRDMTNEVVVQRSRFDLFGENRSLLTHTSESHEVFNQDATETSAAMSGHQGEGNYNQDPDLTLVAQEGEGSGPVESSEATHVNQLACPLCRGTVKGWKIIKEAREYLDEKSRACSRETCAFSGNYRELRRHARRIHPATRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDVFSSDQEGSIPNEPSGSLLTTFFLFHMISSSPMRSGDETRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEDNLDEDVQRPRSRRRFVRSRSEERS >OB0076G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:10198:15053:1 gene:OB0076G10020 transcript:OB0076G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKEDTRFTSHKNEDFSNLVLDYQADSSKFSCAISRGSNTDSKEQLSPGSMYHRQKSCFSSSNCSLGSSSEFESAPSTPDASGNTVGKMRIEPRSDHLASYPSTGPDVRRLYAAEGQADFNMEYYSENRFRRSDQSIVFSNCNGQSIEHNSEIVDIPRPANCMNETTSSSSQWCFDNWGPSPPRGLQYGDEIPSLSSQDYGAKIPSLSSRQSYGDEIPSLSRNCNSLLSRQSYGDEIPSLSHHCNSLSSRQSYGDEIPSLSRHWYSLSSRQNYGDEIPSLSHRQCYQDRIPLHHRQCFRAEAHPQRTQRGASHGNYHSRDSFLSSVANNQRVKMATSKHNVTRTDHHRSIKEDNVWRNSGDTLEQVCGPRANKSGNASTSNTEKVIINPLVRRDQFNRPDFIVEYEQAKFFMIKSFSEDDIHKGIKYNVWASTPHGNNKLDAAFREAQILMKEKHKKCPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKQDRWNGFFPVTWHIIKDISNKLFRHITLENNDNRPVTFSRDTQEIGLPQGLEMLQIFKDFCHETSLLDDFNFYEEKENARCAEKGRNADSIHEARLSFFGTASRLSDDFKSMENLEASMESTTLY >OB0076G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369927.2:700:4335:-1 gene:OB0076G10010 transcript:OB0076G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLRPSSLRPFFKVIPHFVPVFPSCAGDTAAPMQSRPPPGSSSIGNGHEEAEEEDDFHWDAAAEAELQAIEAAYAAAKRRRLPDWLSPASVRARPNPATASGGSPAPSWAPSPPAFRGNVKARYQPVMFNGKIVYCRTLSEVEKATRDILRKIETIKASGQVSLGFDLEWRPFPRRGDPPCKVAVMQLCMEKTHCYVMHIIHSGVPPLLKSLLEDSSSVKVGICIDNDARKMFKDYDVHVQPLMDLSILANAKLGGPPKRWSLASLTEMITCKELPKPSNIRMGNWEAYVLSKQQLQYAATDAYISWHLYEVLQSLPDNNIEVEKETVTAA >OB0075G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0075:34635:42671:1 gene:OB0075G10020 transcript:OB0075G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRDWMYNGWSRGKAPSNDWIENTNHFLDRAFSMPNLVEDGTIKCPCALCRNYVTQKRFDVEMHLCKYGFRVDYRVWTSHGEEAINSQAEDSSQESVDEIDHMADMLLDLGGDCPPSIDEEPTASAQAFYRMVDNADQLVHENTTHSSLSAVARLLALKSQYNMSVAHFEANLELIHELLPVDCKLPKDFYQSKKLLEGLGMPYLKIDACYNNCMLYYKENENKDRCDVCGISRYEEGQSKVPRKVLRYLPITDRLQRLYAHAKTAKQMQSHRCSTFGKMRHPCNGEAWQQFDKDFPNFASDRRNVRLAFATDGFTPFNLSAAPYSCWPVFVTPLNLPPSLIMKSEYMFLALVVPGPEHPGKKLNVLMQPIVDELMKLWDGVETWDASQNKNFTMRAAYLWSVHDFPAYGNFAGWSTHGRFACPICLSKSKAFLLHHGHKPCWFDCHRRFLPRDHEFRFQANASRKNTIVLDEPPRHLTGEKILVEMNSHTTNSKTFGKLHNWTHISCFWQLPYFHKLLLPHNIDVMHNEKNVAEAIWNTCFDIPDKTKDNAKARQDLAEICNRPSLHLVLKENGKWHKPRAPFCIEKNDKTIILKWFQELKFPDGYAANIRRGVNLSQRKIFGLKSHDYHIFMERLLPVAFRGFLREETWVCLAELSFFYRQLCAKELRKDTICNLEEQIVVLLCKMEKIFPPGFFNPMQHLIIHLPREARLGGPVQARWNYPYERKIQRLRKKVRNKARVEGCIVEAELVEEATNYLSLYFKPTARSVRNKIPRKFDEKHWKSRIKPKPEQLRDLRLNGWKTSRGKHGPNFFDWFKEECMVTSSIDIALHQISYGFRQRVSSYGCYDVNGYRFRSEEYERTRSGLTTVNTGVCVCCIDENDNELEYYGVIKDIIKIKWEGSLQLEIVLFDCQWFDPTVRGTRCTENLGLVEIKCTSRISVFEPFVMASQVKQEMHSLRHKTLMGKQCVANVVSTEKAAVMVFVLKRALLFGDSSERVSIDGVNSKRTCAASANSRRAGADDVSPIMNSGGNSSSQCNANSSGVHSKGACGNPKKRGRGKMSRTQLKLPPRGQRVELIPKGDRSHSLQASQFRYANYDPNGLMYASQVGAILKRQYPSIIKVYNDEGDIVDKHPTMSWNDFFWKKNDSGVSYARQDFTFGVERASTLNTYAVMKSGMKNVDKTGCSGPIPSQKAQRVLDDYKAKTQNENSQELDGKILYSIGGGLSHGRVPIGNGAVKKADVLAATKSSIVRPTKSASYQCVIEENTKLKINEINIEENSVNRELIMSIFTNLGQEPPATLLSRLANIDARRHEAMGSSHSGSDLDDDMGSNEDLGDGVYSDEDSDGDMHTNGDMGNSEDERDTMHWDENLDVEVHMTDDVDIHNNSYNGLGGKNFIDIDRENNFHDLDDYD >OB0075G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0075:9037:9754:1 gene:OB0075G10010 transcript:OB0075G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIGLWGGQGGDASDISVRPKRLLGLTIFSSDSVRSIAFNYIGEDDEQYFIGPWGGADGFCTDINLDSSEYVTEIYGTHGPVYELTDVVTYLRIVTNVNNTYEVGRRNGTEFSIPLQDSAHVVGFFARSGTLVDAIGIYVDP >OB0074G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0074:23252:23419:1 gene:OB0074G10040 transcript:OB0074G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLAMQSQMLQVVELAHPLKVVAAAAKCNRNIQLVISCIHPKWKSRMMYNMALN >OB0074G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0074:17056:21932:-1 gene:OB0074G10030 transcript:OB0074G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KUR2] MPYVRSAPERDSGSGGDGTPGTPSPTHGARVRLRKRSSDVPSDVNKTNGSNLLLNDQNKYKSMLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVIIQIFMASELFNLLRKANEDRQLPGFRLLNWHFFFTAMLFAYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIYEGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFTPESYHLPGWIPRWIPSREVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFLMVQDLSVETILEQILRNLTFEEQHDLYEQLGQLLTRGN >OB0074G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0074:12784:13893:1 gene:OB0074G10020 transcript:OB0074G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYDPNSTYTDLLNTPTPLANDSPNHFQPNPPANDSTNRFDTASSQYQSQNSHFVGARTGSPASASTRRGVKRRKPPSALGSRGHRTRDKGEQQQVSEDEDSQEDEEDAAGKRLHWSDEDNLRLESHRRVIATGRMLQKNTIAIVRDQPKWNRMYNEKIKSKGAQAGDSDNADVGRIADQEVRPIGTKASKANAKGKVKAQYLDSTSDGFKLYHEAQELRSSMATKMAEVKLQLSKNRIEVAQSNERVAEAKREAKAMDKYILTF >OB0074G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0074:9791:9976:1 gene:OB0074G10010 transcript:OB0074G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKLLKLTNYKRKCTIQYDHHIIDIGFHITPDLRMKTSLNSVLITFPLLFSPNNILMYQ >OB0073G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0073:25433:34542:1 gene:OB0073G10030 transcript:OB0073G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAQMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDNDHIERWTSCMYMALPIFMRGGSSSKFLNYFVKQIVPVFDKIPEEKKLDLLKTIAASSPYATAQDSRQLLPTVVQLLKKYMPGKKVEDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHHKDFTERLTGTEETVRAASKRQTQGMADFNKAISSAKTEEEKIKIKSDQQKSTMTMRAYNNILAMAQPLHAKSPLFIGDKKITLSWMEQPKKPAATTAGAKRPQPATNGNTSANKKGRGDGAGRNQLVNRAFEGLSRGGRGIGRGRGRGGRGRGWGYR >OB0073G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0073:11088:18552:-1 gene:OB0073G10020 transcript:OB0073G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:negative regulator of systemic acquired resistance (SNI1) [Source:Projected from Arabidopsis thaliana (AT4G18470) TAIR;Acc:AT4G18470] MATLRAPPPPAGAAAADGGIEENAMAILDTAGIKDARDLHDDRAAFLETVRSACLAADNPSPPSWRMYNAVFQILQNSSSLELIIASFHLLVELGEKYPRAYWTDSVSGKAFVVVKELWSPFHLCSDVASGEFGGNTRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLQYLVSTLEADFIPRRDAYKESLDWVISRESLLQMLLVSRKLAFKSFVKNCISLLLSQYYQEVEDGVSSKECSAKSASDLDSSIAVISSEFKRKALASVQKLFIMVMNLDLIRKEADTMGLTTRADGCRNPILDVILDELTYNISYLSPFLLTFMEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLSLFSTAATAKGIVKKMSSEVVQLLLANAYQVCLSVEGDCSKDSDTRKRIGATLLQISDSFVSAFQNLRKINPNIEILPFEKEALFTAATMIRILKNGQR >OB0073G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0073:6088:6387:-1 gene:OB0073G10010 transcript:OB0073G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLETAHSFYLNDVRYRLVERFNKEPHEFDFKDVLQVLEMPTI >OB0072G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0072:41315:42466:-1 gene:OB0072G10050 transcript:OB0072G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPVRIPSPESKPSAASHHFHAKRRSLCGPAEGGERCAIIGGQRRGTHARWRRGSQSMPSSPPHVGANTDELVGGCYGQQSSRSNSSPGAAPTEGWIQGAAPAQSHSDAIQVEGDGGRVQVRLASSSSVVVPTDLSSNSYLVTMVEKKRKSGESSLKNADDLGTIGLLANWASTNHQSQRNVFHGLEEAPELPRRAHIEAHGKAQLVSLSLTLGQHEQAGLTLAMKPPTIPPIGHMGNNKETWATKMRKGTRYASSSWTPMLRDLHSWANKKRAMLHDCTKCGRDFLSKDALNRHMQHHYPGQPIGLVAGTSTGVFLSTGIAMAVNATSIEGRSHPITFSVTNNKEVKPQRMAGSVLNNIHRGFRLFGVNIVESPREEPMK >OB0072G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0072:32989:34807:-1 gene:OB0072G10040 transcript:OB0072G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVLVAVGVSVLLLVVFLSKLKPFATRPKLTCPRDHDMFAGGTETAATALNWAMAQLVQSPRVMAKAQDEDKLKEFRPERFENNNINFKGTDFESGRRICTGITQGLVSIEVILATLLYHFDWKLPTGTKPKDVDMSDAPRIVSTKRTRLFVHPVTRIPPNSD >OB0072G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0072:32145:32345:1 gene:OB0072G10030 transcript:OB0072G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNPIDDCSRCVPAGNANVRASPTHYGYWIRSDGDDISLYSARVVWVDQQSAGDGGGHSRRVASW >OB0072G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0072:17550:28180:1 gene:OB0072G10020 transcript:OB0072G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRAGECGGEGGRRGRSAAARAGAGGGXXXXXXXXXXXXXXXCGRRWWGCGEAAAASDGVEEVAAQFNLSVGKLQALASLLSSPERECICKSGTINDGNPVNSMLDISNSRIKNKLPGGNQNWLDDVILQDCFTNEDNYDKSSHETNLLQNAVQQDIGSPTTPWNQKNVLSCNHGMIFSLSASFGIVVSLVVITISKKGKQENELCQHEKLLQTPSAKISRKWSKRALLIGVLVGLCSSVWIFSSMYADVVARRIENLENMCDERARMLQDQFNVSMNHVRALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKHGWKIKKMEAEDQSLVHDYNPDKLEPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTSPFELLKSNHLGVVLTFTVYKYDLHPNATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTSPTKMYDSDVNASGDLHISSIDFGDPTRKHEMHCRFKHKPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAENNYTAMRELKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGVELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIQVHLVEEVKKKMEVLDDTSPENREVTDNSNNTMAYNTLSGLEAANKWKTLENFRMFKDSSDAIDSVNLLVTVEDTGVGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRHVRAEVTMYHLRRLGIQCDLAATSESALSVLLEACNSSVKSSVNMVLVDKEAWGKDSGLAFFRCLVDLWLKGTLKSWQTMPKFFLLAGSISPADSDCLRLAGYANSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKHILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISMLEPPHKFDACFMDVQMPEMDGFEATRLIRAVESKINNMIHRGEVSSELYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAVAHFLEADATDPLS >OB0072G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0072:16806:17300:-1 gene:OB0072G10010 transcript:OB0072G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSKNAQQSNPHRKKKRGQAPPSTTRRDDVEAANKQEVRWVSFGFLLGIGVEEGGVGGEEGRGKRGWWATTAQLDSLCSCTHKEERESSSSSSSKGGRTTTGKLERNKKSCCCGGDGVVVVLLITPATDLKLLLLQCYYPLLLSLSCFQTTTAFFSLSERSWF >OB0071G10150.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:55162:55434:-1 gene:OB0071G10150 transcript:OB0071G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLRQCRLHQVDPSDLPLRRRGRLVRRRLQGLPAGEVVEAASLRVQRPVHRPARAQVQTLTDRSISVSSRINKTQQLIVPSFVRVCCS >OB0071G10140.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:49557:50281:-1 gene:OB0071G10140 transcript:OB0071G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITLAMATSSILLIFLLAGLAAADANTIRLPSDGESGDGTLTRPAKPWDCCDNVEQSLLRIFPPLYRCNDEVQQCAAACKECVEAPGDFPRGAFVCRDWYASEDPGHXXXXXXXXXXXXXXXPFWRCNDELEPSQCTAACKVCQEAPGPFPGPLICEDVYWGADPGPLCTERPWGKCCDNAACTKSIPPICRCDDEVASCAAACSKCERVESSRPPRYVCKDQFTGQPGPKCKRALAN >OB0071G10130.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:45679:46202:-1 gene:OB0071G10130 transcript:OB0071G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMSILLVFLLAGAAHGDSILLASEAEAAAAKPWECCDHYVMSRLRIFPPLHRCEDADVYVCNDWYSTAEPGPFCTPWPWGDCCDAAVCTRAYIPTCACADEVESCAAACKDCEVVDSSEPPRYVCKDQFKGQPGLRCTPDDDDTTDYSNSV >OB0071G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:43064:43812:-1 gene:OB0071G10120 transcript:OB0071G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESTPAASVLLFLLLAGDGDTTIRLPGNDSETHVGAAARAGRRPWDCCDAIEINPAPPTNPPWYICHDVVEQCSPFCHDCQAVPSRPFPGELYLCNDWHQTSDPGPSCTGPAGRPWGDCCDSVELVPGAGFPRGRFVCNDWYSTDDPGPVCTERPWGAYCDRKSIPTCHCADEVQSCAAACRQCEMVESWSWHPLFVCHDRFTGLTGRRCTPDTRNS >OB0071G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:41226:42557:1 gene:OB0071G10110 transcript:OB0071G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDPVVNQAPPEERESVDEEFSTALRNRHPSLSASPCINAIRLCDANCARHINRAISTLEPTDATARKAMATLRSDFMTEHLNLVDKFISYGADHIPDKFVMVQLSPVEPLDGTNNFSKWKSIVLLNLAILDCDLAIREDPPEEPQLDEKYPNYNDLKWAYDNKLTAWKKSNRLSLMYIKSNISPTIIGGITDSGDVKTYLANIKENFKTSHKAYVHRVIKRMMTSRYDGKSGIRKHILEMAHMAHELKTMGMEISDDFLVLLIISLLPPTYDPFRMRYCTKKENWTILELISNLVEEEECMKAEEQKHNNELNLLNPNTKGKRKFYQGEY >OB0071G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:37621:38700:1 gene:OB0071G10100 transcript:OB0071G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3KUP6] MENTDPVAKKLRVEDSASVTNVRVKQEAAEDAHGGGEAATTTAAAAEVAAGVELAVRIDAAVLHCPLCLLPLKPPIFQCGAGHLACGVCHGKLSDVHCRACGDGGAAYAHNPVLDAFARSAKICCPNDKYGCDIYVTYCEVADHQRACPHTPCSCPEPGCGFLGAPPALLAHLTADHSWPAQEITYRAVHPLWVPASERRRLLFVRGDGDERRVFLLAMGAHGAATTVSVSCVRANAAAGPQYTCKVWTQAPADPETGSKDTIMMEANVRSSSVPGEVAMEEGTMLLVPPRMLHGASMEMHLRVRIDKLRAANASHPMILRTNLSASLNIAS >OB0071G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:33790:35619:1 gene:OB0071G10090 transcript:OB0071G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3KUP5] MEEVEEESKRRKMSLRSSEKPAQEEQEAVALRRPHSEISVKMDSYVLDCNICFEPLKSPIFQCEVGHVICSVCLPKIGENCHMCCKATRYSRCFALEQFVDAIKVPCSNAKYGCDKFIAYNQKEKHENMCIHVPCFCPENGCSFRGSTASLLDHLITKHEWSPTNFQYNKPQKISMAQDRQYVLFVGEDLSMFLLANILTDIGNALTMVCIGSHDSGPSYSSKISAVDRVAREKGTFVFQMDPLVASSSLLGGVRLGKFFLLVPPELVDESTHELTVNVRIDKIKP >OB0071G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:31512:32243:1 gene:OB0071G10080 transcript:OB0071G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGCGEFRDYSEMCDQSHSFFTHGNTAGLGSHSQGIAVHHQPLLRVRQALIWSRTREANRKALLLANPAATVDMEVASSGGVAVEEATFLAVLSKMLHGEEESEEIVLGICIDKKTT >OB0071G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:27673:30759:1 gene:OB0071G10070 transcript:OB0071G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3KUP3] MAEQNKRARGSNGEVKQERREEEEEEEEGEVVSHEEETGKTGPLVPVAMASIMEMEEPHINVRIAVALLHCKACLLPLRPPVFKRNVDSVCLCLDWKAKIASFLLFLSLCALMGFCGCSARRGTCSGKPCKLAVPPPRCPHVLVGDDDRALFLVSPCAVGAVTAVRVVCVAANGDASAQFRCKLWVEVSANKENMVMMTSMVRSSNLSGGFPAADQGMFLVVPPELLQEASGETPIVSIRIDKAGAAAIAAKSTTPRARKLDVHMNLMSTSVASQGRTVQEKEEQCQCDTDTIVESGFRSTSVKESAQSEYKCTGFKLHSEFRFAKKASTQHAGDTHSTMQHRHDGGNKAWVVLPCGQVKREVVEQPRGAADGDEAAGEGAMIAVAAADGERVEISMRIDMAVLHCPLCLLLLKPPTYQCAAGHLACSSCHGDGAVERACHTCGGGVYARCPALDTFLRAAKAPCPYDMFGCRSYVAYYDVGGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLIADHSWPVSKVRYGEALPIHVPESERRHLVVAGEDERVFVLSVGPLGVARAVSVACVRANAAAGPRYRCKLWAHAPGDGAVDFVHMDSEVASSAAPREVAVDEDAMFLTVPPCLLHLHEAGASKERILRLSIDIYLP >OB0071G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:25683:26795:1 gene:OB0071G10060 transcript:OB0071G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPRPCPPPLPVARPRLQHPTTPPRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPASPPSARVLDPSNMQGREEEKMVIVLEPRVKESLSLGKKVRKRKEKK >OB0071G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:22170:25025:1 gene:OB0071G10050 transcript:OB0071G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3KUP1] MAHVALTESPLMAREKRCVPRASDKQQSSSGKKTKEDGETAAAHDKRALTVTVDPAVLECDACFGPLAPPLYQCTRGHITCATCMEETGQGCLWCRSTEATMRCRVMEHFLAAVSVPCSFTHLGCAAMVPYGDREAHEATCVHAPCYCPIRGCSSPYSGVSLLDHLRHNHPEIRRTCVDRGSLSAIMMRGGELARLLCLADDKDRAVAVFLLVVDQCEAPATGRSLWMVRLKAEPPADVKEEEEDESEASSKEEFRYKIMVSGNGGVLSLVGETVPPVGRLTAPYRPTTFLFVPGAMLDSHRHHEGSSLLLFAELN >OB0071G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:16088:20142:1 gene:OB0071G10040 transcript:OB0071G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHSSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGFKFFTGIFRSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVVCNSGFRNSTVNNDMHYMVWDDPPQMEPHFLNITHYDEIAESGVPFARKFRENEPLLDKIDDRILRRWRHRPVPGAWCTGRKKWFNDPCSQWSNVNIVRPGPQAEKFRKHMNQILEESLSGNNSCTQ >OB0071G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:11027:11230:-1 gene:OB0071G10030 transcript:OB0071G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVAVDGDDDSGSDQEQLAAVDSGGGVVDDDDNDGDDKENSLAFWVILTEYHMLPHWYHLLVRYQI >OB0071G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:7629:8701:1 gene:OB0071G10020 transcript:OB0071G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDEKFDRNDMAKWFYKVAIDPDLQGQSSHPPVKRHRWVNFFSYFASYNIKAVLNHVSEVHQNQKSYNGAFTSANIIFQNGAVSIVGIPTVEFTKDTCAGDFYKLYSIFKAKFAPHIPLYFDHLLGYLETCPDKANSNDEAIVAFIINHPCLQSYLSRMGQIEHLANMWHRHPGHSKMNESAMGNYSWYPLADSAPVLHDVFVYGPNGDAYFLNGTWYDLNTLYTDDAKGCLHFANNFLKHAPNSFMLHQVEAALSFNLKNFLPMILLNLARLAQKQPLGRQYIIDVLSNKLADRSTGN >OB0071G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369889.2:6439:6813:-1 gene:OB0071G10010 transcript:OB0071G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGERRERGGWTYLVAAHRTSTVRPKISAPRLPTAGAGAPLRPPDLALRRPICLSAARSTRRRRLRSAAPPDFRRRPSSIVVARSAVFLCCAAGREVKEGGNRRQWGEEEEGTRWTGDGARTNR >OB0070G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0070:36759:41147:-1 gene:OB0070G10010 transcript:OB0070G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKIGHILEHGCPLKALPTGLSNQGYLPRYSGPDRSRRTLGVRGSWGLLGLVDGWASLSSKARAEVDPIKTALHMSYFLDQSTSPAGDVRTTPASAPCSVREPSKCIIQCVAWSGDIGPPVPSGALWPPEGFGASEASWVPKGPLASAWPEGILYLLRPRLIFCEAGSRAIAGRHAPRAGFYRVQSRRASCPFRACVASLKVQGCTNYVWCRRYVYEKWFSFFGGHKGGWARGSETIKSLRQIEEQDLLVARFDDKVIDICLNIASYLGPVVLPLRAREGHAEQVKIDLSDDSEDIAKIERYIEKSVKLMKGIEARLKAKSEALRSLDPQLGNMDALHQDGALKELKKANTRLQAECAKLLAMKVVVEAERSQLLASKAVLEAECAPLKKAKETAIVKLVEAQTRVKSVLEAAEAKTHEVELARDRLVTVTLNVLGATPLLAQGSSSVSIDGECLYFVHEEEGRLSRSPTDGGVYSVENPGFDSSKDHVVSSFDLPVALRVCYGGVADLDPVLLAKILEDSRDELPTIVRDDTVRNAEAVDIVLYGVSRMRRVDCGDRFCFYPLSEFVVGDE >OB0069G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0069:55190:55939:-1 gene:OB0069G10040 transcript:OB0069G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDSGYELCFLCGHCSARGGKGSDRDDASVLRRCLEDMQELMGNDAEDGAVTDTDDDDS >OB0069G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0069:22520:23289:1 gene:OB0069G10030 transcript:OB0069G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSELPSSLMAVLAFSFQSAAFLTYSSLLSPSITSRTRLANSSFLTTPSPSGRTRTPTRHTSEMYMAFTVWSDHCGTATIGTPALSASVVEFHPQCVTKHPTAGCASTSSCGHHATMSPLPASAATLPAKSSGILDVSDALTTQRKGLPVLYRPSATSAICAGLGSATLPKETYATDRAALASSQAFVSVAVAKRWKPYALSSGRRLSRYADGTVGPTVLARHADDMCSASYSRTTTRKPHLFFPGACTPWTNL >OB0069G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0069:22483:24014:-1 gene:OB0069G10020 transcript:OB0069G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KUN3] MHSSYHSSQLVPAMASSEHSGIHVVLLPYPSQGHINPILQFGKRLAGHRGVRCTLAVTRFILGQGEASTGDVHVAAYSDGCDACGFREARDADDYLSRLESDGSDTVDRLLCSEAEQRRPVHALVYDSVLTWAPRVATRHGAASAAFFTQACAVNVVYEHVFEGRIKLPLAAGEEPLQLPGLPVDLRLDELPTFLADTKGDSPAYLDLVVNQFKNLDMADHVLVNSFYELQPQEAEHMSSAWRAKTVGPTVPSAYLDNRLPDDRAYGFHLFATATETKAWLDARAARSVAYVSFGSVAEPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEDFAGKVAAEAGRGLIVAWCPQLEVLAHPAVGCFVTHCGWNSTTEALSAGVPMVAVPQWSDQTVNAMYISDVWRVGVRVRPDGEGVVRKEELARRVREVMEGERSEEYVRNAADWKEKARTAMSEDGSSDKNIVEFLTKIGMKI >OB0069G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0069:6124:7380:1 gene:OB0069G10010 transcript:OB0069G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPEGLTRTNHKPEWATCVLGLMPGPRFNTCYCSEIWCKFLGVTRMPFMPVKDGHFGGIAFLRGTTVMGLTDLREKHELEKWKR >OB0068G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0068:26587:29524:1 gene:OB0068G10040 transcript:OB0068G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLPKATDDTIKRIKLGLSPAIVSEPSATVAVALAEDKPWPPDDLFEGLARMYATYISAHAQAEFRSCLLLSGLFLFLFRAVTTATTDDDDAGDGDGLGFWDDRSATVEGA >OB0068G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0068:26562:26714:-1 gene:OB0068G10030 transcript:OB0068G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGFSPAVHHDHGGQPGGSPFDDDGDRTPLVLLTFGSFSFIVLYLVVL >OB0068G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0068:6412:9603:-1 gene:OB0068G10020 transcript:OB0068G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPASTVSALTTADDRRLPVPSTVYLLVVRSGSMSAGKADTGMVSAEYVFKGVMSETATSISRSCQGLRLHCHVEEIASKFFDPRMANELPSRVQLTVPAVRAVAVRWHGYRTVTVYTAKTAMNETLQVLLERGCASTASFNSTVLATKSMARWVSMFPRNLDGMHIRHNSPVSMEMPLQLLDSMISKRLCSWEVDLPAGFKCSMLTDESEQ >OB0068G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0068:234:1400:1 gene:OB0068G10010 transcript:OB0068G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKRAARRRPIVLVYQRRRPEPVDDDGMSKPSSGDVDDGGAASSTAALSDRVDGGRDPKRLRVISSLPVCIGGRYKVLAQLGSGSFGDVYRAKDTRSGNVVAVKCLRRENDDPDGRYLQRELAGEVRALEACRGDPHVVQIVDYGGHPDAKTWPEAPFIVMEYVGASLQDAILRSGGSPSARRYAECEVRPIMRQLLSGVKRMNEAGFMHRDIKPDNVLVDEHGNVKICDLGFARTKAGSPPPFSNPVAALLYRAPEVLLESTTYDETVDTWALGCLMALLLAGNPLFYGKTEVEMLRAILDVLGMDDLTRWRGYANCMIKKSKMRFVRRDSRLREMFPIPGMIGGGGRRPELSPAGFEVLKGLLSCNPERRMTAAEALEQRWFES >OB0067G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:53072:56789:1 gene:OB0067G10070 transcript:OB0067G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQGSPQDPCYPQCAQWILDNQQLDGSWGQSAEVNKETLLSTLACVVALKRWNAGPDHIRRGLNFIGRNFSVAMDGQSVSPVGFNITFSGLLNLATEMGLEIPAMKTDIDGIFYLQEIELARDGGGTVAARKAFMAYVSEGLGRRHDWDLVMPYQRKNGSLFNSPSTTAAAAIYSRNDKALDYLGSLTSKFGGSVPAIYPDNLYSQLRTVNTLEKMGISSDFAYEIRDILDTTYRCWMQNEEEIMSDMTTCAVAFRFLRMNGYDITSDGMAQFAEQSCYDGSIHAYLNDIKPLLELYRSSQVCFSENDLILRKIGSWSAKVLKQQLSSGKISKSLTPEWLYLV >OB0067G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:48238:48603:1 gene:OB0067G10060 transcript:OB0067G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRQGGERLLPGGRRLHQGDDADHGQRRDSRSAAAATNTPYASSMLSVQILNLGTCVDCRTGRVKLQAAMVKCMQSQRSRKQKSKRRLQAGYVCTLTRNFFGRHTWKHRSTNATLGSTNGAV >OB0067G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:42184:44380:1 gene:OB0067G10050 transcript:OB0067G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSDFPCEIRDILDMTCSCWMQNEEEIMSDMATCAKAFRLLRMNGYDINSDGMAQFAEQSCYDDSIHAYLNDIQPWLELYRSSQVRFSEDDLILENICSWSAKLLKQQLSSRKISKPLVQEIEYAPEFPIFATVEPLEHKGNIERFKTNSFQLVKSGHCGSSSANTGILSLAIDKFQSTQSVFQQELQDLHRWVKEHRLDELKFARILPLHTCFCAIVPLFPPELFVPRVALSQNAVLTTAVDDLFDGGGSMKEMRNMVELFEKCMGAMAAQLQNRSVMDHLVELWVVTVRTMMTEAEWVENKYIPTTMEEYMPVAEHSFAFNPIIPTSVYLIGEPLPEEVVRSEEYTRLLQHANTVGRLINDV >OB0067G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:35425:36061:1 gene:OB0067G10040 transcript:OB0067G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGAMNHRHLRMTRHGWPWCHSGALHRLRATLSASSGFLTISSSTGPGANRPKTAFYDGQSVSPVGFNINFSGLLNLATEMGMEFPVMKMDIDGILYLREIELARCTF >OB0067G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:33105:35397:1 gene:OB0067G10030 transcript:OB0067G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWLVAQTGNDVAEFLFLPCRTIPWSFTPWDELPKETQQCASSGGYQRLNAFHFGEIYIAQRRTEGYSKEAAVGS >OB0067G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:6257:6430:1 gene:OB0067G10020 transcript:OB0067G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVYLCTCFNYFLGQHIVHLLINKCAHFKCDKKKGGNYLERSRAIVSISDKHRYYR >OB0067G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369887.2:2507:5232:1 gene:OB0067G10010 transcript:OB0067G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTLVHARAEALAAATVVEYALKFPIYANVEPLEHRGNIERFKTNSFHLLKSGYCGSGADQEILALAVDKFHSAQAVYQQELQYLKSWVEEFRLDELKFARVLPLQSFLCAVAPLFRQELSDARVAWAQNVILTAVVDDFFDGGGSMEEMRNLVALIDKWQKHGEVGFLSQNVEMVFNAVYHTSNRAYAKAAMLQKRSVVDHMAERWAVQARAMMAEAEWVASKHMPATIEEYLSVAEYSFGLGPIVPLSLYLLGHELPEDVVRSGEYARLLRLASIVGRLLNDVATYGTDMGTGKPNAVVLKALRRESGAGVGGGGGGGVSPAFVAAAKEEVKRAIAASRMELQKVVWRDGYVVPRACREVLWQTSKVASVLYGEEEDGYSHGLMMRSMADAVIHDPLQLQPPQV >OB0066G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:45400:47654:1 gene:OB0066G10070 transcript:OB0066G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 90.1 [Source:Projected from Arabidopsis thaliana (AT5G52640) TAIR;Acc:AT5G52640] MAAPDVQMAAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKPTKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVADRVVVTTKHNDDEQYVWESQAGGSFTVSLDTNGDRLGRGTKITLFLKDDQLEYLEERRLKDLIKKHSEFISYPIYLWTEKTTEKEISDDEDDGDDKSKSKGKEKEGDIEEVDDDEEAVSKKKKKKVKEVSHEWVQINKQKPIWLRKPEEISREEYASFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYAKFYEAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESRKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEDTEEEKKRREEKRRSFESLCKVMKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRRRAEADPNDKSVRDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDDDDASAADDDADMPALDDAAAEESKMEEVD >OB0066G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:41747:43609:1 gene:OB0066G10060 transcript:OB0066G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3KUL9] MAKNYGQMYNILGWGDPFFVVNSHGHLSVKPHGRDTISGQEIDVHSVIDAALSTMANEDGDKKAQFPMILRFPDVLKNRLDSLHAAFNGAIESTGYTSRYQGVFPIKVNQNKAVVQDIVNFGHGYSYGLEAGSKPELLIAMSCLTKAKPGAYLVCNGYKDADYVALALSARAMGLNAIIVLEMEEELDIVIEQSAKLGVEPVIGFRAKLLTKIPGHFGSTAGKHGKFGMLAGKIYEVAEKLKKNGKLHWLKLLHFHVGSMIPTTDIVYKAATEAAGIYCDLVKKHGATGMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEQYASSVVQAVRLTCDDHGVPHPVLCTESGRAMASHHSMIILEALSAIPEPQDEDTHDQLLGKIQDLSSKQPRSSATYNGGGAIAVDAMHSHATDLKKHGIELYKLAKKLSKRVTGDASTIYNYHMNLSVFSLVPDMWGIGQLFPMMPVSRLNERPALHGTLVDITCDSDGKIDRFIGDAVTLPLHRLDPAKGGYYVAALLTGAYQEALACKHNLFSGPTLVRVESAGGGGGAFKIASVELGPTTEEVIGTMRYDVKNDISDVIEKAAANNGAWPEMGPLIEQVLSTMPYLRDYKTPKTTL >OB0066G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:35462:37771:1 gene:OB0066G10050 transcript:OB0066G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G12550) TAIR;Acc:AT1G12550] MAPPPNAATGKPLVLLARPPFPELAATLDGRFTFASAAADEVSAAEARVMLVPGIPPVQADLLDRLPKLELVVATSAGVDHIDLDACRRRGIAVTNAGAAFAADSADYAVGLVVAVMRRMAAAEAYLRRGRWAADGDYPLATKMSGKRVGIVGLGRIGSPVAGRLAAFGCIVAYNSRSAKASAPYKFYPGVRELAGDSDVLVLCCALTEETRHVVDREVMEALGKDGVLVNVGRGGLVDEPEMVRCLQEGVLGGAALDVYENEPAVPTELFGMENVVLSDHRAVLTPESVREVIDVVAGNLDAFFSGRPLLSPVDL >OB0066G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:31311:32282:1 gene:OB0066G10040 transcript:OB0066G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVLLLCRLAPETFAEFAGRFRLLDFYASELPIHAFLAAVAADSDPPRVALVFGRGPVGVGAELLDAVPSLRCIITVSAGVNHVDLPECARRGVQVANAAGVYSADVADQAVGLLVDVLRHVSAGDRHVRRGLWPEHGYFIPLGSKIGGKRVGIIGLGSIGSAIARRLVAFGCVVSYHSRRRKEDVPYAYFPSARDLAASSDVLVVACALTAETRHIVDRGVLDALGERGVVVNVARGANVDEAELVRALVEGRVAGAGLDVFEDEPNVPPELMAMDNVVLTAHQAVFTPESMADMGRLVVTNLEAFFAGEPLVTRVSAVE >OB0066G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:27880:31131:-1 gene:OB0066G10030 transcript:OB0066G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGKRPVLLLRRTNDLVAAELRARFRVLNFYESGAPLLSFLAAAAADPDPPRAALVVAGGAIRVDSAFLDAVPSLGCVVTTGAGVDHIDLAECARRGVVVANSGKVYSSDVADHAVGMLIDVMRRVSAAERYVRRGLWPLQGDYPLGSKLSGKRIGIIGLGNIGSLIAKRLEAFGCVISYTSRSPKNSVPFRYFADAAALAADSDVLVVACALSRDTRHIVDGDVLDALGRGGVLINIGRGANVDEAELVKALREGRIAGAGLDVFEGEPKVPAELLAMDNVVVTPHVAVWTAESRRDLLEHTVANLEAFFSGKPLLAPVMLP >OB0066G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:22189:24310:1 gene:OB0066G10020 transcript:OB0066G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSKHHWFSRSRSRSSSPAPPPPQPPFRHGRPSPEAHPGCMAMMHYLIFAPGAGCVGRPPSSSHVVHSNDAVSPPAGAGAGLQAPRNSLDLDADHLRRDIQIGVQIDPAFDALARPRPSAPPSEAETPRTPSLVARLMGIDGLPDSPASTDTKPREKKKRVIPESISLRQPLRDLSRSLPDTPRASTSSVRAPPPTWDVVDHPRLSLQVLKENVLDRATQYMSMPTSPTSLSGGAKKKKTRSRRDAAESRSTKEHAVREIVRQARETVTNRKSKKNAAANGKENESPVHHQSGGKENAPPPTGKPAAGPTTRAPLADQQPHAPRLPLQPRPPPPPAPPQQQRAKPSRPPPPPPPLDPPPRTSAPTAAVKCKRPDGCERFATRIKKPAPPVPAQPAAASSSARDIAVSGSGERKILTSSSVAAAGVPVEEDPEYVYLRTVLERGVFMRDRGAAGGRAMKGHSVETPVDPLVFHLLELELPVDEARLGPLRHRWNRKLLFHLTQEMLAELLLGVDPTSPSQQQQLLSGPAVVSRLWRRARSFPAADCRVVEDILALVARDVEEAGRARRVVERRLVAEEGEEVAEEVAERVLDSLLDEVAAVAGGDARVTSPPTGSQRLQGSAVTNHRQITVQRSGSRQVGRLASKLARSDPSSPVLVLLYSAVFELGVDRHASCHLLILFFYYLYIKRSPFFVCLFCCYYFSNF >OB0066G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369972.2:3:1356:1 gene:OB0066G10010 transcript:OB0066G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGNTFSPDVADHAIGLLIAVLRRISAADRYVRRGLWASRGEYQLGSKLSGKRVGIIGLGSIGSLIAKRLQAFGCTIFYHSRRPKDGASYRFFPDVGGLAAASDVLVVSCALNDETRHIVDNGVLEALGKDGVVVNIARGGNVDEAALIRALEEGRIAGAGLDVFEKEPDVPAELLSMDNVVLTAHEAVFTTESTCGLADLMIANLEAFFSGEPLLTPALSQVKHGYYKRDP >OB0065G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369928.2:57100:57591:1 gene:OB0065G10050 transcript:OB0065G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGNILGAMWKVVSAIVKAPRTARRNKARRRELALRAKGVNDVLRRYRKAARGDAASATTRRILGRLKDAVDDAFKLAECCGARRSSDGLLSRLQLHRLVAGDGLDAKLSDVNSRITDCLVDLQAASAVRMMDLHAANSAGMEKKMDELAAGARDPRRTNNA >OB0065G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369928.2:36772:51367:-1 gene:OB0065G10040 transcript:OB0065G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRHQSWEENDDGVDSLRSPPAKRPRCSCRFDDRQMDLLEEMRRMLQGQNEKIESMYRENQELREKVSFLMADISRLGGYLQQSPAPRMLSNENSSVPLRLQFLNSCSNDKYSTRKIEADDETPLKVAIYNHKNEVMTCEPFSSMRVQIVAIHGDFDNDHKGQWTEEYFRSKIVTGRPGKEHLLSRNMYFRLQGGVGYLNSAKFQDNSSFVPSKRFKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNPNPSPCDAVYKLSKIAMNGDRHKLLEKSGIKTVEDFLCLYDRSPEDLRKIFGKISDQDWETIINHAHRCTPRPRIFSGYIQERNVSHEHQTFSRSNGSCYLKGSCSEQPSPMLKNQLDVQVVRQQTSSMCNGHQSGASPRNLPSKLQQSTSNQNVTPHEHESIQVANEQVSSIRNVILSVLSMDNNTLGGSSTQQQCSLERSTAPDGNGIIPCNPSDDETIEERLAELEKALLEDKSWGEFDFSETCWVGSCNAVENSMGPSSVNEAHNVYHGGFSAASEAGSVSYGGLSPANEVGSRGGGSDKQTDVIQEMLRMIQQQNEKIETIYRKNQELQEKVSSLTAEISGVGGYHQQFPASRMLSDQNCSVPPFRLQFMNSCSKDKYSTHTIEADDESSLQIAIHDHNNKIVTSGPFSSMRVQIVVIHGDFDHDNRGLWTKDDFDGKIVHGRPHKGNLLSGELKFRLQNGVGYLRSAKFQDNSSFVPSKKFKLGVKAADERISERIQEGITESFSVKDGRGYLAKKNPNPSPEDPVYTLSKIAKKGDRHKSLEQNGIKTVEVFLSSYMKSPDGLRKILGNVSDKDWDLMVSHAQKCNPRQGVYSICRQESNVSHEHEPLFRSNNGHYLQGSCSMQPSHTLQEQLEVQVGRHQEISSAYNNGLSSGGVPVNLPYSSNFHPDTSYQNLMHHGQLEGIQVVDPQASSIGNEAMADSSLDGHHVNPADWHADAHWDSTEDLINEFTAWREQFYPLTPGSGGSCSAVQQNWGCSPVSEAGHRGTEFPILIFIRSRERKVQATSATDRRRRELLVG >OB0065G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369928.2:33037:35364:1 gene:OB0065G10030 transcript:OB0065G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGGLGHAATVAQLVGVDVGGLITMIIQAAATARRNKMECELLARRVLMINDLLLHLQDPETMVKEPEVRQPLAGLDRTLRQAHGLVTHCQRRNAVYRFFMAGRLADRFRDVHSMIDSYLILFPFISHIDITRRLNQQMRDTVRVPSDHTTTAPSSPSPSANIARKVSYGVQEFTFQELVDATENFAVAREIGRGGFSRVCVGRLADGREVVVKRKSVVTKSEEEFMAEVTVLSQLRHKHIIRLLGWCVEDAEEDDDEEEQERLLVIEHVENGSLYDHLHGQLPPWSSSPVRESWKARIEILLGVSRAIDYLHNSTEPPVIHRDIKPSNILLDASWSPRLSDFGAAVSCWDDASIADLTPRGTPGYVDPQYSSTGVLKPTSDVYNFGVVMLEVLTGKKPIFSLEDVHGKGNGAMNPSSLTSFALPIIEAGKVSKLLDRRPALKPTLREIQAMEMVARTAARCVRLKGEDRPAMSDVVAKLQEALELVRTRNVGLIPLPEIESDAAPHQVPSPAGVDLGIGWRCAESS >OB0065G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369928.2:27322:28440:-1 gene:OB0065G10020 transcript:OB0065G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAATDNFATEGQIGRRSPVDKMHVGRLADGQEVAIKQIGGGNTCLPVAEEFRAEISILSIRHSHIVRLLGWCSAAEEDKHLLVYEYMRNGTLDDHLHGPPSSPSSSPVTTSWRTRFEVLLGVSRAVEFLQSYGEQPVIHRDIKPSNILLDDAWAPRLTDFGLSLTWDETSESMDLPVVGTYGYSAPEYVATGRITPASDVYSLGVVMLELLTGKRAMFEQGDERRRKKTTKKTKMLMSTHGIGGHGARESLVCFALPIIKAGKVRDLLDERPTPTRRQLEAAGMVARTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLRAATGRPFRRSSAISRRRSSSSVSTRSLSGMR >OB0065G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369928.2:11450:18673:1 gene:OB0065G10010 transcript:OB0065G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVNSGYLKFSFNLKSPSYTDCDIKKLEGKDLEVRMTNSDGNVITDGPRASAKVKLLALPGDFARDGNPGAEELDEKEVKTRDGQVSVLKGILVRRLVNGTCTFSNIQFREGTAGNRPRTAFILAARVVDRNEDIGGHRVQEAFMDPVDVQTYRSKFLRSLRSFTVFEFQKEKRSDGSRRKLVFIGLKFLGINQESESWKTMIEHAKECDLRDQHQLKAYKVVGHQIHAVIFFNCVHDIVGAKFNGNYIAKENFNRQQKAIVDGHKKEAYEQIEGIDFHYIMNENGIPSKIPAGNNTNVSGDMPLPTQDIVPPPNPYNHNAPYEAESFIRGLTHVARTPPLHESRNTASRILRGGRSTRQLL >OB0064G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369941.2:48143:57813:-1 gene:OB0064G10010 transcript:OB0064G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPDLKGLCICLVTSEEGRRRGAMSGILAWAVDVVGGAGAANDDEADDARAAASAAMTPEQRLRAAELDARAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQAVQREITLQEENAAYEKAISNCRQKIQEKQMEITLLQSNLKEMEISEHDLKAQLDNALNEQYASQNKASTAASENTGNALMEAESLINIKSKDLKEKNEELKLLERNLQALEMEWSLVERESFKNPTPAQREKVLEKQLHSLVEQLTTKQAQAESLITDVHAKEKELERLNNVHRNFQSSTNEVSVARNPFRAGLFSVHEDSDAKAVRRPYQSGLRTEGLKRLMIVRSAFVLYILVLHIVVFIKISVSKQ >OB0063G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0063:21323:23879:-1 gene:OB0063G10010 transcript:OB0063G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVCLAYFFPHQSKCQSLSQKVVPKVESYNQPDTLLRSSDIDPASPASSGPALRPDPAPTSVPMTSSPAGMALTSHGHAPDAAPTEAAVPESPTDTSVGGSPTGTFRATDPESAVAGSTMEAALPEEPGPVILTEHGQPGQYPFLTLPCPPQAPLQITWVTEGRTHGITLNPSDDAWDIAQIESYIEHSVKLMKAVKARSAAKSEALRSLGPDFQALALIRRDRDEILERAKVMEYQAATLGEQKKANTQLQAECSRLLAMKAELEAERSQLSATKVALESECTRLKKGKDAATSELVGPSSINVDNVINKLEEVPTAHGTELRETAKLASSHVLAIVKSLYPRVEVDAVCDGLTTDCDEETAMKLANEAQGAAESIADLMGL >OB0062G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0062:34503:40443:1 gene:OB0062G10010 transcript:OB0062G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSRLILDYEKVKISTKETKKEQQDAKDVDERPSWLPDGWIMEVNRGDDGTIYRYYTSPISGLTFMMKSEVLEYLFSRMDERFLESKNCATHNKLVMTHEWLPNDWMIEVRAGGENIDKMFKFYVYPPAGVRLFSKEDVLTYINKSKITPFDTNGQCDTSMKDNILANVEFNPHSLPKGWVKELVFRKTENGVRKDPYYTDPVSNYSFRTRRSAMLYVQTGKIPKRAFIQRTRVHDLYSFEKSADLHESLRKRLSFTTTTNQKPRRSLKLKNSSLTKEILSEEETSYSSEEDFCYDDSSDSTTKIRKNMAKIAKKNGKSRKMISLNTLKCSVGRPPKRSNEIRGMTCEVEIKQQSDSD >OB0061G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369888.2:1074:10022:-1 gene:OB0061G10010 transcript:OB0061G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3KUK5] MDSESIVNFFRGRSILITGSTGFLGKVLIEKILRVQPDVKRLYLVVRATDIGSATRRVENEVIGTDIFQILKEQHGSGFKSFVEEKVCPLAGDIIYENLGLDADKLTELSKEIDIVVNSAATTNFYERYDVAFDSNVMGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMNEALRNGPHLDITSELNLIKETKIDMRAKCCSMKTEKRTMKNLGLERARHFGWPNTYVFTKAMGEMLLGHLCGDLPVVIIRPSIITSILKEPLPGWTEGIRTIDSVIIGYAKQALPFFLVDLDLIMDVIPGDMVVNAMMVAMAVHSGQPAQTIYHVTSSLRNPAPYAVLSDTGHRYFLANPPCMGKNGEHARLRRMRFFSTVASFRAHMVINYRLPLEILRWVNIALCGMLSRRYEEMSRKYRFIMHLVELYAPYTLFKGCFDDINTQKLRVAMKKHEEKTDGGYYFDFDPKSIDWEEYFYKVHIPGVVKYLCD >OB0060G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0060:33496:35270:-1 gene:OB0060G10020 transcript:OB0060G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTAQVEVVLLQGLRVTYPHQVQLSIGLQGIRAADHSQIDSKEFELPITLVLEEIFADMCSWETFVTALTPCLLLVSFHMGFSSCPNCQPQWEPIEYSSLVIPTLSHGAPSPSDFNKNFSGLPSPPRRIKRKKKVTLAPKKRKAKTLPKALPIPASSADLDAAINAAAKEDFDNEVKQKRPTSPVGDTSSKPTQSTEPTPAVEILATLVNPTSGPGAKHKDLSNLILFDIGQYMEPIEADVSANSSLFDELKSQLSDILARLDFPIETLINNAGPLKY >OB0060G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0060:5000:5291:-1 gene:OB0060G10010 transcript:OB0060G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEQPNEGLDDNDLNRDRDNFSGAENFVSSPNTNTLSADEEPAFTVDICDLRNWDNLDNKIGIFSGKGAIREENLVFPVDKTSRHFSYACYSKRMSNG >OB0058G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0058:56077:59473:1 gene:OB0058G10030 transcript:OB0058G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYKYDQTRQEKSRTNTHDDVNSKVIGASISSTACASTPAVAAAISSDMVTKTTDVSVTISPVVHLSDYENSEGNSFNHNDEMSEPSCGEASADSSNDVTRRESTGKCKREKKMKASTAVAGLKGRKRSDCWNNFEEVKVPSSVKPGETEMKAKCKFCFSLYGYKPRGATSHLGKHMKKCTTYLNKLAKKRSQALLNYSADKGDSNLPLIVTPSEYNHDETHKIIAKIIIVHEYPFRMVEHTWFNIVLWYLNPAYQFIGRKTIRGDCLKVFHSEKESLIKSLRSVEMISLTCDLWTSNQNLCYMAVVTHYIDRNWSMQCRVLNFMELDPPHTGNVIGQDIFECLAEWKIEGKIITITLDNASNNDVALQNLKAKLSATRLSVFNAIYFHVCCCAHIINLIVNDGRQPLAPLTKNIRDTVKYIKESPSRMHKFVQICRSLSIEMGPELKLDVSTRWSSTYHMLRTCIAYRGALVSYADTDFNYAW >OB0058G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0058:44092:46566:1 gene:OB0058G10020 transcript:OB0058G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KUK1] MAPLYILLSLVFFSPHTPLCCATDDTLAVAQALSVGDKLVSRNGKFALGFFQFQPTTSKSSDNTTSPNWYLGIWFNKIPVLTTVWVANRENPITATDINQTKLEITADGNLAILNHENESTVWSTSIVNSRTEAASRNTSTTVLVILNDGNLVMMKNSSNVVLWQSFDYPVDVLLPGAKFGWNKVSGLNRLGISRKSRIDPGFGPYTIALDTKGTKGVVLGRLNPPVVYWSWSSDKSLMLIPILKSLLDMDPRTKGLIIPIYVNNETEEYYMYNSTDESSSSFVSLDISGQVKINVWSQANQSWQVIYSQPADSCTPYATCGPFTVCNGNSPQFCGCMESFSRKSPRDWDLDDRTGGCIRNSPLDCTGKGNTTSSTDMFHSISRVTLPYNPQSVDDATTQSKCQEACLSDCSCTAYAYENSRCSIWHGELLSVNENDGTDNTSEDVLYLRLAAKDLPRFMKNKAKPLVGVVTAVSIAGFGLLMIILLLIVWRKKIKCCSGPLPLPGSQGSSGLIAFRYADLGQATRKFSEKLGGGGFGSVFKGVLSDLTAIAVKRLDGARQGEKQFRAEVGSLGLIQHINLVKLIGFCCEGDNRLLVYEHMSNGSLDVHLFQSSANVLNWNTRYQIVVGVARGLSYLHQSCRECIIHCDIKPENILLDESFVPKIADFGLAAVVGRDFSRVLTTFRGTIGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGCRNSPNSEISNNSCVTYFPVQAISKLHEGDVQTLVDPRLNGDFNLEEVERVCRVACWCIQENDIDRPTMGEVVRVLDGVQELDLPPMPRLLAAMTQCSRVAST >OB0058G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0058:9209:27046:1 gene:OB0058G10010 transcript:OB0058G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLQIELDQHVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAAALKDFIKTGCSYAAIIVDINNQREDAFKPELYGDLITLERRITESSSSTVLKDQHGRKVAHRKDDLNEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLLTIRELLENADSIVQELEKSIRSAMRELDELQEKIKNMEHIEEIAHEIDNLKKKLAWSWVHDAGKQIEEQTVKLLKLKERIPACQERIDRNAAVIVELKKELIEKEETARSLVEKTREVTMMKEKLESDIAQAVTLKIEIEGEHARGINVLKNMNNRVKQLQTQIHDFQDQYVQHTQDESSKVENDMLEIQQAINDLHSNITRLKEEEKELSGRQLRVAKSIQNMKTEIDESRKKIDQLKFHINDLQQRQSNKASTFGGQRAIHLLESIDKHQRRFKIPPLGPIGVHVQLASESWSFAVECALGKLLDAFIVSCHADSVILRECAKQVNYRNLQIIIYDFSKPRLNIPDHLLPSTTHPTVLSVICSENPTVLNVLVDQGGAERTVLVRDYEVGKSVAFDHRIQNLKDVYTSDGYRMFSRGSVQTVLPPYRRGNAGRLCSSLGEKIAEMESEIADIKRTIPGRNQDLEKANDKREVIELEIKRSKRKRVEKERLLESKKLQLDDIRKTSANINHGHGTSVDTSELEAEMMQVQVDIEQKELLLKKTNLRLAEALKDENNRRACYKEFIESVYNEVGPTNGLEHDIELAKEKIQAAEQDKAYYERIMETKVLHDIKMAEAEYEDLQNLRQENFRKASIICSESEVEALGGVVGSSPEQLSAKINKLKLRYHQESSRFTESIDDLRALHEKKKQKIERKQQLYAGFRDKLHSCQKALDMRWNKFQRNAVYLKRQLTWLFNEHLGKKGISGIINVDYTKRLLTVELTMPQDASRDSIRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFAVAQGSQWIFITPHDISMVQPGDRVKKQQMAAPRG >OB0057G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0057:42972:50340:-1 gene:OB0057G10040 transcript:OB0057G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIKDGRASALDHFHEEHSRRFTASLQDLSHVLSNLFPSKRRTSPVNFPRDQVDSKAENLVFDKRRKSIDNERNTLGSSSSKPRWDCTPDDNNLLSEPDAKLDVIGLIQKLRVKENHPNPIPDLHVSASSQTRRTRTPVYGKSPSPVGALSTPFCPQGQSPPNGKLQGTHKTNKSILVDKTMTPTIVSNKEVIDLVSPDASALSSESYDRKCIDVCIAVENLYNKNRCDGQNFNFVRNIPIAQLGPNDLTPNQPLQPLNLNEASNGQQIHHTSIYSGNRNFFVSRTEKSHYDAVIAMSKGQYSSLKAIRLNHEGFPYVTYSCLGYSFKAEGKVDNFVINCFCRLLFLDNHPSNSKKHYFFNTVGSHFMKSEKDFKSADVKYEFQQAHKVRSLQSCEMIHFPILCEDHWFLFTVDLKDRNFVFIDSLHTEQHSFHTAIKTKISKTQGVTYIKSNIALGIIGGIADREDAKTYMANIEEKFKSSSKAYAMAHAGWYPLVLALVIKSVKLKLVLIVGGSALNIVFVKTLDDMKIPRFELCPRKAPFHRVFPGLSATPLKQITLSVTFGTKDNYRTKTISFEVTDFETAYHAIISRPKLAKLKGIPNYTYLMVRMPEPH >OB0057G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0057:38002:40418:-1 gene:OB0057G10030 transcript:OB0057G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADRRWMYLQNWTCDEYLAGLRSFIVASEVDMSMQQKSAICCPCIDCENMRKFSSSVDVHAHLIIRGFMHDYKCWNKHGEEGFNDRDLAADHTGQGISSHQQSVGQDGEERFSGSQDGEALNDNQTTEHDVNEDDLDDIGDNYVHVANQLEEMVRDAMGYDGYTNTEFEKLKSVELLDSNEEMTGVTRKGGMEFLRRSHDDERNLRFTLSTDGMNPFGQMSSSHSVWPVLLSIYNLPPWLCNKRKYMMMSILISGPQQPGNDIDVYLRPLVDDLKTLWSPGVEVYDGNRKAPFTLYGMLLCTITDLPGGCSVSGKGEKDCPHYLDDTETIWLNNSKKRVYLRNRRFLHQSHPYRGMNHQFDGTREKASAPRHFSGEVYNQVKDLPAAHGKESTILGKRKRDTNDLEIRWKKKSILWELPYWKVLAIRHSIDAMHVKKNVCGSLLATLMNVKNKTKDHEKGRADLEDMGIRFELHRNGTGNNLPASAINLTKEEKQELCDFFRTVNVPFGYSSNIRKLVHPKENKFIPMMAHDRDVMLTTMLAVGIRNILSEKVRMAIMSLCFFFNAISKKVIDEKSLDDMEKNLFETMCLLEVYFPPSFFDVSEHLILHLVEEIRYRVRPPLDPSSAVNNRRIPPPSSSSPPGIASTGS >OB0057G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0057:32996:36922:-1 gene:OB0057G10020 transcript:OB0057G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSEESIEDSERYKRLREKNVHDHNMGPAGYDGKIAQWEAEDSLLTSEGIENPWQQYPDDRCRNFLRGRSKLEVVDGVAQIRWSKRATKKVSEDIKEKYASAKSSGVSWDFKVMVNPLGEDALDNIREKLSSFLLAQVIDKKGEFH >OB0057G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0057:15365:17031:-1 gene:OB0057G10010 transcript:OB0057G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGTPFLGCVLPPSRVTSRVQTGLPEKFMLAVPRLLVVQPGEDRPTPSFLDKTIFMVPFALAGLVPPFSSFFYNVLEFYQIQILHLGPNSILFLSIFAYLCEMFVEVLAWAKEKRPSTRNAWMIEEVDWGPDQDLLQGLAFSARPSVLRHGGNQRRCGAAIVGLKKPNRRVSRLRPNRLFIRTFRKIGNPSPHHWFYTRLPNHPRLQLPIGPPVPNDNWLSSPTLGPEFDATLGRIAGLQAQGLNSHMVFGDFMRRRIAPLQARPRPAYLYTGVDDDMRTHVGTTGDWDPESCAMVIF >OB0056G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0056:58180:63165:-1 gene:OB0056G10040 transcript:OB0056G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREGEEETEVGWYGHSPAVAKCWRVGEGEAPVVTRLRGIEQRLATGSDTARAQWREEAAARGGFERGKVAVTAGYKWVDQLARVGSVAHPERYTLVLAPVIKRVKLKLVLIDGESALNILFAKMLDDMKIPRSKLCPSNGPFCRVIPGLSVTFSRKDNYRTENISFEVTDFETTYHAIFGFGRPALEKFIGILNYTYHIVKMSGPHRVITLCSDIKQAFSCEIAEHAEEQAG >OB0056G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0056:51601:54335:1 gene:OB0056G10030 transcript:OB0056G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVDLGKALPLDAWQTSVIYDYNLLVLCGAGLIQSKQLVGYRNAQGWHAAWFHCSNLAKSLPPFFGHATVARGSWSSLSTVEEMLQLNSLLNLIENLKTTGLTGVWATRHFIWHRIQPLKNQVRLTFDYTRSEDPTWEMVEVLQAEAIRARVGRLFMLGTEILVDASGLQSSFHARNAAPVDQHQFLSYHPSQASPVLKRALEEFTDEPADKSVAFDPDAELAAVPEDVDAPSPTRSLSPPPLKLLRKPVFRKGPRKSSDVDPTAPAGSGDTQPPAPATAIVLAASGPTFTPATNPVLDPVAKVIGTAIVAEPSVNPSSVSVPSRVPGHDKRSGVVVVRPSLAMAIEGVNTEAPARPTVAATPVVARPEARGRRADKVKADVLDDTHDIAEIKGRIEQSVRLLKEHVKALESGLQGEIADLQVEDVSHRPRIKDQAAALDESKKVNVTLQADCVSLLAAQACTKAECSKLSASKAVLEAECERLKNAGAAQVVLGTAQGLSTGPNAVDDKDVNRRMWELLARHTEEMREAAKMAASQVLAILKSLYPRIDLVVLPDGFAADCEPENALRLMKEA >OB0056G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0056:40983:42556:1 gene:OB0056G10020 transcript:OB0056G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTSYNTIPFGSASQFRYGVCTLQEFDDWWVKIRQHLFTVPVGFYCNRINSNCTSSDSKNFTRPPSPPRKLKRKKLTSKPAAKKAKVAEAIPMESTLADLDAAIDAASGEQSDEETTRQPNVQPRPVDVATDSPPKTGSKFMVITHRPHLRIPKPSVPSASADRPPPPIDLAPEATIPTDDNIMPTTTPIQPVDATPMVQVVPYLLAQFQDLDDFLPFDIGQFVDPSETIADALVPPSTDVRERLANILSRLDYPIDTLINDAGPIRSRIEEIQDRLPDDLIDAIAPAGYIESRRICILRARQQIIDHTSQIPAQAKIQVDGERAITEKAKLDELQSAAPGISAIMDELLANKADLEAQLAKVTESLSIEERRLADLPSAVVAQAQVLKSAVQTSIHSHRSLKAIPGTDAEDWATSSDSSFMKL >OB0056G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0056:32470:33445:1 gene:OB0056G10010 transcript:OB0056G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLPISLSSLPSFFPFPPWAEPGYGTTRVLMVRLTSPLSFGHRQFHDLKTNKNNYLSKCSGKRKQTKPSNLQLQLAKTAPHHRHSRRRTEPYFNLRNNLLLTQALALALVGEKLSKAEYKPPYSTSNTQERENNECNRVSRIG >OB0055G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369902.2:62393:66349:1 gene:OB0055G10060 transcript:OB0055G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGGGWVFRLAAVLVVVGFVLGSVDASLGDVDPQYRTCVEECHTTGIIGENIVTHCQSPGNDGTSVGSYWYAQEPLYMEWKQLNCRTDCRYYCMVQREGERQSRGLSPVKYHGKWPFIRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGVTRHPSRFKLWVVVFGGALAMLLELYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >OB0055G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369902.2:50654:51294:1 gene:OB0055G10050 transcript:OB0055G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTHAAAAPSLRLLLQLAVASALAAGALADGPPGFTVTGRVYCDNCRAGFETNVSHSIKGATVQMECRHFETQEVKHRAEAAANEWGWYKMEVGGEHEAEICEVALVRSPEAECAEIERFRDRSRVPLTRNNGIKQDGFRYANPIAFFRKDPPPACGDIAPTTSTTTTPRTEINSF >OB0055G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369902.2:39930:41153:-1 gene:OB0055G10040 transcript:OB0055G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAVHHGEEEEEEIGVFTAERYFSGGDVDALWCGRSSSSLSSAFKNGGQQEYWSAAPTTLTAATSSSEASWNSRSVLLRDRDPAVSASVGSGAPSGAGGDGGTLGAKPSSASASASPSHNLLLRWLLGMAACACAGGGVEEAVSADECRSDEARAAGVALGGGKRSAGEADAALPGRKSTQAAVDVIAATRMRPGPCDGHGSDAFDAGAAMPPPLVQLAEPRRSLRAADPGELSLSARLLNPGAASSVADEPRRRSADMFPLARHQNSAFTIVAGSTAARDGAGTGTAGGGDDAAWDGEMECVHPQSEASVVWSVVTADGAASAGNFSSAASGYYHHCYYYHNGGGGGRHTDAGKSNRRSRTGGLLTMGCISDRALDAGSPARAGHRRPPPTAEGAATWHAAGRQ >OB0055G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369902.2:34422:37947:1 gene:OB0055G10030 transcript:OB0055G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhomboid-like protein 11 [Source:Projected from Arabidopsis thaliana (AT5G25752) TAIR;Acc:AT5G25752] MARLAQKYIIVPEASLWKWAPPFLINPGLSLPLAATSKQTKEARERRKAMTAQQLLLLPAPSRTFSKPLPSPPSSLPGFRLSSAYAATAAAGRRDLLRSLRCGMRRSGSDLVPDLELAKDKQWQGRRPNGIFWILLLNVGVYVADHLFQIQDIKAMYLYHAFPTWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMAYILTGAGSNLISWLFLPTTSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATAVTGHSMQVNNIAHLSGALIGAALVFLINRIPFPSDGDSPKSSKDRKEKRS >OB0055G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369902.2:26252:31586:1 gene:OB0055G10020 transcript:OB0055G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGNKANNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRTIKVEKRINEIVNRLNKTKVERKPDLKDISFLNFIVFEEARKYQGPICKKTVENNRVADLLPPPLETFKLKSFATAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKSLEELEDDFM >OB0055G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369902.2:8180:15942:1 gene:OB0055G10010 transcript:OB0055G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGSGKSTLLDALSGRLARNVLLTGKVLLNGKKRRLDYGVVAYVTQENVLLGTLTVRETVTYSALLRLPSSMSKAEVRRVVDDTLDEMGLRECADRSIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSAAAFSVIETLRQLAVDGGRTVISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRRLSIALEILTRPRLLFLDEPTSGLDSAAAFSVIETLRQLAVDGGRTVISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTSEIRERLVEKYRISEYAMTVRNTIHEISKIEGVIEEAVKGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGPIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMRMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASSSITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLAMIFVLLFAYRLIFFLVLKAKEAASPYIRVAYARFTIRRLERRASFRKTLAMSTSMSKRHNPPHPMAAQEGLNSPLPY >OB0054G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:70197:70778:-1 gene:OB0054G10090 transcript:OB0054G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGVVESMVLVPEVVRSVTSAARELLDMGATRVVIPGNFPLGCVPSYLEAVNEEDAAAYDGNGCLVGLNLFAQMHNVLLQQGICELRRSYPEATIAYADYFNAYVRMLEGARDMGFDGAAVTKASCGAGDGGKYNFDMERMCGAPGTSVCAKPEERLSWDGIHLTQHAYSVMAELLYRKGFASPAPVNFPRQ >OB0054G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:67099:69648:1 gene:OB0054G10080 transcript:OB0054G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSIRVLPVVVGSWELGIDRSIARRGGEGGAVGSRRRRRMTRGKQKIDAQRRNAEKNQKAKGSQLEARAVGLKVVCPICKVQLANEKQLIDHYGSKHPKEKPPSPSE >OB0054G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:62471:66849:1 gene:OB0054G10070 transcript:OB0054G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKLNVEPYQRPEVMVLVDIFRAKVVDISENTLTVEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGNFDQPSNEGGDVYPVEPYESSSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKAGLSRITTVIPGTDESIEKLVQQLYKLVDVHEVQDITHWPFAERELMLIKVSVNTAARRDILDIAEIFRAKPVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSLPL >OB0054G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:49320:55857:-1 gene:OB0054G10060 transcript:OB0054G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKLKRTISRQLSSGAARGDVAGVEELLRDGVDVDSIDLDGRTALHIAACEGQGEVVRMLLDWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKQKKTPMAVSNPKEVPEYELNPLELEFRRGEEVTKGHYVAKWYGSKVFVKILDKDSFSDANSINEFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLEIKGRLQPYKATRFALDIARGLNYLHECKPEPIIHGNLSPKSIVRDDEGKLKVAGFGSLSLIKVSEDNTQMDQTTSKFNSVYIAPEIYRNGTLDRSVDAFAFGLILYEMIEGTPAFHPKPPEEAAKIICLEGMRPPFKNKPKYYPDDLRELIQECWDPTPSVRPTFEEIIVRLNKISGNCTKQTRWRDTFKLPWKQASER >OB0054G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:37938:45159:-1 gene:OB0054G10050 transcript:OB0054G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYLWDHINKPTPFSTFQYGRSGSEDEPRIAQRYPEQSSGDFKWEKGQYGMNLIKRDLLGLGNGILRQPLSGYEIRKKEIGFPAGARYLLQSVRTASTATAGQPKLDIEDEQSEEQKQSKRKKEASPEECDQAVEGLSSAKAKAKAKQVQESLKAGQSIVQKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEIKQTAEDLDEFLNKVRRGENVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKQEKQETGKLKEPKAAEEDLALKEMIDPTAREEEELRKANQHDKEQLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYMAAREESDKADEVAEEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQILDRDHDGKVTPEEVAAAAAYLKDTIGKEGAQELISNLSKDKEGKILVEDIVKLASQTDENNEDEEEARQ >OB0054G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:36269:37353:-1 gene:OB0054G10040 transcript:OB0054G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGWSAGGGNRRGRGRLGRGTARSLGLDPVTIASVFSAAVHVGEKQVEGEDCFALQLDVGPSILSTWSDDAVEVIRHGMTGYFSQRSDLLARLEDSQLTHSQALEAPAIYWKTTIASSVANYRTVDGDAVRTEIHRMH >OB0054G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:32142:35835:1 gene:OB0054G10030 transcript:OB0054G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAEEAFPSQRNPRRARRRDLNALDPSIEESDGEDIGVPEVGMVFNNHMEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELAILDHNHPVSPAMARFLNSYKQLSGPAKRRLRMGGPGAMPVEEPSKMPVDKLGALEELLFGESKHHSFVERGRLKFQPGDSEALRLFFTRMQAKNANFFNVIDLDDEGGVRNVFWADARSRSMYEFYNDVVTLDTSYVVGKYDMPLATFIGVNHHGQSVLLGCGLLSDETAETYSWLFKAWIACMSGSLPKAIITGHCRGIQSAIAEVIPGGHHRICLFHIMRKATERLGSLSEYTAISKAFQKAVYDSLTVDEFEGNWNALITYNALQGNDWLRSLYECRYSWVPVFLKDTFWAGMSATQRNENIIPFFDGYVDLKTTLKHFLGKYEMALQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNIFKKFQDEIEAIMYCHVSLVNVDGLISTFNVKEWIFLEDGKRTMSKIFTVTYNTDKNDFTCICGGFQFNGILCRHSLSVLKFQQVREIPPQYVLDRWKKDFRQLHVMGRPSSDVVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREMEKFMLNSNTHDDTQPRIRSRIPKANKPNTMAGQNLVNVGSYNGNDGPKATIEASLTQGLDVQKGGAEKGIVPAGYIGLPANVQQFVGCQTAIRPSIVYMVPSGVDPQAFGNGVLMPVMYQQMFQVPQQPNGTVQDTSANGKRKRPRAQKLTETSEQSNGTPAPGPASG >OB0054G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:22327:25476:1 gene:OB0054G10020 transcript:OB0054G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLPAELPIVDVAARLGGKSSELPENGASLKNAEGSQGMGGDCTAELPLHEGKEVILVDDNDSEQEDDGSGKVDENVPRVGLRFKTYDDALKYYKQYAADSGFSAIILKSSYLKSGVCRRLVLGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLDHNHPPNQSSVSPMNCYKKLTDAKNEETASRSKGRRNVPIGDKEQGSFTEIGRLKFGEGDDEYIYKFFGSMQNKNPDFFYLVDLDKQGRLRNLFWSDAKSQVADDYFGRDVVYFDTSYLTEKYDLPLVFFTGVNNHGQTVLFGTGLLSDLGVDSYVWLFRAFFACMKGCYPAAIITEHYNAILDAVRDVLPQVKHRLCLYRIMKDVTENLKAHAEFKTIKKALKKVTYGSLKAPEFEADWKKIIEEHGLGENECLSSLYEHRHLWAPAYLKDQFWAGISVSQRGESIVSYYDGFVYPKTSLKQFFSKYEIILENKYKKELQADEESSHRTPLTVTKFYMEEQLAKEYTISMFKKFQDELKATMYCDGMPTKVDGQFVTFEVKECSYMEDGKETESRNYEVYFCKQQTVVECECGFFQFTGILCRHVLSVLKLQEMFEIPSRFVLDRWKRDYKNLHASARYRNDEMIPAVLPDGIIERHDNLFAQSRQVLKLGFISENRYLVALKLLRQAEKTLLDDGLSRDRQPGLLSFEAEAPENDQGLFSPEFSEGVKNSQSTNAKRRGRPTKKLIESNSDTVLRPNKEQDFLRSSFVTDESNMIQGAPSASHLESPHMGVQGSIDLMEGISPNLSFNPPFGMDVNHQQQVPTQPRMLPNNFLQAQADSQGYGNQWAYPTLQDNSILRTAARRGV >OB0054G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369881.2:5197:9086:-1 gene:OB0054G10010 transcript:OB0054G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSSKETTTTTPPPEAWWTGETVAVVTGANRGIGHALVTRLAEQALSVVNNAAVSFNEIDTNSVENAETVLRTNFYGAKMLIEALLPLFRRSAASSRILNISSQLGLLNVSDDQVNSWFMAIFK >OB0053G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:63955:70140:1 gene:OB0053G10090 transcript:OB0053G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATRNAHGDPANTKTSRQPRRANQVAASEKKVNDLITSSAKKKKSVGVPSKKNRASKGGKKLISACDAADAENEVTQVVSGISSDQKRSDDNVDGRPCNSIFSPAYHHQKECGVSNFAKGLEHKGDTFGSAGSVEERTRHAQGRKEATTIRSESNQNNESTSHAAQTCVGSDHHTIDAQSTCCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSISTEGYVDPDDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVASLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVATASSLPMDLQM >OB0053G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:55952:57593:-1 gene:OB0053G10080 transcript:OB0053G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNVLLLSSAYVVMSFFLCSVHAKVNLNASSLTSGDDNLRGRSVKSNQLVFDVRKHGAYGDGLHDDSKALAKAWSAACSSSQPSIVLVPKGMKYLTKHITLSGPCKSSITLMIEGTLVAPPRKSDWSKKTIQHWIMFNGVRGLTVAGHGAINGNGKIWWQNSCKTNSKLTCTKAPTALTFSSCSNLKVENLKLVNSQQIHMSVEDCTDVRILGLTITAPGTSPNTDGIHITRSKKVHVTGCTIKTGDDCMSIEDGTENLHVKKIVCGPGHGISIGSLGDHNSKARVNNVTVDNVRLYGTTNGARIKTWQGGRGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKKQVEISTSAVQVSNVSFKNIRGTSASKEAIKLDCSRNVPCQDINLKDVKLTVKGDGGDAKSTCRNAKWKKSGTVIPQPCASSN >OB0053G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:39328:41316:1 gene:OB0053G10070 transcript:OB0053G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETMFIRTPFQEYTDFLAKPTKGLLIEAPAEKLEA >OB0053G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:38870:39847:-1 gene:OB0053G10060 transcript:OB0053G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGAARRGEDILDAGELEHLLGDARRHDAGTTGRRHHAHGDGAALAGDLARHGVGLADLVPPVPPPDRHDGELGEDDGAADGGGHLLGALDAEPDVAVPVPDDHERLEPRALPGAGLLLHRRDLHHLVLEPRHELLHDLVLLDGERVQVDLLDLLDLFLLHEPPQLGHGHPLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAGVGGVAAARGVVERRGGGGRRRPYIGVAVETTGLGFLLGLVMWAKFVGLIGLPVCGPVGRCSRPKVNGLWASGHLLLGKSWAGHSDQSVSSIKHVKTISPLYITGLY >OB0053G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:30039:33092:-1 gene:OB0053G10050 transcript:OB0053G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G41820) TAIR;Acc:AT2G41820] MNKLSGPVPASLAGAVGLRFVNLSNNALSGAIPDELRALRDIEELQISGNNLTGGIPRWLAGLPALRVLSAYENALSGPIPPGLGLSSKLQVLNLHSNALEGSIPSSLFDIGNLQVLILTVNRLNGTIPDSIGRCAGLSNVRIGNNRLAGAIPASIGDATSLTYFEADSNELTGGIPPQFARCANLTLLNLAYNRLAGEVPDVLGELRNLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPESICNGSRMQFLLLDHNEFSGGIPVGIGGCGRLLELQLGNNNLTGEIPPEIGRVKSLQIALNLSFNHLVGPLPHELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLTGAIPVFAPFQKSAASSFSGNNKLCGDPLVVDCGPIYGSNYGMDHRKISYRVALAVVGSCVLIFSIVSLVVALFMWRERQEKEAEAKMAEGGEVVVEAPQVMTSNMFVESLQQAIDFQSCVKATFKDANIVSNGTFSTTYKAIMPSGMVVCVKKLKSVDRAVIHYQTKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLELLHNVDNPESDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDISSGNVFLDSHYNALLGEVEISKLLDPLKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGVDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRRQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >OB0053G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:22553:23182:1 gene:OB0053G10040 transcript:OB0053G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTLTAPTTSVTISSGREPAARSPIRSITSSITLPASTHTSASAYVVPLASRSPDPGRAAAEPRRWPRYETAADTARYRSGRTRPGLRTLRRSARSRSTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRGEGVVGGLRGRREEGLAEVGRGLLAVADAVRR >OB0053G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:17856:22860:-1 gene:OB0053G10030 transcript:OB0053G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDLDLALRLSVRNPGLVLPLRYRAVSAAVSYRGHLLGSAAARPGSGDLDARGTTYADAEVWVDAGRVIDDVIDLIGDLAAGSLPLEIVTEVVGAVRVFRFDIPVKGLISCSVNVSPDTQRIISQDCY >OB0053G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:1754:7375:-1 gene:OB0053G10020 transcript:OB0053G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sterol methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13710) TAIR;Acc:AT5G13710] MSRTGALDLASGVGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIAKFSLTSVTGLNNNEYQITRGKELNRIAGVSETCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTQQCLQAAKDAGFEVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRTITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLVRKPISE >OB0053G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0053:113:283:-1 gene:OB0053G10010 transcript:OB0053G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAIDGKVGGGRREFKGKITWYVWMCGIVAATSGLMFGYDVGISGGVTAMDDFL >OB0052G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369879.2:73409:77089:-1 gene:OB0052G10030 transcript:OB0052G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRHNTRIPTRFEEEEESSFPHNDTREEPELVQDPESEGMHTSSHEPRATTSRTPPPPPPPPLNHQDLLALQTQILQSIAQNLAALNQPRHAPDGCSKLNEFLRTRPPELSHTSEPVEADDWLKDVARKLELVQCTLREKALYTAYQLEGPTTDWWNNYRIAHPDPTAIDWTEFTKAFWAAYVPKSTIDIKTEEFNNLKQDNSTLNEYLSKFNHFARYAPNEVDTRKKKICKFLKGMNVGIKIQLVAHDFPTFQQMVNRGLIVEETQREEDALWKRKAAQLRLLHHGAPRPKINHLAQYNRLMPPPSHGFQARPMLPRPLAPVQSRVYSGNTGSNITASHAPLRNPLGATRASHSFISRSFVEKNGLRTKSLEVPMLIQSPGKEVRAYRACPKINLVIEGVSFIASPILLESQGLDLILAMDWLSRYKEVKKQIEELEEKRFIRPSTSPWGAPVLLVEKKDKTKRMCVDYRALTEVTIKNKYPLPRINDLFDQLQGPKVFSKIDLRSRYHQLKIRPEDIPKTAFSTRYGLYEYTVMSFGLTNALAYFMNLMNKVFMDYLDKFVVVFIDDILIYSKSQQDHAGHLRLVLGRLREHQLYAKFSKCEFWLDRVGFLGHVISANGVEVDSSKVRDVLSWETPTTPTEIRSFLGLAGYYRRFIEGFSQIAKPMIELLKKEPKFEWTSSCERSSQELKKRLTTSPVLTLPDIQQDFGIYCAASRQGLGRVLMPNGKVVAYTTRKLKPHELNYATHDLELAAIEKQMWSLMLWSRKVHGNALTLLPYQPKLCEILERFRLNIIKPGTLATLVVQPTLEKQISEAQSDDQEIHMIKEFIKQEKALGYLEDPKGVVWLGRRICVPNKNEISDLILQEAHESAYSIHPGSTKMYEDIKTHYWWPSMKRDVAEYVAMCDIYEHVKAKHQKPAGLLQPLKVPEWKWEEIGMDLITGFPKTASGFDSVWVIVARLTKVVHFISVKTTYVG >OB0052G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369879.2:71257:72205:1 gene:OB0052G10020 transcript:OB0052G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHGREGQCERRPAKEGGKREVGWEVARRGAAQAGGGWRHAAACMRSRRRHFGQEERRQESGGGLPFATSSARRRWNHAARRSGDDNDKVMPQAANALSVHRRETQDTARAVTLHSRQARVAAMQSKVVELAAATDGILVHTGEIGYWRQESVG >OB0052G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369879.2:25916:27046:1 gene:OB0052G10010 transcript:OB0052G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELPPPADDSELPLADFPAVLVREIVAGIRCNLDRERAEKFGDTWREEIQLLGPLPPPLPLLLVPRSNGAPVFHCALSNWRTHPYYLQASTHAARFFGSYDGGWYFVSLGQTEDHFLLNLEDPDLFFRLPDRRSRGLIVLHPFPLEPTLWEDKIVIVAATLSRQPTERGCVAAGIIGYLPICQDYDIREIAFWGMGDGEREISYTFREKDPDLKVEDLLYSHVDEAFLFLTRGEHIHEFHQPIFPLEHTNQEVVRFQQRRGDGDGPAEVRNATNAKWEFEAEHHWAELPALEGRILFVGKGCSRSYEVAHGYPGMEGIYFLDDLCFYDPMIVFRARAQRR >OB0051G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:77918:78961:1 gene:OB0051G10090 transcript:OB0051G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVSLIRIEKFFGYVGVIGGFFIVALVVFITLLRREKRKTKEFFEKNGGPILEKVNNIKLFKKDDLRPILKSGNIIGKGGFGEVYKGHIGETNQPVAVKKPINVNLAKKNQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSRGSLEDVLHGSNRLPLNLDQRLHIAAQSAEALAYMHSKTSTTILHGDVKPANILLSDDLLPKISDFGISRLLAIDNDHTMSVIGDMTYMDPVYFQTGLLTDKSDVYSFGVVLLELITRKKTSHSDKNNLLKNFLDAYTNGSTVRDFIDEEIAATNHLELLVGIAGLVVQCLSLDVNQRPKMTDIAERLHYMAKKTRGI >OB0051G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:57984:60785:1 gene:OB0051G10080 transcript:OB0051G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIFLPLFSGLLGFFLLLALFLSLVRCCLSDGEDLSRRNEASRAAVGFIGGLLIMIVLVFITLFRREKRKMKEFFEKNGGPILEKVNNIKLFKKDDLKFILKSGNIIGKGSFGEVYKGHIRETNQLVAVKKPININLSKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLENILHGNKRVPLNLDQRLHIAAQSAEALAYMHSKTSTTILHGDVKPANILLTDDLLPKISDFGISRLLAMDNDHTMSVIGDMTYMDPVYFQTGLLTDKSDVYSFGVVLLELITRKKASHSDKNNLLRNFLDAYTNETTIKDFIDEEIAATEHLEILVGIAGMVVQCLSLDVNQRPEMTDIAERLHYMAKKARGI >OB0051G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:50663:53949:1 gene:OB0051G10070 transcript:OB0051G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNETCLRRCGDIEIPFPFGVGSGCYLETGDITFAMTCNRTDDGQLRVYNYQIGVVDFSLLTGQLRIYSAINEWCYNRTAAAMDKQNNWWYDMSITNYRINDALNRFTVVGCNSLAYIYSPDDSGQQYMTGCMATCPGVGGLENGSCAGVGCCQTAIPRRVNAYTVSFEEKFNTSGADADGFSPCSYAVLAETSEFSFRTAYVATAGEFVRDNKYGSLPLVLDWAIGNKTCEEAKRNASAYACVSANSDCVDSKYGRGSGYLCNCSAGYEGNPYLIDGCQDINECEHPDKYSCHGHCRNKPGSYDCKCSKGSYSADPFKDPCSPRFPLQAQIAIDQLENSRSEYVVPHEGCPCPLIVGDRAYRYEAIDGSEWKKGIGASKMHLLGVAPDSMLICITIKHRLFL >OB0051G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:47863:48727:-1 gene:OB0051G10060 transcript:OB0051G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHCEGDKSRTESSLCRKATSTVQDFGNLFSFDIGQYLDPIEADISTILPLPDELTSQLSDILA >OB0051G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:41165:41723:-1 gene:OB0051G10050 transcript:OB0051G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRSPRRSRRELACCRPPSSPSDGRTDPACGPQISQATARAVLPRSAPDLPQISSPSHLRDRHLLAPHLVFLPELLHGMSTPGAARSRNGSRTLDAAATAPGRTLGVGAATAAPSFGRDSFGYGVRLSAPPCSRAPLSVAAMTIVPTFFIDGSVGGDFTSSIGPHASSQPWFDVAGGDSSSPGS >OB0051G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:25951:30041:1 gene:OB0051G10040 transcript:OB0051G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSNDEVMPSTTPLIVVVVLLLITLASGALVAGQNSTAGGCPTKCGAMDIRYPFGIGDGCSRRGFEIVCEDGGVPFLAAGADTKPIQVTGLSIEKAEARVMLPIAWQCFNSSDVVYSSSNGMVQFNEHGVYRISSTRNHLVVVGCNTMAFTQNQPNAGDDYGYDFFTGCTCYCSSRFHATSGDCSGVGCCQVDIPAGLTDNSMVFYNLSHRSKLQFSPCDYAFLVEKESYSFSTGDLRMNVDRKMPVTLDWAIRDGSLSCSHAKANKSTYACVSDNSDCLDSMNGPGYICSCSTGYEGNPYVPNGCTDINECERKDKYTCYGNCRNKPGSYDCRCPKGRSHSADPYNEPCIPNFPLAAQIVVGVIGGFFIIALVVFITLLRREKRKTKEFFEKNGGPILEKVNNIKLFKKDDLKPILKSGNIIGKGGFGEVYKGHIGETNQPVAVKKPINVNLAKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFISKGSLEDVLHGSNRLPLNLAQRLHIAAQSAEALAYMHSKTSTTILHGDVKPANILLSDDLLPKISDFGISRLLAIDNEHTMSVIGDMTYMDPIYFQTGLLTDKSDVYSFGVVLLELITRKKASHSDKNNLLKNFLDAYTNGTTVRDFIDEEIAATNHLELLAGIAGMVVQCLSLDVNQRPEMTDIAERLHYMAKKARGI >OB0051G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:6131:8872:-1 gene:OB0051G10030 transcript:OB0051G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVQALIKIGTTFGDAALQPLKDIIKKEVALLQELPELAKSIGRELDMINSFLMQVRAKIHSTDNEVLKRWVVRVRQVAYHVEDIIDEYSYNVALLQEESYLSRMMRATYYGTTFHGIATGLKDVDSEIKQLSGMKTKYAEYFSELHSNTSANTQAHLCRDSSLHMIKEGIVGMTEEIELLNSWLAPNDPTRAVLSVWGLFGLGKTTLVRKVYESMKEQKSFQCYSWIEVPHEYNNDVTLRQLIRDLAQEQSQVSANLDSMYGSQLVDILCDVLSDKRYLIVLDNVWDADAFRGISSFLIDNRNASRIIITTRTSDVASLAQDKYKLKLKPLGDDDAMALFCRRAFHNDNMECPSHLKDLCKQIVKKCGGLPSAIYAIGNVLAVREKTEVAWKIMNDQFHCMLEDNPGLGEVRSALSVSILFLPRHLKNCFLYCSLFPQNYRLSRESLVKLWTAEGFITKRGNSTLEEVADEYLMELIRGSLLQLLETDEIGRVAFCKMHDIVRDLALSYSRKEMFGLSEGDLQTDQKEDVRRLSISKGNKNVGPSLDFPRLRTFIATNGAAESDLLNSLIPKSKYLAVLELQDSPINIIPANIADLFNLRYLGLRRTNVKSLPKSIEKLHNLETLDLKYTGIDELPKEICKLKKLRHLFADKIIASRSVFRYFKGMQLPQGFSHMDEIQTLETVEATKDSIELLGKLIALRTLWVENVHRADCTKLFLSLSTMVNLSSLLISASNDHEALDFDAFNPSEMNLQKLIIRGCLDNDTFGKPVFSKHGSHIKYLSLSSSRIGNDPFPLLAENMPNLIYLSIRKWCCAEEVALRAGKFPRLTTLFLGDMKQVRSIVIEQSAVGSLEALYLVSLTAMAAVPSGLELVASLRKLTVWGQSDEFKLEWKRENWESKLHHVPEIRV >OB0051G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:3195:3752:-1 gene:OB0051G10020 transcript:OB0051G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLFENWLNGVNSRLKVIFRATYWVRSWSLLLNEEDDNLTKECCKLLEMRVLEFYSRYGWNFPRRIQD >OB0051G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0051:246:796:1 gene:OB0051G10010 transcript:OB0051G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLNYVLTAMLGYLIYGQDVQAQVTLNLPIGKLYTRIAILTTLITPLAKYALVIQPITTAIEEKLKPSAAAAEGAAGNNWLATRVLVGSHCRHYGGASIRGALLRLPHVVHRVIAERHRCCPVPVPELSKDLYAPGSNPSLRADGGHWYIGLRGLCGRCWHLHFTSPDYWYILICTARLI >OB0050G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0050:70301:71095:-1 gene:OB0050G10050 transcript:OB0050G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSLLHTSPLTQQAQIAARPPSQATTSQMRPSEKLYLFTMNKTTICRNKMYFSTTYTIGHIKPHLLGKKTEITANTPGTAPSNRTVTMSTDGCYNITAGWTKFVIKHNIIINIVCAFCFTTQNGHPHATIRIL >OB0050G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0050:46751:53759:1 gene:OB0050G10040 transcript:OB0050G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLTKYQFLCSSRVTALHLGRREHNLLPFPSYPCCSSTASSCSQQSHLLLVSSAGPRGQTLLPSDSRSSSPHGISDNGTRKKGRRRRARKRKDAAKNEGECVPSSEEMSIRVNTLYESGDPLGKKELGRCVVQWLKQGMHSMATKFASTEMQDDGAAFLLDGGSSEGNLGFVMLAQPYLSANPMPMGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGFISDWRSTQSWMLLKELANSAQHRAAARKPKAPIMHNTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPMMDGNSKQPLKPVEYLVTHGQSQQEQCDTVCNLNVISSSTGLDGQHLVLFRVKGNHRLPPTTLSPGDMVCIRTCDNRGDVATSCMQGFIYNLGEDGCSITLTLKSRRGDPTFSKLFGKSVRIDRIQALADALTYERNCEALMLLQRKGLQKNNSSIGVVATLFGDREDMMMLEQNSLADWGESTTHDDELLERHSYDFDASQSKAIKLGLNKQRPVLIIQGPPGTGKTGLLSYLITCAVRQGERVLVTAPSNAAVDNMVEKLSDTGLNTVRVGNPARISPSVASRSLGELVNRRLKKFTEEFERKKYDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGAADPLVRTGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVILSREAMQGGLGISLLERASSLHDELLTTKLTMQYRMHDSIANWASNEMYDGLLKSSPSIASHLLADNPSIKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGRQADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARRHVAVVCDSSTICNNAFLARLLRHIRKHGQVRHVEPGSYGGDSGLGFNPPALPSIS >OB0050G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0050:37206:37451:1 gene:OB0050G10030 transcript:OB0050G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNIFLVYTTAEHEITINFYLIPNHQWAEQHNSYKNTINNPYSITNKLYHSLFTLSAETGSSVPEEKVHLHIYVIKVPPT >OB0050G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0050:25061:27271:1 gene:OB0050G10020 transcript:OB0050G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRLGRLTNCFQGTSSRAESLGYFSLDRHLYLSYPLSWPVPELKRVAEVSADEPAAKRIVFNPDTELSEAIKDADAPCPQRSPSPPPLSRLRKPAFKQGSSCPGAGNCFKAGCHYSPNRHQGSCWPTRLIAYSVPASAAAPATPAIGASADSMITELTATPVIGAASRTPSTPPPLPVGPEVTEAMFVVTATTEERALIPTASIEHAARPPLSDEEVGGSLERRKERMVITASLMATVMFRASARYVPLQLITWVKEGRTDGTGIRLDASEGQCDIARLEDFISWSVQIMKALKADQATAMEETKKAHTGLQAECSKLLASKANLEVECSKLKASNALLESECSKLNKSKDTPTTELIAEAQTRARAIVEAAEAKTKAAELARDRLVAAVQVILGSIESLALGSSLANVDDVVRRLKEVPAQHGAELRETTKMGVSHALAVIKSLYPWVDLSVVIDGFAANRDVEAALKLTNDAQPTAESVVDSIGQ >OB0050G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0050:6778:7774:1 gene:OB0050G10010 transcript:OB0050G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKLMLKEPVVANDQQPIRNLKLNEYDKAINNRCLYRPNSTHTTNDCYVMWKYLEELGKSLSGVAGSSKNKPVEKKEYPLVLALVIKNIKLKQVLIDGDNALNILFAKMLDDIKIPSCEITQSIEGKIGQEKIHEKLTLQPDEDNVSAKKTAKIITSDKMQIPLNLANPTKTALIGHSIRF >OB0049G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:72574:74276:-1 gene:OB0049G10070 transcript:OB0049G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLESNLSPDLFKVIKILDASDVVMLLFSFMGFNRSSSGYVDWPNVNRFFFYSTEPSYIPFHVIE >OB0049G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:41949:45511:1 gene:OB0049G10060 transcript:OB0049G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSVIEQRPLARHYARKGRRARTSSRSIMPEAPKSRLNDSRGHMTDFSMSEVVHFETSSNHGKSEHSKTFHLTQMQWHHSQRDSNGCCKEDAWFDSVSILEDDSDDEFKSVNGDLRYTADWPDENESENENENASRFADALSRIGDLCRGVPMTLSVEQYLKRNDGNDPDRRSQSMAMCSSRCLPSSFSFKGLKEKNDVDEKSKECTTPSRIRKLLHSFSFNDKMQQLTGGSPAKKKSTVIRLSYKRTSCDDYDDDSELSESKKYVVRPKGGTTIIYGEEKSTSGCWTQIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACPKKVQHIAQHIELPQVKPHDRLPSLLIVNIQMPAYPTAMFLGDSNGEGLSLVLYFKISEYFDKEVSEHFKESIMRFIEDESEKVKGFASESTIAYRDRLKIMAGLVNPDDLQLSSTERKLVQAYNEKPVLSRPQHNFFEGENYFEIDLDIHRFSYIARKGLESFRERLKTGILDLGLTIQAQKQDELPEQVLCCVRLNKIDFVNHGQVPTIITLDDK >OB0049G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:33373:35040:-1 gene:OB0049G10050 transcript:OB0049G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWQWLISLPSLPGKPSSQTIVVLAASPDRTTSIALQADCIPSVEGDEGEKTLVDFSLALNGTNGGVRVLWTSGRFAAASSVALRCQLLARLLDEVIMLSPFIPCMSGNHGGLPEPKLDEEVVAGVFDMAETDGTAPAASFFSLALLLRLFWLCACEAPGDTGFLFFQALGADIERALGNCRPALGQLLCSVGPDVEQQFMRSLGYMFAKLCLLREMQAESAARQTALPAACLSLSYATEVHGLLILKGYAPVIAMYRVTSAAWTPIAALPHEAPEEPALRYGLAHQQLEVVAHLEYAICARNKRFMTISVRVDNVRVRVARLGLRKDETDTGGEDVDDDAMDSERHFPSRLRLWVGPRFGASYATGPSLGRSTGNPERHVEMTRTVRGTFAGTTKLANGVVLPRVKAKMRSSARRCNRSWRWEQEAEGSAGVFEGVLCDPVTGTEIASWRDSNGGTAGAADPRNGMRRRYGGPGRAFTKMWGLVVAGDDLPEEVTWRVGMEAEGRTVPWRVGLKAWVSYMPNGVRSRHFETRCIEWAHEVELPLVAVNGEDL >OB0049G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:28724:33048:1 gene:OB0049G10040 transcript:OB0049G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASMLSSTSAGTMSSTSSATSSESAGIFVSPFATISVRTHVHVPLESKNSNYNKWKALFLSLCGKFGLLDHINGAAPAHPDDPAWQQADCCIISWMYGSVSDDILDLAMEPDQTARQLWVAIQEMFYANKEPRAIFLSHAFHSLSQGDLSIADYCQHMKTAADALRDVGHPITESQLVFNLLRGVNPRFSGTADNIASAPVLQSFTSARNTLLLKELRIANEVKVQAQMALLAASSASSSSCTSSSCAALSASSIQSRGSGSNTGNNGNSNNNNKRRRGRNGGNGGGGGRQQSTGGGNQASGFQQVGSFFQQANQRPAGPWVFCFSPWAVQPQGWRSSGARLLGPYPQANIAFAGPYYSAPPAAASPPMAQPNWDQGVSTAVAPSSLGVEQPHPLVPTPSPKVETSRSVAPSYKVEPTYFLHSTGASSLLTPSGGSSLGFGSSGDLPASPAASPTRAPPESPIRAAMSLVSPYRLTYERLNRPAPPTIDHTMKSLYGLKQAPRAWYQRFTTYPRQLGFVASSSETSLFVYKDGDRMAYLLLYVDDIILTASSSALLQHLTARLHSEFAMTDLGDLHYFLGISVKRSSDGLFLSQRQYAVDLLQRAGMAECHSTSTPVDTRAKLSATDGAPVANPSEYRSLAGALQYLTLTRPDLAYAVQQVCLFMHDPREPHLALIKRILRYVKGSLSASLHIGTGPVSSVTAYSDADWAGCPDSRRSTSRYCVYLGDNLVSWSSKRQTTVSCSSAEAEYRAVAHAVAECCWLRQLLQELHVTFALATVVYCDNVSAVCMTANPLHHRRTKHIEIDIHFVHEKVALGQVRVLHVPSSHQFAHDQRPTCSAVH >OB0049G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:16972:21088:1 gene:OB0049G10030 transcript:OB0049G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKMLDGKNKPARPPSVLPDPAASPCLTPRSKTMAQGQKALGCKNTHSHPPSVLPDDAAAMEMPEEEDMDLSTLRARNIMRNNRFANQLGIRKLAQIFQSSIAKKKAVGNMIGKKSKAMLLGEDVANTGDCVTTGTGRTSKRVLAPENLEETMRCTRQRAMKQVSTTSLIEATEVGLEPDLSTNHNQGDTSNTEQGNLTLSTELEPMGLSTTEQANRQVEPRGNSMGKEIDSISRGLGTMIPIIVKKGKRRPEAPMQAAKLALESGIIIRQHIPIYPSWTDYKKDSSQLDNLKGKLKGKFSIDIHNDPVIAAVEDILRCGTRQMRYRFKKKYFDGVRANQVRTTSPLKCMTDEQWTKLVDMWSSPEHKGKCAKNQLNHETVQFQQRTGSRSYIAHAYVVKQEKYKDSEPTAIDLFEELHCSKTKGFSEPVKKAIDDMHAREALTSPSVEDGQQAKTSIDFYQLH >OB0049G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:14434:14679:1 gene:OB0049G10020 transcript:OB0049G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLELGASCWLLAVWRFWWLEFFFFFFFFFFFFFLLTYRAVGCWDLGFFGTSCWQDAYPKCSGCSMEFFLLGAGKMHAET >OB0049G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369876.2:5941:12561:-1 gene:OB0049G10010 transcript:OB0049G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKVAKGGAPAPRHCFAAGGYGAAQSKYWDIVKQICTWRLSSLLADGPPRQGLQCNQDAPPPPGMITLREVMSQQTQLLQMLANNQGGRNNSAYGEFMQAKPPTFAGSEEPMDVEDWLRIIEKKLALVWAHNGDKEEFVTAFRENFVPAAVIRMKKNDFRRFRQGNMGLQEYLNKFTQLARYAPKDLTDEQEKIEKFVEGMNDRLRGAMIGQDHVSFQSLINKVVRLENDQKTVENNRKRRMAMSRPVQTNVQRPKFTPAPAWKPFPNNTGAIAPYHPATPKAAPIKSSTPIATPFAPGVKRNLNCFNCGELGHYASSCPKACSTLVHTGANAVTIKDNGTINLGHGLFRTPLNPKPTPGYPRAQVNHVQAEEAREAPDVLMDQQGSELKVPMDQDPRLHERSHAKAFTMLALEEMPVVQDYPDVFSEELPGMHPDRDIEFIVELMPGTAPISKRPYRMPANELEGLKNQIRELQEKGFVRPSSSPWGAPVLFVKKKDGSMQMCVDYHSLNEVRIKNRYPLPRINDLFDQLEGATVFSKIDLRSGYHQLKIREEDIQKTAFSTRYGLYEFTVMSFGLTNAPAYFMNLMNKVFMEYLDKFVVYWKDCGEKSITPSSASVNSGLRKSCFRDIISEGGVSVDPEKIDAITAWETPKSVTEVRSFLGLAGYYRRFIEGFSKIARPLMQLLKKEKKFDWTEQCERSFEKLKDKLTTTPILVLPDNHKDFVSYCDASRNGLGGVLTQDGKVVAYASRQLRPHEENYPTHDLELAVVVHALKIWRHYLIGNHCDIYTDHKSLKYIFTQPDLNLRQRRWLELIKDYDLGIHYHPGKANIVADALIVAQGMVQTLEVQPLLSDQIKLAQGTDSEVIQIKEKMRAGKATDFRVDENGTLWYKRRIFIPYRNELRQLILKEAHDSAYSLHPGSTKMYQDLKRDYWCSNMKRDVMEYVALCDLCQRAKVEHQRLAGLLHPLKIPEWKWDEVGMDFITGLPRTTSGYDSI >OB0048G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:66562:72237:-1 gene:OB0048G10080 transcript:OB0048G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADDVTVLHGGVVVTMDSGFRVFRDGAVVVARDRIVAVGHSADILLFYPRAAWKVDLAGRILLPGFINTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYVSTLLCGIELIRSGVTCFAEAGGQYVSEMARAVELLGLRACLTKSIMDCGEGLPPNWSSCSTDDCIQSQKDLYEKHNNTADGRIRIWFGLRQIMNATDRLLLETRDAAQKLNTGIHMHIAEIPYENQLVMQTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNTAEIEHFLKSEVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLACLINKGREAYITGTTNPTVLPAETVLKMATINGAKAVLWDNEIGSLAVGKKADMVIANPFTWSMVPMHDCIANIVYCMRTENIESVMCNGRWIMRDKKIVNLNEEEVIASAGKRASDLLARAGINLPNRMNYV >OB0048G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:63542:66123:1 gene:OB0048G10070 transcript:OB0048G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGACGGGYRTQTIKGRKERFVRLERQPEEEKEQVRAVMDGGGGGGGGGRVQHVMDSYFSGAPKIRTRSVRMAAAGVMSMSYRSERLMSIGRVFQEDLTNMSQRIFDPQDAFLVRMNRLFVMACIVSVAVDPLFFYLPAVSATDSNTCIGFERYLATGATAVRSAIDVFYLARIALQFRTAYIAPSSRVFGRGELVIDPAAIARRYLRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHMVGAFWYLLSIERLDDCWRENCTVLKFHQCKKYMYCGGGNLGQSGFLEWRTMIRQVLAMECAPADEGGTGFQYGIFTTAIQSGVVSTTNLVAKVLFCLWWGLQNLSSVGQGLKTTHYKGEALFAIFLALFGLILMALLIGNMQTYLQSMTLRLDEMRLRRRDSEQWMRHRYKWLETRGVDEDSLVRGLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYIVREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEAFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWAATYIQAAWRRHLKRRAAEQRRREEEEEAGASSSCQITTTVLVSRFAKNAMRGARRQRSRRDDPNLIVLPKPPEPDFQNMEY >OB0048G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:51005:56362:-1 gene:OB0048G10060 transcript:OB0048G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNILTGVMNTLLAKLEEVLRYDYSETEFAISRAFRYRIWHLKQGLSCMNDLLQKKLPDMETLDIQQRELRSKVRELAYSIEDKIDMFMHSFRAVTDQAGLLTETMDLMLPVLSGKIDEIIHAVEEGRRSERYNSNLDESINSWANSSTKIDRSSSSHRLVGMDVPSEVIVQLLTEDMERGSGQRLKVVSIVGVGGLGKTALANQVYKKVQGRFDCTAFVSASQNRSISMVLSDILSQVKYDGPADDIQSLIDATRENVARKRFLIVIDDISSVETWNSISGFFVENNCGSTIITTTRSRRVANACCSNFHGILFVYKMKPLGWTDSRSLFFRRIYGSENYSPEPEELIIALDILEKCGGVPLAIVVIASLLASQDEVNKLDNWLKIKYSMGFELERNPNSEWMKHILKLCYNFLSWELKTCFLYLHMYPENYNIMKKDLIRQWISEGFITERDDEDLEDIAESYFSDFINRSLIKPAEFEYGEVISCRVVHKLLLDFIVQKSTEENFVTVITNQICSGQGLSIRRLSYQCNHGRNMIQASECVQVRSFANFRGRFYQCAENLPCLLMFRALRVLNLRMQGCFAFFGSYDLSPICNLLQLRYLKMEGDHFQFPDTVGELRYLQVVDIGGWCGDLEFIGGFLSDACLPSLRHLSIPQSAKLGRGINRLSSIRTLEEIDFCSCSIENIRHLGMLTNLTTLRIIYSRRRGYDEDDQSTDRVKFAALATSLRELGSCNLRCLDIRVPLLECRRQPPIGFLCSWSPRPQFLQRLHLHMIFFFRVPCWIQQLGTLTSLRLKVDNLEGDDMRVLTRLPCLTYLDLQAMKVPGKDIVIDRVSFPALKELKLIYRSSTLSIEPRAMPKLRTAHLIVFGQVEEQDARSLVGIQHLHMLEDIIITYDDNNVRVAFSEALNKHPMIGSIRAFFYSEGEFVSMH >OB0048G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:39890:45169:-1 gene:OB0048G10050 transcript:OB0048G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAANPTPTSSSAAAAAQHQQLQRQIFLMQQAQAQAHGQGQGHAPTPQQLAQQAMTRFPSNIDAHLRPLGPIRFQQPPQPQPSHPPPSQPQQPHSGGPSPSQSQSQASPQQQQAVAARVRSPEVEMALQDAMRVCNPDIKTPFHSLEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRTIGEFRSEERLMLEQALLMEEKQAMMTLRAEIESREKAGREAAEAKMRMAMAEQARVEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWANAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >OB0048G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:37418:37994:1 gene:OB0048G10040 transcript:OB0048G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPKHLLALLLCLMFAQGSSVCLRCMNDHVVVSQRVDDHGEDVGGGGGGVVQTSTLYMFKVTVTNRCCCEVRSVVVAAPGFQSAIPIDPKLFRPNSGEGETDYLVGDGGTIPVKGNVTFAYTWSTVFRMDVVSMTVFRCL >OB0048G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:22344:22890:1 gene:OB0048G10030 transcript:OB0048G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESHPLLALLLMIFLMVAKVDGLCMGCMNDHIVVSQLAGGGGVHTVFRVTVTNRCCCAVRHVVVAAPGFRSAIPIDPRLFRRINSVDGEEYLVGDGEAVPANGSVTFSYAWRAMFRISVVGITVSNCL >OB0048G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:18796:19564:1 gene:OB0048G10020 transcript:OB0048G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIITTQLCTVFLVAIFVLAISVQGDQSQLCEPSSIQIGQTNTGKKARTLDTVFQVTVTNGCRCAVRAVLLRADGFASSVAVDPRLFRRASAAAGAYLVGDGQQIASGKSVSFQYTWDHYFKMTLASVKVVAC >OB0048G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369874.2:6382:7980:1 gene:OB0048G10010 transcript:OB0048G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVITKPLLVFTIAIPVVLLVAAPGAMSQGRCTAPSSIDIRQSNTGKKVGTFDTMFEVTVTNRCRCAVKAVVLRADGFANAVAVDPKLFRRAGAAGYLVGDGRRIRSAESVTFQYTWDHYINMAPASMQAEC >OB0047G10170.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:79614:79766:-1 gene:OB0047G10170 transcript:OB0047G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LREREHDGSREEPRNAYEREPDLLICLRGLLSHFVYDRPEENNKILNKMV >OB0047G10160.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:76503:79007:1 gene:OB0047G10160 transcript:OB0047G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAMAFKVASFLLLLLLLTCGAAQHNDFVVLDLETTEAGDASPLYNERIPMIKIPVNLLRQSPLCSACENMTSEAINFLSEKQIQDKIMAILHDTCSQTFSFEQKCLEILDPYATLLFTKITEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIVDEIVSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRRAFNLFSARKLVRDA >OB0047G10150.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:71899:74298:1 gene:OB0047G10150 transcript:OB0047G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KUD3] MARPSCSIGVGVMVVLVLVALAGGSSAQLSASFYSYSCPGVLDVVKGGMQSAIAQEKRIGASIVRLFFHDCFVQGCDASLLLDDTPSFQGEKTATPNNGSVRGFEVIDAIKSAVETICPAVVSCADILAIAARDSVAILGGPSWDVKLGRRDSRTASFSGANNNIPPPTSGLANLTSFFAAQGLSQTDMVALSGSHTIGQARCTNFRAHIYNETNIDGGFAMMRQSGCPSTSGSGDSNLAPLDLQTPTVFENNYYKNLVVQKGLLHSDQELFNGGATDSLVQTYISSQSTFFADFVTGMIKMGDISPLTGSNGEIRTNCRRVN >OB0047G10140.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:62897:63792:-1 gene:OB0047G10140 transcript:OB0047G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAETMGGKLEAALLHIMQRHHHESLRQRKNTERAKMDAVRSATRVADLLVATVDGGVQELYINEKRIELEARALLATIARYRKQTDQWLAATNAINSVLKEIGDFENWMKVMDFDCKSINAAIRNIHQS >OB0047G10130.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:60555:62151:1 gene:OB0047G10130 transcript:OB0047G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KUD1] MHIGLADSICTQSLNQMASPKSLACSLIALCFAANLVSAQLSANFYDKSCPNALSTIRTAVRSAITKENRMGASLLRLHFHDCFVKGCDGSVLLDDTPTFTGEKTAAPNNNSLRGFDVIDNIKAQIEGICPQVVSCADILAVAARDSVVALGGPTWVVQLGRRDSTTASLDAANNDIPAPTLDLGDLNKSFSNKGLSATDMIALSGAHTIGQARCVNFRNRIYSETNIDSSLATSLKSNCPNTTGDNNISPLDASTPYVFDNFYYKNLLKKKGVLHSDQQLFNGGSADSQTTTYSSNMATFFTDFSAAMVKMSNITPLTGSSGQIRKNCRKVN >OB0047G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:55577:57706:1 gene:OB0047G10120 transcript:OB0047G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTATMTPLSSGRHRSPKAKRRRHAAPQPLGDVTNLLIPTNPTTSPLPSDSACCSMSSSLTPVSKPSSAAASEERSFVKSTISTVYTSTRRKTTEKIPFRAGTARSCPPPDTVARTNREFCVLDLCIKVKNMYLESVKLVKVPEKGLHGKPPWLRVLGLFHPQLLVIDLKRDHLAEVHM >OB0047G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:53518:54405:-1 gene:OB0047G10110 transcript:OB0047G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQAWVEEHKLATIGGVWATAVGASVAYGRRKSPQMRLIHARLHAQALTLAVLGGAALAHHYYTPNNKKQQQQDDYDFYSQLPPATNADGQENERWSW >OB0047G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:52249:52539:1 gene:OB0047G10100 transcript:OB0047G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRERERKREGRSPSGELSLQLEHVSLFSFLTDRPLGAVAAARTPFEELLKGSYDPTPPPPPFPLPLQAEATPMDADEVIEEKDRGILSAKFKI >OB0047G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:48925:50445:-1 gene:OB0047G10090 transcript:OB0047G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRPPRNTICASCYEGAKAIISSFNDEEQGSDAAQGSMKSHGLTKLNNATKGLREAWEQVRCREEETKQRVSFLHQGFAAAWKDGLHTDIAVSPGTGPPIQAHKAILAARSEVFRHILAGGDGCKAPAGDSISLPELTYDELSHLLAFLYTGALTCTEERHLHALLVAGDKYDVAFLRRACEARLAARVEARNVLRTLEVAELSSSLALKERAMGTVVEHAEQVVLSPEYEEFAVRNASLCVQIIRALLANKAP >OB0047G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:44993:48068:1 gene:OB0047G10080 transcript:OB0047G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKKMKEKKAAAGLEEKLQLLRSVTKSNAANETSILVDASNYIKELKDKLHQEPEDAQLDSTSSSMPMPRVSVSCVEVENKKKGFRINVSLEKSQPELLTWVLEAFEEIGLDVLDADVSCAHHAAFRFEALGIGSTTHSEDAESMDVQMVRQAVLQAIKKCIDHHSSDD >OB0047G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:34330:37340:1 gene:OB0047G10070 transcript:OB0047G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMAGSVSVILSASGFPYPFPSPPLSKTLLNPFSSSSRSRWRCTCRILPAYVSLRGESPLPLLRRRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPQTLSREGEEEGDIAMVAAESFVSTQESASDTVVDYSVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKKFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >OB0047G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:29745:34415:-1 gene:OB0047G10060 transcript:OB0047G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) TAIR;Acc:AT2G30280] MASTSEAEGRDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSESSPGPNKASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHFDLDAKDIKSKTKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRSRKGDRHEVDESLREICHLYDAVQVDSDEDKHPSEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAPSEDSDVYDIYTVKEVDDTTMGGTSSAPYPLLQVDDDCDVCYDDDDPYDTDDSNAEDNPLYDYPEELSEGEDDGSNSENPFSDVDGSDPEYEKEEVEEENDEDGR >OB0047G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:24545:28528:-1 gene:OB0047G10050 transcript:OB0047G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPSTHTQGDLSASASLVDDSYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTGISEEGQELATLPPALTAGGSSLQASSQQSADSSPGEIDYSGSSSQEITGQMAPLRVFQNGASLFQGLVESARKTVRGSADDIGWLQRDQSLPPTEDGSTRFLEILESVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSIYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYEKRKAFLQQNPLPPEIPIVSFHTEASITPSVVTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPKDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >OB0047G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:23529:23918:1 gene:OB0047G10040 transcript:OB0047G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSHTIWFLATANQCQVDTHSLAFTRLYMCIIIQTNPNGSIVTMSATVNCCSMYRLVSISPVNRTLSLNCFLLIFSKTLLIVSFLLKKKLERIGVVCKLGKRTSPNCASHSGTVLEPTNKCLSLSCL >OB0047G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:9061:19686:-1 gene:OB0047G10030 transcript:OB0047G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSTSVPLPNHHTNHLDGQLPVLDNGPDPPPRNDDPPAPVALLPQVVVLCEQRHDGFDEAAAATAAAAGSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKIQLDPTVEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSSSSLKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRDSMEQNLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPQDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPSEEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHGYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRVGQEACVNAGLIDVCLRHLQPENPNDAQTEPLLLQWLCLCLGKLWEDYPEAQLLGLQSNAPEIVICLLSEPQPEVRASAVFALGNLVDIGSPSLNGADDDSDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSFIQGSSGLASHIGPVLRIGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYARSRPVDNGIYSQFIATMCNVAKDPYPRIASIGRRALSLIGVEQVVMRNSRLSSGGAHLGETSAAAPAPSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHEYFTGLRRVCSMEFRPHLLNSPDGLADPILSSTAAPSNMGLDILPQSTIYKWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDNSLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGSRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQLVNTIQSTCDSGISALSASQVQCGQFAAGLFDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEDNYQVR >OB0047G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:5488:8807:1 gene:OB0047G10020 transcript:OB0047G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYHNSDTVSANAAHAPHDAAPGEAIACFHHTLPASMATASSAAHQAAVAAMTPFPGMKDSSAQRRMAMRQQRPSSNEVNDDDIEVALSLARVIQLALFGCLYHLKEITSLWNCAAWNFVKKFTFEIIPLKNFRKNLKRLERLRLRRETTAP >OB0047G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369872.2:25:3309:-1 gene:OB0047G10010 transcript:OB0047G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNLQYVPLKGSSSWHCNDAAFELWYAWFGPGVENCYVFKSRLQEYAQKAGLQTPEYHTLKEGPSHEPIFKSTVVINNTKYDSLPGFFNRKAAEQSAAEVALMEIVKSIPTNANIPAVQETGLCNTGSQQLNLREMRREDDHHRLLAMLARHRRLAAATTLFSTLRTVCALNSLLAAICSSPVFLRIASKVVLLAAPSVSPDVTTFRILTSTLCRARCPAAAADILCCMPSLLLDPDPASCRAVLSSLCQYAPAQDAEAFLEKMCHWGIYPSRSDYHGIFGALLREGMIVEAYEVVKNKMGSDGVAPSLAYFKLIMQAFSESAEFDSVEEVFDEMLLRGLVPDVGVYNVYISALCRKGDLAGARRMMACMEHAGCPPDVKTFSVVVAGCMSVRDMVTVREVLQDAVRRGLRWDPAALSELVDLLWAGVGATQAQELLFEPLFMRDAPVLRQLIGALCKQGLLGPAAAIDV >OB0046G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:86393:87622:1 gene:OB0046G10070 transcript:OB0046G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVAGQQRRHIVLVHGACHGGWSWYKVAARLQQRCYRVAAPDLAASGVDGRPPRGGPXFRDYTEPLLGVLRSLPAGERAVLVGHSLGGLNVALAAELLPEKVAAAVFLSAFMPDCSSPPSHVLQQVRVTYTEHV >OB0046G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:76378:83202:-1 gene:OB0046G10060 transcript:OB0046G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRGASPCSAPISVPASPPARATGGGGEVAGGRKAEGKGCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPPVVAAMCSKVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVTCIKEQLYPSPEGCNDEPPYSLPKER >OB0046G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:70533:71143:1 gene:OB0046G10050 transcript:OB0046G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPTLRLDTSSSSNTPRVRVVLDELLEDIVKDGNLVTSSGLLEDVLGEGVHDRHDPKHCTANAAIPSVSCICRFCRSLCFSWELENRSLRQGGGHASGLMQL >OB0046G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:64321:68052:-1 gene:OB0046G10040 transcript:OB0046G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGWGGSGKGGGVAAGGEGTPKLEDFLGMQQETAAAGAPIRGVAGGGGSSVGLSMIKNWLRSQPAPAGAAAAGVGGGAAALPGSMSMSPGAGPSPGGMGKAADNGEATGAAAGAAAVEAAQRVKTAVDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGATTTTNFPVSNYEKELDDMKNMSRQEYVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYNVKSIIESSNLPIGGGTTRRLKDSSDQTDNNAMGINVNTSANNAVSSQFFTDAGMGNYGSQHHGYNGWSPISSMQPILPLQYGAAHGEPRPWCKQEQDSSVVAAAQSLHNLHHFSSLGYTHNFFEQSDAVPDVSSFVDAPSRSGGYSSFRYNGAAANGAGFHGGISYAMPVAAAVDHGHGIHGYGGEDGVVGVETAHDHLYGSRDVYYLPEGSLVADAGKDGDYGQAVGGNGSWVSPGPESEMVEKDANVTTVCHGMPLFSVWNDA >OB0046G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:43168:47715:1 gene:OB0046G10030 transcript:OB0046G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRGMEDGYEDEYYSEDGYEEEGSGAGEEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASTAGRSSYSQDRKPPSNFGSFFGPSKPVISQRVIEERKSLKELQSTASVPRDRRPSGVCNTISGPNSSVQLSLIVFNYVQKEIPSSSKVQSKTNGLHHKQKIVNEAKRKAEALKDNRDYSFLLSNDADISSSPMEKPAARSSLTQKSDRELTHSASKSRAPTGQTARSNGYGLKNTPSTQRHAECRVDSNRKVAGANRERAVLLDNGRMHSVVRNGSSQATTSKAASQKLPSKGPIANRPPIKSVNDQSLRTNHPASKQLLSQNGRPQSSQSQRMQSTSHSQRPHQPVQSQRPQQSLQGRRPQQSPQNTRPQLMSQSQRPQQSLQRQRPQVSSQNERLQSSERQRPNSQSYRPQSSQGQRPLSSHSHYSEQRRVQANDRVKSVERQIRPPSKPMPSRPIPSNGMRDVHAKKKQPVKRRFNDLSDDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEKRSARIAKAEDDEQLRLIEEEERREQERKRRKMAHGR >OB0046G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:22311:25126:1 gene:OB0046G10020 transcript:OB0046G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3KUB3] MKRLPRQSSVVTVQPAPEKPREPKSSAREPEYIISISASTTSGFVSKKNLMLLVCLHDRHVRAQAVGCPPVRSFRKNVLADRADKAKAAAAMVKIQHLNTWPFRCCNLDVLKTPLLMKRQELQEMQMLLVVVVPMLTEGLQKEMQQNEKVKKVVLANAPEKNKMVAPKVQMEIASCFAEIIVKSIVAEIGNGTNGRGSAIRRQLGSNKRKTYRCCHVKETTVACLQSNIDYLFNKYGLSLKKVRGQGYDGASNMRASCKRKDMIRESQQERVRKGISNGHLSGGTEILR >OB0046G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369869.2:8542:11797:-1 gene:OB0046G10010 transcript:OB0046G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMSRRGGAGALLLAVAVLAGRGNGDRQRGPGILQPGAGGGADDVVPPPNVNIFRDPRWGRGQETPGEDPATASKYGAAFVRGLQGTNSSSASLLQASACCKHITAYDIEEWKGVSRYNFNARVTAQDLADTFNPPFRSCVVDGKASCVMCAYTLINGVPACASSDLLTKTVRGEWKLNGYTASDCDAVAILHMSEHYTRTPEDAVAVALKAGLDINCGTYVQKHVAAAIQKGKLTEKEVDKALTSLFAIRMRLGHFDGDPRANKATALAKSADYVFLVMGLSQKQEQEGLDRTSLLLPGKQQSLITAVASAAKRPVILILLTGGPVDVTFAQNNPKIGAILWAGYPGQAGGQAIAQVLFGDHNPGGRLPVTWYPEEYTKIPMTDMRMRADPATGSPGRSYRFYQGKAVYKFGHGLSYSKFSRRLVSGAGNPSSYTKLLAGIKTTSTPEGDTIYRVDEIGADRCEQLKFPVTVEVQNHGPMDGKHSVLMFVRWASTKGGRPARQLVAFRSQHVRVGEKAKVTMEISPCEQLSRAREDGEKVIDRGSHFLMVEGDDELEIRFEA >OB0045G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0045:78107:78310:-1 gene:OB0045G10050 transcript:OB0045G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDCTAEGVVFVEADADVRLEEFGQPLLPPYPCVEELLCDAGDTKAVVGKPLLLMQVRPTKPASGL >OB0045G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0045:74210:75067:-1 gene:OB0045G10040 transcript:OB0045G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPTHSALPTCPGDAHAVVVVGGGDEPGARAGAARGGGAVARPPPAANPMLSTPPDRMVVEYFLFGPREVSTLRGQLPAHLAELTTTFELLTAVTWRCRTAALGYGPNQLVRLIITMNARGRWNAHTPLPLGYYGNAHVSALAEAPAGDLLVRPLADTVELVRRTKRGMTRERMSAMVETVARLREWPPPTMERVYEVSDSKWIAVDMLKFGWAFLAGGGIPLAGDLTSKLGSDHMRCRNAAGELSTVVSMLLPRVAMARFKKEMAVWLNKDDDKSLTIMSSL >OB0045G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0045:43721:44095:1 gene:OB0045G10030 transcript:OB0045G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTCAPTHETGVTTFGKQPHPPPLNPQDLLALQNQILQGIAWNLTVLTQQSQHDPDRRSKLNEFLRTQVIEFSHTSKSVEADDWLKDVARKLELVQCTPWEKTLYAVHQLKRTCNQHMGEIS >OB0045G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0045:11680:11865:-1 gene:OB0045G10020 transcript:OB0045G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAAKMEWGPAVCMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFLIGSVLVLPLAIILERY >OB0045G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0045:3979:6888:-1 gene:OB0045G10010 transcript:OB0045G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3KUA7] INLGMRSSSSKEWLPAIFMAMIQIFTTGSLILVKVVMDDGLSVWTLLTYRYLFGAVLVVPFAMFFEKGKQKELKLKAFLWIFTSAFVGFTVPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLFRKEPLNMRSLVGIIKVVGTLVCVGGTVVISLYRGKVLHLWPTNITGYNPNKAGAAFGHHHIRGTILLIISCLSLAVWYTVQAQMLKVFPYKYWSTVASCFVGSIQMAITGVAMNREKATWQLKWNMSLLTIVYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVVFTTILDSLLLGHDLSVGSVLGMLLILAGLYLFLWGKGKEVVHETIEKPKETIDRTTELPSHV >OB0044G10300.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:96541:98901:1 gene:OB0044G10300 transcript:OB0044G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRYLQEHFDLPAKNPSEEAQRRWRKAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFTDDELAL >OB0044G10290.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:89940:91853:-1 gene:OB0044G10290 transcript:OB0044G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMD0] MLLRRLATSVLRRRANDGGALAAVRAEIAHELSSSHASSSPPSLQSQDIPDFSAVSDAPRGQEVLLRRRDASEEVLVSALLAPLQFEGDEPLPRAALMKIFVSKPGLKPVLRFDCRAFADEGDGSAADYDVTTVCYHSIAGDAGEDKYEGPEFRDLDPQLKIALKGYLLARGVNSKLASSLVHHLIEKERWQYVNWLKTLEEMFSKDH >OB0044G10280.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:81533:82393:-1 gene:OB0044G10280 transcript:OB0044G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGRCPGGVSVEDAALPPLQQPPTILLDHELLVVPLPHPPPHRLHHLLLHLHLPQPRSLVPRLPLPLRLRPLHPLCPLARDHPHRRPPQHVPLQHHPQVPPLLGRRGVQHVEQRREEEERLAEPRREEGRAVGAVHHGLDEHHRAHATDVAEDHVPRARVVEHAALVHEGAQAEHVVDDDRLRLVGVGADDVLGGDRLPPRCGDGAELEREAERARAVAEPASRALEQSVRTEPGLGLADERHPHLRRAAFPLRQPLQQAWPLEAVILRAVVHNVELAPPFRRA >OB0044G10270.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:80732:82189:1 gene:OB0044G10270 transcript:OB0044G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMFFSLYPDHPALLEAQQPFAQILSSSSALSSGISNHVSGGKSFTGVLDSAPMAEKARNFSGEDSLEGSAALMMMQGSDNGMGRKGSRHGEDEQEVELGRASKLMATPDEDDDDGVGEMLERMMLNGDEACHTEMKAPSVPEKKKAGGKAARRRQAKAEVVDLRELLLSCAQAVSSGSRRRAGELLEQIKRHSSPTGDATERLAHYFADGLEARLAGAGAVSLDHRQLAAAERASTMELLEAYQLFMAACCFKWVAFAFANKTILRAAEGRSKLHIVDYGAQYHGLQWPRLLQWLAEREGGPPEVRMTLVGQPQPGFRPNRLLESTGRRLGNCARAFGLPFKFRAIATARWETVTAEDIVGADPDEAEAVVVNDVLSLGTLMDESGVFDDPSPRDMVLGNIRGMRPVVFVQAVVNGAHGAPFFPTRFREALFFFSSLFDMLDATTPKEGRHLRVVLERDVLRRAAVGVIAGEGAERVERPET >OB0044G10260.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:78739:79995:-1 gene:OB0044G10260 transcript:OB0044G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKPAIVFVLLIVCVIRHFEAATAQYGGSSTNAGAATGPMAAGEILSLAVAAALIVLRDFALDVFVRAIYRIILVLLTSYLHEIYCGLCDVNKQTHIVFSILQAAGVAAVITDVAAIATGVVAATIMAVPVTAGVAAAATIDGDAVAGFAAVGVTIADFIAAYK >OB0044G10250.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:76626:76978:-1 gene:OB0044G10250 transcript:OB0044G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQETVDKFVMLALPVGTTGRDHPYTSPAAAARAAEGARLPPMLLMVAEEDMLRDPQVEYGEAMARAGKAVETVVSRGRGIGHIFYLNWFAVESDPVAATRARELVDAVKSFVDSH >OB0044G10240.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:72559:76678:1 gene:OB0044G10240 transcript:OB0044G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGLGRLPVGWDWLGQGAAATDRRTARGRWSVQGGRRRRGAAEWDAAPCDRAEQESLSRRGRRPSEGRGEAAPARAARLQRRAAGALPSPLQICNAQSNTVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVHDPVTGKPCKIGYKYLEDRTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIDLVLEKTYDAKTGIGMPDL >OB0044G10230.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:68577:71065:-1 gene:OB0044G10230 transcript:OB0044G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASADDCSPSNYKQRNGGNNQTIFHSSAHSWHMQGRYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIRHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVITSAKKHGIRLRGYVSCVVGCPVKGAIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSGPVVFAFSMSFLFTVRFLPI >OB0044G10220.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:64966:68792:1 gene:OB0044G10220 transcript:OB0044G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGQGQRRDLEHGSEGVELEQRLRDETTVGDGEGEERGVELGVGAAAVAEGIGGEERRAAAPAGWTPRDGCAGDAGEALEEEVVGEGGDGGRRRGRRWLEGGGAKCRMSRNGDWDGDGDVAGGVGGDARFDEPREAAGVAALGGRHGGLDSELRRRRRAAPGTGSSEVGDDWAWGIVGVEWSRCFRISNHVVRASRSFSQGHMDIELNETGKQQAVMSELGVASYEYLKLGLVVTWKVARRLANEAKPAAVYSSDLKRAADTAQTIATACNVSYLVLNPALRERHMGDLHGLKFDDAVRSKPDAYKAFTSEDRSQEIPGGGESLDQLSDRCVSYLNTIASKHKGERVIVVTHGASIEELCRHADPTSSVPRRIPNTSICVFHISGTTGHWILERFGDVAHLMEVSFPKTAFGGDGASA >OB0044G10210.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:64288:65412:-1 gene:OB0044G10210 transcript:OB0044G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAESCHSRSLSWLVKSCIPADPARHIAVPVPVPIPAHPALCPTTLQPPPPSSASPISALPDDLLLECLARVPRASIPRRPAGWRRCPTLLASDAFRHRRRAHAQLHPSLLALSVSDSGFIAQALLQFDAFAPVLEVAALPLPPTLLHCGGSVFAHARAVVLGREVFLIGRGATLRVDALTGAAPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXM >OB0044G10200.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:62780:63292:-1 gene:OB0044G10200 transcript:OB0044G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSFAPASSPGASLPPPGAADVGGAVCLGYGIGISVGVLVFVSTVMLASYICVRAKAGAAAVLVLVNEDGAAPSAPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRWLRVSATCPVCRDSPLPSPMATPLAEAMPLAAHAR >OB0044G10190.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:59500:60614:-1 gene:OB0044G10190 transcript:OB0044G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRWGLAFAVVLSTIAEMASTTSAGTDPNKTVVEEVTGWLRLYSDGTVQRLTPPGAEPFTAIVQPYAEPRNGVTVHDVTTASGVDVRLYLREPAAVPRRRRPLLVHFHGGGFCVSRPSWALYHNFYAPLVGKLDVPPPPPPLAPAPRLPAAIDAGHAALLWLRDVACNKGGNDGAHLDPAVERLRDDADFSRVFLIGDSSGGNLVHLVAARAAKDAAGAPPLHPVRLAGGVLLSPGFAREKKSRSELEKPPNLFLTEEMVDKLLLLAVPVGMNKDSPYTSPLLAAEAVAHLQMPPMLLMVAEQDLLHDPQVEYGEAMVHAGKVVETVVSRGAVAHIFYLNFFAVESDQLTAERTSELIGTIKAFINRH >OB0044G10180.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:53210:53791:1 gene:OB0044G10180 transcript:OB0044G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYSTCGSRSMSSSATISSIGGSRAPSAALAAAAGDVYGWSLPVVPTGSASITNLSTVSCVMSGVVGGFSSSDRLFSGPMNPGWRRTPPGGRXXXGAPPQPARAATLCTRTPPALSPMRNTREKSAALRRRSTAGSSATLELPPDATSRSQSRAAWPASMAAGRRCSGVSGRTTETMPATSSSTVRRA >OB0044G10170.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:53050:54156:-1 gene:OB0044G10170 transcript:OB0044G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKEQQEAANPARVLVESVTNWIRVYSDGSVDRLCPPEAAPFMEIVRPYEEPRDGVTVHDVATDRGVDVRLYLTAPEEEEPTTMARRRRRPVLLHFHGGAFCVSHAAWSLYHHFYARLTVELDVAGIVSVVLPLTPEHRLPAAIDAGHAALLWLRDVASGGSSNVALDPAVERLRSAADFSRVFLIGDSAGGVLVHNVAARARGAGGGRPXXXAPPAGSSSTPGSSGRRRAGRSWRTHLRPTPLMTQETVDKFVMLALPVGTTGRDHPYTSPAAAARAAEGARLPPMLLMVAEEDMLRDPQVEYGEAMARAGKAVETVVSRGRGIGHIFYLNWFAVESDPVAAARARELVDAVKSFVDSH >OB0044G10160.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:49233:52226:1 gene:OB0044G10160 transcript:OB0044G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATEKLIRHWKILCGDNVNLFPPAFLLVPYMFSQNLQFLSVCFQVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGYTGGILSIEAPLHVSNVQVLDPGKPGKIGYKYLEDGTKVRFARGMNASGVMIPRPEILKERRKPTPTSPGPKDTPIDLVLEKTYDAKAEIGMPDL >OB0044G10150.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:48275:48559:1 gene:OB0044G10150 transcript:OB0044G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVRDGGGKRGADGVHRNLVEPLAEAHEYEEAEHGVPERLHHAGRRGVRAQTRDRELLDEHAANPGARRREETDTRRVSAMRLRRRRRHTGP >OB0044G10140.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:46340:47218:1 gene:OB0044G10140 transcript:OB0044G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGDAEEGNAIADQVDRRDDVLDHRPGEGDEQPVLHDAGHVHGQRRRLPHQQEHRQVERERAQRVRPEHEHVGPDGDCSGGTQPRQVGEGTWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRARTSATAREAQKAMWIMVRETGKGQLYILGSRMYLLYTITEKLSVIHTLTYA >OB0044G10130.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:46208:47508:-1 gene:OB0044G10130 transcript:OB0044G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTSRQQPRTLLVPTNCSMPFLYARAPTTAGVTHLAKPRHQSFRSCLAQMGGAGDDGDKVPVGNDKSTASAMEVVSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPVSLTMIHMAFCASLAVALTSALTMNVAGVVKDWLLIAFSWTVIKDIVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEVERTAASMAAAKGGDAEAGARLLPEKDAGDQKN >OB0044G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:39671:42868:-1 gene:OB0044G10120 transcript:OB0044G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTENAASANSVEPTKPEECLEFDEEEEVEEEEIEYEEIEEEVEEEEEDEDVVEEVEEEEEESDETEVSHEVDARHTSESKFKGVHQKDGIEKENYAELLALPPHGSEVYVGGISSDISSQDLKKLCEPVGEVVEVRMMKGKDDSRGYAFVTFRTKDLALEAVRELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTQDDFRKAVEEVGPGVLKADLMKVSSTNRNRGYGFVEYYNHACAEYARQKMSTPTFKLDTNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAELKKLFEHHGDITKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELAGQVLDCSLAKPAATDKKDERVSLPSSNGAPLLPSYPPLGYGIMSVPSAYGVAPASITQPMLYAPRAPPGPAMVPMMLPDGRLVYVVQQPGGQLPLSSPPPQQAGRHGGSGGRHGGGSGGSSGSRPGAKRQRGDDTSSSRNKGRRRPY >OB0044G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:36535:37129:-1 gene:OB0044G10110 transcript:OB0044G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEIELSSQMMFPNPETPTSLDDFMPSIRTTCTHTHTCNPPGPSAITHTHTCYHTHTQVLSTDDDSCGDDKTKRKKASKPLGNREAVRKYRQKKKAHTAQLEEEVKKLRAINQQLVKRLQGQDALEAEVVWLRSLLVDVRAKINGALGSYPFQAQCGIGNVSGCDGMAQCFVGKSELGVSQSGEPRLMNCHVSPGS >OB0044G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:29576:30352:1 gene:OB0044G10100 transcript:OB0044G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKGGDAEAGAHLLPEKDGAGPAPVAYYNLAKLQGLKAKEAERRAASMAAAKGGDAEAGARLLPEKDGGDQKN >OB0044G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:21968:24373:-1 gene:OB0044G10090 transcript:OB0044G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLISHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIDLVLEKTYDAKTGIGMPDL >OB0044G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:20764:21537:1 gene:OB0044G10080 transcript:OB0044G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKEQQEAANLARLDVAGIVSVVLPLTPEHRLPAAIDAGQAALLWLRDVASGGSSNVALDSAVERLRSAADFSRAFLIGDSAGGVLVHNVAARAGEAGAEPLDTLLLAGGVLLHPGAGVHRAGEEPVGAGEPTYGLPRS >OB0044G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:16780:18057:-1 gene:OB0044G10070 transcript:OB0044G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSTIPSNAPPPPALTCPLTAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXREAAGVAALGGRHGGLDSELRRRRRAAPGTGSSEVGDDWAWGIVGVDSVEWRGSRADGLACLSAGRSNRDAGGA >OB0044G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:12717:14916:-1 gene:OB0044G10060 transcript:OB0044G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVPPPPAASSSHGEDFAEVAVVRHGETAGNALRIIQGQMDIELNETGRQQAVMVARRLANEAKPAAVYSSDLKRVAYTAQTIATACNVSNVLLNPALRERHMGEHGLTFDDAVRSKPDAYKAFTSEDRSQEIPGGGESLDQLSDRCSYLNTIASKHKGERVIVVTHGASIEELCRHADPTSSVRRRIPNTSICVFHISSTTGHWTLEKFGDVTHLKEVSFSKTAFDGDGTSA >OB0044G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:10768:12303:1 gene:OB0044G10050 transcript:OB0044G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFSYSMLLLLPLVLYMSYQLTRTLAKKKPTTHGFKAHPLLGYLPAFLNNSHRFLDWSSELIAGSPEMRMGFWIPGMRTGFVTANPVDVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGDQWLWQRKNASYEFSKRSLRRFVVDVVQDEVANRLLPLLRRAAAGDVVLDLQDVLQRFGFDTICMVVFGHDPRCLADGGVLEESKSEFMHSFGEAQDLVIGRFMDPIGISWKIKKMFNVGTERRLKKAVADVHAFAMDIVRARRQSASVEDRDDVLSKFVASDDYSDEVLRDIVLSFLIAGRETTSSGLTWFFWLMSSRPDVVVRIADEVRAVRKATGTRPGEPFGFDALREMHYLHATLTESMRLYPPVPMDPQSCAADDTLPDGTFVRAGWFVNYSAQARGRLAAIWGEDCMEYRPERWLGEDGAFQPASPFRFTVFHAGPRTCLGKEMAYVQMKSIVANVFEELVVDVLKEVAGGGVPEHVFSVTLRMKGGLAVKIRRKTEAY >OB0044G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:8093:8914:-1 gene:OB0044G10040 transcript:OB0044G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLMTETKNYRVGALLPSSILYRPLPVPGASGINDRGKLIVPKLLQSYAKGTVEDSLLAKTVASSSVLLLVAKRYPDACVGRRCFVRVM >OB0044G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:6353:6658:-1 gene:OB0044G10030 transcript:OB0044G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPCQPFPAPASFFRLLPSFSEHSKSTQSASCQDWHLPASVKVARMHFVLLDATLDLPKSKCRIALPPNRNLRQLFFTFLNKLAENRSRPNPKPAAATTPA >OB0044G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:5349:6199:1 gene:OB0044G10020 transcript:OB0044G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEAAAATSSFVSGGGSEVLRGRRGSEVVHGRRGVEASTFVGGGEALRGRRSLEASSFVGGEVLRGRCGVEVLHVRRGGGCGGV >OB0044G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0044:2483:4573:-1 gene:OB0044G10010 transcript:OB0044G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGAAPTRACLGALVAAYADGGMLGKATEMCERVREQYGSLPEVTHCNTLLKLLVEKRLWDDAHKLYDEMLAEESGADNYSTFVLVRGLCLEGRVEEGLKLIEARWGVGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTVVTYGSLINWLRRKGDLEKIGSLFLEMKKRGLSPNVQIYNSVIDALCKSRSATQAMAILKHMFASGCKPDIITFNALISGLCHEGHVQKAEHFLREAIRRELNPNQFSYTPLIHGFCMRGQLTAASDFLVEMMGRGHAPDVVTFGALIHGLVVVGKVTDALVVREKMAERQVLPDVNIYNVLISGLCKKHMLPAAKNILEEMLGQNIQADEFVYATLIDGFIRSENLGDAKKIFEFMEQKGVRPDIVSCNAMIKGYCQFGMMSEAIQCMSNMRKVGCIPDEFTYTTVISGYAKQGNIIGALRWLCDMIKRKCKPNIVTYSSLINGYCKIGDTDTAEGLFADMLAEGLFPNVITYTTLIGSLLKKDNVLRACSYFESMLLNNCSPNDVTLHYLVNGLTSGSAINNSISCNTYEVHDKDVLLVVFKKLVSDIGDLRNSAYNAIIFSLCRHNMLGEALDFKNRMAKKGYVANPVTFLSLLYGFCSVGKSVNWKSILPNEVQRDEFKIIFRYKTLFDQYVAESVCYEVSSVLQQYHEESLQQVEQKFANS >OB0043G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:100922:102568:-1 gene:OB0043G10100 transcript:OB0043G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSDGDFNGANADGHDEINADSNVNLKRVYADNYESTESNAVKKARGKKCTKEMCGKATKEGSKASSLSDKEAMKTWKKVLKQNRSKQMKAVLLLSMNSKDQVVAVGNLISRNMTHVVGGSILGDEYYCVVVHSLTDAAEDEILPRPYDHIRTLEDAVGCCIAWPRKHVKRVKHKKP >OB0043G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:98149:100234:1 gene:OB0043G10090 transcript:OB0043G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLGLVMKQANLTHCSAAIEFEACCALSPTRHETHHEKLEEIGLDALAFMSLSSLEKPDLIRWLMDNIDPDTMCLSLDDVRKIQITPREVHLVMGTPLRGRISIPSNQVLRLVHDSITQELGFPSKSHIAAKHMIQALKSRPDDPEVVRFFIMVMMLKLLLPITDFYVPKSDVWVASDLERVASIDWSKAVFEAIRDNVRCWRRTPGSSITSCVIFMAVLYVDNLLPPQDIAMDMMFTPRIHMYTKYIVEQLVVADCDSADAGAPMFGNLLEQRVGLVQSIQEYDKQAKECAAEIEDNSGWSLTNNNCYVNEPLTPYRTVGRRKYQPAARMNLSEQTRAIDAIQNSRTAQVPTGGLDVFIRTDTQVADNLYPIDEQPEQEEVVQQQVQDVPQQQQVQSQDQHQQQLLYNTISP >OB0043G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:88011:96388:1 gene:OB0043G10080 transcript:OB0043G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:J3KU74] MSSAQLVRHSRKLRGLYNALDSERSGIVRYFSAASGSLPTKGNVLLVSAGVEKRIGGARCPQCQQPGKELERSKVSLGGLNGSYILKTSPRNCMLNIIIGPNSSLSCGQVASARSFSTSTGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDIEKFKDYKPSTSASAAPVAPSEPTEPTEPKVEEKEIPKAPEPKAPKTKEASRPGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADVEDYLAKGGRKEALVAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPVQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINIAVQTEHGLFVPVIRDADKKGLGAIAEEVKQLAQRSRDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGSAEQRVIPGSTNGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >OB0043G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:72355:84610:1 gene:OB0043G10070 transcript:OB0043G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSHMMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDFRWGGDPSIILAVDALVASLPIQLKDLQVFTIIRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVSSIVSDMLKWPHRLVVPLGVNADTSELELKPQGRLTVTVLKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDKLQQDKRLGVAKLAVNSIQPEVPSEITLKLLQSLDSLKIKDNKDRGTLHLKVVYHPFTKEEQLEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGTGIVGGVGLVNSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQPEQPSA >OB0043G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:48786:60681:1 gene:OB0043G10060 transcript:OB0043G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSSARRGAGTPGGNGAGNKDHGRKGGGGGGGKGIVACGKRTDFGYDKDFEARYELGKLLGHGQFGYTFAAVDRRSSERVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDNNYVFIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVHKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSDELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMQTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPRSVHRS >OB0043G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:35658:36178:1 gene:OB0043G10050 transcript:OB0043G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPQHSSHSIYYIGYTADAAASCSSSSSSSSEMLQFDTGCCLEETPASPAAAARRTARDNQGRGQPKAEPAAAFIGVRRRPWGRFAAEIRDSTRNGARRKRRKKAELATATAAANATTQTRRSKSTELPATEDESDDHKRFVVELEDLGAEYLEELLWLSET >OB0043G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:22867:27537:-1 gene:OB0043G10040 transcript:OB0043G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKSKLALATISWSLPREREWEQEHGMRIMPSKLYIRINIIRASNVKMSELRWKEKAQRTWPAPYGEGVRSACYVVRKQPLDYTGWQMAEMGVLSLEENLMSLEAISSDSAHRNYDMERRVDALHSDLQKLSNEPRPAKELWLYL >OB0043G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:13981:14838:-1 gene:OB0043G10030 transcript:OB0043G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLYTSLPLSQVGISPPITSAISRLGLAITLASLSLSHKHASHIAPCWHNRAPHVAPHFCFQFKIMKQVVDIVLIAFLVVELVLAGLCEQGYRSNLEQILEAACCDLNKISACLEPCATTGYKQVLIFLATIFW >OB0043G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:7121:10305:1 gene:OB0043G10020 transcript:OB0043G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPSGARRGGDGAAIGHPDGGSTEGGDPAVAVRSFWPTTAKPPSSLHRLSFIAMTRGLVPLDNDALLPSRLMSEKIAGLARKFIPMSSGWAMTALGGDRPSLQYLHDGGTDPPFSNFFREVEGVTSSRVPSSRCTSDKTGSATGSALSFLTSLTCAFPPHRNTVITLVFSCLVGLRTLGWNGTMVFMDFTSWRIAPLQLRDRRMLGVREVEATLVLDNVPTLRSKPERESFHGAMEMVGTDAGCTGGGAGSNAGGGSRSQGQRSSLGGKEGGGPLYTHQEGAKRADAPFEEQGKTKRLRKIDETERCRGGLHQAPKMELQAPLLEERSEELNHRATSLPEKEAAMRRTLEAEVTHEVVQRLPGLGRVGLDSRCPGGGAKGARPNLEVREATLMAHEVEVVETEASL >OB0043G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0043:2719:2907:1 gene:OB0043G10010 transcript:OB0043G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEAQQLRPRARSGRISRTTTGEVMENRWRQSEVRHEERGYVARVRRGKRVCATEKGLRA >OB0042G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:96629:97018:-1 gene:OB0042G10100 transcript:OB0042G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSARSCRDRWRHHLARDLYHRPFTARDDDELLRLHCRLGDRWKEIGRAVYGRTSRILKRRWKELRRSGFAAAAAAARKEKPTGAEDGDDNMVESDKESSSQPESQGRLSLANALASSFASCSLGDD >OB0042G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:89296:90451:-1 gene:OB0042G10090 transcript:OB0042G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSFQQGSSDAHELDLPLAIMLGAEDGDDGIGDSAVDAHDAGGHAQGKRMKCHTCEQVMQLEGVFQKCTHPEEEQREELAKKLGIEERQVKFWFQNRRTRKKVSDERREGVVLEDENEALLAENKALKYAMQDMICFVCSSPVVVPAEETVQQRYLRFLNKRLADELQEATAVLNQIAKDADA >OB0042G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:85891:86115:1 gene:OB0042G10080 transcript:OB0042G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELCYLGPVLKAVLLRRLKGGGLGERVKATTSLSPDGSVGSASGSKASHLAAGSSVEVSAPLLTTGEARLGG >OB0042G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:59166:63191:-1 gene:OB0042G10070 transcript:OB0042G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIWQHCSYWFDFSSAITTSIPHSHPSERWRRSPLPPTPVARAPQADEVGPTCNPAWHGAGFRCFPWLALNFHLTRGLGLSPAALQLVQNAGNLPLVAKPLFGVLSDAVYISRAHRLPYISIGALLQLIAWGTLAIIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLRTQEPKTMFTAFSFLLGLQLALSLNTKETLPSSRGNFNSHHVRTSLSDNLRKQFSNLMVAINEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQYLKLDPSIIGLSKVVGQVMVLSLTLLYNNYLKKIPLRRLVAGVQTMYALAVLSDLVLVKQVNLMLGIPNEIYVFCFSALAEAIAQFKVLPFSVLLSSLCPPGYEGSLFAFFTSGLVFSAILSGVFGVGLSSLIGVSGGDYSSFSLGILLQCLAALLPLGWISFLPEKWTADDKILKPR >OB0042G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:38447:41426:-1 gene:OB0042G10060 transcript:OB0042G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSGRYSRVGLRALAGPSWLEYRTVRRKIAGANRTRNHKNKDTRDLSRSSDLSILLSVEALLLQSARRSGAAPELIICIDRLKLIASQDGLRAGYVLDSTSRHRHQLYPARSNDQMTMWPMQ >OB0042G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:37192:37371:1 gene:OB0042G10050 transcript:OB0042G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHRTDRQNDASSSNRRDEKMKNNVQLCSLQLHACIFFGRRDKRKKKPMMHRCCCSFA >OB0042G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:23220:28564:-1 gene:OB0042G10040 transcript:OB0042G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:J3KU60] MEASAGLVAGSHNRNELVVIRRDGDPGVRGLSRALRAQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEDGVDDLESEFNWRDRNDSQYVAESMLHAHMSYGRGGVDINGVPHPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYTDPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPISSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYTIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMALFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OB0042G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:13408:14628:-1 gene:OB0042G10030 transcript:OB0042G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCRSPSTSPRSSACPCWLSIRTASACSVLAYLSVPKLLELGELPFPAGGDLDEPVRGVPGMESLLRRRDLPSHFRMPTDNQIDPSLQLLTEITACSRRARAVVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAPVRPRRRRVSVPLGAPAGHGRSEPERRRPRSRQGCRREQQGAGRGVGAAAGRAAPPRRGLLPDARRVELDAGGRRRGRADGVLAVLLRPADEQPVRGRRVEDGAGHEGRVRQGRGGEDGQGGDGVRRHQSIGPGAGAAGEAGRRRRRVAEFERLVGFIKELSTNSVHQPHSSIQNSDNNEKMS >OB0042G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:7558:8457:-1 gene:OB0042G10020 transcript:OB0042G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGAHIARVVSTFPNLLTYRANVTLAPKLEFFRRELGLTDAQIRRAVLASPYRVLSYSLEGCLRRNHLLLRELLGSDKNVTAAVLQSTELIHGDIRGILLPKVKALRDHGATDDVIVKLVMTHPKALMHRLSPFEESLVAMEELGIRPCSRMFPYSFGLFARLHPRRWKRRMDNYLSLGWTKEQVTEAFVRHPYCMSVSNDKVRRIWQFVTKRLGWSLEYVVASPVLLSLSYEKRIVPRCMVLNLLASRGLFHGKIKMAHMCMGKMKFVDRYVTRYQEEIPEVLEAYSAGRASAAAV >OB0042G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0042:2458:3353:1 gene:OB0042G10010 transcript:OB0042G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPVSKTAAAALCSTPAFAREMVAGGVAGVVAKTAVAPLDRVMLMRQIQLRGGGGGTLQTFRAIVQAQGARRLYSGLGITYVKRAPSVAVSLVAYDHMKALLKLPPRDHKPTGGK >OB0041G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:98241:104210:1 gene:OB0041G10120 transcript:OB0041G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHFSALFMTTACLVLLTTSEQSSQGEVLQQLRKQLEYPRQLDVWNNPSNDPCYTQPTSGVAVACEDNAITALKIIGDRFTKLPKFNGYPFPNITLSEAFVLDSFVTTLSRLTNLRVVILVSLGIWGPLPDKIHRLTSLEVLDLSSNFLYGSIPPKLSAMSKLHTLTLDGNVFNDTVPDWFNMFLNLTVLRLQHNRLKGPIPASIAKATMLSELALAGNSIAGEVPQLGSLNKLEMLDLRDNELDGELPELPTALVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLVGKPPEKLFALPNISYLNLAANMLSGSFSSSLACSNTLGFVDLSTNQLIGDLPACLNVNVNNRVVKFDGNCFSGNPEHQHEAKFCQQPHNGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVIAEQQLLQKQMQDNSTPGMSTELLVNARYISQAVKLGTQIMPMYRAFSLEELNEATKSFEHSTFLGEGTIGKLYKGKLENGTLIAIRCLTLYQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGEVDEWSVRRVFLVYEYVPSGTLSSYLSGSSPEKTLKWCDRLQVLINIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKREVVVEGQRCIQNNDEQLENLEDDVFSFGCILLEVLMGPKHQRKEYSSMLSELAMSISKQEEREQVIDPVVLGTSSQDSLSMLIAITIKCLSVESSARPSIEEVLWNLQYAAQIQAIFDGDQRSEVSSQIS >OB0041G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:91922:94895:1 gene:OB0041G10110 transcript:OB0041G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLNIGLDKASTTSPMMGGLENNASLSPAEWEAEESLPLMADGMRLTQVLSAAGHGPKAYRGGPREPGAASLVRATGRDSELVDAPIYFEIVAEVPQGPEQGVI >OB0041G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:78194:80378:1 gene:OB0041G10100 transcript:OB0041G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLKVFLADFVGSSIPEGWWSTMVRTPTRRQAIEHPRSRMPSFATVWQDNARMQGHIEGSIDKLRRNARAKTKARAAGLKPVALGSDDDSSDEEAEAQEERILKKELADEPLESESALEEEQEENEEEEEEVVATIAAAPKRKRARKLNNKFDHVATLQDGEPKKQYKNACRYLLVSHDTT >OB0041G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:78059:78277:-1 gene:OB0041G10090 transcript:OB0041G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFEENTFGDGTTNKIRKKNLQFIFHFDGFDEGMKEGEADIESMVAAISVSSCVVMVFGTELEVHFLNVF >OB0041G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:77482:77682:1 gene:OB0041G10080 transcript:OB0041G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLVVKMVRIGSAQSEIQRMNNLEDGIFCLLVKCGIIFCFCIHIQCIYFIIIIFQKSWVFIPSI >OB0041G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:73150:74263:-1 gene:OB0041G10070 transcript:OB0041G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichol phosphate-mannose biosynthesis regulatory protein-related [Source:Projected from Arabidopsis thaliana (AT1G74340) TAIR;Acc:AT1G74340] MELGDKAVGFLLTMTSLSIFTYYTFWVIILPFVDNDHFVHKYFLPREYAILIPVLAGVILLSFLSVFLGFVMLKSKKKKTT >OB0041G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:67531:69080:1 gene:OB0041G10060 transcript:OB0041G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDCFNMAIRMLACNEFLMFLDDTIHYIDLQFWTISDFHREPRRHAKLDIRRLAKLFECWPGMEYKISQCSNVTISDFHCEPCRHAKLDVKRLAKLFECWPGMEYKISQCSNVSKFIWFFDCFVMFLLYFFCRFYCRIHSSATSHYLY >OB0041G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:67598:67750:1 gene:OB0041G10050 transcript:OB0041G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVREVEAIRGSVFASASCEQMSYTYDALKCYAPGMVEVLIDVCGTAFLE >OB0041G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:51969:55004:1 gene:OB0041G10040 transcript:OB0041G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMEATEAGGLAGEMEVEAYRRLFPLVFLERHLRKSVRPDARRTDKARPTTVALGTVSSAHGSALVRLGDTVRHPRGRFLALPLSWGVPHAAYLLPTCQTRTAGGGGAGHLQDPRGHPHELKDAKFKGALFDQWEGFLASIPGLLGISVSQIEKLHMKSCYDTLNKMSKTSSDLLPMLGGKIPDDICIPATSSETTATECTCEDVYCLNADGSLFNAALISAVSAFTHCMFLVSECLS >OB0041G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:27050:29196:-1 gene:OB0041G10030 transcript:OB0041G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPIPELATHYKNRDRSQPFLLCINSTPCHLPAANIGPTALFSRAQGVTPPTSLTQDDSAPLRPTPLLPAAPLPHAAVIVLSRRQMMDGLMGKGLCPKEWVKTAANGRKAYGRKTLTTSPLELEEEIDQYAHYPEENHRRHQRSRVPGRCLWRMCDHRTSCFYYLIYLFIICKLFGLPLTHPWLVGSLILTPDFGYINHGYSTHNYVK >OB0041G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:15357:47304:1 gene:OB0041G10020 transcript:OB0041G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MPSPPPQQPALPILEHEDEIMETVEANPVVVVIGETGSGKSTQLSQILHRRGYTCRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIMNRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVAKLEERIKNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVSTNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPMSIYQNEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGRITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRPIRSKVMEGKRKRQGLPDGSGWGDHIQLLQIFESWNQTGYDPGWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGPTDVQVRRGQKSDLDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRVQLMQVHPSSVLGGDEYGQLPVYVVYHELINTTRPFMRNVCAVEQSWVEPILKKLERLDIHKLSGRSSASKDPEYLEDEQVSAPKKATDAQQSEVDCKIQAARERYLARKGKK >OB0041G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369873.2:8248:10251:1 gene:OB0041G10010 transcript:OB0041G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDGEDSLSKALRYREEVVKNGSQSGGIHGVSGLHDSGGFSDGFWGHGVSEDGHPGNLHGSPNLHGIGRSNGSPILHGTGAEHHGAAVFHAVANVSPPCRGRGHRGGHGGGPGHGKRPATKPSARGGRGNKAPYMPPRPSNSGIVLGDTEAAAGADNDYTEETMDNVPHGMEELDKADWSSTHNRIFCELCIEQIEDGNRPIGIMTPRGYQIIAEKYFQKTGLRHNKTQLKNRWDVLKSMYSFWLGLLNDTGLGWDHAKGIVTAPDEYWQKVTKGHSEWKLQHGPPECLDLLDEMFGTVAVDGSSACAPGENVGGDDGTGDVQDLGDTPIDCSLGKKPVTRVCTSTATSPHKKVKNPMVRIMRMVRIMRNIQSTMDTN >OB0040G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369866.2:90133:90318:1 gene:OB0040G10050 transcript:OB0040G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRQTKFCFSQSMYSVTNFNTHRTKLINDGNRSGTGQLKNSGIPLTLGTILQLKLTGAGE >OB0040G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369866.2:74933:76711:-1 gene:OB0040G10040 transcript:OB0040G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPPLPPPEAEPSDDSIGEEEKEEGESPAAPAPQKPSDHGVASSEDNSDMDTYAQGFQLQMLGGAPHEEEEVGEAGGGSLESEPVRKEAATKAKSEASAKKAKSEALAKKNKKKREASPEPAPSGKAKKAKAEKAAAPERTLSGKSKKAAKAAAGKAAAADTETAPSRKAKKSKSKSKSEKKARTLWTTADAIKILEIHVAHLKNHGTLPNADEIIGAAGDNLDRKNITKTGIYEKVRSLKKRYAATTKKFEESGNLPDEEDDLRMYQLSSEIWGKDAKKDISALASQNNGTPTKSKKRQVQAKKDKVDGDSKEDATAVNENGGTLAENKKGKATKQKTGAEAKIGSSKETAPTASPSKSKKGNHKDKLKEESKSGKSKETATNATQGDGTLVGTNMGKADKGKLDGDTENVVPTEATTIATHGDGTLVVTKKRKADKGKSDGDTQNVIPTEATTIATHADDTLVVSKKGKADRGRLDGDTQNVMSKEATTNSKGRENHEEVLHKEANETNIRREFIELQTLYPNLASFVNGLEVPHQSIFKRSFELISDDEACTLETKIKNQRIDEVKMQLRLANTRKAVVEAFFG >OB0040G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369866.2:54763:60935:-1 gene:OB0040G10030 transcript:OB0040G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVANISTISHLRPSPHTFSVPAMGSLPFLRRRMRSRPVAAVQQDAAVWTPAPVSSSGPASADGSLVHFSVDLSDAADLAASFAAPGQYLLIRVPGEDELKPAFMAIASPPGGAGFEFLVKTVPGTTAEKLCGLRDGDVVELGAIMGNGFPINRINPPDEAQTVLLFATGTGISPIRSLIEYGFGVDQRADVRLYYGARNIQTMAYQDKFKNWESTGLKVIPVLSRADDSWKGEQGYVQHAFLKAQNIANHFSTGVVLCGQKQMTQEITSALVGDGVSPDKILTNY >OB0040G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369866.2:30688:40361:-1 gene:OB0040G10020 transcript:OB0040G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAGGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARAGEASPVLDQKDQMSALFQGKEEALSRLDDRNGRTQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDSETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDQMISRLSPPMPNLGLGRSEESSSELSEMTSRLSATHLDKAGSSPALKLPPLFSLTPSSSGKGSQTQKRNALAQPSQEILPEDKTLPLPSTKDETNGLIHENEGYFVHNIRRSVREAALSKPLNNAERPHDQSSDDGSEHFFIPLSTAPSRKEIDGVNNRRNQKLGFSSPQIKFPKSTSDIHFNADSTMHATPVLLSKLNGNDDPSSVTSMLDPGSALGHQTFMTDDDALDQVFSPPLLLETSLFQDTYEDLLAPLSETDTALMEH >OB0040G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369866.2:24012:26449:-1 gene:OB0040G10010 transcript:OB0040G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMHNSPPSTSSSSSSSSVPETEAIPPQETVAGAGSNDNSVDLPEDKSLAGAEPQEPGFPTAESQEPGLPAALSRKEGDGEKAAAASELKQTEKSSNGGAAAGGDAKIKCDENGVDEGFPYARPAVCELYGDVRVSPKQKTMHLVNPSGAGGFDENGEKRLRPYARKDDFLLPGVVEVTVKSVPSAAAAPKCTKQHAVPAVVFSIAGYTDNFFHDMTDAMIPLFLTTAHLKGEVQLLVTNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPQGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLREALELRRDRPSVLGEEPGMRPQMLIISRAGTRKLLNLEEVAAAAAELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPAEAVVVQIVPWGNMDWMATNFYGQPAKDMQLRYVEYYVGEEETSLKHKYSREHMVFRDPKALHAQGWQTLAQTIMKQDVEVNLTKFRPVLLQALDRLQQ >OB0039G10130.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:127333:135921:-1 gene:OB0039G10130 transcript:OB0039G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGATAASSLFKIIVESHLKGTICCISIGRFHHIPPFNALCSIVFNVLLPHTLTSDYPFIDIAAADSAFEDTDDAEYFHVSVSKRDEQLALYAQIARSAADTTVPFLAQLFSERFAHLSQINGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVVDVAQHPVVTLSWSIINFSRQCLDPGIRARYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVNRAQIDGVDNHAAQHSRKMLNSFAWENNQGEFVLDFVVLISMVALTTYQGENELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLSGRLQRSLAETLTCAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQSAVIYMILKFVVDFVDGQAVFFDAKETSVLVNFCLKLLQIYSSHNIGKVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDYFALVSHLLEVYPEKVANLNKDAFSRIIGSLEFGLRNQDSDIVERCLTAINALASYHFKESLGGRGGLSSQLMESEGSNGKLQESISSHFLKLLLQLLLFEDFRMELAGSAADALLPLILCEHALYQSLVQELLEKQQNPTVKSRLGMAFHNLTSSNNLSNLLDRPNRQRFRKNLRAFLGDVSGFMQIK >OB0039G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:117182:124703:-1 gene:OB0039G10120 transcript:OB0039G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLLCVMVVCLCLLFLYFSGSNGQAGSTALEYGTKFSRSLGWGSDGDSDDGSEESIFGTGDEDDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLDFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRPPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDKYWSLLKPKVKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVSHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKGTVIEFIKKYLSALHWEAVTVVDAEPSPESEENEMIFIIRKKLWQPGGSQDPK >OB0039G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:120406:120726:1 gene:OB0039G10110 transcript:OB0039G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRKDYLGSCEPPGCHNFFRIMKIISFSSDSGLGSASTTVTASQWSALSRSSALQPLLSRSEKTVHAWRRSYVRG >OB0039G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:116855:119203:1 gene:OB0039G10100 transcript:OB0039G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALGDVSAARSAFASLPEHDVVAWTALIGAYADAGDLGEAFELFESMQESGVRPDVISWNTLVSGFARNGDLRAAQHLFDEMRLRGVKPGVNSWNCIISGCVQNARYDEALSIFCEMCESERPDAVTVASILPACTGLMALGIGKQLHSYVIRCGIKLNVYIGSSLIGMYSECGEFGYARSVFAAIEEKNAIVWNELIRSYISEGRMDEAWEAFSLMQENGLRPDTVTYNSFIAAYARAGQREQAYTLLSNMVEIGLKPNVVSMNALISGLHHNGHHADALDAFRYLQLSDGGEAKGWALPGNPIQPNGTTITSVLSLLTDLRLDRLGKEVHCYALRSGLISNIFVSSKLVDLYGKSGDVVTADKVFQRISNKNVVTWNSIVASYRQNGKPEIALKLFHEMLKSDLLPNLVTLQIALLSSGMTMALQYGREVHGYIRKNWPDAYPTALASAFIDMYGKCGKIEAARLVFECTAEKDIATWNAMMSAYLLHRMPREVTNLFKYIEQSGIQPDPVTFIILLSACKQEGSMEEARRYFYSMEDVYGIQPTLKHYTCMVDIMGTAGLLEESLELIEKMQLEPDGCLWSTVLKACKLHSNLEIGERAAKALMELEPNNASNYMSLSNIYANNGLWDSTEAVRVAMTEQGLNIERQRSCLYLGTAVHAFEAGDFSHPAFEQILSTWKDLSDRMEQSGYPPQDVEPYCNSEADPLSCQHTERVALCYGLIAMHAHDPIRISKNFRMCMECHSTIKFISSDMNREIFVSDGCTYHHFQNGSCSCGDMW >OB0039G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:85023:87295:-1 gene:OB0039G10090 transcript:OB0039G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLREMESTLPPGFRFCPSDEELVCFYLRNKVANHRVASGTLVDVDLHAREPWELPEVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRVVHEAATRAVVGMRKTLVFYLGRAPNGHKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSMTEADQQGSNGSDHFIAGAATGPSSPTTTTAAAAAPLLAGSSPDPTVVDRFDHHAALPPLMALMQGGEDQMIAAAAGGSSSSSALLNLAMLQYSFLEHRPPGVDDDAAAGPAPFGACRGGLGGGDGPPALGAMGFEEHGMGEIIEMEPPAWRHDGSGCLYRDELYF >OB0039G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:62504:78892:-1 gene:OB0039G10080 transcript:OB0039G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62600) TAIR;Acc:AT5G62600] MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMKSQQDFIPSFLELLIVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLRFCHGISASNLASHPLVYTALSSLNSDQFLEAAVNVTSELIHFTVSRESNGITEQIPLIQVLIPYVMGLKEQLKDSSKDEDDVKAIARLLADMGDSYVELIATGSNDAMQIVNALLEVTSHQEFDISSMTFNFWHHLMRNLTDRSSYESYGSEVSINAERNRRLQIFHHPYEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFMKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEEKEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIDAAPSELLILPPLVDILNKGMSTSEDTAAAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSVVIMTLPPDHAQRALELICQPVINPLQEIIQQGDTVLQQVPVRQLTLHIDRLSCIFSRVKLPQVVAEAVNRYWPTLKSIFDKRAWDTRTMESLCRSCKFAVRTCGRFMGFTIGAILEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSSEGEKYRELINTIILQRGAVLTRIMVASLTGALPFSRLDEVSFVLVSLSRSFGGNMLNWARECITLIPSQALTDSERSRFLHIVSDASSGSSLGSITDRFAEISEVCRRNKTVQDMVQAALRPHDLSFTVVPQPL >OB0039G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:58212:61769:1 gene:OB0039G10070 transcript:OB0039G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVGEGAERKKVCVTGGSGYIASALVKFLLEKGYAVNTTVRNPDEEEKTSHLKDLQAIGPLNIFRADLNEEGSFDGAVAGCVFVFLVAAPVLVDSDNLQEDITETNVRGTLNVMRSCVRAKTTVKRVILTSSDSAAIYNATAMQGNDGHIVDEQSWSDINYLETLNHTYADWARAYAAGKVRSEEAARRVTRENHMSLVTVLPTLVVGAAPATKGFTTGALVLSLLTGDETMMEMLMYNQQLTGDTMPLVHVRDICRAQVFLAERSESPAPGERYICCGVNTTVARLARFLAGKFPQYDVKTDGFGDVAEDPKILLSSEKLVKAGFEFERKNLDEMFDDAVEYGKALGILPC >OB0039G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:47582:47881:-1 gene:OB0039G10060 transcript:OB0039G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQIDRSIDHTACLLPCKNMEKTTTRQTGEQIALFAVCTCAFICSILQQSLEKTMQGRCNGYRSISSSSKKQEAINRINQDVRGPQPAESQNKFLIGS >OB0039G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:44525:44755:-1 gene:OB0039G10050 transcript:OB0039G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSTKGTHLGGLASSANSLTPHLAPVPAVAAAAVTNTTTTTTKNLSFSSSRELDDHGYRLPAAVAASEAKAEQL >OB0039G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:44428:44649:1 gene:OB0039G10040 transcript:OB0039G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTSRCLLLLLLLLDLRLLISNNTSPHLTSPSLAIAAPLLLHLPPPPPGDGIHGRRARGRRRKIGSWSWWWWCW >OB0039G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:37470:37925:1 gene:OB0039G10030 transcript:OB0039G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRRVLGGGGSGYLGQHLLAALAASGDVDVVFTHHREAPPTLQRLQVQYVASGLRTGVLQIKSDYCSLLAAPWFTV >OB0039G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:14774:19014:-1 gene:OB0039G10020 transcript:OB0039G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3KU28] MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELLDAAFPLLKGIVATTDVVEACTGVSVAVMVGGFPRKDGMERKDVMSKNVSIYKSQASALEAHAAPSCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQIAEKLNVQVTDVRNAIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVADDEWLNTEFISTVQQRGAAIIKARKQSSALSAASSACDHIRDWVLGTPEGTYVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWTIVQGLPIDEFSRKKMDATAQELSEEKTLAYSCLN >OB0039G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369865.2:7426:9345:1 gene:OB0039G10010 transcript:OB0039G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSPEEDDRLVAYIRRHGHPNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTADEEALIVSLHHSLGNRWSAIAAQLPGRTDNEIKNVWHTHLKKRLDDERKATATPTGAGGRRQQTRKQPKAAKKSAAAKRETTTPSPVRSSSVDTSSGVTCSTVTESAAAVSSSPSPSSAHSQQQQHHVAVKEESFSSAELPVPTTDLAGMDESFWSSTDVTGMMDLGDMDEELGLAGSSSSARSEDMEFWLKMLLESGDMRDLDVL >OB0038G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:171607:173337:1 gene:OB0038G10090 transcript:OB0038G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRYLPSFDGTSPHLSLSPLCNDSTAPQYIKPASLTLAARPSTSIREERERRDESAGELRECVVCVELAERGAAMSKEVSEEPEHARPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANVVASGYSNGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >OB0038G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:158758:161946:1 gene:OB0038G10080 transcript:OB0038G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHARPKDYTDPPPAPLFDWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANVVASGYSNGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >OB0038G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:132650:132862:-1 gene:OB0038G10070 transcript:OB0038G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQQDATNNPMTRSCTKFAPNTLPANKLAPPSIFEMIEQHLEKISENHYQHHEKILVADCRPLAVKYH >OB0038G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:130428:132587:1 gene:OB0038G10060 transcript:OB0038G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTWIENEPRGYTTWNFHGEASSSNVNIGNSDGAVPTEEAEDDEISDLLRTSPTAYLNSDGGWDELAIRDAPRDLAGGLDDGGDFEDSPLWKDFDDKYPEFAKDSRNVRLAFSTDGFNPYRSQNAESDILVLQQRILEMQQREEQRMVEFPNVETSQHGSNSRQQMRPRSEEIGEANQHVQGEKPDDYAEDGNCDNELEDGANQQATALPAMNITTSPNVQHFSKDALAGSEVILFAMSSDEKVAKATVVSVNPNNKLAGEALTKFCEVIVNVVLKREHVPHPYDEIKTLGDAVKMLVAWPFN >OB0038G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:97597:101089:1 gene:OB0038G10050 transcript:OB0038G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPEDYAADSLDRASPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTITKIPNHVAIKIALELKKLLVDNSLLDVSHSDLEANLFKLMEKRGYCEDYINRYKMMTRWKRRSCGHPINRSEQGGGIVAFTYAHYSVQDHRCRACGQVLFIPSFASPRICLLTRALLVEALSRAKSMVEASEARTQEAELARDRLITVALNVLRVTPLLTQGSSSINIDSVVQQLERVPAAHDAELRETAKLASNHALAIMKLLYPQVELDAVCDGFAIDYNEETGMKYINEAEVATRSVAFALGL >OB0038G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:57240:60088:1 gene:OB0038G10040 transcript:OB0038G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sedoheptulose-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT3G55800) TAIR;Acc:AT3G55800] METVAAAGYARGAATRSPACCAAMSFSQSYRAKAARPPSTFYGESLRVNTARPFLPGRQSKAASRAALNTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLPAGAAVGAAA >OB0038G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:35142:35888:1 gene:OB0038G10030 transcript:OB0038G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIMTNGKYKSIEHRVTVNAHMERLSISAFHIPKYDAIVSPVKTTADEKVSYKTVTAEEYARLYVKQTGGERALDHAKI >OB0038G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:31268:32503:-1 gene:OB0038G10020 transcript:OB0038G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTCFLWGTLSLCGIPPLACFWSKDEILSHSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEDSLYSISLWGKRISKGVNRDFVLSTTKSGVSFFSQNISKIHVNTGNRIGSFSTSLGAKNTFVYPQEPGNTMLFPLLILLLCTLFIGSIGIRFDNEIGEFAILSKWLTPSIDFFQESSNSSINSYEFITNAISSLSLAIFGLFIAYIFYGSAYSFFQSLDLINSFVKKGPKKFFFHQLKKKIYSWSYNRGYIDIFYTRTFNSGIRGLTELTQFFDKGVIDGIINGIGLASFCIGEEIKYVGGGRISSYLFFFLCYVSVFLFFFIS >OB0038G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0038:17180:29167:1 gene:OB0038G10010 transcript:OB0038G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQKLDWADLLGIFSQPPQGRDMRYWPTETQTFRSSLECYSFELMKVAHSVVASIAKTLNIEIEMMADKYPVQFLRMNYYPPCTSMPEKVLGFSPHSDASFLTLLLEVNSVQGLQIRKGGAWIPVKPCADALLVNVREFLEVSNSNRAYLFF >OB0037G10500.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:441524:446819:-1 gene:OB0037G10500 transcript:OB0037G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIFFTNGSTVKLLRIMMSYFSNHPITCACGKHLSLVPLFIACVTLQMFCSLMVIEAYANFQENLMSLEAISSNSAHRNYDMERRVDALHSDLQKVACFVIRAAQTMRDKLVIFHWQGMWMLGMALKVAEGNGTLILACHLLDKMRNNGIERKNLLEIMQPTTHLELMYTCLKTFFFLMSRVFNFPQVTVVFCKSLRLTNDSMKFASGRITNLISTATESLRFKIMKQVVDIVLIAFLVVELVLAETPPFVFAGFLDIFTELAISLETSHETPPFVFAGFLDIFTELAISLETSPG >OB0037G10490.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:439468:439725:-1 gene:OB0037G10490 transcript:OB0037G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSTWKYGNMWMFYYSSGVYFKWYFNVYVGIHTHVRRESAHEFFQDIGPHVGNAMKFQCFEAFLRIFKRIWTDPEATAVEDPRLP >OB0037G10480.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:436972:439339:1 gene:OB0037G10480 transcript:OB0037G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLIPPFSNFFREGLIPPFSNFFREVWPSLWLFHMFFTLQLVSPATEVVGVTSSCVSSPSSTKSSSSCTRSDKTGNPTGFALSFLTSLTCAFPPHRSTVITLVFSRLVDLRILWLNDTMVFRDFTRRRIVPLQLRDQGAWTYAGSNDPIWTHRGVEWAGATKTLRWWSCACLVSGKLKRRWSSMMCLHFAMEMVGTDAGCTGGGAESNSGGGSRNQGGDARPQGEEEGNGHLSSFTRKAWSADAPSEEQGRTNKRLRKIGETGPSSSPQNGASGATPRDIAIWESTKERIADEVAIEEEVLKESAQTLEVQEATLMAHEAEVAETEASLLATKKANVKPGCAVLAVEVATRSRESALRQLHRLVLGRATKLRWDVFGLGRSGVIVGQDLPESVGYYHGDFMRLEVVAQLPRDH >OB0037G10470.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:435547:435938:1 gene:OB0037G10470 transcript:OB0037G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIGRHCGGSTEGGDTAGAVQRCGHVDVATPRLCGEPAMTTTRSCLATGRWGPVQGQGPAGVGVGDKFTPISVFWAWARNKFGVGPRSFVPNQAMTRPVANLSGDDDDESRRQSVDSL >OB0037G10460.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:435154:435351:1 gene:OB0037G10460 transcript:OB0037G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELCVSLAFNNEDFRFLHLQEAGSRGRFPGFWSGPGPGQGRLHTRGEPRGDTECILDYEMKAQ >OB0037G10450.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:432125:432463:-1 gene:OB0037G10450 transcript:OB0037G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWQAISCAYSPPCTGNCLFPADLFYEFISNINICRCAQRSKLCMKSGRPHPLLKSQLNCEQFDSRIMLSARKFYPLLYFTRCGSHIDKIGMVRTIGGCTYVQYIYSGILE >OB0037G10440.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:427888:430091:-1 gene:OB0037G10440 transcript:OB0037G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQGRALGLVRLQVDLHEVVQDDDDGLQERRASRVGDVDHHPEVDPDLNRFLLNSVTIIVTVVSFGVYSLLEGDLTPAKVEENIIFLTIKLVLRGLVAYVPQVSWTFNATVWDNILFGSPFQPSLYEKAIDVTSLGHDLDLLPGGGLTEIGERGVNITRGQKQRVSMTRVVYSDFDVYIFDDPPSALDGQLCRQTHTIVRLSQGPGIRSPEVNVPGTRGPRKADGTRTWGHGFTRLMYRKWIWALDMHG >OB0037G10430.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:421336:421509:-1 gene:OB0037G10430 transcript:OB0037G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIDNCCMHYLDIKHWDQISSLILTAAPTDCESLGTRHLNFLLQYHFNVFLFFVL >OB0037G10420.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:381415:382846:-1 gene:OB0037G10420 transcript:OB0037G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALDLPNWVIKAIDKRSQGFLLRGRENTNGGNCLVSWDWVTLNVHSLFHVAVQTIIWNGENTTFWCDRWLQGKTIAELAPNLFALISKRAKKQRYVAQTMVIYEYLVIWDLVEGVVLQQEVEGQHIWKFTTDGSVVRPRTLERPEALEEESGPTSTDPEATAVEDPRLPRTSAPLEEINPCCYVQWLVYFVTEALRDTKTRYPQAQKMLCAILVVSRKLCHYF >OB0037G10410.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:367978:368178:1 gene:OB0037G10410 transcript:OB0037G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQISIPWHLQGKSCNTQVRCLTREAIVSIYWLVKMLHVFNTKVPQKICKTSSKNIKFLRLLGRER >OB0037G10400.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:361402:363318:-1 gene:OB0037G10400 transcript:OB0037G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIRDVGQENVLHVITDNALGNLAAGRMLPEKYPRIYWTSCAAHCIDLMLKNFARINPLDRLLRLVDAIGFIYGGLMDARIELAQFLRNELELCIPVINAIDYYMEGKLDSELHLIAYYLNPYYFYRKRNEIISSEKIFANVHRFIQRFYPDEQIQGCERNWSAFEWTQTKKRNKLTVQRQNDIVFVQFNSRTKKVGSAKIEDPPMEECEENGSCNTEGWLEDESAGSQNVARVQSWGYTAKMPDASAKRSHRKATKISSKHGRRLLGEKSNCASTSRTSISANKLMKITMN >OB0037G10390.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:359738:360082:1 gene:OB0037G10390 transcript:OB0037G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLEVISNELACTVKNFLCLYLRLPLTIRKPSKTEFLPLVDKVANSLPGWKAALMNKAGRLITVRMVLSATPIYAMMMLDLPKWVITAIDRRRRCFLWKEREKGNGENYLVS >OB0037G10380.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:355489:356824:-1 gene:OB0037G10380 transcript:OB0037G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G34850) TAIR;Acc:AT4G34850] MVSTNAGVTASKQASSMAPNPGKATILALGHAFPQQLVMQDYVVEGFMRNTNCDDPELKEKLTRLCKTTTVKTRYVVMSEEILRSYPELAQEGQPTMKQRLDISNKAVTQMATEASLACVRSWGGALSEITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAIVGADPTPLERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEAFCQKLMQEHPQQRQLTYDDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEETRQRRETATAEEEDCEWGLILAFGPGITFEGILARNLEARGRDWKLE >OB0037G10370.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:349958:350398:1 gene:OB0037G10370 transcript:OB0037G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNVECETVDPADVTAVASTVRRALKQYDTPAFQEMVQNCMAQDLYCKGPAKKWRRFFLAWVLRGASQASRVTRWRHLPRKTW >OB0037G10360.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:343528:344869:1 gene:OB0037G10360 transcript:OB0037G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQDDGNAASEMENGAGGGRIHEERGTDDEGTGRLILAESVARACGGSSGTPETALAAAVDWKREEDLTANGGGGLRTALATLFHGGGDVLSRWRRRPFEGTAMACGVGSLRIAAAADFEGGSGRLRRRRQLPHNHEAAATV >OB0037G10350.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:341525:343612:-1 gene:OB0037G10350 transcript:OB0037G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFPSPHEFVRRLRRSPSRLQRCRRPAASSSGEHSCGCKSSFSLIPHVLPTPHFLFALTLSSLLDPDASLFVLTLKLRTAFSPKIIWGSTRRWPARVTPRRSTPPDALPGSPRWRSATLSTPSRSNCKLTTQLMGRYTGMLSIVLEGHWLKKALEDFIKVDHLHLLV >OB0037G10340.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:337047:337202:-1 gene:OB0037G10340 transcript:OB0037G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPVGILIYYADNITSVLFLLNQFKLFFLCHYHFLYLFLICMAMLNFLF >OB0037G10330.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:334556:335096:-1 gene:OB0037G10330 transcript:OB0037G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGKDAMHAARYSSPLDCALKALQSDGVCGLLRGGLATLFREAVGNAVFFCTYEYSRYWMHNFLDSPWFSSGNHLVLANDVGIGIMSGGISGMVFWTATLPLDVAKTIIQTDPDPHLSRNPFQF >OB0037G10320.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:328416:329491:-1 gene:OB0037G10320 transcript:OB0037G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLQAHNTAHGKVYRNAFHCTRRSLVEEGVRGLYKGGSSSFIGIALESSLFFGTYSQAKQLLKV >OB0037G10310.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:313347:319013:-1 gene:OB0037G10310 transcript:OB0037G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVKCQVLPELKPRFSQSLALQIAAAWFFMWRLKIPIRQEGYLGECIRKYRVLPFDLYKVETALESSKGGTTTVKVKGRSVVHESSGLQETCHILEDGKSIYNTTLNISYLTRGLLCWNLKLMSEMPLDKVSKEDVHKGFEALTDIQNLLSNTDNRELALRESLIVAASNRFFTLIPSIHPHIIGDEDDLMVKSLELEEVFLVDRDGEFNKYSRYKNNLHNKMMFMAWFKVDKFYWDS >OB0037G10300.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:309912:311351:-1 gene:OB0037G10300 transcript:OB0037G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAPVLFENSGVSGETNSKILQEPMRSSDLSCQGCHGSQLGFRTRTENYSLLLGRPCNKRGTKNDTVNIQRMTISVITCPSRIRVRSKLQGARAREENATRESENIVFVGNDWHTGVLPCYLKSIYQAKGIHVNAKVVFCVHNIAYQGRFARADFELLNLPDSFLPSFDFIDGHIKPVVGRKTNSMKAGITECDLFMTVSPHYVKELISGADKGVELHGVLRTKPLEV >OB0037G10290.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:307341:307606:1 gene:OB0037G10290 transcript:OB0037G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDYLTKWFKSQKVKKPERVLPPMSPEKSSSQSTQQNRSSVGDGLDKLRVVPAVLVWKG >OB0037G10280.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:305551:306355:1 gene:OB0037G10280 transcript:OB0037G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGCDWLRFVFRLRLKGKVGTIPVVFWRCPQLQILRNSQAGRSCHIRIKESFLILSRKMLLKQGSKCKNSDNDMHDCKAPKIDRSILEGAQNKGKAVVSCESNASYTDLQEKLKEHSDTLWKLKDELKKHVSTAELRNMLDIHLG >OB0037G10270.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:305066:305245:1 gene:OB0037G10270 transcript:OB0037G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRLGKTDVIFLDLHANFHLIIFFLFLQWPLYIGRTNLTSKELIFNIVGSRNQSKLYL >OB0037G10260.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:303408:304150:1 gene:OB0037G10260 transcript:OB0037G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKKTMGAIAKNPSVLSDFGLSSAIASHRFFLSSPGRSPIGKDQLWLSEMVRAESPDRCLKEAKKKKRQKEGKKRALATPASRMAAAPKPRKGEYSKFGRSSCKSCRSPVGKDQL >OB0037G10250.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:288441:297710:-1 gene:OB0037G10250 transcript:OB0037G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRSLDENTISYLWFMGEGDETSTVEICWVPDIEEAKKNKCQLTRTPYGRRFAHKGINGYLAFLFKLIVVQGPSVGLNVSLSRYDLFHEHLFLASGTGRLGILNSLALVLSFVSHWKLHPIEDDLYDNMFFIVLGIFPYFLPTCQSFQISLAVIFGSDNSNGVNGFVLCTNDEAMSQFLEQCGGYLTELCLNNVEKGQTMVGATRIWHQGYIYPFTCPVCDKTIENEFEVWLTAPIQNKRDSRGPQVWFVLSIVQKTICRKELHIQLFFLLLAGIREREDYVRIAQDGGLQQVFLGWLLYVAELNSLYHPGISVFLIVVYSNYMLYLGKAELSCSGQSFSLAFLCKLAKCRSRLDVSSKAVKQKEQTLNNLKALNAELEKDVECVRQRETTEKGNSPFWILKGMKSILARRCGTVKQEI >OB0037G10240.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:281874:283461:-1 gene:OB0037G10240 transcript:OB0037G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAWCRPISYGGHLLCIHGDVGRRYNMFEVGNLLFPEVALRVFEDHLVGVDDGQEDVYMLQGHPWDSFGVSFGNLGGVDGGGFFMIFLIIGFGARVVGGDIKISCMDYLKEAPRLCTLLLRPRRALLAEQSTKYVTVWEDVKIKHIMGLPGGAGVDGFGAAACPVCWADRPVVASRFVESRAW >OB0037G10230.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:273077:277852:1 gene:OB0037G10230 transcript:OB0037G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32900) TAIR;Acc:AT1G32900] MAATMGSIPTYRSYQTNGVGTLKQSPHMQFQQSCNYGVRFLKKETLAVRNNTHMAKRRATNNEMRTKPRRSHMSIVCSTGMTIIFVATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGIYVNAKVVFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHLKPVVGRKTNWMKAGITECDLVMTVSPHYVKELTSGADTGVELDGVLRTKPLEVGIVNGMDVYEWNPETDKYVSVKYDATTVTEARALNKERLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELVLLEVKYPQNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVRALTLVCLRFDYASVKLTTILDTILLVNFYTLACARV >OB0037G10220.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:257198:258819:1 gene:OB0037G10220 transcript:OB0037G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGQLQVLHALDEAKTQQYHVLAVVIAGMGFFADAYDLFCITLVTKLGRIYYHVPGNEQPGKLPPRLEAAINGVTFCGMIVGQLVFGWLGDKFGRKMFYGKTVMLMILGSVLSGLSFGNTAHGVMTTLCFFRFWLGVGIGGDYPLSATIMSEYANKRTRGSFVAAVFAMEGFGILAGCIVTLVVSATFQARFNAPAYEEDPRASTPPQADYAWRIILMVGAIPAVFTYRWRMKMPETARYTALVARDAQKAARDMSKVLKVEITGEPEVVESITKDRDYGVFSRRFARRHGLHLLGAVACWFVLDVIFYSQNILQEEIFSDVKWVPEARTMSALEEAYRVGRAQAIIALCGTLPGYWFTIAFIDVVGRKAIQFLGFAMMKGLMLAVAALYGSLTKPGRRIWLVVMYTFTFFFANFGPNSTTFIMPAEIFPAHVRTTCHGISAAAGKVGASRGAVGFMYAEQKADGSEAAATGYPSGIGVRASLFVLAACNVLGILFTCLLPEPVGRSLEDVSGESGGQSETMDGNETDVADSQVLPL >OB0037G10210.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:253973:254209:1 gene:OB0037G10210 transcript:OB0037G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAYIVICSHLLLLHRMNCKLLLLHLPTFCDFDIYCKLKGRTNLLIDTRLSQLNFSTSDLREDKLVELKHFLSTKIV >OB0037G10200.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:253384:254095:1 gene:OB0037G10200 transcript:OB0037G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGAVREGADGDGKKVDLRCPNAANMFHAYIERCIAKMAKVSRSSEGGKSPMSLFSRQSRRSCSSFEDGSVKSGSIKKVDCAAKMEEMDRQKTDKKSSSHKKYDTA >OB0037G10190.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:250079:250276:-1 gene:OB0037G10190 transcript:OB0037G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGFFYTIKVSCSGYFLNEIKGIKLQYTQFSGVFVEYDGTKGFTMFCCCKATIRAHHCCFAAAL >OB0037G10180.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:249580:249798:1 gene:OB0037G10180 transcript:OB0037G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSGAANLIFLFFLDNLTNLYKVQVTLHIELLDLKCRSIFNHVCSLISSHDGSAYCDHILVYLVEIRIMLSQ >OB0037G10170.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:241617:246507:-1 gene:OB0037G10170 transcript:OB0037G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60340) TAIR;Acc:AT5G60340] MAAQGGGARRSRPNVLVTGTPGTGKTTTCSLLADAVGLRHVNIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWISNWRPPRS >OB0037G10160.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:214656:215457:-1 gene:OB0037G10160 transcript:OB0037G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMKTTTERRRKPWMAEWVLLPTSMVVVQLFTIGALILAKLSFNVGMAPFVLLAYRNLAGAIVVLPFGLWLERNYVFFMKKRPIGPAFYQSMVTNRVMLGMSSGEYKLLAKEAIPI >OB0037G10150.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:205894:208087:-1 gene:OB0037G10150 transcript:OB0037G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVTLKILGWISINALFGIVLATGLHYYGLRATYAAYTVNFLNLIPIVTFLIAVILRMEKLKLRTRPGMTKVIGTVICVGGTMVIGLYKGRLLHLWPTHRLTPAQLRSIGAGDAPGGSPDHHSMLVGTLFLCGSCLSYAFWFIVQILEDTKFYIENSDNSWYLLKNTLIKFPCQGVQGVPIEILVDDARMPAGHGPGGGAGHRRRPRAVGVGAPLGSVAAHCDIFGGVQHDSQLLHDHMGGGAARADVSLHVQLPFAGPHCRPRLRAAWNRRLCWEVRATARSVYSALGSLLGAFMIIVGLYAFLWGKGNEIQQQQQQQQDREANDADRTKTTDATSNGEVRIPMDS >OB0037G10140.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:192595:194793:1 gene:OB0037G10140 transcript:OB0037G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKRDLYSHFTVPNLTTLRDFPDNSRKEGKTKRSLEDLEQTFPLQAASMSIGIRSVGTTIILLTAKYPNKEHVAYAYFLSTNPREKVGGVEIDSKDQWLNGHDSVAYSISNQASCANS >OB0037G10130.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:186010:190722:-1 gene:OB0037G10130 transcript:OB0037G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPHHRHSRRRTEPHFNLRNNLLTQALALALVGEKLSKAEYKPPYSTSNTQERRIMNAIGFHPLLYTKSGSPLLCLGRSGSRRSFRYTTIARPYSITPTLAWNVLAVVDFDLRITYVLAGSEGSTNDSVVFKLVLWIWEKAAILARAMARVHARQAKDLGGSGAREKPINWPTTLSKFLLDWYVKKIELPPKGVIKKIHHTVCILAMNAKHGTTYTIDQVQRHYKRHK >OB0037G10120.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:179742:183849:-1 gene:OB0037G10120 transcript:OB0037G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYLKRKAPDSATMGNSSLEPEDHSWEKEIEFDPNTLSLALQRKDQHIVNAVKCVRSTRGHLDELRRDGWEKLEADVYTFCDKYDIIKLEMEEVYINPKRPRQKTGITNKHHYEVDCFNDVIDWLLEELDNRFNETTSELLVCSAAFNPIESFHDFNVESLMNLAKFYPNDFSSGELIDLSHHLSLYIADVREDDKFSQIETISELSQKMVETRKHVCYPLVYRLLKLVLLTNDNNELIGFCYYITSVSIFAHYSVPFVTWWKNKEDKTTLTEKETRRETLWKILLYFPIKQRLPMLFMYKESTDEGRAKDDVSCHPVLRKSTIDEDINAIDTSITPQVQLHGAITHAHARNSTIRTGALVAGVAHRKIAAAIGGGVSVAKAQRSWTAVVEP >OB0037G10110.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:174401:176372:-1 gene:OB0037G10110 transcript:OB0037G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRWKGDRPLPLPVIDECCIPSHVFQVSKYKNGLRNEPKALEPVAVIVRCSPSPKRRRKGDGEEGDKDYIAPKEGETAPRRSKREPKKKDSSKDDEVPADTTGSKKSE >OB0037G10100.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:169203:171957:1 gene:OB0037G10100 transcript:OB0037G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVPLYYFYIISSMVTRLKCGGFVTGFYTCHNIADGFGMIQFMKAIADLAHTDKLPIVPPVWEREILMARAPPCVTYLNPAITSLLSNGPDGDDSSKDIMLSTPPEAMVTRVFFFSATDIASLRTHVPLHLAQSTTTFDVLTAAAWRCRTSAIGYQKGERVYLAFTLNARGRCGSGVLPVPRGYFGNALFYAVIDCAVDELCGKPLGHAVELVHNAKIGMASEEEHMRSMVDAMAVQRELPPVVLERTYIVSDTRYLGEDELDFGWAERVGGGIPLPMLAGSIGVSEYTKCKNSDGDYSTAMRMHLPRPAMDYFAKEMDMLLNN >OB0037G10090.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:163665:164216:1 gene:OB0037G10090 transcript:OB0037G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSTPELVTPARPTPHELKTLSDIDDQKALRYYQPFVEFFRPRHGAPPQAPAAAIRAALAEALVHYYPMAGRLRKLPGGKLAVECTGEGVVFVEAEADVRLEELGDPVAPPFPCGEELLCEVDDTEDVLGRPLFFLQLIMLQLRRCSFS >OB0037G10080.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:161816:162061:1 gene:OB0037G10080 transcript:OB0037G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPMVEDRGGVRSRGELRWRSYTGEGGGGVRLGLRRGEIAGRRDRSTTGGEVEVECDHGQGEEIVLGRGGTAVCSQGEER >OB0037G10070.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:137531:143254:1 gene:OB0037G10070 transcript:OB0037G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSEPELVAPARPTPRETKALSDLDDQWTLRYYETVVGFFRVSPRMAGGLSWAGGNNVAAMVIRAAVAEALVYYYPVAGRLRALRPGGNKLAVDCTAEGVVFVEADADVRLEEFGQPLLPPYPCVEELLCDAGDTKAVVGKPLLLMQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPVPSTMPVWGRDHQLFTARTPPSVAHVYPAYKPILDGLDRTGDDVMLTTPPESMVMRYFRFGPEEISLLRGLIPPHLVRSTTSFELLTAVMWRCRTVALGYEPHHRVRLTFTLNLRGRLSSRDGAGGAAVRRGYYGNAHFSPMAVATVGELTRRPLADTVELMSRAKGSTTSECMASMVDLLASWREQPAFPVDRTYEVSDTKWAAGGGALRCGVAEMVGGGTPFAGDLTSKLISYHMQCKDENGEDMTVVSMLLPEPAMERFTKEMSFWLKSY >OB0037G10060.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:120714:122518:1 gene:OB0037G10060 transcript:OB0037G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGAEEWRRNADTHKMSAEEVRAAGVEASMRPPGRGTGTGETSSYDGKYMGRSQTEWVGLGQRYNELLNKYFYMKDDRSASEMLYEHGPYSPYRVDYADLELEMGC >OB0037G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:80928:85897:1 gene:OB0037G10050 transcript:OB0037G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPQHLGKLRREVKEEAVDAPAPFHKRSRLSLQQWSTDGASVSNQQSSQQEFLEEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHGGTVSASEKLKASNFPGSLLKIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASMHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDPESIKCQDFDHKDDGDQLAPQTFTELLPPHSASGRIDAEGRQQTETTDKLPLHLPGSVSGTQVIKQDAASGDCEQPESIYSWNGIKVPGIRRSMSKSEVANHIGNHIYRHMYSGNLPAVHRGDPTSSKVKLDGITRFLLGSSQIIDSGDGATGKLTFDELTKQLLNDSQITNTADERMLLTRVNSLYSLIQRDSGSGQTKPSSSSSIPGDNEMQDRKPQTSGSNASLPPRQESSGDLLTHLPRISSFPHFL >OB0037G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:48073:61662:1 gene:OB0037G10040 transcript:OB0037G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT5G24670) TAIR;Acc:AT5G24670] MAWELTEVPGNPTPSLRDSTVDVVAAKIEPKLANGLIRQLSQACPLENLRHVKRVRRCLEYEKSGLSIILCLATEPENCSELFPQDVKKIVDSYQLSPFIAKVARFPATSKEEWEEQCKLWPTSYHPHHDLNYVSAFKESELPSIFNCMRTAIQLSEVGNAAVIVDPSTMQIIAKATDQTLQHDNLNSNKCAELNSDSPFSSHEAAEKKEPFLSSSHVSKCNILSMEASCLNPWAWMKQRSSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSINSMTNPNSNGNVEYYPVNESAKRLKVDRKDDEEFVHEESCDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRIKVPESYANGLGDHSDDATSMEKPN >OB0037G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:34064:35017:-1 gene:OB0037G10030 transcript:OB0037G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEQRSAPPPPFYNFLKEAFLLPGRNRGLFMAVFVLVAVSTSALLLASDLTVMPLAEELRLDLKALNATDPMSPDFAKLLKEIQDDTREMVVAPAAYVLLSVVVGSAVRIIILFAAVATYSEERLTFGELLRKARTQLKGPLLTLAFVYVLEIASVALLAAMAGLLVFLMVRHYYVPFLLLSLLVFAGFVFLIYFSVLCAVSVAVAVAEPGRHGAGAFGRAWRLVKEKKRRAVLFVSATSLLAAVVSPVHKLAMACAPSSLVAGLLLVLVYAALMSVVELFGVCAITTFYYECKESNEVVSSDQYVRVSTEANA >OB0037G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:31057:32142:-1 gene:OB0037G10020 transcript:OB0037G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYRARQSSDDHKTTALCTLTTICYDTLTRSVSSIVKTRAMAAAASTTSSSCITFIAESMILPTRNIRLFAPIFLLIFGHTFVFLAVAAIHVNPLAASIDVHTLAAGIPLLVHAPGSTTTHAAPTDTGAIRGHAKKGALVYLAYLLTRLAVQVVAVVAGCTTYSGERLAFTELLGWNAIKGRITRPLTTAMFLGILDLATVALVAVGVSGMASILSFPLLLAAVVFYVHLSAVTPVSIAVSSAEGRWAAPALWQAWRLMKARRKEAGVLTLIACLVPAAVWPVYAIAATLSDRLWISTFFVWLMGIVFGFFLLPVALQLLATAAATVFYYHCVEVHAAVARGPENVPVDVDHNDAVDHV >OB0037G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0037:12052:18314:1 gene:OB0037G10010 transcript:OB0037G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAVRRSTPPLYNFLIKEGFLLPSRNRRTFMAVFALVASSTSVLFLVNNLAVQPLAEEFALHVKALNSAQPMSPDYAGLLKQVHDDTRKLVIAGAAYASFAVVVVSALWIVMLFAAVANYSGERHTFRALMAKAGKQLKRPVMTLAFVYVLESAYVTLPVAMSGLVVVFLLLEQHLVLCLLLSLLVLVGSIFLVYSSVVCSCSVVVSVADAGCHGADARDCVGAGERRCCPVASWLRLRDHRRWRSVVRCLRHHGVLLRVQGAQRGGADD >OB01G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6604258:6608822:1 gene:OB01G19690 transcript:OB01G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVAPSGLKNSSGTSMGAEKLPDQMNDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHAKKQCSYAGV >OB01G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6609689:6613305:1 gene:OB01G19700 transcript:OB01G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26749) TAIR;Acc:AT5G26749] MPLGKYYCDYCDKQFQDTAAARKRHLEGSQHQRARALWYDAVRRQELHGGGAPLVQTDGGIGVCQHFVRTGTCKFGDSCRYFHPKPGPAHPGSPPSGPGSRPMVQQSNFLGSQPNFVGYQAADGSSLSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >OB01G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6612705:6613127:1 gene:OB01G19710 transcript:OB01G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFAYNKGSLVPSLGFSILNLHFALTIQNLAISFSTENYIHGAGPANLHSTDRHMATYIWGCLGAALAWRPLLRQIDPAAGDRNRTPKNDAQTRREFESSKQTRPNLKMLDQIVCIQAACRSTPGHRKHETSHCSSSTS >OB01G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6613040:6613288:-1 gene:OB01G19720 transcript:OB01G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLQAIVSGVDVEEKLIHGERQVSEELERQPERFCPELPAMHQRCGFSNHDVEEEQWLVSCLRWPGVDRQAAWMQTI >OB01G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6625500:6625838:1 gene:OB01G19730 transcript:OB01G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHILRGDHRRRSRFVLAVLQDDRAPSASPEEPRRYGLNGSASRVGYDNAAVEAYLGSNGNGRGNGVAVKPPAESQSSAVLVSASAAQGDDERRRKERAEEISREDAWFK >OB01G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6634804:6635530:1 gene:OB01G19740 transcript:OB01G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLAVPVAGAAPSPPRPSSVSLRPRPHTRLPAKPVRGRRRRALRRRVPPRPAPRRHPLPRRPPALPQWRRRCRPPPHPHPGDNSCIDLSPLFAGGAEPSSPVPVQVPPLLGAFYSTVGMLQLFLDERVSPPPAVSKATGSPQKTAASLVFLALFIELSAEMYRAGVPSNVEAYVLFAGAELAWLLLDGTWLGFAFACLVGTACPLAEIPLIKCA >OB01G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6635743:6636024:1 gene:OB01G19750 transcript:OB01G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELSLSMAAGARELDDDVLLCVHAVLGEPGEMAQGAAGGGRRRRCRGGSRSVMKANKITSQFTNHGVQLSCSCSAQPPDVSARLPTVAVEI >OB01G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6638371:6641214:1 gene:OB01G19760 transcript:OB01G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHCSSFLHLIRRLAGVRHHRGRNTAAKPASGDPPPPTSSLPLDRLYGRTVVVDVEAWLLRPPVHAFTYFMVVAVEAGGFLRGLLLLLLYPLLCAFLGDGRGGARGRAMATVALIGLEEEEVARVGRAVLPRFFLEAAAAGGGGGVSATFPRVMVEAFLREHVGVDAVVGPELRSVAGVVAGLVDEGDAAEVAARRLRALLGDETEEGKQGGAAAVGLVGEGRSGGTVHHLFSRYYCKETFTASEADKRRPLPPGKCGVEPLVFHDGRLAFPPTPSAALAMYAYLPFGVALAVSRVVALSLLPYRVTFLVGAATGVHYRLVAGPRHHAPHPDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRTATTAAAAASASPTGCSAR >OB01G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6644559:6648994:-1 gene:OB01G19770 transcript:OB01G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G62330) TAIR;Acc:AT3G62330] MAREPSPEIDDELFNEVYGKAYSGPVATTTNNVTPRVNDEKRPLTHEKSDEEDDPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTPSSPKRDRSRSPPRTTTDIRPRHTDSRWSHSPRSASHSQSKGYYNERPLDGRSRDDMPKFSKGSPQASANYGTKGRPAQSKSPCQPSYLDDSLRSNDGNNQYVATCVPNTWSTESRGTDSRLSLKFDLPSYPQTLEELELEFRREAMELARVHDKEEDEENYKHRESLREMRENHMKRVTAMRSMQAKKWDKFLEQSFKRHQQVQQTSYAQTTYPDYDQRTTQFAATDGPPIDSKNAYPYITDSYSAPRPHTAYDEFQHERHDNFGRTYGRY >OB01G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6651517:6654354:-1 gene:OB01G19780 transcript:OB01G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWARCLRLVLVLALVVVAASAAVATAKVYSPADKILLNCGSTTDGLDADGRRWVADTNNNSWLEDSGKSSIMAAADQLEPMLPSSIPYMTARVFTMDAVYNFSVNPRDRHWLRLHFYPSSYNGLEPQDFRFSVATSTGFTLLHNFSVYLTTKALTQAYLVREYSLPRSPEGFLTVTFSPTPMVNVTYAFINGIEVISMPDIFGDPATMVGFADETVDVEFSLNVSSGATSLNVTFAPSSRQPPGGASGSHYYAFVNGIEIVPTPDVFTAPVPTFANGGRPSLAPLRGDTAFQTMYRLNVGGAYIPPSNDSGLSRSWFDDTPFVRGPTQGIVYTAGPRFHIKYPSDAAEYAAPTEVYLGGRSMGSDSRLNQNSNLTWTVDVDSNFTYIARLHFCELQLVHANQRVFDVYINNKTAQTDVDVLEMTTERGVPVYKDYAVTMSNDTADEVLWVAMHPSVMLRPQFYDAILNGLEVFKVNNSGGSLAAPDPSPFKLLAENELGWGGPPEFSTNDPAGMARVMGGTAGGAAAAGILAAICVVVYNNKRNRKPGGGGDSHTSAWLPLYHSHTSGKSSGHVTANLAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDNDVKVAVKRSNPSSEQGINEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYHNGGKPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLFEVLLARPALDPALPRDQVSLADYALACKRGGTLPGVVDPAIKHQIAPECLVKFADTAEKCLAENGADRPGMADVLWNLESAMLAQDTFDGASGRPASSLDPATSNDDGSTASATTLGTSSMSHPHETCVVLEADEVVAERATFSQLVQPTGR >OB01G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6654672:6662628:-1 gene:OB01G19790 transcript:OB01G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02010) TAIR;Acc:AT4G02010] MLSQAKVDISIPVGEQRRKKLYSPPITLSVHPPMSAPSYSSISGDSDLSFYSSDLSDNLVQDNRRSEAEIPTHVDAAPPDAASNTSAAPSGLVQPPVSPHNGCCSPNMVQKRGGQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVSQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTSMNYSLSQHTVQINPVLVGDYSLLNLTWFRPLALAPAPTFTISPKPSPSQASTLPRQRADTSNSNDKRTSMSLITVICICIGALIAVLVIVMFICFCTLRKGKKKAPPVETPKQRTPDAVSAVESLPRPTSTRFLAYDELKEATNNFDPSTMLGEGGFGRVFKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGTLGANRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDMLEELADPRIGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSVEFQESIPTPPARPNVRQSLTAYESDGTSSMFSSGPFSGLSPFDTENMPRTAFSEDLHEGR >OB01G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6661739:6661900:1 gene:OB01G19800 transcript:OB01G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINDSSINKDSDIVYVDSDGDFLRPHGRHSQTDHLNISILINNHCFAIENQK >OB01G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6672862:6675464:1 gene:OB01G19810 transcript:OB01G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETASPGAATALDLCDSLYLDVLDNLGAARRAIGFKDAVTIRAMMGMAAQDMQGCDEQFKKVGEKNPMDRFDQSLLKMSENCRALSKMI >OB01G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6677923:6682168:1 gene:OB01G19820 transcript:OB01G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3KYC6] MSLRPSERVEVRRNRYKVXXXXXGGGGRREDNMVEIRKSRREESLLKKRREGLQAQAPVPASAAHGVEKKLESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVSEGCIKPLCDLLLSPDPRIVTVCLEGLENILKVGETDKTLAAGDVNVFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMEEEDDAMGATTEAAPQTFDFGQGGGASQFK >OB01G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6683335:6684941:-1 gene:OB01G19830 transcript:OB01G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMPAVTHDDLSLRKAQERRAARSSGRAAVSLVALSVLCGIVGFILCLAAEGSRSETSHYLMTVGGGTEAAGQVDVCFYNSSGRAPLAFAIGAFLLLAVAAPDSSAAGLAVADGHPRGPADPARLTWQTCCLFFVTWDSGSISSTGTLVIRLVVDLF >OB01G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6683931:6685188:1 gene:OB01G19840 transcript:OB01G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKGHVEKRKRETPPSLLSAQLKSFVSSVSNIRALLGDARRVVGLHLARGRRDLRRARRCRRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASPKQILRRRTIERLLEPKQAWRHQWRNVVQVLADVPGDEEEAAGLPGEPGGVGGPARVPVRDGEPRGRGVRRGDGEQQERPDGERQRRAAAGVVEAHVYLPGGLRAAADGHEVVRRLGARPLRGEAEDEPDDAAEHGERHERHRRPPARPRRPPLLRLPQRQVVVRHRRHRRLHLYDPTPRQLNQPKLHTTTTTQPTISPADEPSLSPGLYKAATSPEPEERKRERICGCTGGRGRRGRGRSWRQQGRNPRRRRR >OB01G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6692004:6692213:1 gene:OB01G19850 transcript:OB01G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWSSDASGSGPGATGLPSQCIRFHHGKGVNEKAAFKGKPIKSLHFSVHRTLHNLARACMKKREERKDVN >OB01G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6693151:6694915:-1 gene:OB01G19860 transcript:OB01G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSRYLEIIGIAEPNQTIRAEVCTDFGENFDPAPFNGLCKLANGQMKHLFL >OB01G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6698182:6699198:-1 gene:OB01G19870 transcript:OB01G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSRTWRWPRCFLFLMMACVCTSLITRCRSDEAAAAFHGQKRSTGDIVARAIICFNDRYIYSGCQEQYRLGPEGALHVPPPAAEAFCGGPCLAETNLVLGCVDGIMDSFRFYNGAAVGDVRLALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYL >OB01G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6698555:6699259:1 gene:OB01G19880 transcript:OB01G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQPSTRGRAYISIVEADDGSGNDVSDKAPKEQHTNNKSKTNLPDLQRVINEVQTHAIIKNKKHLGQRHVLETKAIVVHSFLSEVLRPYEEQVQA >OB01G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6702080:6705211:1 gene:OB01G19890 transcript:OB01G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVQPQWAEAADAEAAGAASQEAGRAGEECCASCGGGEEEGCCAVGEDDDECDGKVVDQATFSRLLRKVSLGEAKEFSKMSYLCNIAYMIPKITPKCLRRYDLRFVTSSVQEKAKAGLDQKQEHKTEKSESPNQESELVENPEPGSNKKTESGLGVNPFGAYHVMSSAASYLHSRAMGVIPFGSRNDVKNDPTIMAIVNGQSGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVRSHLRSSGKAAALRFTGHSLGGSLALLVNLMLLMRGVVPASSLLPVITFGAPCIMCGGDHLLHKLGLPRNHVQSITMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSGASLRQLRSAMSTFFNSPHPLEILRDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETERGRWRWRLLLWWPRGGAGARAPAGRPAGAGEAARHRRLPGR >OB01G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6712561:6717574:-1 gene:OB01G19900 transcript:OB01G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLGQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGDGFKWMSQYIK >OB01G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6721523:6730783:-1 gene:OB01G19910 transcript:OB01G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGATYQPLTAHTPFQTPAGPSLAGWMTNAATAATSSVPSAVVAASPLPVAPNQAVPILKRPTITDYQSAESEQLMKRLRPAGHGVDEVTYSAPIPQPSWSVDDLPRTVACTLSQGSNVTSMDFHPSRHTLLLVGSANGEITLWEVGMRERLFSKPFKIWDVQACTQQFQSVVAKDSNISINRVAWSPDGDLIGVAFTKHLIHLHAYQQPNETRQVLEIDAHSGGVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKETIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWYTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTMDNGFKILANADGLRTLRAFGNRPFEAFRPQYEASSMKVSGAPVVATISPNIGRMDHIDRNSPAKPSPILNGGDPSSRSIDIKPRISEERPDKAKPWELMEVLNPQQCRVATMPETPDQTSKVVRLLYTNSGVGLLALGSNAIQRLWKWARNEQNPSGKATASVVPQHWQPNSGLVMQNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNSQHILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYMSSATINSNPSVYPLVVAAHPQEPNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >OB01G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6746698:6747841:-1 gene:OB01G19920 transcript:OB01G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCSMAKIKPKTLLAQSKQKKGPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAEGFVGN >OB01G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6750523:6754107:-1 gene:OB01G19930 transcript:OB01G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3KYD7] MASSAAMAGGATGSRTIALVLLLLVVLACGGNGGGGFAAAAAVFTGCSFESQEEAEAFEAALLQQACFNVTSTSGSGGGGSEGCRGVYQENVEVRKKKTNIVIVGEGMGETVITGSRSMAGGWTTFRSATFAVSGAGFIARDVTIRNTAGPAAHQAVALRVDSDRSAFFRVAVEGHQDTLYAHSLRQFYRDCRISGTVDFVFGNGVAVIQRTTISTLALAPGQTAGSVTAQGRRDPNQNTGFSLHNCVVEARFPTYLGRPWKPFSRVVVMESYLGAGVQAQGWMEWDGDAGGDLATLFYGEYRNYGPGANVGGRVRWPGYHVIMDAAVAARFTVRRVIDGLAWLPSTGVTFTADLIRK >OB01G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6757378:6758151:-1 gene:OB01G19940 transcript:OB01G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEDGGAQPAEGGELGDGNSRQKGVDGVELGDGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVARRAVAVPGGLLQPRAHLHHVAAADAARHGRAALGRRHGRPHRRHQVPPPRQAPLLVLRTCSQSPGPRHGRPPAGRSMCASTCQMNREYELQSIQLCLCL >OB01G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6759649:6760374:-1 gene:OB01G19950 transcript:OB01G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWAGFGSSMLLTLCLLTGVPSRSRAVQWPFLVAYSSLVLTFITSQSRTSLAMDVLIWAAVMAVLTVGIKYRRLDRLRFLLCPPAPGPPRP >OB01G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6763062:6766716:-1 gene:OB01G19960 transcript:OB01G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNARGLPRRGGRAGAGAAGAGASQAVASGVFQINTAVSTFQRLGNTLGTPKDTPDLRERIHKTRTHITQLVKDTSEKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENAIVATTQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >OB01G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6766507:6766774:1 gene:OB01G19970 transcript:OB01G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASHPLPEVGGVLGRAERVAQPLERRHRRVDLEHARRHGLRRARAGRPRPRTAAPPRQPAGVPRLQVLEAHPSPPPPPPPIGAPQNPSAG >OB01G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6772407:6775355:1 gene:OB01G19980 transcript:OB01G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVRHRTVEVASGVRLHVAEAGPEDGPAVVLVHGFPELWYSWRHQMAALAARGFRAVAPDLRGYGDSDAPADQASYTVLHLVGDLVALIADLGQPRVFVAAHDWGAAVAWQLCLLRPDLVTALVVLSVEYHPRNPSRSPVQTLRAICGDEHYICFFQKPGVAEAEFGRCDIKCALKKFYGMRKPEPLIIPQGKTLFDSIDSDGTCPAWLTEEDIAYYAEKFEKTGFTAGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKASVPNLEDVVVMEGVAHFINQEKPNEVSNHICEFFGKF >OB01G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6778809:6779625:1 gene:OB01G19990 transcript:OB01G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSSSSCTKADDPAELRRRPLHVNVAREKLELEDLSLTCRRDRYCVMCVRAFCSHCCAPHHVLPLGYHIVVPIDAATGKPVVPDHYPGRWRQEPITDFAVGLISSAGDYAEALPRDGYCIYCLRAFSTASCPHHQHCCPPGCFVRIVERDGRRCG >OB01G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6782385:6783781:-1 gene:OB01G20000 transcript:OB01G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIITLPGATYRLGDAAHGALDLEHSRDLGEEYGLFMLRPSMDAPRCLFYDRRKLAATTPTTQLPTATMHDLHSPAAWSRRGHAALQRAPVAILSSIPPSCEIDGNPQAAASSAREQLGELERLKKKRPVVDEP >OB01G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6790088:6790576:-1 gene:OB01G20010 transcript:OB01G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRADMTLRSWRRVARVVWVVESWSRGSRFPELQLGDAHGVREHSREKNGLFILRPSMDAPHCLFYDYRKLAATTPDSQLPTVTTQDLVAGRVVPGVDTPRFNALLWRSPIPPYCSYVITCEIDGNQQTAASSAREQLGRRPGEILELERLKKKRLADEPS >OB01G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6790613:6791746:-1 gene:OB01G20020 transcript:OB01G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLRNLRWEMCRAAHALDALRIRAAAAGPPPXXXRSSCSARLIPWGGGGDGTVMASLEAALSDAKELVVLLGGCPRLTRQPYSAYLFMERCMFGRQMEMEQIIDFLLRPACSLAGDPNPGVLPVVGGREVGKRTLVEHVCIHERVRQYFAKILRLSSDDLMAAGDHDDEHRSLGIDPSARSLVVVDLVGDVEEEPWRRLCSSVRRESGDSKVVIICRKAEHATRLGTAPRPVTLARLRRPELWYFFRALAFGGADPEDRPEMVAIAAEIFAGICDVTRPRSPP >OB01G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6800249:6804171:1 gene:OB01G20030 transcript:OB01G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMRQEAFDIARVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >OB01G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6806967:6807695:-1 gene:OB01G20040 transcript:OB01G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRRPSSSPHTHKKSSHGSSELAGGEEEQSATSRPTVVVVRSGEVRSGMALALSAGSAARAVAARVVVARGYAASAASGAMMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSAPPTSPAATPARERERRFSRRLRPATPIYTLAPPRLSIARTPAASSLHLNTTHHLRAPPAISIYHLVLPACELDALCSMEFLHTSCHSEQRPHLTTYIFFFFSFFHHA >OB01G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6812665:6821169:-1 gene:OB01G20050 transcript:OB01G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH type) helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G47680) TAIR;Acc:AT2G47680] MAEEGEEQGVGVVEARPPLAVEALRRKIVEKVKVNRVTLILGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVAIAQMIAESRKCLVGEEVGYHIGHSNMSNLNSTSSRIVFKTAGVVLEQMRDKGISALNYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLILMSATADITRYKEYFRDIGRGERVEVIAIPSSPRSNIFQRKVLYLEQIVDILKMDSESLAMKYCSGPDITADAGLKPDVYELIHKLLLHIHQNEPDIEKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLNDHEYPAILRLSLREQVLMICCAASRAINDPHVLLQKVLDPPKLDVIEAALESLVQIRALNKPLSPRGCHEPTFYGCLLNSLPLSFDACVLALKFGDIGSLHEGILISIMLDIQPLPIIQPFGHQQLCKIYRNNYFEEGIDLRIGKKEATLVGNLCAFQFWQRMFKDKYFLDCLINVVNTQEPKASNGFLAKPEAEWCAFHNLVPTALNYISEIYDDIMGTLHRFRPSFLVKINPPMYLQPFEFHHVCRHHEVLELENTNPLPSEAENSQLDSHSSCAATPYVSPTDFGASIVVKTLKKLIKEMKTQSAEDKVVTYRELVCGYAQPVFKSEMCVFFLNGSCNRGDTCHFSHSSLAPRPICKFFLTLQGCRNGNSCSFSHDSGSLVSSSITSGFCSQEDRATSVCCKRLLPAAGDGYILVMNDKSLQFSCKLCNYYDPTKIIACTPGFQSVESDSVTKGLKILQNLTDPYHLLIGGEHKLSVPWTKLRRVFWFADLDSDESIGEQDLLQKFFKYIAIKTLSEKLSDLQVIVIMNNTKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPRYPRGMQVSAPVAYIFNMHAPTGVQ >OB01G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6828430:6828834:-1 gene:OB01G20060 transcript:OB01G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAALVFLLAAAATALTSPVAVEGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATTRSGGTACRARSAAPPTTTASPAARPTPTPAAAPPSPSAGDNLMICLVSSQ >OB01G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6840649:6840970:-1 gene:OB01G20070 transcript:OB01G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVFHKRGSLDLISLPADFAAFSSVHMYDIVVKNRDSFRVVDA >OB01G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6849386:6854253:-1 gene:OB01G20080 transcript:OB01G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLGLGGGGGEAGAVRLPERPGEADCVYYLRTGACGYGENCRYNHPRDRASAAAALNGGGKSTHSAEYPERPGQPVCEYFMKNGTCKFGSNCKYDHPREGSVQPVVLNASGYPLRPGEKDCSYYVKTSHCKFGSTCKFHHPETGGVTPNMYPPVQPPPISSSHPYPHLAGWQMGRPPVLQGSFLSGSYPPMMLPSTVVPMQGWNPYISPVNQVASAGGHQAVQAGQFYGLSHQGPSSAVTYSSQYAPLLSSAMPLSSSKQEPAFPERPGQPECQYYLKTGSCKFGSACKYHHPQYLNTPKSNCMLSPLGLPLRPGSQPCAYYTQHGFCKFGPTCKFDHPMGTLNYSPSASSITDLPIAPYPLNFAVAPVAPSSSSSDLRPEYFLTKEFSANQSSPPGGTTCGPAGAIVKAYAPHMLIRPQTSGAGGLVTTHGGYL >OB01G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6861360:6865707:-1 gene:OB01G20090 transcript:OB01G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIACLVSMFLFSSRNEIVGLWFGGGAKNAAALDYPERVGQPICEYYMKTGTCKFGSNCKYHHPKQDGSVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGSTCKFHHPEFGGVPMTPGIYPPLQSPSIPSPHPYASIANWQMGRPPVVPGSYMPGSYTPMMLSSGMVPLQGWSPYPASVNPVVSGGAQQNVQGGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRDLSAPKSNYMFNPLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLSYNPSALPLSDMPIAPYPIGFSIATLAASSSSPDLRPEYISTKDQSVNQVTSPVAASEPVGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >OB01G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6885114:6889891:1 gene:OB01G20100 transcript:OB01G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEEEDDDGEEPRSGQRVSSVEYSKSSESCPLKTERSIDMIGIRRNRGHGEATIFTLRELADATKNFSEECLLGRGGFGSVYKAYLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVHLFGYCVDGDQRLLIYEYMPLGSLEDHLHDLPPGQGPLDWTTRMKIAADAAAGLEYLHDEARPAVIYRDIKPSNILLGEGYQAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPEEQDLVAWARPLFKDKRKFPKMADPLLHGRFPKRGLFQALAIAAMCLQEKAKNRPSIREVATALSYLASQTYERHSTPAPRHNPAGPSVPRALLDDQIDQDTSFPNQNGAHMSVHTGTSHMVQEEVKENCWSSSHRSGRGRVAPNGVDRERALADANIWVEAWRRQEKTSKMR >OB01G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6891813:6892067:-1 gene:OB01G20110 transcript:OB01G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEAVLAGHRREPLQVPARRRHRRPRLHAARRRPAAAAPPRPLHALTGRPAPGLQVLVDGAARVWFLIKLCFLRDFFFMFLGVN >OB01G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6895214:6902576:-1 gene:OB01G20120 transcript:OB01G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT5G18700) TAIR;Acc:AT5G18700] MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRGKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTDPGDVPQPMRGTPCYMAPELFREGGVHSYASDFWALGCVLYECYAGRPPFVGNEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHNFWRSRMSIIPLPPQPAFENMVELSATPYLVERNGDKPLRQATPPKPRDGLRKKDENSAKVFSTPVKNMQSSKKNNTKPSCKADGLKGVNILRMSRIAKKNLQRERDKENYRRHPTEASENETEVKIENNDMELDFGENPEGDAPDDNDGPENPGSTEDEKLSTQGTDGNEENCMINQMDMLTDEAPIKAEATIKTEQNCAENLDVVATPPSICMRKAQRAKITSVATAGSEPSDISAAFWHPTDLAVKPVMPSRKGDKAVETVPMLPFEALPAADYIKLPREQMNAFNGQILQSLSGTYQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDVELASSGILNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRPTASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVIGHLCYIYRATGKLENTRLIAGSCLARLARFSSSCIHLILERLSFKDIACTLIKGNSREQQISLNLLNSALVNSQIIPTMNRYILSLTEEKQLVPGLISIVEQGTDVLRGKTLLFIALLCKNSRRWLPHFFCNAKLLSAVDRLGKEKEGFIHQCTESFVQLVASLVPGILDTVSSDIQQVMGGKRHGAATALTGRAHPKSTIHLFPVILHLLGSASFNHRVVTSHVLLQLANLMKILEAPFQARDDFQMTLLRVLEAATEEPKVILIEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSDGQTVSDLKTISQKYFLPMYPLFSEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMETNILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGIGSDKILALSKQPALIVDSAFSMSIAVDQQSCVMDICDFGGNMGIYIDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAQGMIVSISLSALMRVEALVSTFKGSHDGHLADAASYLGAELQRLPRCG >OB01G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6904418:6913079:-1 gene:OB01G20130 transcript:OB01G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVRASSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYFLHKSSFGGEDEMNTIQNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIYDTVPNALLSDSSAGKSFRPAYMLSDGRLERAGRTKKNTSVSSNGTNNTEVEQTISRSASIIVVGDEVLFGTVEDKLGSALCRKLHAIGWRISHVAVVSNEIDSVAEEVERCKSTDDMVFIVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEMALLPEGITDLLHHKLLPLPMIKCKNVVIFAATNVDELETEWGCLLDTQGSGLVMAKPFVSKHLSTSLLDVKISPVVAKLCIDFSDVYIGCHRISRSGPLVVSLIGKDNQRVEAAAQKLTSSFEGQFSQVDSCK >OB01G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6917931:6920578:-1 gene:OB01G20140 transcript:OB01G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAVRASGDSVMRAKYGRAVYVVQRAFALYPQNCPMRTIYFEDPTAFPEINSFTYDTASTYGLPLETIRTDFKSGLEALLKEKLTKAIFLGTRNGDPNAVGQEEFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVNYCSLYDQGYTSIGSIHDTVPNAQLSDGLGGFKPAYMLTDGTLERAGRANKVNKKKEVDGYSETSSITSAKPRQQQMSRL >OB01G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6923146:6925266:-1 gene:OB01G20150 transcript:OB01G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKYDRAVYVVQRAFALYPFDEIAFSFNGGKDSTVLLHLLRAGYYLHRTSSGNGDQTDCTIQNCPMRTIYFEDPTAFPEINSFTYDTASTYGLPLETIRTDFKSGLEALLKEKLTKAIFLGTRNGDPNAVGQEEFSPSSPGWPPFMRVNPILDWSYRYTSIGSIHDTVPNAQLSDGLGGFKPAYMLTDGTLERAGRAKKVNKKKEVDGYSETSSSAKPRQQ >OB01G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6925763:6928763:1 gene:OB01G20160 transcript:OB01G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVSSSKVRYEPGPTFEENNKEAMLDISPTESTELWLIQWPLNQMKVDVSDFHGEELTLKLHHDGTLGSLESSSGKSYELVSFASQQPDATVFLPSGSEAKAVGKISRRVSLVRYPDPEELEKPSYGSLTPSSKKSAGSSKRTRSRFTSGSKNRSSQGSALSLGQQSAEPMHKHKQKGKDESSLAHSNVSGKSTEGSQARSGDSNTTSEMPQSSAERSKKKNKKVRIAE >OB01G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6930284:6932547:-1 gene:OB01G20170 transcript:OB01G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAVRASGDSVMRAKYGRAVYVVQRAFALYPFDEIAFSFNGGKDSTVLLHLLRAGYYLHRTSSGNGDQTDCTIQNCPMRTIYFEDPTAFPEINSFTYDTASTYGLPLETIRTDFKSGLEALLKEKPTKAIFLGTRNGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVNYCSLYDQGYTSIGSIHDTVPNAQLSDGPGVFKPAYMLTDGTLERAGRAKKVNRKKEVDRNSETSSITSAKP >OB01G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6936192:6936380:1 gene:OB01G20180 transcript:OB01G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSESLKAACHVHWEEGETLEEVYLLLGDARGARRLHELAGDGEVCDNPNGGIKNWRDKSF >OB01G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6944323:6944574:-1 gene:OB01G20190 transcript:OB01G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASKHPFFFRQYHTLYHDLDRSIDRGPCGQRRNASAICNLQVGNFHDQNAYWNIYTVIIAGLSVSDRHRIKIVSSASYCNLI >OB01G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6946863:6948543:1 gene:OB01G20200 transcript:OB01G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGYVKSGDLARARELFDVMPERNVVSWTTVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGMVDLGEWVHMFVLRQRLCREIKLMNAIIDMYVKCGSVKKAVEVFEGMEQKSIVTWTTMIAGFALHGLGLEAVGLFRRMERENVSPNDVTFLAVLSACSHVGLIDLGRWYFRTMVSQYKIKPRVEHYGCMIDLLGRAGCLMEARGLVHDMPFKANAAIWGALLAAARTHGDAKLGEQALLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRVSMRDRGLRNVPGASSIDVDGMVHEFTSRDGSHPSLHKMCEVLRAINTNIKSVGQITVLPESLHNVEEGFFMRFSLLRIKVDQAFVLQFKISPLATYVE >OB01G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6949098:6954396:-1 gene:OB01G20210 transcript:OB01G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55550) TAIR;Acc:AT1G55550] MDVQPARTMRNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLPRMKVVVEKTENIGDDNTESENKVEKIQDELVSLNAQLKQITLHRREALNNYLDLKGNIRVFCRIRPFHHEETYSSRNLFTLDESSIFLQVAETKRKQYKFDKVFNPLSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNRASECSNRFLFTFSMLEIYMGNIRDLLAPRSKSNGLKNVSSLSIKSGPDGGIEIEDLVAVTVNSFEEVKRLYEVGTRLRSTASTMANSTSSRSHCLIRISLTCLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTVMLVHVSPNEGDLCETICTLGFATRVRSIRLESEEPPEVKARKETLLIDLGLKVNDLEHECEEIRRKIKNLEESMEQLTGSQPTIDSNFDMSHLFMEELKTDASSNVRSSKNRREVSSRLPRFMKPTASSQHRIGLNNCIHVTNRKKPPVPPRRRPSSVYAESVTIPGNAAPWQSECSMSMTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHDKSLHDQVTCYTDYQLAESRDVKNKIEEKGIVDIENWLHQQIIEKINTCRSKLELDIPIVTEDVIYVSSIPSPITMACTKAGSQVKDKGIYLTLQPSTDYVEDMKQAKALNQFAAQEFCTPPIKEFYINNEVKGHKNENLVYHGRPRKSLQEELEDCMLEKPDKDSRSHRLQDEKHKIGKLTKFFQALRQHGLVLF >OB01G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6952013:6956553:1 gene:OB01G20220 transcript:OB01G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGCKSKENVGCGSCLWRTHTLLKSFLEFQGLYHFSRKAFADVQVSADKIKFLPEMHLLFTRQSSLAFKQGTHDWIDKWISTGVQNFNTMFLEKNFEN >OB01G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6957652:6957891:-1 gene:OB01G20230 transcript:OB01G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCLNLFVFILLLSLHVSTLIKVLKMWVVLHSFPAFSTSCWIDCDVLFFYYLLALCDVLSNFPDLGHPRCNLKLVHK >OB01G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6961880:6962425:-1 gene:OB01G20240 transcript:OB01G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRASFSDCYDGMGLASSSGAGGGSGHRRASVCCAAGDGNGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLDAVPVPVRGAVAQRRGAAGGRRKTSGPPPPASAITGGRKRRVRRTGREEEEGLPELEKERLFMALHGYDVAGLGACHAGDLHPSVGPNVSGMGRGGEDGWGFRFPL >OB01G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6967420:6972457:1 gene:OB01G20250 transcript:OB01G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin-rich alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) TAIR;Acc:AT2G32080] MDGGGGXXXXXXXXXGVGVGGGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >OB01G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6974150:6974548:1 gene:OB01G20260 transcript:OB01G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPPASSVVGAFRTDLRGRSSPTPPSATGSACMRGSSRTSPRPRRRWLPSIDALHATPTSAPSPKKRRACGGLFFTLRSPSRNGARPQAQAEAAAHDGRWRPEERRDKEKIAVSNIRGSEAFNPLGPHLC >OB01G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6984457:6991719:1 gene:OB01G20270 transcript:OB01G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRRSSYLLAIVVFALLLLTSTAAAGGRKMLIDKHQVQSTEISDESMQEQQDDETLVMVHERILKQVKTNDYGTYDPTPTMSKPHAKEIPN >OB01G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:6993769:6998731:1 gene:OB01G20280 transcript:OB01G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKESPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEIPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSRIARSDLRAGRSTTSNSSPEAKLSRKSLDMASVASDSKMSISVPSTPPAISGADPSSSSRGHCLPTDPDSMRKARRSPGYQLYRQVSDSKIPSLRSLNESGSPDGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNPTPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKESRREPRLGTSSSLKGSLSRPFLRRHFSIGSRPSRSISESESARKKGFWARHWRE >OB01G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7000377:7004777:-1 gene:OB01G20290 transcript:OB01G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGCDPVEFRVVICRMDSLRDIVLPPGFGFHPKDTELISHYLKKKVHGQQIEHDIIPVVDIYKHEPWDLPEKCNVPTQDNKWHFFAARDRKYPNGARSNRATVAGYWKSTGKDRTIKMGKRTIGTKKTLVFHEGRPPSGRRTEWIMHEYYIDERECQACPDMKDAFVLCRVTKREDWISGNGHELDNTDPPELNDDPTPVISPQQPDPAASSVVGTEQRNGEPTSVTVAESPEGVTSSAITADQASHTDGINIDEWLEELFDPSFNPEQDLASADLSRDEQNVESSNISALAPKVEQVYSSPNQNVADDTDYLLAEDLYNILNPGTDDFNMLQHPIDQEMLAFPCVYPSGALDPCDLANSLGNGTQKELWSPQDNSEPTQANEAADNGITIRNREGKIPTTSVPQYKGRRHAKIQVGINKMATSSSESINQTFTFESSSSCLVEHQKNREHDATTPSKRSDAVKPLCSNGGLLRSIRNAFTGCSEAGSNVILITVFAVGVAAVALHVGQRLGFRL >OB01G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7016337:7016765:1 gene:OB01G20300 transcript:OB01G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPISVRLGDETYGANQGFRSEDGYGDLNGDGEGSTARMAAVDGVVRMAAVARPGGVEDGNTTRMAVWTEELGFGVWTEQ >OB01G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7022441:7025995:1 gene:OB01G20310 transcript:OB01G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVILKSFPSHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLISLLHKGKPVIGIIDQPILRERWVGVDGQKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEDEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWAVSEESRPESFNVVASGDARVHKQALDALQWH >OB01G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7026505:7029385:1 gene:OB01G20320 transcript:OB01G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19690) TAIR;Acc:AT1G19690] MAPGVHAPRPAAPMAAAAPPHPRPRHMLVLGTGFVGRYVSARPPPQGXRVSGTCTGPAKKTELEMLGMDASVFDATSNSLANLKFLRDATHLLISIPPIPGIGDPLLSSHPDLQRTLNYGNLQWLCYLSSTSVYGDCGGSWVDEDHTVNPTSESAKLRYAAEKGWLNVMDDLDLSAFIFRLGGIYGPGRSAVDTIAKSKSLSQRQKLRESKQYTTRIHVADIYQAVLASMSIRSARRIYNVVDDDPAPRAEVFAFARNLVERKHPSLIMHPAVPATEDRTVAGEKRVSNARLKGELGVRLLHPSYKSGLQSILDSWRAES >OB01G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7030103:7032194:-1 gene:OB01G20330 transcript:OB01G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGCVPHVRVVSRRLVQASDASIQPRVLAVSNLDLLPQAIQVSMFCVYPKPSPSPSTTGAFQDVVTAFASGLPSLLNHFFPFAGRVVTDPCSGLPEVHCGNQGAELVVGVADVELSCLDYGSVGASIAKILLPYAGDVALSVQVVSFPCGGFTVAWGTNHVVVDGRGLSLLVSTSH >OB01G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7036451:7037121:1 gene:OB01G20340 transcript:OB01G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGSDMHVRVVSRRLVQASDESIHPRVLPVSNLDLVPQTIHISMLCLYPKPSAGGFHDAVAAFAAGLPSLLNHYYPLAGCIAVDACSGLPEVHCNNHGAELVVGEADVALASLGYATAGTSIGKAIQVPYADDVALSVQAGGGAPGGGGGGELVDGCGLSMRVSAWSELARSGKLSPASWPNHDRSVFRPRAPPSYSASLDEAFTPLVGERQVNVLTSEQ >OB01G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7039114:7039407:-1 gene:OB01G20350 transcript:OB01G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHSGSDLDDDMGSNEDLGDSMHSDEDSDGDMHTNGDMGNSEDKRDTMHWDENLDVEVHMTDDVDIHYNSYNGLGSNNFIDIDRDNNFHDLDDYD >OB01G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7049610:7050710:1 gene:OB01G20360 transcript:OB01G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAVTLSYMVYDAACCYLNDDVRLDNTVHHLVSIVGIAAGLAYRRCGTEMVASLLVTEISSPLLHLREILKEFGIKDTDLNLLVDILFAVIFSVARMGFGPYLTYVTVTSDNPILIKAMATGLQLVSAYWFLRILRMVKHKLGKKRPAPKVAGD >OB01G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7051225:7056881:-1 gene:OB01G20370 transcript:OB01G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPSSAAGGRRPAPPRTPPPPPRPPGRRWRSPRRRRRPAAAAPPPQGPPPPPATPVGDGEAPAVVGGRRPPPRPPKDPPPPRHPGRRWRSPRRRRRPAAAAPPPQGPPPPPPPRSAMAKPPSSSAAGGRRPAPPRTPPPPATPVGDGEAPAVVGGRRPPPRPPKDPPPPPAPPVGDGEAPAVVGGRRPPPRPPKDPPPPPPPRSAMAKPPPSSAAGGRGQAHHRTRLLLLLLLAVAACASTAGFLLRGAMLDTCGGSSAPVATARTGAVAGSPLGFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVDSQVVWITNQRSEETNDVTYSLEHKMLSHGVQVLPARGQEAVDNALKADLVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIRESLGVRSEDLVFAIINSVSRGKGQDLFLQAFYQSFQLIQQKKLKVPTMHAVVVGSDITAQTKFETQLREFVGKNGIQDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVTALANNMVRLASHADQRVSMGKKGYERVKETFMEHHMADRIAAVLKEVLQKSRHQHSHA >OB01G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7058585:7058896:-1 gene:OB01G20380 transcript:OB01G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCIVLNNSCHQKSLPHIKRRKGFKQKKRRKGASRNTTTGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXERRRRGRAVRRLGVCFFFSFFFSFPRKLLLPPF >OB01G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7058835:7065609:1 gene:OB01G20390 transcript:OB01G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGWTALVACPMRGIHSLIIVLLVVTCMQWPATAVIVIPNSNCFTFDNHSRLVDFTNLAGENFEYNEKGSVPSDLVVQFCKDVQRRSQAGYVDFGRFISSRSFLTASQPIDFIQTFQNGDLVHCENTFEKMGRTAQVNIICGQCPHKTCKGKQGCICSISYDELICRVVVELAIPCPKSGPRVFKGFSVGFHPRSSELVYNGLTQLGFEQLHHEFSFQSEQSQVSLYLSAVYSLSGLVGKPSFKVNPVKGLDVTLTGSGTNGAMPTTLSPTVLNVIWRCEIARSSPYEVNILIPVEGYDPVEFTLTKECGHIQEKESNPMRGWATFGIISCIFIILSSLLCCGGFIYKTRMEHQSGLYALPGMTILSALLDAVGGPSYLRADDHSGNHASQASWERVPGNSQAAGAIKDRRYGSM >OB01G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7067508:7067705:1 gene:OB01G20400 transcript:OB01G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANTINSQQNKYIQTRPTKSTRWASWARILWALGLSKVEQARPRVGWRAPARRRTGRRRRRRRA >OB01G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7068368:7068721:-1 gene:OB01G20410 transcript:OB01G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFFSEDVVELGSETGQAFQQLIADSVAETAKPNISDFFPFLSALDLSRRPRPAGPGPPAGYAVLRQRHRPAAEQRRREARRPARLAPGAPRQVAARTPTDPSSHDGISHSLTQI >OB01G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7072045:7074477:-1 gene:OB01G20420 transcript:OB01G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G44970) TAIR;Acc:AT1G44970] MASSKLVLAAIFSASLCFTAALAFPDHHDQGAYPVGQSPKPVLSPDYYKATCPQADEIVVSILKKAIAKEQRIAASLLRLLFHDCFVQGCDASVLLDDSEEFISEKKAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWELPLGRKDSKAAYMKLANKNLPPPNATLHRLVKFFERQGLDKVDLVALSGSHTIGMARCVSFKQRLYNQHRDNQPDRTLEKTLYHTLASVCPPGGGGDSNLRPLDLATASRFDNAYYKLLVEGRGLLNSDEVLWTGGDPEIAGLVRSYAESEPLFFEHYVSSITKMGNITPLTDHDGEIRKNCRVVNKNAV >OB01G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7087266:7087604:-1 gene:OB01G20430 transcript:OB01G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQPDQGCCHPGLPGDGLCYDGLHDLLRLGARMVVELWEQAIPRVSVDSAGEEDGRNQAEHQQATHGSRHVSNLCCTALHYVASRRRELLFVCFYFSSCGWRDEAGMGWHI >OB01G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7087301:7089331:1 gene:OB01G20440 transcript:OB01G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KYI8] MATSMGCLLMLCLVSPILLASTVHGNPWYGLFPQFYDHSCPKAKEIVQSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTTIISEKGSNPNMNSLRGFEVVDEIKAALEATCPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNIVDVVALSGSHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDFVSPAKFDNFYFKNLLVGKGLLSSDEVLLTKSAETAALVKAYADDVNLFFQHFAQSMVNMGNISPLTGLQGEIRKNCRRLNNYH >OB01G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7091224:7094142:-1 gene:OB01G20450 transcript:OB01G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57250) TAIR;Acc:AT5G57250] MLSAGVEECGLVFPPSTYRLALSMLCARGNMETALMVFDVMTAAGCQVDDRVCSVIISGFSKVGKTGDGLEFYRKVMRKFSGFEPGLLTLTAVVKLLGREGRTSEVAQLVKEMERKGLVGDAVFYSSLVHGYMSGGLLMEGLREHRVMLNKGIAADVVSYTTVIDGLCREGRVEKVMGFLDEMNRRDAKPNLITYTSLVGGFCKRNRLEDAFSIVRKLEQTGVVVDEYVYSILIDNLCKEGYLDRAFSLLEEMDKKGISVGIVTYNAIINGLCKVGHIEKAVEISEGISADNFTYSTLLHGYIKGEDATGVMAIKDRLESSGITIDVVTCNVLIKALFMIKKVDDACSLFLKMPEMRLRPNIFTYHTVIDMMCKLGEIDRALQLFDDYIKDVLFSSTIVHNCLNEALCNGGKVNIAEQIFIDLIQRNLRPDPCIYKKLIHTHCKEGGENGVLNFILKMDELEIDFFSSVCNHASAFLSTRDCYRGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGQEQTIQPLLSQFIKICGLHEPRMINMLSCHISKNSVSEAIGFSSYMDNGSVPVSVLRGAVYALKREGRILDACNFLKDAEQNGYSVDLAMYSIVVEGLCKGGYLEKALDLCESMKKEGIHPNIIIHNSVLNGLCQQGCLTEAFRLFDYLESSKLLPTLITYSILIAALCREGFLDDAYEFFQKMSNKGIRPTTHVYNLLISGYCNYGLTDKALELVSHFGEILLLPDAFTLGAIINGLCLKGDIESALGFFNEYLHKEMVPDFVGFMSLVKGLYAKGRMEEARSILREMFQCKEVAQFIDSVGDEIQAESLVSLLFSACEQGRINEVVTVLNEVALMSVSSSDSSSCSTLTHLKKVEAPEACDQPMDSGQAVYLATYDVSSNCLHESSERTVQPMIDGAENLCTPSDDIDLYYGNLLRKSFHDDFDTYYPAIASLCSKGELLKAHKAIEAMIQNSS >OB01G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7095105:7099777:1 gene:OB01G20460 transcript:OB01G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med27 (InterPro:IPR021627); Has 112 Blast hits to 112 proteins in 38 species: Archae - 0; Bacteria - 0; Metazoa - 79; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 10 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G09180) TAIR;Acc:AT3G09180] MHRHFDDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEGLSHLHDGEAGIAKKPRLPASNGELEEKTLSEVLKNLENEVPNMKIFTYRRLDWSKRAASLASLMNDDFVDPTKELNLQNMSKSGSGHDTAIDQVAIIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGLSVHHVFKHVTEHADKALQYFVSVEPSKALSLLLHWIASYQTLFTKVCSKCQRLLLMDKSLALLLPPVQRPYHQPSGVGSDPQDAYHIGCSSYDA >OB01G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7100273:7103592:-1 gene:OB01G20470 transcript:OB01G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKDPGIRLFGRVIPLAEAAAAAEAERAQDEDQHREIEETNDNEMKVDVPQEKEDDEMKVDAPEEKKDNEVTADAPQEEKDDEMGVDASQSTDSVEPVSTSTLDNSKEDQGQMNNVEGKAASDSKEENEKTANDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRVDISRSVNPEAFGSSHSTPIQPIGRNEAVLKFGPEVPLCESMASVLSIKEQNVTNTGAVPASENQEDNSCASSITSYNMLPENAVPVDKNGAPVYCNGVNSPMPQYYLGAPYMYPWGIGWNNLPMMVPAKGMSESASPSESCSTIPAPWMNSPLMPPSRLPAPAFPYPLVPPALWGCLSSWPATTWNIPWIRTNDCMSPSSSSNSSCSGNGSPLGKHSRDSHPLREEKEEKSLWIPKTLRIDDPDDAAKSSIWATLGIKPGDPGIFKPFQSKGESKVQTSDSRPARALQANPAAMSRSQSFQETS >OB01G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7116743:7117458:1 gene:OB01G20480 transcript:OB01G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQPGPQQRRLGLGALPLAAVLLLLLSLCLLARAGAAVAATVSAEANLERKEGAMMATAPEEEDAVVGQEAAAEGDRPERMEMETIDDYVPFGANNRHNPHP >OB01G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7122454:7127436:1 gene:OB01G20490 transcript:OB01G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAPPPAPPEIPTRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHTMPVVRRYIKGPMWIHFLVGAPPVIVLSSACAGLAGGTIPALAQLVSSSYHSATASSSFACTTSRDDMHKARSSSTF >OB01G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7131634:7133162:1 gene:OB01G20500 transcript:OB01G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPRPVYLSSAGSDGAGALPLRPRGPAGVSGRRAGGAELDIFSAERYFNADDVVKNKCGDPAVAAEEEEERPQDVASQSGRTMASSEASWNSRSGLLSSHAAQFAAAVGKVQSGGGASATAGANAVPAASGHRGKKPGSGQRGGLFSRDCPCAGRKAVTVDVASEPRSPVTPRTHARFDNSHVVADSTIFKAKAPPPPCEEEPVKMKISPGSSAFRPPLANNIFSAAPNRGSGGPPFSAFPVPDIGRGVVSSGGFTFPVAVGGTKVVNSIVYDPPRESLEGFRPIDEGWAPAPPPADPRAAGGRGGGGGLAAGLARAPGVAAVTDEEAMSDASSDLFDLESFAASSSYPTTYRGRGSRRNSREDDDDAGAAVEPAMSECMYAPSEVSVVWSVATAEGGAFDAASMANFSSAASACCVEEFSFVPAAAAGQEGFTAAMSRSAGRKKGGGGGFLNSCRCEKAVSVGPTPVRVVRPPGAAGPEVTVKASGAAERLRHGGVHMPVRT >OB01G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7136786:7138186:1 gene:OB01G20510 transcript:OB01G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPRARSMADGDTSVWSGSSNFPVTDELEESQCCTGYFTDLLANGVEQSQISNAINATTNEVPAIGKIFQGRGPAFSTEEDILLVSAWLNVGMDPIIGVEQSQGTMWARIHEYFHANKEIESTRSESSLLNRWSAIQHDVNVFCGCLCRIERRQRSGTRETDKMA >OB01G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7138068:7138502:1 gene:OB01G20520 transcript:OB01G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWNILKDKPKWMDKRKKIAAAKKTSNKKQKTAANSSPASLQPAAPADGVCDAQPSGRPAGKKKEKQKLRHGRTIETVDYLMEKKKEANIERELKKEERCQKAFTLQEERIKLEREKFEFKKKEAEKERRPRRRKRREFLAWI >OB01G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7145771:7149445:-1 gene:OB01G20530 transcript:OB01G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGSVLRVNLFLLSSSNSGVLSARFSAPALHTSPSGRPSELYEMLLISGAALPATHGLCALTANHFHTWCWGSILCQVTKMSFLFKSSNGATIEKNLTLEEQLEKINELRKELGDHSSAEIQAFLSDASCSRFLRARNWNVQKASKMMKAAVKWRASYEPEKIYWDDISHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSLCISNGTTLPEGHPHVSAEETANGLDSDASSEASFYSGTESPKHEEAGGIPKND >OB01G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7155145:7158510:1 gene:OB01G20540 transcript:OB01G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1,4 [Source:Projected from Arabidopsis thaliana (AT3G18165) TAIR;Acc:AT3G18165] MASASSAAATREVLMLEAPPAPDARAWRAPPDAEAVDALPYIDGDYGDEAVKREVDRMVEEEMRRGRRKPADFLRDLPPVPAVGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPLNKRNDVAAWRQALRNAQSQLHHQIIRIENLELMLKYGVELYKLQNRQMESVLSRMQKMAVEYNEKIETVNRERKFHQQNTGGQLHSLTTEWQELCQKNIAIQAACVDLQNQIDQLKLGAKELGMPIDDSTGTNQQTSSTI >OB01G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7160365:7161642:-1 gene:OB01G20550 transcript:OB01G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQLGLLLQTPESLDLLAEHAAAHHGGRRAPQQPEVPPRRAGHHPLVQVALERPPLHVLVGAHLHQRLPPGVAAVEHALQQVQEPERQPELVVPPPEVPRPQPRLRHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTATRSGRGGAGLEKEELEQETTRRAG >OB01G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7161434:7164452:-1 gene:OB01G20560 transcript:OB01G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >OB01G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7164831:7165046:1 gene:OB01G20570 transcript:OB01G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKALDQTEQSTNFKTAASETARRSPQAMQTPETPSTDYHFHIPRTNFAGLVAPPTPKDLQRNGTHRHYQIA >OB01G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7170794:7171120:1 gene:OB01G20580 transcript:OB01G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIASIESTDCIPKKIFITAKLTCTTMTHCQETSTIPLGALSRLREAHKKLILAILFLQDPKRSHFTIYEPSPHKFFINPSEKGGESTLDPLWRLIEMSFKDTWMRT >OB01G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7171227:7171568:1 gene:OB01G20590 transcript:OB01G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTTRRIWWQDRRYAVESLSFTQCFAKGFAHAHHLLVVLPTRKGAAAVAIETVGRCEGTHRGKIKLSHCTAVSWPPTAGTAAAAAAEVASIATRSVHVRCALSTYPFRSTE >OB01G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7173092:7176496:-1 gene:OB01G20600 transcript:OB01G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKLLRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVEAGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENEEKMS >OB01G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7177155:7177310:1 gene:OB01G20610 transcript:OB01G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKPCFALIGASDVFLPRAMRFWLQCCAAFSTMVMVDDRMETKHMMCLCVWL >OB01G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7178001:7178216:-1 gene:OB01G20620 transcript:OB01G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFITVCPKRKSNQQSPWYQPPYTKHRYQNHSLSLSLSILHGSLQNKFRRLVWVRHGKWIYRVSLSAPPST >OB01G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7179077:7183741:-1 gene:OB01G20630 transcript:OB01G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAPTAAVGEEHAMDAEAPASVAAAGGDRRSAARGFAVLRSFLAVQQRRAEAYSTLRRGFSAYMANGSELAFQQLCGSVTAEFNDCSKQVIEMVALLSTPEICRSDVANLLKDVQAHEREKLHLTARIQVLKKAGRPSERLVNHADCRSSNMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQAAVTSINEHMEEVRYEIDALESEIVGNNLAEVEEAFPDTLLIK >OB01G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7188836:7189147:-1 gene:OB01G20640 transcript:OB01G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPKRTELIYTGSDQPPHPDHPKPSPPSPPAASSHLFTVPNISNPSRHLPRAAASSTTLPPPPSPSPSPLPSPGAPPPPPLSSPVPPPSSSPRPPSPVRRVG >OB01G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7189278:7189496:-1 gene:OB01G20650 transcript:OB01G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAPTAAVGEEHAMDTEAPASVAAVGDGRSAARVFAVLRSFLAVQQRRAEAYSTLRRYISLLGVPVQARI >OB01G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7194351:7197856:-1 gene:OB01G20660 transcript:OB01G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTCFINVPGCGGGVVEIDVGIGSPNADGVTGTIVARQLTLQWSLWEGQEGEEEVEEVEVEEEVEVDEEEELESDAASIHGLLDSFPKDQLVELLRDAAVAHEDVLTAIRRAADADPAQRKIFVHGLGWDATSETLTEAFSPYGEIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGMPNIPAPTAAPAQLVLPPVSEYTQRKIFVSNVGADIDPQKLLLFFSKYGEIEEGPLGLDKATGKPKGFALFVYKTLEGAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGLGGLYGAGTSGGRKGAAGYGAHSHSLPGAAVGGHVMTPPVSSLASLPGGVAGGPGVNPALGQALTALLASQGGGLGLNNILGVGANGSGLTNPGASAALGGSGLPGGYLGGYGGGGGYGGTPPGGPGRNYMGH >OB01G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7199266:7204782:-1 gene:OB01G20670 transcript:OB01G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRGCHRCLVCLRGRGLTTASSPPLLPTSMAAPPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRAPPPASSPPLLPTSMAAPPHSLPGSKTLLRSNPSPRTPRSTPLPFASRLAFVVTGLTPSAHPPPPPLPGFLYAASSSAGSVPKRSRTMATDAAAAAHSASVGCSAMKAEFARHAEYLNTLNDKRERLVKASRDVTMNSKKVIFQVHRISKSNKEEILSKAENDLAVVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEIEYAKSICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENACFSVHVRGSEYIPLLGSSDNPDYSFFGASDYDQ >OB01G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7210528:7218488:1 gene:OB01G20680 transcript:OB01G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LA RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G21160) TAIR;Acc:AT5G21160] MGAKSWPALEEARQKVAAEAATRSWAGNAGGGEAAKGAQVQQPSPPPPQASNRTHKFDGHGNPNKNNQAYHKNGPKRRPPAANGPPSYPAAMPYHQHPGQPFYYPVIPSPMIVHEYPYPPFAVPVPSHDPHAGKSGYENSMPPFAPLDQAGGNEGNRPVPPQPRGDLHAWRPPVGTHGARPHSGLEGRGHFNHNWQNPQMFGTRENTSVPQGVGPRAFMRPMGHLPHPVGYINGPPYPGPMPPVYYYMPAVPMEPMRGPPRFTPNQPPSHPVLSPEAADLRAKILAQVEYYFSDSNLDHDNFLKSLMDEHGWVPISKVADFNRLKKMATDIQLIVEALAISTLLEVQDGNIRRRSNWSKWVSLSGTSLQSPSSTSMDNTTGECNTGPFSNKDYYSEDEKKHPHPGSTDCVGMETNVSDEPSQDTLTSSVTSLNKGLSNISIDNKPKNISACSVNSQKHEAAFRTGDVKVHKVNTNIKVPDSQSERGFCNDLPSDSPRFSGDQSTFLLDEELELEHAEFSQDIYSHKRVDDEEDDFYVDDQDVNRLIIVTQDGKTCSSVPQAFSKEEVSRINEGLYYYENHAYNQRSFHAGTIDIDSKPAGGPKGNPTNIGTNGIEESGQPIPRRRHSRGNRKAQSSHKQRFFPGNFANNPNNRSHYGGVSESPPSNSIGYFYGSTPENHSYRSSRLSSSPHGVPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCLTERKKLGIGCSEEMNSLYRFWSYYLRDNFNEDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFHHKGDIYGLEKYWAFHYFRNKDSSPISKHPELERLLKEEFRTIEDFKARRAPDKEAGNSNSNTAPAAAGLNKADAK >OB01G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7237463:7237870:1 gene:OB01G20690 transcript:OB01G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESTSVMAADWYMRGHSLREFRPVAVAAKAGERPAAETRRGEAEERGGARRRSRRRASPRRSRSRISAPCPGSPWMSCGLKSAGRPFAGERGKKNLFLRSSLRVLTERGICVVSPVLFKSDM >OB01G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7237669:7240862:-1 gene:OB01G20700 transcript:OB01G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAIRYAVVDAFAGEPFKGNPAAVCLLDLEREEEEDADEGWMQSVAAEFNLSETAFLVRERPPSSAAAGDAGPRFRLRWFTPVAEVDLCGHATLASAHFLFTAVLAKHHAMVEFVTRSGVLTAKKVPAPANAGVSGENKLFIELDFPVVDLVEYNSAEKLSIPETLNGAQVVSVWKSSTAGDLIVELSSGKEVADIIPNIDEIKKCDGRGVIVTGPGPAGSEYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAITVMTGTLLV >OB01G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7250241:7255482:-1 gene:OB01G20710 transcript:OB01G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSAAHRPSCPSAGHCAEWAQAYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKQKSTEGLSLAFLMTWIVGDLFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTIYYSHIYHRLKAKKARSTSKPQRHQRADASLREKLLAPKVIGEIRNNSHSGATVPVSSSPITVNTEIIRHRHGPSSLGIDYYYTSARSLSSSPVPMAGTWSANHHPTNSPPETDDHKESLVSEFTPAQYAPSPLTKNSLSVVPWMALLLGMSLLHFLVGTTHQEVPNGVVIPVGRRLLLLADDHADSSLRDASGSGIGNILGWAMAVIYMGGRLPQILLNMKRGNAEGLNPLMFTFALVGNVTYVGSILVKSLDWSKLKPNLPWLVDAGGCVLLDTFIILQFIYFHYQKRHEPDEPDNADKV >OB01G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7259957:7260196:1 gene:OB01G20720 transcript:OB01G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPWPPHEAAAMSCRGVPAERKRRANSRQNSSQNSTRTPLWSHYGLYLRATVFGSRNKSFDSSFYLNFFINIFMIKHE >OB01G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7265968:7270450:1 gene:OB01G20730 transcript:OB01G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFLQLAKYHFRMDSRSPKIPRRSPDSKDQDSDRNRGDEKNDWDSSRTYGSETDCKDEISDNNKRKGSAMGDNIVDSSRSVDRSHETELHVLRDDRQDKTVEIKDILHDGVEKSDYAQRQLDLESERRNSTGDKSRVDVHRDDKLDSGKDRNWTDKTREPEGSKDYLRNRQWQDSKEANDSEWKNAQERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRSDVGAHRDVTPGTNGDKCANPEADQSGSSNMMSQYPQQGSKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPQVAAEHRFTPSMGPGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKLPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKESYVKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >OB01G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7271456:7275456:1 gene:OB01G20740 transcript:OB01G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >OB01G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7277154:7279373:1 gene:OB01G20750 transcript:OB01G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAAARRRDAQGRRHHPYRTSESGVDRTPPHVARLGGDHGVWDVLPVPRDVAPAAAVAEAGGDADAKTSFACGVWVDRGWALTPEFTDAAASRYAAVAELADFVSQPEQARQRVNAFVSDATRELIREVLPPGSVDSTTVVVLANAVYFKGTWSLPFDPSATFHAPFHLLDGTAVRAPFMTTRIPFDRHVAAFPGFTALKLPYKNDGGDGVPQAAFYMLLLLPDSSGALKLTDLYDMAGTTPEFIKKHTPTAKVPVGRFMVPTFKFSFRFEASPDMMKLGVTRAFVDGDFSGMVTSGKGLYISGVYHEATIEVDELGTVAAASTAVVISQQASARPPMDFVADRPFLFAVVEEITGAVLFLGHVMNPLAV >OB01G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7278857:7282006:-1 gene:OB01G20760 transcript:OB01G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G40935) TAIR;Acc:AT2G40935] MAGKGSYVPPQYVPLYGLDTEEDSLPAEENDATRHKLSRDPTQWSSGICACFDDPQSCCIGAICPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGSSLAPTVPPPTQTMDKP >OB01G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7284288:7289595:-1 gene:OB01G20770 transcript:OB01G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVTSITGSQLSVSGCVRVMEYLTIHSFSVLFPQRPCLRREGWRRPPPATTTKDDHLRKPSKRYSPKRYNLKPVDRAALADYDARVADVEASLSRAFRMLQVQIEAVDRKIDGQVGTARVELAALLEEKRLELEGHLKRLDARTDELDDALAGLKRMEFLRKDEFEKFWNEVKETLGSGSGTEVDLDQIRALAREIAMREIEKHAADGIGRVDYAVASAGGKVVRHSEAYDSGKRGGFLSTILGGDSANPQQKMLQPSFGEPGQCFPLQGSSGFVEIKLRKGIIPDAITLEHVSKDVAYDMSTAPKDCRVSGWYQGTPNEAHSGHAASAKMPVLTEFTYDLDKKNIQTFDVTAPDVGIINMVRLDFTSNHGSSALTCIYRIRVHGHEPVSPGITISQS >OB01G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7301565:7302569:1 gene:OB01G20780 transcript:OB01G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFSYEEVEAATGGFAAKNLVGKGSHGSVYMARLRYGAGGGGGRRRVKGVVAIKKASHAQGEAKLANEIAVLAAAGGMDGVVNLVGVVVAPAGAGGRKGERMLVMEYMANGSLHDLLHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAPAGRAAAAPTAWPGRCTGASCRGARRTCT >OB01G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7306594:7310018:-1 gene:OB01G20790 transcript:OB01G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OB01G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7312075:7322599:-1 gene:OB01G20800 transcript:OB01G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDLHIAKEEEDIGFYAGFVGASYMFGRTLSSVIWGMVADKHGRKPVIVTTLISIIIFNTLFGLSSSYWMALTSRGLLGLMCGILGPIKAYATEACRKEHSHLALSLVSSSRGIGLIVGPAIGGYLAQPADKYPSIFSEKSIFGRFPYFLPCLCISLLAIIALIASFWLPETLHKHTKDMVLNDSIAVEECLSGPTAEENSGGCLNLFTNWPLMSAITVYCIFSLQDVAYAEVFSLWAVSDRKYGGLSFSSQDVGSVLAFSGLFLLVYQILVYPSVAKSVEPITLVRIVAILTIPLLSSYPFMAGLSGFVLHLIVNCASFLKNAFAVTTITVFNILMNDAVAQDFRASANSIAVTLMSICKAIAPAIAGVIFSWAQRRQTASFLPGDHLVFFILNVFTVIGLVSTFRPFYARSSTKH >OB01G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7328501:7328944:-1 gene:OB01G20810 transcript:OB01G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEALKRITWTFAAVYSPATLFSCIASDAAVEAMKALTATPFRRRGGGGATAAAAATLESQSTPKKNKTTDAARISTPRTTTPTREPALQNPKAAHVGSEGFLPRPDEEARGGGGEREQAGGPGGGGEEAAEEVAARGLSLLLLRLW >OB01G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7328844:7344697:1 gene:OB01G20820 transcript:OB01G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:UniProtKB/TrEMBL;Acc:J3KYM6] MASTAASEAMQEKRVAGEYTAANVQVLEALDGVRTRPGMYIGSTGSRGLHHLVYEILDNAVDEAQAGYANKINVILHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRILSDESSSRQGTCIRFWPDKHIFTTTIDFDFNMISGRIRELAFLNPELTITLTKEGQDSQVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRREMDGITLDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKAIKDKDISLSGEHVREGMTCIISVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDLILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERKDEAALYKSEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQVHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLKVEDAAEANVVFSSLMGTRVDVRKQLIQNAASMVNLEHLDI >OB01G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7354333:7362208:1 gene:OB01G20830 transcript:OB01G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGSSVQGRILHGESPTELITSYTESTGRPPVLPRWITSGAVVGMQGGTDAVRRVWNQLQDHDVPISAFWLQDWVGQRRTSIGSQLWWNWEVDDDHYAGWKDLTRDLRRRGVRTMTYCNPCLVPMDQKANAKRHLFEEAKKLGILVRDAAGEPYMIPNTAFDVAMLDFTNPEARAWFKEILAGMAGGGAAGWMADFGEGPPLDARPHLXXXXXXXPVAAHNRYPELWARVNREFADEWRSGMHRRRGGAGDADEQEEDEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGFPLNHSDAGGYCTVDLPLLRYRRSEELLLRWMELSAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFARCAKIYKAWEFYRIQLVEEAAKKGLPVARHLFLHYPEDRRVQKMAHQQFLVGTELLVVPVLDKGRSTVAAYFPAASAGAAWRHVWTGDELGGGAVRGRRGWCADSRPRSRPG >OB01G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7369161:7369370:1 gene:OB01G20840 transcript:OB01G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSTPEEEESPKLQASNHPPPSTISFRRRLAKHATPISPSLSSPRPPSAAAANSHPGLRSFGRSGRERRS >OB01G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7369732:7374691:1 gene:OB01G20850 transcript:OB01G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALGLSYQGIVKNFRLWRIFTSGFAFQSTPELLFGMYLLYYFRVFERQIGSNKYSVFSLFTIAVSLLLEILSLVLLKDTNYLSTLASGPYGLIFASFIPFFLDIPVTSRFRIFGVNFTDKSFIYLAGLQLLLSSGKRSLIPGICGLIAGSLYRLNVLGIRRIKMPQIIASFFARFFAPSSGGSSRSSRSLVGNLTSHTGRAIQNNPLSGFAPVGEPPESSIAMLVSMGFDGNAARQALMRARNDINAATNILLEAQTR >OB01G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7380805:7383820:1 gene:OB01G20860 transcript:OB01G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKARARARAKLLADAGTGDPWLASLSLLPVDDGAAAAASSGWAIGIDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEVIRKRLDTKSIIELLRGLEAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIAALVSSGFSVVPVASQTWKAYFGLTRSGSPKDDSRQAASILFPDKALSLNRKKHHGRAEALLLAAYGKGLVLPSGVFSKT >OB01G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7384918:7388848:-1 gene:OB01G20870 transcript:OB01G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSGDAASEEYPCTRLIYQRHPAPHRSKLLSGENRQVIYANGFSRNQQNYRYFSYSSGQRNTGIGNKIVQDLPRNVKIVEVGPRDGLQNEKNIVPTHVKIELIHRLASSGLSVVEATSFVSPKWVPQLADAKDVMEVVRNIEGVCLPVLTPNLKGFEGAIAAGAKEVAVFASASEAFSKSNINCTIKESLARYNDVALAAKEQKIPMRGYVSCVVGCPVEGYVPLSNVAHVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMAVVPKEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLGKVMAAGEFICNHLGRQSGSKAAIALTGKVTANVSKL >OB01G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7396942:7401739:-1 gene:OB01G20880 transcript:OB01G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVGILLRKLGGFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAAGDGYDQTEQTRTWMKQVREVAYDAEDCIDGFWRHSRRGRHFRDEGLVAGWLRRTVIRPLETLRAMHKLAVEVQILKARALKVSERRLRYRLEAPAPAPPIPKTVGSCAVDSSPGRWRYDDDLDRRLPALNIDESRLVGVRSKTKAILSLLEDGGGHPARRKVVSIVGFGGLGKTTLAATVYNSPTVQGIQHRAFVTVSRNCDLRALLESLLKQLVQTPLMMRDPRKCGQETAGGAGDHDPLRGVETWDIPQLITQCSFQLDGKRYFIVLDDLWNLADWANLKVAFPDNDKQSRILITTRDRHVAENCCSSLTDHVHEMEPLPIQQSRKLFFNRVFQSDECPPQYKSLEKISEDILNKCSGLPLAIVSIGGMLTRKENMTPTEWSKIYARLGYGLETNADLKGMRRILSLGYHDLPYHLKACFLYLSIFREGYEIKRGPLVRRWAAEGFIGGTREWNPEEAAEKYLDEFVSRSIVTPTRVASSGVVRCCKVHDIMLEVVTAKCIQENFISFFGNNRQHEDNLMVASTVGHDKIRRFSVHGTGQKPHGGDKVQEHSNDEKPSRRKRQLRQGNYKEEWGINLSFARSLLMLQCTVKPLPAISFARLKLLRVLDLEGCRWLSEQDLQDIYRLPLLRYLSLRDTGVSWLPKSVGRLEELMTLDIRETHIRVIPEAITRLENLKHLLAGRYRHYTRTRRVKIFEPLEAMTLPSGLRRMRSLQTIAHVDVASSFLVMHELCELPWLTKLCAFNSDYGGNKWHWFASSLSKLSNSLRHLSIIHWRNGDTGLEDFMELSSPPIFLEKFYLWGMLSALPPWISHLSNLVDLSLRENFLYDGEMVMEQLGRLPSLLSLKLYHQSYMGRELYIRENLFRRLKQLILDNLPNLSKLSFQGGAPELERLTLAFLKEPIDGIVGIDKLPRLKEVEFFGHIIVDSVVEGMVAVCKTHPNKPRVYRDDRPMEDSESSS >OB01G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7400792:7401090:1 gene:OB01G20890 transcript:OB01G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRAASATIPAARGGRSSGTPSRTPGAGSPAPGLLYTVAASVVLPRPPKPTMDHLPAGRVAAAVLQQTEDGLRLAADAHERDSSMLSAGNRRSRSS >OB01G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7407859:7410140:-1 gene:OB01G20900 transcript:OB01G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 6 [Source:Projected from Arabidopsis thaliana (AT3G33520) TAIR;Acc:AT3G33520] MTGGSGVVVLDNGGGLLKAGFGGDMNPIAVVPNCMAKPPGSKKWLVADQLQAPDVDVTGMTLRRPIDRGYLINTEVQREVWERVLRNLLQVDPTNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPFLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSKDNPFRCSYILPDGITYKKGFVKDLDEARRYCSLPTNGESVRKDSSDSDRSRFEDKKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECIVRAIQASHPYLQPVLFESIILTGGSTLFPQFTERLEKELRPLVPDDYQVRIIAQEDPILGAWRGGSLLAHRPEFESMCITKSEYEEMGSMRCRRRFFH >OB01G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7412281:7412616:-1 gene:OB01G20910 transcript:OB01G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRETTTHLERRHANWAHSRPVVALDIAWNIAVAAAVLVASMEESPVTPLRLWLVGYALQCLVHVGIVCSDSRWRHRHRHESPTGTDESVPRWPPPKKKSVRIFSSLMLL >OB01G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7413080:7416076:-1 gene:OB01G20920 transcript:OB01G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3KYN6] MRVAANRPSPSSAPLLLLLLVAVSFAAGLLLLLGSASPVVGAMAGHVLGGPHDVPGAANSAETDALARFAVVEHNKRENALLEFVRVVEAKEQVVAGTLHHLTFEALEAGKKKVYEAKVWIKPWLDFKELQEFRHAGDATTFTNADLGAKKGEHEPGWRDVPVHDPVVKDAANHAVKSIQEKSNSLFPYELLEIVRAKAEVVEDFAKFDILMKLKRGTKEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >OB01G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7422229:7422408:1 gene:OB01G20930 transcript:OB01G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKVGVWCAGQYEGATPTCLIAWSVAGVEETTPTSNAEGHGGNDADEQGNMEEWHECE >OB01G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7424032:7426627:1 gene:OB01G20940 transcript:OB01G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39040) TAIR;Acc:AT2G39040] MERELGWRWRAAAAWWFAVAVGCSLVGSGSAGLLESNPGLAYNFYQKSCPNVDSIVRSVTWAQVAANPALPGRLLRLHFHDCFVQGCDASILLDNAQSEKTAGPNLSVGGYEVIDAIKAQLEQACPGVVSCADIVALAARDAVSYQFKASLWQVETGRRDGPVSLATNTGTLPSPFAGFNVLLQSFANRGLNLTDLVALSGAHTIGKASCSSVTPRLYQGNATSLDPLLDSAYARRLMSSCPNPSPSSSTIDLDATTPLKFDSSYYTNLQNKQGALASDAALTQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGSKGQIRKQCRAVNTS >OB01G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7435251:7436711:1 gene:OB01G20950 transcript:OB01G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCHELRPPGVVRGRFCRLRSVAQLDRPLLDHDLDRRERSRFAGLSPRRSLSSPCFTTVPPAADRAKSAADDGKVPRVEIVAGGRAPGVHELIMEAAGAIATGTRLVPAQSGIGGALLLEDDRSGEHLAVIKLLDDAPSPSHGGGGYASKAVLREVAAFLLDHDGFARVEPTAMIKISRPSVPTTTASIQRFAAHEYDAGELGPSRFSVASVHHVGILDVRLLNIDRHAGNILVKKPLESESAGSSNGNTSTPLDLVPIDHGLCLPEQLDDPYFEWLHWPQSSLPFSGVELEYVASLDPFRDAAMLRAELPALTEAATRILTLCTIFLQRAAAAGLCLADIGDMMTREFSAMEEGLSALESLCKNAYDASASTSPRKHPYDDSDDDESTQFGMDDVPAAATAAGLAHFLLGGSGIAKSVSFSAAEQGASGRGGARKRISFEELTGEEWAAFLDRFEQLLPAALEAKKRAGLKLTCRLGTSV >OB01G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7437543:7437863:-1 gene:OB01G20960 transcript:OB01G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYTASPGGRHDARRDDGAERDGLGQREADRLGHIELLLAVGEPPIEGVEQRAEEVLDENYAGKLASAEGDELEVVAKRVDPCGGAAGHEALRPDIEGLCVSMSN >OB01G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7440192:7443085:1 gene:OB01G20970 transcript:OB01G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G63000) TAIR;Acc:AT5G63000] MPASAPPPAEPPPPPQTQPDSTAHTVCIESLAEDGEVEAGATPTRTSAMGTVSWGTATLVGVFAGLLYGGSKEANASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLIGGVRLGMFTATFFGIQNLLIENRGVHDAFNIAGAGSATAAAFGLILPGSLIWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMAKSKSADLVGEQSNQSGVGAAIERLEGSLQK >OB01G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7445431:7449451:-1 gene:OB01G20980 transcript:OB01G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFPQDSPPLSILCAAKVAGVSLSIDPNVSSGSAPTLHLASGDFIHGVNTILRYIARVASASSFYGQDAIQAAYVDQWLDYAPVILSGSEFEAACSFLDGFLASRTFLVSYGLSIADIVVWSNLAGTGQRWESLRKSKKYENLVRWFNSISDYSNTLEEVVSAYVGKRGIGKSPAPSLKEKVPDSKQNTLGPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKARLIVRFDDTNPSKESNEFVENVLKDIETLGIKYDIVTYTSDYFPQLMEMAENLIKQGKAYVDDTPKEQMRTERMDGVESKCRNNTVEDNLSLWREMINGTERGMQCCVRGKLDMQDPNKSLRDPVYFRCNTDPHHRVGSKYKAYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAVLKDQRVLLTLTNGPDKPFIRILPRHKKYEGAGKKSTTFTNKIWLERTDASVISIGEEVTLMDWGNAIIKEIKTENGIITELLGVLHLEGSVKMTKLKLTWLPDIEDLVSLSLVEFDYLIKKKKLEEDEDFLDNLNPCTRQEFLALGDANMRNLKQGEIIQLERKGYYRCDAPFIRSSKPIVLFAIPDGRQKSTTN >OB01G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7452713:7456472:-1 gene:OB01G20990 transcript:OB01G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3KYP3] MVVPFLRGTAWQQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEAFAIYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMIKLLSRHIGKSAAEIAQDIKRPKYFSPSEAVDYGIIDKVLYNEKSQEDGGVVSELKRSNLI >OB01G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7458310:7463656:1 gene:OB01G21000 transcript:OB01G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E1 C-terminal related 1 [Source:Projected from Arabidopsis thaliana (AT5G19180) TAIR;Acc:AT5G19180] MSSSPDEDPPAPTEPERWRDLDMLLSRPGNLVDANFAPSPGLRDMLGSLVEVLVVGAGGLGCELLKDLALSGFKNLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKEIEFYSDFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETIKFMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHPGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLMSGCSKTVSNYLTYNGIVGTHINVSEFVRDKDCLVCGPGTLIKLDASTTLSEFIKMLEEHPKLLMSRASVTHEGDNLYMQAPEVLEQMTRQNLGVPMFELLKGATRATVHVTGMAENNGKKKSSLRKLRVAFNGVEEASKMDESS >OB01G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7464743:7466658:1 gene:OB01G21010 transcript:OB01G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQSMFKGQGKKKTIPPNRHGKAPHVRKGKRAVKPAKFTKDMDADKELTKFINQCNEKKAANLASKEGGDLSILKADVDPSNAN >OB01G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7469818:7475462:1 gene:OB01G21020 transcript:OB01G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWYRRMQARPGGAEMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKAKIEEEYILMAEPDHIFVKPLPNFARDDPAAFPFFYITPSEHENILRKYYPKERDPVTNIDPIGNSPVIIKKTLLEKIAPTWMNVSLQMKEDQETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLENTFIIHFTYGCDYTLKGVLTYGKIGEWRFDKRSYQDRPPPRNLTLPPPGVPESVVTLVKMVNEASANLPGWDDGR >OB01G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7476906:7482502:1 gene:OB01G21030 transcript:OB01G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRKQKQKQKQREGGLLAALSTFVVLLVLVFLHAGGVAAAVQGLAFHVSGPRGWRVPDANTSYGWWAMNNRFHVGDSLYFKYGGGDSVLVVDREAFDGCNATEPLASFTDGATTGHVGLQKQHSKISYQTGWTGKAKMYSSELSKSEKDKSKTIIEIALWLEVTVEVVEEDLGRSAGGREVAVGEEAGGEVVEAGDEGLDGERHGGVGDERVVLGGDAERGAGEVRAAADGDAGLGLDPQTCRQVEFNIQRQRWKYRTSKAIDGRPEFTGTSLFTSSQIRWCYWYSDEVMGYDPS >OB01G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7477994:7480763:-1 gene:OB01G21040 transcript:OB01G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIYSEILKMLRIYGLLEFNVEIFFPHDLSSVPRGYDKHKSKLGDEPHIMTSKRLLVEILKALKNTFWPFQSNQSGSLFLNAVSANQHGPGNVGDNQATPHGNISAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDPRELRDYAALLYHCGYYEDCLQYLASYQTSLAGQHLNNPLELMEDEAVNTLRARVNLILAEDGWSSRRSPATSYWTKNSEPW >OB01G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7486808:7487170:-1 gene:OB01G21050 transcript:OB01G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSVSLQLAVFIFYASIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYILAKCPCVVTALIIQFYFIFGNGTKIFILMNSTI >OB01G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7487362:7490324:-1 gene:OB01G21060 transcript:OB01G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKS >OB01G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7491861:7493047:1 gene:OB01G21070 transcript:OB01G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSYRLSLAFPSDYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWPNQQEFRKMVEKLYKPA >OB01G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7494155:7497330:-1 gene:OB01G21080 transcript:OB01G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNAKLYDKYNALKKRKLLDEGLEQKRDADMKELHQALKDWISELQSENERLIAQLTQKEQQLVEAKTLLLDETRKTKELNSEILNLQCVLAEKNDHHAASGSPATTTETILGNQTPISPTMKTAKSNSRKKNMRSIEKTSVPRNGFQEEGRYLDCCTRHMGISGSATEESSSTCMFHMLTESIVGMKFSVQNKTEGFSLSVSHEASGYNFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKQEIKFSTTMCPVFFQRISRILRQG >OB01G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7499653:7502523:1 gene:OB01G21090 transcript:OB01G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNKPINILNGTTKPEAGASAASDRLESVGQAVDDLGAAAAVLLSHANEALRSADAEGEDGGVDGSSHPSESASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDADGFAKWQDAFRIDERKEEIEGVLRESPGLESFVERLVPSVVEYDMFWCRYFFAVDKLRQAEDVRTKLVTRAMSKEDEEELSWDVDDDEDDGNADHEEGANTMINKEERIEERASHKLQDEQQRVADVSDNKEMTLAAGNDDNGESKEKTAITKSSNDMDREEKIDAGNSPKESEFSVVSHPSAQEEELSWEEIEDVGDEDEKKGAGPRSSPPRKVEDVRKRFSSVEDEEELSWDVDD >OB01G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7503333:7505057:1 gene:OB01G21100 transcript:OB01G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTMHVVPLYLYLKWDIWGSEITNKGASVLNAFTSLSFLNLSWTRVACLPILPTVRSLNMSNCTISFYLQWDTKVLIHLEKNVISGASFGNIAEVFSSILPSLLTYLDMSSCSLSNLYCLENMKNLEHLDLSYNRITNDVIEYIADIGMNLKFLSLSNTEVISQALCVLAGTAPSLTTLSLAHTKIDDSALLYISMMPSLRILNVSHTCIKGFMIENSKILSMSALEELKYLESLNLDDTQLTDNVIAPLASLRALKYLFKSDFLSDPALHALSSASNLVHPGFCGNILSSSGLLKFVPPAMLRVLDLSGCWILTGDGISAFCRYQCHPLIELRHELIPELEKNCGGTSQLGKSRQPQQVKSKVAKAKLADICFVDERIKYSKEELMELQHLAKSNSLDVCCAASIRTAKINLTGR >OB01G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7505990:7506691:-1 gene:OB01G21110 transcript:OB01G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISGAEPFPLETSASPCLLPGSSKVSSPTIAEKLDDDEAVPDPNEKLLLISDAPNTKLDSLDELHELAGGEHPSTSTVLDAVSPPPMTTRPEGARDPAARAYPETAPRRSPCPNGRSAPRRRLEEEEDAATGWSDDRKGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGSLSGGAAGWGHGQIKMKKKKKSKGEMDLIDYRYVYLCSVHHRRPYQFILNNFIYGKIGIKI >OB01G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7506461:7516091:1 gene:OB01G21120 transcript:OB01G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFSFGSGTASSSSSFSAIVGELTLDDPGSRQGDADVSRGNGSAPEMNMHPVLSPCIELGRRGEGLGVPSEAMGCESTESSCSLVGQVVRPSLCSGQNFTTEFGKDGDHLSAEDCAGQVSFRKDSGKISADGDDSKENKFAFVFGENAEERGFTTKISETEIKKGENNVAFGSDRHDASVAKGNGCTESSLKGAKHACGSSMRDRYGVSPTEKASSVSPFGVEAQDGSAKVSSTKMSTERQSTGIQVSELGDLGLFDEQSFAVRDHNAASREYGGVKGVSMNKRTVKQEFSTQQVLQPLFTNDQKAAPGVKVHLKEATNFRREDSDTSKGNSGTKEEDANCFSLQEKESNHDRTVFTSMTNLESSSQSDFIFAASTFDQSILQSQRRHNKKKMGVMSNHANSIQSHPTSAISLAHSEILRQQYTELPAQWTKYNKTDPKTVKMSTGPAFKENLEHHEDCETWRIRGNQAYAEGLLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALADCRKATDIDSSFLKAQVRAANCLLALGDVEEAQKGFEICLKSSHAESLDSKIMEEASDGIKKAQKVSTFVLLSKEYLVKKEFDKIPSALQMISDALSISTCSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAAENSLSLCQHSKIIDFDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKHRQEALVECRYGKKSQQSISSFFTAICELLSLKAAGNEAFQAGKYSEAVEHYTTALLSNTESLRFSAICFANRAAAYQAMGQILDAIADCSLAIAVDSNYAKAISRRAGLYELIRDYDQARNDLHRLISLLERQLEENMAMLSEKSDGIRSSLNRANLRLSALEQDAKKGISLNIYLILGIEPSCTYVDIKKAYRKAALRHHPDKAGNFLVRSENIDDTVWRRITNEIRKDADYLFKLIGKAYAILSDTTMKSK >OB01G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7524754:7535739:1 gene:OB01G21130 transcript:OB01G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3KYQ7] MDTFPGKVLHAVDYRSAEGFKGKSVLVVGSGNSGMEIAYDLAVAGATTSIVIRSELHLVSKEVWNVAMTLYRYLPAWAIDKVVLLMCAVVFGDTARYGLRRPAIGPFTAKLTTPAYPVVDVGTYAKIRSGEIRVLQAGLKSVRGSDVEFADGQRHAFDAVIFATGYRSTTNQWLKSDDGLIGDDGMAARSYPKHWKGENGLYCAGMVRRGIYGSYEDAELIAQDISKQRRSSDSSKKAADPTLPCIVMHQA >OB01G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7531910:7532647:-1 gene:OB01G21140 transcript:OB01G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSHASSSTLSFLSLNLLLSLSFSQSPLFFLSRGSQRNDAGGRRGAGRLWRPGGGEGRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPLAVACSSAAVLLSSIVVVLCLWAHAWGSQMVSQFSWPNLASLGAGLANWMTSWLVCWRAHFQQNGQILVWRVGWTLSWRCS >OB01G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7541182:7541547:-1 gene:OB01G21150 transcript:OB01G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLEPLVGGAPIAGGEDDGVEGVALAVEELDVAAVDSLEAGRQDADLAGLDLGEGADVVDGVRRGGHFHGEGANGRPPEAVTGGVAEDDSAQEQHHLVERPQRQVPHQRHPGAPDLPAHHVHL >OB01G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7541221:7542441:1 gene:OB01G21160 transcript:OB01G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMRYLPLWALDKMVLLLCAVVFGDTARYGLRRPAIGPFTMKMTTPAYPVYDVGTFAKIKSGEIRVLPTGLKGVHGSDVEFLDGQRHTFDAIIFATGYRSTTHEWLKSEDGLIGDDGLARRRPPNHWKGENGLYCAGMVRLGIYGSAGDAELIADDIAEQRHRRIGAAIKPAAHNGHAGNGGSA >OB01G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7552759:7553478:1 gene:OB01G21170 transcript:OB01G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGLLAVAVVAAMAVVEVAAGGDSDWSSGRATFYGGSDASGTMGGACGYGNLYSAGYGTNTAALSTALFNNGQSCGACFEVRCAGGGSCVAGATVAVTARGRGVGQPAARALRHGRAGVHQDRAVPRRRGARAVPARGVRQAGRHPVHRHRPLLLQPGARQQRRRRRRRHGGVHQGLAVRVAGHEPQLGRQLAERRQPRRPAPLLQGHRQRRPDRHLRQRRALRLVLRPDLHRWPVLA >OB01G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7635277:7642564:-1 gene:OB01G21180 transcript:OB01G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVASASCCGCCACVGLPTTRRVGAVEGGEAFEFRVRGDNGRRGEQQAHPLGEVAAVPASILFFETCAYMESNSGEVEELPPPPPVPPNVEPLKTDETKKPSKPKRALMPRAGYGKKGQPIQLLTNHFKVSLKTTDEFFYHYYVNLKYEDDRPVDGKGVGRKVIDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDVNTGKSAANGGSPGNESPGNDKKRVRRPYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWAKAKRSLKNLRIKTSPANTEYKIVGLSERNCYDQQFTLKQRNSDNGDTEGLEVSVYDYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIELCSLVPLQRYTKALSTLQRSSLVEKSRQKPEERMSVLSDVLKRSNYDSEPMLNSCGISIARSFTQVAGRVLQPPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNVRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAAKRVEEMIDKMQKKLPGQPKFLLCILAERKNSDIYGPWKRKCLAEFGIITQCVAPTRINDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGTQDDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCSHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDDMSDASSSQGGHTSVGSAPVPELPRLHNKVRSSMFFC >OB01G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7649377:7657427:-1 gene:OB01G21190 transcript:OB01G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACINIEDKFYKVAMDDPSCSCRFLLLLLLCFVACVLLESAASVHSWCPLHPSPGQEAKFKQKTNKFWEYKEQSNTWVEISMPFNLMSCINDTCTKVGSIEQLERRHGHASISSQKNIELDGSDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLVWVIAPHELPISAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVTFNSEQQFTDLGEKTQSQAMYIRNGIVSYDGRKLFLSITNGSLLEVTELQPLRWIYHGRPPGGDVSYISDAGNARPGTVFTVSSMGDLYEFDKESRPSWKKHIWSEETAENVSLSSSIGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQRLSSITEVQQDESNDAPSMYFTTTTGKVYEYQFPKYRGINSKGGAQSNKIRGLWVNHMSPENTKVARNVQGVQIQVSRMIFPLDDGRLGELHLPGMGGDDFGPSQHYSMKRKVPNKYEWSILDAPETEGWNAEYCTEEHGPINCITGAKNVAADTKPNDLSNIPPSRRRKEDDKQQYLYVTTHERDDTESYYFLSRSIDLNFHMRVMHADRSLFLITDNGFTFEYLNNNGVWLWLRHEHSTSMKGTVGSYNGSLYLVDVYGNLHIRERNGDELLWINCTAMRKGRQVASGSPWDGIPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKVAFIVDQEVFRRNVIFVVGRNGRLYQYNRITELWHKHYQSPHLILSRSPGTAMRPSHLSLTGSIFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVTIAGAPGPCFDGSQLFVIGSDGNAYRRHLEDWTWKWTNHGHPSAPPAVPALDTAGGGDKSCATPGSADAHYADGFVGNCDEKVAGARPVPFSEEAVVFELRDGRLAELRRGGADGCGGWEWARIIGTPASACMTSYWTAVAT >OB01G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7661864:7662130:-1 gene:OB01G21200 transcript:OB01G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPIIRSFRWNPQAKEMLPVGQGHLIAACVICCGEIAALKLCQRKFDDDDKRRASRQSIAHQRKRSQREVSQWRERIVQTLHGIVWS >OB01G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7667123:7669883:-1 gene:OB01G21210 transcript:OB01G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKKAKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTGEQ >OB01G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7672880:7677521:1 gene:OB01G21220 transcript:OB01G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3KYR6] MALRRLLQGSVLPRMTGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALLLSAVMMLRHLQFNNQADRIHSAILQTISEGKFRTADLGGKATTSDFTKAVCDHI >OB01G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7677854:7682482:-1 gene:OB01G21230 transcript:OB01G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPQGYAQHPPPFGDAAAAAAPPSAHEAAAEEVDYMDLPCPVPYEEIQREAFMSLKPDTFEGLRFDFTKGLNPFFGLSHRRFRCIVTILVALDVASVSMGSMELPSQGAEVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRLTARVKCDLLENLCLKVNAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLVTGVNFVLSAELDHWKKDYKFGFGMVLGE >OB01G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7683843:7684917:1 gene:OB01G21240 transcript:OB01G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRHTYARGRAATENIYGSAADATEAFKQKMTMPEDVVEQKQRAVAAGGKGAGATTATATTTGRSSGGEGEAEDVMLRVKAADQMTGQAFNDVGKMGEEGTGMDGAGARRGH >OB01G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7689887:7691467:-1 gene:OB01G21250 transcript:OB01G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-1 [Source:Projected from Arabidopsis thaliana (AT1G08700) TAIR;Acc:AT1G08700] MRSTTSPREREPGDRPASTSAPRPSRTVAAAEGAPRARAGAGAAAPAPARARGAPSAAATVLDGLGAEVLAGLSPGSLSLGLVVLLISLLAPPSSPGSAAAAPPPVTAATLVYLESPNDSPGQKFVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAAAVLRRLGAPLDAATALVLLFNASAVGVLSVFASAVPIVVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPVSGSSSYASAMGSVEMQPVADPDPGRLGGNQYDRVEQEDDSSRAVVEMRDLGGSQSSIRDRSRSGGSELQMRNLEREVPMGVPVQSTNQGGSSQHAVIQIKQHEEEGETAPLVSAPSANNSVPNEEHRQSSSPEEDSPLDFEMFESTRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISIMLGVTFYFLTRLLMEPFVVGSSTNLVMF >OB01G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7692542:7694909:-1 gene:OB01G21260 transcript:OB01G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine biosynthesis bifunctional protein (HISIE) [Source:Projected from Arabidopsis thaliana (AT1G31860) TAIR;Acc:AT1G31860] MQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYSSVFDALQGSKPNQDRQVATTLYSLEDTISRRKEEIVTEGSGKPSWTKKLILDNQLLCSKISEEAGELNQTLLENEDKSRTISEMGDLLYHAMVLLRVKGVKMEEVLEVLRKRFSQSGIEEKASRHKS >OB01G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7695622:7695888:1 gene:OB01G21270 transcript:OB01G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELADNYEPWNNHHLKKKIHEANMPLKTLWNANINRDCYRMGWTLGDITGSLGYIHLSSYIQIKGLIMMNCMQSTPVAESLLVHTST >OB01G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7700272:7701402:1 gene:OB01G21280 transcript:OB01G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSWFLPFAAKQRNPSCCIDGGGDSGGEEIGGNGGGGGGGRRVVLVPHVRLGGERRRGGGGGGGDQVPVLPKRLPRGDGDRPRRGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXACGGSGAHAGAVISYTNAEDSADAGANASRLPAAIQRLSSLFSSQPQPGPSPSPSSSSAAPASTSGTSSQHSDD >OB01G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7702990:7712864:-1 gene:OB01G21290 transcript:OB01G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3KYS3] MTVVEEQQEAAVGVMRRRPKSKIVCTLGPASRSVEMIGRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMEDTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITVSTDYSIKGDDNMISMSYKKLAVDLKPGSVILCADGTITLTVLRCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLQAESCVDHAAVFKYITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAISNAKAMGLCNAGESVVALHRIGIASVIKLLTVN >OB01G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7716793:7724106:-1 gene:OB01G21300 transcript:OB01G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-binding protein [Source:Projected from Arabidopsis thaliana (AT5G56360) TAIR;Acc:AT5G56360] MSHRALLLAALLLWISAAAASRPPLDTLGIPPQDEAYFRGGVIKCRDGSGRFTRDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKVAREKLKKKVATYKSGVVIRNQEVQKAKVAFTKDDAELAKLKGEEKILQGLVDKLKEQKRLIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKVSDVSQEVDSHENHVDVQEDENKEDPHHDGHVTSHENYTPESQSSAEEHVPENQDDISKGEDGDESPPKEIPAAPTQEQEPTPADTEGLSREELGRLVASRWTGEKVGEASKDDKKEHEAEHDMPEPSEGTNEDEFDISEHAEDNYSGYHSEIEDDRHKYDDEDFSHESDNEYADDHDEHVESYKSDDDKKSDDHSDLTASGQASWLDKIQQTVQNVLQAFNFFKTPVDLSEASHVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRLMQFSNGDRCWNGPDRSLKVRLRCGLSNEINDVDEPSRCEYVAVLSTPALCVEEKLKELEQKLEGMSLNQSGHDEL >OB01G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7726510:7726912:-1 gene:OB01G21310 transcript:OB01G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMKACSVPFVLAALAVLSCVFLVHGLYGLIELFGSPDLSPEATLLIFALCFLVLAAAAAVAAPTSGIGYRRSLLPREAAAAAAGMAGVEGELPVADEETVGAGGHAVDMQTTQDYPGSGANSRHDPRNPH >OB01G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7749896:7753178:1 gene:OB01G21320 transcript:OB01G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRQGREQERDDPAIKLFGRTIPLHLQPSAAAAAEEEEEVMTKLADDVKNNDDIPLVPDKPLIVEDIPFCSNGSKKNDLHAIGRQDGRMETNSRTEDVKTEPDGSVPEKILKKPDKILLCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHASHYRQTMMPCSNIIATGDVSDVVHHQAITLESSVPQGTLKENEATTKFGSEVSVCKSSASILDTREPNNNDLVPLTSGDNKEEKSCASSVAVSENLMPDNVITKEPNNRSGCCNGVALPYPAGPSLVLPWSLGWNSVAVMAATHQSTQPVLGLEDRIPCPASWPLLPIAPAPGICAPVVPIPLVPPLWSCFPGWPNGIWNSQCPGSNSTTVSSTPPNKISCSANNSLALGKHSREESLQEEEKTRNNLWVPKTLRIDDPAEAAKSSIWATLGIKPDDKGIFKSFQSNVPKNGTAPESPQALQANPAALSRSQSFQETT >OB01G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7753853:7761271:-1 gene:OB01G21330 transcript:OB01G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3KYS7] MASERPLLDASXXXXXPPAASSLLPPPQPEPPLRADGLAFSVEVPDPFVPSPRRGRQRQHEPSSASQRELEEGESRAVVVGEPPSSGPGFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLARVLAPGTAGEFPPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQNSSVGGVLHCERPNRNIYGFQANLEIDGKRVSLGPTNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLELTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADNDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSSGKDSCGYSVVVDDLLWTPKMAVKTDPQLLSLLRGGGTDEEAKLVLEFFLALSLCNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGHRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIIRATEAHLHKYSSLGLRTLLVGIRELSQLEFEEWQLAYENASTAVLGRGNLLRSVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCKRSLEEALAMIKKLRITSSTGTLSVELASEASGVTVALIVDGNSLVYILETELQEELFKVARECNVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVIFYMPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWVLPGYGAIFHIMGTGLFWLLLLVIIVAAMVPHFVTKAFTEHFTPSDIQIAREIEKFENVNQVNRSEVSMTRLHDPRR >OB01G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7768861:7769115:1 gene:OB01G21340 transcript:OB01G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFSTKIWSCFLTNRWKNGEICSQFDRRTAEPCTVIVIVGVPIPWEAFLSNVLFSCSPASGSCTFFPVKNGSSYNTGRRVNV >OB01G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7770295:7772670:1 gene:OB01G21350 transcript:OB01G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIAGEETVHKLASLLLIILGGSYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGGDEHSLHEHVHRKLVGL >OB01G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7777258:7778127:1 gene:OB01G21360 transcript:OB01G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPVDKIRSPPQGATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAEILRVEEVPPPRRGSIGTCC >OB01G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7794136:7794790:-1 gene:OB01G21370 transcript:OB01G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRCVSLDATWAHLPASWLSASDDALPAALWASMAPAGAASSRRRRHRNRLRVRVHVLGPIPISPACGVRVRAPDAGHVRVPARQRLTAPAAAAGAEEHHHHRRHHNGLYRFGGGGGGGGGRAGGDDDERLRLPDLGELGGDLIATISFFFP >OB01G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7797911:7798117:-1 gene:OB01G21380 transcript:OB01G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDETVDFFYQSPTTRLFSQGASSSFGGGGGGGSSGADEQGAGEVGGDGFDLNSQADGYPEMEYY >OB01G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7811581:7827607:-1 gene:OB01G21390 transcript:OB01G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVSDRSLSLQLRLDIRNYVINYLATRGPKLQPFVIKSLILLVCRITKFGWFDDDKFREIVKEAADFLSLASQDHYFIGLKILNFLVAEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRHEPLSLALRCLSYDFVGCPVDESSEEFGTVQLPAPWRPLLQDPSTVQIFFDYYKVNDTRVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRINSVQASFANDPSDDTLDNVEVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINITDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLSDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYGCARSRTTFYYILGFLVFMEDSPVKFRSFMEPLLQVAINLEATTDAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTNIYGSKYKGIWISLAILSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDIMAFKKLSKAYYGYIEVLFSNHINFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRLSQCFDKLMADVARNLEPKNRDKFTQNLTTFRHDFRLKNIQA >OB01G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7827204:7827377:-1 gene:OB01G21400 transcript:OB01G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLMCMHADSIYMSFMPLNCVQNVACISFYMIVLLSCLVCYVYALDVIGSACLRAK >OB01G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7837686:7852780:1 gene:OB01G21410 transcript:OB01G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSPTVSFLAACPPRARALPAAAASLNVVSVHGNLREYLLLCNGSLVPSRLQCKNLASLQNPLSASFTNKRPVSVHASAEAGAEEAGTDQPEEPKPAASIETMPLETKQKMIMEQRAKMKLAKKLRQRRRRLVQKRRLRKKGGKEWKAKQSKASSSPPCGRSGDDNDDDGEKRITMRSFCLPCSHGKERAKESSKGRRLTLRSGELPSMVNRAHFVILVLAYRLLVPLSAEPDQTRESYVVYLGGGGGGGAVEEEAAVRARHVEMLTSVAPAGEEQGEVAALTHSYRHAFEGFAAELTEAEAVALSGHERVVSVFRDRALELHTTRSWDFLDVQSGLRSDRLGRRASGDAIDDAVGDGVDVVSISIGMSSAFQSDFLTDPIALGAFHAHQKGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFQSSIVLGNGTIIKGVAINFSNQSITGGQYPLVFGPQVAGRYTPVSEASNCYPGSLDAQKAAGKIVVCVGTDPMVSRRVKKLVAESAGARGLVLIDDAEKANPFVAGGFPFSQVGTDAGAQILEYINATKNPTAVILPTEDAKEVKPAPVVASFSARGPGGLTEAILKPDLMAPGVSILAATIPTVDKDDVPAGKKPSPFAIKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTVLMTRQRCRTSWLAWQACYAWQDNTVTPVERILERERLGRCTAPQPNFTGRQKFLCCGRLIFGPDAGSLFLSTVLIAAPLVGLCCQCITKMTSSSNSEKRVLGIPVLITTIVLGLADLAFLLMTSSRDPGIVPRNARPPAESGGGDGEQAGDVGRTASMVKVKYCDTCLLYRPPRASHCSVCNNCVQKFDHHCPWVIKCIGLRNYRFFFLFISTSTLLCVYVFAVSWLNIVAEEDNNGGSLLKSMASEPLSVVLIVYTFVSVWFVGGLTVFHLYLMSTNQTTYENFRYRYDKKENPYNRGVPANIAEVFCAGIPPSMNNFRSWVAAPPEEPGDGGHLSSRHLADLTGGAKEKVDLEMGRSGAGGIIPAILQGLDYDEMEKNDISVHIKDRRAPAAAPDPFMSGGEWRRLEEAGGGGGPPPPAARGEDSERTPAASLHGDSER >OB01G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7863106:7865354:1 gene:OB01G21420 transcript:OB01G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNLLLPSPPAPAKVFDQMASSAAMDLSLLNPAAMRRHAASARPRVAPSAAAALGRRVVRFRVAASSSGTAAGAKPAAKKRGKTEIQETLLTPRVYTTDFDEMERLFNAEINKQLNQEEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKILAIGQSDDIPLVKNLKRIPHIAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >OB01G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7882101:7888922:1 gene:OB01G21430 transcript:OB01G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome family protein [Source:Projected from Arabidopsis thaliana (AT2G26990) TAIR;Acc:AT2G26990] MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGMVETDPEGALAGFDQVVRMEPEKAEWGFKALKQTVKLYYRLVKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYQAIDKWNTQLKSIYQTVSNRVG >OB01G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7889884:7894789:-1 gene:OB01G21440 transcript:OB01G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGGKDVPLLVGRKVYHEICPGCRQERKVQANDRPPYLDFLYTWIACLSAALPIQSLFPYLYFMIRDLKVAKQEQDIGFYAGFVGAAYFLGRTTSAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGLLGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNIFSEESIFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDKVEAIDALEGQDAKESESGRMGHTKSLLKNWQLMSAITLYCVFSLYDTAYLEIFSLWAVSSRKYRGLSFTSQDVGTVLAISGFGVLVYQLAIYPFLAKYVGPIKPFRYAAVMSILLLATYPFMANLYGLELKILINIASVLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLLLPGDQILFLMLNMVCVIGFILTFKPFFALPDEI >OB01G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7917295:7924067:1 gene:OB01G21450 transcript:OB01G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;1 [Source:Projected from Arabidopsis thaliana (AT2G29650) TAIR;Acc:AT2G29650] MAFMAAMWDAGVDDADEEEAAVGARRVRSFVGNWKPGHQEPRGYRDSFRSIFLGKAADAAAAAEEEEEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATALTPVAAKLGLPFLLVTRAFMGIGEERGEQEIQGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNLGWPSVFYSFGSLGVVWFTTWARKAYSSPLEDPGISAKEKKLITSQSTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTTVRKVMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVVLYLVGTLVWNLFSTGEKIID >OB01G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7924615:7928203:-1 gene:OB01G21460 transcript:OB01G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXVADPSGVLQSWDPTLVSPCTWFHVTCNRDGRVTRLDLGNSNLSGHLIPELGHLEHLQYLELYKNNIQGTIPAELGSLKSLISLDLYNNNLTGTIPKELGKLSSLVFLRLNDNNLSGPIPRELTKISSLKVIDVSNNDLCGTIPSTGPFEHIPLNNFDKNPRLEGPELQGLATYDTNC >OB01G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7947950:7952873:1 gene:OB01G21470 transcript:OB01G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQAALVAASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHASDNELRAVVDKIMSHYEEIFKQKGSAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGISNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >OB01G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7954637:7964841:-1 gene:OB01G21480 transcript:OB01G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDHERAEEMQVNNEAPPGCLKPISQYNPQEQRINGFSENSEKKNDSIAREKVLEASPLPNQGFIRPFHRPDYYAGPFIYADYQIQRQPQPYNFDNQFYQINRDRIFPFENKVQYLPFNMLPQGYPPDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYHQLLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEEKGIKHKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNRWINLKVPFQEVFGGTRCNLKDAVQLAGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQSAPQSIACQPSPAHSPYSNQSSQHKTMEVLGSPVQVNPYAAVAVKKPMYCHCGVLCQIKVTYKPGPNHGQYFYGCGNWTYPRGSICGFFAWVL >OB01G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7966937:7969393:1 gene:OB01G21490 transcript:OB01G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLPLDPPPLPSHFLSMLCSVRRQIHSFHSLYPAWICVCLLQSWLRLLVALARPHGHGAASPAPLPRPPLQEPKPRSNGDEVAALIKEDGISRGVTDRGGGQRARGGADQSGLHLGISAPIVRYASSQAADAGSSLPERVMDAYFHVFSRIMHVVITLLIRLVRMTKYECIDHVHYGDNLILALFLNKSSKIICEIDNVGILPYPSFQSGQCKVSVSMSNRNLLDFFQHETTYTFYNSFYLLPWNADQQQHFLVVAFHLWLFPTNLLIILLFQRDKSHGLKGSTLKWS >OB01G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7973489:7977700:1 gene:OB01G21500 transcript:OB01G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQAMSAHARANLEVLLNADANNVAEHHEGDCQKLDCCHQPNPLVFHSPFSSGWFMWSRDFSSEAGASSGDKQDELEEGFSDLEVPPQADKKDLGLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKASQSTLLKALLESPRTDVAGAAKKWLNSGNTLERSELFYVILCLRKRKLYRKALQLLEYVEESKLLDLGERDYASHVDLVAKVHGIYKAEKYIEDIPASHRGEIVYRTLLANCVSIANVKKAEQVFNKMKDLGFPVSLFSCNQLLLLYKRVDKKKLGDVLSMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIETMQADGIEPDHLIQATIARHYIFCGHREKAEAILGQMEEDDITENRSACKFVLPLYALLGKSADVERHWKVCEANPRLEECLPAIEAFGMLGEVEKAEEIFENMFKTWKTLSSRFYNAMLKVYANKKLFDKGKELAKRMGDDGCRVGPSTLDSLVKLYLNAGEVEKADSILHKLSHKDKIKPMYNTYLMLLDSYSKKGDIHNAEKLFSKIRQMGYTGRIRQYQLLLEAYLNAKAPIYGFRERMKADDIFPNRVVASLLAATDPFNRKNAMSELLD >OB01G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7978697:7980015:-1 gene:OB01G21510 transcript:OB01G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:J3KYU5] MASRVRFGNSSEIGVFSRLTNAYCVLPDGGADNFFSVFESELAGVVPVVRASIGGTRIVGRMCVGNKNGLLLPHTTTDQELQHLKNSLPDEVVVQRVEERLSALGNCVACNDHVALTHPDLDKGTEEAISDVLGVEVFRQTIAGNILVGSFCAFSNKGGIVHPQTSVEDQEELSTLLEVPLAAGSVNQGSEVVAAGMAANDWAAFCGADTTATELAVVESAFRLRDGHGRPGDITRSLLVVSSYL >OB01G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:7995289:7996354:1 gene:OB01G21520 transcript:OB01G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLATFALACLVFLSKPCAREMRLFLSTLCQELALALLGFLAGFRLLGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRPALCWMIRSCRAICPWRAASSGSRRRSTTVSMGMLDS >OB01G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8006697:8009668:-1 gene:OB01G21530 transcript:OB01G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQAGAGDVPAREPKGDAAKIVRRPKTTTVAQQPARIRRALADVSNLVNGRAALPVVNRQKAAVAAPDKCRKPTKQGNESKKNPEVIVISSDSEKQKKNPVQRAPSRRAPIHTLTSILTKCSRASDGVITSPKKAPACDIDASDAHNELAVVDYVEDIYRFYRSTENTCRPLCTYMVSQTEINERMRAILTDWLIEVHYRLTLMPEILYLTVYIIDQYLSMENVPRKELQLVGVSAMLIACKYEETWAPLVKDFLCISDNSFSREQVLSTEKSILNKLQWNLTVPTMYMFLVRYLKAALGDKELENMTFFYAELALVQYSMLFYAPSVIAAAAVYAARCTLGLSPLWNDLLEYHTGLAEPQLMECARRLVSLHAAAPESKQKVVYKKYASPKLGAVSLHSPAKKLLPPQPPPPAPPAGGRXXXXXXXXXXXXXXXXXXPAGAAGGDGLSRRSPRRSRHRLRLRLRCFLVFLSQYWKFLASVDCTCIGIRTKDHRIIALMLLS >OB01G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8016364:8016609:1 gene:OB01G21540 transcript:OB01G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHDWCVKFIILFLSVQLENMTFFYAELVLVQYSMLFYAPSVIAAAARCTLGLSPTMEQLLEHHTGLVEPQLLYIFFFTM >OB01G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8033728:8033886:1 gene:OB01G21550 transcript:OB01G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTIRETSLTNRVNQENSSSSCNKSRICNSNPRTHTQTETQFPLTTHITSYTK >OB01G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8038517:8044186:-1 gene:OB01G21560 transcript:OB01G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQAGRRRCSCSGTQARLLMLTVVDYVEDIYRFYRSIEGLQNRENRGGYRVLRGGTVSVKDFLCISDTPSAKSRAYFEDSDDGSEEQVRWLIEEIEYSITISQFASILGLHASDLSKVDLHLLLPLKKQSGGWGVCASSLTPSNPSSAEDFWIQGKSAFLNGPISELVYREQPLGFEDPKHPEHVYKLHKVLYGLKQAPRAWYECLRDFLAQNGFEIGKTDTTLFTKKFKNDLCR >OB01G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8046404:8046907:-1 gene:OB01G21570 transcript:OB01G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAASLMSSFSHRHSAPLYLFYNVSTLPKHHGRGGGGGGFEFATAVAGGKQQLGGFDGDDDDGGDGGAAGVRACSSDVSVAFADELFREGVLRPLKLPPRLQTPSASAAPSAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGHRRRRRRAP >OB01G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8048171:8053930:-1 gene:OB01G21580 transcript:OB01G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:J3KYV2] MEKPSTLLVHFDKGSAAMASEIKADLEGSDVAAKVDAMKRAVMLLLNGEALPQLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDASGRVLPEMILICQNLRNNLQHPNEYIRGATLRFLCRLSEPEVLEPLVPSILENLEHRHHFIRRHALSAISAIYRLPHGDQLIPDAPELVERVLASEQDASARRNAFLMLCACAQEKAVAHLLSNADRVAEWPDVLQMVAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSAAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKKKVLDLVLDLLTARNVEEVVLYLKKEVVKTQAGELEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDLPFYTISEEGEATDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATESISTPSVAPGSLSSNLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQPSKVEVNKACTGVLLIMTSIVQLGQSSYLPHPIDNDSYDRVVLCVRLLCNTGDDVRKVWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKDADDASKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTIIQNEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQVDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >OB01G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8055592:8057375:-1 gene:OB01G21590 transcript:OB01G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 18 [Source:Projected from Arabidopsis thaliana (AT1G08500) TAIR;Acc:AT1G08500] MRRSISSTGGNLSPLFVVVVVVVAAAAVLPGAAAYKNYTVGDDKGWYDGLTLPGVDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNETLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLREGGSYFFSGNYDGEQCENGQRFAITVAHXXXXXXXXXXXXXXXXXXXXXXGAAGAPPAAFDFSHPKNVSSAPADMSTTATPDGDDDDAAPSTSGAGQTLAGLGAGLLVGAATALLLAVVQL >OB01G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8062400:8063941:-1 gene:OB01G21600 transcript:OB01G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRVGPRGYRNGLYLHGPKTTKSLQPIISLPAHLAIEALATSERVSERTAATRERRREERRESEKMLLEELLRCQIHEWYPVFRRHTVPTVILPLPAAFLRYLAGRPAYPDPDGEQPEDEDEAEPLPFFLPAITSGRSAFPPAHAHHPDPVSLLDRDNSDLFFGSADGDDSDGGSPLRPAFPDLEAAVDSAIAELGGAALPKLNWSAPKDAAFMSAEGTIRCTCFAEVAMLLRSSDCVAHDLACARPSCKDFAPPAGVRRNAAAGARPNADKNTTNGTEEQTAQHKALETSGTGAPPSGGESSNDACSLSDYKETADMEGNQSAAGGEEDVEQESNWTWVDDGFQYYLALRKWYPGLRPESEFRCFVWQKRLVAVSQRDPSAYYPSLPGWSAEVQPKIEAFFEQVIEPQFASDNYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEQMGREELEFRVVMHHGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADDELNKQMASLGADS >OB01G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8069565:8072653:-1 gene:OB01G21610 transcript:OB01G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3KYV5] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDDEEQVAEEE >OB01G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8079255:8079419:-1 gene:OB01G21620 transcript:OB01G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILNVVHDTGLNSYFAKGSFGWRSSWWPKRSGPSVFCQRQLEPFLHHTPPQPH >OB01G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8079178:8087561:-1 gene:OB01G21630 transcript:OB01G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: glycosyltransferase family protein 2 (TAIR:AT5G60700.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryot /.../39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G12260) TAIR;Acc:AT5G12260] MASPRRRSVPLPLLLLVFPVSLFVVLLLHHRSSGPAAALLSGAGPSPDPDPRRFSFLIKILAEADAFRWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMTYYYDRKNYSPFVFGASLQRPRFVAAPQLESMEMIKLTEIKLDVPFYFYYLLASHVSMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNFYTNFLKERALSVSHRDAGVNYGKSVGPDSTLLDGKNLDFNIWELQPLNKLKWYDFCFSEVLPGRVVRKFSELGSVLNSVQLENNIVLISLYSIEQRIARNMICHLEKAGMQNYIFLVSNPQFQDDLAHRGHPVIDGTGLLQSIKMSNSMYSDDFVEEIMVKAHVVKKCLDLGYNVWVLNGNMISLGSKLIEPSDQSVDLFAAESVDLIFLRGSQSSKKTWNELVILRMGDGLMSSKSDFYSSLERKNFVGMLTKVLGSKGDVRVGKLDEIVPVELGPHTSNRSLSEGHCKVIFWSHGMASDSVQSQLENMGLWLTDADSSCSAVVCSQKQ >OB01G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8087837:8092546:1 gene:OB01G21640 transcript:OB01G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 1 [Source:Projected from Arabidopsis thaliana (AT1G19580) TAIR;Acc:AT1G19580] MAGLGKAFYAVGFWIRETGQALDRLGCRLQGNYFFHEQLSRHRTLMNIFDKTPHVHRDAFVAPSASLIGDVQVGQGASIWYGCVLRGDANNVQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGSLVRQNTRIPCGEVWGGNPAKFLRKLTDSEIAFIKESASNYSSLAKAHAAENAKPVEKIEFEKLLRKKSAHQDEEHDSVIGATREVPPELAPPSPAQ >OB01G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8105827:8106747:1 gene:OB01G21650 transcript:OB01G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHACCLLLPLLLLTQVVLLHLAAGSLVDDLPAAAHRSPRLVAPQRKLQQLPNPNPRPQPLPQPQPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRHAPLCRSRTRTRRRCLCRSLTRTRRRSRCRSPTRTTRSLCRSPTRTRRRSLCRTRTRRRLGSRSTQR >OB01G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8108771:8108929:1 gene:OB01G21660 transcript:OB01G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATGAIDEDGAPVTATAGAMPAGAVRTLLERNDFYSQECNTHGEQNDSS >OB01G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8109582:8110307:-1 gene:OB01G21670 transcript:OB01G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 [Source:Projected from Arabidopsis thaliana (AT3G17611) TAIR;Acc:AT3G17611] MTSLLWKGIQLETSMGSVEFASMVAALLGLSQGITILLSKGLLLFGNDAAYYDQLSVGFSGVLFGMKVVLNAWSDEFVFLHGMVIPAKYAAWAELLLIQAFIPGTSFIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKVVSWPVGFVQKLFRSGRRQGYTASRGRVGHRASPRETGRGIWRCSTCTYDNSPSTDICEMCSTAREDRAFSRRQHLQAGQNGELSVEEIRRRRLERFSR >OB01G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8125551:8128708:1 gene:OB01G21680 transcript:OB01G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMVTTGGEHEQTVCVTGAGGFIGSWLVKELLQRGYVVRGTVRDPEDHKNAHLHGLDGAERRLSLYRANVLDCNSIRAAFSLCNGVFHVASPVSNDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAVHMNPNRSPDQTLDESCWSDLEFCKQTQNWYCYAKTVAERTAMEEASGRGVQLLVVVPAVTVGEMLQPALNASVYRVATYMRGTKRAYPNAVAAYVDVRDVARAHALVYEHPAARGRYLCIAGVLHRSEFARILRELFPQYPVTTRCEDNSKPLVKPYKFSVQRLEALGMHFTPLKESFYNTVISLQDKGHLPAIPHHRSAM >OB01G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8131630:8133147:-1 gene:OB01G21690 transcript:OB01G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLWVAAACAVVLALAAPSLAGDPDMLQDVCVADLKSPIKLNGFPCKANVTADDFFFAGLKNPGNTNNAAGSNVTAANVQFFPGVNTLGVSMARIDYAPQGQNPPHTHPRATEIIFVLEGVLEVGFITTSNKLFTKTVTAGEVFVFPRGLVHFQQNRGHGPAAVIAAFNSQLQGTQTIATTLFAAAPPVPSDVLAKAFRVDAAEVDAIKAKFK >OB01G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8141806:8147036:1 gene:OB01G21700 transcript:OB01G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3KYW4] MYEGLVVGGLLLDGLELLEELYEFSGNVVHVSAAVMMKKISGMQSIDSTEAIAIIHMPRYFCNLVSDQGGAVLDELFGCTNRILVLDGIQDPGNLGTLIRSACAFRWGAVELQAKVTSKCFFDVEIGGEPAGRVVIGLFGEVVPKTVDNFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDSRHVVFGHVLEGMDVVKNLEAQETSRSDTPKQPCRIVNCGELPLDG >OB01G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8152446:8154658:-1 gene:OB01G21710 transcript:OB01G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAAKLGAHLPRLRDIIDHDDEDDDFVEEVEEEEEEEEEWEDMSKRMSRLSLEGSDGGDADDEDDGCLRGEEGEEEEEEDGDEVRSDVNGEYVAGGDQWRPYGGGDDDPRKPQAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRVPGAGRRGDGHGGDARVQGPRPRPPLRLDRGDPLLRALRRRHRQQRRQLAGLRQLAHLQPR >OB01G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8170966:8174756:-1 gene:OB01G21720 transcript:OB01G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDRSKATPTISTDRTSESSDVDLSSGVTLHNLSHLLSETAQSSELLPVKVTKPRTAPGLTRLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFSSMNGGSRDSLWYNQNESSSSTISTVMPPVSPSTLSTSTGLNPSPDNAHSGGTGIHNAQFYWDNNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLAQEKDSQAQLEEELKWPDLLHGTFSEMPAPMQNLSQSLYEDVVKAESQFNMEGFCAAWSQNLQPQQHLPVVSDMYDKDLQRMSLSFENI >OB01G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8171640:8171918:1 gene:OB01G21730 transcript:OB01G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWLSALTTSSYSDWLRFCMGAGISENVPWSRSGHLSSSSSCAWLSFSCAKSDHGNMLVSKRELVLCSSNPNPLLPLLPVLLLLLGLLLSQ >OB01G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8218319:8218677:1 gene:OB01G21740 transcript:OB01G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKACNIFGAPPHKDGKRPQQPNSGMTMVQHVVVDAAERSKLHGEVMACPYEDVQVMWDMLDKARIREFNGS >OB01G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8223335:8224180:-1 gene:OB01G21750 transcript:OB01G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIILDRIPNDATRRATFKKRRRGLVKKASELATLCDVDTCLVVYGEGETEPEVWPSMEGAKAVLEHFKALPEMDQCKKMMNQEDFLRQRITKLMEQIRKMGRENHERETTLLLHQALSGHLGNYNGITVEQLTSLDCIVSTKLKVVSDCLAELRAQNDVLVSPLPVLLPLSPAPPSMAAPVMAARHAFATSAILGPPPGFEGININQVDHDQNSWLMDVAKNGGDLGALVFSGFVGTSNGASTSTSSLDMNVFSTNPDMAGYGWSWDDSVGLSFPPM >OB01G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8238774:8239487:-1 gene:OB01G21760 transcript:OB01G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIILKRIVKDSTRRLTLKKRRKGLIKKAGELASLCGIGVCVVVYGEEEVHPEVWPSAPETRAILSRFNAAPNVDRFKKVTNQEDYLHKRIAKVQEHMSKTNDENFERDATVMLYEAAKSKRPIADLNIKELTDLGMVIDERIKNTKEYIEHRGGAPLMEPLPMLQVEPSSMPSLVPYANGISMQGNKRMKVGTQQKGWFMNMSTMTRGGLGTSTYDSFGTRGDAGVGTSARGE >OB01G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8252791:8253759:1 gene:OB01G21770 transcript:OB01G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPFPRRVISLSLLAAALSIAASASVAEARWYSPPSPVSAIVSEQLYASLFPHKDDAACPARGFYTYASFARATARFPRFGATGCPHTRKRQGAALPAQLSHHPTGGWATAPDGPYAWGLCYKEEITPASNYCDATDKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPEVVANCSDTAFQTALWFWMTPRESKPSCHQAMVGEYRPGPADIAANRTAGFGLVTNIVNGGLECNRTGDARVSNRIGFYRRDCQALGVDVGPNLDCEHQLPF >OB01G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8259115:8259581:-1 gene:OB01G21780 transcript:OB01G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHHHHHHHHHDEHHVGANCCNIFLSILLPPFAVGVVVGCKKEFWICLLLTCLGYIPGIIYAICTISKTHI >OB01G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8259118:8259581:1 gene:OB01G21790 transcript:OB01G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADSANRVDDPRNVTEAGEQKADPELLLHETEVTHLASDDDADGERREEDGEEDVAAVGPDVMFVVVVVVVVMVV >OB01G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8269415:8270012:-1 gene:OB01G21800 transcript:OB01G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3KYX4] MAMAVGGYADVMECHQQVPGQKAAHVLTYEDQDGDWMMVGDVPFELFLGSVKKLRIARVDKC >OB01G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8286809:8290810:-1 gene:OB01G21810 transcript:OB01G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT4G01690) TAIR;Acc:AT4G01690] MAAAAAAAATPAPPIRSRNALSSARTRRGRGHVRRAVASDAAEAXXXXXXADCVVVGGGISGLCTAQALATRHGVGDVLVTEARARPGGNITTVERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKVAFGKVWRLEETGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGNKVKLSWKLTSITKSDNQGYVLVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPRAIPQFLIGHLDHLEAAKSALGRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISEYLTKYAYK >OB01G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8290537:8290936:1 gene:OB01G21820 transcript:OB01G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGRARASVTSTSPTPCLVASACAVQSPLMPPPTTTQSAXXXXXXASAASLATARRTWPRPRLVLAEESALRERIGGAGVAAAAAAAAISVASGAERRRWGRSGCDTGVPPARRALVGWMMDSEWPTGMG >OB01G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8300663:8303535:1 gene:OB01G21830 transcript:OB01G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCLCACRSDGNDFAELLWENGQAVVQGRRKHPQPAFPPFGFGIGGGSSSRAQERQLGGGDAFAKVGAGFGALAMAPAVHDFAPSFGAAHDNNGDDDTVPWIHYPIIDDDDGAAAPAALAAADYGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRHRGAASKLPRPGGGEGVMNFSLFSRPAVLARATLQSAQRPQGTDKASNGTASNRVESTVVQTASGPRSAPAFAPAPPMAPAINLQHETGRDRAGRTMPIHKHEARKVPEAATVATSSVCSANGAGSDDLWRPPKRKSQAQTECSASQDEDLDDEPGVVRRSAARSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGMCIPPMLLPTAMQHLQIPPMAHFPHLGIGLGYGMGVFDMNTGALPMPPMPGAHFPCPMIPGASPQGLGIPGTSTMPMFGVPGQAIPSPASSVPPFASLAGLPVRPSGVPPQVSGAMANMVQDQQQGIENQQQQCLNKEAIQGANPGDPQMQIIMQGDNEHFKIPSSAQTKSSQFSDGTGKGTNARERDGAET >OB01G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8305540:8310914:-1 gene:OB01G21840 transcript:OB01G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT3G62080) TAIR;Acc:AT3G62080] MESLAMVTNKLELTILSIGRWFKAFTGQRRDWPQPKLLFWKDLVLRVARRLGICSAPAHHVTGVLFTRPGGLTPLCLPQVLEEMRADGEILLKSELIDPTAGSLYQLVRRVSQMALVSKQPIVQEDILVFTSLVEERAAEIVDQLRNSHWTSTCIVTIGKFNTFFHGRENSHVALCYLTQCGKAWYIIDRRQKDSIEGVKFSLMAAQVPAVSKLDYDTLHLVWTEEKLQQQLDVLDRQWEISRRRALVSFKSGNKQAAYRYVRQSKLFSESRKRCTPLLERVEEVISLMGSAEITKKVNEAIKISIQAMKEHHVSIEEVNEHLKEVDDLVVTQREIDAALGSVILQSVDSESEENIEEEFMKLEAELQDKSSHIQENSVSHGNDELPDEDVDPLSNNLSNIKLEAV >OB01G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8313766:8314398:-1 gene:OB01G21850 transcript:OB01G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEMGGGKVSSALASLFGFKSGAGERQQAAAGGMKQQQQQRSCYYQERGRRVWPSDDDDGDYYAERDIDRRASEFIDKVHRGMIATGSDDQDG >OB01G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8322100:8322408:-1 gene:OB01G21860 transcript:OB01G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKRSVLASLFGFKSKCNGGDGGRRRQEEEEEEAAAGRQQQQRYYGYQQQARGRKVRPSDDDGYDYYGRNWYADRDINRRASEYIDRVHRGMLAGSEQDG >OB01G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8352331:8362762:1 gene:OB01G21870 transcript:OB01G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCNFCNGAEAAAAEAAPPPPPSRPRRGGGWVVPGARLSLRSGTFWRQADGEEKGGRRGEIKEVDFFLASRDAAAARRHDDGFRGTHGGGGGGGGRDDVNIGLDLLTTATGGAAPAVSGEGDAAENHRKEAATAAVDAELRRVVEENRRLRGMLDELTRSYSALYHQWLQATQQQNHRHPDLIMSNNRSSLSQISDGCQWRKYGQKMAKGNPCPRAYYRCTMANGCPVRKQVGLAHEKGGGLLRPTSRVECERRSGETVSRYFNKVLHAVRELRDELIKPPSLDTPTKIAGNPRWDPYFKDCIGAIDGTHIRASVRKNMESSFRGRKTHATQNVMAAVDFDLRFTYILAGWEETAHDAVVLRDALDRENGLVVPQGKFYLVDAGYGAKPGFLPPFRVVRYHLNEWGNNPVQNEKKLFNFRHSSLRVTVERAFGALKRRFKILDDATPFFPFPTQVDIVEMDSSNGKGGSTHASWTSTMSSFMLSHLANLVASGIRTSSCFKQVHLNACARALNEMFCTTLTGDQIKNHLKTWQKKVWQDK >OB01G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8380342:8381808:-1 gene:OB01G21880 transcript:OB01G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDEEAAAENGHGNGNGNENGNGPCPPPAKRARSVISEEEIRAEFGHHEAGVARVNNGSFGCCPASLLDAQARWQRRFVAQPDDFYFHALQPGLRRSRAAVAALVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIHEFRVALALAKDGGRKVRLAVIDHITSMPSVVIPVKELVAICRQEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHVKVIEMGRMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRVRTMLRKDFMVEVPIYYNSRRVEGQEMAKDKNGDAVTGYVRISHQVYNVRENYEQLRDAVNKLVADGFTSSKLRPSEKVPSHYNSLFFNYFFLFVC >OB01G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8374198:8377917:-1 gene:OB01G21890 transcript:OB01G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQRHVTFSGLELSWSVTNNSIWMSLPWVYEVVICESDFPLPASSLLSGEPATRPRKRTCSIAPKPGRQVAFGAQMPVEPGKSRVGPCDQRFYEFISPAVRQRPLFLLEFFSTGLSPPSSLDREAANLFVQESTMGQKNLLLVTCLWILSCAVLLHASSDGLVRINLSKKKLDKKTLDGAKLARGESHRLRVDGLGDDTVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSTKCYFSIACYLHHRYKSKGSSSYKKNGESCTISYGSGSIAGFFSEDSVLVGDLVVKNQKFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWEGMKEQKLIAKDIFSFWLNRDPDAPTGGELIFGGVDPNHYKGNHTYVPVTRKGYWQFEMGDLLIDDYSTGFCAGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKEVVREYGI >OB01G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8387661:8390637:1 gene:OB01G21900 transcript:OB01G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVLIPVKELVAICREEGVDKVFVDAAHAIGQVPIDVNNIGADFYASNLHKWFFCPSAVAFIHTRKDDPVASQLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDVIDFVNRFEGGLEGIRTRNHDKVVEMGTMLADAWGTFLGTPPEMCGSMIMVGLPGALGIGSEDDADRLRTMLRKQFKVEVPLYYNSRAAEAPPEMVKDSNGDPVTGYVRISHQVYNVREDYEALRDAVAKLVADGFTCRKLRPSEKQETLPHEELTSSQAASSRNGRLHH >OB01G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8392476:8392676:-1 gene:OB01G21910 transcript:OB01G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSSVNRGGSQLQGSLVLLGRSPCQGGFGQAGLFVWTKLSFRPANFLCVCGFIGKCLYTKTLYVPIC >OB01G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8393467:8399628:-1 gene:OB01G21920 transcript:OB01G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEASASAAVGPSDSSPPGNGGGKGGKGEARGERAGAAAEATASRVPFHKLFAFADKADAALMLLGTLGAVANGAAMPFMTVLFGNLIDAFGGALGIHDVVERVSMVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYSKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKLDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGNVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAVAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIRLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDKLCDEMPQDVPLSRLASLNKPEIPVLILGSVASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGQATESEIIEAAKLANAHKFISSSHQGYDTMVGERGAQLSGGQKQRIAIARAIVKNPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLTTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >OB01G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8399276:8399752:1 gene:OB01G21930 transcript:OB01G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSETMETRSTTSWMPSAPPKASMRLPNSTVMNGIAAPLATAPSVPSSISAASALSANANSLWNGTRDAVASAAAPARSPLASPLPPLPPPFPGGLESDGPTAADALASASLQDSGMATVPPRFAATSPSSSTAAAAARLGTATATGAPSCSSSTAG >OB01G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8400112:8400510:1 gene:OB01G21940 transcript:OB01G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGKQGKGRRKRKREPVKKVKKLKKNPENIQRVGAGRKELGNYYSVLHYCTTLNTPTTPTARRGDEQLYKEDVLVSALMAHHGRQRKNQHPSIECSPARGPGTGSGGCPAYYDDGCDWGSFRVYIDTGDF >OB01G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8426373:8429885:-1 gene:OB01G21950 transcript:OB01G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEDDLLPDAAPGVQPLDLPLMMQVTEFTCGGFVVGLISVHTLADGLGAGQFINAVGDYARGLDRPRVSPIWAREAIPSPPKLPPGPPPDLTMFQLRHVTVDLSLDTINKAKSSYFASTGQRCSTFDVAIARTWQARTRSLRLPDPSSRVNLCFFANTRHLMHAAAWPKDAAAGNGNGGGAGFYGNCFYPVSVVAESGAVEAADVAGVVGMIREAKARLPAEFARWAVGDFRDDPYELSFTYDSLFVSDWTRLGFLEADYGWGAPSHVVPFAYHPFMAVAIIGAPPAPKSGARIMTQCVEDDHLPAFMDEIKAFDKF >OB01G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8430049:8430267:1 gene:OB01G21960 transcript:OB01G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSERTRWRSPTTRSMMDSSSSPDGVAALGCTYSRLVLSTVNPMLRSSSSSPSPENISVAAPAGVANVQAAA >OB01G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8468761:8475906:-1 gene:OB01G21970 transcript:OB01G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPEPIGCAGVGCPSAVGTWPLHHVRRSDGALCRLCSSCLLLEYRPLYCCCCFLLLGPEPPAHFDDGDPILAPPVPVATCHNCNEPVAHRYCLQSDDDTFVCPACVAATEGRPFSFSPAAAADAPLDMRAARIILLAARISLAVLKKAAATARATAERLYAEAKVEKERAYRALAIAFSVDTELPSTNRHVEPPPPPMLEPPEDVASESSAANMGMVMDPPENLTPPASDLANINMAPSENLPSEGSLVNMAMGLDLNAPPPPPPSPDAHTTNNIGMGSITAMAMAAAEAARPPPPPPPPPPPPRRQ >OB01G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8469097:8474242:1 gene:OB01G21980 transcript:OB01G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3KYZ2] MVRGEAAAEAEYTRASLLGRYEIGRTLGEGNFGKVKYARHLATGGHFAVKILDRSKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIALKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDAQIPKWLSPSARDLLRRIIEPNPMKRINISGIKEHEWFQKDYTSVVPYDDDDDIHHDPVLPIKEQTDEVKQEKRTHINAFQLIGMASALDLSGFFEEEDVSQRRIRFTSTHSPKDLFDKIENVVTEMGFQVQRGHSKLKVMKNGRGSRNHRNPSSFLVCTEVVKLGPSLYVVELKKSHGDPILYRQLCEKLSDELGVCRTEQIQRQESIDDDLESFNSGASLPLL >OB01G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8481968:8483693:1 gene:OB01G21990 transcript:OB01G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSEKATAPSSSYYSSELDPLLSDLAERKLRLRRSLVWLDAELKDARAKLASKEQLLAQESENSKLAESKARSMEEEVRRLKKCLQDKDEQLRASLCSTEQCLLVHKLDVLRSQLSITQATGEASAASAMLAQLQCLSLSGGHENEKNVLGENELQLKTVEEQLDLVQQYLKTKKLSQMVKRRDKALKKLQGQLQLKQTAGSGDNQNLWESSGFRLIASMSILALAILAKR >OB01G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8488106:8488564:-1 gene:OB01G22000 transcript:OB01G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQRKEKEDRTGKIARALTDGGGSRSRTGGAPSPLSQYWEVEAAGRQGWRRRRVSAGGEVSWGRQTRGGGIFTSPRRPLFSSPGSVESWLGGIITHSGQRRPSAWEERNGKLLCRRCGKLASCVDSRSSPVYLVLPREGGGRKLTRRQIW >OB01G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8488427:8494339:1 gene:OB01G22010 transcript:OB01G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKSLEWDLNDWRWDSNLFLATPSNASTSRCRNRELGRAEGEIDFGVVDKRRRVSPEEEDDGEGCSNAATTNGDDSWIAVQRERSSEDEMPRKGACSSSTPCCQVDGCLADLSSARDYHKRHKVCELHTKSGVVRIKNIEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKEQTQAGLDVNSLNGNHSLSNMLLLLLKQLSGLDSGGSSEQTSGPNYLVNLLKNLSTIAGTQTYQDMVKNTNSVAVPSHAGNNVANGNSVHDPRSPIPVGTESSAEEPTQKRRMQNFDLNDAYVEEDENRTDKIVFKLFGKEPKDFPANLRAQILNWLSNFPSDIESYIRPGCVILTIYLRLPNWMWDKLAANPAHWIQKLISMSTDALWRTGWMYARVRDQLTLSCNGSLMLVSPWQPIIGNKHQILFISPIAVACSSTVNFSVKGFNIAQPTTKLFCIFGGKYLIQESTEMLLDGTTMQQGPQCLTFSCSFPSTSGRGFIEVEDYDQSSLSFPFVVAEEYVCSEIRTLEHVLNLVSFDDTSEERNDVFTYRDQALRFLQEFGWFLQRIHIRATFETPEDCTEGFPAARFRWLLSFAVNQEWCAVVKKLLDTLFQGSVDLVGASTVEFVLGQDLVFTAVNKRSKSLIDFLLTYTTNSAPMDRTEGAASVQFLFTPDIAGPSDVTPLHIAASNSNSVGVLDALTDDPQQLGIKAWKNARDATGLTPEDYAQKRGHNSYIQMVQNKIDSRLPKAHVSVPMTGSLSTTDIAEKHSNRSKPTDQIAFDVEKGQQSAKPPPICRQCLPEPAYRHHANRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLLSGPK >OB01G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8494848:8496302:-1 gene:OB01G22020 transcript:OB01G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:J3KYZ6] MDTDLGAYFHAANLILNLHKIPRVNSCWCNSGKLTACYRAVFSHILRSCGWRHLGYSFLSLYLWNLNKILLNANLCSEICKPCNVLCRSKEMAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGACPWLRPDGKTQVTVEYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGLARRCVVQVSYAIGVPEPLSVFVDTYGTGRIPDREILRVVADNFDFRPGMIIVDLDLMRGGNGRYLKTAAYGHFGREDPDFTWEVVKPLKWDA >OB01G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8503340:8505088:-1 gene:OB01G22030 transcript:OB01G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGELNFHLLHSLEAAVAAAAASSTGATSQPTVDYFFGGSAEQQATVVQYDQLVAPPHHQTVTMLRDYYGGHYPPAGAATEAYLRGPRTGSSSLVFGPADDESAYMVGPFDSSPTPRSGAGGRKRSRANAGFRGGGPANGVEKKEKQRRQRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRGELQGDVVDVAPSAVLLEAAESSEGERRSKETFVDVRIVEDDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPGSPVFASAVASRLIEVVDEY >OB01G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8510037:8511489:1 gene:OB01G22040 transcript:OB01G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G63090) TAIR;Acc:AT3G63090] MLLPAAAAAGVRRLLSLCPVRRISSLKVPWRRDAALDAAILRDRPPPPRLVREVLLSPGRRLLLRYLCKRRQRIRLPVLVPTFLRRSDPSSSSPSASTAPRLVREVLLSPGRRLLLRYLCKRRQRIRLPVLVPTFLRRYPTLLSVSPPPSPFAYPSPPPRSFLESAERLHELHSPLLASKLAKLLMISYTRALPVAKVAAAKRDFGFPDDFLTSLVPRYPHLFRLVGDPGPDASGDAFLELVSWDDRLAKSAIELRADKEADVVGIRPRPNFAVRLPRGFYLKKPMREWVRDWLELPYVSPYADTSGLHQASPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCDEFRLSNAFSNAFTRHPGIFYISLKGGIKTAILREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLHEAHRGAKVQTGIKDQMEEEDIDWSDEDDDSEGEAL >OB01G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8513274:8513489:1 gene:OB01G22050 transcript:OB01G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICGNNPVNSHANAPLFEKKGNYFLTYHGCTIWTIDVSNMSEYSKHDNIAIFLPLPFLVKVTTFIYRNYV >OB01G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8520003:8521541:-1 gene:OB01G22060 transcript:OB01G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZ00] MRPGLMVAVACCFLVGVVAAPSAAARDGELKVGYYDGKCRGVEGIVRLHVVRALAQDRRTGAALLRLIFHDCFVRGCDGSVLLDASDENPRPEKSAPVNIGLSAFDVLEEIKAAVEKRCPGVVSCADILVYAARDAGRILSDGRVDFDVPAGRLDGLVSSADEAQAEIPDPTLTLQQLIDNFARKNFTVEELVILSGAHSVGDGHCSSFAARLAAPPDQIAPAYRDLLNYKCSRGADPEVANNVRDEDLATVARFMPAFAGRVRAVSRLDNTYYLNNLDKVVNFNSDWQLLTGDEARGHVHEYADNGTLWDHDFADSLLKLSKLPMPAGSKGEIRNECSVVNRR >OB01G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8526752:8527916:-1 gene:OB01G22070 transcript:OB01G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZ01] MKTSFVVVCMLAVAFRLAAAVVVPAAAPYALKVGYYNSKCGNSSVESIVNETVTAYLDADNSKGAALLRLFFHDCFVRGCDASILLDKSDVITSPEKAAGANIGIAGLDVIDAIKAKLEAACPGVVSCADIVVFAGRDATRYMSKGNVYFDVPAGRKDGLVSSSAEADSTLPDSKADVAELVAGFTAKGFTPEELVILSGAHSIGKAHCSSFKDRLTAPSSEINASYRDDVLNKICASAAKANPTAANNIRDIDAATLGSQLQGYVVPAGGGGDLDNSYYKNNKNNVVLFHSDWALVAQSSTLKHVNEYAANGTLWNSDFTSALVKLSQLAMPAGSLGQVRMSCRAIND >OB01G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8534722:8535873:-1 gene:OB01G22080 transcript:OB01G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZ02] MMKLSVAIVCALVAAAALVIGGEPAAASELKVGYYGKTCKGVENVIKWHVIKALKQNRRTGAALVRLLFHDCFVRVRSYACDRAHQIMLHTYCSKQFDVVGINGGFEQCAQGCDGSVLLDKSYENPHPEKEAPVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDILIYAARDAGSILSNGHVHFDVPAGRLDGFVSSADEAQRELPDSTMTVQQLADNFAAKGFDTEQLVILSGAHSIGAGHCSSFTGRLSEPPQQIVPAYRDLLNYKCSQGANPDVVNNVRDEEYATVARFMPGFTSRVRKISDFLDNTYYHNNLAKIVTFHSDWQLLTNQTSLDKVHEYANNGTLWDEDFSDSLLRLSKLPMPEGSKGEIRKKCSVSNHFQY >OB01G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8548854:8550010:-1 gene:OB01G22090 transcript:OB01G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKPACYLGLLFSITCLLQVLLLPAAAHPPSCEKSDHELRFLFSQWRAKYAKHYSCPEEQEKRYQVWKDNTNFIGAFRSQTDISSGVGAFAPQTITDSFVGMNRFGDLTSGEFVQQFTGFNATGFRRPTPSHISPHSWQPGCVDWRSSGAVTGVKHQGNCASCWAFAAAAAIEGLHKIKTGELVSLSEQVMLDCDGGSNGCSGGRSDTALNLVASRGGIASEENYPYTSVRGACDNIGGDKYWIAKNSWSNDWGDQGYVYLAKDVWWPQGTCGLATSPFYPTV >OB01G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8553746:8554852:1 gene:OB01G22100 transcript:OB01G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVLLVVCTLLALQAMAADANSNVTDDGVTMQVFEEWMAKFGKAYPCHGEKEHRFAVFRENVHFIRSYKPEVSYDTALRINQFADLTNEEFVATYTGAKPPHPKQAARSVDPIWMPSCIDWRFRGAVTGVKDQGACKSCWAFAAVAAIEGLAQIRTGQLTPLSEQELVDCDTDSNGCGGGHTDRAFDLVVAKRGITAESEYRYEGFQGKCRVDDLLFNHAARIRGYGAVPPNDERQLMTAVARQPVTAYIDASGPAFQFYGSGVFPGPCGTAESNHAVTLVGYCQVDATGKKYWVAKNSWGKTWGQQGYILLEKDVANPHGTCGLAVSPLYPTV >OB01G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8556344:8558400:-1 gene:OB01G22110 transcript:OB01G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYTEWTIVLEIVYFTIATLFSAYGCFIYSSSNNRRVRVTILPVRQSHDESVLSNSLVEINHGDDQKGGARAVLYQLGRFMQIVYQVLGGAVVLTDVVFWALIAPFMYSSRLSLNAVMGCTHSFNIVFLLIETTLNNLEFPWFRLTYFVLWTCSYVIIQWIAHGCGLTWWPYPFLNPAAPWAPLWYFCIALLHLACYAVYWSIVRGKNWWLNSYIIPAY >OB01G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8561232:8563803:-1 gene:OB01G22120 transcript:OB01G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTEVMHQVVPLLESSLRRRCSVKGGEEVSVSPSPVEEMPPVVGSEAAVVEAPELMVKAPVESLQFSPNIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLMCPAPNAFYGVFDGHGGPDAAAYMKRHAIRLLFEDSGFPQALEEDETFSELVENSIRQAFLSADLALADDLAISRSSGTTALTALIFGRKLLVANAGDCRAVLCRKGVAVEMSRDHRPTYDAEHQRIAECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSRSPLIAEPEFQQATLTEDDEFLIIGCDGIWDVMTSQHAVTIVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVVCFGSELGGGSPSSEQAPIRRVRCCKSLSSEALCNLKKWLEPDE >OB01G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8571534:8577176:-1 gene:OB01G22130 transcript:OB01G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoprotease 1 [Source:Projected from Arabidopsis thaliana (AT2G45270) TAIR;Acc:AT2G45270] MAATLLPTLSPPASRAAALLLLRRAPPKPFRAPAPLLRRVLAPGPSLPVRSPTFRSSLATMPSTAAAAASGSRADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVKWGGVSPKMAEEAHALAIDQVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARQIAKAFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVEFRVPMRQHKDCNFSYAGLKTQVRLAIESRNIPADEIPISSATEEDRQLRANIAASFQRVAVLHLEERCQRAVEWALKMDSSIKYFVVSGGVASNQYVRTSLNHIAEKNDLQLVCPPPRLCTDNGVMIAWTGLEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSVARSMKTARVHPSLTSMIQGSAH >OB01G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8579241:8586645:-1 gene:OB01G22140 transcript:OB01G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQRLLEIATAEGLLSDLGDRAAKFKVSLYADDAAIFIKPTHHDASNMIRILQLFGEATGLQVNLNKSSVTAISCTSIDLDEVLHPFTGLRANFPMTYLGLPLTIRKIRKVHLQYLIDRIKSRLAGWKQKLLSTGGQITLVLSVLSSMPTYAMTVLKISSMPTYAMTVLKIPKQITQEIDKARRKFPWAGNEQLYGGKCKVNWQRVCRPTRYGGLGIPDIQKMGIALRLCWLWYEWVAPTKPWTGTQTPCDEKDEEIFAASTIVTLGDGRKASFWGSTWASATSLKKLVPNLYKHSKRKNMTVSEALEDDRWIDDIRHNLTQPLIAKFITVFSCLQDRNIVLAPGMEDTIKWNWTSSGEYTAKSAYRMQFIGSIPFALAHIRKCWAPTKCKFFTWLLIQNRIWTADRLQQRQWPNEYFCQLCYRNLETAEHLFKDCPYVRRVWSVALARLRGGHHPPNGDDTHNLKEWKQEWRLAKLMPIHRPQIFSSSATLFSLLQLVAGIFLLRPRIFACSQQPPRGGQKKNQVMAAFANFSSQPANTWPASVLDDLQLLEQFRIAVPSKTTLAGWSGSDGACRFPGAGCGRDGQLTSISFAGVSLHVNFSAVASTLLQLRTVEEVTIHDGNVSGVLSSAKGIACGLKLRLLDLSGNGGLAGSVADVDELASLCSGLRVLNLSAARVGVLNVDGQEGAGAGMGLSRLEVLDLSDSRVAGEDDLKWMIHAGAGRIRQLSLAGNRISGEVPVFTDCSGLEHLDLSGNAMSGAVAAGVLSGCSRLSTLNLSSNSLEGEFLPDVTHLLSLTALDLSRNNFSGEIPSNVLSKLARLKTVTFSFNYFNSSIPDALAALPELEVIDLSSNMLTGVIPAALCSLNASSKLLQVLYLQNNYLTGSIPETISSCTRLVSLDLSLNYIQGEIPSSLGKINGLRDLVLWQNMLTGEIPASLAAIRSLENLIVDYNALAGSIPPGLANCIELKILSLASNQLSGPIPMWLGQLDKLEILRLGNNSFSGPVPPELGDCKSLVWLDLNSNKLEGAIPPTLAEQSGMATKTMFTSTLAQNLWYLRNDEMSISQCHGTGSLIPLTGIRSDDLNRMPSTKSCNFHPKNIGNTDIQTIEFSMVFLDLSFNQLNSTIPMELGNMHYLVILNLGHNQLYGMIPKELDGARVLMVLDLSHNKLEGPIPTSFSKLLMLLEINLSNNRLNGSIPNNWALSMFPRSQYENNSGLCGLPLPPFLLISLWILCRRKKYKMHDVPGDLQQGNVFSIWNYDGGNAYERIIEVTENFSEKYCIGAGGHGSVYVAKFSASEIFAVKKIHITEDDHLINEQMFYREIEAMLQIRHRNIVKIFGYCSTERDKFIVYEYMNGGSLSSVLKSYRSASKLDWMQRLCIAQDVAHALSYLHHDCSDPIVHRDVTANNILLDLEFRACLSDFGIAKILGADGSNNTRLAGTKGYLAPELAYTTRVTEKCDVYSFGVVVLELLMGSHPGDFVLSISCPSKKRTPMKDLLDPRLPLPEGEVAREVFGLILAALQCLRPNPSTRPTMQSAIHMFSNVAGTVDLDYLHADIPKFFLL >OB01G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8590128:8595303:1 gene:OB01G22150 transcript:OB01G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDAGEAEHQRSESGQPLLFSCGLVRRKRKQRMSEPHAARLVGDGVPVPESVGDPVYLHDYIVDLTSSSLNSSEDGGGVAVCDALNVALEVDVGGERHACLEAVRVGEFCIALSERILVHRSKQKLENLLAIMTSVGLVGPSSGFPETSTSGATDRLTDDISEMSIRDKEVEAVVVNGNSMDIGHTIVTTVGGRNGQPKQTISYIAERVVGRGSFGVVFQAKCLETGERVAVKKVLQDARYKNRELQTMQVLDHPNVACLKHYFCSTTAKEELYLNLVLEYVPETVHRVIRHYNKMSQRMPLIYVKLYMYQICRALAYIHNCVGVCHRDIKPQNILVNPHSHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTREEIKHMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPHLRCTAVSINTYIRKLLVYILSTYLFHLFFFFSHQLEVLIHPFFDELRDPNARLPNGRTLPPLFNFKPRELKGASMEFLVKLVPQHAKKQCAFLGL >OB01G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8599000:8601116:1 gene:OB01G22160 transcript:OB01G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGRTTAAIAVLLALAVASSFLAAGDGVTDDTDALKTAWDTACQDDGAGVVLAAAGHSFLVRNTVFTGPCQGSVTLQVDGTIVAPSDPATWPANNRRNWLIFYRADGVSLVGSGLIDGKGQKWWDLPCKPHKGGNTHGPCDSPVAMRFFTSNNVTVQGLRVQNSPEFHFRFDSCRGVRVDGLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKAGTRACVGNVTVRNAVIRHSDNGVRIKTWQGGSGSVSAVAFENVRMDAVRNPIIIDQYYCLSKSCENETTAVLVDGVSYAGIRGTYDARSPPIHFGCSDAVPCTNITLSDVELLPASGDTVDDPFCWNVYGNAATPTVPPVACLMGGVPRNYEESSNHKCY >OB01G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8628240:8628440:1 gene:OB01G22170 transcript:OB01G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGARCHNTGGYRAPRRHGDPIHIVAPSRYYPPRFLSVIGTIGSDFMRQSLIFYFLGLDLIYYSILS >OB01G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8632037:8633783:1 gene:OB01G22180 transcript:OB01G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGNRGERQGTTQKGTQSPSCLSGPPEIMDLGRRLGVLMCIFWVTKRNKSWGSQGHDLGAGAAPTQTTVKTDAKPFPAEEAQWLYMWPMGKTEWYYRFGG >OB01G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8638012:8641145:1 gene:OB01G22190 transcript:OB01G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPRRLGFLPTLVCAIAVSVCCVAGGGRERGPITSNGGNYTKVCDPARFAAAGLDMAGFPYCDASLPYADRVRDLIGRMTLEEKLHGYIVSDCDSVRVMVRDAKWLRHNTGGEPPAASMKAGLDLDCGMFWEGVHDFFTTYGVDAVRQGKLKESSVDNALSNLYLTLMRLGFFDGIPELESLGAGDVCTEAHMELAADAARQGMVLLKNDGALLPLSPEKVNSVALVGLLEHINATDVMLGDYRGKPCRVVTPYDGVRKVANSVVLHACDKGASCDTAAAAAATVDATIVVAGLNMSVERESNDREDLLLPWNQASWIKAVAEASPSPIVLVVMSAGGVDVSFAQDNPKIGAIVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGADVLYPFGHGLSYTNFTYASGTAAAAPVTLKVGAWEYCKQLTYKAGVTSPACPAVNVASHGCQEEVSFTVTVANTGGRDGAHVVTVYTVPPAEVDDAPLKQLVAFTRVRGCGCRRAPPSRCRSRSTSARRSPSSRRRRTPSCRRGSAGSLSETTRCRCPSRFRSTWQHHRFLELFNSWNSSIGFFFTEGKTRSKCN >OB01G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8643722:8649108:1 gene:OB01G22200 transcript:OB01G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3KZ14] MGDDSMITACLPVLAMVLVQLALAGLNVMSKLTMASGMSPYVLLAYRNFNAAAFLAPIAFLFESATLNQVLYFVGLKYSSPTVASALNNTLPAVTFLLAAALNMEPLEGRAGRAKVAGTAVCVAGSMLMTFYRGPLVRTLPASPVRWPYVQGTMAAAHAGGHAVVLGAVLVIASNVAWAIWFIIQKTLSKSFASPYTSTVLMALIASVQCAAIAGAAERRLAAWELGLDIRLVGALYAGIVASGIVCTVMSWCIQERGPVFVSMFSPLVLVVVAVVGWAILGEKTHVGSVIGAALIVVGLYTVLWGKGRDMDAAAAAAAIASAGDEEMAGAIGTEDLYSAGVGQTRHA >OB01G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8670188:8671863:1 gene:OB01G22210 transcript:OB01G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEPGKVIPWRRWAFASLGSVLSNFGSLWFLLAPLLAAYAPRRLFKTYFDLFLRRYARRLLAVVDPYVTVDVSEPGGAASAHYSRYGRVTEHDSAYEEVKAYLSDTCAGEARELRAEGAPEGDGVVISMRDGQDVADEFGGATVWWTSVVRENSQGQQRPHTRQCQRLTFHQRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSGESFRYDYKAWSYIDFDHPTTFDTLAMDVAKKREIIDDLNAFRSNREFYRRAGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRATQRRRRHDRDNSNTRDENSRRDGSMVTLSGLLNFIDGLWSACGGERIIVFTTNHVERLDEALIRRGRMDMHIVMSYCGFDAFRTLAKNYLGIDDHALFSAVDEILGRESITPADVAECLMTAKRAGSDEPSRLLEIVVDELKQRVEANAKVKAEAEAKAEAEEEAEAAEMDRDDRRGEQNGRKSPKI >OB01G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8684619:8688049:1 gene:OB01G22220 transcript:OB01G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3KZ16] MGRDSLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFERKSGMMITKKVLVQIFFSSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAALRMESVRLRAAAGQAKVVGTVVCVGGSMIMPFYKGPLLRLWASPIHWRGGGGGGGGAPAPGAGHAAVLGDVLIILSCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGAQCAGVSAAMDRTVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAVVGWAILDEKIHVGSAIGSVLIVAGLYVVLWGKAREMDSPADLDGVGVGGVELHGKKDADAATLPVFCTTTTTTTTAPKHETTRNGCTN >OB01G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8693385:8694305:1 gene:OB01G22230 transcript:OB01G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCGWTAAAAEMAEELEDGFRRGPWAAEEDAVLAGHVAAHGEGRWNEVARAAGLRRTGKSCRLRWLNYLRPDVRRGDFTPQEQLLILELHSRWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKHAKQLGCDVNSGQFRDVMRHLWMPRLVERIQAAAAAATALATSPDEHAAPAVAHGDMCHSPDPSTTTMSSTGTSAGSSSTSSSITSTSVPRGEQFPSSTNQEHLAMASVTAVAAADWSSEQYGSASTGFGADDMFEGSWSELLARAYDDDGADSSLLPDFQTAETGDNWWSLEDDIWSQRPY >OB01G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8708913:8709527:-1 gene:OB01G22240 transcript:OB01G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKDDRFCYNGANKSLCSCTLKRKGRDAAIMLKIIWFDDEAVALDLPMGINGTQKSHLKLLVHASIWKPS >OB01G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8712443:8714037:1 gene:OB01G22250 transcript:OB01G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVVNALIDAYASLAEGVRDARRLFDALGSGRTAASWTSMIAGYARWGQERTGLRLFKTMLKDGVELSPFTYSIALHACVSVIDLCLGQQLHLQCIRKALDANLAVVNSLIDMYCSCARILDARRLFDETPERNLITWNTMIAGYCQCYPLMALQLLLDINEEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYNDDLQMCNALVDMYSKCGSIANAEKVFDKMVSKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHSGVRPDHVVFLSLISSCSHTGLIDEGWNFFRSMIDEYNLQPNKEVYGSVVNLLARAGRLREALDLIDTMPFAPNEYVWGALLGACKMYNNVEMGRLAARKITEINPDGVKNYIMLANIYAAGNKWGEYAFTRRLLRGIGSRKEAGISWIEIMDKMYSFTTADSSSPQVCLADEVLNILSQHMDDVGTECPHVIF >OB01G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8716520:8720973:-1 gene:OB01G22260 transcript:OB01G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPSSGHTNLYRFVRRWLANPPGHHHHHLRRSASTGISTTYPARIIPRCPTSPPSSAQDGGGGRERLRDATMVLDVEGALLRSSSPSSSTFFPYLMLVAVEAGSFLRGFILLCVHLAASCLAPLLPEEARLRATVMACFFGLQERKVARVARATLPKHFLEDVGMEGHEAARAAKRVVAVSRAIPRVLVRPFLEDYLGFDAVVGREVKVVRGFYVGLLGKMMGEGRLGLEEDLDDGAPADMVGFGSGSGCSSSHGHHHLFSRCKEIYLVTPEEKRKWSPLPRDQYPKPLIFHDGRLAFRPTPEATLAMFLWLPFSLPLTIFRTFIFVTLPYPISVAIGSIAGVRTRVINPPVSNNTTTTPTGHAKPGDHQQPDDDRPKNTTGRLYVCNHRTLLDPIYISAMLNKKVSAVTYSVSRLTEWISPIPTIRLTRDRDEDRRRMERALQRGDLVVCPEGTTCREPYLLRFSPLSLELVDEVHLVALVNWSGMFYGNSTGRCKWLDSFYYFMNPRPAYDVQFMEKMPTRMVVDGKTCESKHVANMVQGEIGRVLGFECTKFTREKKYLALAGNKGVVDANQ >OB01G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8723604:8726764:1 gene:OB01G22270 transcript:OB01G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACASHVRRLLAGAATPARSFHSQPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQRLLQTRTLRLKKLGIPCKQRKLILSFAHKYRLGLWKPQAEAKKVP >OB01G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8727167:8729556:-1 gene:OB01G22280 transcript:OB01G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKEVHDRDVLVDLEKGNCLLMREDKNGSDVDVIHSQAKSSLTGSWNDLVAVKDDHHMPSCSSHSQDFAATSGEDRTSDGEMKVGLLDKSKGDKEKKKRSKKPPRPPRPPSASPLDAADQKLISELSELAMLKRARVERMKALKKMKNSKPASSIGNLVALIITVIFCLVILWQGVFSRHWASISFHGSPISSGRSHASLISIQFYKKNETTTSPQSFPAAPNSTGIPTRLEIHGEARRVTE >OB01G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8731645:8732611:-1 gene:OB01G22290 transcript:OB01G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVADRGGFDVIVVGAGIMGSCAAYVASARHVRVLLLERLDLLHCRSLSLDESRGVRATDAQAHYSPLVRLAARLWDDPQRDARDVAASSSSRDAASPATAEAFARAFKRASQPISAIDGSTRPFNVKTSRGHANEYP >OB01G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8735072:8735311:1 gene:OB01G22300 transcript:OB01G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAPVDLEDICNRRLGTTRRLGLKGYVRELLGLTMEKPMDVTRSDWEKPELDAAQVRHACIDAYVSYKLGERVLITN >OB01G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8737119:8737694:-1 gene:OB01G22310 transcript:OB01G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRHLVRFGSATIDTTVTSDVAAAGGGGAGLVVSLDCEWKPNYVSWRTSRVAILQLCAGPRCLVLQLFYANRVPPDVRDLLGGPSVRLVGVGIGEDAAKLEADYGVACAAPVDLEDICNRRLGLLVGNGGGRRLGLKGYAREVLGLAMEKPRRVTMSNWEKRELDAAQIEYACIDAYVSYKLGERLLAD >OB01G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8751048:8752834:1 gene:OB01G22320 transcript:OB01G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3KZ26] MKQALAIIALLIGIGALVIMAVSPPICHAAGAAGSGGGAGATVARSVFVNQQGGADFSSVQDAINSVPFGNGQWIRIHVAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWEDYAGGGDSGTADSPTFASYAADFMARDITFKXXVGRRAPAVAALVAGDRSSFYRCGFVGVQDTLCDLLGRHYYEGCYIEGAVDFIFGEGQSIFQSCDIATARSPVAAPGYITAQGRSSASDTSGFVFKSCTVGGATPAYLGRAWRGSPRVVFSPPAMSAAVVSLGWDAWDYKGKEETLEMVESGCTGPGSNRAGRVPWEKTLSAEELAKLVDISYVSGDGWLAAQPR >OB01G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8752898:8757372:-1 gene:OB01G22330 transcript:OB01G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSYEALETAIKETFEKLVEDGKISPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHAWEDVLYTK >OB01G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8767760:8770940:-1 gene:OB01G22340 transcript:OB01G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTVVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPINQIPSASKDIKEVRAVDDQFLPTNFVVHDGLLLTIQNEPVESVDKDVDQLAQEEKMRQTEENNLSIPLHYEDKYDGIQPVSTCEHSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATNCFSKDNIIGEGGYGVVYHGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGVGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNLASNSSETEPLRGKNSSGKSDAPENEIEPPRYKNKSRAFSPK >OB01G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8771714:8771935:-1 gene:OB01G22350 transcript:OB01G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPQPQQLKASIFFLLLSFFFSVTPNPSPTELITPPLLPLRCHLHSTPAPSNQSRKVQAFSSSCFWISPRYASVH >OB01G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8773695:8775910:-1 gene:OB01G22360 transcript:OB01G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDVSLGGCPVPLYSGSFRGHFSHLLGTITSCWLRTLARKRVEELTLQLEELAWFLPKPAVVLGPAFFSCDTLDIFRGIAAVNTLWFDTEATMCDQDMPLFPICKSLVVSPPAGVEHGGAPFHVSRMPEHVMITPEEIPPTVANFTDPDNVIGRFMIEHVELMDVRSWGVLRLSTSLWMEKLGRKRKEEGRWMEKSRQ >OB01G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8777596:8795044:-1 gene:OB01G22370 transcript:OB01G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold [Source:Projected from Arabidopsis thaliana (AT3G62360) TAIR;Acc:AT3G62360] MDPRPASIPVLLLALLCLAASSAAAYASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTTDGLVKESTQCAPNGYYFIPVYDKGSFMVRGKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVGGKSCTKYGGPSGVKVELLTDSDELVVSALTSSTGEYSFANIIPGRYNLRASHPNYEIETRVSSEVDLRFGNAVADDVFFVSGYNIHGSVVAQGNPILGVHLYLYSSDVTEVPCPQSISDAPREGALCHAVSGADGKFTFRSLPCGNYELLPYYKGENTVFDISPPSMLVSVEHSHMTISQKFQVTGFSVGGRVIDGYGAGVEGANVVVDGQLRAVTDGLGHYRLDQVTSKKYTIVAEKDHYKFNRLENFMILPNMASIDDITSVRYDVCGIVRTVTPNSKAMVTLTHGPENVEPQKKLVSANGRFCFEVLAGEYRLSALPVDTGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQKILLSLVRLAGGIEQERKTITLEQDNVNFVFKKIFPGKYRLEVKHSSAEASAQDDWCWEQNAMDINVGSDDVKDIVFVQKGYWVELVSTHETKAYIEHPDSSKLDLFIKKGSQRICIETPGQHELHLINSCISFGSSPIVFDTKNQMPVNISAKKYLVRGELHVEMDSLQEEIDLSKDIGVDVFKSDGTFIEKISTAPVLGKSYQNDISAFEYSIWADFGEDFIFVPHDDSARRKKILFYPSSQKFSVAVSGCQDTVPAITAKTGLYLEGSVSPAIADVDIKILAAGKSKYAPLKERDVAMETETNSEGSFFAGPLYDDIGYTVEASKAGYHLKQTGPHTFSCQKLGQISVRIYGEQNAELLPSVLLSLSGEEGYRNNSVSGSGGTFSFDNLFPGSFFLRPLLKEYKFTPSAVAIDLSSGESKVVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLVPGSTYSVRVVAKDNHRFAAVERASPEYVLIDIAQDDISGIDFVVFERPEVTILSGHVEGVDVDMLQPHLSVEIRSAADPSKIESVLPVPLSNYFEVQNLPKGKHLVQLRSGLPSHTHRFESEIVEVDLDKLPQIHVGPLKYKTEERHHKQELTPAPVFPLIVGVSAIALVISMPRLKDLYQSAVGMTTLSSVAVPSKKEPRKTIMRKRA >OB01G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8786952:8788645:1 gene:OB01G22380 transcript:OB01G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSRITLFQEVDETEQVPNDEEQVPEPSTKPSSGTKGKQAKRKAAITSFLVSAPPKPQTQKYSKSVSSMLSKTPEVVINRHTSKTSQPTLEHCTKKDKQAKQIVDDHVADFFYENGIPFNAINSRSWEIMLESIGQYGPGYRSPTYHEIREPLLDRAVSRTAELRKKHEEAWKEYGCTLMSNGWTDTSHRHLINFLVNSPAGTFFIGSVDASSEIANAIMLADLLEKQIDKIGKEYVVQVVTDNGSNYKAAGKILMERIPHLYWTPCAAHCLDLLLEDIGKIKAFNSCINYAKKVCRFIYKHGRVLDQMRNKIGGDLVRPAVTRFATSFLTLASMYKHKQGLRSLFVSEEWHANSLSHTSEGRQIESIVLSIAFWSRMENCLKASQPLLVALRIADGDETPAAPEIMAAMDHARCSISDALKDRPTLLKEVLECYDKRWDNQMEQKLYGAALYLNPGKFFDIREKDKRRAARLRSMFNDVVWKMVTDEDEQTQILKQANDYDRSEGGCFSKPLAIRDRDKKNPILWWGAYGASI >OB01G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8821433:8826085:1 gene:OB01G22390 transcript:OB01G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMVWSSLATTGTRPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWSKPACKGTPPSPRESHTVTLASSSGDRLVVFGGSGEGEGNYLNDVHVLDVPTMTWSSPEVKGDAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVILNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRAENQRDPNMSNGELGPRPREAESEQRNPFLRGLENGHVKRRRTSDARPKETELEQEEHSLSLSQHSSPSQSDQEQNGSQKLSSSPNGSISALQPFVRLNTNGTLRATGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKPHHRPPTPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTMHHPILTSSAIPPQHQRPVLAHAIPVHARPVPQATGFVLPDCSHHARQAFPAAATAKIIKSEPERGSSDLHDVVLTLGGPGAGK >OB01G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8827792:8829030:-1 gene:OB01G22400 transcript:OB01G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMVNTKGMNMSYTNHAIRLDLIYKVHGIEAAEKYFADLPDPAKNHRTYGALLNCYCSAKMEEKAMDVYRKMDELGISSSTLPINNLMSLYVKLGQHKKVTSLFEEMKVKNVKPDNLTCCILMSSYAALNKIDSVGEVLKEIEEKEVTLGWSAYSTLASIYVNAGMVKEAESALKKLEGLVHVNDGRQPFDFLMSLYASAGNLGEVNRVWNLIKTTFQKVTNTSYLGMLQALYKLDDVDRMKQIYEDWESNYQNYDIRLTNMMTRAHLRNGMAKEAELLWEKVKEKGADVDSKTCELFLEHYMTKGDMTSALNWVENMTKLPEKKRMLDQEKISKFFKYFEEHKDVEGAERFCNCLRQVGSIDSKAYEFLLRTYVAAGKTSRSIRQRIKEDKIEICYDIGKLLKRIGDKGR >OB01G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8836832:8837197:-1 gene:OB01G22410 transcript:OB01G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRDALCQRDYAAQKPGRRRTARVVGGGPKRWRRRRRAGGTGPTAPRGMNGGNGTMGEGRGPTTLGRDVAGGTGEMAPAAGRRGRWRHSTLTLERERKQMLREGEVLIEGGIAVACWVIF >OB01G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8839325:8843368:-1 gene:OB01G22420 transcript:OB01G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAARRRVSSEPGRLLHAVPDQRELQGALWPLYARLSAQLAATAGSSGTVAEELGRWLRERRPLSEEQLLFCVHRFRKFKQNRHALELMDWMEARGVNLQLKHHALRLDLVSKVNGIHAAQEYFWSLPDVFRSVKTYCTLLNCYAEHGMADKGLELFENMKAMNMVSDILVYNNLMCLFLKTGQPEKIPTTFVKLQESGMEANNFSYFVLTESYIMMNDIESAEKVLKELQKMNSVPWSLYTTIANGYIKLQQYDKAELALKKAEEVLDKHDAVSWHFLLSLYARSGNLSEVKRIWENLKSAFKKCTNRSYLVMLKALKRLDDFDSMQQIFQEWESSQEQYDMNIPNVIIQAYLDKGMTDKAEAMRQTSMSQGHSNYGTFFIFAEFYLEKSKINEALQVWRDAKNMLKGQNWVPSKLVSRFLKHFEDSKDADGMEAFCECLRKLDWLDAEAYEALIRTYISAGRTNPSIVQRIEDDRVDIRPEMVELLRAVSTEGGKEGVQS >OB01G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8847453:8847817:1 gene:OB01G22430 transcript:OB01G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRFLMVATRCLALAVPAQKAVAAGPPNNPSADVNPSAGWEDRGEVTGKKDVGWEEVAVAVDVGEQPRRLLASLAASRHRPRRLLASLAARRRLAWIGARRSEVWI >OB01G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8849944:8851222:1 gene:OB01G22440 transcript:OB01G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTLMEVTGKMLARVKRIWFANSQIECEVMLPQSVPNGGASSSMVEDQATQRKPKRKQRATLVDEGILGDDPPESKVPNLTRCSATLALKGWCNGLGTTRNHRGNRSPLRGCLEDEWESMGNDDVDFVVSDTDDEDAHADRWEVNLSS >OB01G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8852692:8855386:-1 gene:OB01G22450 transcript:OB01G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSQSHGHGQAEAADLEQGLTGHLLEYHQREAWADEGGRQRPRVGRVPPHVRSEDGGEAYTPKYVSIGPIHHANGTLRELSHGLKVAYLHALIARRTPDPIDDVAVLAALIGYKAGVAAMEDRARAFYKEHVELAPEAFVDMLVLDGCFILEHMLNLATGYEDPLLHRTHWAPSQLHSDLIRFENQVPFFVLAELLALSPLCRDPDLEACRMGERRDFLRSIGVHCLLRKDDEELKTLPTSDGIHHLLHLYSLSLTEARLRRPAGHAGWGASAWRALWKLPIVTLTPVAYLLCSGGADDDKDQEEEEAAVKLPNIPSATDVQRVGIKFKRAPRKPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAVVTYFNKLCQGNKLEVEGNYLRSVFEALMERNRNPMYAWIRTLRKKYFSSPWGIIAMVVTLFVFASTVLQTYISIVQYYFTNNDTDY >OB01G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8857573:8859234:1 gene:OB01G22460 transcript:OB01G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATFSPSCPAILKRKDSRQRAMIEQKARARHCMSLYGSKAKQTKPKLSSQHVLYVSKTRLSLSGLDPAERVVKASWYKGTVVTHRPKPVSPIVNQGKNKNKQPKKPKPAPKKPG >OB01G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8879503:8886490:1 gene:OB01G22470 transcript:OB01G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGSGVVVVGGSSSSAPLDLTELMKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLEEISRERRAGAGCAGEIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGGGSSPAATATHSDEMMGSSDEDQCSGETDMLDIGQEQNSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSEGMRFALMEGVAGGSSGTTLYFDTGTIGP >OB01G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8890197:8890571:-1 gene:OB01G22480 transcript:OB01G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVGLSTPAALQARPQLAGAGRVGAVVAFARRARFAAVRASGPSTPPDISDKMSESIDKAKEACAEDNASGECAAAWDEVEELSAAASHARDKLKENSDPLEKYCKENPETDECRTYDN >OB01G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8892780:8893712:1 gene:OB01G22490 transcript:OB01G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAKGGSSGSRLLLMAAICLLVFSGGAHGGSIAIYWGQNGNEGTLGETCATGNYAFVNLAFLCSFGSGLTPQLNLAGHCDAYSGACANLTGDIARCQSMGVKVMLSIGGGAGGYSLPSQQDALALARYLWDNFLGGKPAAPGGKRPLGDAVLDGVDFDIEGGDPDYYGALAAYLKSYGRGGGGREVPLSAAPRCPRPDQWGGQRPANGLFDYVWVHFYNNPPCQYAGGSAANLVDAWHQWTAGVDAKHIFLGLPASPGAAGSGFIPVGSLESQVLPALKTTSKYGGVMLWSKYYDDQDGYSSAIKNAV >OB01G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8895110:8905209:1 gene:OB01G22500 transcript:OB01G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSSLHTKEDWHRRIVDYCIRKRLQWNTCFARRVCKEGEYYEEMMRYLRRNLALYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLLDADSLLEETPTFPGNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVGLVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQAGGVSTSGNIDKAGEAKLPTSSVTTHLTKINIEDTVEDCPDELSQRNLSDLNGNDGNMLMSSATLSESGEGMVRNDVEDSGTTQLDGSTDIDVVKTQRKYKVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPLSAIFMKGQRFRLLPEPLAGCEKALIWSWDNSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSMNDLNASGNLITVDIPLPLKSDDQSIASVIAQTNLPQDQVLNLSSVLKDLSSKFELSTLGYLRLLRLHRHTESESHLENGSYQWVPLSLELGIPLFSPKLCERICERVVASCILQKEDLAEHYDVMQTVRRRLRELCGEYQATGPVAKLFNKRGSSKDLPRVLINNISGRWNPVNDPLAPNEHERLKLAGRQRCRTEVVGFDGTFIRSYALAPENDEAATKPISEEQSSTHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTVI >OB01G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8907448:8908608:-1 gene:OB01G22510 transcript:OB01G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT4G16160) TAIR;Acc:AT4G16160] MGSRLDTRTLKDEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAVSREAYFVTVEGLGGETAGLDGNVKRSNFSSVRGDDGQKSLDAVVKSAGKEAFQWGLAAGVYSGLTYGLREVRGCHDWKNSAVAGAVAGVAVALTGDTGNADHMVHFAITGAALSSAASLLSGIF >OB01G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8907455:8907816:1 gene:OB01G22520 transcript:OB01G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRLAALERAAPVMAKWTMWSAFPVSPVSATATPATAPATALFFCSWHPLTSLRPYVSPEYTPAANPARIKKNHN >OB01G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8914915:8918002:-1 gene:OB01G22530 transcript:OB01G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDAWCCCSMRQCRICHEEEEEGCATTMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTAPPKKAQPAHVAVTIRESLEVPRPSYEPEDSPLIGDQVYAECAGAAGRSAAWCRSVAVTFTVVLLLRHLVAVVTVGAAHQYAFSLLTIYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQEQRRNATRMHRLHDHEQQNQQAILRVDVE >OB01G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8926490:8927392:-1 gene:OB01G22540 transcript:OB01G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVLSSQVSDKAYSLGKTLTLVQIFQLAAGKAPKRGSVVLVLNDPDVMDTEQLGVPERDVVAEATDVWQVADIRLRSVPRLPDHHQQRLGRAPRWLGRRLATAAEVTGNALFDGAPSTAPTLPVILNLVDEVDDDVRAPAG >OB01G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8927839:8929751:1 gene:OB01G22550 transcript:OB01G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASAADGSAPPPPAAAAPGRRIVVAVDESEESMRALSWCLANVVSSSAGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVDKAKHICAAFPHVTVETLVESGDPRDVICDATEKMAADLLVMGSHGYGLIQRTFLGSVSNHCAQNCKCPVLVVKRPKE >OB01G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8930685:8932282:-1 gene:OB01G22560 transcript:OB01G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:J3KZ50] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >OB01G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8938118:8949660:-1 gene:OB01G22570 transcript:OB01G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGFRILEEESTVPQQRYNTVESHGRAVIQMAPMEFGSSVESSTGPRYIKSKLGTKLHTDARMSMASSIGHSSNRSQSDSKLELFGFDSLVTILGLKSMVGEQGQTSASPMDGENAGIAIGHHKETEPKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMGGIWQSLVLVIFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQESVTVVSNVLVNGTTNRSATTISTPSLHDLQLYGIIITILLCFVVFGGVKIINKVAPAFLVPVLLSIMCIFIGIFIAPRPHASKWITGLSITTMKDNWSSNYQCTNNAGVPDPNGHIYWDFNALLGLYFPAVTGIMAGSNRSASLKDTQYSIPVGTLHATISTTVMYVLSVFLFGALSTREGLLTDRLLCATVAWPSPIVIYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKASEGSEPHVATLFTSFICVACVIIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALICIVIMFMISWTFTLVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSIRSMGANQVHPKNWYPIPLIFCRPWGKLPDTISCHPKLADFANCMKKKGRGMSIFVSIIEGDYHESAEDAKTACRQLSAYIDYKRCEGVAEIIVAPSTSNGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEADELKVDVKKFLYDLRMQADVIVVTMKSWEAHTSHNNVSKKDDHEVYKIAQSRTRTYISKLKEAAKREGQPLMEGGKQVVVDEQKVDKFLYTMLKLNATILRYSRMAIVVLVSLPPPPLNHLAYCYMEYMDMLVENIPRILIVRGYRKDVVTLFT >OB01G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8958024:8960587:1 gene:OB01G22580 transcript:OB01G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEEGLVARRAVGSGSRGGSIPLGGGAQNDGEMAGGSGLCRTTAAAMAALAPASSGEGSGRGRSRRDNEAAEFLKNGAGGCTVTGGVTGASLGRLLGRRKEDRELHFPPGCRQRERRDWRERAEAVTPVKVEQGSDGRRRRRWVPAAIWLGKVRGKRHTVKAKKMTTDKKIKEKDYESLEAPT >OB01G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8971200:8975754:1 gene:OB01G22590 transcript:OB01G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGSLGLRSSGSYGSLQQSNGQSPSPSPPLGARKPGKMSLGGAGAGGRGLLFARICKLTSRRRRMLLLLLVAAAVVVCFFFSSLVSKDEDAPPGIETMLGFSDQVRSFVNPVWTSSGRPTIHGDSLSRNGLSTASQTEKQSGSSHDKLRGLSWSFPPSVVLEHHPCENFSFSSPPIDRKRTGPRPCPVCYVPVEQALALMPSAPSSSPVLRSLTYLSDGNLILKESNSGSLFGGYPSLEERDKSYDIKDSMTVHCGFVRGKIPGVSTGFDIDRADLSEMWQCQGTVVASAIFGNYDLMQQPENVSMFSKDTVCFFMFLDEETEAAIKNTTTIDNSKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNASIDYQIEFYKREGLTHYSPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRTRVNWVADMFLDCERRDFVVQAYHRELWEQILRNPPPPQHPLVHQQHRKMLPDNTAKEPGKASAPKKLPAKRTRDKKSSSKRAHRTKVTGGKEFIQL >OB01G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8977082:8977354:1 gene:OB01G22600 transcript:OB01G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRRRLLGLKLTSHPLPLSLSVASLPKIRSHASHCADLPSQLLRRSRLPEAHAMASHLADGPTDPVVTDTLVACHSCIVDVSSALSHF >OB01G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8977874:8979242:1 gene:OB01G22610 transcript:OB01G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLVAAASLQRFFGGGARRRPLVIRTDGRPVRVVVEAAAVAVKLMIEAPEHVVARVADVAKERRVLALELPADQPLRAGEVLVPMSRAGRLGDREAEAIGRLVVSGGKKVAGAAK >OB01G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8983930:8987621:1 gene:OB01G22620 transcript:OB01G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEGNRAAATQREEGSSQNKYGGISPKKPLINKDHERAYFDSADWVLGKQGATNDTKGPAEPLKPKLQRTAYHQLPPRRPACTSGGTE >OB01G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:8990096:8990272:1 gene:OB01G22630 transcript:OB01G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSICACDGQYVKANKFFLFPDQAELHIVRPYLTSILNSLSLSLISSSFHIMLYQLTR >OB01G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9002533:9008601:1 gene:OB01G22640 transcript:OB01G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGRWTKEEDEKLARYIGEHGEGAWRSLPRNAGLLRCGKSCRLRWINYLRADLKRGNISPEEEAMIVKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRKAPEFRGGAGAGAVVDVDLSKLPGGGKRRGGRTGRSSGKGNTKVKTNTTTKTKENDNAAAPEAGRNDDDGDGRGIGNVSAASHSEGQAQASGSGLTSDGLEEGPVGLSEEMVSGPPGPASPKPDVGQGRSSAESGSGSSGPSKALGQDAGHRSMDWDLVGLDDGLADDDMWGPLTWDYGEMVVGPDGGAQHDDGALSELFFLGNGM >OB01G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9010694:9013075:-1 gene:OB01G22650 transcript:OB01G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEGSSRRRWEVSAEWCGARRPEGSGARSRAAAGKRHAGAAAPVPASRLEYSAPASRRKISARPVSYGSAWGGKRNCGGQDELPRQVRSVADHGRRVFGQLNIGEEGVELEQANLQNRGSVCVHRIGASKPATVDDKITHSTLPVPAGTEDGGCVAGRRRRRRRSRRNMGSN >OB01G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9013584:9013745:1 gene:OB01G22660 transcript:OB01G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGGRAGEDPEDLSRSPLQAVLLADSFTLKFRPITVESATYAYELTS >OB01G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9015812:9016195:1 gene:OB01G22670 transcript:OB01G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTSGGTTADRRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPNPPPACGAPGARSPAVPTLVPETAAIAAAACGRCGVGECIGVGCELVVAAGSSGSDDEEEEGEEVECSGAAATSATPAE >OB01G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9026889:9027128:1 gene:OB01G22680 transcript:OB01G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGGHEMVAFYEAWVGREERIIADLTGALPARRRDVLAPLVDAALGHVGDYYEHKARLADRDVVAALDPRWLNPLER >OB01G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9033599:9033964:1 gene:OB01G22690 transcript:OB01G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMALALLAQLALAAHLTTVACARRAPPGPDGFGGAVVDTSPTNSGPSPANGHGYQPSAAVVVLPAAGGAPGVSSTIGSRASGDVLMARPCRCDVATRTLQHGGGAGGDGESSAAARRP >OB01G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9046015:9046248:1 gene:OB01G22700 transcript:OB01G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFVLTYVMFIRKVYVLFRRLRGSWEAESRGQAVDAWPKVRPKEGVSKLLVFVEARGDRTMSMCHRIGLDLSGKI >OB01G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9047036:9053423:-1 gene:OB01G22710 transcript:OB01G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVAFRADDRFYCPPPRRHLLSRRQPAAVEWKPRRAAKEEEGLETNLGSFIASTAVRVPARRLPRAASSRGRGGAAAGAPYFELADLWEAFAEWSAYGAGVPLLLDGTDGVVQYYVPSLSAIQLYAAPPPPPPPRLGEDSDGDSAHDISSESDNEQISGRCLVNSISVDQDGFSSDDSESGNQELYPVFQYMEHNAPYGRQPLADMISLLANRFPDLRTYRSCDLLPSSWISVAWYPIYRIPTGPTLQDSDACFLTFHSLSTLHEGTLSRHPERNVFHNSKFYDIPGKVTLPLIGLASHKFNGSMWKSNQEHEQQLTNSLLKAADDWLCQRRVDHPDYRFFLSH >OB01G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9077141:9080156:-1 gene:OB01G22720 transcript:OB01G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEYSDKPPPISTPVELAAMDVVVINPATAQNQMELGLDQKKRSCTHTSSSSPSSMTRLLMFVVMGYHVVVHLAGYTLIVVYLSVVSGARAVLAGKRISPHTFSIFTVVSTFANCGFVPTNEGMISFRSFPGLLLLVMPHVLLGNTLFPVFLRLAIAALERLVLFCAMEWGNEGLQGLTAGQKLVGALFMSVNSRHSGEMVVDLSTVSSAVVVLFVVMMYLPPYTTFLPVQEKHQQTGDQSGQERRSSSSIWQKLLMSPLSCLAIFTVVICITERRQLADDPINYSVLNIVVEVISAYGNVGFSTGYSCARQVRPDGSCRDLWVGFSGKWSWEGKLTLMAVMLYGRLKKFTMHGGQAWKID >OB01G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9099009:9104265:-1 gene:OB01G22730 transcript:OB01G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDATAPSSGGGGDGDEAVRPLLPAASDAEAEELDAMYAPYARRDAYGTMGRGELPALRRVELVLRAVLLVPVRFVAGMLLLVAHYLVCRACTLFVDGVAEGRSRLEGWRRVAVVQSGRALARAMLFVFGFYWIRETDRSCSPNAEDIHQDQSEELEIPGAIVSNHVSYIDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVRRESKGSDSKGVAGAVTERVQEVSQHKNSSRMLLFPEGTTTNGDYLLPFKTGAFLARVPVQPVILRYPYTMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPIYYPSEQEKEDPKLYANNVRKLIATEGNLILSDLGLPEKRAYHAALNGNNSRAMHQKDD >OB01G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9108207:9109244:-1 gene:OB01G22740 transcript:OB01G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAATRPAYPPELFDFIASKTARRDLAWDVGSGSGQAIPPLAKLYKEVVATDTSAQQLSYAPRLPNVRYVHTPPDPPLAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRRGRRLLAPLLRVAAVLGAQPAAGRRQVRRRGLPLRPRRRGDAHGAVRVLDGAAHGPGRLPHLHHVVVGVPDGQGERRGAARRGDGARVRRGVGRRQGGGEDGEVPRLPQDRQGEAGVGDAPANGEIETYDDLRALSSTYINLRLNTCPLFKL >OB01G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9116311:9119710:1 gene:OB01G22750 transcript:OB01G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILFTLAGVLAKLFTSVQIPSCSSSDPRKLSATATEFSEMKDILRRIRAVLADADRREIEDLHVKMWLYDLRQVAYDLEDIVDELSYKTVQDEAEMNTDEHAVKRKFQVLDTVNSPVNEHDKSLDSDMVDKISKVRNRLNSIISFRESLSLREGDGQIRARASNMRASSSLASETGIVGRDEEKSKLLHDLLNDDNGTDNNLQVFSIVAMGGMGKTTLAKLVYNDEQVKDHFHIRAWAWISQAYDVARITKAIIESIQGEACGLTELDALQNRLRHIVSGKRFLIVLDDIWNENSLQWDSLRLPLDRGGRGSRIVATTRNQNVAQIMSRRMPQINLNGLNPTASWALFYHCIAQGCPSSVRLSETLETIGRGIVEKCSGVPLTIRVIGGLLSSETDEETWNDILASDIWNLTQGRDWVLDILKVSYVHLPVEIKPCFLYCSLFPRGYMFDKENVVRMWAAHGYLQAAQSGRMESLGHKYISELVGRSFFQQQHARGLGCYFTLHDLIHDLAKSLVRDQSQQQELQDLPNITSPRLDIIRSQYDRHFSAFLSAKALETPIIVQSSRGQNQESLRSVLLCLDGGNDDFLQVHSGGYSVVVHFERDFFMNPHVRFLRVLELGSCRLSELPHTIGNMKQLRYLGLSCTDIVRLPQAVCSLFNLQTLDLRCCRFLVELPKDIGKLQNLRHLDYNILGKNDSAIPICKFKSIPEGIGKLTKLQTLPVFIVHFNGQTAGVAELKNLNNLQGPLRISSLEHITWERTCEARVADLITKVHIRRLCLQWNSHIRYGDNPKSQVRSSQEIDLEVLDSLEPHNRIQWIEIEKYMGCSYPKWVGHPSFQQLETVIIRDFSSDSLPPLGQLPYLRHLEVREMSWVRTIGSEFYGEEVALQRFPALQTLLFDEMTVWNDWLHDEGQHGFPCLQELTISNCLCLKSLSLYNMAALKRLTVKGCHDLVVIKGLEECWVSTKHSQNNYTDTPGYSRFVDGNGPKIPNSTLPARLEVIRISDCTSLPNSSLQQAIEITRIFRRRSNSDIFYSEQKEVDEGAVLII >OB01G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9139321:9140233:1 gene:OB01G22760 transcript:OB01G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHLPLLLLLTAGLANAAQPGDEGATIVLKDGTTCKLCASCDNPCNPSYYPPPTPPVVTPTTPCPPPPSYPSGGGTVIYSGDCWHQAAISAQIVRL >OB01G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9163866:9166055:1 gene:OB01G22770 transcript:OB01G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSDVYVDSANQKVTVVGIADPERIVKAIRKTKRVPTIFSHTDPRSYLLDSGDLAKTRGIFSLLGEQTGEILSGIYAEIS >OB01G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9172749:9177208:1 gene:OB01G22780 transcript:OB01G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAMVLYFVLSRRLGQEDAAGGGGGGGGGSGAGKRRRGRVAKRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNVHVASVYAGSNCIELKGPAIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSRDDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLCQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATAIGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVVVAASTKEEITAETHVTSTANSESYVLEQRGTKIMEELQYTADSVSVHEETEEEALLSENEASREHAEEEITEGEMWFEFEKDLDRQAEVEAQTRQEEAAAAKEIMEEESAVLKNVEDRQPYSSDSLERQQFYPPGRIMHMVAMPPADSCPDDPVATDECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDDNFCTYSTVE >OB01G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9178795:9190836:-1 gene:OB01G22790 transcript:OB01G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHCVIGPGSHRHSLEARSHVTSDGWPHTPKPAGGSHVHLSLTPHHTTRTRPSRAVTAPRPPPVVSKTEPENRSDHPNLAPPSHPPVTPPVPETPTRLPVAFRVGSTSIHPTALPIFHRARTRGEETAGRGAVRAVSMSGGREEEDEEEEAEYGPRYVRMPQEPEGDAAAGGVASFGLPESARAFDELPRARIVGVSRPDAGDITPMLLSYTIEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHFPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLEIVNSREVCKFLEVSLLSFLPEYGPKLKEDYVTVGHLPKIDNDSKDRCCLCGCFSFCNSNWQKVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGECQIALAREIKERNPLHFAFEVSSGGRTINLRTRSSAKAKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFIDGQSAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHIVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKETHEEESKQTHDEDIKVQRLSSLKTPASCQDIPLLLPQEPDHQALHNGDLGLNGLDNNHDRSDHQNKSHWKPPIPNRRAKQDTSLQDLQMKGFVDNLGSPDVSVIGHYDTSKPNMRHMDMEWWETQERGDQVASVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGMDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMNGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYKNIWMGTAKENTMIYQNVFSCVPNDHIHSRPQFRQGFAHRKEKIDHTTIDLGVAVETTETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEFYTSPQVFH >OB01G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9192741:9193724:1 gene:OB01G22800 transcript:OB01G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHACHSSRHTTLVLAGVGVGAVDCEKLTRAYSEAAAAAKAYSCYDAVPYDQFEVAIQAVKFWIADRVIHPVKNSLGSSIHRNCDLLLRHPAPRPPLPPRAPEDLQGDLTRVISHLQALAQCELLNAMGLNVAREAIDDIAATAENVPIVGLWDIAAIASYRAADLYGFQVLADSIQFAPESDLAQRATKSEARGLVRTTGGESTPCVWAVGGPHAAWSAHKTDGRKSIVRFQIEKMIEQKVKAGLV >OB01G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9206030:9206299:-1 gene:OB01G22810 transcript:OB01G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLQTIRIPKRWLGPYVQERARNLPLSISLSDSEGSGSGRGEDPSRLLEIRPTRQPPHRRRTALRRRRRRHGVPGYIDPHLRLPVGRGG >OB01G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9207673:9208383:1 gene:OB01G22820 transcript:OB01G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQMFDLRFTSKSLQRHACKCEKKENEQKLKVKKAIEKGNMDGTHIYAENAIRKRTEHMNYLRLTSRLDSQTHLCRRGALRPWTTSSTSSSTWRSKPSLWRAPRPDPHLSPYQRPRSTASCSKSPTTMALRSPLGCRRLRLMPYPLPRREGMGGRGAAPTGERGGGSAVERAACNRGKRIGATSGKRKKDTLKVPPKGLSPSCLEEDELFHRNSRDFFGSKQGLRLIIQ >OB01G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9212561:9213385:-1 gene:OB01G22830 transcript:OB01G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHIIHGRRHVRDATIALPDDDDAGTAERAGGVCSLSHASTHAAWNRCPHRGSARHSSPSLSSAMHTAHSASAFSPPPPPAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAGRRRRAPHPHPCHRRRSSSFPDLTWRRPSTANNFRKEVIMDENNPEFLALKPKNSLNTRNPNHDDWGEKRRRKEERTSSNMVLVDMEYWSGWRRISWGKDFIFMPLMDTSGMGLTSKCSGDITVTDIKLILKY >OB01G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9215693:9221567:1 gene:OB01G22840 transcript:OB01G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREARQEEEEEEEEVEQWHEAPSVPPRENKEERDRNLSSRVVSLLFGGDISTPGQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSTADLSEQVVTAELVAKEEIPLMISQPTEISLWERLGNASALDIESPDFSWNMLSSLHHTEHSSSSEHSEDEMSKALEVTVNSGGVVFFALFSSSGNVLPKEAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSQEWQEIRQAAENARSAAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSPREDACITASSLGRVFMLDLILRNEDRLPCRQLGWRGNPSNLMISDRSSVLDRLDDSKCTTDSSIPPITQFVQSEKLRTHSANATMNSPESVTMSPKPDASRSVRGNAERLDVPFHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLILNNSDYSSNMLYEISGGKLGIPGPDEAITSTDLCCSISDEDNTAAIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGILRVFLSIVTKGSEESDNNDVTVPDFPSPGAGYSTPCPPSKHMNSEPHNDSEILKSTTKPSSAGSRGSSDSVSPLSRDSWSNKYFKGSAEGPRHLRMTMKLRDFYKTPKVDPELLKEIEQWNEMLKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDAKISDLFEEASDYIDHVNHVGGKVLVHCFEGKSRSATIVLAYLMLRKGLTLAKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQHKRPEMKVCPICSKSVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKTIQSLRISRGGSLSPSQKLTKAFADELSF >OB01G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9222862:9223937:-1 gene:OB01G22850 transcript:OB01G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3KZ79] MASQPSLLPASAPSAGEEEDEETHREVYEQLRQLVSTYPTVPSGLDTPYHRHPDGWYTFLPAMVSVMVAQRHFPARDTDILLTTFPKCGTTWLKALLYLYATVRRGHDGGGGVDVLAQLRQQNPHQLVPFLEIQVYVRDRVPDLSSLPAPRLLATHIPRPSVPASVAAASGCKVVYMRRDPKDCFVSLWHFLNAQRPEPRDDVGEDFRLFCDGVSLVGPYWEHVLAYWRWHVERPGLFMTYEELSADTLGQLRRLAEFVGRPFTDEERTAGVDEAIVKACSVESLTGAEVNRSGTVELMEAPMRNAMFFRRGVVGDWQNYLSPEMARRIDEITDSKFRGSGLVFPRMTNPIRFYRFT >OB01G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9232036:9232579:1 gene:OB01G22860 transcript:OB01G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSADRSAKSSDTLGLARVAILAVQKNASETATYLSSIYDDESIENKTVQLQQCLEDCSERYEAAVEQLTDATVALDMGAYPEARALVAASQAEVKLCQRGCRNVQVHRNILTMRNRDVDRLCSIALTITKLIRTPSPSAAE >OB01G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9233453:9235690:-1 gene:OB01G22870 transcript:OB01G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3KZ81] MASFHIATLLSATLLLSLLVLARSDVTPPTTPSLANANKFLGLVNRYLSGGRLAAGAVAALQDCQLLSGLNIDFLSAAGATLNKTSSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRNGLAVPMSNSTKLYSVSLSLFTRAWVPPRTKKPKATKPPRSGGRGRGLFDATDDEMVRRMALEGAAAAVNTVGSVTVDQSGAGNFTTVADAVAAAPTNLDGTKGYFVIHVTAGVYVENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEAYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMAGQSNTVTAQGRTDPNQNTGTTLQGCAVVAAPDLAANTAFATTNYLGRPWKLYSRTVVMQSVVGALIDPAGWMPWDGDYALSTLYYAEYNNSGPGADTSRRVSWPGYHVLNNTADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >OB01G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9256109:9257149:1 gene:OB01G22880 transcript:OB01G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQARGRGPLLVILAVTLAAAAATVAASDTVAFYPSAEEAAAAHCEGTLYPELCLSTLADIPDLHKKSLPDAICGTVNRTKDAVAATSYNCSHYINNKYLTAQDRLAIADCMELLQTTMDELQATTNDLESPVVSGNNGSASMAAKRVTMDHVMTVLSAAMTNQYTCLDGFDYKNGDRVRRYMEANIHHVSHMVSNSLAMAKKLPGAGGGGANPSPTANTATQSEPETAQRQPFMGYGQMANGFPRWVRPGDRRPXXXXXXXXXXGEGRGRRLHDGLGRSGGGAVELEEAVRDIHQGRGVHGERGCGEGQDEPDVHRRRHRQDGDQGQPQRRRRLHHLPLRHSW >OB01G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9267947:9268648:1 gene:OB01G22890 transcript:OB01G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3KZ83] MGAAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFHRCSFVGYQDTLYVHSLRQFFRECDIYGTVDFIFGNSAVVFQSCNLYARRPLPNQSNAYTAQGREDPNQNTGISIQKCKVAAASDLAAVQSSFKTYLGRPWKQYSRTVFMQSQLDSVVNPAGWLEWNGNFALDTLYYGEYQNTGDGASTANRVKWKGYRVITSSSEASTFTVGSFIDGDVWLAGTSVPFSVGL >OB01G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9277316:9279868:1 gene:OB01G22900 transcript:OB01G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3KZ84] MALLRCIFLLAVLLPHQYHNAAAADDDDVASASVNHGPAPHDYRDALTKSILFFEGQRSGKLPPSQRVTWRRDSGLSDGSSIKVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATSHPDTVYVQVGDANRDHACWERPEDMDTPRTVYKVDPSTPGTDVAAETAAALAAAAALVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLSPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVAALHEYKGHADSFICSMVPGTPTDQTEYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKQTVSCGGAAVTPQRLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPARIGCSQGFTALYSGVANPNLLVGAVVGGPNQQDQFPDERSDHEHSEPATYINAPLVGALAYLAHSYGQL >OB01G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9303034:9303649:1 gene:OB01G22910 transcript:OB01G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIYDYIPARRRVCASDFWPDADDSDPHAPEKAPRAKRERKNQYRGIRQRPWGKWAAEIRDPVKGVKELSEELLAYENYMNFLGIPYMEGGAAPAGGARGGEEAAAPAGLWTFEDYELPSLAL >OB01G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9310352:9316108:-1 gene:OB01G22920 transcript:OB01G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06850) TAIR;Acc:AT3G06850] MACSRLASRSRSGSVLWHVTVAPRPSPPPPPSVFPPRVGVPTAPSQPLRRLLLPLSPLRSASSYTGSCHPARRAPLMVDFGCRAVQWRSVGGGRRWFASEASAALQSPELVEVPLAQTGEGIAECELLRWFVSEGDQVDEFQRLCEVQSDKATIEITSRFKGRVHQIHFGPGDIVKVGETLLKMMVGDSQTVSNASVGSSTVHSHAVDDANPGEGNVPGGTLSTPAVRHLAKQYGLKINDIQGTGKDGRVLKEDVLSYAASKGLCKEPASALEVNIDQVELLEGRGSLPGAHCSEDKRISLRGYQRAMVKTMSLAAKVPHFHYLEEINCDALVELKASFQNANKDQNIKHTFLPFLIKSLSMAISKYPLLNSCFVEEANEVIFKGSHNIGVAMATAHGLVVPNIKNVQSLSILEITKELSRLHEMASHNRLSTEDIAGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR >OB01G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9318054:9322566:-1 gene:OB01G22930 transcript:OB01G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pseudouridine synthase and archaeosine transglycosylase (PUA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G09150) TAIR;Acc:AT1G09150] MFKKFSFEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPEIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDDEVEEETPVAIMAEGKQHALAIGYTKMSAKDIRTINKGIGVDNMHYLNDGLWKMERLE >OB01G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9320027:9321642:1 gene:OB01G22940 transcript:OB01G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTLMKKAQGWHVQPVLLTLRAVEASMGSCCCRQLATKLSPGWLDRWKLSDLRPCCTSALLLGTGLLLLLPVGDDAYTSLREGGRACERLNASAR >OB01G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9326891:9331485:1 gene:OB01G22950 transcript:OB01G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVSASVGVMKFLLPKLIALLCEEYKLQKSAKDDIKYLHDEMSSMDTLLIMLANKEVLDAEEKAWRNKVQELAYDMEDCMDQIMLKWPKIKRRDIAKRIKELKVHVQDAMERRDRYKLSELVTNKVVSTDDVASNPQQLAPNDEEDSLVGILRPKQKITSLLMDKEQKLKVVSIVGCAGVGKTTLANQVYLGIKGVFSCSAFVSLPQNPSMNKVFQYILSEVGFKTQESLDDEYALITEIKRCLQEQRYLIVIDNIWSEAAWNRISCAFPNGNNGSRIMITTCIEDLGRVCCQNLYGQIYKVEPLNDLDSRLLFFRRAFNNDGTCPEHFKKVSEEILKKCVGVPLAIISIANLLASQDPQGNMMIETWERTLSSLGYELETTPNLQWMRHVLSLSYNNLGPDLKTCVLYLCTFPEYSSIHKDDLIRQWIAGGLVTEKFGCAPYEIAERYFNNLINRSLIHPDDIDDCGMVISCRVHDLMLDLIISKSMEENFIAILDDQNTMRGSCDARRFTIHFNEHSKNTEKNLELMRSVSFKARSISFWGPIQCMLDISKFEYLRILQLEDKYSNTRTDSYNLTHICGFSQLRYLKMRGIPCKLPQKIGGLKYLETLDMDENARNFPSDVCKLKLMSHLAFKHAKIPNGIDKMVALRTLKVSNNYDTPIELYEALGSLRNLRELEFAVTFHLSRDEVVSLISSLKKLERYSLQSLILSNNGYSHDVGYLLSHWYPPPDHLRRLHFHVFEVFSCVPDWIAPLNKLRSLIMCVHELSRDGFDILARLPCLLLLKLSVQVVKEKDALVCSGTFQNLEEFWFRHKVPCLTFESHSMPKLRVMDIQFDEDCLHGVDDKILDGIDHLTSLMRFSAHISRPLPMSRRSFSGRISQVALLSPCDVEEESRNSEEDVEEEQGQSDEESRSSEGDVEKGQGQADEESRSVENEYVSGGDVQEGQGQIDKESQSVENEFHCTKRHVNERQEQIEEEDGEAPGMDNGCVQNYSFWEFLQRSPKKLKVWNSDDDYVTQGHAEVGQGQTDEEARGHRESAEAKLRRAISKHPGIPSINIDHVDWQRKFGFYI >OB01G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9345755:9348338:1 gene:OB01G22960 transcript:OB01G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVIREGNVEFMPLLLSISLFINGGNWIAYAILDKDIFLLIPNLIGFILGAIQLIVYFCY >OB01G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9361895:9365947:1 gene:OB01G22970 transcript:OB01G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVMVSASTGVMTSLLKKLTTLLEKKQRLISDVKKDVASLRDEMTSMGALLARLSDMEELDAQHRDWRDKVRELAFDMEDCIDVFLHKHGDGDARSSSGLMPRLKIPMARYRIASLIKQLSTRAVEISSSRDRYRLLDVPASAAAGGVVPVDPRIQAIYAEATGLVGIDGPREKIIEWLTTKEGADRQVRVVSMVGFGGVGKTTLANQVYSKMKDQFESTAFVSVSRKPNIIKILSDLVMATRGRMDPAEKHDARQLVDVVRANLKDKRYLVVIDDIWAEEAWNTIKCCFLENNCGSCVITTTRSEDIANACRSGFDGYVYKIKPLTDLDSMRLFHRRIFHSEDACPEQLKSVCGDILKKCGGVPLAILTIASILASHEEVKSMEVWERLKRCLGFHLEKTPAFEWMRHVLNLGYNDLSLDLKTCMLYLGIFPEDSEIRKNDLMRRWIAEGFITRRHHCLGQDEVAESYFNELVNRNMIQIARFDDCGEVLSCRLHDLMLDFIILKSTEENFITVINDDHCTQGPWEARRLSVHIRDSECNDVLSNMGLTQVRSFNLWGPAQWLPPLSKFQLLRALNLEIYNSKGEHYNTSCICSLFQLRYLRTRGIRSKKLLTVQLHKLEHLQSLEVACEAYDDLTLDAKLLPSTLWHLVVPRYVYLGGIGRMKTLRILRPLKTNFTNMDSIEGLGELTNLKELELELASTSRSLIPSLCKLVSLQSLVVINPFFSLPDDILTGWVPPPGDLRRLHVLDCPFSTVPSGWITQLGKLRSLDIQVVSLPRDGAAALSRLTSLVHLELHVAEHAPEEGVVIHGAAFPNLVEFCFRYTVPCLVFEAGAMPRLRSLSIDCYERGARHADAVLNGIEHLCGLLDFRLDIYERDGFIPRVYFGRDHMKNLKCAFIPDSELDTSEQFSGTDLERPQSEEVRKWDRDSLMAAVAEAIKRHPGSPRIIMTVVS >OB01G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9373073:9373721:-1 gene:OB01G22980 transcript:OB01G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCLSLLAQRRGYSVAAAVVKGAGRRPDEKKAAAGGRRRPSAMAKAAEEKTAAWVPDPVTGYYRPAGGAKEVDAAELRAKLLSQRMVAN >OB01G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9383442:9404723:1 gene:OB01G22990 transcript:OB01G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRSSGGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGLRLAVAGYGVFGMDYEGHGKSMGARCYIHSFSRLVDDCHRFFKSICDLEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPLVITLLTQVEDVIPRWKIVPTKDVIDAAFKDPVKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSQVKLPFFVLHGDADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDDNVDSIFADVVAWLNERSRTWTVEDRLMKMMSSPDRFIRGAAARDGSARRRGRPRRRRRWPSPGCPGRTAGRRRS >OB01G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9394557:9398063:-1 gene:OB01G23000 transcript:OB01G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTVIVVDNFFTGRKDNVAHHLANPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIISLLIQFRKSKFSSKFAAIDSWTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDSNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEA >OB01G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9403366:9404862:-1 gene:OB01G23010 transcript:OB01G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLYWKIKPGRERELTAEAGFPTFSSYGEPHVYSTPSLELPGLIKINYDGGPPCDPDSRDWATGGGDVASHVARWIEAFMPDHVETAGGPVVRQPCMYSMTPDKDFVIDFLGGEYGKDVVVGAGFSGHGFKMGPTVGRILAEMAMDGGTKTAAEAGVELRHFRISRFEGNAIVHTRFSDLLRRLEAARRNVGLGGSGSKSTSEHDLAHLIGPEATKGACDRSCNNWKMASMGRKFDAVMKLTF >OB01G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9406218:9408669:-1 gene:OB01G23020 transcript:OB01G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDVGEVRMRDVPMATEFGPAPVGGAGSGSGGAGAAAEVAAVDSGYGSEPGYRGDVELGYGDEIEEEEEDGRQQLFFWGEEIGDCIADMNKMGIAGDNNFGEQKSHHRCRRKKHDVRMLDSLR >OB01G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9412563:9412886:1 gene:OB01G23030 transcript:OB01G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIHSHKYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYLYCATIKFSAIVNHQSELLFLDSDQVKLLSLLQL >OB01G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9415233:9421084:1 gene:OB01G23040 transcript:OB01G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPIHHRRTNHIEIDIHFVCEKVALGKIHVLHETMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIIGRNGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTSYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSKSRSPKSVSRSPSPVDERSMSRSQSPVSSPSRGRSVSRSPSRSLSRSPSPVKSD >OB01G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9422624:9425560:1 gene:OB01G23050 transcript:OB01G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRRPMRRWSRPRWTCSRTTMSSRSLRSTKNGTTRKKAMRQFNNGRMTRMMMMSMTTSLCNSRKSWRATYRRTRICSVAFSSFRIFR >OB01G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9428632:9436311:1 gene:OB01G23060 transcript:OB01G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDVEGEGGGGKSQSTLKSEDGPVARTPCTLDSPDGRGRAMGVHGEGGAGESLNSSGRAVDVRGSSDGGESLGNVMVVKSESACADAPESRGALLTPCTKLAGVDPLLGASSSHSIDVVSSDPLGDDEDGDTTECSSSFGNSCCETDDEAFHGDSEVISPFSENADGDQAMMQPRKKKVTAEWRSAVRPMMWRFQWLELRMKDLLSQVSKYDRELALIKKGKELQQAVNTINGSRSESAQLCKGHENSCMERRKRRRHEETVDTSLYIKKHRILSYFYDKQNKGAETDGLVIDDDSNGPVGNDVKGGLRTIGLLEPKESDMVAEQLTLQKVLLTIDGIQSQVLRLQDRLSKVCSKQENMSSLLDHPHIQVAEKRLRTQKRSFSCKKDRYIKAQKKKNLNILLKEEDGSANAAMSSLTKRAPDCQTEGAKYNIKEKSGERCQSLKKAITVDLLLGVDSSFPNSHMGDLCENNDDILIDNEAAKEGYQPFENAKHSIEKPLELTEKVTETDDLRVGNNSTPIEITSTLAPFKVENASASLEVRGTSRPVVKQEPVFEKPPALKHVYSGNRRGRNLKMREGRGPVVVSKTQIESTLPAAKKLKIEKTTAPVEEEKTEKARSTVKKRKAGKSCSSTNKQEAEKSSSAPRKETSESALSKPTIEKAILVPVNSRRSQRVRKPKIY >OB01G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9446718:9447797:1 gene:OB01G23070 transcript:OB01G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGSLTPEEFYARHGVVHSSSTFVNPRGLRIFTQRWVPAGDAPLLGAIAVVHGFTGESSWTSRFEEVELPLLVVHGGDDTVCDPGCAEELHRRAGSKDKTLHVYPGMWHQLVGEPDENVEKVFGDVLDWLKSHAAAAAAAE >OB01G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9460593:9460862:1 gene:OB01G23080 transcript:OB01G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSPYGSTRQASTMHWLDAGCRMGWAGRIAISFRQLHQLKKQKACLPANGKDGFSFKNLKKKQNNFTRRAGGRFKLCQNQNRVKPGA >OB01G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9462572:9462955:1 gene:OB01G23090 transcript:OB01G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSSRWRTRCAPTTWSASGSSGSGSAPTRSGTWSARASATTASASTAAACSSSFARRTSTSTSPRPTPPPSTTSPPPPPLRSPPRSSNHSAPAPPPPPATQTKGKSSSSSHARCPCNLPFLVIRSPF >OB01G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9469427:9473652:1 gene:OB01G23100 transcript:OB01G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01660) TAIR;Acc:AT4G01660] MAKPLAPRPRPRRCRWRRGGGLGSGGFPPPHSPERSGLGAGLAWGTLQESARRVVYGRPADTDGKRSALSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQDWSSKLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKLELARECDYVLEATNQKRYKELLSDSEGFYVPKVIDELSSKKVLTSEFVPGFPIDKVAMLDQETRNYVGCKLLELTIKELFVFRFMQACFLTDPNWSNFLYDEPTRKFNLIDFGAARDFPKRFVDDYLRMVVACANSDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSRPGGHDFRANNITHSISNLGATMLKHRMTPPPDEVYSLHRKLSGAFLACIKIGAIVPCREMLFEVYEQYDFSDDRSEVLSSTG >OB01G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9490653:9496477:1 gene:OB01G23110 transcript:OB01G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:J3KZA5] MRMTAMEMTGVAKLLLLLLVLLVLVVAGGCVQAADGEQMSGGHRRSRRRRAADVMVPITILSSAVDKGAVCMDGTPPAYHLDPGSGAGNRSWVVNLEGGGWCNNARTCRFRTGSRHGSSDHMERRIPFTGVMSAAPADNPDFHSWNRVKIRYCDSGSFAGDAFSQESGLQFRGQRIWGATIQHLLDVGMASAEQVLLTGCSAGGLAAILHCDQLRALLPAAATLKCLSDGGLFLDAVDVAGGRSLRSYYGGVVGMQAVAPNLPKACTDHLDATSCFFPQNIINSVKTPIFLLNAAYDVWQIEQSLAPNAADPAGVWRACKFNRSSCNASQMQFLQGFRDQMVAAVRAFSESRSNGVFINSCFAHCQSELTATWNGAPPGGSPALQNKGIAKSVGDWYFGRAEVKAIDCPYPCDNTCHHII >OB01G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9497199:9506706:-1 gene:OB01G23120 transcript:OB01G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPAAAAVAKQEDTVCVNIGRILEQLSRPARLDGYSIYRVPANVRSSTDNKKHYEPRLVSVGPYHRNKEHLRAMEDRKRLYLLRFLDDGGRPQRGRRDGLLQDCAARLRELQARARACYFESPDGDGDEFVEMLLLDGCFVLQLFIQWFSGGTDPVFAVAWNLPLLHTDLLMLENQIPYFVLLALYDAYSLHDPDSPPPARPKPSLTSIITAYFSEKGRGGGDAAAAAQRHLTSYVVLMDYLINTAEDVVILDRADVMENKLANEEAAAMFFNQLRVCSYINYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIVGLCFATTFAVITLFNTIVTILRTFFHVLH >OB01G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9511021:9512718:-1 gene:OB01G23130 transcript:OB01G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYSSDCECEAMGELQTPLATPPHDADSIDAAATAVVVVSSNEASLGTPSPCDSDDELPSTNTNVAAVVVEVVSSDAPSPSDSSNAGNSGDPYEMSYDSDSDDDDYQLPPKKTYKKTNVAPVSEKRKELPPITFVRATRDDGDTDAVNYLDRPSTLPFRAACRYCYEPSPPPEPGSLASNQTVARMMERMNYVEGTGLGKHGHGIIDPVEGIYLAFLHELPVDNTEVQRAPDPDQLLDLRETRATETLQREGDEYAAARALERRRVRVRAANMRGCSPSAYDDGGEERASPKTQIIRALAALREEDRKGTLTMGALLHEFARLKREFPEAYRTGRLAYKAIRLAAPLLPPLVLPMGVDLEPPQIVASAEDWLPAWWSNVPATRWVTPWIAYFGHGRLRSVYKTIAKQLGRKFCKWGVTNEDYCSAAQWKDVMDPESWDEFVLQEYVLPRLRETLRSLKVSARLTWGRSNTFPLVMKWASLVPAKHMVPLLEEEFFGKWRSAIYRLLMGDRPPPEQATAWYEMWRELFTPELLADERVLVQLESGLDMINRAARGLPITKPEH >OB01G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9514006:9514218:1 gene:OB01G23140 transcript:OB01G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTTFPSQCSARYACRLSSALPLKPSARNMLRRSIPRPTSSSASLISRNNGGDICSIKKERREAAIIH >OB01G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9515360:9516942:-1 gene:OB01G23150 transcript:OB01G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRA >OB01G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9525101:9526498:-1 gene:OB01G23160 transcript:OB01G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERMHYVEGTGLGKHGHGIIDPLPKANTMVHRAPDPDQLLDLRETRATETLQREGDEYAAARALERRRVRVRAANMRGCSPSAYDDGGEERASPKTQIIRALAALREEDRKGTLTMGALVYEFARLKREFPEAYRTGRLAYKAIRLAAPLLPPLVLPMGVDLEPVNMDSRISIRALGDIVGEDAPLSAKLAYHRLVDDVVRQTLKAWPWRGVRYPEQMLQFLKRWKEDLPPSTMRFILEKIVLPELVASAEDWSPVMWPWWNVPASKWMAPASKWMAPWIAYFGHGRLRSVYKTIAKTLGGTFCEWGVTNVDYHRAAPWKEVMDPESWDEFVLQEYVVPRLRETLRSLKVSARLTWGRSNTFPLVMKWASLVPAKHMVPLLEEEFFGKWRSAIYRLLMGDRPPPEQATAWYEMWRELFTPELLAEERVVVQLESGLDMINRAARGLPITKPEH >OB01G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9547310:9549682:1 gene:OB01G23170 transcript:OB01G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKLEEKKCRVTVCPDINAAMKLLLERGKQFDFVVMSVESICSLSHDEKKFICEGVGLRLVALMAEEDNFYRLTPILNASMLSRHDEPVPTDILKVMKLWMDPSSDMELTRENVSSHLQKYRTKINHIRIYKENQYEEVPI >OB01G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9559937:9571811:-1 gene:OB01G23180 transcript:OB01G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:UniProtKB/TrEMBL;Acc:J3KZB2] MEPEVSALSDADLRARTARMQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYFKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEAVPIQNETITLASISYQNFFLQFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLAEQLHEAGITHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDTLSYVKDAVEVAVKAWGEKSSTELEAEERLSYSCEKGPTRDEVIANLRNAFMKIVDEYKVYTEEEKKKVIAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANISPDTPREDWDNGKLIAKLQQYCYLLDDLTPELLEEKSSSYEDLREYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEENSPKKGTKKKVDKGTNKLGAAQAAS >OB01G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9573656:9577858:-1 gene:OB01G23190 transcript:OB01G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT4G01810) TAIR;Acc:AT4G01810] MCSFCVVLKQKKLLNAPSLGFGALVSPGREVAPGPETVERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKMNGSEGEFVVSSKHDLLQWPELASSAVDYVQSGNRRPGFVPVPDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKAIIYGTGVYLSPVHASLPVAHTIFSSLRPYQLSIPEVSRDRCIGAAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAIKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMEAKGDIKNDFVYFQFAVHYSNMYQAEITRVITMRLQTVDGLSAYLASVQDDVASVIIGKRTVLRARTALDAIDMRLTIDERVKDIAFKFGTQVPKSKLYRFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIHFDDHSFCEWMRSLKLVPPEPC >OB01G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9582447:9585490:1 gene:OB01G23200 transcript:OB01G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: NEFA-interacting nuclear protein NIP30, N-terminal (InterPro:IPR019331); Has 398 Blast hits to 395 proteins in 139 species: Archae - 0; Bacteria - 6; Metazoa - 193; Fungi - 83; Plants - 36; Viruses - 0; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G62140) TAIR;Acc:AT3G62140] MAGEETATSGSKPPAGAGPTIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKDKKDAEFNERFKHRPPKALDEDEMEFLDKLASSRKEYEQQVANEEAEQLRSFHEAVAAQSNIIHEVEAPTVSRPEETKPKAKRNQPSLLKNVIISVKPQAKKAKVDLEDKPAPKELPSNGHSADNKPPDETKGVLGSLVQYDDDDDSDDEDV >OB01G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9585969:9586238:-1 gene:OB01G23210 transcript:OB01G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLLALVAGNETLAKLAAFVVVQALVYLILSSSSGVFSGGGGVAGSASLFRRPAVERSESSRRMAALLADEMELELELMLMRCSFSS >OB01G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9600992:9601354:-1 gene:OB01G23220 transcript:OB01G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHFDYFEFAGGHETLAKFAAFLVVQTLVYLILSNSGSGVFSGDSFRRRRPVPVVERSESARRMAALLADMAWFGGELAAGPSTPSSAGSQRGGRTPNDGGGEADGELELMLMRCSFSS >OB01G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9606985:9607227:-1 gene:OB01G23230 transcript:OB01G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRSLKRSECFILKGNLFCGIVPFLVGTSNINCRHMRTQQQKNKVVFRLRKFLGEVSRQMFDRMSEGVFGHEWKNEFHS >OB01G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9608522:9614712:-1 gene:OB01G23240 transcript:OB01G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G73720) TAIR;Acc:AT1G73720] MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEEAYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSTSFDTTARIHGLKSGKMLKEFRGHNSYVNCAIFSADGTRVITASSDCTVKVWDTKTTDCLQTFKPPPPLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFLAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKTWKP >OB01G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9622886:9628131:1 gene:OB01G23250 transcript:OB01G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSSPSLKDHLSSPTGPLHLKVWEVICIVLGAFMVVIFFVTVWLTIRSRKRVRRASANIPITQIPVISKEIKEVRVEQVPASEYMAHDGVLLAIQDKSSDKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDAGFQSADEGGSGTFRHNSGHAITTPSPLVGLPEFSYLGWRLWFTLRDLEVATSRFSKDNILGEGGYGIVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGFLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNHGGSTEMDSQRDNNSDTDKSDNPDSKPRSRASSSK >OB01G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9629235:9629432:1 gene:OB01G23260 transcript:OB01G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLNSERWTSKKHIFIHCSNYYRGREETYSGEGMRQFTEATKTSSSKSYTLSCTSRTTPNQFH >OB01G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9629534:9634197:-1 gene:OB01G23270 transcript:OB01G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCGADDVGKRKKRDDPYVPIPEPGGNYGQSRPGPPAPAPAPARSTPTGRTLPIAAPAIPLDQIKEITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLLQVSAVSRLKHENVIQLIGYCAEGSIRVLAYEYAPRGSVHDILHGKKGVKGSQPGPALSWVQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKIGDFDISNQSPDMAARLHSTRVLGTFGYHAPEYAMIGQLSMKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLEGEYPPKAVAKLISYQDTRCFAAPAIPLDQIKEITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLLQVSAVSRLKHENVIQLIGYCAEGSIRVLAYEYAPRGSVHDILHGKKGVKGSQPGPALSWVQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKIGDFDISNQSPDMAARLHSTRVLGTFGYHAPEYAMIGQLSMKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLEGEYPPKAVAKMAAVAALCVQYEAEFRPNMSIVVKALNPLLSSRPNNRPTNAAVGAASDHSGL >OB01G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9641505:9647284:1 gene:OB01G23280 transcript:OB01G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23400) TAIR;Acc:AT1G23400] MPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPPETVSAHGIMMEVRGRRKLDLARVSPGDGRSREEVLGEPLTLAEVRDLVRPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYDPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRRGQELLPICKLAKNGIYIYLVKDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMFRGKKWKSRYPKPLTLIPKIQKNNLPTSSDESSSDEATDADDYLAAREVLRPKMFKQWTNAIESSVALLLNDAEVDALTPDLLLTRVEDFSVISQAVEHSFPALLVSNVETNPDVLNVEFTEDGSEIGSVESLQDEFTESPDISEDDHFEYDMLERLESSVPLGALPIDEVVNQQNED >OB01G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9646610:9647023:1 gene:OB01G23290 transcript:OB01G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVSCRPLSLPDDFESRKFQVSVSPSPCHVCPAKNSTRGVSCRDQTEMAKSSSSVHESRAVSSPEERQETSVPVIFLVQFRLLYNKVNPTTGAAVHAVAVETKDHSRCRLRMHVATFPAKSIIILTAFSGHRAAL >OB01G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9655428:9655868:1 gene:OB01G23300 transcript:OB01G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSGWPNNSHGGQIWPAKKDLPNVGPDLSLPSPPLHAAQTSASAYRWQPSASARRRLKSRHHPKPRRPLLLPPPHRSDAASSCRRGGRAASPAPAPPPPTASPAPAWHRATSRSRAAACCRLPRAVPAPPPLHRCMPLPPVLER >OB01G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9658143:9659351:-1 gene:OB01G23310 transcript:OB01G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:J3KZC5] MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPESKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNEGGARVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIETFGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILNIVKENFDFRPGMMTINLDLKRGGNRFIKTAAYGHFGREDPDFTWDEDPDFTWEVVKPLKYDKASA >OB01G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9658239:9660112:1 gene:OB01G23320 transcript:OB01G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGLDEPVAAPLEVEVDGHHPRPEVEVLLHDVEDLLVGDLAGAVGVDEHGERLGHANGVGHLHDAAAGEAAGDDALGRLPGDVGAAAVDLGRVLAGEGAAAVGSPAAEGFDDDLAAGEAGVAVGPADDEAAGGVEVEDGLLVEVLLRDDRLDDVLLEVGGDLVVGDGLVVLGGDEDGVDADGDPGAALVEVLDGDLGLAVGPEPRTGAVLADLGEAGAELGGEDVAERHQLGGLVGGVAEHVALVAGADLLGALGEVAVHALSDVGRLLLDVDEHLAPVGIEPDVVGDEPDVPAGVADDLLVVHGGLGGDLAEHHHHVGLGARLAGDLALGVLREARVQHGVRHLVAQLVRVTLVHGLGGEEERLRRHFCLPSASPSFAACAFIRGGAGIRRAEERGRVRYV >OB01G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9666952:9671652:1 gene:OB01G23330 transcript:OB01G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLRSLVLAYDDAKEFMVEKERRAEREVNQLRPAALLRWDGALPLPLDEQRRIVQRWIEYEKRKGPCRYNFLKGEMFACIARPHVRIALRYYNSHHPGAEFDAVRSLNAHFASFRGDDWSHVNFLARRRGCIDAPVLRFFAEISYHGHLAIKPVFVSCTILREEPLFVRRIETVQEPVHLTTKRGANQEQIVHKYRSKCAFCPDPGGTDQPYQLLGLASCYVLHYLHSYERLYKGIVPLEKESF >OB01G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9686446:9692549:1 gene:OB01G23340 transcript:OB01G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSLLVVKGRVTVLRVLTHQGEVAKVCGVGVLLVNDKVLGFPCSKVQNGLVVGYVNCECEFGNVDKHPNLTRCSPSLASEACRALSVKHHEVLEEIGLDAVACMTLDSLEKPGGVPSPERQHPVWATKPGVVDSIVVYLDNLLPPREIVIDMTFTPRIQMYTKEIVDELLRPTIESTCYKPRIAETCGELSLSFPSMQATINPRLARLQDEQRRSILDAISDYDKLAKDSLAEIARQIRIVAQKQQEICRPVVEAVQMNRHVQAPIGSRPNGEEQQESLHEEQHQDQENQQLVLQLEEEEQDKEEHEDHPVEQQLGSGEAMGQATCTQPAEEGGQDFWRQIQAAVEQGRKKFEELKKPMKIDRHPFPVNMVHANIGHGPSRHSRKRQGTTNITSTSMMNRCRQRYERDQGMTSGPDTTIIGIVRSSNTAGRREYDCHPRKTAPNAIPRSGITPIGAHAPGGQGRHQERQGATCRCMTGWAPRNKTTMKKKSGNIMKIKRNNSR >OB01G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9690328:9690653:-1 gene:OB01G23350 transcript:OB01G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding YELPEGFHVLEPPPPMSAISFPLLPTLEEEIVVTAEDLGYVSTPCPFPPSDVNDLNPPDDHTTPYRHPAFDDDGDLFITHEDMWFFRYGVETPPRDVHSPTTRFKRHR >OB01G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9714945:9718599:-1 gene:OB01G23360 transcript:OB01G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFRRGHFSEGAPRPRLSSETRSVQAAFVPVAICLDEGGVVLHAPHNAGYSAPAAPSTSAEHVITGGGGSPPDHSLVTTRVAAHEDGWKGPPPQFVRVACFGEGVRLDVAEVWALLLNQKSSHLVAPATLVTFGMSSPDLDQQLALLGLATTRVVALETGRKGRLPQFLEEACREDWSDKVWAPPRKGSPLRAKQAGDVFVVDLPTTDGAPVRVLFVVPKMRNLEFNFNVGVDYCIASGRGRTLETIGVFPISITIKPEHEGRVLRVGLAEDGEHHTRVVFRSQPWLQGTKEDALMLPSGLSMRGIEVATAQRRGVRRLWFKVNQWLLSAILVCHSLWGNIQRWLFRAIVWPQPMQHSWLNVLGPPMKQIGDTCSISASALCIEAQFRKKYGLQFTIRRPHLELVRRCYGSLYMKSSPTISVINVIKVITETGGLTTTTGLILPITGNVPHRLENYYWSKKDVAKFIYEHGPVIAVLWVVTNEYAACTGNVIYHRCPERSRNKKDKNQGWHAVVCFGYRFTQSFDLHLSIMDSSSDDGPLRWLHYSSPDGLYVPEIGEPLQAVNQA >OB01G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9722828:9723001:1 gene:OB01G23370 transcript:OB01G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFDTVKVHISAINLCFGIPLGTCEETFQQGKCHSSNSHSVLDKMRLLFSHLWST >OB01G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9730320:9734778:1 gene:OB01G23380 transcript:OB01G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZD2] MAPRGSVGVALAVAACALWLLPATASGALRVGFYQNSCPNAEALVRQAVAAAFAKDAGVAAGLIRLHFHDCFVRGCDASVLLTKNPGGGQTEREVGPNNPSLRGFDVIDAAKAAVERSCPRTVSCADIIAFAARDSVKLTGNVDYQVPAGRRDGRVSNGTEALDNLPPPTATAATLADMFANKFLTLDEMVVLSGAHSVGRSFCASFFNRVWTNNGTTPFVCRRRGRRSGSGVRGAAACAVPEGRHAGDDANGPGHAGDAGQQLLQAAAAEQGALLLRQPAARERDDERAGDPVRGERGRVEAAVRGRHGEDGPHRGADREVRRDPRRLQRRQPVVVVLVAGGGRAAAGRGGGGGGRGRRRRGEL >OB01G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9742204:9745738:1 gene:OB01G23390 transcript:OB01G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZD3] MAGHGVVALAVLAAYCLLLQLPAASRGQQLQVGFYNTSCPNAETLVRQAVTNAFANDSGIAAGLIRLHFHDCFVRGCDASVLLTSPNNTAERDAAPNNPSLRGFQVIDAAKAAVESACPRTVSCADIVAFAARDSVNLTGNVSYQVPSGRRDGNVSIDQDALNNLPQPTFTAAQLVASFANKSLTAEEMVILSGAHTVGRSFCTSFLARIWNNTTPIVDTGLSTAYATLLRALCPSNANSSTPTTTAIDPSTPATLDNNYYKLLPLNLGLFFSDNQLRAIATRIPSAASFAANETLWRQRFAAAMVKMGNIEVLTGSQGQVRLNCSVVNNGSSSGAGTAAYHYSGSTVSIDEIASS >OB01G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9749833:9754603:1 gene:OB01G23400 transcript:OB01G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNWLALAMAVAVAVASTLPAASRAQLQVGFYNTSCPRAEALVRQAVAAAVANTSGLAAGLIRLHFHDCFVRASGTISPAYQALLEALCAGNTEQSSNVTTAIDLSTPATLDNNYYKLLPLNLGLFFSDDQLIRNATLLPFVNAFTANETLWKEKFAAAMIKMGNIDVLTGTQGEIRLNCSAVNAPSSSASAGTMIIDTVFPDADGGEVAAS >OB01G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9762027:9768171:1 gene:OB01G23410 transcript:OB01G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZD5] MASSNWLVAVAMAVAVASTLPAASRAQLQVGFYNTRCPNAEALVRQAVVAAIANNSGLAAGLIRLHFHDCFVRGCDASVLIFSPNGTAERDAPPNNPSLRGFEVVDAAKAAVEAACPGTVSCADILAFAARDSVNLTGNTFYQVPAGRRDGRISNFNDAFSLPNPNATATELVEGFRNKSLTAEEMVILSGSHTIGRSHCASFIFKNRERLASGTISPAYQALLEALCAGNTEQSSNVTTAIDLSTPATLDNNYYKLLRLNLGLHFSDDQLIRDATLLPFVNASACNETGWKEKFAAAMIKMGNIDVLTGTQGEIRLNCSAVNAPSSSASAGTMIIDTVFPDADGGEVAAS >OB01G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9774130:9778484:1 gene:OB01G23420 transcript:OB01G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3KZD6] MSSMAMNRCCFFFFFVAGWAAVRAVAAAFRNNSGVAAGLIRLHFHDCFVRGCDGSVLIDPVANSSVRVEKQAIPNNPSLRGFDVVDAAKAAVEAACPRTVSCADILAFAARDSVALSGNVTYRVPAGRRDGNVSLEGDALANLPAPFSSAAELVGNFSRKNLTAEDMVVLSGAHTIGVSHCSSFTNRLYGFSAGSDVDPTISPAYAFLLRAVCPSNSSQFFPNTTVDMDVITPAALDNKYYVGLANNLGLFTSDQALLTNATLRASVDEFVKSERRWKSKFVKAMVKMGGIEVLTGTQGEVRLNCRVVNRRSTTAAATDTAGAELELTAVAGSDDDDVSSAVAAS >OB01G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9780595:9791105:-1 gene:OB01G23430 transcript:OB01G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MNSLNLLSENKIDGSGVLDFLNFIGFSASNDIHPSGRVRHPNILPVLGVVEAHDCCYMLHPKSPYTLENIMHYSPEALYSDWNIRFLIYQIISALVYLHDFGVHHGNLKPATIFMSDSLWPYLSISDICPVKQNCGFAESLCPSFNACCFEEDCSSRAIYTGFNLTSSLDWQSHFKRWWKGELSNYEYILVLNKLAGRRWGDPTFHTVMPWVIDFTERPDENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRNALESDRVSRQLHHWIDITFGYKLSGEASVEAKNVMLPHSDPAKPKSTGRRQLFTKPHPKRLVSTPHCTYHNRMESCARCQGESSSMTSGLLLNGHNPSTMSSQIGYLEEFEQANLFVELEHHLCPIYNYSDNSSSCCSSVKYSKIPCSNQEGVLPVAPDFDFCSFLECFESDDSSPMGYQELFRWKQKSCSVIEHHANDIFSIGCILAEMYMHKPLFDSELLSAYKETGILPGAIQDLPTHVAVLVESCIQREWKRRPLAKHLLESQYFPPSIRSAYMFLAPLQLICKSRDRLKYVAKLASEGTLKAMGEFAAEMCAPYCLPLVSPSLSDVDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAPEYSHLKVSLLQDSFVRELCKKMGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSSEELGIPITVNQTVLPLIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVMLSCIDSSKISKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQDQVCLHIKVLMQVHLNLRVIQVAASALVDLCQRIGPANTAIYVLPHLKELFAELAFSHESSGLSAPAKGLKLFDGNKTEPTKMESRIDLMFLLYPFLAALVGIEKLRECCSTWFLLEQALQRLYGWKPSTDHSDMKGQRFQPGNYASHEPVPTKLFAEVAKNGWNIGTSNQGSRLEHGSSSDNLSVSTSANQPWFWFPSPDSNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDQCTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSVCILSITGKVASCDGTIHIWNAQTGKLIAVHTESSIGFPQQTATIEQANMLNQDALSGGILSNAFRGSLYTTIHYMESKDKLVAGMGNGSIRFIDVYRDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKGSPAASSSWIAAGLSSGHCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSAQSNIFRSHSDGIINFCMWGQDVVSVSRNKIALTSLSMPTSEIGHQQLLPQNLYSCDRGVKYKNLSVLSTIAVLPMSRLFVVGTEDGFLKVCH >OB01G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9798994:9799587:1 gene:OB01G23440 transcript:OB01G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSFVLRLLVVDVGEAALAAVVAVEVVGHEGAGAALGVGALLAEAGDLAGGVVDLVELEHGELDLLVLVLLLLGLGVGLLLALLGAAAEAEHQVEGRLLLDVVVGEGAAVLELLAGEDEALLVRRDPLLVLDLGLHVVDGVRGLHLQRDGLPRQGLHEDLHLGQTLSPPAAAAAAPPTCDRRRRRRFSFSRGARGWM >OB01G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9799009:9799476:-1 gene:OB01G23450 transcript:OB01G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >OB01G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9799847:9803908:1 gene:OB01G23460 transcript:OB01G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Craniofacial development protein 1/Bucentaur (InterPro:IPR011421); Has 333 Blast hits to 324 proteins in 149 species: Archae - 0; Bacteria - 18; Metazoa - 117; Fungi - 96; Plants - 49; Viruses - 0; Other Eukaryotes - 53 ( /.../: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G30490) TAIR;Acc:AT5G30490] MPSGSSSGDMGSSGAKEVISDDALPASGSAESSQQKSTDVDTKSRVDDIWKKMNSGLPANLPKPVMNKLSMPGKEKKSKGANNWMSVLGLSPSKASINDQPSKNGQKQAQQESSEDAKKLAANAIAAVRDAASAAAGRGKVEITEVRDFAGKDIEIRKLVDADSKEAIEKAKAAGVAPSALDNILEQIKKKQKLSVLDKTKKDWGEFKEENKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMMSKRKSDMREDDV >OB01G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9804341:9808106:1 gene:OB01G23470 transcript:OB01G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNINLFISYSLHYELMIICFHQKSTEIEVDVPCSVAYQCYSERETIPQWMPFISSVKVLEDKPDLSRWTLKYEILGRNVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSSSSCRVQLTVAYEVPEILAPVASALKPFLEGLLMNGLERFATYARERHTKIPRP >OB01G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9808775:9810004:-1 gene:OB01G23480 transcript:OB01G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3KZE2] MYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVGGLTKGIEGLFKKNKVTYVKGFGKLSSPSEVSVDLNDGGSTVVKGKNVIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIPYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >OB01G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9820799:9821140:-1 gene:OB01G23490 transcript:OB01G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KZE3] GAGGEDGRRQGSLDVSCQASVEGDLGARGVKIPCGLAEGSAVTVVGVPKAGAAWFRVEMVGGGGEVVVSVNVSLGAAEMVVEQNSWTPREGWGEWERCPPAGDAGRNGSSQPR >OB01G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9823001:9824230:-1 gene:OB01G23500 transcript:OB01G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3KZE4] MYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVGGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLNDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIPYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >OB01G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9828655:9832849:-1 gene:OB01G23510 transcript:OB01G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3KZE5] MANVTANKHEDESRPKGHANFGGSFAIIEGEPFTATLWAGAEGFHMTVNGRHETSFSYRERLEPWSVAEVKVSGDLELLSVLANGLPVSEVVDMASVELMKAPPLSKKPIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVRFFTGLHKNDQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVHAKYIMKTDDDAFVRIDEVISSLKKSDTDGLLYGLISFQSSPHRNKESKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFVVHGHQERTLQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPVCCE >OB01G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9845755:9847092:1 gene:OB01G23520 transcript:OB01G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAEAGGGAVVARSAGATGHTNEESSRRKEKGDRDKYNLFLSCLLALPGSVHPRAWVGGMSWPPKLRPPAYLHGRWVIKFLGSVSTRLLRSSDHGNDFDPGDDSYLNDAFSRHGNDFNLSDDSDPNDAISRRGNDSGPDDTICRLSVMVQSCPPMAATTMAI >OB01G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9850179:9854485:-1 gene:OB01G23530 transcript:OB01G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFAKPLLLYANKVVRSLIRPFVHEPLAGQRKAADSSSIRWLSTSDELPPAPDTTADGDGGATTVCVCKVEGGLLMSPSTFQYFMLAALEAGPGGLLRGLLLLLLYPALRLLGHDRAIRVMAAVSFAGVRKDGLRLGGAVMPKLLLEDVSAEVFEAAAAGPGRRRRRCVCVSAMPREMVEPFLKDYLGVDAVVAPELRSFGGYFLGLMESDAEVLRRLNMEEVIGGGGGDGGGGGLVVVGIGGLGRSFNQLFQKYCKEVYVATKGARRRWRPLHPRGYAKPLVFHDARTAFRPTASATLAMFMWLPLGAPLAALRTAVFLLLPFSLSVPLLSALGMHSRRIIGKYPPSSAHNLFVSNHRSLVDPLYVSAATGRADLAAATYSISRLSEILAPMRTFRLTRDRATDRTAMQAHLSSRSGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVAMHSAVSMFHGTTAGGRKVLDPLYLLMNPTPAYVVQLLDPVAVESGGGPELANEVQRRIAEALGYTCTALTRRDKYLALTGDDGVDRCRRRHQQ >OB01G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9856368:9856655:-1 gene:OB01G23540 transcript:OB01G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHARMHDTDVNDVFIHDVDHLVRDSFSKAFLCEGYHDNDVLELGVAVDSHLHDKLEARLVSTDLETDTIRRQLVIVLASGHVNTVPDEVDPVM >OB01G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9862423:9862614:-1 gene:OB01G23550 transcript:OB01G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILEEGGDDVKSAWPLWAGPHTCYNGNDNGKQGCKAERIRKDCLSSDCSLQLGNMKLKSLVIAD >OB01G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9876163:9878724:1 gene:OB01G23560 transcript:OB01G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRCMAAAAVLSALLLLSTCLPCAEARGLHLHYHRRHRRAQHHGHHRATGSHISQPPAAALPPDVDGGEPPAEPPGLPPGPGVDDDAPPRRAPRDTPCPTLRPPLYGAPVDGAPRWRGRAQPPYLSPTMPPSPSPTPPARAPRHSPALPPTKTPVISPAVPPQLSPAKAPSTHHHAKAPSLPPAKPPVLSPSPEHPPHHSPSKPSAYAPANPPESLRPAIPPGGVAKPPSVAPAQPPWPVSPATPPALAPAKPPAALPPAIPPASTQSPSIPPAKPPRLAPTMPLPAHAPALTPAPPHPAIPPVAATPPALPPAGPSSKNGSSPPPCSSSNVFDVRAFGASGNGSCTDGDTRAFRAAWKAACAADCATLLVPSDGVFTITSTIFAGPCKPGLTFQIDGVLMPPDGPANWPAADSRRQWIVFYKADGMTLTGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCESPALIKFVASSDVAVRGVRLENSPQFHLKFDGCARVAVDGLVVSSPASSPNTDGVHVENTTAVRIANSRISNGDDCVSVGGGCSGVRVENVTCVHGHGISIGGLGARGARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLQPDRRRARRRRRVQGHPGHVQPARRRRARPLRVQRRRRVHRHHHDRCRAVASRRRRRHQLAAAERAVLLERVRADGDADAAAGALPAGRPPRLAARSARRLLSIHQILVSFDIDFFPNDSC >OB01G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9878884:9883223:-1 gene:OB01G23570 transcript:OB01G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALADANINWERLDKTRFHVIGAILFTAQQGALHPTAVVKTRMQVAEGGLSHMSGFTVFRRILRSDGIPGIFRGFGTSAVGALPGRVLALTSLEVSKEMAFKYSEHYDMSEASRIAVANGVAGLVSSVFSSAYFVPLDVICQRLMVQGLPGMPTYRGPFDVINKVVRTEGLRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPEGSVPTRW >OB01G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9886387:9891714:1 gene:OB01G23580 transcript:OB01G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPEQIYGQSVYVPAANTYPYGYTEVGSPIEWYSNQSSLGYDGQDVYFPGFQTEGTQCVYYAATDNGSIHPSFSPYPINSSFIVDGSYLPQEYVADASDQTRQIVPSSYYVPTILPCTPDNVMGGTSAPLHPPNVAFVPSLPGYNATSTNHDIVTNPPAQSTIVSKQFLDHMSEPKVQLHNPVPLKKELANGSLMPVKHPHTSVSQASTHPFAISSAKHSPQEKLSANNRSGFAGSDVQRWAAAEKFDPISNLSGHMVSSRPNMNLSKDGAEKPSSQKSAAAAIIGKSYTLSLSVGDPEGTIVIRPDQYNRDDLRVDYSFAKFFVIKSIGEEDVHKSIKYGVWSSSSSGNSKLDSAFRDADRIAKRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPVRWHIIKDVPNYTLQHILLRNNENKPVTHSRDTQEIPYVPGISMLKILKDIKVKECLFNDFMKYEEDEAKSKPYFRRSKLSHNAPDFVPVAQRKKDVSDVQPPKSGNVLIDRTSEIQNMSVKPLVSNVIKHQDPCLQVVEKQGIDDGKENGHQEKCNVKQANDKVVKTVTKQPQASTMKTSVDGKQQYWKKVEIPRQNTNQNTNRIHGSSIAPENNLNGSEAPENNLNRPEAPEKHMSGSKAPEKHLNAVNRSSAIVSLKKPKEETIVAKVGSLAISSQSKVAADKNASVDVVKIGSMPVLVNTANL >OB01G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9895464:9900829:1 gene:OB01G23590 transcript:OB01G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLGLGSGGGGGGKVSLRLQYYGGVAGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGGVGGAAPAGGSSTSGAAGGGGRSSPSPVVIFNFGDSNSDTGGMAAAMGMNIALPEGRTYFRRPTGRISDGRLVIDFICESLKTPHLGPYMKSLGSDFSNGVNFAIGGSTATPGGSTFSLDVQLHQFLYFRARSMELINQGVRTPIDRDGFRNAIYAIDIGQNDVSAYMHLPYDQVLAKIPTVVAHIKYTIEALYGHGGRKFWVHGTGALGCLPQKLSIPRDDDGDLDGNGCLSTYNAAAREFNAQLAAACGRLRQRMADAAVVFTDVYPIKYDLVANASRHGIERPLMACCGNGGPPYNYNHFKMCMSAEMELCDMDARFASWDGVHYTEAANAIVAAKVLSGEYSTPPVRLATLVAGSTKPN >OB01G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9908602:9912051:1 gene:OB01G23600 transcript:OB01G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGWRIRPPEGRAFFHHPTGRFCDGRLTIDFLCERLNISYLSPYLKALGSDYSNGANFAIAGSTTLPRDALFALHIQVQQFLYFRDRSLELISQGLPGPVDAEGFQNALYMIDIGQNDINALLSYLSYDQVVARFPPILAEIKDAIQTLYSNGSRNFWIHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVAFNAALGSLCDQLSAQMRDAAIVYTDLFPLKYDLVANHTKYGFDKPLMACCGYGGSPYNYNISVSCQSKNASVCDDGSKFVSWDGVHLTEAANAIVAQGILSSDYSRPKIKFDQFCRL >OB01G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9912331:9913396:-1 gene:OB01G23610 transcript:OB01G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVLLVCTFMAMQAMAAAEAYYSGDDGVTMQMFEEWMAKFGKAYTCHGEKEHRFAVFRDNFYSSGVFPGPCGCGTGGEPKPNHAVTLVGYCQDGATGKKYWVAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTA >OB01G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9916865:9918039:1 gene:OB01G23620 transcript:OB01G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPFLGLLLSITCLMQLLMAAAADPPPERPCEKKSDREMRYMFSQWMAKYAKQYSCPEEEEKRYQVWKDNTDFIGGFASQTEISSGVGAFAPQTITDSFVGMNRFGDLNSDEFVQQFTGFNSTGFRAPLPTPTPPQHSWLPCCVDWRSTGAVTGVKFQGSCASCWAFAAAAAIEGLHRIKTGELVSLSEQVMVDCDTGSNGCGGGRSDTALSPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPRRPGPAGRRL >OB01G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9928235:9932303:1 gene:OB01G23630 transcript:OB01G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYFPLPSGRAFFRRAAGRLCDGRLVIDHLCESLNMSYLSPYLEALGTDFTNGANFAISGAGTAPRNTAFSLHIQVQQFLHFKQRSLELASRGEAVPVDAVGFRNALYLIDIGQNDLSAAFSGGLPYGDVIRQRLPAILSEIKDAIQSLYYNGAKNFWIHGTGPLGCLPQKLAVPRADDGDLDSSGCLKTLNAGSVEFNGQLSSICDQLSSQLRGATVVFTDVLAIKYELIANHSSYGKS >OB01G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9936422:9942459:1 gene:OB01G23640 transcript:OB01G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:J3KZF8] MDQTQVVGENYANPKTCFFHVLFKAGALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >OB01G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9950493:9953739:1 gene:OB01G23650 transcript:OB01G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRAVPLDDNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDYALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVLNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLTADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHVVE >OB01G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9955750:9965990:-1 gene:OB01G23660 transcript:OB01G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRSIGSNGDVGELEYLILHTHPDSVARKANAIDTNHPHRFSQVVNDYVEAVRQLACQVLDLLGEGLGLRDPTSLSSLITATDNDSLVRINHYPPHPSCASATKPGGGGAVGFGEHTDPQILSVLRANDVGGLQVLLPDAAAAADGDGAWVPVAPDPSAFFINVGDLLQALTNGRLVSVRHRVVAGAGKPRLATIYFAAPPLHATISALPETVTAGAPRLYRPFTWAEYKKTMYTLRLSHNRLVLFHVGDGGAGIEDHDGQE >OB01G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9976037:9980716:1 gene:OB01G23670 transcript:OB01G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3KZG1] MATEEAAARWLLLLLLPQSPHRKQLIFALLLVLLLLFTSLQSLHCYPAAGGGYSEQEADRVAFLPGQPRSPQVSQFSGYITVSRQNGRALFYWFFEAQALPSQKPLLLWLNGGPGCSSIGYGAASELGPLRVSRNGDGLEFNKFAWNREANLLFLESPVGVGFSYTNTSSDLTKLNDGFVAEDAYNFLVNWLDRFPQYKDRELYISGESYAGHYVPQLVDLVYENNKDKMANRYINLKGFIVGNPLTDDQYDSKGLVEYAWSHAVVSDAIYERIKKACNFKFSNWTDDCNEAMNSIFRQYQDIDIYNIYAPKCNPAQTSKVAAVNHAFEASDQEQFSRRIRMFSGYDACYSSYAEKYFNKPDVQTAFHANGNGMLPGKWKVCSESILRSYNFSVLSVLPIYSKLIKAGLRIWLYSGDADGRVPVIGSRYCVEALGLPIKREWQPWYLNRQVAGRFVEYHGMTMVTIRGAGHLVPLNKPEEGLALMDAFLLGKQFFTHR >OB01G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9979838:9984099:-1 gene:OB01G23680 transcript:OB01G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3KZG2] MASCAEARLKSLCHHPLFIILLALSLLQTITTLDEQEADRVAFLPGQPRSSQQVSQFSGYITVNSQNGRALFYWFFEAQSLPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFSWNKEANLLFLESPVGVGFSYTNTSSDLDNIDDRFVAEDSYNFLVNWFKRFPQYKNHDFYISGESYAGHYVPQLADVVYERNKHLETDQHINLKGFIVGNAETDDYYDYKALVEFAWSHSVISDQLYKHVNSVCDFRLSPRSNECDHAMGSVYSQYDMIDIFNVYAPKCNSDQSELFSTSDGTAAMKAKVTAKRLKGMRMYSGYDPCYSMHIEDYMNKMDVQKSLHANTSGLIKDRRWSICSYSIFDNYNITVFSVLPIYSKLIKAGLRVWMYSGDVDGRVPVIGSRYCVEALGLPIKLQWQPWYLNNQVAGRFVEYQGLTMATIRGAGHAVPQDKPEQALVLINSFLSETQLPTKTNR >OB01G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9987267:9992267:-1 gene:OB01G23690 transcript:OB01G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEAVDGEGDGDEDGDGEEDDDDDEEGDAEEGGEKGAAGQNVVEISDEEDEDGEEAEGGDDDDDDDDDDDDEEEEDEVEGDEQEELGTEYLVKPLGDAEDEEHSSDFEPDENGEGAEDEEIDEDDDDGGDDSVKAAQSSSKRKRSGGDDEDDDDDDDGDDDDDDDGRPSKR >OB01G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:9999820:10001874:1 gene:OB01G23700 transcript:OB01G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLPDILRVEKVIVPEGARHRPQSTSPVITGAAIDVDERGGGGSGGSSTVIELLQPQRLAGGEQVRSEGEEQERSHKQIVKQEDDSLAKEKLNTLIALLNFEYK >OB01G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10012546:10013004:1 gene:OB01G23710 transcript:OB01G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAISNQRTQTATEVETLPQRFRIRAIMGAMETSTPTRTSAFMASHRQAVAVLLPSFEICEQHCCLAELIGLLPNPRVTHAPRSVETNGHRGSEDGVGAGVGDDDGGGVGANNGGSVVMGVGATRHELVNCLPLTEVNTKRIMEKNKAELLA >OB01G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10019917:10020375:1 gene:OB01G23720 transcript:OB01G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAISNQRTQTATKVETLPQRLRIRAIMGAMETSTPTRTSAFTASHKQAVAVLLPSLEICEQHCCLAELIGLLPNPRVTHAPRSAEISGHLGSEDGAGAGVGDDVGGGAGANIGGSVVLGVGAARHGLVNCWPLTDVNAQRIMEMNKVELFA >OB01G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10019934:10020386:-1 gene:OB01G23730 transcript:OB01G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQAKSSTLFISMILWALTSVNGQQLTNPCLAAPTPNTTEPPMLAPAPPPTSSPTPAPAPSSLPKCPLISADLGACVTLGLGNNPISSARQQCCSQISKLGSNTATACLCDAVKADVRVGVDVSIAPIIALILNLCGKVSTLVAVCVR >OB01G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10038195:10040007:1 gene:OB01G23740 transcript:OB01G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVWLIYLIAVCYPLAGWVGTSNRNIFVAFCTLGLARTVVGLFASWRASRSFAVGKNARLVSGYMAQLVEDGDEVGHGQVPRYIVTGEKERGGRGSRVKRDVVHDDSSDLVTLDRVWKMAEHGDVNGLLAKRPELRDLCLSFSLFKSLRRRLSGYPLAEAGSTKALEFVLRGMNGVSSACAGGAERVFRVLVDELSFASDFYFSSLPLCTYSGWCAAVDYVFSVLIVVGAIAVGRIYDVKNVVRFREGERLNYVVTIGLLMAVALVEVRDAVAGVRSNWTKMALLGHYISHESLWRRSRRAHWALDAVLRLRPASRWRDKIGQNSVLEPRRFFRRSGLFSEKLYGRSGLMRAVEVSPAVKDAVLKSLMSTYGGSAEEGRMAERLVGGKVEWPRYGSSWRKIFALDGDGCFTVTDNILAWHIGTSLFEMKHAHGVQSPASASSSPHMIAARDLSHYCAYLAAAAPELLPDNPAWPGGRDTGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGAEIGRRLVEGFSKDEAAGWLFLACFWSETMLYIAPSENAKAHVEAMARGGEFVTLVWALLLHAGITTRAELPPSAAIP >OB01G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10063202:10065556:1 gene:OB01G23750 transcript:OB01G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILKLLLMQYPLVSVLMAFTAIVAVVQLALSTYGHRCRHPALRFLQWGASAVFIPLTASIISYYMYKINTIQSCSNEIGDLFSFDSDPAYKQCMPRFFSELLIVWTVLIQIIKGNIDTASVAVVTDGSPVLAEAGGSRPFVELLAYSIWTMGLVIYYQINVRFLAWVLMLPLCVVCSIKVVVKLAAFHRARGSFALGKNVNVIAGYMTQLYDTKQEGDHGQPPRYIVIGEEKQHLERTPDGYRIKVCALGGNAITSSILLTLDQIWQFWSSGHHLLVSQPRQIRDLFLSFSLFKILRRRFAGYPLVEVGSRKALDFVLRGMLGDADDHDRTFQVLIDELSFAVDFYYSCLPWSFIGGRLAIIYVFVSMLIVLGVFLLGMFLLIFTVRAYEMLYLMATLILTLAVLFMELWSMMSDIRSIWTKLALLHHYIKKNGHLCFLARKVLTIILRFGAVKRFDDKLGQNTMLEHRQFYKPPVVFPKKLFHATWWPPFLRCCRTTHPGPRHTTRRWQKTPRHAPWPRTASMITATHLSQYCSYLVAAVPEMLPDDASWTKAYYKEVAEDIAKVRGQGGKDCFHYSHLVNAFGASSRHRVLQEGSKLVKHLVREAERQEEEEVEGEAGRLGGEAVVWELLAEFWSEMVLYLAPSDNVKSHVEALSRGGEFITLLWALLLHAGITSRPGTVAESA >OB01G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10069345:10069551:1 gene:OB01G23760 transcript:OB01G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAAPSRDPSNRGLEYLALASEIPKFDRMKHLLVPIGGHRNSSSNMLCHSGTGCFNLGIILSCVYLSYG >OB01G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10069814:10071406:1 gene:OB01G23770 transcript:OB01G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative endonuclease or glycosyl hydrolase [Source:Projected from Arabidopsis thaliana (AT2G15560) TAIR;Acc:AT2G15560] MSFEDKAMASRVASPSPKSMASESDPSMMLAITSSMEHSQANNHSISVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRMHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSLGRRLADPIGYLHGVNLGPFPDTQNEEEAIIYTGTSRNECGGRSTSNQMYCYNSSQTTREPCKAFYAVTDGNCGTSSRSNNLASSLNGNPDIEQGSSDDRSWWVRPGDLQGLKGQLIRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGERNLNKYPSTPIILKKENRGGSVLDESTIGTCQQLGSSSDDFSEDEQNINPDVDGAYAFDSHLDNFRQEIQELLVCYSCPVPLGNFESLYEQRYKKTLVYESFGVDGLEELVEKFKDVVELCEDQTSKRKFLIANYRS >OB01G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10076401:10079669:1 gene:OB01G23780 transcript:OB01G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMVDALPAKLGDLLQQEFALLSGVRGDVGFLQSELGTMNAALLRCESLESPDAQTRAWVAQVRDLAYDIEDWIDLFAHRVDGGAAPAHATAAATSTSSSGLLSWVRCCVNKVATLPARHVIANELQDLKTRVVALSEQRKRYRFDPPAGHAGGRSGPAGVDPRLIALYPDPGSLFGLDAPVEKVSNMVVDGGTTGLRVVSISGMPGSGKTTLATAVHRRLKEQNCFDCSAFVSVGQKPDIAGKTLKGILSHMGDLYGGGEDVGRLIAMLRQNLNDKRYLIVIDDLWSRTDWSTLKCCFPDDNLGSRIMVTTRNDVLAKECSSSMGECVYKICPLGDEDAKKLFYIKAFGKGNECPTHLKDISAQIMERCGGLPLAISSIAGALAHRYSKDEWERYESNLLPSHSDGLNLKQILNLSYSDLPSHLKTCMLYLSIFPNNYEIDVERLVRRWIAEGFIADERHASKEETARSYLGDLISRNLIQTLHLRHDGTPSCCTLHPVMHDFIVVKSMEENFVTLVYAKQEAPHNSTVRRLSLQNSVKQDQAVARTDTIKHARSVTVFGHAGGVPRLNDMSVLRVLDLEGCNGSVCLDGLCKLILLRYLSIRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVRLERLMHLLVGKAKLPGEIRKMQGLLTLSCTDVWKNTASVLPELADLANLRELELFCDATEISGNKERASFSSDGFKSLKHLSIHGRLPSVAFANRSLQEVEVLELKFEKDISDGSRSGGVSGVEHLPSLKYIFIEFSQKDAGAAATIASVRNAAEMLHPNHPLVSFKVGAAN >OB01G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10084974:10093386:1 gene:OB01G23790 transcript:OB01G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVPTALPDRPPNGEGQRRSPDFHPSLWGDFFLNYEPPSKSQHACMKLRAEMLREEVRTIIKSSKEVPKILDLILAIQRLGLDIYYKNEINDLLHFVYSSDYNDKDLNLVSLRFYLLRKNGYNISSGVFLCFKDNEGNFAVDDTRSLLSLYNAAALRVHGERVLDEAATFSINRLKRVFEPSGSMLSMEVLLAIEEPIFRRARIVEMRNYIPIYEIDATRNETILEFAKLNFNLLQLLYCGELNNITLWWKELKSKSNLSFSRDRIVEMYFWMNGALYEPHYSHSRIILTRVTAIMTIIDDIFDTYGTTGESMLLAEAINRWDESAIGLLPEYIKGFYAYLLNTFDSFEEELGHEKRYRLKQLVQAYTKELKWRDDNYMPKTLDEHFEVSMRSSGGFTLAGASFVGMDDIETKDILEWLLSYPSLFKSFDRFVRLSNDIVSNKREQTGDHYASTIQCYMKEHGTTIDDTCRKLKELIEDSWKDMIEHCTNPTYEQPLIVPQTVVNFARTVTTMYRHGDAFTSSHTIKEMIASLYVVPIQVSSYPLFDQNLVMST >OB01G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10102552:10103533:1 gene:OB01G23800 transcript:OB01G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAADAVIPACAVVGIAFAAWQWFVVCRTKVPYATTAAARNGVQAAAPVFRPEGEADVAVDGYGDEEEGDGDRDGAAAVVRCAEIQNAISVGIISERDVKIKYDSILLSSGKSFFLLDAYYSFYTVSSNKIKLDKIRSNCCATFYFVRYEDEVIHDLAISQAEAKVSSGQSFDLVISTGCENTPPATSLVPPVSSPFPLEEVFPFS >OB01G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10105226:10108465:1 gene:OB01G23810 transcript:OB01G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLYAAVKLFGLYYGDDWEGLYESITGYGLGGAAGGLFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHDFAAVAYPLLISSAGLIACLITTLFATDFHRVKTDGAVAPALKLQLLISTALMTVAALAVTFAALPTEFTMFDFGEVKRVKNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAMAVSIYVSFTLASIYGIAVAALGMLSTVATGLTIDAYGPISDNAGGIAEMACMSHRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGLTAINVLSPKVFVGLIVGAMLPYWFSAMTMRSVGSAALKMVEEVRRQFAAVPGLAEGLATPDYARCVGISTDASLREMMPPGALVLLAPLLAGTFFGVQTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASDHAKSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFR >OB01G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10106849:10108444:-1 gene:OB01G23820 transcript:OB01G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEGREDERLHGHELDQDVERRPGCVLERVADRVADHRRLVSFRSLGTQRLGMVRCTNLDIFLGVVPGSTTVGSRVTCLHAGDEGAGEEAGERLDAEEGAGEQRRQQHERPGGHHLPERRVGGDADAPGIVRRGEPLRQPRDGGELPAHLLHHLQRRAADAPHRHRREPVGEHRADDQPHEHLGAQHVDRRQPRPAHERAEQRQRHQRRRSDGEPLPDCSGGVSGGVQGVGSLPDPVAHAGHLGDPAGVVAYRPVGVDGEPGGDRAEHPKRGDGNAIDGGKREADIDGDGHGEHRHDDRLVPEGEPEDDVRRRAGPAGVGDVPHRAALPKLGGVNNCKTLITLYDTKSFFIIFIFSLKF >OB01G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10109169:10125072:-1 gene:OB01G23830 transcript:OB01G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGEAAAANNSGMQRVKVYRLTDGGKWDDQGTGHVNIDLLEGSKELGLTVLDEEDNETLLIHNITSEDIYRKQEETIISWRDPDAATELALSFQEAAGCSYIWDNICDIQRNIQFRNLGALEVGPRPTSVSLEASRVLHSNDESFRAVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQERDFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEAIPIKNASVVSRIHQTYRIGYLKDVILPRVLDDATLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLPSISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDILILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPLRNISRASNSAGKTETEVNRTKPEILLNVCELLCFCIVHHPYKIKCHFLMNNAVEKILALTRRREKFLVVAAVRFMRTTISRNDDFLIRHVVKLNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESVETKLGASVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVQRTKSAQKQESRAKGSNGSEADDVSSRPKSGGLVDYADDDDEDFNPPSKEPDRPAEDDEPLTITKLKRKLMNNKVDGKQSDGEFQKRQKIETRITSVKIGASTNLASKHMDDLQKQEPRSPATTSPSSEANGVFGEHSVHSEEHQRSADTAETSRQAGVDCVKAMGNLSTEKTVNTTSTNDSEPYSVR >OB01G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10138878:10147205:1 gene:OB01G23840 transcript:OB01G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSSAGYDRQSVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLETVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPYTKGLGLENVNVVTQRGFVPVDERMQVTDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQISGKDHILNHLSIPAACFTHPEISMVGLTEPQAREKGDKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >OB01G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10149699:10154980:1 gene:OB01G23850 transcript:OB01G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNVIYMGFSGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGESNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >OB01G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10154583:10154804:-1 gene:OB01G23860 transcript:OB01G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSIFLQFTMIFLHVLYFFLRVAYSPDGHNFRDKRIGFLFYGLHLERTTSRVAFFFPLERGVTLECDLTSC >OB01G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10163481:10166603:1 gene:OB01G23870 transcript:OB01G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) TAIR;Acc:AT1G02680] MQNPSGHHATPASAAKSKSSAPAAASASGQGSSHHHHHHHSGGGADASATTLKRKRGVFQKDLQHMMYGFGDDANPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >OB01G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10163579:10164266:-1 gene:OB01G23880 transcript:OB01G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSATVSGSGFASSPNPYIMCCRSFWKTPRLRLRVVAEASAPPPEWWWWWW >OB01G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10167760:10175842:1 gene:OB01G23890 transcript:OB01G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQRDRGGGIDIEACARPIAVDHRIKLPYYFRIADNLLRQAKIYRDEKNLVDLYVILLRYSSLICETIPKHRDYLAFKSREVDFFRLAPHNQSKLVEVLSELESLKPVVQRQIAEHNKAGGGAIQSNSSINGTLAVNNISQQHMATPYTYQPSVGCNNGQLQRFVPGGNHQVAPLMSAQPDRRTHKQHVNLPFPKEETLARHSILGPNGLHGQWMGPVTAIKVQYPSNLDLIKSDVSSLLPSVLNQDGQNGLSAISADRTQVENDDMISVLSLDDGRWSKPAEECTSVPSAFLEEELSQLSIRQPSPPPILAELERKPIAPSEVADPRPGLATPETGRYQNLHVPVKLMECFLRVAEANTKRGLETCGVLAGTLKKRTFYVTALIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNQYSYQVMLPEAIAIVMAPTDTRKHGIFHLTDPGGMGVIHDCPERGFHPHEAPLDGSPIYEHCSHVYMNPDVKFDVIDLR >OB01G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10175938:10177182:-1 gene:OB01G23900 transcript:OB01G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNGDNLVATMGDEGINPISMGLAMSVEQLEEVREICDTLRLAHEGYARVRESRIKLLEGQLGRFVMKDDETTQQMYDCILATLSHCTKKDHLAHSRSRDGKLQATHSKLLQEDAQHIKSLTKNTTIVKNKEITLKAKAKLKKEDFALVIKTFKKFLKYDGYGKKKHDNRTRTRQSKRVCLSVANTSHFVANWPKKNKEQDGKPEPCKKKSSRHIHIGREWVSQGESYFDDGKGVATIVIKLSTSTEGLFTNLTDNDYYAPTWHNAYFSGETSWVVHRDCTNHITREMCMFKKFI >OB01G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10183236:10189563:1 gene:OB01G23910 transcript:OB01G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPQPAKGGSIDIQASARPIAVDHRIKLPYYFRIAGNLLRQAKIYRDEKNLVDLYVILLRYSSLICETIPKHRDYPTFKLREVDFFRLAPHNQSKLIEVLSELESLKPVVQRQVAEHNRARGGAIQSNSSINRTVAVNNISQQHMATPYTYQPFVGSNNGSLQRFVPGGNHEVAPIMSAQPDRRTHKQFVNLPFPKEETLARHSILGPNGLHGQWTGPVTAIKVQYPSNLDLIKSDVSSLLPVVLNQDDQNGLSTISTDSTQVENDDMKSVLSLDDGRWSKPAEEHTSVPSAILEEELSQLSIRQPSPPPVLAELERKPIAPSEVADPTPGLATSETRGYQSLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYITALIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRRKHGIFHLTDPGGMGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNSDVKFDVIDLR >OB01G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10192329:10195201:-1 gene:OB01G23920 transcript:OB01G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDIQNNLMSLVNFDNWKKFLCGYMRRDGHEDGERNQGRDDALMFGPDDDNGSNIPTQVETLVRGTATVATSEYKSIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQWSSYFLLEKISQKFCDAIQLCISPCRHIMPFFWNSKVYWDTQPGFAKLRRGNHNLRNSTAAVVSNKFGTNL >OB01G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10198480:10198701:1 gene:OB01G23930 transcript:OB01G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLADYEIHDGMGLELYYN >OB01G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10202681:10202845:1 gene:OB01G23940 transcript:OB01G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWNDRSLCLYGDPCHFWASQFIETNDRRYEECPNYSREVWTVHHCFPNISYM >OB01G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10213373:10217308:1 gene:OB01G23950 transcript:OB01G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRISPRKTLALLALVAAAFAFAFHAPAFADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCNEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALTEFVNTEGGTNVKLAAVPSSVVVLGQDNFDSVVLDESKDVLVEFYAPWCGHCKHLAPTYEKLASVYKMDEGVVIANLDADKHKDLAQKYEVSGYPTLKFFPKANKAGEDYDGGRELDDFVKFINEKCGTSRDTKGQLTSEAGRVAGLDALAKEFLSAANDKRKEVLSSIEEEAAKLSGSAAKHGKVYVAIAKKILDKGHDYTKKETDRLQRMLEKSISPSKADEFIIKKNVLSTFSS >OB01G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10220937:10222813:1 gene:OB01G23960 transcript:OB01G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRRTPKSFVADRKKRAEMHKGRKNSLKKKAEELSTLCGVPVGLVCGGPGSGGEGTSGTASAVVWESEPGVLDKYRALPPEVRAKHTRQMYLEAELAKERAKLASITAEYRQTMLLAMAAQLEAASKRTGRLFDQRHDGNGVNDDGSLDLQHIPGGASVADVPLGHGVQYTGSSSRSSNQMVETTAPAGVGVINDADQYGGLLPSFEHDTLQPHVTQPGHGFPGGDNVYVDMVHMEYPWQAPPGNPNVYNGWPDLGLGSGNAGNAGATTSGAEQARYPPILDNSHGSFLAASAQPQPLAFSSGAYFINAPNDYFSMGVGDSLIDVSDYSVECRSSLPTATTTNRRITLSVARAAPRPATAATSSNRRVTLSVARAAPRPATAATSSIRRITFSVARVAPNQAAATTSIRRITLSVARPHGRRRARRLALPELGELIATSPVILLHRRSISKSKSGAGHCESGNLGSTS >OB01G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10247428:10248159:1 gene:OB01G23970 transcript:OB01G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLGGVEPTCKWKEMKGLESDPRLGYVRAAARLVVASTGGWWRYNDGRPRATRSGGYEKNGGLCAAGSREGGGAKARRVVTAGGPNNRGHRRRHVLQKPGVGSGRQRSWAQ >OB01G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10250350:10251992:-1 gene:OB01G23980 transcript:OB01G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGEKTHRSTCMLFMFGDPEPVQKVVKKYLRVARPRFSQLVVSTKTIQDVSTLSQEEATRRLKAVEDMKLSALSSFLVVAAKLYLTEEEWIEHQNKKDQEVKVDFMRIRYEELQLLAKIPRGSSQLYMLDITLARLVS >OB01G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10255169:10255870:1 gene:OB01G23990 transcript:OB01G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVDNVIINTSISMEEKKEHVNGAKELNHSDEMITIVMEKTDGDKKIMVEEPTEIAISRTASSNRCQKQAKKRGVFGLFQALFMSFSSSTTTKKMDVTTAMGDKKKFDMIGVNNGDKEAMDIVARSSSDVTSWKNLVDGMRPLRLYGQLEYYPPPSPDRTEGVTSRYSSTEDLRELGNYSHEKEEEVEEDSPTTEDGGYSSNAIDRQAEEFIAKFYEQFRLQKSDSLNNWAD >OB01G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10262624:10263956:-1 gene:OB01G24000 transcript:OB01G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYMPKKSLDSSIFGAGEKLSWYVRRRIIEGIAQGILYIHEQSNMCVIHRDLKPSNILLDNEMNPKISDFGIARICTSNMTESNTTTVIGTIGYMAPEYFSHRTYSAKSDIFSFGVLVLEIISGKVAFGSYQIDGRSHDLRRYAWQLWKDEKCEELVDPSLSEENEGMDIIRCTQVALLCVQERAEDRPTMREVNVMLNSNNMTLSLPTKPGCHDTAPDTSGASQPTDMSITLPR >OB01G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10282380:10283954:-1 gene:OB01G24010 transcript:OB01G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATWWQFPAGVGGGGGQAEQIEWNKIQTPTNVVVVPYDTLSPAPEDLSETKKLLNKLVLLKLNGGLGTTMGCTGPKTMSNVTSKNTKGGRATLAHPGTCKTR >OB01G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10297948:10301137:1 gene:OB01G24020 transcript:OB01G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLPLLLLLVLTTSPWPPTASGQDSSATVSPLNIHCNTTAAGNSTAASSAYLSNLRALGDALSRRAFSGAGFASGSYGTAPDEVHGLALCRGDFTGGNCTDGLASAFRDAAAQFCPNAADATVYYDQYMIRYTGDGRLLSDLRNNEPLWSGKNMNEVAGTGAAARFMDKATELMNKAADLAAFGPSSSSTPSRYATGETWFDEQGVSIVYGLVQCTPDLTGEQCRSCLAGIVAQMPKLFGSASSRPVGGRILGVRCNLRYEKDVFFKETNATLKLNMPKKGMSTMLMIFGVPCLVLIISVVLLRPHIVRQIRELLLQRDLVVLEREIVSESDERFSLFKFSKIKSATGNFSEQNKLGEGGFGPVYKGRLTTNQDIAVKRLSPNSIQGFKEFKNEIKLIACLRHRNLVRLLGCCIKSKERILVYEYMPNGSLDDLIFGEEAKPNWPVRRHIIEGVAEGLLYIHDYAHERIVHRDLKPSNILLDHEMNPKISDFGIAKICLPNVIESKTTTAMGTFGYIAPEYYSQSVYSTRSDVFSFGILVLEIISGKRAVGSYKLSGRSFELRRYAWQLWKEERCDELVDPSLGEDYQEMDIIRCIQAALLCVQDSAEDRPTMHDVTTMLSNRNRRLLVPAQPGSFNIDIGDSEEI >OB01G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10303023:10303292:-1 gene:OB01G24030 transcript:OB01G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADHGGHHHQTAAKKTGLQGSDGSGGGGKPRVDLYAGAVAQRALYGPTSRCRGAAAARRQQVMAGAGGKDSKQPSRLSKMSGAEG >OB01G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10310838:10311229:1 gene:OB01G24040 transcript:OB01G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLIPRPKIPVWWRWYCWICPVAWTFYGLVASQFGNIQTKLDGKDQIVAQFIAEYYGFCHDLLWLVAVVHVVFTVMFTFLFSFEIMKFNFQRR >OB01G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10312024:10312479:-1 gene:OB01G24050 transcript:OB01G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRHLSADDDLVALLRRAYAHSAWVLSKYSIDADVAAGRLKEVCSADGGV >OB01G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10318666:10321826:1 gene:OB01G24060 transcript:OB01G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCPANHAPLTPISFLERAALVYADRLAVLASAGVARTWRETRARCLRVAAAVAGLGVQRHDVVAVFSQNIPAVCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKVIFVDRALLGVARKALGLVSEAGARLPVLVLISELLDDEPSPPDAKLQITGADFEYEALLGSAGSSPDFEIRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLVSVLLNGMAATPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCVRRVTAASIFDGVARHGVTHMGGAPTVLSMIVNATADERRLLPGGRKVTVMVGGAPPPPQVLFQMEELGFLVIHSYGLTETYGPATVCTWKPEWDALPAEERARIKSRQGLHHLGLEADVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDIRATAEALASGWFRSGDLAVREEDGYIKVRDRSKDIIISGGENISTIEVEATLFSHPAVEEAAVVGQPDEYWGETPCAFVKLRRGYLQYTVYTHTGHGSIIK >OB01G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10319597:10321156:-1 gene:OB01G24070 transcript:OB01G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding EATHGLGSLPQRQLLHLPRRRLGQLVHHHHRPRRHVXXXXXXXXXXXXXXXXXXXXXXXXXSSQLDERARRLAPVLVRLPHHRRLLDGRVAEQRRLHLDRADVLAAGDDDVLGAVPDFDVPVLLTHRQVARTEPPAGERLRRRADVLVVPAHHRVATEHHLPYRPPVRRHAPHCRRVLHVRLQPEVVQPLPRLDPGPLLRRQRVPLRLPRAHRRRAVRLRQPVRVDHQEPQLLHLEQHLRRWRRAADHHRHLPTSRQKPPLVRRRVHDHAQHRRRAAHVRHAVPRHAVEDARRGHPADAHVRPALRRHAPHQAPPVAVEHRNGPEVHRRRRHAVQEHRDEAAQVRAPVAVDHALGPRRCPGGVVQGDRLVLVGWPPDLEVRRRSGTSQEGLVLELADQNQDGKPGSGFRDEPEGLPGDAEQSAVDEDDLGLRVTQQDGRHRGVEARVERADDGAGHGDAEVQLAHGRNVLREHCNLH >OB01G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10323679:10328669:1 gene:OB01G24080 transcript:OB01G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3KZK2] MSLRPSERTEVRQKSYKASVDGDVGRLRRQVITVEIRKENRDRALNEKRRRAAAASDGSPQAASSPAVEKKLESLPILMEALSSDDSSMQLEATMQIRKMLSGNSSPPIDEVIRIGVVPRFVEFLTREEYPHLQFEAAWVLTNITSGTAANTMAVVEHGALPILVKLLSSPNEDIREQAVWALGNVAGDSTNCRDLVLMHGAMLPLLQQLNEHSKLSMLRNCAWAIANFCVGQPPPDFKHVESAFPVLQQLILSQDEEILTNSCWALSYLTNGGSYDRTQAMIDTGACPRLVELLNHPSVMVLIPTVRTIGNIAAGDEKQTQHIVGNPQALPGLLNLLTTTQNKSIKREACWTISNITAGSKEQIQAVINANMIAPLVHLLQNADFDVRKEAAWAICNATSHGTADQIEYLANQGCIKTLCDLLDHKDALTVLVCLEGLDKILRVGEIRKKLGACNINRFVQMVDEAGGVDKIENLQNHEYVEIYQMAVRMLGSYWEDEDDQPPYLLPEPPLGLFFDSVEEPGSHGGNAP >OB01G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10340753:10343517:1 gene:OB01G24090 transcript:OB01G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDREVVGIDVAEDAARRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQQPQRQHGEVVIPEAKDSNSNSNSNSSSGSSSSNLQAVQKRRLLMGVEEAALLLMTLSSPSSSTLLHG >OB01G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10352367:10353668:-1 gene:OB01G24100 transcript:OB01G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANGTLILTIILYTFFRDALVLQRYDGIVIPFMTTSVIMTEYATLNLISGREYTKTFIIPAIMNVFIFALSVKLWVKRRLHLQGDHTSTVNKLGGAVKVAEMVATVKVTYQLKVLEYLLNKMLAETDDSFVISHFLVFLTSAIGALAVMMVRLPVETSPGLAQVRQVLHRTFLVVLFITVHTKAAEWVGEDMVLVCMPVLIAALVWFVAHFGNGEYTVSVDKATSLNKSATIVLSGPVAVVAYLASVDVYERKILVTWCTRLLRCCAVSSILSYFCVWMIHLWPRCMASAQVPIQLLYFCGKICFEIPVVLGLSLLYTGFTFGMLGHTSSVAPAMEHIGRCIDDEDFSRLILYSVVSYTFLWVFPDLVRDAVTKALYWRTSLWVSRDSARNTLRKALYSLHRW >OB01G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10362074:10363240:1 gene:OB01G24110 transcript:OB01G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFTMHQDGLKNRVRAFTEGITIMVCPVLLAVAFHKVDLNMEGNAIVRGGISPMAAVTLEAGIFPFLCLCLSKTLINLSPTLSICLFGASKLLIHLCALLLMSLAYVILLLISMKNFLYLFFVIPFVALTIWLCYWSLRNNRPIDATVNERWDGKLENSVDFSAAVTTILFLGLEGLALEGQRNSSQGLDRLLALSLVVSFATCVVGVFVMLVATVLPLIGYSGNRANMCNVVEMLNIALAIAITLTVFLITGAALEELAWLVFVPPLASFMVWMFIIVDDDDQRAAEEEVKPASLELTKVTFTAFLAIAIPNFSNSSLNNYTHAFIVLTAAAVISGLGWRLLTHSATPPRYVAVAANVAAFCAHLCVAAAVIPLAAMAVNVLKQAV >OB01G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10367497:10368902:-1 gene:OB01G24120 transcript:OB01G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPGGVEGHRDGQRRCLPLRQRNFTASLTRKQQLDHQVAVTDTAVACVDPEKKPIPDGTVDDDVESCQSIDDIAVDEEESECKDIDDEDESMMDIDSADSGNPLAATEYVEEMYKFYRENEETSCVQPDYMSSQEDINEKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVSRVGGQLVRPS >OB01G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10369505:10372421:-1 gene:OB01G24130 transcript:OB01G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05625) TAIR;Acc:AT3G05625] MASTSPSLRSAVSFPFPTAAGARAHAGSAQPVVSPKLLSSPFRAAPTNAVPRRLLLPASAGVWDFRPGGAGGAAAASLAVRRGMQLFRQGDVAGSLAEFDRAIELDERQKQYLWQRGLSLYYLDRFKEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVEEARKRFLEVGLDSRPVMREAYALFKDGGDPEKLSSNFSSSSDGEIFYSSLYTGLYYESQKDAESAKPHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >OB01G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10374873:10375685:1 gene:OB01G24140 transcript:OB01G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLPQAFTTAMATATATARPCGWRSRPRASSPPHRLVVPSVVCASSSRSALSLHGGGTLAPRHVVPAQEFLLSCCGSWRRDAGTLEEDRPWQRPAGVQWSSGATAPPGARSAALLPMAWAMEAVLGLLGTAQVSLPLLPPEDGAEAHFPFLLLLLLCANPSLQFLRPKPCHFCFAPPRLVPWLAPKQPALPFPPTSRRRLPPCPAGPGSRPPKDTSKSLLAWWMLIWSTITGANKRVFVLCEVKKHPPAEGSEGELVEKHLKNGCG >OB01G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10389420:10391819:1 gene:OB01G24150 transcript:OB01G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSLAKMEETGVVMRAPSGAMISVATVHVVGMVTMETVGMAMTVATIVAITNGIGVMMRSPLACVELKDFEKLDKMYDIDWKKMHDQICKYKKTYVYALLYGNWKGLDHWTHAYLKNKKISDLRQSFPADYKPQFCMEGKNTYGHTVRFEFVDVNRIDSFLNKYRSASGNARVVPDEPSN >OB01G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10391788:10394602:-1 gene:OB01G24160 transcript:OB01G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEISSDNILLITLDRLTEEKKVLVQQHLYNYQKLCLESFNMTRGGPSRKLNCQGHPWKQDNQPIPLFLSDSVINRVSIGDLPKSGINSMPLDIEFTRQFGKVCGRHASVFKSEIVVRVLQQTPFKVKKWKLIETTFPRTLSSIWNFLKGIKGKQPKTKTILPLHSFTKAL >OB01G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10397407:10402794:1 gene:OB01G24170 transcript:OB01G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQSGRIVDFSKRSQYVSEDKQLLQYFKKSASGIIFPMLVGFLSISFCRRTMNATIVFSVFAFLSLLLNTILLLYAGKMREKEDREQEEANATTNGDEESVKSSDKRPTKWLCIAASVSNFFLMITAICLMTVLNVAYLYLAFVLICPIGILYGCCIVHSAINKVEWGVVQYEDYQEDLKHYFDLSSEITQAAFLGLPATLFSQLRSTNCKQSSHVRLPEVLTMYTVLFGLFIMLMCSVPLASGVKATRERFVSVFIRYSTYGLVLSLAVVAVLAMAAIGIIPAPLEAVAFVLVLACLIGAISRKVYCRTQPSTKDRKRRVDMVSERSHSMVWFGFCPAMFGVLMASYSRSRSKSIVNSGDADGITGLYKTCIFFMVAALISNLTRMVLVDEEDHDEGEPPPPPPRHCRSSPGW >OB01G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10418767:10419591:-1 gene:OB01G24180 transcript:OB01G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPERRLKPPRSPKADGLWTCQEIWRIRALMGKKELFLRCNSALPGSTYLRARLSGTSRPSKLRPPSYLKEKAGTIKILGSVSTQVAQHLPGVWHSSLRRPVTVSSLALMLTRQHSFPGTALIGLYSDPDCTVLSDSLLGLLFFPDDGPGSTALGGDDYNSCSIIIVLVFMMSNNGDSSARGPADGNNDNNNNKSDDNGDRGDGNNNNVPSSQRTDTFSV >OB01G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10429054:10429320:-1 gene:OB01G24190 transcript:OB01G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDSLVDLLLCPDDGPNSTTLGSVGYNYSSFLIVIVLIFMISNNGNSRVRGPGDSNNNDNNSSNDNGDRGDANNNSVPSSLRIDTF >OB01G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10433243:10435390:1 gene:OB01G24200 transcript:OB01G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEDYTCDTSVLELSSKEIRARILRTNAVLVISLVIVAILVGAGSFSRRYRRHGSIRLLFHGAYTLFVPLISYVVSDVHKENCKLPDGIECSDDSTWYLLVWACLVQIVGTNYCTAIPPHDDEPRNIGPTLQLLLGATWTLFLIVEEFRLYSYTKHIYYVLIVIPCGLSLAKILAKQYAHKNSKSSFELGGRNPRLILGYMEQLILQQGDEHVVPLILTGEDKQKVEEGPRGYRFTGDREYSSALVSVDKVANLASNDHSWRQYEDLCLSFSLFKLLRQRFTRCPVFKEDYGSVPNFMNKLQRTEDAQGIVSMIVDELSFACDFYYSYLPISYSSWWWLPILNVVLSFLVITYCIVSEMYLVKLAFESPDAIQISCDCGGIEEPEFGYLLVINVLTTFLAIAVILSEAWVIISYTCSNWTKVNLICYYITKTSRQGSLFMKKLILFMLQFRCKALNDSYKMGQTSIMETNMKIVKAVRRLLQLSDQKMEYVEIKPEVSRAILDKFRASNWSLPTVTATLQQSPIGNDILPFYRGEGTSDVILVWHVATCIFEIKHPLDRRTSAHAITASQLSRYCAYLLSSAPELLPDDKAWSKKLYKSVKKITEPIFSRSNMSPLQYDRILSLLDGKCSSNTELKYGVELGKLLVQKTQGAEQEGWEVLAGFWSAMVLYIAPSDNVGAHREAIARGGELITILWAMLTHAGIITRPRTSNDV >OB01G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10440981:10443167:1 gene:OB01G24210 transcript:OB01G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEESCVAEIDWDALSLFTEQTSHRLVLRNVVLFLSLVMAAALVGAGSFSRRYWRHGSVRLLFLGAYTIFLPLVSYVVSSGVDKENCKLPNDIDKCSDDGTVHLLVWASMVQMVGANYLTAISVHDDEPRSIGPTLHLLLGAIWTLFLVVQEFRMYTYSNRGYYWLILVPCALSLVKILAKLYAYVKSRRSFEVGVRSCRLIAGYMEQFSLPRDTDEYEIPLIFMGEDKQKTEESPRGYRFTDDGVDITTLVTTNTVANMYDDNVMNMKSGPPFRDLCLSFSLFKLLRQRFTRYAVVQADHRSVPNFMIKLRHGDPRGIGSPLMKRLIHCMLRFRCKSLHDSYKMGQASIMDTNTKIVKAVRRLFQLPDQKFQYVEMKPEVNTAILNKFRESNCCSLPTVTATLQQSPIGNGILRHYERKGTSDVILVWHIATCIFEIKHPLDECTSAHAITASQLSRYCAYLLSSAPELLPDDKAWSKKLYKSVKKITEPIFRSRSNAMPVQYDDILKRLYEKGSDNTELKSGVELGKLLVDKTQGSEQEGWEVLAGFWSAMVLYLAPSDNVGGHREAIARGGELITILWVMLTHAGIITRPRTGNVV >OB01G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10446222:10448572:-1 gene:OB01G24220 transcript:OB01G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGPLDLTKRSQYVTVDKQLLLYFKKSSSGIIFPMLLGFLPIAFCRGTRNPTIAFSFFALLCLVLNTAPLLFAGKLRRHKCTNRSENPEGGDQEVPKESDRRHIRGLCVAAFVSNIVLMVTAACLTAVLNISYLYLAAPVVLLIGAPYVYHIEHSLRNSMVWGVLQYEELQDDLKYFFDVSSEVTQAAFLGLPAMLFSQLRSTNCKLSVQVRAPEVLTMYTVLFGLFTMLVCLVPMGADFIKARERFVKVFIRCSSYVLLALIAVVATLAAMEILQGYVVLAFVFMLGACIWGLFWKECRTPRPSEKPSSSPSGGSETGSGRDAVVMRSRSLVWFGFCPAIFGVLMASYSRSVSDGGADISKLYKTCVFFMYVALISNLGRMLLVHEVHEDDGAQDKPSILLVSGVVNVCLMAVTVFLVVLMALLQPQQIQNTFVLA >OB01G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10478370:10479709:-1 gene:OB01G24230 transcript:OB01G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGVGERRAPPQPLTVATTLWKVRESAWFVVDSVGCRPPCWIGLLRLLEIFYCNGMAPTELPIAWCFCPPRRSQPTNDSSHRPPRQ >OB01G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10479099:10479890:1 gene:OB01G24240 transcript:OB01G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAIGAGWGEHSVEHSVCARRLIALHCAPLACFHFGATTCVGVGVTEDDGKVVTSPAGSRHVQLYSSASALVCCCLRETARRRADGEVRCRMGTVDARRGEEWGSGEAERLAAVAAGRRGAYRRWWFLVLFMHSRSLVQHDLNRANPGLITSSKRSNPIQHGGLHPTESTTNQADSRTFQRVVATVSGCGGALLSPTPRSAIVRCYDIIACKLTTARLFSAMESVLTQLPTVRSHLLVDARCPRPARTERRREKRERKIKN >OB01G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10480854:10485284:1 gene:OB01G24250 transcript:OB01G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHGPGQGTPPFKNNPSYSLRERKQANLVLARGEPEKMRPRWSLFSKNGVKGEKRIYFFVRQVQKCSSAHTGNPVEERVQGSLEEWNCALVSLKYFESELKEMFGTNWTRIHHSIYRYEKKNVYALLYSDVTVRHAAEKSIKIHLAKEKISNLRVIDDPNGQILITITGVKPSGRSVRCVFVDASLADEFIHSLSGSLRSSSSNVRVGAWSTI >OB01G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10535986:10536261:-1 gene:OB01G24260 transcript:OB01G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKCQQRKLQVLCVAWPNQLWISVQFLLHSQCTILSTTSYSLINRNGAIYQPRASFEAESPAAVALLATNSTARVSIAGLAAEEPTQHRV >OB01G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10537896:10551575:-1 gene:OB01G24270 transcript:OB01G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKFLSTAPVVPEEGNTEQKSGSNNQAAASSEETWKTPTDLFPEITQVAPCQDDPDYVPVEQAPRGPGERKNSKRKAARTRREEEVDQMDAATSASGTSVSGAEGAKRRRGARRKNKLPNDIYNVSALDQNGKPDEPPIVRSRFSNTCGTLVRTRCPINVKSWKMVDDNLMMLLWNDLQKYFVYPPGEEEHFYSSAAENPTAFPRLFPEGQRTAQSPQQTTHVPSSVGSVETTSFSVDGITGPTPCSLVIPFDRAGKTKEVATGLAIPRRQFHNTQILDDYARVQVAKVHSDHISMKLDIPAPEGIELIDDAEMRAIYEWYIKASRKGLGFISAAVPEGAFMGGPNGMFFISFQDLYALYKLDKMDMNLIVAFCLKKLELAHYLTLAMLAHADKDVLMVPYALKAHKYYRKIGGPIQDPSKKRLSVRTGWPCHKQPPGTNLCGYYVCKMLRVNGRYRTTRDRVFHPSKSCSFSKLSPKFLVGISKVFHPSKSCSFSKLSPKFLVGISKSKCQSLYQKKVVPKVEVRFSPPSRMPVIENDEAVIPSKLQTMTNGQGNNFKSVLLFNVPKAKTRRTSVLINMETKNSSRTSSYSPLLQHIIPGVGCFLNLGATEEYSDQTAVVQVIKLAVLLLLIGNTYLRSYVADDLPHKHFDQFRRPGEKPNYYPNIHVYIETAIMVVESYLSLLIINARFIVLVFFPLVALGFIITLRSALKSRGNGDGDAAAGSGSTGAVLTDDDDRKGETAWEEAEQLMPMSGGPYLVLAMTGWLSPDNFAVSQFLLFLSTILGTLMLMMIRLPADGGVAPGVRQGSELLRKTSLVVLLVAVHAMAAELFRENVMLFFMPELVPGLLWFSLNIDRGSPVVTVDTIKSNRSGLIFLGAGAAAAVGTAYLAASMDESGVSRCMIISVSCAVSGLLVLCVVFTLRQWPEKRTATATSGVSYLEEAVILLKFWAIFLLVVAAASLVSASVAAVRLGLHEKAGSALGIFLKEYYV >OB01G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10562578:10563669:1 gene:OB01G24280 transcript:OB01G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPVILAIALKKVDLKSEEHGTAAPITMLVAAAVALIFGTAPFLALCFSRRFFNGERRLPTNATNVLVPLSSVCLIGLASWIIHLILSERWAIVFPAFGVVLGLCVLIRTVVYCRAPVGPVDDDALDGRLEKSLEFLAGVTALLFLGLEGLALEAQVPQGGQHRLAAPLGASFVACVFGVLLVLLDMIPPLLRPITVSSLAVMFDVVMALAVTAVMWSIMHEILDEQRALLALLLPPFLIFMVWVYDATLGLRSGGVGEDEKPASLELAKVTFTGFLAVSVPVIRTGSLCSSTDWFLIFAASAIVSGFAWRLLTHYEMGKTANFASLCTHICVAIATVPFTAMAVYALQRKDTPDAKAPVPM >OB01G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10595197:10596361:-1 gene:OB01G24290 transcript:OB01G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKRIQAFTEGVVLMVCPVLLAVALKKADMKGKGGGSIVAGSITPLAALTLEAGLLPFLGQIIIVSSPPAAADGLRGLLLRASKLLLHLCALLLMALAYGIVLLIGLDKYVNCLAGLILAPLVPLTLRRGYLCTLDEDDGGARELESSVDFSATVTTLLFLGLEGLALEGQSSAACHGLERLFAASLGVTYLTCALGVFIMLRGTVPPAVTGGDSAAELLNIVLAAAIALVVVLVTAASLREQAWLVFVPLILSFVTWMYRALLCGDGGGPAVGRTDGAEKPDPASLELTKVTFTGFLAVAVPTFSNTPVGIPTRGFVVLSAAAVISSLGWRLLTHNTAPPPAVVTAANVASFFAHLCVAAAVVPFATLAVNAINSSEPGSCRH >OB01G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10598676:10600591:1 gene:OB01G24300 transcript:OB01G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLPKKRPTIQQPRAMRQSARTPTRSSSDHLLWLEGQAKTLHDYQQLLISAIGVNCMISQADPKLTNRSSEAAASTISMCLANFSILLFVTNMYLRMLRSTMSKFVGLEIYFTAAALLMADYALLLLINGRYIGVFIIPAILLGFIAVLCAKSLERFSRPCFQQQNRRSSRVSLLLLNADSTEKLLKLATLPCWLQLLSCRTLHPDNGHWQQDRAIVFSPFLLFFSSAVGALSLMVAAWPAAGVSPGSAQVLPVLQKTCVVLLLVTAHTMGAEWLGEDVVVACIPGLTAVLAWFTAHFDHDRPATAVSTDNFFLSFRSEAVAILSTAVGLLGYLIVSRSYGRDHVDSWRKRCLCMASSSSVLAYANLWMLQQWPERTLHLEELLKIFRIYFSATLVLALMLIGGWVRNLIATFIAIASVLVGFALSVNMNRKPEPRDNVGRTRRNPDRFVPKDQSSPPESFSNEARPSTGFFAPAFQSLGDYIDSIFGITN >OB01G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10599916:10600083:-1 gene:OB01G24310 transcript:OB01G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDLKDRKKLSVLTAVAGLSWSKCAVNQASTAVRPGMQATTTSSPSHSAPMV >OB01G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10607088:10608635:1 gene:OB01G24320 transcript:OB01G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALMNRSRVFAEAVVIMVCPVLLAVALHKVDLKSKEHGQLAVPILMLAVAAVTLASGVVPFLALAFSKSFSNHAWRLPAKAASCLAPFSCMCLVGLACWIMHLIVSQSWGYAFPATGGVFLLCIVVRSVRYCRARGDALPVAVGARDALWKAMEGKLDDSLEFLAGVTALLFLGLEALALEGQTNGGQSGRLAGSMGVCFFACVVGVCFMLVETIPPPPPGSEDTGCRASVVRNLTAICDIFMASAITAVMMTIMIMLVNFRALLLLSPLFLMLLVHAFGVRRGGDDGDGDDAAKPASLELSKVTFTGFLAVSIPAIKKPHSIDISTELFLMCAASGIVSGLAWRLLTHARMGRNANVASFCTHFCIAIATVPFTVMAGNAL >OB01G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10609854:10611062:1 gene:OB01G24330 transcript:OB01G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVYLYPVTLVPAVVGRFSTLAASPLLKFFDEYDLNFATVIPLFFFYLYVSKFFSDVQGQPLPLTKLYARALSGFAKGGSSSTSHDGLRIYMDTAVVVLMSYLSLLKINPSYIWLVVFPTVTLGFIAALFNEELRGAGEVRTATSCRRAAAAEEGDDDRGREDRWLKLRAMAVVPYWVLCAMGQFHGGDSFAVSQFLLFLGSTLGALALMAARLSRLTGAAPGLAPASELLRRSTLVVLLVAAPVVAAELLGEHTVMLVGLPELAPALLWFSLHLDGGSSAATISGIKPHRNVLSVLAAAAVVVVASLAATMDGRGLSVCAMAMVSCGVSGLLVYCVVLVLYQWPGQAETETAIASLTEVVQSLKLWGNTLLAVAVTLMVPASLTAIRLGKHERLPSLQ >OB01G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10635623:10635910:1 gene:OB01G24340 transcript:OB01G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSIVFPFQFDEFVAVRRVAKSEHDGTTIPIPPRPIETQAGTYIQKRGFHTRGTNPAMVETGSGQEETEPSDSPTLSRRWGRSAAPRRARRGGPR >OB01G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10655911:10660915:1 gene:OB01G24350 transcript:OB01G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFLESGPFSRSSSFGGFDEWLVLVRKRNGKPSSSSSSSLQQPRLGGMAAQSSISSEGLELGYPCINVMDSSLSYQLPSASLWDRLGKVTMMDIESSDFSWSSLSSLHHTKHSTTSTEPCEDDTSRSFEVTVNSGGVVLIALFRTSENDDLPSKEAAAVIKIAPSRMATQSERFGYELAKCLGVRTPQGRVIHNFSSEWQQIKDAVESTRDAAISVGDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTVPFDSHDSAAKTAEALGRVLMLDLVLRNEDRLRCRSLGWRGNYANLLVANREAYANLDSLDDAYDSAIIRYKPEIIRSPQKQKPRRAVSISGSMGSDASDLMMLDQSDTYDRTNPDQVSSFRIVAIDSGVPRRPPACKRARDQESYPKLVELTLNNWDYSSNLLFEVSFARLGVPGPEELDVVPPSDHIHGHRSAPLSEGDMAAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGLLKIFFNLMDRGLNESDREDAGPSDSPLYPVETQMESSDGEVPRHMHRPCRTLSRDNFDLSSPASRESFMMKNFKGNGDASRGLRLTMKLRDFNKCAKADSELSKEIEQWNEMLRTDVVKLCQDNNFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLFIGGALAARSTYTLQHLGITHVLCLCANEIGQAESQQPGVFDYRNFSINDDENADISDVFKDASDFIDYVEHLNGKVLVHCFEGKSRSATVVLAYLMLRKNFTLAKAWNMLKKVHRRAHPNDGFARVLLDLDRKLHGKVSMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMTLEIQKAMEAIKAGRAGGDSPTQNP >OB01G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10660924:10665725:-1 gene:OB01G24360 transcript:OB01G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1399) [Source:Projected from Arabidopsis thaliana (AT1G56230) TAIR;Acc:AT1G56230] MPLAAGAGGGEAPMLLPPPDVHLVWLCHCFHHESYAAYCASRFGRLINRPSILDADNEEYAADHCRDVWAERYPSEPFDLSDNGTEGNCSNDNAAGEIVKMVQRYAGLAARFASPFISEGVYHVAARRRYVRFLELIKKTVNTTQCCTRFVPSLDILLMWLAHQSFPVSYATDMAAMAIKDNVAKVVMGYGEVVNEDMIERTRVWWEDAYDEPYNTAGSEIDKTDADAAREAFYWEAAASEEDANRLYKGLQPRFIMEVYVFLKGEFNSEYTSKEFIRLRTQRCHRSLKLDKSASNLSCKNWQKTWHVYCEFATRGLTIEVRRSTSGCFRNSKLHKNISFSWSDMLHEKSLMVTEDLDVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRTRGYRPQEGRWLTRTVLDHSGKECFVIRMRIGRGIWRRGAETPMAVKPEERIIEVREGSWSYIENASSVGYAPEKVVGTATPEKDQQDSKVVWRFSTGDVLTVNLGDDLNFHVQNESSQEEARLLVGRRLNYRVNKESTSNDCNDEEQYLTLVRISTDLPGGRATMLLNWKLLAVEFLPEEDAVFVLLLCMAMAQTMTEIRREDVAGLLVRRRISEPRVGQRDWGSVMLPNSPSLDPHLQPWYRNAAHVLSSAETASNSVMLMKYSPADGKDELYRKALIP >OB01G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10688642:10689893:-1 gene:OB01G24370 transcript:OB01G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPYHLGLVLSITCLLQVLLAAANPPPPPPPCEKSDKELRFMFSQWMSTYTKHYSCPQEQEKRYQVWKGNTNFIGAFRSQTELSSAVGAFAPQTVTESAVGMNRFGDLTTGEFVQQFTGFNATGAPEFQFYKGGVFRGPCNAGRVNHAVTVVGYCENIGGDKYWIAKNSWGSDWGDQGYVYLAKDVLSPQGTCGLATSPFYPTV >OB01G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10693623:10694689:1 gene:OB01G24380 transcript:OB01G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSRPMAAVLLVVFMALQGTASAYNNGSDDGVTMHMFEEWMARFGKAYTCHGEKEHRFAVFRDNVHFIRSYKPQASYDTADGATGKKYWVAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTV >OB01G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10697978:10698262:-1 gene:OB01G24390 transcript:OB01G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAPGHDHHHHHLLFQATVNILFKLLQLFSLLLLRLPSTNTPPRHRCREEQLQATSLQVVLFKTANEWQMCFAHFPLLPQIFFFGLHLQLVFLA >OB01G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10698626:10702287:-1 gene:OB01G24400 transcript:OB01G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYGVASCISGFANLVNIDHACLYSHEFAAFFALIKVEAVEHIPHHLAFHKADETSVFADIFINEVAASSRQDKDAAPFRRRPPPPAQAVAPGAQDAQPRRRLAITPAAAGRAIPSLSASPCHHLAIGLAIIAAVVASPSSSSQQNCSPPDLYRSWPSGRERLRSTASCAVRAPRRHRTGLGRLPLHGYDDRGAVIDVVAWHDGDVWRVVVDTQGLESNQNCGKLADFVPLTNYRLEWKFGIF >OB01G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10706293:10711870:1 gene:OB01G24410 transcript:OB01G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYAEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDVITDTLEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGEEAHQLGLVDSLVSADDLVNTARRWALDICELKKPWIKSLYKTDRLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFSDTCKNLVHVFFSQRATSKVPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVLLKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAISLVTGTLDYERFKGVDLVIEAVIENVKLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTNSKDRIVGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGIQYLENFPERVYKSMLIPLMMEDKRAGEASRKGFYKYEDKRKAIPDPEIMKYIEKSRSMAGVKPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEKWAKQYGNFFKPCSYLAERAAKGVALSAPANQMKSRL >OB01G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10712696:10714267:1 gene:OB01G24420 transcript:OB01G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRARGTSKGKQRIEIRYIEDSGKRQVSFSKRRAGLFKKASELCLLCGASVAVLAFSGAGNVFAFGGPSADAVVRHYYYYASSLRGVSGGALPSSSATAAPPRRGCAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAVAPPPGRHARPRLRGAGGAMASGGADQGGGGGGGGTGSRYRGQGHEGRGREAVLVGRRRGGARRGGAATFRHGARKAQAQRPPLRQQAAALSHAITIDIAAAAAAVFSSVDTMLYYDAPSNALIMVDGCNLLHFV >OB01G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10715074:10719778:-1 gene:OB01G24430 transcript:OB01G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3KZN7] MMMTRASMGAMDGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPMAALIDDKILCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLLWSDPSPDAEGWGESDRGVSCTFGADKLLEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDTGGPHSRKPIPNKTPRAGNA >OB01G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10729469:10730621:-1 gene:OB01G24440 transcript:OB01G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWLVSPWMAKVLLCLEEAGVDYQVVPLSLSDGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYILGKHKPELLGLGEGGGVEESAMVDMWLEVEPHQYEAAMKPIVWHCIVHQHVGLDRDQAVVDECVRKLKRVLEVYEARLSSAAGSGSPGPSRYIAGGGRVSLADLSHLPLMHYFAATEYAGVLDAYPRVRAWWEALRARPSVKKVMAAMPTDFGFGSGSSP >OB01G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10736727:10738946:-1 gene:OB01G24450 transcript:OB01G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVPSVQAMVAANGAAHVPPRYLRPTHDEVVVAAAGESEIPVIDFRRLQLGDGEALSRLHIACQDWGFFQLINHSVAEDVMETMKSSLQGFFQLPAETKKQFAQEPGQLEGYGQLFVVSEDQKLDWADSLYVHSQPSQDRKLRFWPDQPAGFRMALDRYCAAVRDVADGLLAAMASNLGLRRDVITGRCGGAGGGMQMVRMQCYPPCAQADKVVGISPHSDADLVTILLQVNGVDGLHIMRNNGAWLPVSPLEGAFVVNVGDVLQVFTNGRYRSVEHRVVVDGEKERLSMATFHCPSRNAVVGPLSETVAQEVDAAYGSRDYDELLKLFFAKKLDGKSFLDPIKKNKTSG >OB01G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10746764:10747054:-1 gene:OB01G24460 transcript:OB01G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVARQPVTAYIDASGPAFQFYGSGVFPGPCGAASNHAVTLVGYCQDGATGKKYWVAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTV >OB01G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10751374:10752625:1 gene:OB01G24470 transcript:OB01G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPYHLGLVLSITCLLQVLLAAANPPPPPPCEKSDKELRFMFSQWMSTYAKHYSCPQEQEKRYQVWKGNTNFIGAFRSQTELSSGVGAFAPQTVTESAVGMNRFGDLTTGEFVQQFTGFNATGFVPPRPTPISPHSWQPCCVDWRSSGAVTGVKFQGNTCASCWAFAAAAAIEGLNKIKTGELVSVSEQVMVDCDTGSFGCSGGHSDTALSLVASRGGVASEEAYPYTGVSGSCDVGKLLSGHSASVSGFRAVPPNDERQGGGAAAGDCVHRRERAGVPVLQGRRVPGPLQRREGEPRRHRGRLLREHRRRQVLDRQELVEQRLGRPGLRLPRQGRVVAAGHVRPRHLAILPDGVTYAATP >OB01G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10752014:10752596:-1 gene:OB01G24480 transcript:OB01G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEWRGGEAARALRRQHVLGEVDVALVAPVVAPRVLGDPVLVAADVLAVADHGDGVVHPPGVAGAPEHAALVELELRRAHVDVHSHRLPRPPLPLVVGRHGAEPGHGRRVAGQQLAHVAAAAHAGVGVRLLRRDAAAGGHQAERRVGVAAAAAEAPGVAVHHHLLRHRHQLAGLDLVEALDGRRRRERPARRCH >OB01G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10755670:10756634:-1 gene:OB01G24490 transcript:OB01G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRYCAAVRDVADGLLAAMASNLGLRRDVITGRCGGAGGGMQMVRMQCYPPCAQADKVVGISPHSDADLVTILLQVNGVDGLHIMRNNGAWLPVSPLEGAFVVNVGDVLQTNDQTLQSKSQTSYIMKRRVSKSFGSAPVFILVSAGMRAMQGKNRRVFHKGESTIEE >OB01G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10757711:10759892:-1 gene:OB01G24500 transcript:OB01G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPVPSVQAMVAATGGTDVPPRYLRLGDEAVATDGEAEIPVIDFQRLKLGHGEEMTLLHRACQDWGFFQLINHSVPEDLLEGMKSNVKGFFELPAETKKQFAQERGQLDGYGQLFVVSDDQQLDWADILFLNTQPVQERNIRFWPDQPPDFRSTLDKYSAAVKSIVDFLLVAMSNNLGVDPEVIADKCGTDGIQAVRMNYYPPCVQADKVIGFSPHSDSDLLTLVLQVNEVDGLQIKRNGTWFPVRPLDGAFIVNVGDILQIFTNGRYKSVEHRAVVNTKKERLSVVAFHSPSIHAIIGPLREMVAHEDDAVYKNIDHDEFMKLFFSAKLDGKSFLDRMKRL >OB01G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10762180:10763619:-1 gene:OB01G24510 transcript:OB01G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSRGQSSIPSSIYFDRLQQALLDYDCVLYEMVTNRENLKNRKDPTFANKLRSSLRGFNILGFIQKQVVNILSLDYQLDCLDYGNEKWQHAELHFETFKQLQTCKHKQKRNDEGQQNWWA >OB01G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10768665:10770509:-1 gene:OB01G24520 transcript:OB01G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec15B [Source:Projected from Arabidopsis thaliana (AT4G02350) TAIR;Acc:AT4G02350] MLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDIDDFAAAAATADVADGAAAASFDLTPLYRAMHVHHTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFSTGGGLTSRADVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALVSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLCSKVDEFMGQSDGVNWMTDDPPPGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVGLFLNDSVKRFNANAVTGIDTDLKMFEAFGESMSSLFVDSGQDSAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >OB01G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10777331:10784943:-1 gene:OB01G24530 transcript:OB01G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(ADP-ribose) polymerase [Source:Projected from Arabidopsis thaliana (AT4G02390) TAIR;Acc:AT4G02390] MSARLRVEELRAELQRRGLDASGNKPVLVRRLDAAIRKEEKAAAKEADAGGVVVDGEGNGEDRRKRKRSGDGEDEDADESDAAKLEGMGYRELQALAKSRGLAANGSKKEVMERLLSTPSNAGAVTDGGIQDKKKIAQGGDDKVEECKKEKIITATRKGVAVLDQHIPDHIKMTYHVLQVGDDIYDATMNQTNVGDNNNKFYIIQALESDAGGSFMVYNRWGRVGARGQDKLHGPFPSREQAIYEFEGKFHDKTNNHWSDRKSFKCYAKKYTWLEMDYGEANRETNKKVSPTTDQIKETKLETRIASFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSTDQDDPLYARYKQLCCDFTPLEVDSEEYSMIKTYLSNTHGKTHTGYTVDIVQIFKVSRHGEMERFQKFSSAGNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEACRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQTAPNIAASKITDDGVVVPLGKPKAEPLKRGSLLYNEYIVYNVDQIRMRYVLRVSFNFKKR >OB01G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10790594:10793273:-1 gene:OB01G24540 transcript:OB01G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPNCRQIERGNWLYANGSRPSQDASNDDWGHDEDFYDASQPETSRSVFLPFRFQWCPIGRLAQLPSVFDEGESAPPVTFHDFMGQNFSSEHLPVSAPGATPPGPYIAYFQPLQSSASSSSSHVTDRSMDGTTYHDHWNPLAGPSDGRPLATVHPIDFHHNHWTHLPNSYSQPNSNNGVAEQMAIPVVPMRVGGLDGDSQQRGSIPSVYGNGSGSRSRIPSVPPMAPQFMRPHGSINEQYQQSPSSLYAAPQRPAGARTTAVQTLQDSMNFTLFPPAPSPNLMETEEGGGNQFYAWERDRFAPYPLMPVDSEANWWGTPPQSHGATDPSAAAPQRRLFGQWIGAGRSPPPPPPPPPPQPAENRSPDNSSYRQMHIPRM >OB01G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10802826:10803633:-1 gene:OB01G24550 transcript:OB01G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVKWQVPPELKPRKRVAMRRNLLLSVIMLLQQQHLKDHQGKRLQVRRHASRKKTNLANC >OB01G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10805244:10809124:-1 gene:OB01G24560 transcript:OB01G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNVETSGYLVAGWYRTAQTYVAADAEPVGLVVDHLVAAPPGEPLRDRVDVDGEAADSGGCHDPMVPNIEQAPMGTMTSDIEGQGVGVEAMARGEHAINKIANQSNLSINSLNPTDRTYLYKQIILNTHRSDLTETVCNPARYNHRNMKIDELNKPIDRLLRTMLARTPAISPHEPPIQSDDMNLANQIDRTEPKQNQVNQNYINRPNRRTHEDLIKK >OB01G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10808891:10809091:1 gene:OB01G24570 transcript:OB01G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSDAGSCTSLTVAATGVRRFTVDVYSVTKWLAWRSGDKVVYYQSDGFSVGGHVRLGRPVPPGH >OB01G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10809123:10809395:1 gene:OB01G24580 transcript:OB01G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGAYRRRERRGGVRVRAARLLRRGGGGEVAGSTYGVLERGKSLDASLPIRFSSEGADNLIVNDCLNRQVHRVSAQESTVCLMDTVS >OB01G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10816060:10816539:1 gene:OB01G24590 transcript:OB01G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSDAGSCTSLTVAATGLRRFTVDSYSLTKRIALPDDGTVYYQSGEFSVGGHVWAVRYYPAVRNLSCCMFEPVMVTTAPGCASAAATFVCAPLDCSGEEVKGTSQSMSAVFVRGKSPDASLPICFSSEDLERGDKLIVDDCLTVKCTVSVLKDPQSV >OB01G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10818474:10820763:1 gene:OB01G24600 transcript:OB01G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRRATAKRFCQKRQAGRPVAVLPRGEGEEREGDGKDGAVERLRAELTADHLADVPPGQLDDDERTKARAWASDARELGYDVADAVDTFAATGGGLLTRLQERAANLSRSRPAAVVGPRRPAAVVGRGPPPPYRNATEIVGLDAPRDDLIKKLLCDDDDEASKHRLKAVSIVGTAGLGKTTLTRMVYDTLKPRFGHGAFVSVSVNPAMDMTFRRMLRQLDDDKNTSVHGDGEDPSDETQLIDQLREFLRDKRYGAREILLPAIAMY >OB01G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10825141:10827478:1 gene:OB01G24610 transcript:OB01G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLGIDYSEDGIREEYCCRVHFSVMDLISSLPSEENFATILNDKQKPCSSDKSVDTEDKATTSLSKVRSISVSSPDVGSIHLAEFKVFRVLDLEGCDLSQNHRLLSNQLGGLLHLRYTGLRDTRITEVPEDVGDLQFLQTLDLAETRVEALPAVVFRLGNLACLRVEHRMRVPRGIGSLVSLQELSDVSTRDSPDVVRELGDLMRLRVLRITLWRPTRSAEEAMVESLWRLRRLRELHVYVASGGAGGDETTLDLLKDGGPPAPPRALRSFSAGGTYVSSAPLRWPPSWIDAAPAVASAGRGQAAKAQPVNSGRWRGRRPHPHVHAHWHMHRGSGTAHGMSSSRRRPSGKGQDFTDEYITKFSFPVATL >OB01G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10828506:10830479:1 gene:OB01G24620 transcript:OB01G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 18 [Source:Projected from Arabidopsis thaliana (AT5G19020) TAIR;Acc:AT5G19020] MATLAGAPLAVFLVASLKSAAARARPRHGEQLHALAAKSGLLASNLFVRNSLLAFYSRAAPSLAHHLFDEVPRGLRDTTADNILLSALARAGRLDRARCLLEEMPRRDAVSFTTVIAGFSRAGHPERALGVFRDMLAEAVLPNEVTLAEVLTALACDGAPAPVGAAHGIAVRHGLDEFVIVATNLVHAYGAVAQVRSARYIFDLMPDRNTVTWNAMLNCYVKAGMINMAAEVFGEIPERDDVSWLTMIDGYIRADYLLQALKTYAVMLGMVDIKGNEVILVGLVKACCRYSAVLEGQQLHTVILKNGFDAHAFVQATLIHFYGSCDFLDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTVSWSTLISGYVQSGNSNMALQMFCWMLDTGIEPNEITLASALSAVANSGTLEQGKWIHDYINSRSIQLTDNLSAGLINVYAKCGSVADAVQLFNHVKHKSFSVSPWNAIICNLAIHGYANMSLELFSQLRNTNIKPNSITYLGVLNACCHAGMVAEGKHQLESMRKEYGIQPEIKHYGCMIDLLCRAGYLEEAEQLIEVMPMKADVVVWGCILAAARAQGNVALGEKAADELARLDPSHGASKIALSNLYADAGRWSNVSVVRKKLQNGNMERLTGSSGILQL >OB01G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10832779:10833030:1 gene:OB01G24630 transcript:OB01G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIKSYPKLFLGQFSLFRLHVLSSEAQQKKELSVVACSIKLFVWIGKISSAAKAVLSELEVCKSCCYAETIEQDYSCNLKFR >OB01G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10832950:10837578:-1 gene:OB01G24640 transcript:OB01G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mevalonate kinase [Source:Projected from Arabidopsis thaliana (AT5G27450) TAIR;Acc:AT5G27450] MASLHCSAAAAFSSPPARLRCPPPRGLVGMTLTAAPSGNSHHPAWPTASDDEQEEQDALQQLQREQEGDHQWRLAPPVPQAKSSSPAGAAAVELDLRDPGLAFSWPCARLHEALLTEAAAGAQDPRSCSPDRLAAITRLLEEQEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTMSSDLPMGSGLGSSAAFCVSMSGALLVAAGAVAGAVGDGKGTEWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGELTSLKSSNPIKMLITDTRVGRNTKALVAGVSERTSRHPDAMASVFHAVNSISEELSSIVELAANDEIAITSKEKKLAELMEMNQAFAYLKFR >OB01G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10846589:10852603:-1 gene:OB01G24650 transcript:OB01G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEQPFRLRAGVVAEPRNEIYQQPTIQFCSTSQHSSLLLEIMFVDGLVKIGMFGANNGTRRDIVVAPMHLKAVTIRSINTIDRLTFYYNDEKGNQLMGGEWGGNLGFDHTFYLDRDEYLKQVSGTFGPFDIQKQPCTVNSLTFISNKGKRYGPFGTRGAKDTAFDIPVEKGSIVGFYARADGFVSAIGFYVRP >OB01G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10855828:10857232:-1 gene:OB01G24660 transcript:OB01G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSKSEEKIEIALDLSDIDETLTELRPTEPEDPVSEECERDEDFNKRVKNNEAIRMKYDVAYVKWESSNLKCLMVVKSSIVEAIRKAILACTTAVEYP >OB01G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10857846:10858797:-1 gene:OB01G24670 transcript:OB01G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTALQCLKSLLQDGLVKIGMFGATSGTMRDIDVAPKHLKAVTIRSIDTIDRLTFHFDDEKGNQIMGGEWGGNLGNDHTFYLDRDEYLKQVSGTFGPFELQKLPCTVNSLTFISNKGKRYGPFGTRGAKDTSFDIPVEKGSIVGFYARADGFVSAIGFYIRP >OB01G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10898962:10900960:1 gene:OB01G24680 transcript:OB01G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEVALALCSRCMLCGSGSCPPHGGATFAVLLHGRGRNRVDLHRQEQQPKERCIDR >OB01G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10963132:10963365:-1 gene:OB01G24690 transcript:OB01G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATLEHILTHISFSTISIVITIHLITLLVRELGGLRDSSEKGMIATFFSITGFLVSRWASSGHFPLSNLYESLIFL >OB01G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10966765:10967229:1 gene:OB01G24700 transcript:OB01G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTTGAVQTMSVTAAATGVYDFRFDGYCLTEEFAGATDFYQSEAFSVGGHCWAIRYYPNRQFSWVSLYLVLLSEPADDASVLVHVHATLVDMSGHPTSPRHQDSTSQAFDSGAGEEAELPMEFWKKAVANDNDFFVVRCTVSVLKEPVSFE >OB01G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10971089:10975744:-1 gene:OB01G24710 transcript:OB01G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAAPASASASVSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPLRPPVFDRPVFDDDDHDAADPFDAIPLFGDVGSGGGGGEGEDFLDSLGKGARADVSEPEVVGFDDDLIPGLGSTKSKTTVGEVEQEPEAAGLVDDFIPEWFAGSTVPTKPAPQVKPKAMGFEDDVVPEFGESTSHHDSPWEEPRTRQEKESISSIKTSVHMPDNPFVTLGLFTDHVDNMGMPTKLESTNLEPPSTASEMSDNSDIFSGVTEPMPSFSFASDKESIFGENRSLDGIHSMSHSIKIPQEKPVQQASAKKVGSIMPEMHIHEPPGTIGFNTLNPLPQNLRDELPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPLRPPPPLATKQTPTESNTNSAYPYTHNEGYNPFIVSTNTSKTSKIDELEEFVKVKPSNFTSGCTQDLNHRIVGIGQDSSTSAAGFKDWAELKHSKGVNQGNFDSMFTSSQCQEKEIDDKTEFYAYEMENKDGEERLEHEKKQREREEENEREREMMRREQEEQKRREKEKEARHAVEKAIREARERAAVEARMRAEREARQRAERAAVQKAAAEARERAAAEARERAAKVAAEAKQRVAAEEARERAAQAAAEARERAAAEARERIAKASAEARERAAAEAREKAATEARAKAERDAVQKAAAEARRRAERAAVERVAAEARQRAANEARKRAEAEARARENQQKTAQPDLDSFFGMPSRSSSAPRSQTATTNPFDVHPQGFADFGAVRTSSASASPFTQPSSTNLMDDLSSVFGAPSSSNVFQEVDGESEERRQARLERHQRTMERAAKALAEKNERDLQVQREQEERHRISETFDFEIKRWAAGKEGNLRALLSTLQYVGFLFLILVSWYVFVWFF >OB01G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10981082:10992682:1 gene:OB01G24720 transcript:OB01G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) TAIR;Acc:AT3G59770] MASRSYSSGSYTSDLSPPANPRGRPRDTSVVVVVLETSEVYIVISLSTRKDTQVIYVDPTTGYLHYLGRHGEDLFDSEAAALNYITDGSRILCKSTTYSKAVLGYAVLGSYALLLVATQLSATVPNLPAGGCIYTVAESQWIKIQLQNPQAQGNGELKNIKELAELDIDGKYYFCETRDITRPFPSRMTLQEPDEEFVWNGWLAKPFMDIGLPGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQRIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSSEVNATSQKKPGMVPIVLVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYNVKQQLKGCKGSVIFNDDISGGFCMESIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPVSPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSIDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTNLPLLYDFEELEGELNFLNRVVALSFHPSARASTPITLGEIEVLGISLPWVDMLTDSRHGPKYVELLHKKLSSIPGNVGSKEFGSSSNSNLLRNGALGSESAFSTRGSSLIPQGSSGHFLDFLTGDFDVLNQSAETDTFVNVEQTNSSDDEFDVNPFAVASEMPSAKVNSQVEEFGSAHLYLKFFESLSGNTKGKDLNFEQMMKLEIKRLCLDLSAAERDHALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGHTVHEDHVNASIGLEKINDHAIDFWNICENDESCTGGVCEVRALSSSQASANSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKVCCSEVIKHALYVDYVRVLHSLRREGRTEQAALKAVNQVCRFEFSRISDFTQSVQYGQRQLKQLLNGEESLAEFPHASFLQTVETADDSEPLLSLLAPFGIGEYKSYWKAPLDNTSVEFSIVLGGLSDVSGVAIIVGSCGYSTSDCPMVEIWAGNKIHREDRTFIGKWDAHDIISSSPHLCGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHISSHSTKFSEEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKEINSDASAGIMRMKNYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPAIAGFRIDFFNVVRPRVTHSPSSLELDMKEFSLIRMEDRIVNPAVLYLQVTIVKESGKLVVEEYRLPEVKVNTPLYYDFQDLQQDVRCVLFRLLGDVTSFVDDIAEIDGSNMRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAV >OB01G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:10996651:10998185:-1 gene:OB01G24730 transcript:OB01G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVSAILGELASRTISFLIDKYSERVTTTTEEERLDNLQRLLLRVRIIVEDAEERHVTNQAMLHQLNILRKEMYRGYYTLDTFRFRAHDVNREKDHGVSYSFVLSKFSHAKRVCFYSDGDERVKELEKVVVSLETIIGDANEFIKFSSTYPRLSRQPYSMYLLLDKCMFGRQMEMEFVINFLLQEEIYGAGHLGVLPIIGPGKVGKSTLVEHACIDERVRNHFSQIVFFSKDGLTLTDGNIVTLNDGGLTKHQNHALCGSERRLVVVELDGEIDQGLWERFYSASKSFFAYGSKIIVTSRSDKIASFGTTQPLKLQFLTHEAFWYLFRVRAFGSSDPAENPKLASLAMDMASEVSGCFTSVNMFNGPLRSNANAHFWSFVLATIRGFKRKNLSVYGSANPVDPWAVMAPLYIPRASKNLEPVAILDNYQRGCNQVDSFAGLVTTFGPSVTPQITVQDIMFGTATPPRQFEVIAWRSHIPPYYSCVFKCEIKKPTRMVSRKKKTKKIGI >OB01G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11009760:11014748:-1 gene:OB01G24740 transcript:OB01G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYVAHLPPPSPSSADAPRTRVEVIAVEDAEEGKDDKEEHEEEEVKGRVVVRRTPLYKELYEASSRLRDGKLRTLQFEVRLAEKGRLGLEQLADVLPRFTPKKKEVHEPFAPLMNEDENSVDHALGSRNRRERLVVHESSNIVITREILQCLNETEWLNDEVINLYLELLKERELREPSKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYSLIECDKIFIPIHKEVHWCLAVINIRDKKFQYLDSLGSMDMKALGILARYLVDEVKDKSGQHIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMDLSFGQKHMCYFRRRTAREILNLRAE >OB01G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11018936:11027566:-1 gene:OB01G24750 transcript:OB01G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGKSSLLGCILGEMRKISGEVTVRGSMAYVPQTAWIQNGTIEENILFGRAMHRARYMEAIRVCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYLLDDVFSAVDAHTGSDIFRECVRGALKDKTIVLVTHQVDFLHNADTIYVMKDGMIAQSGKYDELLRAGRDFAALASTMAGDYWLAYQTSGDVFEPHIFINVYSIIAGVSVVIVTARALLVATIGLDTANMFFRQVLKTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVIPLLMLNLWFRSYYIATSRELTRLESITKAPVIHHFSETVQGVMVIRCFGKQESFYHENLSRLNASLKMDFHNNGANEWLGLRLELIGSLVLCVTSLLMVTLPSNIVLPEYVGLSLSYGLSLNSVLFWAIWLSCNIENKMVSVERIKQFTTIPSEAEWRIKDTAPSANWPHKGDIDIIDLKFRYRHNTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKDAVASKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDAVIQRIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYANRSSDI >OB01G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11022575:11023131:1 gene:OB01G24760 transcript:OB01G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVDGVGVVQEVDLVGDEDDGLVLERAADALPEDVGAGVRVDGAEDVVEQVDVAVLVDRARELDALLLAAAEVDAALADLRLVAELHHLQVLLQGAHPDRLHVARPVHGAPEQDVLLDRPVLDPRRLWHVRHAAAHCHLQSATSNPMPIMVNKFQVQR >OB01G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11027762:11032696:-1 gene:OB01G24770 transcript:OB01G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIKLGYRAALDLSDVPLLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAMLRLTVMYVGPTLIQSFVDFTSSSSHQPLWDGIRLVLALLAAKTVEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALAGVVAVMMFVLAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFRARIEGFRSGEFGWLTRFMYSISGNIIALWSAPIVIAALVFATAVLCGVRLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDS >OB01G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11057104:11058236:-1 gene:OB01G24780 transcript:OB01G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALSERYGNVTGELRKNIPASLQSHVSSGISESDSEAQSPSSPEPDLQEKMSQQKQKPQSDCFDVSIGSGASSDVSKKGSDGSSSSSESDLELDEVTEANDNSILYALSQKIIELEDELHEVKGKLDASEEKNSRCQCNFGANSEVSEHEEKQQDSDVETKSLLKDLDEVKSEKEALEGVLLVNKDEINRLKESMVSAAKLFEVELAHRDTKIDQWWQELELLSEKYVHDVSAAAELGKLQAVIKTFEEDFAKISHEKSLLESRVEELEQSADSLNYSASEMVKQQELLKNTQAELEKVSQEREVLRERAHDFEQLLRDFENPGMEVAKLPETIKDLEAQIQGTLHEKSVLRDRIKELEQVAHDSLQNHSPKVSA >OB01G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11058796:11064274:-1 gene:OB01G24790 transcript:OB01G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAIRSMDVMVHSSTFLLPKLYQPAKTPPKNYALVVLNQNLPRFMPRLWTHAKLRICADGGANRVFDEMFQMTNEQDYESTRNRYIPELIEGDMDSIRPEVKNFYSSQGSKISDKSHNQETTDLHKCISRIHRCTPDHEKSNLCVLVTGALGGRFDHEAANINVLYLFSDMRIVLLSDDCLIRLLPKTHKHEIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHEEKVTVQSDADLLWTISLRNLT >OB01G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11069305:11069595:1 gene:OB01G24800 transcript:OB01G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYVTLEVMTSGEYDEKADVWSIDMVLYVMLFGGETAAKGRRRGSELWERGPHVNEVKIGAF >OB01G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11087537:11098975:1 gene:OB01G24810 transcript:OB01G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLVTGVPVVDDTVYKENNSSNMEYLESKKVKSEEDHKTMLEDSEHSEARDGNNFDQAKLQYLAGNSITTESIQKQTTLDNDEDEVVILPDINGHELENDVLGMDYQNIQCTRVEKIEDKTKFVPNEYCEQDLVHGELEKMSMHEEHDALSKLVPCASKLDNEKETNIREDDKLAHGEVGSLEKNGRLEKIYVLEDKSNFVSDECFKLEKVSTEFEKGKHDRMVVPALHEKTNTPEDEKDKQPFDDTIPIEQDVELLVKDNNIMITEKERIGLEIMESTASTNPELWSIETLSHVDSDQVKLATNYLESNRKTILEASMQKEGTQDDVEYEVADLQNINDNKLELNILELECSQEKTFEDKIKFITRGYPERHVVHVNLENSMIVDTHGSKFSDEKHIIIEEDDKFVYAKVESLVKAISLETMETRKNIMSESESGIDVVHVNDSSQKDVEFRVNEHANQNEFDAGSNVNINCQMNTIQIKTEKDSIAIEKLSYEIEKQEHKVIEDKSNIQKDAEDNETCCHNNSTKGSIDLLLKDVNLMIVEAKQNNLEVVRSIRDNCPELQSIETLCCDESDEVKLARDNFRSDYVAIGEMFIKKEMSTPEDVEDEVANQQDVHEPKLEVNITEAEGQNLFRRLHEDNFENKIKFVGEEHHQQDEFYAELEKSDNNKEHDTVSTIENNACNFEEMRKSSIEVNDELVHAELNSPLKILCLETMETRKNIMSESESGIDVVHVNDSSQKDVEFRVNEHANQNEFDAGSNVNINCQMNTIQIKTEKDSIAIEKLSYEIEKQEHKVIEDKSNIQKDAEDNETCCHNNSTKGGIDLLLKDVNLMIVEAKKNNLEVVRSIRDNCPELQSIETLCCDESDEVKLARDNFRSDYVAIGEMFIKKEMSTPEDVEDEVANQQDVHEPKLEVNITEAEGQNLFRRLHEDNFENKIKFVGEGHHQQDEFYAELEKSDNNKEHDTVSTIENNACNFEEMRKSSIEVNDELVHAELNSPLKILCLETMNTKEDIKSEGDKEIEVVQGNGLSQNMVNSFVNNEYNKNEFQLVDADAIHNYDLTTSEIRMCKYRDVFDKDDSKGYNLSMVEENIEKQETVTVKCEEIVISPRSVDDHKNILAEWEINELVSKEFERLSSKMEEEKKKVLELHDKKTYDHTNSIEGDMELRLKGMNIMTIDKEENDLEVVESLDVNDPYLRSTKSLYYEEGPKVNPSIHYLNSDGVIILGSNMQKEESTQEGVAIEVVGLQDICDHKSDVDVLGLLDQNVKFSREKNIGDKPRFESDEFYDQDMNHVELENSMNNEGHDPLCVIESNTNKLEEKTKHSVNEDDKLADVEMELLVMTANLEIVDTEKGIMSKEEKDIGIVQPNELNQNVVQIVAKKGPAQNEFHMMESFLVSNYSNEFENDDSQSNCLPSVQANIEKEETLTNNIVDSVVSLESTEGQINVLSECKMIEENTSLQMHILEDEDHFVSYKQFEQENICTKFGKISSKIEKQEEKIVVEPQDKNNSVQDVKDRKTFDHANSIKDDMELLRKDVNLTAMDIEESELDAVELVEGNNHDLRSTETLRYEDSDEVNLNIDDLKGHGLTIVESNTEEEVPTLEGIKVEQVSQQDICVSKSNCDVLVLQEQYLKYSLEENTVEKTKLVANEFCEQDMTHVEVEVVRQQDICDFTSKLDVLGLQDQDFKFSCKENIGSNTESISHEFGEQDIVHVELESNANKLKEKIKISVKEDDKLVQAEGELLVMTTTLETMETDKHVASKEEKEREIVKANELSQNMEACFPNEGPNKNEFQLKELATVSNHVIMTKETNEYDYITSLDNDNSQSDCLSLKEVNIKKEETLIRNISESFVFLEGIEDQINVLSKRKMNEENTNLQIQTLEHIDHFVFDEQFEPQSIEFGKLRTKNQKHEEKMVVKPKDKSKTLLDVKDRKTFEHTNSTEANMEFLLKDANLMTIETDGNKLEVMESVGVNDPDLRSTKALCYEESDEGNPNTNYLQRDDLSIVALHTNKETITLEGVELEVVNQQDLYTGKSDWDGLRLHDQNLTCSQQENIVDKTEFVANESREEDIVHFEVEVVDQQDICDHKSEVHVLELMDQNFKFPQEENNRDRTKSIDEEFCEQDMVHVEYENLMNNKENDMMYVIQSSANKLKENTETSIREDDKLVHAEVELSTTTATLEIIETKKCIMSEEGKGVETIHANDLNQNVEFFAKEWPNKNEFHLESVEVSNYFLMNDEILECNYSSAFENDDLHSDCLSSVQANIEKEETLTENITESVASLKGIESQTDVLPEWERNEKNTSLQMEILDNKSHFMSNKQFDLEKISTEFEKLSTEIEKQEQKVVLEPQDKSNMLQDVDVWKTLDHANSIERKMISLLNDDNLMATETMKNKLEVVESIEAKDPMLRSIETIRYKDDNKVNLNTNYFRIDGYTIVESNAKKEAWNAEGVELEAVGQQDICLGKSDWDVLGLHEHNLKCSQDEHILDKTMFVVDSMDGDMELQVKDENSMVMVVDRNQLEVMESIEDNTSIVCSIQTPCGEESGQLKLVRDYLESDCMVIIEPNMLKDTPNDVKVELANQQDIGLVHAMGANEAKFVRNNGESGCLSLIEPNIQPEIPLGKKEDFIRLETTNEHELMLEDLRLEKENIKCFQGECLHDKYDVNENDNHLLDVADGEYENLRYGEDHNPLLFQKTMTSMLEDENEIFGESLSLEQSVDKGSVDIMIEISPMESVEDPVDFVNNIIVDESQDGLKDSIVKQKMALVGQFDISDILEDKSSIMLDLDDEHHDQIEKKIAKGECEMENLNLLHFSREDINSEVKAEVELESTLEAKDDEHAYLVDSKLVQFDKTNDTLFELCSSTIKTEFSKDEVHKILVNSCKQDSYSDLMNLQVKGEMSLDQGRSNNDMMDKKGNELVFLEENKSTNTMWTSQKCEFVKNDTGLVSQDLSRSTLNFTLNDVKKECFMHQYEDTQSMPLSPNMLEKDLNLTLGKKVVPEISQKPTNEEMWSSEKALAEDNHTGKEAYIEGNIDSDDIQMTSHNYEVCDNCAKTKKDDTIESSDNNPAKVMDSPNTLIYIIKQSINGDEKNIDIMASDLKPASSVFHGENMFPQYLSIPSCHAGISSYNDTELPYNLTDMILTEKEKKLHHKLELIRLKFLYILSRMGANTMSFNRDHHHHKASQQEHDSQKNWTFSCNILVLGKIGVGKSSTINSIIGEERTKVGAFDDATANVRLVSSVVDGMKINIIDTPGLRTNVMGQGWNKKVLYTIKSYTKKCPPDIILYVDRLDSWSNYLDDIPLLRTITTAFGKSIWINTIVTFTHAASVPPDNSNGDPMTYETFIAQRSHIFHKTIQQATGDKCPINAISFVENHINYWRNCLDKQVLTTSQDWRNHLLILCYPTKPKYQSKVSFNQKGMKQDTYIDVDDYSKICDDEYEYGQLCTLLPLMKTQFNKLIKGDDIWEHEYNIDGANLEKALILHEPKRLFADKLVEITKEVKKCIIHFNSAFTSKHVNSASNCLGNNIQNAWKQLAYCLWGEITTKNAKHKTIGGLLVTFFGDTMLTRLKVEDWISIGESLLLLGRIDAMRAKGTTTYGVNMESHIKTKYYPINQLMVLLCLSLIKMHGEIVFGIDLRSQCSLGKHSKMALHIGLNNMLSGQINLKMSNSKKVLISLLGLVPLATSMYKSSPYFSKHN >OB01G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11132884:11134251:-1 gene:OB01G24820 transcript:OB01G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPAAFPVAAAAAVVVAVLFFSTLVSSPCLALTSHEVAAITRRQLANLERHGAHVHIGIDIKVKVSNPRLAAAHRALRALREALYSDPGNFTGNWAGPDVCSYNGVRCVPSLDNSSASTVAALDMNAADVAGYLPREIGLLSDLAVLHLNSNRFCGVIPEEVKDMAQLYELDVSNNRLVGPFPDAVLRVPRLSYLDIRFNGFEGPIPPELFLKPYDAIFLNNNRFTSGIPDTIGRSTASVIVLANNDLGGCIPATIGQAAATLDQFVFINNSLTGCLPVESGLLANATVFDVSHNLLAGSIPTTLDGLAKVEQLDLSHNTFTGIVPGSVCELPALTNLSVSHNFLAGEDAGCNALLAAKRDKSFEDEANCMGDTRPMQKSSGECAPVVSHPVDCAMTKPCGWPASSEKLAHSPPPPIASPPPAAPPPTHEAVILPPIYTSKYQSPPPPQFAGY >OB01G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11140314:11142728:1 gene:OB01G24830 transcript:OB01G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTISIILRNLAGNTSSKFDKIAMLKLRRRLSGSTADKNSCSSKICSFDKFASLIQGCADVRFLKKIHGRVFTHGLCRDVIVGSKILSWYANLGALPESRLVFQKIASDDLSLWNSTMVDYFRAGYPEEVIILYKRLKLHQIGFDGKTITFVLKSCTELKNLSLGKGVHVDSLKLCLSGDKFVGSSLIGLYSKLGRVDDLQGVFKEIINKDIIAYTSMITGYSDIVDSIAWNAFEIAIDMLQNNLEVNRVTLVSLLKIAANLGALKEGKSLHCYSIRRAIGVSDDILETSIVNLYTRCGAYQSATAVLRNSKGTVASWNAMLSGLARAGQSFNAIQYLSAMMHDHKVTPDSVTFANVLSACAELCYFRIAASIHAYFIRRFMPVDVVLATALIEVYSKCTRIMRSRHLFDQLIIKDAVCYNAMMYGYLQNEMANEATYLLKCMMAEGITPDFATVLSLLAAFADQRDLVRGRWIHGYAIRHGFGSDVDVKNQILYMYSVCGKVTAARAMFDSLEKKNLVSWTTMMKGCLSNGHVDEVVQLFQVMQKHGEKPDCISLITAVQASADRGHLNSLKQIHCFVYRSLLEKDKITANSLISAYAKCGRLDLSINLFCSLDYRNLDTWNAMISAYAMHGFYINVLNMFKQMEEENIHPDELTFSTVLTVCSHAGLVEDAWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGQLEDGYKILKISNLKDKSSILCALLSACRTHGNTELAHAIIKELLEHGPQNPGIYALISEVYAQEGQWNAFANTRARANLSGLKKHPGSSLIESMEQGMW >OB01G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11144321:11144671:1 gene:OB01G24840 transcript:OB01G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLAMVSSQHAARIVSKRTVARKPFAVILRRLVITMSSRWRSNRVLELLKLRAGFLRPHGTCLIMRRLMTVR >OB01G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11153374:11153544:-1 gene:OB01G24850 transcript:OB01G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNKTSCNLVQVYSNFLSQMNQQPSKFARIFIHDQMRNQYVINQSDALRPTFSIS >OB01G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11158784:11159119:-1 gene:OB01G24860 transcript:OB01G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding XHQLRRAAARHDAVLRARRLLLQLPARRGGQPLHPRLLRHHPVPRLMIRSLASPSHLLLQTRYLIQNFKLVRLLQVLCDDFKFLPLSRRERILVWIESQKMYMCVRGRLYF >OB01G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11172382:11176207:-1 gene:OB01G24870 transcript:OB01G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLICWKLLGMLPSILEHTAGIIVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRITELVQLRDITRPVILAGSKASVTRALQRAERYRTELLKRGVLLIPVIFGASQKAQSMPRGFGSSRPAASVPSVGDDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEDLLSKLER >OB01G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11182403:11184663:1 gene:OB01G24880 transcript:OB01G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:J3KZT2] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNKLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDSLGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >OB01G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11190233:11191489:1 gene:OB01G24890 transcript:OB01G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSYNATSVQAHRLKNKYCTYIPIVFITLSQISSSTKLPREFLTFLLEPFCSIFFSQLFRVRPCRQDCPRIQYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRIPYTNMAQLFVFLIKSNMPKSGVLQ >OB01G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11196153:11201028:-1 gene:OB01G24900 transcript:OB01G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVASTVIGPLIRTVNEKASSYLLEKYKVMEGMEAQHKILKRKLPAILDVISDAEKQALEQREGAKAWLEELKAVAYEANEVFDEFKYEALRREAKKNGHYTKLGVTAVKLFPTHNRVVFRYRMGKKLCRIVQSIEVLVVEMNAFGFRFFPQPSVYKEWRQTDSHIFDPMNTASRSRDLDKQKIVDILVGHDCNADLLVIPIVGMGGLGKTTLARLVYSNIQIQMHFQLLLWVCVSDTFDIDSIAENIVKGAHRSKGDQASKDGKKHIDGQETEANKPLQKLQNLVSGQKFLLVLDDVWNRDADKWEKMRACLQHGSSGSVVLTTTREKGIAQIMGTCEAYNLKALEDHFIEEIIKSRAFRSHGSEPSKLVEMVGEVVKKCAGSPLAATALGSVLCTKTSLEEWKAVISRTNCSEESGILPVLMLSYNDLPSHMKQCFAFCAVFPKDYEIDVSKLIQMWIAHDLIPKYQGVQLETIGNQIFNELASRSFFQDVKQVPHYSDESTYGYCSRTTCKIHDLMHDVALSIMGKECATITDDSMKRELFPCAARHVFLPRSNHVLFLNDSLKKVSPAIQTVLCDGMIDCSMKQSKYKSVKAIAIQLYRSTFPLKPKHLHHLRYLDLSRSLIESLPEDISILYNLLTLNLSYCYNLHRLPRQMKYMTPLRHLYTDNCPNLKGMPQDLGQLTCLQTLSCFVVGDSSDSCNVQELNHLNLGGQLELLHLENVRKADCEAPILSDKNQLAKLTLKWTIHDEEDEGHYNNVLENLKPHDGLKALSIYSYHGSTFPTWIGMMQNMVELILFDCSKSEKLPPLSHIPALQVLHLNGMKRLRCLCSGVTPFTFLKLKELRLDDLVDFEIWCEIEGRHGELVIFPQLEKLIIQDCKLTALPETAQLGDHTMARSAFPALKVLKLVDLASFQRWETVEGIQERQIIFPQLEVLVIDRCPMLTALPAAALLKESYGGNYNVARSSFPALKVLVLKILASFQRWETVHGIEEQHIIFPKLEKLEMFECPELVALPQAPLLDEPYGCNDIRQWSAFPQLKEFRLVHGKCFKIWGETQGTNGKEPTLNNLESLCIIDCPELTTLPEAPKLSSLRIIDGGEEMPVLAVRYMTSLSKLTLKIQDVETTPPAGHSLIEQTDFGKEICTSESPLVDMELDNCNVFFHSDAQALWACFVQLRVLKISRCNVLIYWPEREFQNLVALRSLIISGCNNLKGYRPQAPEQSTSGRGQLLTRLESLKIYKCESLVEMFHAPQSLKILRIHHCPKVESILSKQRCRRSVLIGGPCSDNTASSDVVSVSHSSELPSLVQLQLWSCKSLASLPNEPQAYSSLQTLEIVGCPAIEVLPTYLQQRLCSIKEKYLDTRHERPKLLKPAPWKYAIRR >OB01G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11210886:11212044:-1 gene:OB01G24910 transcript:OB01G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRQVSNYLLQQYQDLDGMEEQLTILERKLPAILDVIIDAEEQGAHCPGLYRPQMPFSKQWRQTDSIIIDSQNIVSREEEKQHVVNKLLANRNLMVLPIIGMGGLGKTTFAQIIYNDPETQKYFQLRKWVCVLDDFDVTSIANKISMSTEKECENALEKLQLEVHGKRCLLILDDVWNCDAGKWPKLKFCLQQYGGVGSAILVTARDQWVAQLMGTTKAHQLVKMEKEDLLSIFESRAFRFNERKPDELVQIGREIMGRCHGSPLVAKALGSMLSTRKGVEEWRAILTRSSICDDEMQFYLY >OB01G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11215127:11215395:-1 gene:OB01G24920 transcript:OB01G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLSQLSADFTHTTNTKFGSHDENF >OB01G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11217230:11219507:-1 gene:OB01G24930 transcript:OB01G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLGIEPMFPVLEDIHVKNCPNLTLLPEAPYLRILKLKENKPHLSQSIVGSRYMSSLSHIKLSISDTETTLPQPDQSFVHSEYGNNILNHEASVRKMKLFGSSIFFYLTRSQPTIGPWKWCKHLEKLEIKSCDALVYWPEREFHSLQLQELIVKSCKNLNGPMPMDQESTQGMEQLLPNLKTLQISDCQSLVKIFILPRSIMSIHIDRCPRLNSIWGKQEDTESRTAHDEQLPALRTSSPGPSASPAMEHLLPCLELLSIGHCDRLAGVLDVPPSLQILEIYSCRDFRFLSGQLDALLILHISDCKGVRSLACLGDMPSLKRLCIYRCRSMSSLPDGPRSYSSLERLEIRFCQAMKLIPGRLQQRLDSLELQDLSYMRSSNPLEDVWHANG >OB01G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11221749:11226309:-1 gene:OB01G24940 transcript:OB01G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSMVVKPLLSMVNEKASSYLLERYKVMEGMEEQHRVLKRRLPAILDVISDAEKQASEHREGARAWIEALRAFKYEVLRREAKKKGHYTKLGFDVVKLFPAHNRIMFRYRMGKKLCRIVHDIEALVTDMNAFGFRFHPNPSVSMSWRLTDSDIFDPRNIASRSRDLDKQKIVDILVGHDCSADLLVIPIVGMGGLGKTTLAQLVYNDTQIQTHFQLLLWVCVSDTFDIDSIAENIVKQAHRDTGDQVRKDSKEHIDGQETKDDKPLQKLQKLVSGQRYLLVMDDVWTIDVDKWEKLKDYLQHGSFGSAVLTTTRFGGVAQLMQTVDAYNLTALENSFIKEIIDTRAFSLTKDKKPDELVEMIDKFVNRCVGSPLAAKALGSVLRTKTSVEEWQDILSRSMCNEETGILPILKLSYDDLPSHIKQCFAFCAMFPKDYEIDVDKLIKLWMANGFIPEENQVLLETIGNNIFIELASRSFFQDMKEVPFLEYGSKYGNCSRRLCKMHDLMHDVSLTVMGKECVTVTENPLQRDLFPSTVRHLLLPSAQPSQRKIMLNDFLTKKCSAIQTLLCDEPADGPLQHLAVCRSVRALKFFHYGSSFVLKLKHLHHLRYLDLSGSNYIKALPEEISILYNLQTLDLSYCWHLRRLPRQMKYMAALRHLYTHGCTRLKHMPPDMGKLTCLQTLTCFVAGTGSNCSNVGELQHLDIGGQLQLRRLHNVREPHATNISLQRKSKITELSLVWREDQACNETADFRHNEVMEALRPHDKLLVLKVASYKGTTFPSWMSMLRCLIELDLSTDYTKCKNIPDLWQLQCLRVLRLAGFHELQYLCTIGENSTTSSIFPKVKQLKLENLKSLKAWWDITLASPSDPELPASLEEVPLVELDKLKRYQVAEGTHGKLPTFHDLERISIKDCPELACLPEAPKLIDLDIWNGSQQLLFCISRYMTSLSNLRLWSEGAKTTTPPTKHRLIEWVDGDANWNGESPISNMVLFDCNVLFHSRAQELWPCFVLLKYLMIRECDALIYWPEREFQSLVSLGRLWIEKCSKLKGYAQAPQQSTSGMGQLLPHLESLAISDCETLVEIFNIPTSLKKLTILRCPKLESIFHEQRHWPALIGGPCSVSTLVSEPSAGDHSFRCEAQLPSLQELMLSHCKMLASLPNVPQAYSSLQSLTIRSCNALKALPACLEERMGSLQRKDIDARYKERSPAIHRWSRAIYPPYKKRHHPKYNFHIKF >OB01G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11233230:11233711:1 gene:OB01G24950 transcript:OB01G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEGRNLFIGIVSPSSLLRTRVTTRLGSPMRSSNFPAPFHAGNAPPVDRHHFLSYPPSQAMLELKWAAEVSADEPAAKRIAFDPDADLPEVSEDADAPTCPKTATKANF >OB01G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11237120:11240411:1 gene:OB01G24960 transcript:OB01G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLPSSMPTASPTVALTSTPTVVLAAPSSPTPLTTGLELSEPTSVDATTTNKRDLISTTPVKPAVMLPLSITGVGGSSREDVVIATSSIGTVMVPTGTSPDTPSSMTQMQITWVKEGRTDGIRLDASEDQLDIARLKDYIEQSMKIVKGWASRLDLDLGGRSKGLLVLSCEFGDSCWPCGGTSLKKLTVSVWKVVCIGVKDMPEDEEDLTPAQELLIHRNAQAASMTLNSLSPEEFNKVDQLDEAKEIWDTLRIAHEGSRGVRESKIELLEGKLGRFVMEDDETPQKMYDRMMVTVNKTDQVALEAISSRNPTLVTLIRESSGFKRMTPSDMPSRIISHELLEEEAKEVKKYATNFAQIKNKEVAFKAKKGSSKLQEESSSDSESEDEELSLFVHKFKKFLHKKSYGRKDEDPYKRQSKKACYECKEFGHFIADCPKLVKTKEGKGKTKYFKRRPERAHIGEEWFSSNNESDKE >OB01G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11264579:11270118:-1 gene:OB01G24970 transcript:OB01G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVARMVVGPLVSMLKEKASSYLLEQYKVMEGMETQHKILKRKLPAILDVISDAEEQASEQREGAKAWLEELKAVAYEANQVFDEFNYEALRREAKKNGHYTNLGFDTVKLFRSHNPVMFRYRMGKKLCRIVHNMEVLVSEMNAFGFRFQPQFPASKQWRQTSSDIFDPIKIATKSREEDKRKVVNILLSQSSNVGLAVVPIVGIGGMGKTTLAQLVYNDPEIQKHFQLKLWVCVSENFDVDTLAKNIVEAATKGRDGDEETRNNSPLDRLKKLVSRKRFLVVLDDVWNREADKWEKLKACLLLGSSGSVVLATTREKGIAEIMGTVQAYDLAALKDNFIEEIIKSRAFSSQGSEPNELVEMVSEVARKCVGSPLAATALGSVLRTKTSVEEWKVVLSRSNCSEGSGILPILKLSYIDMPSHMKQCFAFCAVFPKDCEIDVDKLIQLWIANDLIPQQNNIHLETAGNRIFNELASRSFFQDVKQVPIYNDEWTYGYFSRTTCKIHDLMHDVALSIMGNECVTVTDEPGQRELLTHTARHVLLSCYESETLFNDYLKNIAPAIQTLLCGGLIIGSLPHLSKCNSVKAIQYESCIFRLKPKHLLHLRYLDLFGSRIDALPEDISILYNLRTLILSHCIYLDRLPRQMKYMTALRHLYTDNCPELKSIPQDLGQLTSLQTLSCFVAGTGSNSLNVRALHHLNLCGLLELCHLENVTAADAEAANLSNMKQLRGLILRWDSSHEDNQHHYDKVLKGLKPHHGLQALRISSYQGSRFPTWMGILQNMVELILCDCGNSKKLPPLSQVPALKVLRLYKLGKLQFLCSGVTPFTFPKLKELILVNLPAFERCCEVDWMQRELMIFPQLEKLFIQYCKLIALPEAGLLRESNCGDNATAHSAAFPSLKVLKLKNLCSFQRWESVEGIKGRRIIFPQLDKLVIHSCPELTALPEAVILEESNGGDYTVAQSAFPALKVLKLKQLSRFQRWASVEGIQVRQAMFPKLEKLVIYCCPELTALPEASLLGEMCVGHYALSHSAFPALKELKLTGLNIFQRWDSVKGIQGQLTVFPQLENLLISSCPKLTALPEASSVRESCGDYYTTAHSVFPVLKALELYDLRMFRNWGGLTFPRLEVLTIRKCHALIALPAATLVGKDITGCSAFPQLKELRLDDAEGFNIWGAMGKTHAKQPTFHNLECVYIKKCPKLRTLPRAPKLNVLHIVGANEQISLWAPRYMTSLSNLKLWVQGTETTQPDGHSLIELVDGKDNWNHQSPLTVMKLHACNLYFSSGAQALWACFAQLKDLEIHSCNSFVHWPEKEFRNLISLRGLWISGCNKLTGHAKAPGQSPSGSEDQILPQLESLKIESCESLVEVFSAPASLMKMDIWFCPELESIFSKHQCRSALTEGPSSDTMATAAVSEFLPCLEYLSLHGCDSLSGVLHLPPSLKKLYIEECSGLESLQSHSGEPPQLVELRLSGCQTLSSLPNVPQAYSYLTQLTIHKCPAIKALPTSLQQRLPSLRKKHLDACFEGPKPTLSKPRTWRYAIQRY >OB01G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11276463:11281047:-1 gene:OB01G24980 transcript:OB01G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:respiratory burst oxidase homolog B [Source:Projected from Arabidopsis thaliana (AT1G09090) TAIR;Acc:AT1G09090] MADLEAGTVAATDLGNSTSTRSQDDASSLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQEVRGVDEGGSGHGAGFDGLPLVSPSSRSGKLTSKLRQVTNGLKMKSSSKKAPPQAQLSERRARKRLDRTKSSAAVALKGLQFVNAKVGNDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAMQMFDALARKRGIVKQVLTKDELKDFFEQLTDQGFDNRLRTFFDMVDKNADGRLTAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPRNLGYIEMEDLEELLLQSPSEAVARSTNTHSSKLSKALSMKLASNKEMSPMRHYWQQFMYFLEENWKRSWVMTLWLSICIGLFIWKFMQYRNRAVFKIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQIGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYEPMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFVLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGICLYLSRDWYKKTTWMYLSVPVLLYVSERILRLFRSHDAVGIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCSAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPSTEGESGLLRADLSKAITNDKARFPKLLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQGGGSVGATEPEVSSKAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKGGVIELHNHCSSVYQEGDARSALIHMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF >OB01G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11307364:11310950:-1 gene:OB01G24990 transcript:OB01G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dephospho-CoA kinase family [Source:Projected from Arabidopsis thaliana (AT2G27490) TAIR;Acc:AT2G27490] MRLVGLTGGIASGKSTISNFFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDRARLGQIVFSDPAKRQILNRLLAPYISSGIFWEILKLWIKGCKVIVLDIPLLFETKMNQWTHPVIVVWVNEETQIQRLMSRDGCSEEQARNRINAQLALDWKKSEADIVIDNSGTLDETKEKFQQVLRKVSEPLTWKERLRSRDGLFSVVVCTAVGLILAQKNLL >OB01G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11317766:11317978:1 gene:OB01G25000 transcript:OB01G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSSYIQCHCHYFPVILFFILNITSLSELVRPRVPFHYDHLINQVYTHTRSIVVLSLFPACFLDSILN >OB01G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11318417:11324478:-1 gene:OB01G25010 transcript:OB01G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIMQHKSLGRVFEVRCLHIPVNDRTTYEGLLHVVEESVKYEHNLSPNRPIYITGDSFGGCLALSLAARNPEIDLVLILVNPATSFAKTPLQAMLPLLEMVPSNLPVITLPHLLRYFIGDPLKMAIVSIQTNTSPQDTLQRFSENLSSILPLLSEFGRVVQMDTLLWKLKLLKSGAEYANSHLHAVQAEVLLLASGNDNLPPSGEADRLFKTLKTCKVRYFRTSSDRLLMEESFNLLTVIKGSSMYRQGKQRDAVTDFLPPTLSEFRRTYGVDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNFEILRQEISFFDVVPMYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNDLKNIPFIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKVPGRLYYLFGKSIEMKGMGGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTLYQATWGATAQIPTFEP >OB01G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11328152:11328505:-1 gene:OB01G25020 transcript:OB01G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMHSIFLFINNLYQLIDHVHYNTFNVKMTFCWLAACTCNKLHVPLLHEYRNLQMIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPKQIQQDTLATGVV >OB01G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11333895:11340022:-1 gene:OB01G25030 transcript:OB01G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPIHSQLAALWRPRSRRQRRDDLLERISCQQYKRAGWRLQASYKGLETLYDDGYQTAKDLDYYYRSVGELVEHDSGPPRLLCPVDAGSPIEDAPVMLYLPGVDGMGMGLFMHHKALGRIFELRCLHIPFHDRTPFEELVAMVEDVVRAEHSTSPNKPIYLLGSSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSISSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGGHPLEALSRLTNNISSFLKHSTILNKIPEDTLGWKLKLIKQAASHVNCRLESVSAEVLLLISCGDRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTGLYRHSRRNHRIFDYIPPSATELKEVDKASSDLRLRTSPAMFSTMEDGTVVRGLAGVPESGPVLLVGNHMLLGIELISLAAEFLRRKRIVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMAYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDHTEFVRMATQFNATIVPFGVVGEDDLMQLLCTFDDIRNAPFGKELMQAYSNHLKLRDVDHEVFFPGAYLKIPGRFYYRFGKPIPTGGMPEVMTDKQAASELYLHVKSEVQAMIAYLLRKREEDKFRSILPRMAYQLLGWGADSEIPSFDP >OB01G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11348006:11350565:1 gene:OB01G25040 transcript:OB01G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSALVPRAGFRPSPVRRLRGGTECGGAVVLTGGFTVFLSSLQIDVMLRGFPQARRLLRRMGFEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFEQEAQKLWFRMRNEVVQELQEKGFDVE >OB01G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11350792:11359392:-1 gene:OB01G25050 transcript:OB01G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILHHKSLGRVFEVRCLHIPVNDRTPFEGLLQIVENSIKYEHVMSPNRPIYLVGDSFGGCLALSVAARNPQLDLVLILINPATSFAKTPLQPILPVLEAMPTELHVTVPYLLSFVMGDPVKMAMVNIENNLPPPETLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYTNSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQHDPVTDYIPPTLSEFKKTFDEDQKLFHLAMSPVMLSTLKNGKIVRGLAGVPDQGPVLFVGYHALMGIELTPLYEEFLREKKTIVRGMAHPFLFQGKFEGSRQELSRTDEISMYGGLPVTPINMYKLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTVIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINQEAQRVRDSVKGEDGNQDIYLPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKTANELYLQIKSEVENLLSYLKRKREEDPYRSITQRALYQASWGASAQVPTFEP >OB01G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11374022:11376729:-1 gene:OB01G25060 transcript:OB01G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGHEHEGSSSSSSH >OB01G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11387046:11390695:1 gene:OB01G25070 transcript:OB01G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3KZV1] MAADRQSTTVQAAGGGGELLQRVPVTAKWTYSSAFVCLVVANTMVFAFCAAALAACRRRSAVVPVMVGDLVALALLFSAVGAAAQFGLLGERGNAHVRWAKVCDVYGRFCERAMAAVAVSLIAAFADLVLLMLNILTIHKASSYY >OB01G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11393503:11393838:-1 gene:OB01G25080 transcript:OB01G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSPGVQFTGVATLCLAVGSMSVDHNIHTYTLTNLSFSRRKQPLQLCQQCCYLLTVILCPRCCLQIHQARQDSSLTTGQTARRHRTCLGDEYVALEMEAGEERERWRK >OB01G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11395262:11396138:-1 gene:OB01G25090 transcript:OB01G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASAGRPWVLDPVAAAASDFRMEACLSLLALRPAVVRGNASEILALASRSAAASSTFKGVDSTHDSGDALQAAKALARSTGAVVAVSGAVDYITDGAQVVGASNGVALMQKITATGCAVTALVAAFVAVEPSDALVAAACALAVFGLAGVRWSRRGAPSPCSAWRGRSGWSRPRGLRLSGCISLTLSTASMSKP >OB01G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11405219:11418575:1 gene:OB01G25100 transcript:OB01G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MDWRSSPDARAAAFAYLESVKTGDIRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFSNLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGIALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYNDFRLHACEFFKVICQRKRPLDVAIVEYDAAMSNIFQVLMNISQDFLVRSKMQPNAIDDSEYEFAMCICETMVALGSSNMQCILADVARTLLFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSSDTPVAGNSSSTGGGSTEREKKGVSVLITDEMYSIILDVTFKRMLKKSTSASSALLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGIAATSIIQRINVVFGDANEVTKSPQDLDAIEGAYLGLEAVVSSIFDGSVDYAKIDQDTKFQVHRIFEGLLQQLLSLKWSQPNLAVIHGRYLDSLGPFLRHYPDAVGSIVNKLFELLTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQLEWQTAYLSDPSGLTHMLSDSQFMWSIYHNVTLFERALKRGGTKRSAAAPQAQATTAGNLHPMCSHLPWMLPPLLRLLRCIHALWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVTSTDGLLDVQREGESKENDIRNWLRGIRDSGYNVIGLAASLGDPFFRCTEGSSTIHALMESVQTMEFRHLRQLIHLVVIPLVKHCPAELWQMWLLNLFQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKIEVMEEKLLRDLTREVCSVLWVLASQGLNAGLPSLEQLGPANRINSSLKELESFASSSITGFLMLNVSTAVPVLRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILQGLSVELNSITSSELVGLCREIYVYLSDRDPAPRQVLLSLPQMKREDLLAFDESLSKTASPKDQKLHMRSLLLLASGNKLRALVGQKATNIITNVTTRNRSSAANHGLSAEEDDHIGLAALS >OB01G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11423611:11424306:1 gene:OB01G25110 transcript:OB01G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRCGRQAIGGVDSPQSLAAFGAWAPQRCSMACGTVRGPRWCVRTPSPGVGYIIASPWVRSCGVSRPGRGVATHSSVSDASSAKPSEDDIGILADAITKTATKSPEAVRAGARAAATRLSPATIATHIDWDIVLQPGARKMATPMHWTDIELQCFLRACLEETGTENLDTTNPNPAACDNIARRLKRCVRNSCNPTASAHILGEPDPLT >OB01G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11425227:11429647:1 gene:OB01G25120 transcript:OB01G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDPGSDVPLTWNLLRRRIWFPYWDTCKKRYELCDSGQPVWAGFRALTPSKPLPNGGMSDDDGSDSSHSSLEEDIAIILYLTRWPSPEPRAPRRNPAQTGIQKMYEIMQHDAQCRFTFRMSATQIIRLHDLLTARYGLSGTSGFTSMEAVGLFLYMMSGESNRDANSFFTRSSSTISRYFNSVLASVNALAADILKPVDHNFVQVHDGLLAEDDFRPFYGAVGAVDGTHVEVLSPGQTSSQLQHRNRHHQVTSKNVLVICDLDGRVLFCDAGWPGSFHDRRVLSDAIDCRAHRFPSLPLSPDLNSHYNPFESWKYFLGDSGYPCKMGVLPPIPGFPVPRDRRQSRARRRVPEGREHRFNDVHASLRSVIRRQLGIAKEEWRILERIPHHPDPGWQPRVIRAAFTLHNFSWDSRDPAFMETCPLYNGSAPVLPACPPFSYNYFAINSEEAMAALRGLIADALMRY >OB01G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11434907:11435230:1 gene:OB01G25130 transcript:OB01G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPMAGDIKDEDLLPRNVAVHPYFSQWQGNGKRAVPSQPSMRGVDREEQLAMFMYMISHNARNQELQNAFQHSGETISRKINEVFLIIPTLAEDLQNLQIQQILI >OB01G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11440047:11440253:-1 gene:OB01G25140 transcript:OB01G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRGIVEQQCMVWVVLRADLGVFAMEGKLLLASNTLIDFAVPRSKKIAIRSYCFGMPMYSWVYIMIY >OB01G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11451595:11452233:1 gene:OB01G25150 transcript:OB01G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTTDRVRAGLDKNGLNLDRDPVGGQQGSDTSTGVKGVEAAATGNRQRNQHGAHVLRWRQACRQQGPVMIGAAMGDGCGRAGHKRRQGCIGHQERQVEVGGW >OB01G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11455413:11455715:-1 gene:OB01G25160 transcript:OB01G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGHRAGAGAGEEPRHAAPSVPPPRRRGGADQRRRAPRQGWRCSQEGIRVHGCHYGRPIERRGEEAEMSGDQNDAEATGPEDGGGQYANQNHGLTSLMV >OB01G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11459789:11460647:1 gene:OB01G25170 transcript:OB01G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREEEREGDLSSCIIQTPRPVHGQTAGLATKRVGAGLDMNGPDLDQGPTDEQQGNGTAIGVEGLEAAATGNRQGDGHGAHVLSWQ >OB01G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11463164:11463550:1 gene:OB01G25180 transcript:OB01G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAWRTEKKKGKVTFHLASSKHHGRSTVKPPVSSTGPAAARCLDPRPCPPSTGDRSVAPVDDVVVPARPGPVADHEEHQRDHQGQQEPLGVQPAVAAAAAAAAVVVVAPHAAAGPHGAQEQEDRAVS >OB01G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11463332:11463514:-1 gene:OB01G25190 transcript:OB01G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCCMGCNDDDGGGGGGGGDGGLDAKGFLLALMIALVLFMICHRPRPRRNNYVVYRCY >OB01G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11467620:11469038:-1 gene:OB01G25200 transcript:OB01G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAVVSGLRPAPGPIPRRTISTAAALCPSSSVNRSVAAARAPRLIQSRRAPHAAAALGVSDDTGVKMAATDIVGQNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTATTDHHSELSNIGIIPALKGSTFPQKVPYVIFCAPPSRSDDYPGDLRKCMQSSCLKLER >OB01G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11474391:11479092:-1 gene:OB01G25210 transcript:OB01G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPDRSLEGACGDPGPLFGDHDGSLLDHMGFHGDPQHVSPQLNEGLLVDPTDQISYLGADSLPFMNDQIPCNTMKSASTSPASPLKQADDHHVHIDSDMENDAAEQNLHNSYSEEQATSLGYNTNRATAVVDAEQATELHESSGNNASNFQEETTHCDTYLGDAMLNENSSRDYQLNNSGVDDDEIPNSSALQMENMDSRGLHETSRSEKYESEDDQMNGRNSSPSDERDENCNSVIEPSYLEAMDKENPGSKNGILTPSNQWDSPPESSARHEKGTPSPDRMVSLPAERSHTHSPKELESPRVENDVGKFASPQNSPARRRSRSLEKHDSNHRRTSSRELSPHVRQNSPSPPEKKARREHRHGDGSPRRRSVSPRRGLPKRRDSPRRDSPSRRRDSPRRDSPSRRRDSPRRASPSRRRDSPRRKDSPSRRRDSPRRDSPSRRRDSPSRRRDSPRRASPSRRRDSPRRDSPSRRRDSPRRASPSRRRDSPRRASPSRRRDSPRRDSPSRRRDSPRRASPSRRRDSPRRDSPSRRRDSPRRRHRSKSRSPSRKTDGSRHRREHGRSRSRSPHSRSHHRRSPRRHSPRRRSSPSSHRHHSPRRPWSPPANRKTGLGKPGRNLFVAGFSYATTERDLEKKFSKYGRVTSARVVRDKRSGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTW >OB01G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11489218:11493504:-1 gene:OB01G25220 transcript:OB01G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTRSFRLHSAATRPQLLSSVLRPPPPRRTTFSPAASRSLALPSRAPAAMAAVSSSPLGASQKALESVGRCDLLIVGPGVLGRIVAERWQQEHPGCRIFGHTATTDHHSELTQLGIIPSLKGPVVQKVPYVIFCAPPYRTDDYQEDLRVAASNWNGEGSFLFTSSTAVYECSDNGLCREDSPCVPIGRSPRTDVLLKAENVVLEAGGCVLRLVGLYKRDKGPHVFWLSKGTLDSRPDHILNLIHYEDAASLAIAIMKKRPRARVFVGCDNQPLSRQEIMDLVNRSAKFDTKFQGFTGTYGPLGKSMENSKTRAQIGWQPNYPSFAEFLQQLDHTGR >OB01G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11498354:11500435:-1 gene:OB01G25230 transcript:OB01G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLDRPPPRAFPAPSWALSPRGMEPPRLPGPGTGLPSPVRRPPSRRCPSLSPALEENEGRRKEKNAGRREERESRRRKENKRKQKKNKKRKERK >OB01G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11500926:11501108:1 gene:OB01G25240 transcript:OB01G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSASSRFEAGCVCDGDAWALAWRATPTAAAAWQGWQNSGQRRRCERTQKEADRERKQ >OB01G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11502633:11506191:1 gene:OB01G25250 transcript:OB01G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMSGDGVRVFPAAAVRVAGQYRDRKEWVPPCWKGSTSLSDIQILGGRGAVGAEWRLDRRASRDMQSIIVKLQALVRGHLVRRQASNTLRCMQALVAAQHRARAALLRLLGDLDDDKEKPLHTPRMTPPVARHTTHASASNNWYDTKLCVFGT >OB01G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11508261:11514826:1 gene:OB01G25260 transcript:OB01G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILILRKLNHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLHGKPIMPGRTEVEQLHKIFKLCGSPSEGYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATAALQSEFFATEPCACDPSSLPTYPPSKEMDAKMRDEEARRLRAAAKAKAEGVKRTRTRDRSQRAGPAPEANAELQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPMYEHQDASFSTVVPIQKGSSQTWSGPLVDPAALGQSRRKKQTALDAKAAAYSKQFQKDKGGTRAR >OB01G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11519762:11528118:-1 gene:OB01G25270 transcript:OB01G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDEEEEISSSAEEEEESDGAASGSGDEEEEDDEEAPAAAGGAAGGEEEEEVDEEEIEAVTTGAGAEEEEEDGGGAGAAPGEGEEESQSTEDDEAVGGENDDGDETEDHAGSAVVGKREKARLKELQKLKKQKIQAILDTQNAAVDADMNNKGKGRLKYLLQQTEIFAHFAKGNESKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRENLLQPGKFDICVTSFEMAIKEKTTLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIESAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKEENKLDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELTDFDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRLAKNMTPTKRSALRVSEGDTTPLNSSKRRRQSLMDDYVGSGRRKRG >OB01G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11532939:11534073:1 gene:OB01G25280 transcript:OB01G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQTTGWKETQEKEKEKEKWSSKDLGVGEFLDLKLHVDWAVNSGFHLVQLLPINDTSVHGMIFIQNIVLIRDRVDNAKPKKLKCEHVISRRF >OB01G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11534203:11534742:-1 gene:OB01G25290 transcript:OB01G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLRPPPLLRSPPPTQPPLRPMLSPLLALPPPESLLVRLDQIDLRVSGGVYGSWRSNGAEGCQSLRCRPVDDDRDCDYDSATAGDHHVVRCAPGARHRHTKSLLSALQQWQVKGNLLNRLNLLKSCILFLCMQSSLNYTTIMKREYS >OB01G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11557019:11557810:1 gene:OB01G25300 transcript:OB01G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKEKPKPRPASDEQQEGDKKKDDYIDKTLSTTANLVKLLPTGTTLAFQALSPSFTNHGKCLPINRYISGGLVAFCCGICALLSFTDSIVDKKGRPYYGIALPLDDYGDGGFLPFNYEKDFDREELAKRRLRPRDFLHATLRVFVFLAVAFSDAGIQTCLFPMESQSWREALVNMPLGVGFIASFVFMIFPSTRKGVGYGAEAPIDADSGQNKDGDKKDDNKQDDDTTISNKNDGDSAK >OB01G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11571876:11574532:-1 gene:OB01G25310 transcript:OB01G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHVIVGGVAKLSCHATDIGVPDTSSPQEDKIQSWSQSTDLKTMPNRSSNLMCQVATIAARMMSVVAMLLSTTSSAVRGSAVEGARHGEDTGWCGGGIGRQHVEEQIKMAVAGYHRWVICKVFVGDRKHAEKIILSRLSKRIESARVSDQSTKIRNRGENMPVTGPGATEELDQVAHFLVRLEVERAAASVLSRALSQFTQGFLYTSR >OB01G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11574644:11574802:1 gene:OB01G25320 transcript:OB01G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNFSSSYYLLTHNQILLKKYFFLDNLKQNFKALQGLKYSLIDENGRISNFDI >OB01G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11578016:11578340:-1 gene:OB01G25330 transcript:OB01G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEQELLSCVSRISPKASPPSHLIRYGEARVLTRKAAFCMDKEHLKEGRDSSRQSGNQEICYVAAYNLLGKRKEQCYTW >OB01G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11579844:11580155:1 gene:OB01G25340 transcript:OB01G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNKLAAQRAVVMFSMSSCCMCHTVTRLLCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFTGGGLVGSTDKVMSLHLSGNLVPLLRNAGALWV >OB01G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11585601:11602104:-1 gene:OB01G25350 transcript:OB01G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKGRAGVIVCSDESRDDEGDGGSISSLDQGAQGHDGDHSSDRKGKRKRGVGTPPPSSPHRDGTKHAFDPPRAGAKPTRAASARGSSITPESRQAEASSAGASSTAQAKGWKKKQLRKVAGTVIARPGARPRAALSRARASGGSGPGAPQEPELEAGPSGCPKRGPHDVGLGWRRRRSCVLPDSVRQAKATVEERVRAVSNTEAKLWALDQWARDLDTREEAESSLRRVDDEVAERSCALEDVELLLRPRDEALSRHKVALARREQALGAAEEEVGIDSLKLKLKSGPPMMVAFVERLLNAALVIGVHLAWDAREGKDLVGMSRPLDLLAKKLEAIPGAVEDMVKRSLIDLARRVFDRILVSYRARDPDFDPFVPLDDLPAGCLKKGLSLLEQINTHTSKQTKVAIQRRRNVLDDAKSTELTFCRPLGWQNADVTNGHSIGRNGWQGQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPELSEVGLNCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLTIMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVSHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGSSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIGLAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCIINAKFETKTNELSVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPNNKSISPNDVFEFNSKFTDKSRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >OB01G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11603003:11606215:1 gene:OB01G25360 transcript:OB01G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRWRARAEEEAKGHNCELDHVSAEGMSLLKHTTDVAIRRKDEMKGSTELLKQDFVRNVIELHEKYMSFVTNCFQDSTLFRKALKESFEVFCNKDVGCSSAELFAAYCESILKKGGSDEDVETALDKAVKVLTYLSNKDLFAEFHRKKFMIRFLFERNINVAHERIIFSKITQFFGGGGGACFKNGGNAPIYYNGK >OB01G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11608389:11612555:-1 gene:OB01G25370 transcript:OB01G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3KZY1] MRDLLFRNNFFKQYDRRELAKVGCGGRSKERFDRRERALTRLVERFWAFRKGWEIRIDSNIIRNGSNNRIAAGLQGHWRKYYRQLLVLAYQSCGVVYGDLSTSPLYVYKSTFITGSLRRFADEEIVFGVFSLVFWTLTLIPLLKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTSYYRPGYAPQETPILTALRSFLENHRKSRTFLLVTVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSKLTNGEVLLLSCTVLVCLFTLQHWGTHRVAFLFAPVVIAWLLLLAALGVYNVVVWNPRVLRAFSPYYLLRFFQRTGRDGWISLGGILLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPNCDIRFIFFESIPTRIFWPVLVIATMAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMVLCIAVTVGLRDTTLIGNAYGMACAAVMLVTTLLMALVIVFVWQYSCLVAALFLAAFGVVEAVYLSAALMKVPQGGGLPLALSLAFVAVMYVWHYGTRRKHQFDVENKVSLKWIHALGPSLGIVRVPGIGIIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKAVPVPHVRVEERHLVGRIGPREFRMYRCVVRHGYKDVLGEDTDFENDLVLRIAEFVQMEAAGDQRCSSDDGGSVEGRMAVVPRPSDLARTGLLMREPGEEESVVARAATAARPESLHSMHEAESPGFANRRRGRVEVADEHTDPRVKEELSALVEAKHAGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPAVALNIPHISLIEVGMIYYV >OB01G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11617805:11618811:1 gene:OB01G25380 transcript:OB01G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSNSRRTRTSPSQATQKSLSLPPPPPPPLSSSRAPAMAYRVLELTLVSASDLKKVTLFSRMRVYAVACISGSNVPMPMHGTHADRRGGRSPSWNTVLHLPIPAHGADTRGLALHVQLRCNRAFGGHRDVGDVFVPLDDLLAGAQGGGGAEPKSASYQVRRPMSGRPHGVLYFCYRITDVKPALEAVDAASAASTTEAQYAMYVPDSEKAMEKSMTPVTAYPPPNAAAAAYPPVAPYGAPYGGGYPPQQPYGYPAPPPPYAYSAAPPPAATYGYAGPPQQQPARQKGGSMGMGLGLGLLGGAVGGMMVGEMVGDMEADAAYDAGFNDALEF >OB01G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11622906:11626110:-1 gene:OB01G25390 transcript:OB01G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKVYGWVVSPWMARVLVCLEEAGVEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYIFRKYKPEFLGLGEGGSLEESAMVDVWLEVEAHQHEPAVKPVLLHCIINKFTGQDRDQGVVDESVGKLEKLFEVYEARLAGSKYLAGDRLSVADLSHFSSMRYFMATEYAGVVDAYPRVKAWWEALLARPSVKKVMAGMPPDFGFGSGNIP >OB01G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11633910:11635965:-1 gene:OB01G25400 transcript:OB01G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDNCSRECVYHWCAAVEVWEHGRVAGNGFWYIYCYDPKERAGATWFKRHAQKNMVQKALGWEMHNMVHNLGVEHVVQIVMDNGSNYKMHERHFVLIYCVPTMHGAQNQLYVKGVCARRISRWLYNHTKQHAMMKEDIGGELGKWNITFFDTNYMFLENFRKKRLELMQ >OB01G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11651856:11654558:-1 gene:OB01G25410 transcript:OB01G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSATLLTPYKMGHLDLAHRVVLAPLTWYQCPGNVPRAHNAAYYAQRAAAGALLVAEACAVSEMARGYPDVPGLWNTEQPNGNAPISSRDKQVTPQVSHDGQVLEFAPPRRLKTEKIPNIMDDFRIAARNAIKAGFDGVEIHAANGYLIDQFMKDSVNDRTEAYGGGIQNRCRFAAEVIAAVADEIGAHRLGHGQLLPFRKVFRGKFMVNGGYDREEGERAVADGYADVGAYGRLFLANPDLPERFRRKAGLNKYDRSTFYTSDLVVGYTDYPFLDDDTVSQVPAG >OB01G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11651895:11652639:1 gene:OB01G25420 transcript:OB01G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVVGVANHKIRGIERAPVVLVQTGLPPEPLRQVRVGQEQPPVRTHVGVAVGDRPLAFLPVVPSVHHELAPEHLPEREQLAVLVPVTGGGDAHPRLDHVAVEDAEVVHEADHVQGERHRVGVVAVHVVGERGEAHAEAVRADLVGHRRDHLRGEAAPVLDAAAVRFGPVVDAVLHELVDQVPVGGMDLNSVET >OB01G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11665841:11666296:1 gene:OB01G25430 transcript:OB01G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLEVESAHFDAAMSPIIFQCFIIPMFIGGSPDMGVVSESLEKLKKALQVYEARLAKSRYLAGDFVSLADISHVPTSDSVLPVGVCRCFCAPSLSPCEGLGRWHNAEVECEEGDEASEHAVCLTKTEARESARVQRYVASVCVNISGW >OB01G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11665841:11669100:1 gene:OB01G25440 transcript:OB01G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLEVESAHFDAAMSPIIFQCFIIPMFIGGSPDMGVVSESLEKLKKALQVYEARLAKSRYLAGDFVSLADISHVPTSDSVLPVGVCRCFCAPSLSPCEGLGRWHNAEVECEEGDEASEHAVCLTKTEARESARVQRLLSQSLPCSEPQKLPPINNLRLHCAHSTARNSISSCPVPPTGKRQSSDCVAYMSPVKVFGSPPFTNVARVLLCLEEVGAEYEIVDVDFGAMEHKGPDHLSRNVRIWIYSQTKSRAICRYILRKHRSSDEANLLREGVPEEAAAVDAWLDVEALRYEPDVHAVFVQHRVVPVLGGEPDERVIAESVARLEETLAVYEARLAKRRYLAGDGVSLADLSHFPYTRYFMEMPYAAPVFAAYPRVTAWWERLSARPAGR >OB01G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11669618:11670691:-1 gene:OB01G25450 transcript:OB01G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucoanthocyanidin dioxygenase [Source:Projected from Arabidopsis thaliana (AT4G22880) TAIR;Acc:AT4G22880] MTTEVELRVESLSLSGLSAIPPEYVRPEAERAGLGDAIELAARAASEDPARIPGYGSKLAANASGQREWEDYLFHLVHPDHLADHSVWPANPPEYVPVTSDFGARVRELASKLLAILSLGLGLPEETLERRLRSGQEQDEDDDLLLQFKINYYPKCPQPDLAVGVEAHTDVSALSFILHNGVPGLQVHHAGRWVTARTEPGTIVVHVGDALEILANGRYTSVLHRGLVSREAVRVSWVVFCEPPPESVVLEPVPELLLLADGADKPLFAPRTFKQHVQRKVFKMQQDRQQDAGVAAA >OB01G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11676366:11678336:1 gene:OB01G25460 transcript:OB01G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIITEQKLFKLSEGGAIMGHNARGYDEGLCFGSNKAGAWDYETQAAPDDQTERYEAFLNLSQESNMMYTDLIQQIGFLKEPNTTSQSGYQADAPVGGFMNLLQLTEDFENNTDYSLENYLNDDANLHDKHDASIGVGCNSNSEHNMYMTTSRFELDEKFEGFMQSTESLYDSELHHVSVVLLDAVNRENIFDEEPADIDNALGETELINKGIQLDSVHDVEQNADKVVQQSPDDTAVTFDDNCTLSIANSEDMAGQKQNLTEEDIQLFLHDESISAAVRSSQEGDSMHVPKKGKKFRTDKEAISFYQNYALIVGFSTVKATSYISRKKGVESKVTRQTFKCQRRKKMESSKEEENEQATLGDFLNADKNVVGEAVVKKRK >OB01G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11685163:11694343:-1 gene:OB01G25470 transcript:OB01G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLSEHDYISHNGSDTKDSDTDYVRSLFCFIKEEEGQQRKRKRKSSMSSKRKREAKNAKSIKHKHKVNYLDYKDFGLKNLTNQIPRIKYWTGDMIKTYSGLDSFTKKNFGKRTFKAADQTYYSTFENRGPSHSTHQNKHNQSCREALRDCMDKSFKIKAIDDIVSLCDNFTRHNINRSQASNIELAVQILTYISLSKGELFIKECNTPMTQNDNDKPSEQATSNMHKEDNTHNEAHIHNYEDVANKEKVSTSNMHKDDHAQNEDHMHNNKDVANNRDKPTLQCNETFVDTQQSQNPISSIPLQSPMDKRERSAERKKPMRFKQIEAGHVDSHNEANKEPMWLIHQHMKLHNTLLQIMPFNKQGFYWQSTVYFFCGIKDKGNKISFRTTKGLYLLTTRTQQKKIKVKTKIEMKGMRIARPVGQPCDGKEHKSDVARAIYEDDRKNWDPLDDNDIAEQWEASQTNQLEGSTPIKRMPHFVPPPQNIEATPYTNTSFQSPTAKQHDHNFAVTTPISPEIIKIVFFLKTWEGAGRKIDFENCARKAFKYASQQRDLHQYDLLIFPCLYNNHRFVFVVDIKGKDFVFLDSLYSEKSAYHTDVRDLTIPGFITMWFEFSKINIDFTKFQNKYHVIPRQTNLHDCGVYTIKCMEL >OB01G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11698828:11699103:-1 gene:OB01G25480 transcript:OB01G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHIGDALEILASGRYTSVLHRGLVSREAVRVSFVVFCEPPPESVVLEPVPELLLLAGVADKPLFPPRTFKQHVQRKLFKKQEDINVAAA >OB01G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11699636:11699890:-1 gene:OB01G25490 transcript:OB01G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVELRVESLSLSGLSAIPPEYVRPEEERAGLGDALQIAARAASEDPARIPVVDIAAFDGGDPDGKRACVEAGGAGGAXXXXX >OB01G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11700167:11700370:1 gene:OB01G25500 transcript:OB01G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILSKLKLPPGNEDTDSIILSRDILSLTCEPYMCLDPRGTHMSVTDCHGRISLNPRTGNEIPQYCTT >OB01G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11702867:11710317:-1 gene:OB01G25510 transcript:OB01G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVEVEPEHGVDPFLVYSIEVQNTGGPKKQIRYDIDLQVSTNRHKSHGIGVAPYQHVVLVDAVVHPLAPLTATGTSVLVEGELKKPPEGTKQRVELRVTRVLEVGEVDPAAYPLPKTKLTLENLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKENPAPSESDIEAARLIVKEKGDVVAQLKSAKASKQDITAAVAELNKAKENVSRLEERSKLKPGIPHRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAESYVQYLCKWLLEHCREDMEFMVKNYDKTAIQRLELVSSTPFQRISYTKAVEILKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELVGGSQREERLDLLKTRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >OB01G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11717786:11719108:-1 gene:OB01G25520 transcript:OB01G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGESNYVKATPAWSIPSYGIPDHHQQGSGVHGLAPQNGPPHDLQADAAKLCKPLDVDPLTMASPDEPVTYTPEWLLNHPAYSFGWRVYQYEKKTTGVKYKVLDTMMKMRDTLKNEMSNSVNTIMTNEDA >OB01G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11727053:11729237:1 gene:OB01G25530 transcript:OB01G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLLNLLLMEVAAIVSIVLLALLVVLSSYRPRPGPPALRLFVWAASTLFLPLVSYAVSAAARWDIARVPLLLAWTVFLQMLRNTIDTACSSSSSFTVGNGSATSKFRPSVEQLARMGWVAFLIVSSGGGAGGPRPPGVLLWLWVLSLAKLVHRLVAAELAKNSFAVGLNAYLIADYMKQLHGQDHGNGGQEHDVQAPPFLVMGEEKLQIEARPQGYRIGRRTSPPPLSVDAGHVVTLDRICQLSSTGEPLLTSDPQIKDTCLSFALFKLQLRRFVGCPIAEAGSRRAVAFVLDGLLGGSHERAFRVIETELSFLTDFLYSKLTVFYASGWWFPVLNSMLVLATWISCLAAGGAIVHDMTCRRGTALAIDYEELRNYLENHDTVFHVIVGLDVLVTVAFIVAIVFTEGWEIATYVRSDWIKVSTICEYVRRPSWRKSPWTRRNVGRVLRFKPMQRWDDRFWQTSILQLRLCYCGCVSRQVDRISKSSVTVPAAVKSAVVDMLRTNQGSLGNGVLSLHRNGVADKLAWACRAGAGDSVAENSVSEQILVWHVATRLVEIKRSEGAHGKHDDDGDGGEDSDAVVVATRLSRYCAYLVALKPELLPDHRAWTEELYEGVVEEVTRVLARCAAPVVRYERAATCLGGSMNATLRKAAKLGRQLGQELGGDEELVWRVLADFWAELVLYLAPSENVAAHSKSLRRGGEFITVLWALLGHAGIVSRPDTDVL >OB01G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11732421:11736796:-1 gene:OB01G25540 transcript:OB01G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKKSGSGFWSGVASWLACLSSKAAAGSGSGSGGGAGDDAAGGMVRAAKHFSSAHKINFGIILHIGLSPINNSNSTLVAFSPIKHAKKCNGFPVKRKIKHVSTDPYVMGGKKKRSRDGFWSAVVASWFSRLRLRSKMRAAIRGGSGGRAAGYDAAGEMVRGTKHFSSAHKINFG >OB01G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11740940:11741128:-1 gene:OB01G25550 transcript:OB01G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKKSGSGFWSAVASWFACLSSNAAAGSGAGSGGGAGDDAAGGMVRAAKHFSSAHKINFG >OB01G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11744453:11744854:-1 gene:OB01G25560 transcript:OB01G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEKVAKATVISVNPNNKLAGEALGTKFCEVIVNVVLRRETLVPHPYAEIRTLDDAVKMLVAWPFNQLKLCSQQSNQDLLMVLLVVLLRDLLKVLLDHLRYARWC >OB01G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11748930:11749868:1 gene:OB01G25570 transcript:OB01G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGAQYEVVPIDFSTAEHKSPEHTSRNPFGQVPALQDGDLILFESRAISKYVLRKYSSELVKEHSISDSAKVDVWLEVESRHFNEPMSVVIYQCLILPVYFGGQTDVKVVEEKLEKLKKTFEVYEERLSRSKYLAGDFLSLADLSHFPTAYYLLATPHAAVLDEFPLVKAWISDMLARPTVKKVIDMMKATA >OB01G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11752073:11753896:1 gene:OB01G25580 transcript:OB01G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRRERGRRGRRPEEGGVPVWLGKAQGVIVEMDVSSNQESEGAHEAEESKSLVKYAIFVKKDNEIYGIENTANKNALEDDSGKECIVCLSETRDTAVLPCWHMCLCRDAQCAGNLLRAFAR >OB01G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11754627:11754803:-1 gene:OB01G25590 transcript:OB01G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAFKCNAQEQRTKLGWKSSKLDKSSNIPQSSDCLGAENLIKLLKNYSRSHEVTYQ >OB01G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11754816:11755604:-1 gene:OB01G25600 transcript:OB01G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTCSFVIIFVAQKRELGLLEDEDIANLASAASAQSGEFAEKDASKENNSLEVAKSQDHSERAFYKELVKVIEASDVILEVLDAKDPLGTHCVDMEKIFTHVMFDAVQHLNFKLCHLDLVPKESVEKWLT >OB01G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11756251:11758437:-1 gene:OB01G25610 transcript:OB01G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33680) TAIR;Acc:AT2G33680] MAAPRMSHTQFIELLRRRASCPRHGEALHAWALKSGAASHAPVANSLIGFYASLPRPLLPAAFAVFDEVPPAARDVASWNSLLNPLSRHSPLHALSHFRSMLSSSTVLPSPHSFAAAFTAAARAACLSAGTAAHALACKIPSVSNVYVSTSLLNMYCKLGIVSDARKVFDEMPQHNSFSWSSMVAGYAADKCSVEAFDLFRLMLEECPSEKSEFVVTAVLSAVSVPFGLLMGVQMHGLTVKDGLLDFVSVENSLVTMYAKAECMGDALHVFESSRERNSITWSAMVTGYAQNGESDSAISMFSQMHAAGFSPTGFTLVGLLNACSDLGALAVGKQAHNLMVKLGFEVQIYVKSALVDMYAKCGCIGDAKEGFDQLYEVDTVLWTAMVAGHVQNGEHEEALTLYARMDKEGIIPSYLTIVSALKACSDIAALEPGKQLHTQILKFGLGLGAPVGSALSTMYSKCGSLEDGMSVFRRMPARDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDHITFINILCACSHMGLVDRGWEYFRSMVKDYGLIPWLDHYACMVDILSRAGMLKEAKDFIDSITIDHGTCLWRIVLGACRSLRDFDVGAYAGERLMELGTGDSSAYILLSNIYASQRKWNDVERVRHLMRLRGINKDPGCSWVELNSQVHVFVVGEQQHPEAENINVELRRLAKHMKEEGYHPTSNFSFDEELDPLAEHYEEDQLEWISAAYS >OB01G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11757956:11758288:1 gene:OB01G25620 transcript:OB01G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQYMLSRLVDTYTLDTEGILQARAWAAVPADKQAARAAAVKAAAKEWGDGRTVEEESMERKWESAWSGLWRESGLRREFQEATSRAAGGTSSNTAKAAGRRGRGREA >OB01G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11769152:11769316:1 gene:OB01G25630 transcript:OB01G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNLNVGHVVISHRFMCSKYTHLQMPNDTLIFDHCPFCPSDMMLAFLSLLILL >OB01G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11770226:11770390:1 gene:OB01G25640 transcript:OB01G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNYGCSGGSRISSNMYVIFGSNLQVQQSLATGSAVEQVLYSCLGQLYTCIIP >OB01G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11773494:11777355:1 gene:OB01G25650 transcript:OB01G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIASGGASPRPPPPPAHLDAYRHGAPPPYYHSYPRLPPGAAAPPPVPVPAQVERHRAVAVSVGVIVKGDTLRLVPDDGGRVLLLAFSFDADAPGSITVYFFAQEDGECALKATKEKMLQPVTVPFKEGRGQEFKQPCGSGIDVSLFEESELTSVGEGGVFPIAFKVEMDVSGNQESEGAHEAEESKSLVKYAIFVKKDNAEYGVQVVQQILWVDGIRYVLQEIYGIGNTANKNAREDDTGKLCVVCLSEPRDTAVLPCRHMCLCRECAQTLRYQTNKCPMCRQPVEGLREIEVDNEEIPQQGSQ >OB01G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11778040:11781743:-1 gene:OB01G25660 transcript:OB01G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G07050) TAIR;Acc:AT3G07050] MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGRSHRKKVEKDPGIPNEWPFKEQELKALEARRVQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQSSEFAEKDASKENNSLEVAKSQDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKSSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHETLLSLYRVPTFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTSPPKRDAVDDSDAVIISETGKEFNISEIYKAESSYINGLKSLEEFSHIEIPSNAPPQIDEEMLEDGKKQNEPVQENRDESMSDANEREGTKATSVSTQHDKLYTAEGILDPRKRKADKKRRKANKFSVLTDMDADYDFKVDYQMKDDALAEDGSDGRDEEPRESDPMTGVDDA >OB01G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11786003:11788981:-1 gene:OB01G25670 transcript:OB01G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNREEALKARDIAAKKMENKDFDGAKRIALKAQRIFPETENISQMLTVCEVHCAAEAKLNGLLDFYGVLQVEITADEATIKRQYRKLAFSLHPDKNSFAGAEAAFKLVAEAHSTLSDRTKRCAYDIKWRVASRIASKQALQSKQAAQSAQATRPKQQTQTPLVTEPKQGRQSTQTTEPTQGTQPMQATQPKHATQSMKSMETKAKAGYGSSVPTPSEGEAFWTMCISCKTKYQYYSNLLNRRIRCQNCKKNFLAFKINEQDVPSVFSTSAAKSARQQSGVPRQQDYHMKISSAVNRDANPMANGTQHDERIKNSVRTGGEGTANHTDSSMGKGGLEFSALHKSSTANLGDKAEGKMASCPANPDIAGSRIDTSAEPGVTDSVNPRRSARRKTNSDASNIQNSSGKKRRTIFDWFSNGNSSKKVADDNVVHADEQACEPHVASKAHNPAKESTMNEGNQEKNQDVAHDTSAQKKPCIAANFIYADPEFFDFDRCRDVSMFSVDQIWALYDDRDGMPRYYARIRRIDPTNFRVQFTWLEHDAMNEEEDKWTDEELPVACGDFFLGKTVVSRDALMFSHIVSWVKGRKRSSYQIYPRKGEVWAIYKGWSMQWSSDADNHRTYEYEVVEILSDFKLEAGAAVVPLVKIKGFVSLFAKVEEKPSFVIPPSEILRFSHSIPFFRTKGDEKVGVAGGFLELDTASLPSNLEITFPSVPLDSCMPVCKTSGFDDLQGALKENMISGGKHKDHSLERTPAHLQRAANPSPSTFSYPNTEFYNFEECRSYSKFERGQIWALYSDLDQFPKYYGWVTKVDMDPFRVHLTWLEVCPQLEQEKMWLEQNIPVSCGNFKIRNWRIKYDTNYAFSHLVETSQIGWKRYFEIHPQVGEIWAIYKNWAPGWIPSSKDACEYIIGEITERTEASTKVLLLTQVDGYIAVFKPDNERGILDVPTKENIRFSHLIPSFRLTKENGGKLCGFYELDTASVPDTFLLRGSR >OB01G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11806615:11806773:1 gene:OB01G25680 transcript:OB01G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGVNDNGLIIFIGFLYIHALLIEKGRLETTWTVLRKFGYDNELLPLRYGFF >OB01G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11814847:11826975:1 gene:OB01G25690 transcript:OB01G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALHMKYSVLQVESILFKTASRNIIPNWEGGQYEGDDATRFEALRLAMELGVDYVDIELKVADKFISFISGSKPDKCKLIVSSHNYQSTPSCEELADLVARIQAVGADIVKIATTATDIVDVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLSPKFGGYLTFGTIDATKLSASGQPTIEELLDIYNIRHIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLADDLARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPIAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAVSPLSGRLVVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKKALSFYDVVFDVVYAPKVTRLLREAEESGVKVVSGVEMFVRQAMGQFERFTGIEAPESLMREIAAKYT >OB01G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11830465:11832655:1 gene:OB01G25700 transcript:OB01G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVMETVTAGGLKQIRNEAVNLENIPLANVFVHLKCTEKGLTTDEGNERAAVFGPNKLEEKKVRLFFPFFGVDLMGVLSDLGVESRILKFLGFMWNPLSWVMEVAAIMAIALANGGNRPPDWQDFVGIVVLLLLNSSISYFEESNAGSAAEALMANLAPKTKVLRDGRWAEEDAAILVPGDIISVKLGDIVPADARLLQGDPLKVDQSALTGESLPVTKCPGESVYSGSTCKQGEINAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCIAAIAIGMVIEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAAMTVLCSDKTGTLTLNKLGVDKDFIEVFADGVGKEDVILLAARASRVENQDAIDTTMVGMLDDPKDARRGIEEILSLCNCIDDMKKRAHAVIDKYADRGLRSLAVARQELPEKNKESPGGKWEFVGLLPLLDPPRHDSAETIKRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGHGMNDSIAPVPIDKLIEKADGFAGVFPGMCSHGHGWRAFTPWRFLV >OB01G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11830601:11831973:-1 gene:OB01G25710 transcript:OB01G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACLLGSSLADAVPCAVVNFDVREGGALVDGVEGKEVDLLDAAAGVLGVVEHADHGGVDSVLVLDARRPGGEEDHVFLADAIGEHLDEVLVDAELVQGEGARLVAAEHGHGGHLLDRRHALGDSALLGQAVRPDRHGDRQHGGHRDGDAADEEDEQVVDTIAVEAVLDGVHDDHLDDHPDGNGGDAEVADGAEHLLEVADLVGAVDEVRRLPKEGVHAGGDDDGVDLALLARRPRVDALAGALGHRQRLAGERRLVHLKRVALEEARVGRHDVAELNADYVAGDEDGGILLRPPPVAENLRLGSQVRHERLRRAAGVALLEVRYARVEQQQHDDPDEVLPIRRPVAAVRQRDRHDRGHFHHPRQRVPHEPQKLQYPALFFLLKFVRTEDGGALVALVGGETFLGAFEMHEHIGQRDVLLQWKTIN >OB01G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11851867:11853481:1 gene:OB01G25720 transcript:OB01G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALVAFYPLAGRLRRCAGGGRPEIDCNADGALFVVARSELTVDAFTDLKPSPELRRLFVPRIEPASILLGVQVTFLSCGGVALGTVLHHAAIDAVSAFHFFQTWSSYCRYGEAAAVELPCHDRTLLRARSPPVVHPDAHAMFSVKLINPRDEPTSPVSTKIFAISEGQLAALRQTCGGGTGTSTFCALSALIWQCACVARRLPLSAETRVTFPVNIRRRVRPPLPDRYFGSAIVIMSTAATVRDVVSGTLAATAALVSATLRRADDDTLRLAIDYSEMVGMSSPSNGAAARGSLPDTEMRINSWMGMPVYDTDFGWGKPLVMSRAELRGGFAYIMDGAGGNAGGGGGVRVLVCMEEVNIVEFERLIYARVGQ >OB01G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11858003:11858158:-1 gene:OB01G25730 transcript:OB01G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPSHHALIVIAERAWRRGESSAWTSERKSGQKEDGYMIWINYILNVCALH >OB01G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11858948:11860695:1 gene:OB01G25740 transcript:OB01G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEEVESCYVAPSEETPRQPLWLSPLDVLLANRGHTPTVYLYQRDAAAAVAGGGFFEVGRLKKAMAKALVAFYPLAGRLRRCAGGGRPEIDCNAEGALFVVARSSELTVDAFSDLKPSPELRRLFVPRIEPASIVLGVQHLQVTFLSCGGVALGTVLHHSAIDALSAFHFFLTWSSYCRYGDAAAVDLPCHERTLLRARSPPVVHPDAHAMFSLKLNLRDEPTRPVSTKIFDISEGQLAALRQTCGGTSTFCAVSALVWQCACVARRLPLSAETRLTFPVNIRRRVRPPLPDRYFGNALVVVSAASTVEDVVSGTLAATAALVRATLRRADDEMLRSAIDHGEMMVGGRSTWNPPAARGNLPDTELRVTSWLGMPVYDADFGWGKPLVMSRAESVRGGFVYVMDGAGGDASGGGVRVLMCMEAVKMEEFKRLIYARFG >OB01G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11872675:11876435:-1 gene:OB01G25750 transcript:OB01G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHLGSLALSAILMRSQICNAVAIAKIMNATLILPVLKQDQIWKDQTFQHANLGQRRQARCGGQRGGVLGGSWEEAAAVGETALGQRGLGDGEGGGGLSVDAAASSGTAACSGTATCSGRARMEDDDSVPFAASASTSVVASLVAVAFTGLDALDFVLLEALDVTMALAVVVVLAQITRTRTTAHRQEINRMDASTSFAASEHHHHHPLPTAKLQQTPQQ >OB01G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11882046:11887577:-1 gene:OB01G25760 transcript:OB01G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor family protein [Source:Projected from Arabidopsis thaliana (AT2G18390) TAIR;Acc:AT2G18390] MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCCAELHNLLKEERLAGASLLVFANKQDIRGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGDGLLQGFDWLVQDIASRIYVLE >OB01G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11896710:11896913:-1 gene:OB01G25770 transcript:OB01G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKGHGGGLARDDDHPLLNMHPSELGRLDRQWGRDLPSHSARDARLLGNQWAMEAFLVPALGIKI >OB01G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11913103:11917859:1 gene:OB01G25780 transcript:OB01G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II protein family [Source:Projected from Arabidopsis thaliana (AT5G11010) TAIR;Acc:AT5G11010] MGRGGGGGEGSEVAVEARERDRAREWEEVADAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLVGRYKKVAYLDTDVGQPEFTPPGFVSLHVLEEQAEDFKMLYLRTPKRCFFFGDVCAKKNPKLLLNYIFSLYDYFLKEIYRFDDIDNPQKSAIPLVINTSGWVKGTGLHMLKELLKYASPTHVIQLRTSAKGKNLPTGMFWLDEPEGDSVVNLVEIHAAQDVPRHINGCLPFECSLLVKKEARVIRDLRLIAYFRQCLPMDFPVFSYNDLIQGFASIEPFQLPMSKIQVIDLHNQVPDNTEHHFLKGTIVGFATSASVPLSNRCSIPCCVGLGFIKDVARDSIHLITPVYHQLLESVDIIFRSCFTVPTCLLQVVSDSVSDITDGL >OB01G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11917663:11917845:-1 gene:OB01G25790 transcript:OB01G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLFHQKFLRVCLAANITRFRLRTCYFGTTIFLSCLLMTINSNLQDSLYLKNILVFLLN >OB01G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11927198:11931908:-1 gene:OB01G25800 transcript:OB01G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3L024] MARIKPKQLLIQSKTKKSPTRISYSTIVTWNLIVVLVVLSLYATYSHWHHRPILETEMDLLRTEHVGRSEDSTKTSRPSYAIIDTAKGSITIEIFKEASADVVDRFVSLCKSNHFKGIPFRRVIKNFVIQGGDFDFNGAAQEWILKAKANGENALSPKHEAFMIGTTNNPNNKGFDIFITTAPIPNLNDKLVVFGRVIKGEDVVQEIEEVDTDEHYQPKTPIGILNIMLKREL >OB01G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11943793:11944086:1 gene:OB01G25810 transcript:OB01G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVEATGDSESDSPAGDGGWPVDADEGDAESCCGGDGXXXXXXAEVLSWDRWMRECAGYHYQQLVAGDGASSATEDAAARADAESDRLFWEACIAHGF >OB01G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11958407:11958580:1 gene:OB01G25820 transcript:OB01G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFIFIFFRFSRAGPCVVHFFFVFFVCRVIGGFFTWVVAFVPILGFFFLFFWCVGCVR >OB01G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11959110:11964175:-1 gene:OB01G25830 transcript:OB01G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGGYVLPQCSTRGLVFLYYIVNDAALMLLLWVGCLRMSNSLVVAKMEKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKENPMLTPDITIMSVGTEITYGEAMVPDDGWEDYLNNKWDRNVVVKETAKFSELKLQPETEQRPHKVSFLVDKKSAKEVVKSLSENLGKSGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPDVHGVMVSNAQEELLQWYSENAKGNPKIIHATERCAAGIIEAIGHFKLGPNVSPRDVGFPYSKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVIQYFKSITHANGVFIQPSGLECSLNASVDALGSCYGDKQGKKYRAWVDRLFVSQSGSDSWLVRFDLWEAEGDVRLCCLTTLALNVKPETSAGFLITHIHKTWLKGYSSADEEFSKL >OB01G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11965882:11967506:-1 gene:OB01G25840 transcript:OB01G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLYLLLLALLLAVPFLSPTQEDEALAGAVLAGPLPVVARGDAPQRDAPPDEAGAPGNDGFHRHHLPGASREQSRRRRRRRRRRRGRFLLRIQSQDKTNPVLTTENIKTVIIDIFVASSETAATSLQWTMSELVRNPRVMRKAQDEVRRVLAGQDWVTEESLGDLHYLRLVIKESLRLHPPVTMLLPRDCREPCQVLGFDVPEGAMVLVNAWAIGRDPAHWDAPEEFAPERFEQRGGAAPVADFKGTDFEYIPFGAGRRMCPGMAFGLANMELALAGLLYHFDWEVPGGGELDMTEALGITTRRRSDLLLVPAVRVPLRDV >OB01G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11968788:11974825:-1 gene:OB01G25850 transcript:OB01G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLPQAVMATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDIFSKKYLDLKHDLVRTERHLLKEMGFVCHVEHPHKFISNYLATLEAPELTQVAWNLANDSLRTTLCVRFKSEVVACGVVYAAARKHGVPLPEDPPWWTVFDADEAGIQEVCRVLAHLYSLPKSQYIPVYKDNDSFTLRRTFDTSASKESPATAVASDRGTPVPPSSSQEKDAIIKAGSDKVKEKGEDDANTLPAELNGKEDPAVNSKSEKSESNVDRTRERERDRSRGRDRDSRGRDSDRDSKGRESDRERERDREADRDRQRRHRSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRP >OB01G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11975840:11976232:1 gene:OB01G25860 transcript:OB01G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSTKNGSMVHRATPGQARSPPPVMLLNLRRADGRGRFSVTMFCVGPTAVVSWSSHDITVGEAPSSSAAVPSTAHAVAATHAQRSCWRRATRAPPHHFVMPTVVTSAVEDEAESEKASSGHGGEGENM >OB01G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11989635:11989847:1 gene:OB01G25870 transcript:OB01G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRESSSSAFVASPPHPLRAAVSLSSSRTARIPQSHSNSAAAAALSSSIKIANPPPLQAKNRTDSRSQIPIP >OB01G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:11999680:12003946:1 gene:OB01G25880 transcript:OB01G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDLIARQIRVTRQEAPLCAAASFDRDAANAFQLYVAESLAFAAKRAGFLYGRVDAETKQVFVDFIYEPPQVGTEDVVQLMRDAQEEARVDAIAEGLGMRRVGLVFTQAVGRKTSETGEYTMSNREVLQATELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSETCVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSMGFPIENRGNPVTMSALRSHLDRTKHLPFVKRISDFHLLLQVAAFLDIKSDVPALAACVKNQHVVPEGYQLLIESLAGA >OB01G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12008475:12015181:-1 gene:OB01G25890 transcript:OB01G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L033] MVTKKQEGNKLALLRLVAAAAAVVVVFAGSSGTAAAQAAGLKKGFYKKSCPKAEDIARRVVWNRVAGNPELAAKFLRMFFHDCFVRGCDASVLLDSASGTAEKNAAPNLSLGGFEVIEEVKAAVERECPGVVSCADVLALAARDSVSYQYGAALWEVETGRRDGTVSSDQEALADIPAPSSAFPVLLANFSAKGLALQDLVVLSGGHTIGIGHCNLFSSRLFNFTGKNNPSDVDPSLNPSYARFLQGQCRRNRNDNSTVVAMDPGSSLASPSHYFVNLRARQGMFTSDAALLTDGRAAALVDKLQDPDVFLDHFKNSIKRMGQIGVLTGGSGQIRKRCSAVNS >OB01G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12017173:12018639:1 gene:OB01G25900 transcript:OB01G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSLAALPLPPPLCAISLPLLPLCRTFSPSLMKKLKVAHYLALAMLAHVDKDVLMVPYALKAHKYYRKRGGPIQDPSKKIPQIPHPAERFNDKTI >OB01G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12023848:12024461:1 gene:OB01G25910 transcript:OB01G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDSPGVSEAPNLLLSSTGAAGFEETPTVDDLVLRHLRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDFGGVVPWSETVYCFYRRDEGGRLSTSGEGWCLAEYEITEWSAYRRADEEEEDEWVLCHVRKTAGRKRKRRRYDEAVAAGVADEMNCLRKRHAI >OB01G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12024219:12025941:-1 gene:OB01G25920 transcript:OB01G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLRIFNGDDDSKFAIAHEKNIRNEQSQKEATTLTGWRSISRRNAQKVTDSTAAAVPVKPNDLTLRPEEIRAIQALTGELKKRKLHWLDGVHAFFTAVVFLSVAFSDVGLQKCLFPHAGRDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFHGSDNSTSSEVGGPKPEGENKIDSAMAVYLKKEEEKKMNSSVVIEVETEEEDEISSSDVPGSNASSRTVADPEDIKAPRLAFSKLIVDTLPYRLEYHEIAHFKAVHFVGNPSSDGLVVAPALPLPARRLPDVAKHPDSSSSSSSARRYALHSVISYSAKHQPSPDVLSRPPSSRR >OB01G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12032347:12034146:-1 gene:OB01G25930 transcript:OB01G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQGAEQIEELTMGGHEAVVAQTDEKKLKLEQEELKERLEKLKLNWLDGLHAFFTAVVFLSVAFSDVGLQRCLFPHASHDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFSNPNNSSTTSKKVGPGDVEAPAPQASHFANSITSPISHLEHHNVCEGEEVDERSIGE >OB01G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12039977:12040451:-1 gene:OB01G25940 transcript:OB01G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNANRGSSYDFLIDKFKSKLSSLRANKLSHAGRLALIKSVFSSIPVYYMSHILLSKNLIPKLTSIIRKFWWKGALNDTDKTGICFPGLGIRDLQMVNKSLIIQTTWRILTNPDDLLSQVLKGKYFPHTSFWKSPVHIPKSHTWSSI >OB01G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12059470:12060132:1 gene:OB01G25950 transcript:OB01G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSFPREAGSGRNEAYLSPLHEALRGTTAIPRFDAHDSSTISPIITPAPQESTTTVLPSHNYASYYPNMAPREQQVFLPRQRSFLTTPVGDYYNPSHQLQIMSTGAPPVTSLLRGDPFAVVHAHLSTTGALDSGPIFQSPAAAPEVNSMASGHPSEHQKPQHFVSSGAMSQQQRSLLGSSSRYAEPFG >OB01G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12075693:12078059:1 gene:OB01G25960 transcript:OB01G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEQEDALVAASDPTPTQPKIQSNQGEPNAIIDRWNRGRSMGKTWIGYAKAYALRFRANLLKGGQRQTRYHLKKTYFSGKSPNEVITTSPLKSMTDGQWKTLVEMWSNPKQKV >OB01G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12118870:12133376:1 gene:OB01G25970 transcript:OB01G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGWDPEQEINLAYRAHLLHDGELFLQTWNHRVLVIDGFDSSTGEPPSHWILDVPGYKPTTPNDCLSSCLGELSGVLHYAQPDTDGRSILVWSHGGGGGGGARRSEGTLKHRLSMSDAFGRDAFLRLEVDGFWSCYYDMDCLDLDRDVVFLRDYPRRKLLSYSVSTGQLTEIRAGVRRYLYYVPHCGMFPAQDQDDHGD >OB01G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12133502:12135583:-1 gene:OB01G25980 transcript:OB01G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVVQAIPNFVMICEKMHWKSWEWLSTPKFLGGMGFRDFVLFNQAMLGKQGWRLVTDPDSLCSRVLKGRYFPTTSFWDAAKPRSASFTWRSILFGRDLLKKGVRWGVGDGRTIKILADNWIPANVILEVPISRHGGDDFASWPHDRRGLFTKVFWWLKVVVVEVYPLTCTSMKETGRVCGNQCSRDDHSEHVFLLCPFAVAVWEEIKSLFGISLDRGGLMTLRLRIFEFLSRNSGIHNTVLAVTIWHIWEARNNARNNGRAMHPRRVAQRISGYVEMIVLHCSIPGNASRCDHPPSVPSWDPPPAGTVLINIDAALFQTTRQMGMCVLIRDHNGDCLLASNERAVGILTPEIAEAWAIRRALTIAKEEGLQRVIVASDCLPVIQKLQTPVRDRSRVGCLVEDIKKIGAGMNACSFIHVSRLCNVEAYTLAHCSEHSVCNIYRNETPEFGRCSVLMFGNQ >OB01G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12151220:12151726:1 gene:OB01G25990 transcript:OB01G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKLATIFLLGLPRAAAMALPSQAQNSPQDYVRLHNAARAAVGVGPVTWDPSVQAFAESYARQRAGDCRLIHSPNRDNLGENLFWGSAGKDWTAADAVNLWVGEKGDYNYASNSCAAGKVCGHYTQVVWRASTAIGCARVVCNEGRGVFITCNYKPAGNFNGQRPY >OB01G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12159327:12161669:1 gene:OB01G26000 transcript:OB01G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGECIYRSPPLLVAPSEPTPEHTPLCLPNLDDQRLLHFPILYIYVFTGALDVDALKVALSRVLDYYPLAGRLRACDDAGDKLVVDCNAEGALFAEGFLSGLTAREFLLGRAKPHESWRKLIYKVEEQSFVCTPPLVVQTMEDSCGGTILCTAIVHCLSDAFGAAHFLRAWSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGGDVHDLVADAAGAGGHRLRGGEARPLRPADQRDLLPVPPGDRRPTRRDRACVRPAGRR >OB01G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12169000:12169604:-1 gene:OB01G26010 transcript:OB01G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAKLAIWSLFALALAVATMPRPSDAQNSPQDYVSPHNAARGGRRPGLARSSVASRKGDCRLQHSDGPYGENLFWGSAGGGRGWMAAEAVRGWVEEKKDYSYASNSCAAGKVCGHYTQVVWRDSTSVGCVRVQCEAGRGVFIICNYEPRGNI >OB01G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12169564:12173181:1 gene:OB01G26020 transcript:OB01G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKLQMASFAAPCYLLYSYVHALGIYSRSCDRIFSVLHGKCLARSGVLVLWVLAKNSTNKLIKRWYYMHADHQWPRSANRLGRKSDNLELRRELAEKRRCRDDEQHQPEDQYQQLRAAVKDTTYTTRLNSNDGRELNSRHQGWHGHHCFRKGVKDESFIAKFTYKQLTSMKDLFYVVNSYATSAYAVFASRSDWHDNKSQQSSKKKGEVEGAPQALGQQELRLGQLEELVATADRILNILFAKTLDNMKIPRLFTAFLAQIALPVTFGAKDSYWTESICFKVVNYETTYHVFIGMPVLGKFKEAPHCYLMVKMSGPHGVIALSNDIKQAFAWDAHTCEIEQSIEAKIDQEQIHEKSTL >OB01G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12178396:12178986:-1 gene:OB01G26030 transcript:OB01G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTANLAQLLPTGTVLAYQALSPSFTNHGSCNDANKWLTAVLVGVLAALSLFFSFTDSVVDGDGKLYYGVATRRGLNVFNMSRKEEEEKNLGHSELCLKPVDFVHAFFTAMVFLTVAFSDIALQSCFFGQNPGGNTSELLKNLPLGMAFLSSFVFMIFPTKRKGIGYNDSTPHLQAEDVVKNDPQPSQNKSVSKV >OB01G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12194454:12196692:-1 gene:OB01G26040 transcript:OB01G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKKRKSTASAATTTTTQQPPPPPTTIVSLPDDIVADILLRLPSAAAIARAFFACRQWWRLACSTAFLRSLRAVHTYPLLLGHFATQANSPLPIFHPARLHSDSVLSAMVRRGDFLLTRLQDFGRCTLEDCNGGLLLFSTRTELIIFHPMGHHLVPIQRPRRRSFPDRGYAAHTFCLLPDLTTGGSKAACFRVISLQQRGQVVRAEVFDSGTTSWSIHPGTGTALKPARSNGNQFFPAMHAAGRIYWKYRTEPILLALDTKAMRFHYLRAPPGVTSRSPYAVGETDDGAYGGLLVIQYCCA >OB01G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12209558:12210866:1 gene:OB01G26050 transcript:OB01G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKKRKRASKPPCLPPATTTLESLNDDVLEEILLRLPSVASLVPAACACSRWRSLASSSAFLRRFRARHPSLLGHFATDADDESAIPTFNPARDQFDGCSDXAVRGGDFFLTHVDADAGWRIQDCRHGRVLFANDSDDLLVYDPLSRRGVSIRRPMGDPACHFTHCLLAGDDGGDGSSPDSFRLVSIEHYGERAARAAVYSSRTGAWKRGRWDSRVINPKRPGDYSYFPAMQAEGRIYWKNRDTAKIQVFDAGAMRFSYVHHPEGVGPRSKYAVGEAEDGGCCLVCLAEAPHGSVFKVWRLRVGTGEAAGSWTWELERRLLASPVIGTVQYPPLRHVCAVVAGVVLVCFQNYTGPRRHVAFRLSDMQVEATFKSGGWAYPFFMPWRGVAHLSRRPPV >OB01G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12213143:12215962:-1 gene:OB01G26060 transcript:OB01G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKKFGGGRLPTGTPSLAWSSVVVVISLLAGASVVHNIYRPDMTIPPVESVDGNKES >OB01G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12222221:12228962:1 gene:OB01G26070 transcript:OB01G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVTFCAGGGPPPPPAITVRLPPARAANTSSEPAARLRAVLERVDEELREGNDEAALSLVRGAQGADGGLRFFGAARQVPQRLYTLDELKLNGIDASAFLSPVDLTLGSIERNLQIAAILGGLSVSVAFELSQLQILFLFLGLLSLWSVDLVYFGGGVRNLILDTIGHNLSQKYHDRVIQHEAGHFLIAYLIGVLPKGYTITSLDTLIKKGSLNVQAGTAFVDFEFLQEVNTGKLSATMLNKFSCIALAGVATEYLLYGYSEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVLTLRRHEKARSQLAEAMSSGMSVGSCIGVIEENINTEDI >OB01G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12239396:12240150:1 gene:OB01G26080 transcript:OB01G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPISSTSLLRGPSPSCAARPAPSLPSAAPTPSPLSDAGPLSSLPCDTAGVVSLVHRDVITARRGLRRLSCSVPRHQPVSVKGRNKRPSRMSHL >OB01G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12256133:12263290:1 gene:OB01G26090 transcript:OB01G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKMHHGGTCHQEKSCRSHGNELFAIDEQVSHLTRLKSEPCERTRASLYAGEKRPISTFKMLSGRESNCSGIGRFSSADCSYALGKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNADKKWTIYKDITCKKLRWTISDIALSPDQRYLAYSSLSPTVHMVDVQNAVRESHANITQIHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICVYDIGANKVTERIHAHSADVNAVTFADENSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYFYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDSMLKTGKQRMHPEGYTFSFVL >OB01G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12264765:12271690:1 gene:OB01G26100 transcript:OB01G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSSWSAPTFGLSRESSSSLFSHGFVGAMGPSSFSSLPIPGFGGAMPAYISKSHEELRHEDYQRGDKGGDVLQKIMFNSAPILPMPIPPSVGTPVNLINSSEPAFSLKPQTNFSTPLSAATTADQRSAELQFSTQNRYYASNLFWSSLAGPQLSFSSAGLQSSTPGLNCTASTSFSHLFLPTKQLPVGTQSSTLFPTPCVHPPVELQKNATTHCPISQTAPCTEHGGIFFGTSANTFSAATSSTPTTSSLGPSSFPATRHGDLFNPYCSRSSHAPSTGFACQENVFSNSAAYTSNVNSDFPMNTIDLLLPNNIRLVRIRFSSTNDGDRSLPSKVHSVDRHDAKASEVPISLCIYPGENQELTIKSMVEPAKSHTGKQSSPPGGSRPDDQSGRIKVCNSADGASSGPGENRKENSGAGHKTLRSPLAAPHCGVIAESLLPRLYSADYYTVPSIVELAVREREEPGYCSHVKGFTVGRHGYGSVKFDGETDVRKLDIGSIVEFNEREIIVYRDVSNNTPPAGHELNKAAEVTLLNVKCVDQKTGLQFTKGLSVDRYKEILVQWTRDHDAEFVSFDAAKGEWKFRVKNFNM >OB01G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12288666:12290579:1 gene:OB01G26110 transcript:OB01G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor-like kinase in in flowers 3 [Source:Projected from Arabidopsis thaliana (AT2G48010) TAIR;Acc:AT2G48010] MSSSSSPLLLLLLILLLLLLPDAARSQRQQQQCPLNFTALGPFLAPPLPADDSARCLFAVQSVRLLLALHLAATGSFVLPANASCLRPLRAALPFALPPPSACGLSGLDALLASPGCGNVSSLGDFDAAVPAASRADMNATCDRDLTPVPDCTACTTSLSKAAAAYLLPGSPDNGGDNVTGCGQHPLLYAGAAASPRGAADPATAFCLYLLKVNSPSNARSGVPGWVYGVVFGCVAMVLLVAAVAGSWFFVRRRRRRAAAAALAKATADSRSKRSQAMESISASTTLVQFTYDEVKAATGGFARESIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMVWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWSLVRRGKTVDVIQEGMAEPGPTEVMEKYVLVAALCTHPQLHARPTMDQVVKILEADSVSGPLIIPERPLPVVANLAEIERSASGSGSGQLFSPSGFRSFTRINEDADLESPKED >OB01G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12302761:12303081:1 gene:OB01G26120 transcript:OB01G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHNVLLPSPAQRIRATSSQQRRPTARAPVPAAARRHQGKPRRAPAAAAVEVYAGPAFSVSPEPSALPLPQFPFRKAAAAVAVVDDDAATRDLRRMLRLE >OB01G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12305471:12305845:1 gene:OB01G26130 transcript:OB01G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRPGMPNQAYCHHSSSLMRWHPWRGTSYSYGPIWGAFLSCSFFPKAASIRKCPKRSTTYGNLQLFCKRAFLDSQKAGYQTSTSQNLKLPKQTLNSSPSSLPMLVVARRRMRAFTMEAFRGF >OB01G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12308602:12312312:-1 gene:OB01G26140 transcript:OB01G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSGYPSKQMKVALARRQAHNKSLQDQNEQLKLENEKLRRENYAIKMQGFKKSCCACGMSAEHACLGTEIGRLYGGAATQETGAKPEEVEPPLPPAAGAKPDEPPSK >OB01G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12330613:12332706:-1 gene:OB01G26150 transcript:OB01G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRGGSPDVPSLLADVEAGDLDGFDVVTPSPHAGPGPSQDLHHHMTSRAPVPLLERVARRSEVVFPPLDSPFQAPGYRSVQPVSISLPASPTGFGVPLPVSVGSGEPDDLRRQAMSNAARDAEAAQLGQTEQGKGSSNVRFVQPDKVVFRSQPIPGGKPTARRATSNRGGRMMSRDRRYDSFKTWSGKLERQLTHLAGAGPEVDRFFAALEGPELDQLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSAPTRFLHVTTKVNLVLWCASVALMCIIAAIYACKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPPWLWYALMAPVLCMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKIADEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSVVAVLTVMALLATTIVHGVVLRDLFPNDISIAITERNVKPIVELQERLDDNGSSIDEHSNDVETGTATATATANSASII >OB01G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12352672:12353169:1 gene:OB01G26160 transcript:OB01G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPLFARVIPSLLCFLSMTGGTHPPVFRPLKCRSFSKSSPKFLVGQSKACATPL >OB01G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12354315:12354824:-1 gene:OB01G26170 transcript:OB01G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRHGGVRILSRVRGSRHDLCKASSWAEKETKLKPSILQLQLVMMAPLHRYFRRRTEPHFNLGNNLLMTHALALALVGKIKQG >OB01G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12363128:12365595:-1 gene:OB01G26180 transcript:OB01G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWVAAAAAGGGGVKVVEVLWWRPRRVEEQFARQGIRGPRYRFLVGCVREMVALMVAASAEPMPPPYRSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPELIREVLLARADRFDRYESHPMVRQLEGEGLVSLRGEKWAHHRRVLTPAFHMDNLRLLLPCVGRTVVDMADKWRAMAAADKSGEVEIDVSDWFQVVTEDAITRTAFGRSYEDGKVVFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEAGDDEKLDGCAKDLLGLMINAASSGAGKKRPQPVSPITVNDIVEECKTFFFAGKQTTSNLLTWAIVVLAMHPEWQERARQEGVDVCGAGSVPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGYLKIPRDTELLIPIMAVHHDARLWGPDAAQFNPARFADGAARAARHPTAFIPFGLGARMCIGQNLAILEAKLTIAIILERFDFRLSPNYVHAPTVLMLLHPQYGAPIVFRPRSPCQPADQTCEKMNPLTNQ >OB01G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12399472:12422456:1 gene:OB01G26190 transcript:OB01G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTGGCAGRFLLPLVLLAASLLDWSLSSLVNMIFFFAIRFVAPRRGFRAWRLYLLYWCTIVYSVLAILAQVTFHIIWCIEGEEWIVAHSWWAKLVGFMRNQPGKSPSVIYFLVVQLSATVLALVEVFGSRLYQDSCWLNFSFGIEQLGYHLRAACCFLLPAVQLVVSISHPSWISLPFFVFSCIGVVDWSLTSNFLGLFRWWRLLEIYSVFIILLLYVYQLPVKFPYVVLAFADFIGLFKISSNSEWPEVSSGISLLVYYFMLSSAKQDILDMDSLMSLENDGLAEELLPSRNVFLVRQSRSGRRHANVLLRGSVFRTFSINFFTYGFPVLLLALSLWSFNFTSICAFGLLAYVGYILYAFPSLFEMHRLNGSLLVFILLWAASTYIFNVAFTFFNKRFQKDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFLYTTEGGASSSDDHLIDEKEDTMVLIVATLAWGLRKLSRAITLMLLFLLVVKPGFIHAVYMCFFLVFLLNHSIKKGLRQILVLFCEVHFSVLYILQLDLVSNALERSGSLTMEVLSQLGLSNNSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPCPPVGFTILKAGLNKSVLLSVYNTQNSRNGQADRSTHEKKIASYLSKIGQKFLWVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLVWIIGRQLVEKTKRRLWFPLKVYATVVFIFTYCLSVSPLFAELVSKFVKLYPDLGFDSEASLLKNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHSEKILSAAVFYACLSSICLSGLIYLLGLIMFSILPKVSRIPSKVYLVYTGLLATSEYLFQMLCEPAQMCPGQLFHDWSVFLGLKHYDSGFWGVEYGLRAKVLVIVACTIQYNVFHWLDLMQTSLIHEGNWEEPCQLFISGDTSSSARGENEENHSSNKFSLLFSKVQGLIGSSSSLSQSSGNTYQTSEPVQNETSGSDEGKRYSFAKIWGMPKESHKWDKRRIIALKRERFETQKTTFKCYMRFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVVCILMNRDLIQKLWPLFVFLFASVLVLEYFALWKEGIPWLQGTNDIEVHCRECWKNSRIFFEYCSKCWLGLIADDPRMLISYYVVFIFSSFKLRSDRLSGFSDSDTYHQMMSQRKNALVWRDLSLETKSFWTFLDYIRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNRIFKYLRMYNFALIVLSLAYQSPYFGQFSSGKCDQIDYIYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEERKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRRRRNTKLYTDNDTLLEVSAIGSPTKENKTGNTDSFHSFEFSVEDAQKNLTDSMFRTPYDTPRSPIMGTSDELKLTDNARNSLGERSEITELGENEGKVNPNLLKPLNVRGGVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEQCSSEHPTEGDIYDMVESQRETHDGQLLRTHSVTSGTGTKSSANMPIGVIFRYIWYQMRSNYDYVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNYGPSYLFWVVVLIYTELNILSQYIYQIVIQHCGLNIHVPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNSVEETHCMPYYNWRDRLKNIHLPVMNLIKMIGTGISRYWMSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQSNSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQLAGWYKSLTPAANVEKEIHESQKAGLFEDVNFPYPVLSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMVLFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRSVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHQQGEKQTKMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTACEKIPWKYMRAYDDVDPLDYLGAYNVEDIQLICCQPDASTMWLIPAPVQSRFIQSLEETEMFFGKMELILNWDFLRARPKGKELVKYESPVDHSPSVDDVKQVLNGTRNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDRAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >OB01G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12438864:12439538:-1 gene:OB01G26200 transcript:OB01G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPADDLEACCGDDKKQPQEIIAEHAAVRPIRPPVDKTLSGASDLLKLLPTGTVLAFQALAPSFSNHGVCHAAANRYLVLALICACAASCVLLSFTDSLVGRDGQLYYGVATLRGFRPFNFAGTRDERDAVFKDLSRFRITALDFVHAFFSALVFMAVAFADAAVQTCLFPDAGPDMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >OB01G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12453068:12455574:-1 gene:OB01G26210 transcript:OB01G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDYFLPEIQDWMEQDQQYYKRQYDDNHWMSLTSWINGLRFLHCHVTSVMSKDTGSSSSDEEIEESYYGTEEANGASDMPEEVNSCVKFSADNIDRRCMTNQSDGKHKQQKCFNGACNMPTEIINSEELPPNNNFECSRSNKIDNVIVKPGGAQDHSNCQCWGIRFKRCKTSTEHLVEPLPDTITELEKVADKIRWVKNLLPSEDSGPLNAANPWKAQEKDALMKHR >OB01G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12477797:12478324:1 gene:OB01G26220 transcript:OB01G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGEVDSASLPVAEKLQFEVIVRSPWEEHLLHESGRDGAIKPAEDDTVHLCPVGVIGARYVGEDMVLKGIFAERDEGEAAPVRVVVRVEIKSDGDERLQRLHIEDSDGLAVEGGDIVGVSPPSTADGGTTTSCGGADGAVSVLMPRRARRAKTQVEGVPELSEEKPAEARRRG >OB01G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12484920:12495370:1 gene:OB01G26230 transcript:OB01G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MAAAASPLLSLTFVLLLVPISNAIYCDEDDCYDLLGIKQDANASEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVFIGLLLIISAFQYINQLTRYNKAIETVKQTPAYKNRLKALEFERTGGISSKKKGHKQMDKKVEEELSNEVELQIHGVEKPSMWSLYGVQFVLVPYSIGKVLSWEFCWFWRYRVKKLPYTWEDACYLTRMSLKIPANTWQNIDDYRKENLVMKRLWEKSSMERYIAEMRKESKRRR >OB01G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12502459:12504279:-1 gene:OB01G26240 transcript:OB01G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVWCDCLGRVRGISRHIESGLPCVIPRIHVYGFSKAEDPEYDFHERINLTLGENVTDVEMHRVRLVAPGKWMLCASFTLPESVASTKPNFIAC >OB01G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12530969:12533899:1 gene:OB01G26250 transcript:OB01G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCAFNGKFFFKKKYTVNGLGNGWVETSKLGASLFASRHRLHAAATQFFSHCLLPRLGCPTSGRLIPHLRLKTLSFSYTTITGTCTSSSQALRVPPRLHGPSLRRGRRLLGAADGPLSFARIFDLAALRVPATTCAPLERRLRGHLLNWPACATSSAFPAATAVTTSSCSALPLRDPPVPSPRQPWWGVVRSWLASSTPAVSCSFPTLPSWSPPLPARKRKRKEDEGGGEAAVMARNSKDKVYVVEVVGEGMVHDDEWMGLVGEEGFGSCAWRGGPTRLLLLDESYANRTVDELPDAIKVVLDHETNKDGSSAYELVKCQVTLFYDYWPMNEVLEELLPEGIITPTGFETVGHIAHLNLRDEHLPYKKLIAQVVLDKDKPKIQTVVNKTDAIQNDYRIMQLEVLAGTDSLVTTVIENGLRFQVDLSTVYVLVIS >OB01G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12587208:12588695:1 gene:OB01G26260 transcript:OB01G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTPRPPPLLQHLLPGPLLQCVELPHRLLVMAHGGLHLQQGGSTLIQLYGPLLQLMSVASKASLRQRSVTRTHTGITLSQRQYSLEMLDPRDWMGYPNTRKSTSGYVVFLVDNLISWSSKHQNTKSRFSDDAEYRELHSPPSQAALVYCDNVSVVYLSTNPMQHKCTRHDDIDLHVVHKKVIVGVTQVLHVPTSSQYANILTKGLPTALFANFCSNLNIRPTDDQSMGAC >OB01G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12653012:12657825:1 gene:OB01G26270 transcript:OB01G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal RNA processing 4 [Source:Projected from Arabidopsis thaliana (AT1G03360) TAIR;Acc:AT1G03360] MRDLQLSLNQTQKVRLDAALHELQTIAPASTSAAAVSVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIELAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLIVPAYLVKRRKQHFHHLEQYDADLILGCNGFIWVGEHVVVGQNINIMEDKPSLSAEVENFTPLETRRHICRLGNAVRVLSALGFTLTAELIIETAEASVSSNFEINDMLGAEFYVQTAQREAKRRADLLRKKSGTM >OB01G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12659841:12671800:-1 gene:OB01G26280 transcript:OB01G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGREPMPERGPLVASPPPPPLGVWQQGQQAVPHLLLQSHRFYPMAAVASSPPFPRATSALRPLLVSSGLRFRPSPAAVPSHFSVGHGSHPWPGFRCRAAAGPSPPSSEPPPPHGWQERLSRLQDRIRIFFAVLFWMALFFWGSAWDGNNNSGGTKRQRFRKNSK >OB01G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12682660:12682896:-1 gene:OB01G26290 transcript:OB01G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGALVNWSCTANSPGLHACRDATLGQYFTNSKLISSYLGSYYYYPKFYIMYLSLTFHEAGITCTLSLSSLLKQQI >OB01G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12685013:12685771:-1 gene:OB01G26300 transcript:OB01G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSADAAALSRCSSSQQQRRQANKMQQRSQQMQHRGNARRGELTGGRRWSGTKEALLLELDEGVPPLGTRSVAPGGTGSGQRGRAAEHWNEGRRPPTPDSGRRSAYKAAFPLSPHVFLGRNRLSSRLHAKQQIS >OB01G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12685730:12687914:1 gene:OB01G26310 transcript:OB01G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRGRTHQRFSLPQPAPALPSLLDQIFILSLHLGYLQPSMAAMSVALALLQSCPTDRSSDHRNIQDMPSPYSHMQNWGNGTHPPGGFMSYFQPNMSQNFHFVGAPPQFAPVNCNGSSPPLAETATPPTRHVNQNPISIDSDDDTDIVSAWLNNSMDPINGNNKKAEKYWGDVAKEYNKTTEQKRWRNSKQAKERWHNINARLDLFQGCWLKAKRTYTSGYSDQMWIDMAQKFHAEENPKLGHFVLTEVWNICRDQPKWIACNDALKRVRKRNASDNREPTEEASANTDFEEPPWPIGQKAAQESKGKSKATTDIDDIEKLKKVQADIQTRRIKMMEMQDMLSARQVKSSKLSQIAARENILAAKDNKEAKMFDTYSRLLTQDTRSMTAEIRAEHATAIRCLRKILFPDSI >OB01G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12688184:12688387:1 gene:OB01G26320 transcript:OB01G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADYRHQVLLFLNKFIIDVRIQIFWMQMYEDSCYVKTDYYIQYWFKIIWQHMKEKRDFVLSNGDYRIFQ >OB01G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12688596:12689729:1 gene:OB01G26330 transcript:OB01G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTGSSHSEDIAASDLDDAAAELDDDAIAASDLDDVGADLADDEAAASDLDDYVYDFDDADTDLDDTDFDADDFDPMDTYNMDDFVAESMLLDEYSEKIIDRLKEKIASGPSCCRRHIGTRRYIPRNREAGNDDLVANYFSESPVYIDEMFRRRFRMRKPLFLRIVNALSEWSPYFTNRVDTTGRAGHSPLQKCIAVIRMLAYGTPADQLDEVLKIGPSTSLECLGKFAKGIIEIFGDEYLRAPRIDEVESMLQVGESCGFPGMLGSIDYMHWPWKNCSVAWRGQFTRGDKGVPTMILKAVASKDLHIWHAFFGTMGSNNDINVLNKSPLFVEVLRGEAPRVQFTVNGNQYNTGYYLADGIYPEWATFVKTIQAP >OB01G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12691571:12703997:-1 gene:OB01G26340 transcript:OB01G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGRVERDERELDDDYEQQQTRVLMALMQGFCAARYRKADNTPCPIDQGLYLGSVGAALNRDALKSLNITHVLIVARSLNPAFPSEFNYKKIEVLDSPDIDLAKYFDECLGFIDESISSGGNVLVHCFAGRSRSVTIVVAYLMKKHQMSLESALSLVRSKRPQVAPNEGFLSQLENFEKSLQVEQERKIVQSLQT >OB01G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12707648:12711741:1 gene:OB01G26350 transcript:OB01G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20020) TAIR;Acc:AT2G20020] MATRSLLAQAQYPSPRLPPNPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAVAKRRRAPTPFHPAFSAAVRGRPKKIPIPESGEPAAGVRVTDRGLAYHLDGAPFEFQYSYTESPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKELPEFDSFVLPPPGKKGVKPVQSPGPYLAGMEPRYQATSRAEVLGEPLSKEEIDELVKATLKTKRQLNIGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPSYPLMLWKPAAPVYPRLVKKIPDGLTPDEAEDMRKRGRQLPPICKLGKNGVYLNLVKQVREAFGACDLVRVDCSGLNKSDCRKLGAKLKDLVPCTLLSFEFEHILMWRGNDWKSFLPPLEENNFEVAHEQILNSEVADSGSALIPLELVNNAMSLKNSNLVEGKEKVEDSMKYSLENGMTLDPVGANPGVHNSVGVDGTEFSAVAPFEFSPSNPASDLGPSQRSALHCKSVLLDKSENGELVEMYSGSSGSSEQSSDVPEALPQLIGSSEEIHELETMRRNREQLKGSDGVNSGSIVPSYMEVILLLLKQAVDSGLALVLNVNEFSDADDVYQKSVAFAKRAPRYPVFRHTPRKSHGARKNEPAKNIRINKDLEENKVSDHVRKKDNVMGASGMHRNDHAHEFLSDVVPQGTLRVDELAKLLA >OB01G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12717771:12725202:1 gene:OB01G26360 transcript:OB01G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLTQCGGEGRMEKKGKQASGLGLRSTGREEREKEWAEGLGKTQDVTLMKPLNTTSFIARQGYMAILDFVVAQIWLSSLKHLYNVVICLKYIFSLYGTSLSFFFFTKGRSKTGNIVEWTSKDLLRGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMVRWLKPDLMIESGAFKGHSTWVLRQAMPNTRIVSLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWGKLLRKHGIPDPSRVLVFFDDHQSELKRLKQASKFGFRHLIFEDNYDTGSGDHYSLRQICDQAHIRGGGHSCFWDSDEARLRSKRKSFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMAYVQISGSMLSREDA >OB01G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12725097:12731958:-1 gene:OB01G26370 transcript:OB01G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-arabinono-1,4-lactone oxidase family protein [Source:Projected from Arabidopsis thaliana (AT5G11540) TAIR;Acc:AT5G11540] MLDTFLLLLLLSTLLPTAVPLPPRPPVRCGTGTAGCVLSNAYGAWSSDRVDCPVGAVTYPSSESDVVAAVAGASAKNMPVKVVSGFAHTIPKLACPGNGSSAPSLLISTARYDAVAVDAVARTVTADAGAPLRAVIDAAEASGLSLTAAPYWEGVSIGGLVSTGSHGSSWWGRGGAVHDHVVGLRLVVPAGAADGWAKVMALEKGDALFNAALVSLGLLGVISKVTLALEPSFKRSISYDYRDDSTLQDDFANHAASHEFADITWYPSQHQAVYRIDNRMPLNATGDGVNDFIGFQSTLIAVSSGIRALETALEASRSVKGKCKMAAAEIAAKRLIGNGLRGGLLFTGYPVVGFQGKMQTSGSCAHSPVTEPLSACPWDPRFRGLFFYESTAVFSPPARFRDFVLDVKRLRDLNPDNMCGVDAYNGLLIRFIKRSDACLGQPEDSVALDFNYYRATDPSSPRLNQDVWEEVEQLAFVKHGARPHWAKNRLVAFQGVQGKYPGWAKFAAAKLQLDPRGLFDSRWSDEVVAGKEEHPKADGCALDGRCICSEDRHCSPSKGYYCRPGLVYSESRVCRYSVSQLV >OB01G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12732084:12732251:1 gene:OB01G26380 transcript:OB01G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRACVVGKVSQYCLPRMGNLALPATRSWDTQIFCSTTYTLHGESHPCTGYVITE >OB01G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12733663:12734091:-1 gene:OB01G26390 transcript:OB01G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPPRRATAVVAAATVVVRAQSVWAAVEGDEAVDERKDQMALAAIVQAVPEAMVLGIAEKDSASEAWDALKEMHIGEDRVRKANMQTLKRELERMYMRDAETIGEYSLKLNTVVNKIRALGEKVEETIVVEKLLHTVLNKF >OB01G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12738817:12741117:-1 gene:OB01G26400 transcript:OB01G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 8 [Source:Projected from Arabidopsis thaliana (AT5G12380) TAIR;Acc:AT5G12380] MTTIVVPPVIPTPAEDADALLKAFQGWGTDERTVIAVLAHRDATQRKKIRMAYEENYNENFIQRLQSELSGHFERAMYHWVLDPVERQAVMANTATRCIHEDYPVIVEIACVNSSPELVAVKRAYHVLYKCSLEEDVAARATGNLRSLLLALVSTYRYDGDEVNDALAKSEAKILHETVMNSSETDHGELIRIVGTRSRAQLNATFGWFRDEHGSSITKALHCGADPTGYSHALRTSVRCISDANKYFVKVLKNAMHKSGTDEDSLTRVIVMHAEKDLKSIKDAFQKRASVSLEQSIAKDTSGDYKSFLMALLGKSGI >OB01G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12752622:12757746:1 gene:OB01G26410 transcript:OB01G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEWNDDQQQVGDAIWAEFNESEDHIVPYPKDTEDSTLVSVGDQKKNDEETANIPGLTERSSSGQTEFPVLNKQPACQASGHYSATGLDIESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLQKVTDNTAVQLDGETEVFVNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGESIFDNEMVADGSNFLSTSSDLVDTTVQSIPFPHVPLNKQLSSDHGSSSLLINETPGVTAEQESKVVDANAKSGELAEHKNLLSSEYSGKPNHFPKEGDVQKKPVRSRRRTEERGKSKISNSATGFSQNQGQHQPASLHSLAKAPPQPLQNPQYLLLHDNKNMGQLQQANQFMFPGYGYPSYQFPGIPMMSNIQAESPQTKPATTNFRTSIDSPKQSSSTEKSQDMPSRPLTMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTIVPQSYSPKSRNPDSLGSSVIIDDSANKVLHSEPIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALGKLDTRTRRCIRDSLLRLAHSSSERQITSDRSSANKSNKDEDEVSEDTSNMTRRSPAKEGETNTNPIDRIVAHLLFHRPCSKVSSPAKEEIISSPPLSTEPGPELKIPADTPGGLSQNHQNLQEMTLQPSL >OB01G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12760456:12762054:-1 gene:OB01G26420 transcript:OB01G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHRASLLLHQQQRNGEEEGQQVTAEEGVGKMKELRRRLVDYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPFSAAVDDLLQMGRTRLDDEAAPAPAPPCAAVANGTICCDRTAMRTDVCVMRGDVRTEAASNSIFLLVPPDNSTAAAAAGQYERIRPYTRKWESSIMSTIDELRLRAVPESAKAPASCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARQYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYPPIDFTNDRRTHCFPEAIIGLRIHDELAIDAARMPSNRTIQDFRRMLDDAYRGRVQTIIEEEEKAAAVALGMATHGSIKKKSALKDNKPRMVIVSRNGSRGIENEDELVRAAVGAGFRVAVLQPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYREYAKDDPVLTDPDTVNAKGWQVTKKVYLDGQNVRLDMARFRRRLRDAYDHWVEQRQRDGTEETMVEG >OB01G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12780976:12795703:-1 gene:OB01G26430 transcript:OB01G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEGQEGWGGWTEESIPTSGAVWYKALESAIHSVLTGGMSCMVLKDWASAAWSHPLGQAGQVKVGGVQDTGEAGPANMAMGCLHDVLEIEGDGRKDPGDDDVVEVQPESVPSLNRSIGEDVVIEGVAAKTEEDLVPPAAKGGGRSVEDDGNQGLDVLDPDSLEVELGDHQVARGESGTGTTGWSLWMGREGSGVDEGDSRGRIGGEEQILLRLSDPVNNASVVVRSPPRREQRHGPAPEPLAPRSSLQGEHRLVWHPLWQSYQGRTVRPRPTDRPIYRLRPTAFCSARQPKPTCPPFSLRLCGLPSQPPLYQSGLRHRPDLRLCVLTGVGLATRDINIEATAIPVAVDHRISIAYYYRIADNLVRQANIYREEKNLLDLYIILLRYSSVETHECCYFVNELEALKPVVQQQIAELNRGVAEEPNGQNGTYVVTSRMDHLTQSSCLTAVTDDVALNSCKCLCRYSGYIISSLLMQPLVGSPTGLLKKPFFAGKHQVEPGSSGKPNSQLVRSYGNLPYPKEETLSRHLVLGPNGLHGKWTAHVAGTRIQYPSNAELTQSDISSLLPSILNPDVLYGPSKSQDFPINENKDMQSVLSLDDGRWFLPVEEPTSVSPGFEEEFSQLNIRQVSPPPFMAQVHAQHRTISTSTVADLGPGIATSSTGRYQNLHVPVTLMECFLRVAEVNTANNLETCGILAGTLKKRAFSVTTLTIPKQKSTSDSCQATNEEEIFEVQDKGSLFTVGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDLGGMGVIHYCQESGFHPHEPLDGTSIYEHCSHVYMNPNVKFDMVDLRDL >OB01G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12801888:12802818:-1 gene:OB01G26440 transcript:OB01G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSPRQATRDINIEAAAIPVTVDQRISIAYYFCIADNLLRQANIYREEKNLLDLYIILLRYSSLLCETIPKHRDYHAFKLREKEFLKKSPHNSDKLMNVVNELEALKPGVQQQIAELNRGVAEEPNGQNGTYVATSRMDHLTQSSCLTQ >OB01G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12815339:12816842:1 gene:OB01G26450 transcript:OB01G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWCRVWWPRGRLQPVPPARFVLFGWLFARTSSVDVVVATALLHEEILLSFPTLEALQLELKASQLLEPTKLSELRMSIARSLSELEMFTEEGERLSTARRKMAINETILILSTEVFGGSGADMV >OB01G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12827055:12828459:1 gene:OB01G26460 transcript:OB01G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKPSLVGKVLFHRYTLAGSSTTPAPPVDETPNDGKKRRSITRHPLRASKSITFEHGTSKEMNTRPSSTSSDDMVNFRATVNEPTSMSSDQVNVCNDFCYSYKFGHSATTKRGLSDVAAPPPHRALLGSPPPPSLQRRPHRCCCPSTTPPAPRMSTTATPPPRLPRPTPPLHRATTDFLRLNNFSFLYLHRRFKMPRMTPESEMAPSTFKEPSWCHQDIST >OB01G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12828484:12833687:1 gene:OB01G26470 transcript:OB01G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEPLIQRKDDSAAVLKSRVEAFHIQNHQNKCHWKPPIPNRKAKQDTSLQDLQMKGFLKMEQGNGSGEKDTKINLKSDLEIKNQVLESSRETNRAILVSDSSPEYLKLMGKFGKVGVRTMFLNFDLEIVIKPLISLRVPYEPQESEHQGYETMGNTDINRARTSAQSEANNYTQGFLGKNSDAFHKIKDAEVRSFIESCLAPVEKKECLQQSCRMALSSRKNDVPISISLVKNMSEDGHESISFMLWKGQFLLKGNVGVPSHVDLWLRFPDPSGNHIC >OB01G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12836869:12837060:1 gene:OB01G26480 transcript:OB01G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIRTFFLFLTNSDEPFLTFIYLIRILGFNWSLQRVNKTDNRLHCEILHEYKLGVSVLFLYS >OB01G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12844142:12844459:1 gene:OB01G26490 transcript:OB01G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKTAIEPLVVGPLMSKVENMCCYYPLPFPDPFNLFFFASFLNFLVLLILSSCVEVKQNTNGPQLRLEVMCSLQVIKVISTNQPTKRQRHPILWINKSETRYV >OB01G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12854763:12855032:-1 gene:OB01G26500 transcript:OB01G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHYPGSQSQKKPISKDEPRNTHVQIHQKLLLFLGVQLSENCTNLKSPTTKIDDWLEIALPLTSECGACTIFSPSLANITIIHEHPSA >OB01G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12863685:12864696:-1 gene:OB01G26510 transcript:OB01G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDHHIAMHRKDGCPSERSVRKWVITEVEQKCRGFLWKGWEEVNGGHWLVAWKEPNEAELDNMARSLMYIHVGDDALMDFWEDPWLTGIPLKMKWPELLFHSQETPDSEARFTQPHMDQTLQGTPFRR >OB01G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12872042:12872687:1 gene:OB01G26520 transcript:OB01G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIQGCVYAANYMPKLERIIMHLYPEMHGCFYGMVEQFNFGLGISCPRKGGRSLMPGDVFRGCDAPARGADELEQQAPPSPARVARQLEMVDGLSRVLEKEEAMAKRSSVMLAREAVELVLTSHWAHDPHFTSFLARDEFLPPGRRRAPALQSGRPQG >OB01G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12874949:12883632:-1 gene:OB01G26530 transcript:OB01G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVSRCRDRKKLMADAVQARNAFAAAHSAYTVLLKSTGGALSDFAQGEAPDLVGSHHSPHLVAAPAQAAASVSAPPPPSTAPVLIAPSPPPPPFLDFPHASLQRSSSTPNIPMPDPKAATKTRLAAGASIREEEEEDEDEDAESHIRTESEDDDDDDEDDDSDDDHHEHDDVSVEEMVHGQPQRRAMMGSVGSSPVTPPPPPRPNPSPPTPASMATTPPPMPEPPMATTWDYFFGATTTPPPTLEQPAEETWMDKREKESVTDTKAPVLKPVVNGSAAAPTRGAEEQPPQTALEKEKAIEQLAANLPPSKPLIRKPPKAAPGPPPAEVRYQHATSMGVVETRKVKTLSGTASLLQIVAQLDDSFLKASESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPNQDDLGGNFEIDERFETHATVLDRMLAWEKKLYDEVKAGEHMKIDYQKKVALLQKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVDLVDGMAKMWSSMHQHHKWQLVILSGIRNFEVPPVPRETTDQHHKQTSDLRDIVREWHMQFEKLMDYQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEINLRRRCEDTRRDLNRKKAQFEEWHQRYMERKASQGEDANSEVAEAVNSDPVAERKTSIEEIEIRLREEMGHHSRIASQVREKSLANLRMHLPELFRNMTDFSGFCHEMYSNLRKSAVLPKDEVQG >OB01G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12888914:12891815:1 gene:OB01G26540 transcript:OB01G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLEDVPSLELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCKKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHIKFAPPKTPGTDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTAEVQKALS >OB01G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12892269:12900701:-1 gene:OB01G26550 transcript:OB01G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPLRSHYFFLMLGRGRGRARGVVVAGDGGGPDAICTQDRSPHQSNPPPTQNPSKHLATPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPNTSRLRAAAAAATATATAVAMNDRDAEAAASEKARRRKEKKRKKRDDATQQQGAADEKSVSEKKEKKKKQKQTNGEDVAAGSNRKMSVSIAIAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNPGAENNSGGGGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQILEPGKRVTVTMGTDRDISSACIRKVVPPSTPRDEMELYWGYKVRYASNLSGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKDVNDVFHTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >OB01G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12901024:12901386:-1 gene:OB01G26560 transcript:OB01G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPQHHLRGWDDARGHPGEALGEVKLAHTGGCVFALFIFSNEMAERMAFYVPFLDMVIFMFNGMHRPFAASANAINSFFGISQSPRPPPSSVASSPTPTSAATGPSPCSPPSTSSASSR >OB01G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12913963:12915471:1 gene:OB01G26570 transcript:OB01G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) TAIR;Acc:AT3G02660] MASAAMAASSRAFLRPHRCRLLLRQRXXXXXXXXXXXXXXAAAAASTVVGRSVVDVLRERGLVEATTSETLGSGSASPRELKAYCGFDPTAESLHLGNLLGLVALSWFRRCGHPVVALVGGATGRVGDPSGKSAERPELDLAAVETNCNAIKSLIGQILDRAPAEPSQHSQSGKSLSLEQNDETLANSGEKMGSFQILDNYYWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRKMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSAKMLSPYKFYQYFFSVPDVDVIRFMKILTFMSLDEIQELEQSMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCSLPYDQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVNGKVLLLSAGKKNKMVVRIS >OB01G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12919791:12924471:-1 gene:OB01G26580 transcript:OB01G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHVQQQQQYVDPYRTMVLSPQPDHLNALQYSHQQQPQPQPPPQATPPPQHHHASLASHFHLLHLMTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSITVEGQRRSLEETQRLLDQRKDLITKYRSSVEGLLKGDARQ >OB01G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12935435:12942251:-1 gene:OB01G26590 transcript:OB01G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (AT2G01110) TAIR;Acc:AT2G01110] MVLYNCGHPKNVAALTPDTVSTQTSAFLHRFSWLDDDEIGEIPFAWNFLVCHNKVDPSTQPKAIHYSSGGPWFERYKNCDFTELWIKEAEELKADKEKEKQQQLIKANGGGEEEKKKEGNLSLATTARCARSPPSPAPTAARPHPRREGRGEIQNGTFGGITEEEEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRDRIFVSVLAVGAAILACFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERRFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYAEGAVESLWSIDQYFEFVLVLLFSTGLSFQFLIQELYGNFSVVTITLLTFELVKSGKANQCGKFKATRQLHLMDKVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >OB01G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12950010:12951109:-1 gene:OB01G26600 transcript:OB01G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTKTDSRRGRGVPRTHPEGAATGGQAAATHRQSGAIGVPQYAATCAQPVGGWWPASSPSEGLYPPGGFTNYLQSNPFPNHSNGNENFHFVGATMSQSSMSPIDLNATRTPSPAQHSDYVDEQETETINVDEELRTDKRLNWSVGEDKRLASAWLHNSKDPVDGIGRKADKYWADVTEEYNKTIESSRKRNRNQLKIRWDRCKKPLTDFHGCWVNTGRVW >OB01G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12953779:12955404:1 gene:OB01G26610 transcript:OB01G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGGRPASSAALPSRPSSHVARAFGVDAGCRVSCSLQSDIREVASKCADAAKLAGFALATSALLVSGASAEGAPKRLTFDEIQSKTYMEVKGTGTANQCPTVDGGVDTFPFKSGKYNVKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSADGNIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPESFSGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLESN >OB01G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12971080:12975477:1 gene:OB01G26620 transcript:OB01G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:J3L0A6] MANAALSRFFCSKLLVRCSAYEKDAGGGGVRVNGAAHRAPLQVGAALETSINRSLAGLSAPVLAPLGEDEGEGEGEERRRSERQNIPREKQTADPFRQALIVEGGVRYRQTVVVRSYEGGADRTATLETVLNLLQETALNHVWMSGLLGDGFGATHGMARNNLIWVVSRMHVQVDHYPIWGEVLDIDTWVGSSGKNGMRRDWLIRSRSSGADFVRATRHGLFCSENHTWVMMNKATRRLSKMPEEVRAEISPWFTDRHAIQDQEEATDKIIKLDANATYVDSDLKPRRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIVLEYRKECGSSDVVQSICQPDEEDTILPGENVGIVTGCPSLSPEIINGCHLGLAGALRRWPTRYTHLLQLKADGGKYEEIVRGRTTWKKKSYQTS >OB01G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12981708:12991759:1 gene:OB01G26630 transcript:OB01G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKPQDYRDVRGEYAPAVYSALERHLPPSLLDANREIKLQIMRDVLGHYWPHGERNKVQRHREYRQRILNHYKPLHKDLYNMRPSKFFLPTFLEAIRTNTEESFRSIMTEPIPGVYAFPMLQPGFCEMLLQEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEQFIAPMSTVFYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMYDYSHVPGRAVLHRGRHRHGARPTSSGLRINLLLWCRSSVFREMKKYQKDFSGWCGECKREKKERQIHAVKATKLAFLRSAGGATI >OB01G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:12998449:13008372:-1 gene:OB01G26640 transcript:OB01G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRGGREHGTGRVAAVCSGGGEEIEIEAAGASPSGQRGGLDDNGVQVPGWKRFLAHVGPGFVISIAYLDPSNLQTDLLAGSSHRYSLLWVLLFGFIFVLIVQSLAANLGIITGRHLAELCMGEYPKYVKYSLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLVCGASTILILGLQSCGARKLEFIVSVLMLVMATCFFIELSKVNPPVGGVIEGLFIPRPKGEYSTSDMVAMFGSLIVPHNLFLHSSLVLTRIMPDTPKGRKDTSTFFLLENALALFIALLINVAIVSVSGTVCAKNLSSADTSTCSSLTLNSTSVLLKNILGKSSSTVYGLALLASGQSCTVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLHVHGVINIAAIILSVVLPFALIPLIKFNSSCTNIGPYKNSTSIIRIAWILSLVIIGINIYFFCTSFVNWLVHSDLPRAVNAVISTLVFPFMAAYIAGLIYLAFRKVNISTPFPTSSVSCEIEVQHMQIQEKNEELGVHL >OB01G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13023300:13023491:-1 gene:OB01G26650 transcript:OB01G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLAVNVAGGVVVFGDEFGPEKAVAMLLCLWAFSSYVYGEYKKGDKAAMGDEDDQGVLDRV >OB01G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13023490:13024467:1 gene:OB01G26660 transcript:OB01G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPPCRDVVRNTVPAVPRKQSCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGEEGSGGRVELGGCVLGVHQEWKSERGTGKARESARGLA >OB01G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13040794:13047072:-1 gene:OB01G26670 transcript:OB01G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLEQDLRGCALVSSIISGRREVSPAIVVEQLRRLGIQPNKVRVEMGLACGLETICGQAYGAEQYHKLSLYMYRSIIVLLLVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLSLFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFRGIGSFLRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRNFIGIAFSNEEEVINYVTKMVPVLSVSVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMADKARDRVFEERTATQAV >OB01G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13047938:13050013:-1 gene:OB01G26680 transcript:OB01G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSDAEAPLLLPRRGSSKGEEEVGDGKKMREKASVPASSHHHRPAGGHSGPRRPEKTSFVPSSWADAVRGPARASRRPVLSQQDVEDDWQLVRRRRSKEPSTTVTNSAPFTPVRRPIPRWLHGRCFRCLGLGHLKADCNEAERCFRCWYPGHLGRDCDFGQGGFEKKKRAVSPDVAKEGEHRAKRLTAAPEQASTKSSHQMEDLGSRDPFLRPVSGQCTLSWEVLKLNFTE >OB01G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13063712:13068711:1 gene:OB01G26690 transcript:OB01G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT5G17380) TAIR;Acc:AT5G17380] MVSGSCSQPDAGKGDFQELDQIAATEPFVKLAVKATTIADIPRLVFQALAATVSGRPGGCYLDLPSDVLHQTLTESEASALIDAAAADSAKPDPSPPKHKSLEDGIEKAAELLRRAERPLVVFGKGAAYARAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGLVGDAKRVVGLINREIKDQPFCLAPSHPWIEAITKKAKGNVLKMEAQLAKDVMPFNFLTPMRIIRDAILAEGSPAPIVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVAIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAAYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINIIIDPYAGAESGRMQHKN >OB01G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13066641:13066904:-1 gene:OB01G26700 transcript:OB01G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTDQGESAVGFGGHVAIVAAILMVIVHMHTLILEKHIRCLPAWTAWMQRGFNCVNEAIVMGIRFRLYNSKKPVQVEYTTNRNCWI >OB01G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13071016:13072635:-1 gene:OB01G26710 transcript:OB01G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71060) TAIR;Acc:AT1G71060] MRRLCRPAAHLLCSARSTRSGYACNILDEMPLPPSMLPRCMLLVRVHHLFGGMRGPGFCTTVGSEPAGEVRFTVVPCDAQEELAPGVSEAAERVCRVVSAQPEHRIAPALDALGVTVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFRYSTEGFHNLIEALGKIKQFKLVWSLVEAMRCRRCLSKDTFRIIVRRYARARKVKEAVETFEKMPSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMKRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLHAGIKPDVVAYGMLISAFCKSGRCDEAIKVFHEMEERGCMPSPHIYCMLINGLGSAGRLDEALKYFQLSKESGFPMEVPTCNAVIGAYCRVSKFSHAFRMVDEMRKSGIGPNARTYDIILNHLIKSEKIEEAYKLFQGMETDGCEPQLNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALEGGGRISLAQEMAQKLDMLRKTPMRG >OB01G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13073814:13076944:1 gene:OB01G26720 transcript:OB01G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLEASTVFLASMTPAASRMDFRVTASAKMDIQEIFMARPTSMALADLMDHPSSMALALSTMAPLCSMRGRGRRGGHGGGPERGKRPATKPSARGGRGNKAPYMPPRPSSSGIVLDDAEAAAGADNDYTEETMDNVPHGMKEELDKADWSSTHNRIFCELCIEQIEDGNRPIGIMTPRGYQIIAEKYFQKTGLRHNKTQLKNRWDVLKSMYSFWLGLLNDTWLGWDHAKGTVTAPDEYWKKVTKGHSEWKLQHGPPKCLNLLDEMFGTVAVDDSSACAPGENVRGMMELVMYKTLMAMYGSDDDNEDEDETFVI >OB01G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13081194:13083633:-1 gene:OB01G26730 transcript:OB01G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQGEDVVEGGGSDGAGELLEVDAAVAVGVSLLDHADVEEAADLVLGVGGAVVEELGRDEGDELGELDEAVGVGVGALDEAVQLVGAGLEAERAEERPELQLRQAAVAVAVEGAEDLPQLAQLVVAQRRVWLLLLGAAAPAGDDEGRGRLRRLACGGGGCVEVSGGGGGGWLAHRSVRVGWNESEWVVAGEGGAPRVWAGWRSLGDAQAQPEKAEIVEETPPSNIGSARLRSDMHPALHCIVLHTFATKKYKLRPVIKYLKILISSIKSGYVHASQKIHARPHPTSARVFDRRKKIKR >OB01G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13081569:13082419:1 gene:OB01G26740 transcript:OB01G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATTAPAGDLDAAAAAACKPPETAAPLIVTCRSSSAQQQQPHAPLGDDQLGELREIFRTFDRNGDGSLTQLELGSLLRSLGLKPSADELDGLIQRADTNSNGLIEFSEFVALVAPELLYDRAPYSEDQIRRLFNIFDRDGNGFITAAELAHSMAKLGHALTVKELTGMIKEADTDGDGRINFQEFSRAITAAAFNNIFS >OB01G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13088643:13089644:1 gene:OB01G26750 transcript:OB01G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGVRPTVVTYGTLIEGLCVKRRPDQAITLLDEMREEGIEANLLTCNPIVYALAQAARFKDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKAMTERGISPTTRTYNYFFMAFARNSDVESGMNLYSKMVDNGYSPDQLTYILLIKTLSRANRLELVVQMIQEMRANGFEPDLATSTMLIHLLCRRHQYEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLVHKLTDLMRSVPHSTKLPGSYRDKEGEDAMEKRKIILQKAQAVSDVLKECKDPKELSKLKEEEETDVEVANRLVTNIRRRVHGGASMSSVLAPLS >OB01G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13095186:13098404:-1 gene:OB01G26760 transcript:OB01G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHELGEGPSVAKMATDVTEALVETVEHVEYQRAISDRFPEFPELLRHGLETSAVVCDREITLNEVAKLDVEVEGACLPVSQKLGLQGEPNITGSGVALDHGLGEVNGDGAGDPGFDDIVHACPVGEVRRGEVGEDMVLERVFADDEKDLIVPAGVVAGVGVDDDVDKASDVLDADGLCVQVDDGGGFMRQDSVVKVVAVVVEGVVVLWLPVRNCVGNNNLKLYLTYDLRRRSTVLFSLRLGSVLFYTLSLWTTK >OB01G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13125948:13126178:1 gene:OB01G26770 transcript:OB01G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCALRLMDRQTMVGRGADPSTEQLGRLARSHGRGGRLAPPEPKACEALRDPPELACLPSIALCDSRENPCSPL >OB01G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13130040:13131224:-1 gene:OB01G26780 transcript:OB01G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVPTGADDAAAAIVPAGADDAACACALIKRVVVDARADIVCTTYGAATLHTTSRSHLQQASPDRRARQSTNEKGHCVPNTLDKVRKVVQELGLDYVKIHALRE >OB01G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13139936:13143526:1 gene:OB01G26790 transcript:OB01G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLACGYRYGAVMLAFFFTSSKATRVGADRKRRVEEGFKEGGQRNWIQVLANSSIATILVIILATMTGGQDKCLDSNESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPWLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTAECSFDVALRQLLVIPISAAGGLLGSLIDSVLGATLQFSGYCNVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTVLTAYACILIF >OB01G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13146181:13151006:1 gene:OB01G26800 transcript:OB01G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESDEPSTVPQPNRWYELRLGSSCRDSSPSSKFCTLRYEFKPASIDKSQVGSLQKTKDNRVTVEFHNNQPGKPKVSFEGSQEEHKDNDGVLFFDGETFRLERLHRAVKRLRHVRIPGESSAATSATTTGMGESHSPPLPKLGKSPAMSKPAVHSVPVEVERIDIGEPENPGLRNNNRSTTYQPVTTNPFSFSPDPNDQEENLDILGDDDNGSPNNMTSGQGASVRGFDINIPNQLDIDDEIADVDVNDEADEGLNAAEALRAQVNAEGQQDEQDTSSSSGSSSSSSSSGSGSGSGSSSSDSDGSDGDSASSGGDVDI >OB01G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13159510:13159845:1 gene:OB01G26810 transcript:OB01G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEFNGLRALIERENSSAYYIYSFAHQLQLVIVAVAKKNDDVSDFFDMISLLLNMAGASCKRKDMIRESQQERLKKAIGNGQICIGTGLNQEKSLQRPGDTRWCSHYKTL >OB01G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13171892:13173159:1 gene:OB01G26820 transcript:OB01G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVRSMRGVTSVAGNAKQSRCTVTGYVEASKVLERVRSTGKAAEMWPYVPYTMATYPYVGGAYDKKAPAGFVRAAPQAMADPSAPEVRYMTMFSDDNVNSCSIM >OB01G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13174122:13176474:-1 gene:OB01G26830 transcript:OB01G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREGVRGERACNNRSGEQIFRTSVFDILHLESANKVFGKRYTRLLPIRSPRHVFILRLLCDVINICYCASYPILYFYISCESPVEGIEGLVWGALDSKKQLLRSQVHRTTFIVLKSFQSDLMHIATRA >OB01G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13180839:13188074:1 gene:OB01G26840 transcript:OB01G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3L0C8] MEAAAAMATISASPCSPSSSSPPSLFLAPNSCCWKAAPRATVRASVAAAQRTLSSRWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKLKEASAT >OB01G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13204413:13204997:-1 gene:OB01G26850 transcript:OB01G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLVSYKNKLTGTPSISKNYVPHWNGLRLILRPTCVTVDCHFQRQQRATAAAATSVGDSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVDADGAGAASLSSLFLLSGLSLLSLIEPPFLVKGHAPRLGHGPHRPSGPAPMWRARVPRHVGALCVP >OB01G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13207940:13215904:1 gene:OB01G26860 transcript:OB01G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLAAATVLLLALVAGAAAAGEQAVGAGVEEAGKSAAWTGGLSRRSFPAGFVFGTAASAYQVEGMALKDGRGPSIWDAFVKIPGEIANNATADVTVDEYHRYKEDVDIMKRMGFDAYRFSISWSRIFPTGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKIVEAFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDDGAFAPGRCTKCKAGNSATEPYIVAHHLILSHAAAAQIYRHKYQHVQKGRIGILLDFVWYEGLTNSTADQDAAQRSRDFHVGWFLHPIIYGEYPKSLQVIVKERLPRFTADEIQMVKGSIDYVGINQYTAYYVRDQQPNATTIPSYSSDWHAAFASSFLTFFAPLGAADERNGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMLLSENGMDDPGNVTVAQGVHDTARVAYYRSYITKLKEAIDDGANCVGYFAWSMLDNFEWKLGYTSRFGLVYVDFATLRRYPKASAYWFRDVVTGKN >OB01G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13221939:13222379:1 gene:OB01G26870 transcript:OB01G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTIPTATHTSLAEQRRRPRLVDGHAMDQQQQPRQRRSRKRERPPPPPGRSGQQEEEAREEDVDRFFALLADVREMRELWRRNGGGEAAAQRTTRVDDRPSQDQRQLWRPTFVMEDFAFELKGSEVQAEKKKVDDAPNLDLSLSM >OB01G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13233058:13233507:1 gene:OB01G26880 transcript:OB01G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSKKKKKMTTTTTTMTSRSSSPPPQEETTTMSEEEEEQMERFYALVANVRAMRAMFKGAEAAPPSCDDAGDASASSTPRPPPPPPRRPRRRERSDARRTPAERTTEARGVGGGNRAAAWLWRRNRSPSLGPGSRRGPTRIDRGPA >OB01G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13245634:13245978:-1 gene:OB01G26890 transcript:OB01G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARITRFLKPYLLRMHFSNKYVSAQVIHTPTATVACSASSQEKLLRPNMDSTRDVAAAAKIGKLLGERLLQKGIPAVSIHMKREQKYHGKVKAVIDHVREAGVKLL >OB01G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13256380:13256685:1 gene:OB01G26900 transcript:OB01G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDRPFLQMVDPTPGMHAPIFSGPPPERPENPMIRDPVFGKALPTPASCSALAAAMTSPALPKDRRPGEPCAMATLSLVWVEGFRCLDHDRRRRRIAASG >OB01G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13260557:13261272:-1 gene:OB01G26910 transcript:OB01G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAASASSAAMQETKARACPVIRSHLALVGRSSSFGFTSIRTRWEPPLAVRHAPDLQLAIFASAAPLSLYRSRRHGIPTSSSYTDRLVEVAAMLGGFYAMTCFVYGLGSQALPLSTSLLLATQLAFTGITDSPSCLWGSASPHPRSANAVVLLTIGLTVLGSLLGPSFMKPAGHSSKAYRTGQGSARPSPLRCSPGWCFPLSRSPRLNTTIAPAPPRGCPYPTQT >OB01G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13264767:13265591:1 gene:OB01G26920 transcript:OB01G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAALDAPTSSNAAGEGGGWGKTSWSGRLMYTSRPSPSDEDSMVNFTDDHRIIYGADLAAFLQTFAKIDEDR >OB01G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13269588:13269905:-1 gene:OB01G26930 transcript:OB01G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGGGVGALVLLLLLAAATTIGYGCRGAEAIRVVPPHGPAPGSARSIHGHGHRGSHGGGRVGVVDAAMPVVGTRPVPAWPPAADEESKRRIPSCPDPLHNR >OB01G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13279359:13282261:1 gene:OB01G26940 transcript:OB01G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPFKGLTAVASLTDDLLAEILLRLPSAASLARAALASKCWLGVASAPGFLRRFRARHTSSPLLGLFVSHGYSGLPVFPPAGTVRTDPDLGAAARGGDFLLTCVGGDPHWHLRDCRNGRLLLCRGRSVAVYDPVSRRRDSFRRPEDDPFSDTYVADCLLHGHGDYGAASSFRVVSVQRHGRRMRAVEFRSGTGEWSFHPWMENVRRPRRGQAMHAAGMIFWKCEENSLLLLDTRTMEFSMLPLPVSFFQPSKYAVGEMEDGVCCLVCLDGTMDNIYIQVWLLMEDGGGGTGRRWELEKEMPVSEVLDGRSLVRQVRTVAGGLVLVSWDERHPQFAIDLKNMKVTAEFMCSGPAYLFQAPWPPAVLLDTEYVEPLQLIATQDVVNHVNLAAERTGVLVNSEGPLDLILGPHGPQDTQQAMVAEGETLVATADLKLLTSAEVQNQLVAEKPEINKGLEVPVLKRNSARLVKRRGARYENVLHMAMEMKARSMGGMEQLSTSPGRNYSRSEKPTVVDSHYGRYYQHRRRPEKPIVVDRRYGIYYQRRRRPQVGQVVGRRQQQQEFRHGEIEFR >OB01G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13290298:13292471:-1 gene:OB01G26950 transcript:OB01G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMLFFKIRRPRCLFFKIKIVWKKVYQAVAEADVDPD >OB01G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13290868:13297954:1 gene:OB01G26960 transcript:OB01G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) TAIR;Acc:AT5G56580] MSITGSMCESGYHDRYREGGGGQLGGQVARGGWLRHQGAERNWFPSVKAAAAPARRLAAAAGSGWRRRPWKRRFRRAPIIVKENGLTLDSGMNGGNQSRRGQIRAGTRLSAVSYQINPNRRGEGGEEAMRGKKPHKELKLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETGDEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNIPE >OB01G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13305832:13306047:-1 gene:OB01G26970 transcript:OB01G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGWVCAYDRALVDPDGKRRARVAAPATVANGGFVRAPRKPTNHSKLTGGRAFRELISGKGVAGG >OB01G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13312801:13327946:1 gene:OB01G26980 transcript:OB01G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDDDDDDEPQLNAVENYYLLDAREVPICLSALPFQFKATDGVPECKRDAFLWGTTDPGIKVYKKVVAWRLGLQGKQPEISVLSAEGSWISLRKPKNSYEEEIRTIMITVQMLHFLKRKPEETEKNMWSHLRKVFDKFEVRPSEDDIRNHRSLIKQFAEKDVTLAKSEILQGFTQENCRKKSSEVGLDKVESKVPFIADDEDIEMVDVDNNIESDEEEEEDLFDSICSICDDGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFTCGALESSDGPTAKVFLCNNATCGRFYHPKCVARQLHSKNKNEASELEMKIADGLSFTCPIHWCFRCKGLEDRTQEELQFAVCRRCPKSYHRKCLPREIAFEDSENEDIVTRAWELSKRILIYCLDHEIDTDIDTPTRDHIKFPRVSNIGKPASLLKKSIKEVVKKKKRPFCESVPDQLLTEPGKSPDMVCVQEIGEARTISSRSSSEQFVVKPEEKKTKFLKDRSRPKPCMIKDAATSSTKPAKDHEKQLVTMPSSTSWKVPQSSFPTVDSETEKRVIALVEREAPCLTLQDVSRKCMIPSTHAYSGRQVDRIIATGKLERSVQAVGSALKLLENGGSVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVGKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDQVHKNCHFKNYDLIQPQNCFSFERKDWMTVQPNELPHGSKLIMGLNPPFGVKAALANKFIDKALSFKPKLIILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKIVEGWNASTPPLYLWSRPDWTKKHMKVAEVHKHVDMERIASRVEEDNLSDSLPMMKETESSGIHNSRSGNEGTGKTSCYLKEMNLSDLPVRRQAEAGNNRNAGPGKEKVTTERTSCDVREVILSGDHVKRQAGCGEVKAKEYHTPDSLPVKKQAKINYQQISQPGKDIKNTKRTPDQVYCSLPPEKQVEVAYEERMVIPIKKSTHQEKQLDANCGNRINARAGSEIMVAKFTERENSDMSISSPDSSNARKSRSGSAFISSGHPADKTAHRNSFMSCPTKEQLVCKKATYQGSYLESNNECSDAFAWNVDPPFNTNIDDISRKFSTDVGCEVNRQRFTSSTGDEYSLQSLRHGDSFYWPKHSEEWNTNAVESSIADVPLQEHLTRYSRQFGDNYQEASRVDRTLDEQEHIRTYDGHADDYLSQYSLGSSGGRYGQPLTSSYGIPGTSTQYSIMDKHAPGFLAPSVQRGSVMDRYALGPGAPGSSVMDKYVPPLEDTNYTTPGVPQYPYRQLGSFGGGWPRN >OB01G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13351527:13355827:-1 gene:OB01G26990 transcript:OB01G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLADPTFSVPVLSDLVGRLPFSAQCSIARCFLSSTDVTHSTSDDIHKHGHGHFLMKSTSDLQKVASSCFLKACIFSSSVMFVLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLISYLRAGKNYLRNQTPDKAFPEFKAAFDLAQSMSDHVEEKKAARGLGASLQRQGKYKEAIKYHSLVLNISKVTGEDAGVTEAYGAIADCYTELGELEKAGKFYDRYIARLEND >OB01G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13357911:13358165:1 gene:OB01G27000 transcript:OB01G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNAMHLLPTKRAISLVVEQRRRLLHQTPRTMNSTDAQHFGPPSRLTSALWKLFAGGCFPDPSESHLMRCFPPIVQMMKLASC >OB01G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13357646:13365476:-1 gene:OB01G27010 transcript:OB01G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIANLPADVSAAVAPDVEYRLREIMQEAIKCMRHAKRTILTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYVDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIIAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLTVLFALMRVVQSLLHNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWDLRDFSANLVASVCRRFGHVYHNLQTRLTKTLIHAFLDPQKSLTQHYGAVQGISALGPSAIRLLLLPNLETYMQLLEPELQLEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSSDLSASQPPLKKMATDGAMNSMASAPMQNMPGTMDGFSTQLPNPSMMQASSSGQLVESTASGVIRRDQGSNHPQKVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISLFL >OB01G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13371998:13372990:-1 gene:OB01G27020 transcript:OB01G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEGCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAGPDHLRPAVFRSLLYEACGRIVNPIYGSVGLLWSAPTPSTAPSLPEQPPPPSAAPRPPAAAARRTSRSPRRVGDHDDDHLLLHPALSHEAHEEESAGSHDHDDGDDDHVVEDADNNNMAIDDVPPRAGSEDTEVEAGSHVSQAEQSPVPVEEDEEEEVGLELTLGFQPLVRASRRRSSSAEARCDLSGLSAESSRMGLRLELPA >OB01G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13387651:13393017:-1 gene:OB01G27030 transcript:OB01G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68300) TAIR;Acc:AT1G68300] MASPPAPPQPRQGGMGGGDESECSHYALEWALRNLAPATRPPLLVLTVQPLLPLGYVSAASFGSPLGTPVVAPELIRSMQEQQQQLSQALLNKAKEICAHHGVAVETMIKVGDPKEMICEAAEKLKVDLLIVGSHSRGPVQRLFLGSVSNYCMHHSKCPVLVVKKQE >OB01G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13397336:13398130:-1 gene:OB01G27040 transcript:OB01G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSGSMSRRLLKALTVNPALASGMTCQLQQRAPVSGTAKGKAKLKTGQQLKRNTIGAKKGAAPSTGGGGGGGGGRGRREAIERITQIAESCLKASTPLRHLSPKERLREAKREELGLISKERQRELDLAKAKAKSKGRGGDGDRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLRLKKEAIAALPEKLRVAAMVPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >OB01G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13399917:13406970:-1 gene:OB01G27050 transcript:OB01G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:J3L0E9] MAGYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTMPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYAKICSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDASEGENWLKRYIEYCRVSCKPRLSEKASEMLQNKYVEIRQKMRQQAHETGRAAAIPITVRQLEAVIRLSESLAKMRLTNVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >OB01G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13408432:13409346:-1 gene:OB01G27060 transcript:OB01G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGLSKVPSQTRAPLRVELRISVANFTAELKKVGKQTPPKGIHNVHLKS >OB01G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13414083:13417526:-1 gene:OB01G27070 transcript:OB01G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADSYADLADLYQRKLWHQLTLKLDHFLQLPAAQTSDTIIHLYSNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVIAKLRETRERRINEPILYVKMQIAAINLEKGDQKECKKLLGEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQSFNTGNLALYQELCRVHNSALSAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTNLSISDVEYLLMKSLSRIWNINDFFPLQVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >OB01G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13425916:13429473:-1 gene:OB01G27080 transcript:OB01G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADSYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYSNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVTAKLRETRERRINEPILYVKMQIAAINLEKGDQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNSALSAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSCVRNINDFSPLQVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >OB01G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13434468:13437633:-1 gene:OB01G27090 transcript:OB01G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPCMIALLRSSGHRWRLSPSATATSPPRLRTLPPCRCRLNPSSSSSSSNRPAAVSMAPSNLRHGLIAPVHATAAGGGGGGAATAPSSGLPSLVGAVHLVVSLGIVLASDKYLKQAFAAAAIKFPSALFGMFCVFSVLVVLDAVAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLITFGGWFASLTVAGYTALTVRKIVKTQLIPAEPMSKPSPFATLEFWAWGAIFVASFAAAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKQVLHPIICCALSADLAAVAYGYLSRSGVDAVLGDYLTKTPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAVLGRLIGLEPTLTLSILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDRLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLVFIAG >OB01G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13451614:13460273:1 gene:OB01G27100 transcript:OB01G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68370) TAIR;Acc:AT1G68370] MASSGKMEGPSAPALRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQCAHFFGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFKEVTDRYTQEKEAVDDMLRERDDIHCSFTTERTIVNSVGAGSSSRYPAESPENGNVDGKDKSGKKKWFNLNLNRSDKKA >OB01G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13466186:13468147:1 gene:OB01G27110 transcript:OB01G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMLGPAYASAVHECRDVVAQSLGDADSNIRREALHLMMGMIDDNNVMDIAGMLVSHAAKSDPEFAYDILGAVLSACGRNVYELVSDFDWYVSLLTDMGRNLHCAQGDEIGRQLIDVGLRVQDARPELVQSSRTLLIDPALLGNHLLCPVLSAAAWVSGEYVSFTKDPVELVEALLQPRTSLLPMSVRAVYIQAVLKVITFCCNSYVERLSDTSKEVAVALNGLSIDQAVGGGREASNGSGKEQITVPNMMEKDPFSHKSIVHMINLIETTVVPLAECNEVEVLERARNLIGFVYSLREIRELKESDVDDDKHNRVKELVKNMQTVFSDEIGPVSLNAQEKVTLSDDLVLNESLAELAEIVSEDDTTPSSSIVFYPRSRGSVETRDEPTLSLGSSSLLSEHRKRHGLYYLPTGKAEDDPVDYPHANDPQLPASNESALDDKLKTIQPVTSAKKPKAMKSRPKVVKLDGEDFLSTMVASTSVPREDSLSGAVGILLGRDLKPSSSQKDSDKSYEGIINKMDTGESSSQWKNNVNADLVGHPTSSSRPSIQQSHDKESTNPLESDGKEARKHRRSRSGHCQGKHKNRDRHSTQPDVPQAPIIQDFLL >OB01G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13489437:13496366:-1 gene:OB01G27120 transcript:OB01G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G68410) TAIR;Acc:AT1G68410] MPRGLSRDEWLHALPRALVAGFVKTDKEFQSKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPDQTIRHPSPPKKINKLKSLIFRKKAKDQTNKLTKQLSAVGMVEELFEEGSAMLSERLGSDSSGRRTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >OB01G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13502107:13511581:-1 gene:OB01G27130 transcript:OB01G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MSEGRVGSGARWKAREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHIFKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQDDNAGELSVQSNILAASCAGIATAIATNPLWVVKTRLQTQGMRPGVVPYASIWSALRRITAEEGIRGLYSGLLPSLAGVTHVAIQLPVYEKVKLYFAKRDNTTVDKLSPGKLAICSSGSKVTASIITYPHEVVRSKLQEQGRGRHGAVHYTGVLDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRFMHQLLPH >OB01G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13523069:13527142:1 gene:OB01G27140 transcript:OB01G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVEVARRRGGTRFYDAAGARRGYHHHGLPKARWAPAVHQEKAAEEPEPEPSPSPARAPVPPPGVVAGNPERFVAAVTPFVPAQYPSKRAAKGWRGCGVDAERGAAPHFFLRDAWESYREWSAYGAGVPLVLDGRDGVVQYYVPYLSAIQLYGDPAVLRVSSGPRHMMDDSDGEYHDSSSDTSSDYELGRVKHLTQEGFFSSDDSESGDLHGRLLFQYLEFDSPFCREPLTDKISSLSARFPGLRTLRSCDLSPRSWMSVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDHGGAVKYWGPATKHTIPLSVFGMASYKFSNSIWSSTDGDRHLASFLQQAASDWLRDSRTSHPDYQFFASRGAYHR >OB01G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13528200:13532143:-1 gene:OB01G27150 transcript:OB01G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51160) TAIR;Acc:AT1G51160] MQFFGGGSSLXXXXXRPPPPPAAPPGTGTGANAQVLYVFNRGGVCLLYREWHRPLRTLDPTQDQKLMFGLLFSLRSFTSKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFLESPSGIKLILITHPRTGDQRDTLKHIYNLYVEYVVKNPLYAPGTPIKCELFNKHLDQYVKTLI >OB01G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13538941:13544142:1 gene:OB01G27160 transcript:OB01G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18370) TAIR;Acc:AT1G18370] MGASRPPSTPASKIERTPMSTPTPGGSSRAKEEKIFVTVRVRPLSKKELALKDQVAWECADNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDRRGGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEIEMEELKQQRDNARSKLVELQKKMGDNQPGWNPFDSPQRTRKCLTFSGSLQPSNKMKMRSSVRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIREMQSVRTDRDVEMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVSTQCNETTPKSNRAKKKKRMLLPLGVSNMNRPNLIRAPCSPHSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDISSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEDDSEENAGSLQDGPDSWDKLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLSWLQQHFAEVGDASPAAGDDSAISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIEESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLLGWQPISNMIREKTQLW >OB01G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13549815:13549967:1 gene:OB01G27170 transcript:OB01G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRLSIYQVMFDLIHSGCYVYCTCTITSWYKNEQCLIPHFIFSQCASLET >OB01G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13550261:13552365:-1 gene:OB01G27180 transcript:OB01G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e family protein [Source:Projected from Arabidopsis thaliana (AT2G44860) TAIR;Acc:AT2G44860] MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHDRQKKHITERQKQGKTKERERDAKELEQDIQMLPKKDVLSAQKTKVVVKVSQQQTEENLMEE >OB01G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13556428:13564219:1 gene:OB01G27190 transcript:OB01G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G16210) TAIR;Acc:AT5G16210] MEAAAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPAAFPPDLVARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAKEDLSRLKLELQKQKELSPDGSNATGPLSDASTNEGSSQHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNERLSAEKDSLLKNREVANSQTAALRKSLEAAHKDIKEKEKTVQDLKQSLEIQRKELNDCRAEITSLKMHIEGTRSSKQLSAGDTDGFSSANSMGEAVVLSSAHDNLKGSESITSKLTSEVSLGEGTKKDHESMGSGVECSPGPEAAVPCSTAEDSGNGTSGEDKSGRNICFEDLSVNGNLHGAGNIKGDSDSISAYLPEDKVHSEKVESPCKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGEIAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAIVRWGDKLDQILRVLLTHILASAQRCPPISGVEGTIDSHLRVLREQERWNIDVLLRMLTELLPFIHQKAIDTCPIADDPSTGSTPESYFSESCLKLYATGETEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHIMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSVAEKLGIVCVLPLLLSGVLGSPSRRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRFLCIFEEHHVAVFNIVWEMVVSSDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMIVDKIRIQMDAFLEDGSHEATVSVIRALAVAVPHTTDRLREYILPTTFNFSSLH >OB01G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13571069:13572010:1 gene:OB01G27200 transcript:OB01G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVDLYMSCGLVEEAMRVFRCTDCPKDVALYNAVISGYVENGRFREVFLMLGRIELNGITLTCALTACSATANLMYGMQVHCKALRRGFSSETILCNALINMYAKCGGTMAARMIFDRMVCRNVVSWSSMIDAYSHHGHGEAALDLFKMMEKAEPVVLPNMVTFSAVLSACRQSGLVDDGRATLHLMKNQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSSNQSELSGSICVAMLNACIANMDVVRGNKVALHLLEVDPENSGSHVLISNFHAAARQWSESDESRRTIMDKGLRKEAASSHISSTG >OB01G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13575046:13575495:1 gene:OB01G27210 transcript:OB01G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAQLSCLDLLQLLSFVCRTLAYAISSFSSMDFIMLLLPSHSMIDILEITRVSMYEGPIVPFGPWQYMTGTLSMSMLLCPHMLLLLCLLSDGLLRLPFCRNIHWSPPLLLHTVFTMSVSFVLFLKGLKTRSHLFLLFETSGIIPASHI >OB01G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13575081:13575926:-1 gene:OB01G27220 transcript:OB01G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGIIPEVSNSKNRWLLVFRPFRNSTNETDIVKTVWSKRGGDQCMFLQKGSLNSPSLSRQSSSNMWGQRSMLIDNVPVMYCQGPNGTIGPSYMDTRVISSMSIIECDGSSNMIKSIELKDDIAYANVLQTKDRS >OB01G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13580147:13587228:1 gene:OB01G27230 transcript:OB01G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding Calponin homology (CH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G48460) TAIR;Acc:AT5G48460] MGLDGLVVVSDPYLQRRFSQADLRALQAQVRTESEKSSYVGHINAYLAEDPFLKNALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPLAVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVLDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILKWANQKVKDSGKRSRMESFKDRSLSSGIFFLDLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKRPTSYSLDTENGSSCETSSVSTSDDSASESSFDDGGAR >OB01G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13596060:13598191:1 gene:OB01G27240 transcript:OB01G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNPFVPKDGGSGAPAAPGAGKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSSKENGQGGVAGSKGSRCGKDCGCFSRDESASPSEHAEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLKDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPHIVTLRAYYWSYDEKLLIYDYIPSGSLSAAIHGKPGTMTFTPLPWDARLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVSKGQCYQAPEALKTLKPSQKWDVYSYGVILLEMISGRSPVVLLETMQMDLVQWVQFCIEEKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRPSMRHVAETLDRLNSSG >OB01G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13612738:13612905:-1 gene:OB01G27250 transcript:OB01G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCVGVCFPFEALLHNPILLYGFLQVKTTFALGRATTAQSSSPCWRRCFEELVF >OB01G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13613771:13617170:1 gene:OB01G27260 transcript:OB01G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPFCRCPLQCPTAPPLPFRTATTKGGSLAAAVLAAARIFPSPLEPEGRSFETGVVVADTTTASLAGVKAKPKRAELRQQGNEGRSMAADAGGGGGVVKHILLARFKEEVTPERLDQLIRGYAGLVSLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPAHVEFANEFLPALEKTLIIDYKPTSGNNS >OB01G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13620275:13627558:-1 gene:OB01G27270 transcript:OB01G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKCRISKADAITSFFPRMGAVGEQGVEVLLVLGGTEGSPEINVSLLNMYKEMLRERKNRYIIWQTGPDGFCEMESLVKSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILIPLPTIVDDHQTKNAYIMADVMGAKVITEDELDSTSLRAVIDEVFGDEKLMSDMSQKALSASRPNASTDIIRHICSLVGLSCTT >OB01G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13627294:13627611:1 gene:OB01G27280 transcript:OB01G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEALHLAESIRSGLPYDVPILPLSQHLFVHIQQRNIDLRRPLRTTKHEQNLHPLLAHSSHPREEAGDSVGLGDPALADGHADGVPIDGAFALGEEADGGVEA >OB01G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13634843:13637609:-1 gene:OB01G27290 transcript:OB01G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEDVMELLELTSLQDAHVGLAEVNGLSNEQRRRLTIAVELVANPSIIFMDEPTSGLDARGAAIVMRTVRNLVNTGKTIVCTIHQPSIDIFETFDELFLLNRGGEEIYVGPLGSHSSELIKYFEGIECVNRIKDGHNPATWMLEVTSTVQEQMLGVDFSEIYKRSELYQRNKALVEDLSRAPASSSDLLFPKKFPQALLKQCLICLWKQNLLYWRNIHYIGGRFFVTTVIALLFGTVFWNLGMKRTKAQDLFNSMGSIYSSVLMLGVQNASGIQPVVTMERIVFYRERASGMYSPIPYAIAQVAIELPYVFVQTLIYGVLVYTMMGFEWTVAKFFWYLFFMYFTLLYFTFFGMTTVGFTPNAGIAAILSNAIYGFWNLFSGFLIPVYRIPIWWRWYYWICPVAWTLYGLGASQFGDVEGKLDTGETVAEFMRSYYGFKHEYLEVIATATMACSVAFAFLFGFSLKYINFQKR >OB01G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13638632:13641810:-1 gene:OB01G27300 transcript:OB01G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPLTANNLLSLQIIGLHTCADTLVGSDMARGISGGQKKRVTIGELLISPARALFMDEISTGLDSSTAFQIMNFLRQMVHILGETALISLLQPSQEIYDLFDDIILLSEGHVVYQGPKEKVVDLFESLGFICPHRKAIADFLLEVTSRKDQQQYWSRVDEPYQYFTVQQFSKAFHDQLTIRKVLGVPFVRNLSSLSALKTSKYGVTKRELAKAIFAREICLLRRNPSIYIVNCVHLTMLSLITMMVFWHKNMRHDSVDAGGIYLGVLFFCVSETMFSNMCDLGTTVMKLPLFFKQRDVFYPAWAYTFPTWILKIPITLIQVTIWVTVTYYPIGFDQNIGRFVKHYFLLLALSQMSSSLFRLIAGATRNMFAAKIFGTFTVLILLLLSGFILSSKNLNKFWMLGYWISPLMYAQNAISTNEFTAHSWSKVHTLP >OB01G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13656082:13658299:-1 gene:OB01G27310 transcript:OB01G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLFIEQLVGVTGDDHEHFLLRIKNRFDRVGLELPTIEVRAEGLVVETEAYACRSPATPTVFSSMVNTVLDLVNVLHLLPNTWKTKYTILHETNAIIKPHRMTLLLGSAGSGKSTLLKALAGKLDPRLQVLGRVTYNGHRMEEFVPERTAAYISQEDLHAGEMTVRETLAFAARCLGTGDRHDLLAELTRREKEANITPEHDIDMFMKVK >OB01G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13658724:13658909:-1 gene:OB01G27320 transcript:OB01G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHWPRWRGGEREREREGREGGVIIAWISHCVGREGGRERERERERGNYCVVSHCVHAVW >OB01G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13661153:13662552:-1 gene:OB01G27330 transcript:OB01G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDNVAVVVRALSILAVVCGAAHAKRPAGGAAPGTTGHPATFDVVELGATADGKTDSTKAVQDAWEAACGMAGEPTVLIPEGEFLTGPLNFTGPCKGHVTVQLDGTLLGSNDLPKYNQGNWIEILKVDNVVVNGSGTIDGQGADVWSDNYTVLPNSLVLDFVNNGTVSGIKLVNAKFFHINVYKSTGITIKNVTITAVADSPNTDGVHIGDSTDITVANSSIGTGDDCISIGPGSDHIAVQGISCGPGQGISIGCLGRFKDEQDVTDVTVRDCVIHNTTNGVRIKSYEDVLSPITASKVVFENIRMEGVANPVIVDQKYCPEKTCIDKKGNNTVTIKDVTFRNITGTSSTPEAVSLLCSDQLPCSGMELLDVNVEYAGNDNKTMAVCANAQGTSKGSLEALACL >OB01G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13669522:13670641:-1 gene:OB01G27340 transcript:OB01G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESAPPWLELLLSTEFFTACTIHLHSPRNECNLFCIDCETPQAAFCYYCRSCHHSSHHVIQIRRSSYHDVVKVSELEDILDISNVQTYVINSARVVFLNERPQLRGCGASAIKSSSSSSSSYNCETCSRVLLDAFRFCSLGCNLTGMKRDDGIVVAENGIACNDKDIDNGGNNGTTNTSCNGKDIEIGEINGTTTNNASEDEICSGASEDKEMPSSTRVVRRHRRKGIPRRAPFF >OB01G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13674750:13675064:1 gene:OB01G27350 transcript:OB01G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKATVYNECASKNRFLLIDSVHFKNTKDSVMVVTVDDYDSCLGSHPIFFFNNSDKWVRLDW >OB01G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13678960:13679182:1 gene:OB01G27360 transcript:OB01G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAVPATLLLGLFAVAVLASGLVVPDEQRPRRHWTTDSAELGIHLGTTHSCVGVEAA >OB01G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13679321:13681267:1 gene:OB01G27370 transcript:OB01G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPYAVVDGDGKPCVRVEAAGGEVRLLSPGEIPAVVLAKTKETAEAYLGRTISSALVAVPAYFDDAQRQAIRDAGDIARLRVTRIVNEPAAAATAYGLDGWDSGSKRILVFHLGGATLDVTALVADDGVFDVLATHGGDAQGQVRVEIEALLDGVDLSETLTRAQFEELNEDLFAATMDAGLEKGDIDEIILVGGSTRIRKVLQLLKDYFGGKEPSRGVNPDEAVTAYGAAIIGSNLERDNYDIDAGLFPMDVPSFVPLTISIETDAGAVTPMIPRWSRVPAERTHVFTTYLGRQTATVPWPGTTTRSSASSSSPGSRRRASVWNWGWRPIEVTVKVDEVGDVRVEATDRGSGRSERLTISGGHEYGGLSKEELLDRTIREAAEEFAEAEDERIARERVDARYTLESYVYSVKNAMTGSGMDCEKRAEAEEAVTAASEWLDGNLAAEKTDYEEKLKELVDACGPFMAAVDARRSGGRDEL >OB01G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13683133:13685448:-1 gene:OB01G27380 transcript:OB01G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQKRRVQLLLFIVGILALSMTAERSRELVGKEAASKSGQFTLTNCFDMGPGSVACAAKEGVKLYVYKRGPPRRAVEGALADAARDGVPHAEAARRAHRVGATAAKLAGRQAERVLGPVVSSGWDLFEAMYLGGSMTEGFLRGTGTLFGTYVGGFHGDDRMGKLGYLAGSHLGSWAGGRIGLMVYDVVSGLNYMLRLVRRE >OB01G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13689034:13690010:-1 gene:OB01G27390 transcript:OB01G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLDQVHNGGPEPYDVSDHCTCADALNRKETDNITDGCCSRVVRTPTNLHKEQRLVPSPENSINTSARHRRAARQTGPAFGAPFLEQAAAQRASPMAEEDTLALSLVSQRSPGTAVEAEPGALTRVLEVFQRHGVPVLAATVARHGEETAVTVTAAAATHRVLETIKAEIICAV >OB01G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13690589:13691136:-1 gene:OB01G27400 transcript:OB01G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGECKKYEGWPAEPKVEPSEACCAVWQRANIPCLCAGVTEEKEKVWCMEKVVYVAKFCKKPLQPGYQCGSYTVPPVLGQ >OB01G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13698177:13700210:1 gene:OB01G27410 transcript:OB01G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMVPDPLISNDNVMMNGHGYTNMVHSNEMPHANELVVRDETKPTAGPRGQKRKSAIWEHFTLVDVSEGCKRASCIHCDQSLAYSSGSKNSGTSHLTRHIAEWCRGLKDQQKSRRYRYSISNANAPFDQERSYSQLAKMIILNDYPLHIVQQPAFLSFVDSIQPSFKMVNIDTMEAEVYAIYHRERDNLQQALGNIPGRIGLTVGSVTTSQTLGYISVAAQFFDSEWRLHRRMLNFMMAPWPNSEDAVSKVITKGLSGWNMEDKLFTITLEHDCSSHDIYSANLINHLSGDNVLMLKGQLFVVRCYANILNAVAHDVLASAHNVVYLIRESMKFIKADSAHERRFVEIAHQLKISGDNILCLDVTTEWNTTYLMLLAALEYRQVFTLMESCYDSYSTAPSTEDWEKAKAACGFLKTMYTSAVKIITEEGNPTANIFFHEACLLQQELQDGTAHEDAVVRGMAIGAHERFDRYWKDCNVVLAIAVAMDPRFKMKIVEFTYSKIYGPTDAAKYVKVVHDAVLELYREYVAQPLPLSPVYIDPVPAADDGLPAVETLAPPSTADAGLEDFDMYLSEVTAMVQPPSKHDLELYLEEALVQRTPGFDVVRWWQGNTGRYPTLSRMARDVLAIPMSTAGVGSSVFLAGNGGGGRTLDDYRSSLQPQLVEALFCAKDWLLYSP >OB01G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13700539:13708960:-1 gene:OB01G27420 transcript:OB01G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G26380) TAIR;Acc:AT3G26380] MGAPPLPSWRRLLCSALLAASLLPWGTSEANEKFSEFSPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEYAVIDYLWYRNYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSINDKGFSQLANKVHGMGLKFGIHIMKGISVQAVNGNTPILDIKTGKPYIEDARQWTARDIGLTDRTCAWMPHGFMSVNIDIGAGKAFLRSLYRQYADWGVDFVKVDCIFGTDYDRKEIITISELLQELDRPIILSISPGTEVTPALAKNISQYVNMYRITGDDWDNWKDVSSHFDVSSSFAAANKIGATGLRGRSWPDLDMLPFGWLTNAGVNQGPHRKCELTSDEQRTQMALWSMAKSPLMYGGDLRHLDNDTLSMITNPALLKINHYSINNMEFHHVYSERISKEDEHSSRFKPQDAVHLPKIDGVALGLTACSDDKANGWNYGMQDDKNISFCLGKTKPLLTSDDIIIQNEEYQTKFHLAIVDSEDACLDASVSQRRRSSDIKLPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESKNKVVTTGAVARAWIATGSKGEIYLAFFNTDSMSRNITARISDLRKVLGKKFIRKDTCSCTEVWSGRNFSFVKDEISAVVNSHGSMVFEITC >OB01G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13710246:13713323:-1 gene:OB01G27430 transcript:OB01G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEEYLRNTDRPYNQGGQNIYLDGWEGVGASAVLAAVAESARARRKKSTYDIVIHVDCSQWESRRALQKKIAEELKLGGSAMALFGWQDEDDDFSGIAKSSRAELADAAELIFKALKDRSFLLIFHNGSDEEVDFLELGVPVLERRNSVLWTFRGRFRLDPAIRDRVKNAHVFVRVWPESWAFAELLCQEEAAHVSSGISAALITECWLYLSLLYYNNYTFISDDQDVHACNYWVCDGIIAGDSAREIGDRLYQGMQLKYLPAKRNHYMTFNEYLDSKATRHRRWVSVMPKNSDEVENIQTIPLEATSYFLMFQRSDPPKVLPNHLFSQDNNLRVLRLSWCTFIFSSPPFTCCKHLKFILIDSCRDKDVSLTSDGYYEKKGNKWAFLQNLWVLDIRNTNWDWILSSSKMVLMVELRELYLKATGTRLHDQILLDMSCHSNLRMFRVIDSSTYLKVAVHDSLQHIKNLELLDLSGNTTLHVLPNLSGASKLKVLILDGCIGLEVVEPSTLPISLESFSFDGFGPASRWKHSLRMPDNETRPNSDNNQGHPSAISKISLEGCEQLKNVFLRGLPNLEELNLSETGIEALDLEAMLVKRLERLFLLGCEKLVRVKWRDARDPPLKLLCIDTRGKAERSMDGCCQRSHLHSQQDDAAHPSVHVVATDARLLRGFNTRNSSTVFGSEVSSQHLHLHLSATVNESPVLPRGKEEEASCRDGLVHAFPYLDVIDKALNKDGEDGCSVPSCNHLVPQDLHVEIGKGGSNLGLEQDLDGICSLIYNTQSLHIHDNSSIRIGNLGDKTDDQFRNLRWCHVTRCLKMHTVFLSNGCTLDSFMSLETLWVSHLLAAQYIWGRDLCFDEGDRAAAFSRLRCIHLHSCPRLRIVLPWSFPTMDSLETIHITYCSELRQIFPKEQGDWGRDRVARTERIEFPRLRRIHLHELPMLQDVCETPMSAPVLETIELRGCWSLKRLPVQAIHAVVDCEKELWDKLDLDHRFTRRHPRYSKNKLPRGSLLRFCAVSFHAFIMIN >OB01G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13728734:13729747:-1 gene:OB01G27440 transcript:OB01G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAWDALPEHLQERILSLLPLTALLPVAAVSRGLRRLLRSPAFHALLTPHRLDAFFLLSPRLAFHPLSRRLLPVPPPAAAPVLSSASPSRLVTADSLLRLPPLPASSYLLAVVVPISSCRCREYTLVAVTTGPGVRSYTLDSADPSPLWVPRGDLPLPFALLGNAAVSSDRRRLFVLARGPDALLVFDLLTGQWGLLPVVMPHGLTTAHLFVFGGRLFLVGGVERFGMVERVLVWRLEDSEAAAEWAEVGAMPEEVFEELVAGRHGSFWHFQAADRMGIVCLYNAVEGRLVMFDAADGEWTRLSRVSGLDAEESHQWFGHVMEPRMELLLGQPF >OB01G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13774170:13774851:1 gene:OB01G27450 transcript:OB01G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRQALLVFLVFLGSLAIIAHAAVTANDVDDDSSKITVTPCDVNPLGQYCCSPFHPEIGCFPTKLGCLKACCSKAKCSIAGRVVLN >OB01G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13781227:13789684:1 gene:OB01G27460 transcript:OB01G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVDCWTDGLPLDVLLIMLAHIHCLADRVSFSAVCRSWRSTHGVAEQQAEEEARAIGQHAAPQRAIPWLLAPSSPDGNPTITSFVSGLTSRISLPASLRGARFFGSFPGGWLAVALGMCGEYLLANIHSGETVPLPSRMCNKVSASETTTTVAVIQGVALSAAPNSPGCLAGALVSGTSNITFCQPGISSVWTGIEVLPGAMEDMIYYEGRFHVISRYELMAVFSVPPPSSSSSSDHPDGLLEVTDHPLFMPIRVDYVLPYLDKPAAVSRYLVVSRNKLLMVVRYFLPATTSTAGGSDGAVAHRRRTAPSKVFQMEKFCSHGAFWEAKALDGRVLFLGRCCSRAFEASDIHGFDGGGIYFLDDVGFDLSLVMQGAADYPCVDVGMYAAMAPDPDDVAVARPPASWLGNCAANDGGASRSRSTLGESSRELSGVWSIRSSAPFSRFSPQKGNKYIQHISRNFVPPTTDTQQSRGVSSRDERPQQDLRILLTGRKKAGHGCPAAQAFTPLLPERAGDEGGWKQVRNKQWKRKQPAFTTANNLDDAHSRRRRFRKHMAGRCFRCLRTNHQVKNCRDPLRCWFCLSIGHLASNCPKRRNYKPASLLPNPTTQPFAISSSQDFPPLPVRSKLSANHRATAPRWGMEALSSRPDADSMVISSTGEVEHLRQRFSSQSVVAWSVGETADRVDINTFPDDVRIAFRIHRLDIQVTKYHPEDYFVTLSKQADRESILRQSRLETPSGRVYQFSPWTERRHGQRVKLRYRVRLCLEGIPMHGRTEAVAAKAVGRQCSVHYVEEYSRRRTYNRTYDLWVRTADLSYIHKASWLTLTDADEELPSTEIPLVELEPHRNPLPEGEKRGELYFVLIHVDTVEDLRTMESRMLLIAFPLSLGQAGQNQTRRGGQMMTRTETVALEGVTEADHCKDASRAARQVAAESRSKESAKSKTKTTGAGTAQDIGRPTAVAAAALHFNKPLPPDSLKTIMALVEKGGCKAMRLKSAKKKATVETKLQQVTQLIVTQTLGERFSKSYALLPADGTRGGILLATDENFFSILDVTLHTHSLSATINMRETNTAWSIIVVYGPQLDAEKIAFLQELKDLKPLMHSAWLLIGDFNLIYKATKLFPLSSSSSDHSALLLVGKDDQPRSTAFRFESYWLKFPDIKDVIKESWEREILADNPFSILRLKLCRLAGVLKRWKNHQIGDIRLQFAVANEVIFQLDKAQEFRALSKEERKLHTDLKSKALGLAVLNKIRIRQRSRQTALKDGDVNSKYFHLKANGRRRKNYIQTLQSPTGLAVSVQEKNAELHRFFSERLATNQQRLCTINWDAINFPTFDLANLEADITEDELQKNNSIPTIRKGTRAGWVYGRFLQIRWFMNFKTQNLKELNSANICLLPKKGDATAPDHYRLINLIHSVGKIITKTLANRLASKLDQMVSNNQSAFIKKRAIHDNFIFVQGMIKKLHCKKERTILLKIDISKAFDSVNWSFLLEVMQRLGFRAKWRSWITNLLTTSTSRVLLKGIPGDEIQHLRGLRQDDVAIFIKPLQQEIQLMAKVLELFARISGLRTNLTKTELYPIAYGGQNLEALKNHLPGIVKNLPCTYLGMPLHFKKLRKVDYVPLLDKIGGRVPGWKRKFFTSPGRTTLVKSVLTSLPIYHLTAIQTPKWIIKKVDKHRRAFLWKGEDPDKVHNGSSLVNWHTVCRRLGILDLEKFARALRIRWLWMRWTDDSKPWVQFEIPCDEMDKILFKAATEIILGDGRKAQFWSDNWLQNRSLQTLAPNLYRLAKRKQTSVHSTMENNSWLSSLRQLTSMQEIDELVTLGGIPQIANLIHITPDTIRWKLTTNDTYSSKSAYEMQFIGSNTTVCYDKLWKAECEPKHRQLGWLILHKRTLTANNLLRRHWPFNWICSLCGEVFEDTTHLFKECSYTRETWNQLCLWGKHNTLLLLNNKTLKEWWRSFCEIKPKSLQKNLIRSLITTWWHIWIERNSRVFNSQHNTAIKVAHKIKLEIDLRLLAFRPP >OB01G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13797095:13798579:1 gene:OB01G27470 transcript:OB01G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVGFAALFSSWWWWPPAASSATPCCSICETKSGEACVLTNEGQLLGVNLGQRQTGIKLRGSCGLERSRVLGDKREYQQFEINPGESIDEYFNRFEKIISNIRWRHLCLKIKGADVQENHSSSRDDSWLGTSHEPHMVCASVSLGFSNDLVLKQPR >OB01G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13817089:13817437:1 gene:OB01G27480 transcript:OB01G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATMAALLLLALVAAEGHAVPLRRGLSLGWMTGMKGGPPTGTQPSSIHPAAPGEGGRRLSSEEEGEFIHTLPAFRRPPIPPSNH >OB01G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13818526:13823726:-1 gene:OB01G27490 transcript:OB01G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLKVDKKVMADDKCGRLGYQLKWQIGNEAINWQTINDIANRFEEIFRDRAALQLRSEDAEKLADRSDRLNSKPTTHLLDESLVFGRIDEKENIVESMLSQSMNPGIVVLPIVGMGGIGKTTVAQMVYNDGRVRKHFDHSGWIHVSPTFDVLRLMTAITESLTKRNCGFTQLSLIHEVLLKELVGKKLFFVLDDVWNECESSWHDLIYPLRYAQTVTILVTTRSKEVAHLVETMKPFNLGAIPNDDSWQLFQYYAFGMQCVNEKSSLAQIGRKILQKCGGLPLAVKSVGCLLRSKRDEYTWMEILESELWELDDKDNIFPALRLSYYRLPTRLKPCFLLCSLYPTYLGFTKDEIIDLWIAQGYVDSKSGKTRQEVGNEYFNELYERSLIETSSGQLLCEAHYFDELLGRSVIESLYENIERPRNIGLKSYRSPLQFVPWMDPTKSFISEHVGNFHLRKYIDMKQSLIETYLEQSSESIQRFKLHDAIFDLAKALVLNSNQDVTNMIGSIGNLKHLRYLSLNCYLQELPESVSRLYSLETLVISNLRTLRATNFHNLVSLRSLHVYFEFLDGSLDQICKLDMLDTVCLKRCSNITYLPLHVGSLIKLQRLQLIEIPNIRILDNASFKYRRINSITRHPEATFPSLEELELIKLCKLEDWYGIQHSDCPKLQRLTIRNCVKLRTVPCFVSLRKLVISNCALTILPFSVGNIPSKLQTIDIRDCTYLSTLVGLQNLSYLISLYIARCPRLLIPPSENMLCKPHNAFIADCPKLKQWCEKHEFNYFQVTRKMQISDVRLITDYGVENFSTVEHLTIQQCSEMDPTMLSSTEKWLPSNLRFLHLSCSTFSGVLQFHMGLQTLSRLEIWSCTKLQSLVGLHKLDDLRRLVLVDCPLLELPTETEFPKRLSSLVIRGCHQLLSLHLGMNDPTILRELEISDCRGLMYIGWLGYYTDIESLKLFHCPLLQLQDFVRVVPETALICCCPRLKKWCEWNGIEYKDIQENPEDSVGKL >OB01G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13829780:13830160:-1 gene:OB01G27500 transcript:OB01G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVPSLLSSASSLLAILRSRPSALHPPTASADLQRLERLLSRIQATVDDAEEQEVQDNYAKLWLKELKELAHDAKDVLEDYRYELLRCKVQERQADYPRKRKHIDYDQEDDDSINEVRVSTIE >OB01G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13843756:13844076:1 gene:OB01G27510 transcript:OB01G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAVQVAVAALVDGQGNAGDIFVTFCYLYTGLTWVQTYAGPTCQRQNIIRISRDNVTESGCMAKTAGQHRGGRLGAHWREEQVGGGLGSADTALGQEALLTSPV >OB01G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13858730:13862020:-1 gene:OB01G27520 transcript:OB01G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERQTTRVNNVNIDELEFLIARKLAYYRSTHEDNYQKRKLCMIFRVPKHTKQVDKLSYQPMVLSVGPYFHGNSSLVFMDNVQWNCLDYVLKLNCRQKLEVYLTVMEDLEKVARSPYSDEIPLESDMFLRMLLLDGCFILVYLNGTVDLDGCAKEDNSSTIFQYVGRNTESISSGVGPSNLDPVQGEELNQTSPNQPLCHPIFMWHHSHVFRDLLLLENQLPFFIVRKIYELLSGDESQDQLTGKFCKYLEQNIHMYTAVTPDVDGQKDFYHLLQLCHMYFRPRQRTQQKQNCKFKYRWLDPLAILRCKYFKLSYGEDAPSNQQKNCANFCPAVHRWHRAEQYHEAGIEFKKKEYNEHNPHSLLYIIFDKGEIIIPCLPIDDNTACLFRNLVAFEQTCPLFGNDFTAYIVFITQLISMPSDVALLARKGIILHHMRSDDEVSSLFSKLGKNVDFDTNGIWFLVLSTRCHLTYEYIRWGCALEPFLLKLATSWRMSLQKVKVFSLLKDASWLLSQEFEEFDLFSSATL >OB01G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13871836:13872108:-1 gene:OB01G27530 transcript:OB01G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALEDDVGSECWGGSYTPDADVSESETSGNCSTYLQQLLHFDHHHSPLRLLPTPPPSSSLPSSPPNSGSFFLPLYLDFTHTRGLVPSA >OB01G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13871895:13881794:1 gene:OB01G27540 transcript:OB01G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G65280) TAIR;Acc:AT1G65280] MNQSSAASLAKRKKAAGWGGGEAASGGGRSGAVAGGRSERMGRCDGEKDGKRRRGRSSKRSCDVSSSDPGSDSSPSPSSASSPSRSPERRNRSRSGSKRKKASSSSSSRRHRHRHKGSGRSRDDDRRRHRRRRRDEGRGRRGGDASDSSGSGSEEQDRVEEAREIVRDILGEFPAVAGELRQLLQMIDNGEGIDISGISDKPLVKCLRKLFRSLKLRENSNGAYLLPPKSLPTLDVVGSVLVASGELADSQNKSPVSPTKQEQPSSFDVQNKNDITSDEPVKNDAEEQAPKRRVIGPAMPSRELLAAAAEMTEALRSRDAEVEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILAADENLPYDVVGVNWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEIELKAMREAAEWRRLQGVSLEGDEELLAVPKQPQPLKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSVWTDTPLERAQKAQQNYLEAYNKAKAIADADEGKSKSSDASLVDRYNSSKRSVSLVEKHRESKKEKKKQKQRDKEEWEGNHPWKPWDREKDLSAGRQNANLDPENMSQGLSSRFSSGAVQRNFL >OB01G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13882895:13886185:1 gene:OB01G27550 transcript:OB01G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISETHEDCKSSGNGDLIVRLITVVQKIGFALGNEAINQATLKFKNFVTQLTELQGSMGRVRRELKLMHQFLSRMDIRNRHKETYEIWVEEVRMLAHGIEDIVDDYLQLVTHKHKYDTGWNTCLKKGFMRPNILLSLNKIASAIKDEEINLVHLFQAKDRWVSTVGGGNTTTSNESSSYIVERSQHLARISCSLGEEDLVGVDRNKEKLQEWLADDLRGRSVIALHGMGGLGKTALAANAYRREKEKFQCHAWVSISQFYSIKDVLKCLVTELSTNAKKSNWVNINDMDTQGLREELKKFLTHQNCLIVLDDVWAPEAVHDLLGAPAPNLKGSKILLTTRVDDVARLAFEDRRITLKPLSEKESWELFHKTAFLGGTNHEYDAELSHLIDQIVSKCKGVPLAIVSVGRLVFVRDTTKEELRRIHDQLDWELINNPSLEHVRNILYLSYIYLPTQLKSCFLYCSLFPEDHLLKRKALIRWWIAEGFITKRGRSTMEEVAEGYLQELVNRNMLQLIDRNSFGRIKSFRMHDIMHELAVDLSRRECFGVAYDGDNRHWGFEDRDERRLVIQKLNKGIDQAISCAHSLRSVIALDNSMASSSSILRLVADNCRYMSVLELSGLPINMIPDAIGDLFNLRHLGLRGSNVKLLPKSIERLTNLLTLDLFRSSILELPKGIVKLTKLRHLFAEKQMDRERRLFRWCTGVSISKGLEKLTSLQSLQALEAQDESIRCLGELRQMRGLRLWNVKANHCERLCESLLQMKFLSYLSITASDEDDVLQLDGLNPLPPTLHKLRLSGRLAHSMLGAESPLFQADAGGQNLHSLRLFWSQLREDPLASLSQLLNLTELHFTRAYSGEQLVFFTGWFPKLKILRLRDLPNLKRLEIQKGAMISLERLRLINLSSMEEVPVGIEFLMPLKYLSFEEITADFLQSLRQSKIGDMRWWHTLGEEIDK >OB01G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13900045:13900383:1 gene:OB01G27560 transcript:OB01G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGRRRNNMPASTGGSIIRRAAAPRRAAAVGTDDETEAGDGTIPRPEEKLNKTIFWFAVLFKLMNGFGTLAFVWATVVLLGGFSTLIKPDDFWRVTVIVFIQASRCISI >OB01G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13904438:13907101:1 gene:OB01G27570 transcript:OB01G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNNLVPWYLEVLVPRGFSGITRNLRIGSSFAYHSSFCTVVVASLEVLSVCICLSISLTRLVRQDYVDQTNQGRDDHKNIRGSLNIFYGLVFAQSISSYMLIPALGLRLDPLVRRVLSEYSLLGWGNVEVSYYTNKKLWAFISNSTIRQALEMDLVTFAMDMVRSDSTDDQLEGALLLDYIIRWEMNTKQLLVAGVHRSYRSTGKKYTGRALTSIRSSTETLEKVVSMLGLDVKSPVEEETRGHAASIVLELAPYVLMENFPAMPHLISSLLLSGCSATHDRDSVELTWYGVKILERLTDNQDNCRPVAEADVLLSKIVGLVKFRDEGETENNTRQDEIVEASLNVLQKLISTTGETGEALRSTISKNVEIVTNIRYILDQHDEQSILFLHSVKILSCLAMHETAREMIGGSCQIIRKLVSSIHPRPNDIGQDFNHGAELANTAMLALVLVSAGSENNKETILEEIDLEVVLESMLSDASMEKRSMVAHLFKHLRTYSGSLYANKLKKVVDGSLPKVLEAIKVEVQKLDDPELPDGQPSDVRGLRAEGAKLLESFIDLGLQICHSMEANDFDKALESANLTKYTYAQMDDTKRLQIPYRRFPGDNE >OB01G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13912592:13915966:1 gene:OB01G27580 transcript:OB01G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQRSGGCMPISYEVLGVELLPSGVCAPPASRIKEEDDVQTPEAEGAGGRPPHDMNSKIVANAAAAVPRSAMPLDAYMVKMGFDVPTYRLNFSFRSLLSSGHLHRARAVFDQMPQKNISSLNLLLSAYSRSGDLSAAQNLFLSSPHRDVVTWTIMMSAHAAADTSSDALSLFRAMLQEGVTLDRVALSTLLNIPGCAVPSLHPFAIKLGLHTDVFVCNTLLDAYCKHDLLSAARRVFLEMPDKDSVTYNAMIMGCSKEGLHAQALQLFSDMRHAGLTSTHFTFSSILAVAAGMDHLLLGHQFHALVVRSTSMLNVFVNNSLLDFYSKCGCLGDMRRLFDEMPVRDNVSYNVAIAAYAWNQCATTVLWLFRDMQKLGFDRQILPYATMLSLAGSLPHVQIGKQIHAQLLLLGLASQDILGNALIDMYSKCGMIDAAKSNFSKKSEKSAISWTAMITGYVQNGLHEEALQLFSDMRRAGLRPDRATFSSIIKASSSLTMMGLGRQLHSYLIRSGHKSSIFCGSALVDMYAKCGSLDEALRTFDEMPERNSISWNAVISAYAQYGQAKNAITMFEGMLHCGLNPDPVTFLSILAACSHNGLADECMKYFRLMKHHYSISPWKEHYSCVIDMLGRVGCFFEVQKMLVDMPFKDDPIIWTSILHSCRIHGNKGLARVAADKLFIMEPTDATPYVIMSNIYAKAGQWEDAAHVKKIMRDRGLRKDSGVSWVEIKQKIYSFSSNDLTSPVIDEIKGELERLYKEMDKQGYKPNTSCVLHLVDDELKLESLKYHSERLAIAFALINTPPGAPIRIMKNLTACLDCHAVIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDYW >OB01G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13915859:13916903:1 gene:OB01G27590 transcript:OB01G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSMVAHLFKHLRTYSGPLYANKLKEVVDGSLKKVLEAIKVEVQKLNNPEFPDGQTSHSHSMEANDFDRAVESANLTKDTYAQMLKMILSVCRSPTADFPGTMRVTISKMNWLIRIDRAYTGLFMEHEMDEALEQVAETTTAELGSYQMFHGGSGGVGKHDE >OB01G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13918182:13918379:1 gene:OB01G27600 transcript:OB01G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFTLPSWLLAPSPSFFSFLVSIVRVLAAFVFSSLPCAGNLRLVAVPFPDYVLCVLAKLEFFASAH >OB01G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13921412:13921624:-1 gene:OB01G27610 transcript:OB01G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGEATSAARAPAQTKTKTTNGRLARRKTKKERGAPAATTKPTAERGGPRRRNVQKRGRRPAKSKAQH >OB01G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13921691:13922578:-1 gene:OB01G27620 transcript:OB01G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREFETASIFGDHAGSDAARAKQPAQPPAEDTGGANGDATGARTNQPRRLRRSKLADLAHPPPRDNPAQQQPNGVRRRNLRRGKIRDYSAYIRDGPARQHCKTVIAGRHMQLGRAYLRTSEQAGTKMAQRAPPAEPPTEDGVVIGADGTGRNRRLDRAVRS >OB01G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13921746:13923995:1 gene:OB01G27630 transcript:OB01G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSSVGGSAGGARCAILVPACSLVLRISHSRKMPFLRFKEQSSVCISGYLYICPSMPAVSLSKKIIFFPTRKHSLVVVTMDHLLSSGCLKAVDKTFASFSSPRGAAAGGFITEFDDVYSDIMDSKMLRGDQPDQPKDWDDRECIQDPGEVKLEGDNSVPKEISDPKE >OB01G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13932135:13932296:-1 gene:OB01G27640 transcript:OB01G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLSYMLIVSLSFKISLHFHMNVVLLYGLISLIIELLNTLKLLCFSRECCHL >OB01G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13932945:13933250:-1 gene:OB01G27650 transcript:OB01G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYLAKAFTTELDSTSLRWFLYMFTIRVMLSISTYSSLDICTAEWNLMIELILAPIANCALALIFAFHQVEMFRKLGFHIVLFWCTYFQWVAYNVDWKFV >OB01G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13934774:13936152:1 gene:OB01G27660 transcript:OB01G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRPLGAASPAHRMPPSPPLLPDAVGAAAAVAVAALPPSDPPKQPEWTDEMTDEQHENLQWVYEEKLEVWKKSNRMSLMYIKSTIAPGIIGGIVDSEDAKRIWLILKRISNLHLKLMPIH >OB01G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13949324:13951180:-1 gene:OB01G27670 transcript:OB01G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAAVLSGVIDLFSIVACVLPLVAFGVTTDWSRVFDCFGGITIAADMVASYLKTGMLLFVLGVNHETFVFIFDAVLCNFMELCLLGSSLFFYWSHKSYHGHDVAVARYSLVLLFLFMTGIATAIFGMKLNWLFSDKHIWWYLVGTIINTFASLFHFARQ >OB01G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13973210:13973638:-1 gene:OB01G27680 transcript:OB01G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLGKEVATVADYCGLEAGRSTGEGFGAGRRQREVVDSSARGAHCAGTLYCNGVGEAPSATLAEITLASTPTAQDFYDVNLINGYNIPVSMTSFHGSGANCVPVGCVGDLNRVVSCPSACVAYGMPQYCRTGVSRSPQQC >OB01G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13975057:13976885:1 gene:OB01G27690 transcript:OB01G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLFQVAAAEIQHYGDLLRLRKPSEVDGPGRDRKGWEHKLVLMLVLLVAAMPILLWCSRGEPLLLVWRLSLLLTAYFFLCANVLFVTKALFAVVVDFSYGALLAYFAHNVFGPRIGMVLVHLNSVLAAGMAGLALAERRRSDGTERAADNVPVFSDKEEEYASNFVIFSALMISLVLTVPTVYIAWVFLFHLADYTVDGIIGDLSYVIMSYLFFWTIFLTHHLLRGALINEDNHFYTFLITGSAVAASPLLVSIIFDSNVAATVVLWLGVELLTVFFGYCLAVYSFYKHKRSQLIVSTPDKLDEQNNVESLQELAESKDAPVDQHTSSPSSISTSPPLIHLKTAYPSNGSATRNLLLPISVH >OB01G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13977245:13977496:1 gene:OB01G27700 transcript:OB01G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATYMKCYGGYVCEAMNMDLVSFAMELARSDSVADRLAGVRVLDRVLRVSNRELALMRIRASADTVGNMVNMLGLKNKTQKE >OB01G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13977578:13982040:1 gene:OB01G27710 transcript:OB01G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLITVKTTTMVSGISLQHFGDVSMELTWFGVKIMNKIMGNPDNCKKVADADGQVVAGIVGLTAVSDERSSISSSTGMEEIILEAVQVLHKLASIARDSGRVLRSQVSDNLYVLRNIRKILEHPRSKTELLVEAIGVLACLALDETWREEIESSPRIIRNLVSFLVPRSTLVSEISADRTQLARPTAEALVILAVYSENIASRILEELKRTEDMQKLVDMVSSDSAELKTMVAKLLGILYANSNIEHAHREKIRTTLPALLKAIKSEVEKLEAPVSAGEHVRKFEERRTKQGALLESSLSVQICTSIGARDFDAATRSANLTVHMVMQKFKKILDLYKSPAIEFPGIRRVTIELIVLMIQSSSQYMEVFFQYEMDKAVKEVAETEERLEMFKMFYCGVGVAKQSDSIYSLVSRPSSLTDGFPVVNKAMKLLDSREDKYCGTIVLSLGASKVDLSHIQYGLVSGLQLLGLISGEKIDRTKPRQNQVNQSRTDRSNRRTRKDLIENYYYFKPKEVKAIIELSPSINQAGDQT >OB01G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13989619:14009947:1 gene:OB01G27720 transcript:OB01G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23180) TAIR;Acc:AT1G23180] MDRGRAQAVLAGAGQVRQGRLAEHLAQLRHLADADAGGEPRAEVLHPPQLHEPRPPPLQHPRHHQRHRRRXRLVLAGAFAPGDGGAGQDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCLVLIVSLLKSDSPRACEAAAGIVRNITSVKVYRDVAVESGAMEEIFRLLCKSTITPEMLEQSLCTIWNFSIDENLRYKILSSDILTRIVRFLDDEDIKVKEAAAGIISNLALSRSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLELSADEYYHNLIIEEGLVRVPLIGSAAYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVDEKETEPDEAKINAMIGRSNQQFLARIGAIELDDEGKEQSSGSQKDAVYTILPWVDGIARLVLIIGLEDASAIAKAAKAIGDASINEHMHSSFKEAGAVKPLLQLLKHTDMLIREAAAYALERLSVSSVVYEKIKTDGGLKVLVDIVKDPNIPVEQLEKIINVLSRIFDTGVSMVAVPDNCFHNEPQDAEYSQKSTQDDIDSGTNGTSVTYLKQDDKSSVSVIDFDAISRLTKVLREASPSLQEKVASILEHLAAFDQHATAMIAARIGSVIEAVLEMGVIHGTMGDTENFDDLPTVVIDQVSRAVSATVRLLTRLLNFDLFVRSISTEKFIALLRRMLKSSIPLQSKNWLAACLIKLQSSAGLSGHESVSSVDMEITIYETIPRLVEQMMTSLSFEDKRNAVIELNNLVSGGVMEYTRAVATAGGIFPLVKMIKDGDGAALEASLTILYNLSMDPENHPAIIAAGAVPLLKRIVVAESAHWNRALQLLRTLPV >OB01G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13989701:13990078:-1 gene:OB01G27730 transcript:OB01G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAATGWPMGAAPYMGMGGPAEGCFMPAGPRAAAGLPVAWPVIGPCCAATSPAVTLVMSWMLERRRSRFMELRRMKYFCAWLATCVGVREMTKLREMLRQSPLPNLSSPSKNSLHRVNRIAAPP >OB01G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:13995423:13997442:-1 gene:OB01G27740 transcript:OB01G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQLIEAAVEAKEGFSRIFLEPVNNQQKEIRVHEESSVLTESEGIRGVFYPDVEPIESVEQEYMVESEGTQVMNDN >OB01G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14025675:14025881:1 gene:OB01G27750 transcript:OB01G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHREMKQQQHKEGGGGGGGGGGGGGGGGGGGGGGGGGGGMSKVVREHKARLYIIRRCVVMLLCWHD >OB01G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14031716:14033450:-1 gene:OB01G27760 transcript:OB01G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFREEPRSGWTMTRFMEEPRSGWSECHVRWLDWSLDSQRSISVNGGVIVTKLREELSMTSEIDALKCNISMSCDSCVALHDELAIAKVEIDNITSLNKTERDSCTKIIAEKEKINLAYVSCVDQLEKAKAEINKFKAGSYNMCSLIAIANDVLTSCNHDKLHMNDIPSTVKEIKPVISKPSISTREKYTCSFLEKMDTWLVFVLNLQENRKRIEIVNLQNQGGKGHIFLQIRSRQQLDRLFYVGQTCIMGWLDHV >OB01G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14047116:14047712:1 gene:OB01G27770 transcript:OB01G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEASAARDRGGHFRRTGGPFRAVRQDEAWEPKKERRIQQVPEGTKIKALHHFAMRCYAAPVA >OB01G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14049132:14049584:1 gene:OB01G27780 transcript:OB01G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTDVIQNSPFFHSLLQFASAFPIRTRRRRITRTHAQGEKKQLVFVRQKNSTVQYDASIDRSMSERKLKLHRSELQYIQMELAQLQLSRGLSCTPRSRRRWSRPPVRHGPRERRYSLAPTRTRRTNNAISTELYCICCTNDNEGLGYRE >OB01G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14055928:14058377:1 gene:OB01G27790 transcript:OB01G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30480) TAIR;Acc:AT1G30480] MEEYDPARPNDYEDYRKEKLKRAKEAEVRKELERRRREEEERERERELREREGRDTLNISGEEAWKRRAAMSSSAAPRAPSSPPHGGDGFAIGNSSSSGLGLGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDENSSKPEKKPKSVSFDGPPTRVLLLRNMVGPGEVDDELEEEVASECSKYGTVCRVLIFEITQADFPSDEAVRIFIQFERAEEATKAMIDLEGRFFGGRVVRATFFDEERFAKNQLAPMPGEVAGFD >OB01G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14060149:14063899:1 gene:OB01G27800 transcript:OB01G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT5G61330) TAIR;Acc:AT5G61330] MAPGTLTSKRRKAEASPSPPPSPAVDGGSDSSDDLHDPEDSFYSARSGSEDDHDDLSSNDDDDEEEEDEEEMDEEEEEEEQEEEEEEMNELEEEYRTLQANQHNILETLKQHRDDDVSRGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIKSMFCDHSQEIEQAYLDLLNSSKQTLDCMMELQEALLERNHATKDSIDAGNSSELNEEDDEWPGVQKLQRRITSFRNNEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMIKRMYLRKSTLGVYGEEVGELGNNNEERNTEGDPELIDDSEFYQQLLKEFLESCDVGASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVVPPMTPKLFENLFGMGNQKSTTA >OB01G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14070496:14071080:-1 gene:OB01G27810 transcript:OB01G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMALWHHGGGIRMEAWAIVPGRMFRSRKSYYLQMLAAHGDQIDILLTDIREHNDDIARLQLSQRTQNVEILGDCWPRSDELFKATALYHHEWFSLYRIVGEVEIPVHDIDNVIVLQSRGSCARTYMIPASCDFSMLGSGNAFYYLGKQYSNNGSYNVLYKKCLDSKELTLVKRLPEEWKLSDEWFIPTLKY >OB01G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14074215:14074514:-1 gene:OB01G27820 transcript:OB01G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLEDEYSENVLLYSLSTHKTIKVCVPTMKGRCDAASGSGHLVGVDKDDDLSAVLVNPLTEKTTLLPRLSEFFHNNGALSRPEFYSKPKFVKKIRK >OB01G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14079243:14079881:-1 gene:OB01G27830 transcript:OB01G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVNWASLPTDLIFSISSHLREPEDFVCFRAVCTQWRATMQHMNHAFFQPWLMASRFLEDEYSENVLFYSLSTLKTIKVCVPATKGRCVAASGSGHLIGVDKDDDLSAVLVNPLTGKTTLLPRLPEFFHNNGAHGWVSGDAGAITIELNNWMSTNMALWHHGGGITMEAWATVPKRMFRLRESYYLQMLAAMIVGEVEIPIHDIDNAIVL >OB01G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14082214:14082393:1 gene:OB01G27840 transcript:OB01G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQISHKPTLLHITHIRMRPLGAHTSTYPVYLMYANLSTSKSHPKNIHLSYALAPPCN >OB01G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14104067:14109721:1 gene:OB01G27850 transcript:OB01G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYLHLRPSEELPTLYFSSSGNPSRTARMGRRRRFAQLASTSDDDDDNDVVPAADAPKAKPTLPSSTSGPRKQQRRLAPAADEDDDDDVDDVELEEEEEDEKDLEEMRKNEEEERREETQTRRRRGHKPKRPAEESEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHFDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDLEPADAPVDNNDQLLNKRGLRKRPMLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYSTLVKYKAVTGDQYRDRWLDKLVDTIPLISKESAGASHADPGGATKSSTNGSSAKEDNEKSYAPDVVISIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRKRLMNKELDPPVLLTMSPDELKVGLTAAEKTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQLWNQFDW >OB01G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14117329:14117607:1 gene:OB01G27860 transcript:OB01G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRALVVFVERRHHNADGGYPKQWWERGHRAMGNGQVRRPREAAGEPTGPAGQASGRRPPEEHGGVHADAGEAEVLRPAEAGRTLVGAIN >OB01G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14119050:14123205:-1 gene:OB01G27870 transcript:OB01G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 [Source:Projected from Arabidopsis thaliana (AT3G49500) TAIR;Acc:AT3G49500] MDRALDYMRERRVAIVDCGGGRGPTRRGLTVQDEPEFGKPMEDVFFCLQHVEGLRFPVLFLVNALVHKGIINQHQLTPEFFSLLRRKADDINVAALKDFWGDKFPVFDACRRLKKALDRVARNPKLLCSKIGDDNEEVRRLVITPTRAYCLPPEVERSNRVLRRYREVADRFLRVTFMDEGMEMLNNHVLNSFTAPIVKDLMSNYFQQKTTVYKRVRLLLTEGFHLCGRKYSFLAFSSNQLRDKSAWFFAEDRNTTVEGIRKWMGRFTSKNVAKHAARMGQCFSSTYATVTMQLDEVDENFDDIVHNDYIFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRYAGFKGVIAVWQGNGDGIRLSLRPSMRKFESSHSVLEVVSWTKFQPGFLNRQIITLLSSLNVPDAIFSQMQETMLSNLNNILSDRDVAFEVLTSCAEDGNTAALMLSAGFEPGSEPHLKAMLLAIRSAQLQDLLEKARIFVPKGRWLMGCLDELGVLEQGQCFIRASVPSLNSYFKKHGSRFSSADRNTEIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRPVSQHDIIDFFLKNMISENLGRICNAHVVHADLSEYGAMDEKCIHLAELAATAVDFPKTGKLAIMPQHLKPKVYPDFMGKEESLSYKSEKILGRLYRSIQEASNGDVVSEEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYEAQLSALLNQYKVHTEAELVTGNITSVTKYNSKKQGEIKERLKQAYSALRKEFRSTFESIASDQCELGEDEKNLLYEMKASAWYQVTYHPKWVEKSRGMLDPDGEGMPARLSFAWIPVDYLVRIKLKSHGKVRVEGQKPVDRLATYISERL >OB01G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14129104:14132341:-1 gene:OB01G27880 transcript:OB01G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 10 [Source:Projected from Arabidopsis thaliana (AT2G44520) TAIR;Acc:AT2G44520] MCALVVATSGAGYVLGSGSMVDMAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRIGPGHAAMWATSIGAAGTALLAWKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQIPHFMSLAYLCRNDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFSLEASLLTLGLTIGALSFMLEPNPKNARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNVTQTSEMTGILYGAEQQDEERARQKREDRKPSHVHSRPPVAYASVAPFPFLPVPVYVSPQGEL >OB01G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14132484:14132708:-1 gene:OB01G27890 transcript:OB01G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHPPKGIFKSQPSFLPTPLHPNPPFISISYPTLHNPLSKHALEDIVTQNLKKSITCLSKTSIGDWREISNVK >OB01G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14142490:14153112:1 gene:OB01G27900 transcript:OB01G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSPSPGGRRVRGSDPGARLELRFRPEDPYCHPAFGEPRMSTGLVLRLSRPKGGTGPPRAEVVARVRNAYHFEGMADFQHVVPVHAAEMRKRKRSECPNNKEDLCTDNDDVMMLVPPLFSIKDKPTKIALLPSSSALSKSMQRGVVQHRWEMDIGPTLALPFNVQAVPEKINWEDHISKNSPEWGWQMAVCKMFDERPVWPRQSLYERLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPESRMFQRIDFRMPPELRNLPRKDRRSEKWTEMCKLEVMPSKSFIFLQLFELKDEFIQAEIRKPSHQSTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPSEDAKNFLRNAHELIERSKKQEALCRSEQVKENKEAPDRHNGTEDQAGGNNSDSEDADDDEEEDKESDGYESPPMADDVPDFTLEDPFTSGEGFSNGYLEEMLRSFPLHEDGQNKPGDAPINTEASDGEFEIYEQPSDDESSDG >OB01G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14159356:14159532:1 gene:OB01G27910 transcript:OB01G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRWIPRYPETRKGVASDEMLRGVENKHRSGDSQIGQPFELPAESMSRQETTWRTETS >OB01G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14159372:14159626:-1 gene:OB01G27920 transcript:OB01G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQIASSQASPFDIPTMLYEPPFVMGWTATKMFQFARLSLAYSWIQQAVQKVDLFGNLRIYAYFQLPEAFRRLLHPSSSLGT >OB01G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14166703:14167617:1 gene:OB01G27930 transcript:OB01G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKTAGANQTLVGKSSAHHHRCFHRRRMHNPTSNHPVLRTQDQECSSKTRTDMLHQLGVRDFPPEHWFPVDIVVAFSGIGEVVEIDERCTMGQDHSSLRLVLMTHLSTTIPEDLWIHKPNDHPGVVARIRVVRSWSRSEGEDQDGLYMNRFLSYHGPPQPPIRFLQPNRNPTNRSQHQQNQDRTSLISNKTAWFPSVSLATTLVWPTVLKALPWHDNAEIPNPSSPTGSHFDPAMPSSKPVFSENSLLSENGSNLKDSIQINEMTGEDDDSNLPDQDVSLEQTLLHLQHELVTRKEKARAKRK >OB01G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14180461:14190565:1 gene:OB01G27940 transcript:OB01G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRTDKRGSGKGKRAICAREGRLDEALAAVVRLARDSPGDSRPRFAAAALCVLHGRSGTAFEWIKSVPHKASSPESAQFFETILLAMPGSSPHKLEEDINWMWLVTNIAYVPADEWLSRKMEEAGRSSLEKLEIAVLRVLLRRLVKPKKKRRATATRLSPSNLNKLRGLHGILRAKVRPLLLDAASHEPPDTSSPH >OB01G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14192428:14193224:-1 gene:OB01G27950 transcript:OB01G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTWMYIDGYNLNVVHALSRLKRIGGAQVSTLRLTAYARSVHGLMGLLSISAPFDGGNNRRSSFWRFAALVDIRQVEAKHNDLAAHAEAVQSELEELSHLSLGGLAGGARGGPADGGLGDASGEVRMSERFTKAWARHRHGKDGDGLVGVLFRCFLGEVMLTGF >OB01G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14197130:14197850:1 gene:OB01G27960 transcript:OB01G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKSFLPLLPQIPCAAADFSCTLWFPLLRSHTQPCFTLPIHALDSFFLHALISRTLICCSSQRMDRVSGSMQGSSSPAAHGAVTSAPAAGDANHQLSSFSLGNNIKKPSIGTAFIASSGTKTKKLCEKYK >OB01G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14209706:14213496:1 gene:OB01G27970 transcript:OB01G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAPPPPPHPPRDASDPKKTLHLRALDGAKDRLQLLEANLLEEGSFDAAVNGCDCVFHTASPFYHNVKDPKAELLDPAVKGTLNVLVSCKKASIRRVVVTSSMAAVAYNGKPRTPDVVVDETWFSLPDLCEKHQQWYVLSKTLAEEASWKFSKDNGIEIVTINPAMVIGPLLQPSLNTSAEAILKLINGSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVAHNSELVKIIREMYPNIPLPDKCADDKPLVPIYQVSKEKITSLGMELTPLAISIKETIESLKEKGFVTFDSSNL >OB01G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14215781:14216029:-1 gene:OB01G27980 transcript:OB01G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSSDGLPLSARRHASPRTAPTSCSGASASRPPRRSEQRVHVRRGWVVLAVRPARRAACFPASPDHAANFLPGLIRGIAR >OB01G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14215849:14216016:1 gene:OB01G27990 transcript:OB01G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRAGQRVRPNPGERARAARCGAADEMLKHRNMKSVLFEGRHAGEQTKATHR >OB01G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14220333:14227482:1 gene:OB01G28000 transcript:OB01G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKLRSSMGAAPSPTAGGESHTSERSPAASRRWTRSRARDIGLDATDHPRADPEAPAGAALKKRKRVQAAASASAGPVPKKTRLGEGQPPATASTTLASRPLPPRYPPYPTAPVVGGQRILTKKHRKAVFEWMDECRRIAKLSRNQAEIEDIPTLRDEPSDPLTADTVVSSADKAVVLRVARSVVSVSSTKPVADGKKVFLCSGFVIDWDGANKCSRILTSSFLVCNIKGELHDPALKLSVCLPNNTITEAQLIFFNVHYGVALLEVVGDFQLQIPSFGSSANYGQDVSVLARDGNMSLMVRHGTISWLDYPMLCYNHFMFLSCDIPKGAAGGPVIDHDGNISGIALDIKPGPVVTSISTIKTCIDMWHQSSRVARPMLGMQLKAVELLDVARREELCLKYNITGGFIVNLVKDDSTAERIGIRRGDVIVFENNCSTLPQLEDYLLSLGWGYLQGTSLTVDLKMEVHNLVDSYKECITFPVEFSESSEEVD >OB01G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14226748:14227165:-1 gene:OB01G28010 transcript:OB01G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKHKYIAHPSLVSHISLGQFHLLQHLFRFKVTSSDDSENSTGKVIHSLYEST >OB01G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14247388:14247765:-1 gene:OB01G28020 transcript:OB01G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSLVAALRPAGPLPSISLFHSVLVALSLTDGGVQVGLLVGMYDEWPWRGALPAASTTTAVVEDFPYWSVTASTHGAVRTASGGRAGGWAEERGDDRVRHQPRAWMGWPRGAWADGDANKRG >OB01G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14275029:14276177:1 gene:OB01G28030 transcript:OB01G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVDEESVAFAIRLLERSGLGDETCVPDAYHYMPPDRSLRASRDETELVIFSAVDDAFARSALGPDDIDVLIVNCSIFTPTPVFADMVVNRYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVAPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPEHARYRLGRVVRTVTAARDTDYRCVFQEEDDKGNTGIRLSKDLAATAGHALKSNIAAFGPLVLPASEQLLVAASFLKRKLLSGRAKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKKGDRVWMISFGAGFDCNSVAWECVKPPADADGPWLDCIHRYPVDLPEVAKDV >OB01G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14301215:14301928:-1 gene:OB01G28040 transcript:OB01G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLLRAAGLALAAAAAGSLHAVATLDMSELVPPITVNVRHILFDSAVGLQSALLRTNPLGGAHLRDVRARAEQDLARVDAAKHWNAAYRRLVPESEIIHPKLPGYFESEEMAGLIDELAGGGGGGGVCNLLEPAVRTFVMRCAFHEINARLAAAGEQNKTLSMAKRLQLKALRAYLYATTRRQMQGDVKIAREKRGRHGGDR >OB01G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14305735:14306433:-1 gene:OB01G28050 transcript:OB01G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLPGPSSSSSSAAAPRATGHLALVSAHPGLRDLNALLSPATFVVDANSALLACGLRSLPHDPPRLRRDIDRLSAQLKSAKTEGDAARRRSVGSTWPSTAPATAASTTRSPPALRWPRTTPATTCPASTPPRSATCSAGPRRGVGGSPRTPDMSSVYHQFLFMDAVRSAALGCTPHAVEGTSRKVVMLSTLELAEVTLCCVFREGDLLERLQVLALMAFLRRAVAKSLREDD >OB01G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14306927:14308724:1 gene:OB01G28060 transcript:OB01G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIICAYYVCVPTDREEEAKHWNAANAAYRSLVLESEIIEPQFPRYFEPNEMMDLTDELEVAASLGGVCSLEEPAVRIFVVQRALREVDADLSEKQGKTPFTTERLQLRALRVYLHAMVWRLVGENMEIVLEKHNHDDGDH >OB01G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14313524:14315985:-1 gene:OB01G28070 transcript:OB01G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMSSALIRGLVRSSRAPSVAVVSQPAIQHFRNYSSGLGGDSSASGESSSTRVATDPDTHQDFQPTSKSPNMSFDDIVAQDIKENPVLIYMKGYPDAPRCGFSALAVKVLKLYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQKGQLKDVLGDIAQKREEK >OB01G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14326960:14327346:1 gene:OB01G28080 transcript:OB01G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDFHARPARTASCCLDTCPPAQQVQGPDARPHPPPARSSGSSTSVHPSLRLGRDDMVMPRKGRTRRGCRQAEVHPWSDGAEMEETLLTEEDTKPAARLQGGVAARRRPRRLANAGAAPDQPLIAFT >OB01G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14328040:14328750:-1 gene:OB01G28090 transcript:OB01G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSITLKGDRSNKRSVSVKEGIEYSQAAVSQPESSSQMKQPTHQNNEHEERPLPDLLDECMEKEVASSSVEPNQSAAATDQEDVSQKMIVTSTNESMHETEPVSANKIEMCQSDVPLKAEFPVGSSFVTPQKNKVMDVKGPKGSAEAPNTRGLQNKKGEMSGSVTGKAPTVPTKSATNQGGKNDRSVASGSTQASASSRKRTRKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >OB01G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14333823:14338116:1 gene:OB01G28100 transcript:OB01G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32520) TAIR;Acc:AT2G32520] MATAQLLLLRRAFSTSSSSLASPLRRSPLRSASARRLAPRAAAMASSTAPFQKVQIQREDTTFDAYLVGKENAPGIVVLQEWWGVDYEVKNHAIHISQIGEGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKANGSSKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADASKAQAPIQAHFGETDSFVGFSDITAAKSLEEKLKSSGAPYEVHIYPGCSHAFMNASPEAVKRRKEMGSTDENQEAIDLAWSRFSTWMGRYLGSA >OB01G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14345907:14360038:1 gene:OB01G28110 transcript:OB01G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPCSREQVLLLSNHRLVSDSSAGLHMDCHNLQSFLKTNGNVVLQRVENNYSLRYFTENEVRHITNGYGVMLGKGSFGEVYKGVLDDQCPVAVKRYIHGAKKEEFAKEVIVHSQINHRNVVRLLGCCTEENALMIVMEFISNGNLNNILHCSNTNSHVPFPLGKRLDIAIQVADVLWCMHSMYSPILHGDIKPANILLDENLSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCKNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAENFTEVINKRKEMMHLFDQEINNTENLNFLKDIGKLAVKCLSRDVEVRLEMVEVATGLRMIRKALEEERGNLIHLQHISALKNLIHSKNVRSEARQFGNLNIFKKKEIKHMTRKYSMKFREDSREHLYYGVIGKARAVIVKQVSTSSESDRDVFLKTMGIVSQKYHKNVANVAGFHLGESVSECVYESCCDLSQRNDGHVSFYNRNLYEIICSRDKLPLHLRLSIAVQCAEGLVHIHSLLSENPDSRCTGLLGNFSSKNIFLDKNFVPKVFNSNLSTFLGLSVMEQHTTSVDDINDHGSQIYYLDQRDVSGQLPNPKSDVYSFGVVLLELITWKTVRYMSGGGVHMLTTDFLDTYKKNHSAAALLGQKVYDEQGNCFIHEAIDIAVACLEPDIQRRTEMSNVLSRLRTISAAQSIRSKLIMGTQAKADGDGKSNQHTATPVKINAVKTPTITASTISMDMLRKITRNFSNDVLIGQGSGARVFLGVLEDGNKSAVKEFVSIENIAVQVQTISGIIRHSNVAQILGYFIEGKQLILVYEYAPNGSLHDILHGKKGVTGAQPGIPLSWKQRVKIALSAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVTEHLVDAEDDLYYDSGIHTFSRRFDDYYAPEYLMTGQYSVKGDVYNFGKVLLELLTGRKVVDRTLPHGQQSLVVWATPRLNEERVGQLVDPKLGKEFPFKSVAKVITLKP >OB01G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14362130:14364273:1 gene:OB01G28120 transcript:OB01G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3L0Q6] MSPLLKGRVSTNGRIGAAAAVYTVLILEYLTAEELELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINRTAKEQSWSWTPNRIRSRLDLGLHLDLDVLAGPLGP >OB01G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14372413:14373252:1 gene:OB01G28130 transcript:OB01G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSPQPARRTFDGNVMCRATRLAESTLFASLGKGEWSMASKVMLSLSFRLLRIFVSQYRLVPCNPVDRSAPGPGAGARGRPIPGNTMLVECSQAILASALQARPLCGARLQEVRSVAQRALALVEAEGDIPAAVDVNLVLAFLATRDGQFDEALRRYKAAAQKDPADSRPYELADWLCCLVGLAKEQNAWRRSKKKLGGGTNPHDWLPVLRDQLLVAAALGHGGLTASDAHRAHISPAAWREVDGWLAAAALHLGLNRLTIRMLRAALRRLIQQWQP >OB01G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14374193:14374366:-1 gene:OB01G28140 transcript:OB01G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLPVDHEGRGVDSITPASFLAEGLLHYIIVALLIPVVATDLPICQLNCHPQLAK >OB01G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14384050:14389301:1 gene:OB01G28150 transcript:OB01G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3L0Q9] MMGRRGSCWVALALLLAVVAAPGGGGPRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLRAVVAAGGVGGTSVSYDERSLVIDGQRRILISGSIHYPRSTPDMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVIRFFKEIQNAGMYAILRIGPYICGEWNYGGLPVWLRDIPGMQFRLHNEPFENEMETFTTLIINKMKDAKMFAGQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHEWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKILVHGQYVDTNYGKNVTVTKYTLDKSSACFINNQFDDRDVNVTLDGSTHLLPAWSVSILPDCKTVAFNSAKIKTQTSVMVKKPKSVKPESLKWSWMPENLRPFMTDEKGSFRKNELLEQITTSTDQSDYLWYRTSLNHKGDGSYTLYVNTTGHELYAFVNGKLVAQNHSANGDFVFQLKSPVKLHDGKNYISLLSATVGLKNYGPLFEKMPAGIVGGPVKLIDNNGTQIDLSNSSWSYKDKHGGEYRQIYLDKPAYKWDGHNGTIPINRPFTWYKTTFEAPVGEDAVVVDLLGLNKGVAWVNGNNLGRYWPSYTAADMPGXXXXXXXXXXXGTRCLTGCGEPSQRFYHVPRSFLRAGEPNTLVLFEEAGGDPSEVSLRTVXLSCGGGARTMSSVDVASFGVERGRCGAYEGGCESKAAYEAFAAACVGKESCTVQITGELAGAGCVSGVLTVQATC >OB01G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14405190:14418511:1 gene:OB01G28160 transcript:OB01G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLYAIISNRREEYFDPPFQREGSDDAFLQLVIKPIFTVMQKEAAMNKLGRTSHSKWRNYDDLNEYFWSKRCFKQLNWPMDLRADFFAVPEKTKTEIEEHDRVVTRHRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIIAWSPSGTLSGIFDATVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQKIRYILKFVVAVAWLIILPITYISSIQNPTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRALERSNSRIIRFFLWWTQPKLYLARGMYESTCSLLKYTFFWIMLLICKLAFSFYVEIYPLVGPTRTIMFLGRGTYAWHEFFPYLQHNLGVVITVWAPIVMVYFMDTQIWYAIFSTIYGGLNGAFSRLGEIRTLGMLRSRFEAIPIAFSRNLVPRDDSLQKRHEREQDKGLHIDKFSDIWNAFIQSLREEDLISNREKDLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKNVKKRDDELRKRIHQDPYTYYAVVECYETLLNILYSLIVEQSDKKVVDQIYDSINDSINRQSLVKDFRLDELPQLSAKFDKLLNLLLKTDEDIDPIKTQIANLLQDIMEIITQDIMRNGQGILKDENRTNQLFANINLDSVKNKTWREKCVRLKLLLTTKESAIYVPTNLDARRRITFFANSLFMRMPRAPQVRSMMSFSVLTPYFNEDVLFSADDLYKKNEDGISILFYLRKIYRDEWKNFLERIDFKPPDEESLKTKMEEICPWASYRGQTLTRTVKLERRRTVESSQEGWASSDLARAIADIKFTYVVSCQIYGMQKASKDPKDKACYLNILNLMLMYPSLRVAYIDEVEAPVGNETTEKTYYSVLVKGGDKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFENEKYGERKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTISRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRNEENIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYIGTGRGFVVYHAKFAANYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSKMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTSEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRVLKGLLFLGFVSVMAVLFVVGNLTISDVFASILGFMPTGWCILLIGQACKPLVERAMLWDSIMELGRAYENMMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE >OB01G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14418928:14419092:-1 gene:OB01G28170 transcript:OB01G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFELAQSVQFQPCNAISFFGPTIICVSVFPIDPLGQPNWFFAPNFGVAMVS >OB01G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14421278:14434143:-1 gene:OB01G28180 transcript:OB01G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 17 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: Barren (InterPro:IPR008418); Has 467 Blast hits to 447 proteins in 202 species: Archae - 0; Bacteria - 4; Metazoa - 147; Fungi /.../; Plants - 39; Viruses - 1; Other Eukaryotes - 108 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G32590) TAIR;Acc:AT2G32590] MGKICMHLAMKINQKNTWELGLIDHLSEIIQAGEDDDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGDEADSEEGSNPEHAQEGAGKKDADRRISPTSTLESSFDSLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYDSCRVLFDSFEGADKCILSDMQTEKDEVIDLSFAKEQIEQMVIHMPLCNDISPTLSDIVCQFDDENRRPPHEAISRQIPLTEDQVDDSNDVANDDVMQNDCETWDFGGCDDQESVYDEQCNPMDYSSMNCQEETDEYTFEAPEGLDVNERIDKIADFLSFGMGFSAKTNAWAGPEHWKYRKAKDLDPVPTKPDDSDAPKKTKKKRGKDEPDIDFSKALEHDIPNIFAPPKNPKSLLLPANRATCNNKLPEDCHYRPESLVKLFLLPDVLCLARRRKKPLGGSRENNDDSIPSEPWDGDNFCNDYANEGNADSDVEEAVDLSTKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIHTSSETDDTDDTDTESPLYLSKVLQDLPSCNPDAAATEISPHLYFICLLHLANEHCLTLRDRPTLDEIDIYIPTSSLVK >OB01G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14449348:14450121:1 gene:OB01G28190 transcript:OB01G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMEKKGFPTLAQVAKIAWIVLLFLLLPLVPSSLRPPYVYLLFNALVVALGVEAGFLASISGAPRDDRRHVTRSLSLATLGAAPRLSGGGGSAGKPAKKTTTPKKKAAASKKMRRCPSRASIFFIGGGDDEDAVVHAEEEEEATVTGAGAGEHMMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHYKTKAL >OB01G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14453899:14457583:1 gene:OB01G28200 transcript:OB01G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKAITYRQDIRAKEIEQYRIRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >OB01G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14461060:14472205:1 gene:OB01G28210 transcript:OB01G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQPSGPPQQQRPPMMGSSAPSQNLGPPMPLQFRPVIPLQQPPQFMQPGQQFRPVGQAMPGANIGMPGQMPHFQQPAQHLPHSGQVPPTSQAVPMAYQPARPMSSGPLQPPATFPGGHMPTMGGPMPPPSYTYQPSSVPPTIVQPWGPAPGQNVPPVAPLVQPGHQPVSSSTTLPSINSSEPSSSDWQEHTSNDGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTQEGRKYYYNKVTKQSKWTIPDELKMARELAEKASNSRHDHETETTAVASSTLDAAVNSVPPGAGSSHNMENTSSSSNTAMQNGGPSTVITPVISTGIPSVASDAGISRANNEYSSKASTADMQNGASADDLEEAKKTMPLPGKINVTPVEDKTSEEEPVIYANKLEAKNAFKALLESSNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRVKQRKARDDFLAMLEECKELTSSTRWSKAITMFEDDKRFSAVERPREREDLFENYLMELQKKERARAAEEHKKHIAEYRAFLESCEFIKANTQWRKVQDRLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEKIRRQERKNRDEFRKMLEEHAAEGMLNAKTRWRDYCAQVKDSHVYMAVASNTSGSMPKELFEDVMEEVEKQYQDDKARIKEAVKSGKIPMVASWTLEDFQTAVMEDDTFKGITNINMKLIYDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFEDSQEYKALDSDSYARELFEECVVHLKERLKEKERLREEEKAKKEKEREEKERKKDKERKEKERKEKEREKDKGKDRSRRDEMDSDALDADSHGSKDKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDNSRRNGTRDDLEDGELGEDGEIH >OB01G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14477898:14483595:-1 gene:OB01G28220 transcript:OB01G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3L0R6] MGRRGSCWVALALLLAVVAAPGGGGPRGTSVSYDERSLVIDGQRRILISGSIHYPRSTPDMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVIRFFKEIQNAGMYAILRIGPYICGEWNYGGLPVWLRDIPGMQFRLHNEPFENEMETFTTLIINKMKDAKMFAGQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHEWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKILVHGQYVDTNYGKNVTVTKYTLDKSSACFINNQFDDRDVNVTLDGSTHLLPAWSVSILPDCKTVAFNSAKIKTQTSVMVKKPKSVKPESLKWSWMPENLRPFMTDEKGSFRKNELLEQITTSTDQSDYLWYRTSLNHKGDGSYTLYVNTTGHELYAFVNGKLVAQNHSANGDFVFQLKSPVKLHDGKNYISLLSATVGLKNYGPLFEKMPAGIVGGPVKLIDNNGTQIDLSNSSWSYKAGLAGEYRQIYLDKHGYKWDGHNGTIPINRPFTWYKATFEAPVGEDAVVVDLLGLNKGVAWVNGNNLGRYWPSYTAADMPGCHRCDYRGAFQAEGDATSCLTGCGEPSQRFYHVPRSFLRAAEPNTLVLFEEAGGDPSEVALRTVAPGAVCASGEAGDALTLSRGARPVRRLRGRLRVQGGVRGIRGGVRRQGVVHRADHRPARRRRVRVRRAHRAGHLLIIQSMRRSLVI >OB01G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14485982:14505426:-1 gene:OB01G28230 transcript:OB01G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSIQRGVRQFKTYMSLKLDQDDTQVMGNDAKEIQRFYKHYCANLSRISEKRNFEELVRRYQVASALYEVLRDVTNNKVDSEVLKFAKVVEEKSVHFKNYKYNIIPLNFPGSSEAIVELPEIKGAIDALNSIAGLPMPHMSSVHRDGDTSVRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGARTAGQEHPLVDTVDELWTKIFQNYQSWCSYLHISSIIKHANAVTRQNKQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMAKQLHKMIEENYFQSPPGFEEEGSFLKTAIEPIYMVLQKEAHKSKGGTAGHSTWRNYDDLNEHFWSEKCFTTLNWPWDLTADFFYQEHSTSRKAKTNFVEVRTFLHLFRSFNRMWMFLILAFQAMLIVSWSSSGSLSGLADATVFRNVLSVFVTAALLNFIKVTLDIVLTFQAWGNLDWTQIVRYLLKFFVAIAWIIILPLAYSSSIRNPSGAGKLLNSWVGNWHNPSAYNIAIIIYMVPDILAAFLFLLPQLQNVMERSNWRVIVLLMWWIQPRLYVARGMHEDILSIIKYVFFWVVLLTCKLAFSFYVELSPMIGPTKFLLDQRIGNYEWHEIFPFLPRNLGVVITIWVPIVMVYFMDTQIWYAIFSTAFGGVSGALSHVGEIRTLAMLRARFKSMPEAFNKSHATPHREQACSEGRFFCVWNSFINSLREEDFISDRERDILMAPSSSSSFSVVPWPPFLLASKVPTALHMAMTSKEGDYHELIEKIKLDRDRYNAVIECYESLILILMNILLDNNDQIIVDDINKRVLDSVVNYTLLEDFHMAEVGKVSNMLAKLLHLLSNESTNGGDERKIVNALQDFMEITTRDFMKDGQGILKDENERKQRFTNLDMDMINHAFWKEKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPKAPQVHDMISFSVLTPYYNEEVLYSSHELNKKNEDGISILFYLQKIYPDEWKNFLERIGVDPEDEEAVKGCMDDIRIWASYRGQTLARTVRGMMYYRQALELQCYEDMTNAQADLEGEESARSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMEKQYYSVLVKGNDEEIYRIRLPGKPTEIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSEPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANTLKVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFESALATQSVFQLGMLLVLPMMIEVGLEKGFGRALAEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWSDWWSWMSNRGGIGLTPEQSWEAWWISEHDHLKNATVRSLLLEFIISLRFLIYQYGIVYHLHIVHGNRSFMVYALSWLVIAIVLVSLKVVSIGREKFITNFQLVFRILKGIVFIVLVSLVVLLFIGFNLTVSDVGASILAFIPTGWFILLIAQLSGPLFRRLVSEPLGAIFCSCGTGGACKGPCCARFRLRTRAALRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGNGSKRD >OB01G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14530657:14540575:1 gene:OB01G28240 transcript:OB01G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGREEAGGGGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGMAQPLMTFIFGDVINAFGSTASPDVLAKVTKVILNFIYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLACIPPIAVAGAIVSRLMTRVSTRMQEKYGDAGNVAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVEHGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGRGAAYRMFKTIERQPDIDVCDTKGIILEDIKGDIELKDVYFSYPTRPEYLVFNGFSLQIPSSRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGTDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKKPEGAYAQLIHLQGTQQEAEAPNDDPDMIIRSGSGSRSINIKPRSQSTSFRRSSITKGSFGHSGRHPIPAPLDFSDPMEFEDDLGKEITDKVSSGQKKASISRLFYLNKPEAFVLALGSVTAAMHGLVFPAFGILISSAIKTFYEPPSELLKDSRFWASMFVVMGASAFVLIPTEYFLFGLAGGKLVERIRSLTFQSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTLSTIISGFAIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKTAKLKYEEASQIATDAVGGIRTVASFCAEQKVMEAYAKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVQQGVATFPDVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVVASVRGDIEFQNVCFSYPLRPNIQIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDPDSGKILFDGVDLKTLRVGWLRLQMGLVAQEPVLFNDTIRANIAYGKQGEGSEAAEEEIRAAAETANAHQFISALPDGYDTVVGERGVQLSGGQKQRVAIARAIVKDPRVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTVMGADVIAVLGNGAVAEKGRHDELMRIKDGAYASLVELSSSSM >OB01G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14556416:14556601:1 gene:OB01G28250 transcript:OB01G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYQQTYTKQLLIRIKTQAQCVSKTCLFLYAKLPEILLDHTCTHTDTERDSRPSTGLLNSKR >OB01G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14561604:14563860:1 gene:OB01G28260 transcript:OB01G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVHIQDLYYTVQLCECTHASTGSAELGAKDDYSVFLSHRWIQRQIALVLYLEIMHVLRLILCIHVN >OB01G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14574215:14575586:1 gene:OB01G28270 transcript:OB01G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVSEAPDIVEDNQLPADWPSAGKVVLEYLEVKYTHDASAVLKGISCTFHGGEKIGIVGRTGSGKATLINAIFILVEHSGGKIIIDGQNITTMGLMDLRSRIGLVPQDRILFHGSIRYNLDPQGNFLNEQIWKVLGKCQLDEVIEEKQGLDSLVGEGGSNWSMGQRQLLCLGRVLLRRSCIVILDEATASIDNAN >OB01G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14577508:14577666:-1 gene:OB01G28280 transcript:OB01G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDKVNLWLRMNYYGYIELFCDSVPCLRILFNVFNRNELFHSLTLLRTSCV >OB01G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14579864:14580118:-1 gene:OB01G28290 transcript:OB01G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGLDGIKLDLTGLLVRMNCSVPAPTVQLVAPLSPSWRIKFVLLIYRTQLEAASCPMSPVYQQQELRICRYSLVINAAINLK >OB01G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14581441:14584943:-1 gene:OB01G28300 transcript:OB01G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMWSWPPPARKFRVRLVVRRADGLAPPPASSSSPGASPEAAATKVAVEVRWKGPRASPLGSLRRVMHSNRMRLVAPAAAVAWKEFERVETFTATSHRKATASFYPWDLAFSVSNDSNKGPKGELVMGTVSVNLAEYTSSAEEVEIILPLSVPNGASESSPSLHRIPSAVVEAYNLASRHSLNIFTDDAMKTRIQTQKIKSSLYLSFGWAHFVRKKALKEDDIIMLKMKTLAIARMIFFGKNGRRKQPTVHNPATTATTGGFPEEHGTISRPNQGISAKQTSSMPTLSDKLCFRNFVYLTKDMKCYLKTNTSEMHPLEKLYLFTMNKTAVNQNEMYFSSEYTSTYIKPHLLGKKTTITASTLGTTPSSMTITMSTDDRCNITAGWTKFVTQSVLSTSAPRMAMYMQPFISFECTLDEEKTPLLPLCQLTCCYNLATQLYVITTMNHESPDITNQLYPCMTA >OB01G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14606911:14619603:-1 gene:OB01G28310 transcript:OB01G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAASTPSRWRVARPPLPRTRPPPPLCRRLILYAVARTSLPRTPPPPPLLRRQAAGASPRWQRRTQDPRFIQSYMTTPGKKFARQIKERLQTAYREVELHILQNNEAYQQVLDDEISPSPFSTAGFFSKMSFWWLNPLVRKGYEKPLEEKDIPALDVADEAGTQFSMFVAKTKSKKSSLLWSIVSCYTREIIVSGCFALLKVLTLSAGPLLIKEFINVSSGREAFKHEGYIIALGLLFSKCIESLAQRQWYFHSRRVGIQVKSLLAAIIYQKQQKLSRFARTKHSSGEIMNYLMVDTYRVGEFPFWFHRIWTSGLQLTIALTVLYNSVGVATIASVFVIVLTVILNVPLAKQQQHFHSKLMETQDLRLKTMSESFTNMKILKLYAWENHFKGVVQHFRELELKWLSAFQLGKAYTSVLFWASPALVSATTFIACYFLGIPLDPTNVFTFVATLRLVQEPINYIPNVIGSLIQARIAFSRISEFLGAFELEKDQVWMESCAHNPYPVVIKSGCFTWSSSECSSLRNINLVVKAGTKVAICGEVGSGKSSLFAAILGEMPRINGMVQVCGKIAYVSQNAWIQTASVQDNILFGSPMDRPRYEETLKRCSLVYDLENLPFGDQTQVGERGVNLSGGQKQRIQLARALYHDADVYLLDDPFSSVDAHTAKNLFNEYVMGALSEKTVLLITHQVEFLHAFDSIVLMSHGQIMHAASYQELLSSIEEFQNLVNAHEGTADFQNINVLDCNRDKNLFKMDTSVVHTKGKESIKTSEFGQLIRREEREIGETGLKPYLMYLGQNKGYICAILIAITNIIFTSGQLAGNSWLASNVQNPDVSTLILVLVYTTIGIISIIFLLFRALLAVALNLQTSRSLFSQLLDALFHAPISFFYSTPLGRILARVSSDLSVIDLDLPLTISFTISATLNAYINLGVLCFFTWPIFFVVAPVIIMAVKLQRYYLASSKELTRIDGTTKSLIANHLDESVSGATTIRAFKQEDCFFAKFLELVDNNASTSFHCFAATEWLTQRLEIMGAAILLSSCFVITITPGTFSSGVVGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERIRQYMDIVREEPDTVEDNQLPVDWPSVGKIEFEDLEVKYNQDDCPVIQGINCTFQGGDKIGIVGRTGSGKTTLINAVFRLVEPSGGKIIIDGQDITKMCLRDLRSRIGIIPQDPILFDGSVRYNLDPQGCFSDEQIWEVLGKCQLLEAIKEKQGLDSLGGSNWSMGQRQLLCLGRALLCRSRILILDEATASMDNSTDAVIQKTIRTEFKDRTVITIAHRIPTVMDCNRILACFGLRFQVHCTMIWCCPHNGHMREFGHPLFAKLSSVNYHFLSSTLMKNFTFVGALVFQMSLAIPTMVLAMKNMSSRSKKVKDTESKALEMSSLSKTAGFLLRSSLLAGKIVEYDQPQKLMEIEGSLFNQLLNEYRRQISRPGV >OB01G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14672122:14673204:-1 gene:OB01G28320 transcript:OB01G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3L0S6] MAEEMVHPFSLWCAPVPIKHAIEQDALAPFPKPEAPAVDEKAEGCNQDRLMSSLPTKVYGKRRFLKYQATWWPEVFLPGVLAIQRRFQPRSSDVLLASYPKSGTTWMKALAFAIMSRKVYPLEEHPLLRLNPHDCVVHLPGAYAAGKESVVEALPSPRIMALHMPYSTLPDSVVVNSDCKIIYVCRDPKDVLVSLWHYYNKVRPDEAHLSQFHDLYESFCQGDTIFGPWWDNVLGYFRASLDMPTRVLFLRYEDMLEDTASAVMAIAHFVGCPFSGEEERAKVVEAIVKLCSFDELKNLNTNKSGSHGLLRKHASSSYFRKGVAGDWMDHMTEEMAHRIDTIVQGKFQGSGLNIKSAAST >OB01G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14691440:14698499:1 gene:OB01G28330 transcript:OB01G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKKVATKGGGKRAAICKRTTGIVIESLSPARTHAHGRKHRWAVRRLWAQTPSSEEVAGITVVGVGEALARDGEQVRSGHDNSGIGKTGRPSTSSRPLSSQVPEHPFSGSLSPFKKALSAIFGICKKIAVKVKSNEKKINQLLREFGHEIPSESEDEVYEDPFAAYEATRTIVGEASASSSRPAPIDSDVDAEEEENFTGKGDLQDTCSPPRLSPWDFRIKGNWANILPPDHATALGELRKANTVLQAECARLTTIGHEGHVRRNFTGKGDLQDTCSPPRLSPWDFRIKDHATALGELRKANTVLQAECARLTTIGHEGHVRR >OB01G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14714683:14716970:1 gene:OB01G28340 transcript:OB01G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRGSPALRAWAALAPVGWPRTVASIGTVGGTSSVAVDADRVALLLTGAAAEVGAGVHRPEASGAGWVDVARLPRIMRKAASSGASKEEGLETSGISASGSKANLFAAGSSGEVLAAFLSSEMNAITANNRRMVLVRLRLNGEKVADEVEVWGNAEKSLTNIDEYRDLHGGVGIQTLEEGRHREPETLQKERIKNNYLSNSLGAMISQQLLPWDQPGGRHRGKILVGARRGVLEGERQCLSQIHWVRHEWGLLWDEGMSTSLRKLPTANSGALSCGFRRWGIAGVILDFHLYELFLMTPDEFGNETSATGKVIFSDFGGCKEHPHPSLYATWAAVLVQIAP >OB01G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14727705:14727946:-1 gene:OB01G28350 transcript:OB01G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDGMIYARNLGYMSTHCPSPLLGVDNLYPPEDPNNTIILHPAFVDDDDINIINEDIYHFRYDQTPPRDARSTRFKWLKH >OB01G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14735482:14740544:-1 gene:OB01G28360 transcript:OB01G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:J3L0T0] MEAQAQPLLCTVDRTVHCRHVPVTGGVPADLAGCRWRGAACALKRSACLKVYATSSASGSPGPETEKPTLAGNTHKLLESTDGKSTNIFWHNNPAGKNERVKLLNQKGCVVWITGLSGSGKSTLACALSQELHNRGHLSYILDGDNLRHGLNRDLSFKPDDRAENIRQVAKLFADAGLICITSLISPYRRDRDACRYLLPRSSFIEVFLNVPLEVCEGRDPKGLYKLARDGKIKGFTGIDDPYEAPTNCEIVIGWHDGMCPSPKATADEVVFYMTKNGYLT >OB01G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14745902:14748672:1 gene:OB01G28370 transcript:OB01G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDTGKELPLSAWHTSASTDNNLTVMTRAVRLSSPFPHGESATCGLPPVSLVPPSWPLPELKRPTKESAHEPRASDIDSGIPAQGTLPKPVGTAILTNTEVVPSKPEAMAPLASASVTTLMTSPVSAPADSATAELTGTLVIGTAAPTPPTPPPLPAGSELVKPLPAVTAMTDEGSLSVPTPIEHVTGLPLVDKVVGGSPKGRVGCVVATMSPMATVMVRSSTSSQSKQPSTSATPMQITWVKEGRTNSIRLDASEDQCVIARLEDFIDRSVQIVKLCSPASRWQTFGRFWYLNELPQCASYSLRTSLWSLERAKAIENKQQDEIAALRVDQVSHLERMKGDEEGQYWPASRVLEASGIHMVDLEVECSMLKALNTVFESECSKPKKNKDATTAELVGKLPWPDSFMILRYIESLAPSSSLASIDNVIRRLGEVPAQHGTELRETTNIGVSHALAIIKSLYPRVDLKAVVDGFAADYDEEATLKLVNKAQLATESVMDYMGL >OB01G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14757994:14759779:-1 gene:OB01G28380 transcript:OB01G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPYDMKLPVLLRVSEEQTGAVSTNSRLTSTSVRMTSNWENSSPDSEELVSVYESSSNSSIWSRIPPNLKVEPGVNFLFMTLELKSIEFRLKSCVEEKIAHLYLACQLSMTDVGNKTIGLLKGLELVGCTGQVILRSYDEKIGQAPASEIVKQWPATVLVTGDGNVGWEEGGSWAEGAAGGAAGRGIRRWLPLQEMTAAPMATTDGGAHRTGGDSGCACCGVAVVA >OB01G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14762496:14764064:-1 gene:OB01G28390 transcript:OB01G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRTCMRNSRLFHSLGIKEAAEILKKSRPNAIDATRDDSGSLYLPEDGEDVEQGVFDKEAMQDLEFEVSKRSAQNVSILAGGSRKSKRVMAVATQDQDQPGRITRQRTRDLATAREDTTADPQDGPTEDGLMATNANAQANNQNELSTEGNKSHVSRHVGRDLDNISRGLSNKIPMHIVEGNLWPEVPLQAAKLASEAGIILRNHVHIFIHWKHYKSEENEGVSNLALTTKSVVNSHQRRC >OB01G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14775201:14776302:-1 gene:OB01G28400 transcript:OB01G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPPATRVALSPDPPRARTSSPPRGRTPLSSLEEGSPEEIPGAVPQLTEWGEGAAQPFRLSWEASIGCLNPSLFRCKIPSSMNVEFSHRHTQGDREGPSGGDRLKSVEATRDQFDSMAQAVFRVLESLETGPSSGPTDDVLKKLRVVPTCMLEEMRDSAKATACQAFTIVKSLYPRVDIATAIEGFATDCNAKNALERMNDAREATDGVVRTMDLQQ >OB01G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14802068:14803446:-1 gene:OB01G28410 transcript:OB01G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEQWCKLVEKWSSAKSKTISDQNKINRGIVKYPQTTGSRCYVAKLHIHKDKNKDAPLGEVCDDEVDAVELFKECHTSSRKGLSDVAKNAIAVVESLRAEPVADGQELRPTAEIVSKLLSQSSTNSTFLKNYGIPLSLTKSTETTSEKALREELVAAKQGSALLLQEVDELKKKSEAAEQALQST >OB01G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14806243:14806590:-1 gene:OB01G28420 transcript:OB01G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKRRVHLDLFLITEGIDEEELDTIHSHLLLIFLFSLAHATSLVSLFSFFLFFSLPPIAYLCYSLFSLFYFFTLSHVLSLSPLLLFFHLSSFSPLFSSLSLSLFLFYLPHVPSL >OB01G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14812360:14815832:1 gene:OB01G28430 transcript:OB01G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVELMKKPEGAYAQLIHLQGTQQEAEAPNDDPDMIIRSGSGSRSINIKPRSQSTSFRRSSITKGSFGHSGRHPIPAPLDFSDPMEFEDDLGKEITDKVSSGQKKASISRLFYLNKPEAFVLALGSVTAAMHGLVFPAFGILISSAIKTFYEPPSELLKDSRFWASMFVVMGASAFVLIPTEYFLFGLAGGKLVERIRSLTFQSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTLSTIISGFAIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKTAKLKYEEASQIATDAVGGIRTVASFCAEQKVMEAYAKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVQQGVATFPDVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVVASVRGDIEFQNVCFSYPLRPNIQIFKDLSLSIPSGKTVALVGESGSGKSTVIALLERFYDPDSGKILFDGVDLKTLRVGWLRLQMGLVAQEPVLFNDTIRANIAYGKQGEGSEAAEEEIRAAAETANAHQFISALPDGYDTVVGERGVQLSGGQKQRVAIARAIVKDPRVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTVRGADVIAVLGNGAVAEKGRHDELMRIKDGAYASLVELSSSSM >OB01G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14826583:14826786:1 gene:OB01G28440 transcript:OB01G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNNTNNKLQVDAENPAVVPDDDDLAQRANWLRTAVSGTNDGLVCSQRDVELAGTAGPRREAWRGE >OB01G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14837898:14845744:1 gene:OB01G28450 transcript:OB01G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAASRLSASSPVLPCPHTTLCLKKLSSDMLFDEAPVIMKFIYLGVGAGLASALQVSCWTITGERQAARIRTMYLKAILRQDIAFFDKEMKTGQVVEMMSGDIFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLIAKRSTHMQLKYGDAGIVVEQTIGSIRTVVAFSGEKKAINTYNMLIKKAYESGLQQGVINGLGLGSIILIFFSSYGLAVWYGSRLIVEKGYNGGDVINVIMAVMISAMSLGHVTSSITALAGGQGAAHRMFVTVERQPDIDACCTTGVILEDVKGDVELKDVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGWIRRKIGLVSQEPVLFSGTIRENITYGKEDPTIEEINRAIELANAEKFIDKLSNGLETMVGEHGIQLSGGQKQRIAIARVILKNPRILLLDEATSALDMESERAVQEALNKLMSGRTTIIVAHRLSTVKNADMISVLHHGKLVEQGSHEELMKIPEGAYSQLIQLQETLREAVVPNDGSDMTTRNGFDSRFTNSKTRSQSISFRKSTSKSSSFGQNGSHPLTSTCGLSDRMEANDGQNIQETTDKMPDCQEKASILRLFYLNKPEAFVLALGSITAAMHGVIFPIFGILVSSAIKTFYEPPLELLKGSRLLGSMFLVLGISTFLIIPTEYFLFGLAGGKLVERIRSLTFKSVMHQEISWFDQPENSRYTFCLSLIMTCYILCTYTSFNFSSGSIGARLSIDALNVKRLVGDSLGLNFQTLSTIIVGFTIAMVANWKLALIVTVVVPLVGFQAYAQMMFLKGFNKNAKSKYEDATQVATEAVGGIRTITSFCAQQKVMNAYEQKCVSPIRQGIREGVVGALGFGFSYLVFYFSYALCFYVGAKFVHQGAATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDASKDEGAVIASVRGDIEFQNVCFKYPLRPNIQIFKDLNLSIPSGKTAALVGESGSGKSTVISLLERFYDPDAGKILLDGVELETLKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQGDVSEDEIIAAAEAANAHQFISGLPDGYSTIVGERGIQLSGGQKQRVAIARAIVKDPRVLLLDEATSALDTESERVVQEALDQVMVGRTTVVVAHRLSTIKGADIIGVLGDGTIVEKGRHEELMQVESEDLLWIVVRLRVSTFCVIQCN >OB01G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14849862:14852613:1 gene:OB01G28460 transcript:OB01G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15420) TAIR;Acc:AT4G15420] MEEVIQIGKGVSFSHMFEALRYEGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVSNRVPGATQDQDANEATCCGVLEFTAREGSAELTPHVWNNLFQGDSPDVPLIVVRYVSLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDFVVVNYGQLQYKLKVLELRPASSVSVLETDVEVDIEGPDSVLDNVDNQHVLVPLETGKVESGGVEEGKFRYYKFLVDEVMGEKVASGLANIEVKIETDTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLVLRPRDASLVSGTYSIGVYGFKGTTKYQLSVTIRDVLNSQRIGDQASASSSVDVDSVVCNNCKRYISSRTSLLHEAYCVRHNVVCTHHGCGVVLRKEEAVDHVHCDKCGQAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSACPLRLIVCRFCGDTVQAGGEPLDARDRLRDMCEHESICGSRTAPCDSCGRSVMLKDMDIHVIAVHQKS >OB01G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14857955:14866557:-1 gene:OB01G28470 transcript:OB01G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIQDIGLSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGSRESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITILAFIILVPVNWTNNTLEGLKMQHSDIDKLSISNIPSGSKRFVAHLAMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDNYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSKRPTMKTGFLGCFGSKVDAIEYYTSEIERIEKEETEEREKIVKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTVRRLIIAVAFFFLNFFYVIPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKSFIHQSANQIPKTIGVAIPMKATFFITYIMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILVFFGFAYVVYRHQIINVYNQEYESAAAFWPSVNGRIIVALIVSQFLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQEAMRKDTLERAREPGLDLKAYLMNAYVHPVFKGGGDDDERFSMSDEPEAEQVLVATKRQSRRNTPVPSKHNGSESPSLREIVNDQRL >OB01G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14884263:14887250:1 gene:OB01G28480 transcript:OB01G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIGCGSGRFLIWLAKNSSERRNYLGLEIRQKLVERSQFWVTELRLRNVYFMFANATVSFNQIVSSYPGPLSLVSILCPDPHFKKRHHKRRVLQPQLVDSITKSLCLDGRVLLQSDVLEVAADMRERFDGYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKTRNVRH >OB01G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14887789:14897411:-1 gene:OB01G28490 transcript:OB01G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) TAIR;Acc:AT4G24400] MKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLGEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPSGAKSLIRRILDPNPDKRIRIEDIRNDEWFKKNYEPVREVTNEEINLDDVNAAFDDPEEDTEHTYDDKAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSCKPARVIMSSMEVVAQSMGFKTHIRNYKMRVEGLNTNKTSHLTIMIEIFEVAPSIFMVELQRAAGDTSEYNKIINNYCSKLDDIIWNIPIEKSKSRISRLSKR >OB01G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14904326:14907257:-1 gene:OB01G28500 transcript:OB01G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSPPCPEPERVVGTLEHTDPSLFTVLAQDAVGGLQVWHEEGGGGGWVDVAPVAGTLLVNVGDMLKVVSNDEYKSVEHRVVIKSSKDARVSIAVFFNPAKRDASDVFGPLPELLAAGRPARYRCFSVPEFIRSRRESGHGRSSLDLFKIAADQRQME >OB01G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14920188:14923834:1 gene:OB01G28510 transcript:OB01G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3L0U5] MAWLSCLCYSIEKELSLMNSLGLSVAAAGATTTGSPFHDVCCYGAGIAGNIFALVLFVSPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGAVFQLAYTATFVAFADAKQRVKVSSLLVMVFGVFALIVYASLVLFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTRSVEYMPFYLSLSMFLMSISFFAYGVLLHDFFIYIPNGIGTVLGIIQLVLYSYFRKGSTEDRLPLLVTQT >OB01G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14924515:14931628:-1 gene:OB01G28520 transcript:OB01G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / protein phosphatase 2C ( PP2C) family protein [Source:Projected from Arabidopsis thaliana (AT2G40860) TAIR;Acc:AT2G40860] MGMGLEMPPEESNRCVRGCCRSAAIPLHLPASSFALLSPIAKGSESTVYEARLAGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVQQLVTIASDLAKALQYLNNIGIVHRDVKPANILLDKDFHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQHCWDPDPQQRPSFKDITEELEIIEKDIALNSCPPASPVNKSQNGNTEVHHYQEALNWFNQGELFVKKGNKLGCKVDHLSDTCDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPNMREEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFDSNTSPTNALTEAFVRTDRAFREELILHQKSKRITQKNWHPGCTAVTALVVRNKLFVANAGDCRAILNRAGEPFPVTRDHVASCPKERERIIKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETILSSDDEFLVMASDGLWDVMSNEDVLSIIKETVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVTTAERIY >OB01G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14944505:14946135:1 gene:OB01G28530 transcript:OB01G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCVSAPATACHISRSGHPGSRTASGDRSATPEPGSGGDKDPCGEQRGGSRNPRETGRPLDGSGNAAAWRRQEVAQWGSKRAWRVVADSAKVRVRHNTGATHEVEGGRGTRLQRGRGRRAAVRHNGAIAWGKAAASVQRRGHDTSKNVAQRSDNGSGGGRPMAGWRDTAT >OB01G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14948074:14948553:1 gene:OB01G28540 transcript:OB01G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMALILVVIAVAVMLVPAVESTDKLDPYAVGGFILGRHIAENPQASIVSMLKSAAKCRVNIDRCISETNDYIRKALDGVVAAALPAKKKETEEATLVQTNIAADHLVSAKATGDVDKVATVSITYRMAADAVLEASPDEKFLAMKVTFKLAADPIA >OB01G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14992152:14992718:1 gene:OB01G28550 transcript:OB01G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPDAAAAIADAWLATPFRAPCPASGDAPWPEDIQLFFDSAPAEMAAIPEGSDSACAICCLRKGMEFEPVGIIPGGEMRIVRESPTSAYVRFKAGSVEPAHHHKFGHDLVVIRGKKKVWNLTKKETYDLVDGDFLFTPAGDVHRVKYLEDTEFFIRWDGHWDIFLDEDLDAARNAIDAELGAATCK >OB01G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:14993700:14993870:-1 gene:OB01G28560 transcript:OB01G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAAHFSSHDPWQQLNHCGHFIQRSAKGCKIVATEKQQANVSEPNVKTDLWSLS >OB01G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15012503:15014631:1 gene:OB01G28570 transcript:OB01G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCVPAPATACHRSRSGHPGSRTASGDRSATPEPGSGGDKDPCGEQRGGSRYNEIRQRRRPTLEAVVGGVDPGDGRVDGSGVVERAGDEGDGDGPHPSPAAVEIKTHAASTEGVAGAPGVGGITALLSVGRASLQPRLLVGVLALGGGERLAQGQQGETPAAGSSPEWLA >OB01G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15036889:15037242:1 gene:OB01G28580 transcript:OB01G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDSGDGRCGRLRRWRRWAEADGGCERWGRWHRAPASVTGDQNDDDATSSTTWRGVRALPCGHLAVLSGGGGRLQQATSGGAGGGRWLLPDLDGIGNLDGGGGCHQPVVFSVVV >OB01G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15043753:15044103:1 gene:OB01G28590 transcript:OB01G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFFTLDFAGRPFLLLLYHHHHRTAQSYPFFFSLLSFFRLFFFPPWIAHPTHLTPKQSGLLLLDRSAINCTPRPDPNSLFPLLPPPHQGLILVARSLAALQAKLIKLSLLMLTNQP >OB01G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15053964:15054227:1 gene:OB01G28600 transcript:OB01G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RALGRARRAPRLPHRPGPVPARRRRGLLLLRCSSAAATDGRRHCLPRRRRPRLRDAPAAAPRRHHHALQLLLAELATSCQVIKLAST >OB01G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15080592:15084406:1 gene:OB01G28610 transcript:OB01G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L0V5] MSAAMAAALALFSLLAAAWGLPPQLDPHFYDRSCPQAQQIVASIVGKVHYQDPRMAASLLRLHFHDCFVKGCDASILLDSSATIVSEKRSNPNRDSARGFEVIDEIKAALEAACPHTVSCADILALAARDSTVMTGGPGWIVPLGRRDSRGASVQGSNNDIPAPNNTLPTIITKFKLQGLDILDLVALLGSHTIGDSRCTSFRQRLYNRTGTGLPDLTLDASYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNLLAYRGLLSSDEVLLTASPATAELVKLYAADQDVFFQHFARSMVKMGNISPLTGGNGEIRANCRKVNRY >OB01G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15086271:15087393:-1 gene:OB01G28620 transcript:OB01G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGPWSAPEGVLGLCRVNGELPGVSALKGAKFNTPGRLKPCYYFSYTFHAMPSGEQDTKTQLAKQEATEPSTPSPQPSPDTASPVSLSTSGAMPQMEFVTPLSESSQPSDEAPRRYRMLSNINGTCELVENFEYSEPCLLANGDLVNFTEAETHECWRRAMIDEVNSIVANKTWNLTYLPQGHRAIGLKWVYKIKKGP >OB01G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15091802:15095278:1 gene:OB01G28630 transcript:OB01G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L0V7] MASALASSSHWPPRLRPAASGTGSSWTCTVRSGTPTREHAGEGRREDGGCGGCRPAISRSVAQLPSAAPANIWRMSDLPTLHLRGNSSILLVLSNINASYRTDFGFYTSDGHSFVLSVFYLQLETVIWSANPDNPVNYSAVLNFTRDGDLLLSHSNGSVIWSTATKGKQVATLSLEALGNLVLSDKTNTYVWQSFDHPTDTLVLGQSLCFGMRLTAIPSAKKWESARIYLSADLGGLRYSFEPAAYSKLFQPTVVGNSTSICYSFVNGSLGFPNQIIALPPTRSLQLMRLESDGHLRLYEILVPYPNSMQLVFDVLSTVMDYCDYPLACGDYGVCSNGQCSCPSLSYFRFKNERHPEDGCIPLTSISCNRQGDHQLQPLNDVSYPRGTVFQSLATASQSENICKLACLRDCSCRVALFQRDGYNDSGSCLLLSEKKLMLLVEGSPDHSSAFIKIQGDRSKNTKITAVVSSVVAFLSLVLIIIPAVIWRTKKKADEESFIFIPGAPKRFSYDELKVATRKFSAKLGAGGFGSVFKGKIGKEIIAVKCLEGVEQGMEEFLAEVKTIGRIHHLNLVSLIGFCSEKSHRLLVYEYMSNGSLDKWIFHTSPVFTLSWKTRRNIIMAIARGLSYLHEECKEKIAHLDIKPQNILLDDKFNAKLSDFGLSKQINRDQSKIMTRMRGTRGYLAPEWLGSRITEKADTYSFGIVMTEIICGRKNLDESQPEESIHLVSLLQEKARSGQLFDLVDNGSDDMQCHIEEVMEMMNLAMWCLQVDSTRRPLMSTVAKVLEGAMNMEDMPDYSFVPDYVSNHTNIAGSNSCYKPTESHLSGPR >OB01G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15091936:15095455:1 gene:OB01G28640 transcript:OB01G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding TENCQYFACYYKWMKYCCIMDYDATCIVGNKLCAMVYIKILILFFVGSHQHQEKVILD >OB01G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15149306:15149686:-1 gene:OB01G28650 transcript:OB01G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEGSIGLQLLEMRLDNILFRLGMASTIPEARQLVNHRHILVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTIDTLQYKGLVKKILDRKWVGLKINELLVVEYYSRQT >OB01G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15152099:15157567:1 gene:OB01G28660 transcript:OB01G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G16440) TAIR;Acc:AT2G16440] MSTDDVPLSSEAGDEDTPETDGAGAGADATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDSVMDEGKYMRAIHRILELEGGESLDVDAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSVCLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDSMDTDNPNANKTTEDDFLTDKIEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFDNPNIVELEVLDLATLVAYISYARKHIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSQVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAATRNLIMEKMQLGGPSMRMIELLEELRKQSSVEVHLHDLRSALGTLMTEGAVVIHGDSVKRV >OB01G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15175917:15178108:-1 gene:OB01G28670 transcript:OB01G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASTKPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPLNDDGDHGAGEGGEQQDDRQQQQQHLPDEECFAVGGAGSDSLGAPHSPAVSFDPLSVTNVPTMMQQPSSPYAGAEHSFRSDTLCDYGGGVGVVSDAGTYSAYTGDSSSNSNSTAWTTCGSSVVVVGGGEPRMDMFGGRVDAEPPPYPFDTARFSPWHHHHEPTPAVPPPQRLDDGGGAASFPIRSLSRGMPESCFDLGRGALDDEFGVDFL >OB01G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15190476:15213749:1 gene:OB01G28680 transcript:OB01G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSATAPDAHTFACVLRACVDCSRPDAARAAHAIVVCSAMSSHPVVGSALVSAYAKLGPLADVRRVFDGLPEPDLVLWNSMMSAYGYRGMWYDGLDLFSAMRRAGEQPDGYSMVSLVSSFWNHEALAFGHAVHGVCIKGGYDAGHHVRSALVSMYFRCGCMDSGHTLFDNLLDADLVTWSSLITGLLRIGMYGDSFDLFQRMCHSGRRPDNILLASLLSACASTVNINHSKEIHCFAVRLGAHLDIKVSSSLIDAYAKCGFPDLAYGVFFQMPSKNLVMYNTVISNLGSHGFAVKAIEILDEMISDNLRPDSATFSALLAACCHAGLLDEGWKLFSRMRDEFNILVKIEHYVYIVRLLATFGQLRAAYDLIQTMPVQPDSGVWGALLWGCCVHRDSSLGRIVAERLSELCPDKASYRVMLSNLYASQEMWWDVEDVRADLTNDDTHKNTAISWVGEHLHVTISLPDHSPGRSLLASAPGWQPMPCDAAMSSANPVCGSYLYVTPRGRDLSQVASDFAANASLVQRLTRRRLSGSETSDSEEEALLVSVPCACRVINATMAGLFHDTGYTVKGGDTGDAISSRTFSGLAMDAGGGDGHTLAIGERLVIHLPCGCSSTAPGEVLSYAVQDRDTLGAIASLFGSSSKDILDLNPSLKDPDFISPGWTLFVPMGVAGSSNRNVRRLPIIIAASISAAIFLLCVLTITLRLRRRSTTLHNVEAPAAHKMEKLPSNTSIAALESRFYPSMRINEIDPFQTERPVIFSLGVIEDATSSFDEKRKIGEGGYGSVYLGFIGAHEIAVKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQSLSWTARTQIAVDSARGIEYVHDHTKTCYVHRDIKTSNILLDNGLRAKVRRVKPVNFSGMSAQIFHLFDHLLFCLQQVADFGLVKLVQRSDEDECMATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMKKAFKPEDLESSLETIVDPYLKDSYPIEEVCKLANISMWCLSEDPLDRPEMREIMPILAQIHLASIEWEASLGGASEIFSGVSNGR >OB01G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15195060:15198915:-1 gene:OB01G28690 transcript:OB01G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVSMVVRPLVSMVKEKASNYILEEYKVMEGMEAQHKILKRKLPAILDVLADDKKQASEHTEGAKAWLEEVKSVAYEANEIFDEFKYEALRREAKKKGHYAELGFNAVKLFPAHNRIMFRYKMGRKLCRIVQNIEVLVAEMNDFRFTFSPEAVESKEWRLTKSDIFDPMNSGTMNIARESREEDKKKLVSILLSQESSVELTVLPIVGMGGLGKTTSAKIIYNEAEIRKHFELMLWVCVSDNFDVASVAESIFEAAPREKTDIVNRDDKYGKDDKVTNKYRKPQALEKLEKLVSGQRYFLVLDDVWNRDANKWEQLKACLKNGSTSSAVLVTTRDEGVAQLMNPDYAYNLTALEKRFIKEFIDTTAFRLEEDKKPAETSVKDWEEVLTSSSICNKETGILPILKLSYDNLQPDMKQCFAFCAIFPKDYNIDVYKLIQLWISNGLIPEKKYSRLETTGEHIFNELASRSFFQDIKRVPFGIYGYRSKIILGTGSASDCSNVRELQHLDIGGQLELRELQNVRVEDVREMNLEKKQISELSLVWEEQLPGFPSAICNVGFIEALKPHNKLLVLKMDSCCSLSFPSWMGMLKLLVEIDLDNCTMCQNIPQFWQLQNLQVLRLAGLDKLEYLCSVGENSVTCTAFPKLKMLALIDLMSFRRWWEINERQEYLAFPQLEELIIDSCGQLTGLPSCDCNMSGSALPALKKLNLRSLDCFERWQGAEGTHSKPPTFPNLEDICIWSCPKLVSLPKAPNLRALGIGDANTHLIFLCLPTYMTSLSTLRLQHLGEEEKTLSEHSLIESVDRKMNWDREFPLKVMGLIGWNVLFGPGAQALWPCFAQLQDLSISDCDALIYWPEKEFQNLVSLRTLQIEECNELKGYADSPKHSTSERGQLLPHLESLYVWWCKSLEHLFNVPPSLKKMDIWDCPMLKSIFGKQRCRSELIEGTSNDVLASSGTSAAAAGDHSEEPPPPLAQPEHTHTFGKQQHGSELIERPCGDIVASGDYSGELPSLVELCLMSCKALASLPNVPQAYSSLQSLTINECPAIKVLPTSLQQRLGSLE >OB01G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15211260:15214782:-1 gene:OB01G28700 transcript:OB01G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L0W4] MGNREVLVVAFFIRSIYGGVQIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVLERKTAPPLSYKILLKLFVHALYGIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLRRIHGFAKVFGVLFSIVGVVVLAFYHGPELKSLNLQHLSNQSVSHTGNTAYPTRTWQSGIFLTVISTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGVLVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIILSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEAILPVQATQV >OB01G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15219911:15226747:-1 gene:OB01G28710 transcript:OB01G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L0W5] MGSRTAFVVAFLIRFLYGGMQIVTKDAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLSFKISLKLFVHALYGISGAINIYSLGLSYASATSSSAIFNLLPVVAFILALMLKMESLNLRRIHGIAKVSGVVFCIIGVIVLAFYQGPEFKSFDHHRLINHTNTVYAGATSQSTKTWILGIFLTILSTTSWALWTVLQGPMLEAYPSKLLNTTIQIVFATIQCFFIALAVERDFSRWKLRLDEGLIAVIYSGVLVSGVAYYMQVWVIEKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAVSKQQMAVPVQATQV >OB01G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15229769:15233409:-1 gene:OB01G28720 transcript:OB01G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L0W6] MGSTVPHGVAFLIRFIYGVMQILTKVAFTQGTSTSVLVFYRHIVATAVLLPVTLVVERKTAPPLSFKVSLKLFVHALYGMSASMNISSIGLNYASATSASAVQNLVPVLTFFLAVLLGVESLKLKMHHGVVKVSGIVFCAVGVTVLALYQGPDLKSFINHHLFPHTNSAGTHSLRNWILGIFLQSFATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFATVQSFFMALVLERDFSRWKLGFDVGLVAIIYCHNKWSADGCWPVYRSLGEEDRTGSYQQSRRSWRRNCAVGFGGTRNSSCSSRISRRERQDRLDELIETVCSILF >OB01G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15238978:15239997:-1 gene:OB01G28730 transcript:OB01G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38150) TAIR;Acc:AT4G38150] MSLQIPARCGGLWRLLGGAGRRYSTGDRRRRVIREARQEEEDEAFLRTLNFGADPENNPPPPPPGRAGGAPDSSSPHAAFPTDILRRAAGKQQQQQQELPEGIAQKPIGQSLMEKLKLGDAAATSAAGNSGERPQPEREPTKPTPEHEPAQPEDVDEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGSIPEVVVYTAVVEAFCKAGKLDDAVRIFRKMQGNGVIPNAFSYWLLIQGLCKGGRLDDAVEFCVAMFEAGHSPNAMTFVGLVDGVCKAKGVEEAEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVIWEVIFGKKKSGRPF >OB01G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15240758:15241948:1 gene:OB01G28740 transcript:OB01G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVRPNAHTFNILVAALCRGEDAERAQGFLEELEEQGFEPDVVTYNTLLSAYCRRGRLQDALHLFDVMPYRRVHPDLVSHTVVMDALCKAGRLRDAQRLFDRMIQSGLSPDAVAYSVLITGYCGEARLKEARFLLMEMVRSGLSSEGFALKVVIESHVKLGKLLTCLNMVSPIRKYGVVIPLQSYICLISALCEDMHPNAARSLLHWMIEDGHSPNMEMYNMIVECFCQCGIVEEALDIKVEMISREVRPDFSTYQALVTCFCRLGRSLDGESIMKEMIESGLQPNEAICAALVCGFCKEGALNRAELILKAFVLDFHVHCYESYNALMKAYCAARSSKESLALQDRMLELGFVPSSETCRSLILGLSKSIDLVSADDGFSCMSNKQNGGNTE >OB01G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15243753:15244223:-1 gene:OB01G28750 transcript:OB01G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGADPTHTGDRCIRVTIHHCFFDGTRQRHPRLRFGKAHLYNNYTRGWGIYAAGAGVEAQIASQCNIYEAGEKKAVFRYVPEKAADRDEAEAGWIRSEGDAFLNGARPCLVDGGPAVFRPEEYYDRWTMEAASPALKEVVQLCAGWQPVPRPPGE >OB01G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15250095:15254241:1 gene:OB01G28760 transcript:OB01G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63080) TAIR;Acc:AT5G63080] MDRTAGGGRVKVVGQVERVDGATLSYGEFVDRFMRPNRPVVLTGLTSSWRACRDWTLAADRRGPHPEYLEFLARSFPSPLVQVADCSSREFSDQKRLEMTMREFVDHWVGNSSNGDSEGPLLYLKDWHFVKEYPAYVAYTTPKFFADDWLNMYLDSHPIHRDSDIANHKNEINCADYRFVYMGSKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHCIFDRNLRSSVYNINDEVSEKQFPEFNNTEWLECTQEQDEIIFVPSGWYHQVHNLDDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQKPKETALNSTETTNHFVYNLMSIRNVASKMTSTEAFNTENICNISEENQSAFSDIIKILEEESFRRLSVAMSKAYDHIYRGQRNCLKMRDSNQKGCLSMTCVKSDCDVVGHITSFVREIHGPADLVILIDSTLSDGKL >OB01G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15259846:15263346:-1 gene:OB01G28770 transcript:OB01G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWSSSSSILVVVVIVAVLGGGAAGVNETTTATLRAGQELRRYRRVQALLRRLNKPALRTIQSPDGDLIDCVAAHLQPAFDHPRLRGQRPLDPPERPRGHDRWRRPNDTADAGVQLWASSGASCPEGSVPVRRVTEADVLRASSVRRFGRVPSARLRRDSVSGGHEHAVGYVAGDEYYGAKASINVWAPKVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSVLFSHLASHASMVQFGGEVVDARADGGAHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAGAAATSPARASTAPPTSATSSSSTGTTTSSPPPPSASSPTIPPATTSRAAPTAPGATTSTTAAPARTSTAPDLPRSSSTLAFHNWRRKR >OB01G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15263843:15264004:-1 gene:OB01G28780 transcript:OB01G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLGLGRVPPFPKAKPPPPPINWSSSKQLFSHFTQLLHAAKPHALSARPPS >OB01G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15278519:15291122:-1 gene:OB01G28790 transcript:OB01G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKDKGNEKVHFRDSSSQETLRTYKRRRQPEPEPQHQPQPQPEPEPQQQQQQHPELEPKTEDVPAQQVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNGDNHVTSKSTCDDIKAGKDPLPVDSELLTGEDTVLEEVTNQTNHDNQDPNYPRSTMLLRGIRDIGWEHAVDLDGNKRRWQCRWCHLCRSGGVTTLKAHLTDNSCPSIPKEISKKVLNFIEEKRAARHLFNSNTRSPFNVKSDEDIINVSQMQVEGTLPLADDQQPSRKTIHVQTSEKRTINEVASGSNQQGAGHSGQLIDHCEQLMKDSDRPEEHCTLEHGWCEVLDNNKHNIMDNKTDNSEHKEVSTHPKRTRFNIRKHIVIVDETARHWRCRYCGMDGFGKTSRLHFHLAGVFRHAKCPSVPKQVFAKARHHIHLKRRLNMKKTRQQILGQSSEQQQNSNPVLSNCPTRLRENAWEHSLIHDREKGHWKCKWCSLEGYHGITRLKWHLVGWQNRPRCHNVPDDVAKTIRDKMVSREKQKTGISDLDVIDSSNMPCSSKSSQFGHFTMVMQEKSSEDFSQAERNSNTLNSVCNITRPPHNSNNPQELQENGLYSSKSKSEKQTERNDFWSHWRYVLDGLMHLPGALEGPGIHSCIRDVLLYGSAEFGTVEDKAEIDSNRAVSSDGNNAKCQSVLVDVLRSENFALLCNVLGKTVHQDEGRTRYFDFSMIDSRMKNGDYGRSPLLFKRDLKLLWEDLKMAGQDIIDLANSLSSLTEASYTKQVEGERGSNDSEENGAVATCSEPITMVQPNALVISTSQGFNQLDQPDAMNANDVQNGTTCNECGKVAKGDSILTCKRCMLAFHILCIEPSVSSTSTGSWYCKSCCPIHNVSAEGDMALAHYEPNCFHGNCIICKDLEVCRPPGCEETTSKGTHVDNSRAIVISSGELAEDVELPGIDVEDLCKVCGNPEEKDKRFLICGHTHCLYKYYHIRCLKTNQIASDAQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRSSIPKGKWYCSSCAVERAKEGMARHEKRMLKLHRKDDPGLQGMRYEVVDMILAAAKMLSEDEQQGT >OB01G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15298111:15299742:-1 gene:OB01G28800 transcript:OB01G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKKVLVFDLGGGTFDVSVLAIDNGVFEVLATNGDTHLGGEDFDQRVMDHFIKLIKRKHGRDIAGDSRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLSRARFEELNNDLFRKTMVPVKKAMADARLSKGDIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNRGVNPDEAVAYGAAVQASIVSGHVDENTESMILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKTQAFTTYKDRQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGTPQIDVTFEVDANGILSVRAADKATGKSEQITISGDDRKLSQEEIDRMVSEAEEFAEEDRRHRERVDARNSLEEYVYNVKNAVEGKMADAMEAEEKEKVEEAVREAYEWMDSNQDAGKDDYDEKLRELEDVCNPVMSAVYQRSGRASPEDGNVDDDDEL >OB01G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15298399:15298711:1 gene:OB01G28810 transcript:OB01G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVAAVLLGELLRLAHHPVDLLWLSFRSSPEMVICSDLPVALSAALTLRMPLASTSKVTSICGVPLGAGAMPVRSNLPSSRLSRVMLRSPSNTWMVTVVCLSL >OB01G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15301111:15301374:-1 gene:OB01G28820 transcript:OB01G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGGAVGAIATNRLFFSGSRYTVEEAISCTGVTSLLCTLPVALIHFPRYGSMLCGPSPTIDGGGGGGDDHDDDDVDADDDYVLLK >OB01G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15312150:15316282:-1 gene:OB01G28830 transcript:OB01G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTGCYLRYSTRLFWNLNATTGSGSSRNNDVVWIVLGSILGAFVIVLIIFLAWKKKIFKNKKRSKSFIDIYGDGVPVRIAQSSLNFKYEELKKATNYFDPANKLGQGTYGAVYKAVLLDGKEVAVKRLFLNRREWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLDLFLFNASRSRHLTWNLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFAEDRTHLTTGVAGTLGYMAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHMLLTKVWNHYKNSTIEIIADRSMYDDTIRDEFIHVLQIGLSCTQANPGDRPTMTKVVELLRSHRHDVEIILSDPPFLDVEVFEDIKKAEQSRLLSTHSALSLSGSSRSYQSGR >OB01G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15320906:15323919:1 gene:OB01G28840 transcript:OB01G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26610) TAIR;Acc:AT3G26610] MRRFSPAGVLVAAGAMLTLCLAAPAARSAGGGRTTVSVSSFGAVGNGVADDSEALVRAWRVACRIPRATVLLPSGHRFLFSPVTLQGPCNTKLTLQIDGDVLAPPGMGYWPRARRPLQWLNFKWLNGFTIQGTGTVDGQSTSLTNHSPANVSQVWNWHWYVSGVKPTLIRFYSSFNVSVRNIRVTNSPQCHLKFDSSGGITVKNITISSPGDSLNTDGIHLQNTRDVDIRSSSIGCGDDCISIQTGCSNVHMKNINCNPGHGISLGGLGKDNSLACVSDVLADHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDAGRCGNRTDAVEITGVAYRRVVGTYTYQPVHLACSDARPCTGVSMADVRLSPASGSAAGALRRPLCWKSYGEAAGMIEPAAIGCLQRRDGFVTPFTEPFNYTC >OB01G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15324840:15326855:1 gene:OB01G28850 transcript:OB01G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMADQNLPFTFAIDRRNRCVLTENGESADLEQQELNRAGGREEELEQEQQHLDSDFRAAGREEQRGGAVLAMGSEAKGHRRHASREAMRTRRSRAVPAMGCRLNGLNGRLGEHWHLLCDQEENMQHLLKTVIGGIRSVAASRSNTGKVSIPWLCWWLDRNGSIGMDVTIEGTLPNCNVIMQDIRDEAILWCMARAKGLSSF >OB01G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15324690:15341703:-1 gene:OB01G28860 transcript:OB01G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEAPPQLTYFYFRNPQHKNFSRRVKTHFAFSFRCFEWQPKSVVPVKEELPLPLPVPLLAARASSSPGVPALPPPRLCRQFWKSGDYVVAQRNPDTDALGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVDEFTNPRDGNPSLLIQDDGGGMDPEGLRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDPTTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGSHKKVKTNKAEKIATQSYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPDIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLENRLKEMTYEYWDLHCHRIGYDNKKLPKASRALYRANQMNAGSSPPSAPRQLLAADIPTSRSAVPTFMNPVLRQKQMGLKRNFDALGSKTDSADQDGVPSDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIAEERKKYDQLLQELRSLDVKTEK >OB01G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15343637:15349663:-1 gene:OB01G28870 transcript:OB01G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQRTNGSGAPTRQLAGRIPSGSGSGSGSTALRGRGRRGRGRACPPQRHAAQAKAAAFLSPCAMARVTTVDSEQQRRLVVGLTPRMVPDLRRLLVGVGFTLQIEKLTRGGLSAGKTKGNWDMKELSINAERGYSLPKGEAPPSIDWDSLRIDERHDEEGRLEINDEDQLYKALRLLVEDGAVEQASVAAHARTEDGKNEDVVHEINVDADMDEASISVNDDVLGERIMVYDVNKPSLRVGTMYPNMVAFRLAVRQFAINADFELDLKATYKKCYIGGYKRAKNCPWHVRTSGLGHLSVVYPASGNAEEKEGMMEYYQETRYVGGARRIKFSTIDHRDN >OB01G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15361151:15365081:1 gene:OB01G28880 transcript:OB01G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPFLLHLAYASPCDALSFIQRAGFSSPTPIQAQSWPIALQNQDVVAIAKTGSGKTLGYLLPGFLHIKHLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLVDMASRGGRGRKRNRWATTRSDRGGSRSELDSRYGGRDGLSGSSARLESSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKKSRRHSRSRTRSRSQSRSRSRSYTRNRRASRSRSRSPGASRRHERSAAGSGPEHPDSGHVERKSTPEVDPSRNHTNHSDLKDDQRPEDEKIGKVDLDRSPTPQDDKSGPYSPAYNGKASRSVSPSVQVEGNNKAPEVSENPNPSSPPQPSKTREDEEEGMIDEDGEIADDPRASATVQNGGDN >OB01G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15365483:15369370:-1 gene:OB01G28890 transcript:OB01G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSAAASSDDGGSSVAGSDAEDDRYLSASSALGTPSSLATLLPSSDLWDHQMDILLLDDPAAAFPKSHQLTRLHPPPPSDPPPAVAGDAVLPRPEPDLGSPAAPRSPDPTQVDNLDDSHLFDDMVQEMEQILLNSGEPHEIGSFADYRVNNSSQAHHFRDGSTTASTSGTDDAFVYPCPHHPSKIDWVEVVGAKQRTGDVSFGERIVGVREYTVYLLKVKSGEDEWEIERRYREFYAFYQELKLFFSEKGYNLPPPWRNVEKESSKIFGNASPDVVNERSSLIQDCLCSLLVSNYQFGTPAPLVSFLSPGSPAYDYSLLKTLIPRSLQRLSSDSHSKGSSCNGASLKDSASMGKTISLVVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTMLQELVQTIGWHKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDSGVPCAARQACDDPLALIFPFQEDEATKCGSCGSIFHKQCFRKISTCPCGKGAASKGRKIAPLEQAARDDASRPSSTELIQPPSFSSSSGFFSDIISKARPDKLWKPRNNNTVILMSSLPDTST >OB01G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15374650:15381899:1 gene:OB01G28900 transcript:OB01G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADVEVKDNEVYEEDLVDYEEEVENGADGASAANASADVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLSEIKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >OB01G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15384700:15386421:-1 gene:OB01G28910 transcript:OB01G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLIGTFLADALVGCFWTIAAASLVYQLRGVDIQLQHAQQTPLQRHAAAEALRQPNTLATRHRRTVQSWGSTRAHPSTTPKYKTVTSTHSPFIILNCSETAAPWVDALVADDADGEDLSVGVTGRVTVAMHEEGESG >OB01G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15408558:15413572:1 gene:OB01G28920 transcript:OB01G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADVEVKDNEVYEEDLVDYEEEVENGADGASAANASADVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLSEIKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALVREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >OB01G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15415279:15423844:-1 gene:OB01G28930 transcript:OB01G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDSVRGNGVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLTQTLTSEASEPPAGPMKELFGIDLVSRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTRESRIDELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDVENAKFGLKVQGKASSSTENEGSSSNAGESSGMDIDKADSSVPKKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >OB01G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15430187:15433553:1 gene:OB01G28940 transcript:OB01G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGEQKKAPAPAPAAAGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGIGFQLRDVRARVLGEVAWVNMKAHVDVDPGPFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFA >OB01G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15433885:15436990:-1 gene:OB01G28950 transcript:OB01G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain protein [Source:Projected from Arabidopsis thaliana (AT5G42050) TAIR;Acc:AT5G42050] MEGYDREFWQFSDQLRLQTASFSGLSLGDSIWSSPADRRNEAVFSSSSPPPDGEYHHFSAKNSVVANGGGGAGVDGPGLIGSGKLAFGASKADRYNSVNLPSDGKPYGKNNNNANVNAFAFNKMGGYGGNNGSYGGNGGEVKSYFNKSVGRPASNNNNNTTGGFYGSGHGKKGGDGAGGKKKHAKNNDGGNNGAQASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVATRRIYDPLEEDAFRPILHHYDGPKFRLELSVAEALSLLDIFADKDDA >OB01G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15458644:15459360:1 gene:OB01G28960 transcript:OB01G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQALAVSYKIKRLKQQLLVLEKLAAAAAGSKDTVTATTKPSSNAAATATIAGGGRQQYPKSYQMMVSFLSKHVKRYQSLEDKIDDLCTRMEESKRGGGRRESGGEGSCRELAQFLEETFQLQRYMVATGQKLLEMQSRIAPSLARAAAATGGGDGDVDMDMGRFMDVVGALLRDVQRGLEVRISRIIGDLEGTLTFHGILHATLLKS >OB01G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15465007:15467014:1 gene:OB01G28970 transcript:OB01G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNKPPRPLGRSGGTTSGNRRKPSAGVSLWKKATAAARGKKHGDDDAAALDTSCRSPASSSSSSSSSSSSSSMSYFGDDHRRQADGDGHDDPEDGGAKSTVRITRFRRNSSIPSVTTSHLWASICRSVKQITPWS >OB01G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15473095:15474966:-1 gene:OB01G28980 transcript:OB01G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQPAAAVGFEFEADRKAAARTVERALGYRFRDRALLDEALTHSSWPPARAPTTKGGGGEGGVGRRRTYQRLEFVGDAALGLAFSGLFYREYPDLGPGVLTELRSANTSNEKLARAAVRHGLYRLLRRHNCDRLDLRVSHFTVFVNGNVPYNGQVVEAPKVLADIVEAIAGAVYVDCKFDLKQFQKVVEKLCSPIIFEETLCKDPVSMLKEHCEKHNKALGYTESDSEYTESIGVLKVANVIVHGELVGIGSAKQMRVARRNAARDAVATLRLVGGEERGVATAGCGFGDGAGEDEAGKVRLRRWFLLGAALWLCFKLLWE >OB01G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15479137:15479412:-1 gene:OB01G28990 transcript:OB01G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKMYGFTEEPKHTSTKRILTHQATINNRSVMFANATKQKNKLKGKALDALALGERAPAGGVGGDAGPQPRPRHRAVQGRQRLQPRGRRCR >OB01G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15484266:15485319:-1 gene:OB01G29000 transcript:OB01G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGCCYYAAVHDWFRPPIREEDQVPAYLPIPAMAAVDVDHYLYHQQLQVEFGGSSSSLALPAPATAGGRHDEELLPMVPFSDIDLDAFDDVLRDADELHGSPPAQPQSLHAAVAPAAGGDHGDHFGTRSDASLDMELDATKQRAGPALVGGDQSLSVVVVEGYEMGVRYAAEHKLETTPPKKETPLPLSPPPRVPAAGGRRRRSGRLGWTTSGSRTSGGSSTCPSPGRRGR >OB01G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15492047:15494815:1 gene:OB01G29010 transcript:OB01G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGSFRRGGVGISGMSSSTLEEVQMQETLIFSDTIKDLKMLKSQLYSAAEYFELAYTQEEDKQEVMSNLKEYSVKALVNTVDHLGSISFKVSTLLDQRFDEVEDTNLRASCIQQRAQASQACMDKEGLSQQSLVITAPKYHKRYILPAGDGSMPHAVPNFSEMRKAKSRAAQMQQVFSAAAASQAKAKEKQPSFSKLRSIARAPSQRARSSSPAQRPRSTPPPDNTMPTKRADKRSESPLPATSPLKRSGSLPKRPSLLKTSSVRVQMQTTSEHKKLASVRSHADRCDDSKEGEHTPKKGKKFLKSLLSRRKSRKEEPLPCYFDDY >OB01G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15495016:15510152:-1 gene:OB01G29020 transcript:OB01G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G46560) TAIR;Acc:AT2G46560] MGERDELPQLPLALHPPHLIPPAPTADPNALSFLPDLGGFPWVAYAAGSFLVVSHLPSPPHEGRTAATTTTSDAGVDAPFFRQAIDLRAPVSAVAWCPRGGGELAAAAGSSVSVFQPVPYSSPGSFGWLLRWAITETFAITTVAWTGSGDGILLVGEGVAMWARTESSWQLTWRWSPQAAQSLASATHFLQGPVATAAAAPSADVDGSLPPVLVFMNDAKVGLEKAELVHPKPVSMIQWRPRSMCVSDQSEVRREILMTCCSDGTLRLWSEDELPKSKKQQRILQRSFSVIAVIEMSNTLNGVLGVDINVRWAVETGGVVSRDEQGNFTLFSGDPGENQVGKCEWLVSAGPGAFVNFWAVHCIDDVSPPRYPRITLWKQVKLQSWMQSASGQQKSIDDSFFVEAVISRRFSSGPPTTCSLLHLLHDNSFSWSCLSSNLSLNSESDVSSDSTKSMSCYASQTINQYGHNGSIKQVSIHPYSCEIELAVSMDSNRTLHFWSFSTLSTLVSTLHAPTYPLWNLLCKFDLGDIYADVEYSCLCWAPSVIHENRFLILGSEKGADCFVVSIQKGDVFSCQKMFTIPFFEGSNAEGPPDSIHTIPLASNCDGPFISNSFVVVCLWRTNFQALSWKVVLHLENQSKHGMCFCGFSASSLSTIDQEIHGNYLNGGMFSAVIYEGSSVFPTCLDGEYPTCISVTPLNNTVLPLQQHGPSITAPCYHIATGYSDGRVKLWKMSCAGNPLQSEKQSQSWQLVGTFSAYRGPISAVSLSSCGRVATVGRYVQKNATYIHIWKAVKLIGDGSFLLEDVRMLQGPVVGLDWLSLGDGRFLLAVYLLNELHIYSHKHPSFQNMLHTVNSKEKHLWSCIALSHSQHDIASFLWGPKATGVLVHKNHLALFSSWLVSGGNESNTQICDCPTADIHELPRTKHFNENVYGRFSSSENYSNTKIVENNNILLLHKHTSHCSSGLWNLLDIAAKMSGPLASYHPRALVQSLYSGQWKRANAVLQHLVQSMKAKEITNIMLECSLCSKPCRNIPEYPLSENFTDIASNDMSNRQLLWGDNKHSTAFSLLSPSNSYPQMEVGLSINNTTGTSQSSELNKLLATNVSLSAISDMERIQILAIYDLLGKITDQSHASPYKSLDEAGRRFWVDVQFQRMYALRGSGDPSSAEAFHVDSTSIAWALQSECQDDLLNSVLPAEPTWAEMRNLGVGLWYTNVSQLRTKMEKLARLQYLKSKDPKDCSLLYIALNRTKVLVGLFKISRDEKDKRLYEFLSRNFQEEKHKAAALKNAYVLMGRHQWDLAIAFFLLGGDTSSAISVCAKNLQDEQLALVICRLIEGSGGPLERNLISNVLLPEAVEKGDHWLSSLLEWMLGNYSQSVNELLDCHPKSLLEESSIPGYQNVFADPGVGQYCAILATKNSFRNCVGEAQSANLSKLSLAVASCALYRCGLPLEALEYLSCNLGLEGKDNTSVDSGDKEILYGILNPFHASSNWISATVVSDVESNLKITMASKYLSRMVRNHSLCSYCSLPLTKDKALKEFDSNHVNDLSRDVKAALHVFDKKFSLNVADIAEKILAFSCNNGIFFLAYMLLSSSISPDSGTDSRGLGDCAFHPINYMFMVSSKESCKFLARYVVSCCFMCSTLNMDYTNSTPCTTKEGKYIMASLSHFLSTSRLLLKHDNSKTFVLDCTSAMLTVMDLLEYNIEFSFSWLCLDLKASLTMIKPVIGASVSRESFQVLLDQLMQAVRDRIHGVSTNTDLSTTNSLFCNIKQEKSENSTLPTDEKWHLIGISLWTRLSSFMKQFLTEFIERVELETSASDVEFKGLISSVAAKFVMGSLHFVSSSLVKLHASFFREKLFKKLNSSVLFWLEDKLSQPRSNNNSHDQFSSIVQLASNENIEVLFDTLWEISANPVDICTTFMDEGVNCFSLNSTSLTRSWKAMTGATLDECENKLAQRSGQENRDSVRYNNNEKAQRSISNTSCGVEVTLESKPLTADFERPRELVRRNGELVEAICLNSINKQQGAIATNRKGLVFFNWNDKQHNKKLTEYMWAGSDWPLDGCAGCESTPTSTSVSPSVGLGRRKGSHLSSGGPTIGLGSLAKPARDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPATLENIHSRALSCHPSLPLLLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHPTESSLCFNNHASDVAYVAASGSVLAAAGCSSNGANVVIWDTLAPPSTCQTSIMCHEGGVRSLSVFDSNIGCGSISPLIVTGGKSGDVALHDLRFISTGKTKHHRSSNKHDVKASSTLMHDTKSETSNSGSDSGMMWHIPKAHSGSVSSVSTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWQKLHERHTFFQPTSRGFGGVVRAAVTDIQVLSNGFVSCGGDGSVKLVQVKK >OB01G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15516402:15522293:1 gene:OB01G29030 transcript:OB01G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid-associated phosphatase 38 [Source:Projected from Arabidopsis thaliana (AT4G27800) TAIR;Acc:AT4G27800] MANARPQPARGTFCTTAALYLYCKQYMQLQRGDELYKECAAALDGGAVLSTKNLEAITASIQRAFATVDANLSNWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLQVISRGGRPQVVTNFHRPYGNKKTSLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRIKFKGDLIVSSPEVSLVELGPDVEFILLATDGLWDYIKTSEAVTLVRDQLRQHGDVQLACEALGQIALDRRSQDNVSIVIADLGRTNWKELPVQGPNLFLELTQAVATVGAVSLGIYISSLLALQ >OB01G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15548935:15549842:1 gene:OB01G29040 transcript:OB01G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWEVKPGDWCCRCCGVNNYASRGSCFKCGAAKTDSAAAVAQGWGFSVASQAGWKNGDWMCPRMECNVQNYANRTECFRCNYPRYYG >OB01G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15551502:15554781:-1 gene:OB01G29050 transcript:OB01G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate-glutamate racemase family [Source:Projected from Arabidopsis thaliana (AT1G15410) TAIR;Acc:AT1G15410] MVQMSIQRAATLSPRCSLSFPFDCVGGTSRRLAPSRSQCSCFAYSERLSPNVLKTNRVIDPSEPKILDASSSASRTGQYSAANHLSGTIGVMGTSAPSSLRFLEKLVHWSTRDGEEIPPFVMCHDPLIKKEVMSSQNSQFPSDCNTALGKLRQRRLLLEQSGVCCIVMPCNSLHAYHYEISQGCSTPFLHIGDCVVKELKSANLKPVEYGSNVRVGILSTDNTLDAKCYLNKLESQGFEVLLPDKDSLEHTVLPAISSFRRGDMEGARNLLRISLQIMFVRAVNTIILASDNFFGILPDDDPLLKKCIDPMDALVRETIACARTDSLRP >OB01G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15555356:15557883:-1 gene:OB01G29060 transcript:OB01G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKERAAEARASTSKPAPAPALAMQVQRRAVGGGRWTSRRISFYASRVFFVLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSRTFPKWNDLFDMYNLTEAKNASAVIDLQRLEILAGSYFCVAGALVGVINPGRMTLFGTLLVIWGLVKEAIFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTDDISGFGTSSFFIYLLCIALKFSDMWHGIDAA >OB01G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15561835:15563523:-1 gene:OB01G29070 transcript:OB01G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT5G20110) TAIR;Acc:AT5G20110] MAARHGGSRKSKAEQWLFGGRWRGNVKETRHPVASEARPPAIPTATKKDEDICLEKSRVHLPGLGQREIVDIAPGRKSMPEVEINMKEVVSVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKVRKVLASS >OB01G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15564716:15564955:-1 gene:OB01G29080 transcript:OB01G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQKSPSSLEAKGYIFVVPSISSLLHDTISEEQNAHFKGITYIFFSKIACIHLEQWFEDLFLAVENSLVSDILISRPC >OB01G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15561835:15567959:-1 gene:OB01G29090 transcript:OB01G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1A [Source:Projected from Arabidopsis thaliana (AT1G15390) TAIR;Acc:AT1G15390] MEALLRPLCSATAAAAALLLSPGHGASVPAAVPATRMSTGGRRWSSVRTSAGGGGWLSGLLGGKGGGAPTAMTVAPGTVKAGDPVLHEPAQEVAPGDIPSGKVQGVIDRMVAVMRQAPGVGLAAPQIGVPLKVRLTTGSTIRAAALPCIVSLPTLVPISTNLLAGAGGGGWLSGLLGGKGGGAPTAMTVAPGTVKAGDPVLHEPAQEVAPGDIPSGKVQGVIDRMVAVMRQAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIVNPKLKKTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDRNGRPIKVEASGWQARILQHECDHLEGTLYVDKMVPRSFRIVDNLNLPLPVGCPPIGAR >OB01G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15568503:15569129:-1 gene:OB01G29100 transcript:OB01G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAGGGAAEGGAAEEGEGVSSWLRRGSRRGAMGGGGAGGGAAEGGAAEEGEGVSSWLRRGSRRGAMGGGGAGGGAAEGGAAEEGEGVSSWLRRGSRRWSMGREGSRRLALDRNLTARALSPASSAPFPMRRHLAASSLLPSMPPPPHHRAAGRRSSRASASYPSSSSSTTTQTPAATKDPIGSATPSIRFQTSKTKQKSVRQRGI >OB01G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15568696:15570159:1 gene:OB01G29110 transcript:OB01G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAAKCLRIGKGALDAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNQDDTPSPSSAAPPSAPPPPPPPRSAAEEAAGSDGLRERKQKGKKKEEEEESGADSAGARSYTTEQLEVVRQIKKHNRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLNDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTVRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTARGVTYFVKLTNFEEQYPHQSTERATLERHVERDYFSIVSQNCRVEMQRRHWGLSYETPHCDMLRKFEATAQ >OB01G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15588767:15590969:1 gene:OB01G29120 transcript:OB01G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26690) TAIR;Acc:AT2G26690] MEGKKTTTERSPWSGGDGGGNVVRDAVDYRGCPADRAATGGWVAAALVLGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAVFALVQAVGTGLLAVSTLMHQLRPPPCAAAAAGVACEQATPVQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDDRDDRERAAMGLFFNRFFLFISSGTLLAVTVLVYVQDHVGRSWAYGICAGAMLVAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRGLKQPLTAAALYEDRPDHARIPHTAQARRIGGFEIPAASLTVFFVGAIMVTLAVYDRVFIPVCRTLTGKQGFTNLEKIGIGLALSVVGMAAAALCEKKRLSAAAAATPVPISVFLLTPQFLLVAQGDEDDEHGAVPDDAVAGLLPQQRARLARQGRHRLARRHHRPQPPRLLLLAPPRPQRPQPRRPPPGPPAPTARSRLDYFYWLLAVLSALNLAAYLVCAMWATAKPPPPPQQQHQLAMAGGGAVAMAVADADDKC >OB01G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15588969:15590282:-1 gene:OB01G29130 transcript:OB01G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPTKKTVSEAAGISKPPMRRALGRARPPGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVPPRRPVQAGELGGVGDPGVVGAVLVERGGGERLLEPALPGGGDEHLEDVHDGAPGAPLVAVPPGAGEEDGDGDEHGAGADAVGPAPADVVLDVDEHGDSEQRAGADEEEEAVEEEAHGGALPVVAVVELVGAEPGDAGLEAAGAERDEVEADVEHAHLHRCGLLARHPRRRRGARRRAQLVHQRGHGEQARADGLDEREDGDGEVAAEEGVGEEAAEEAEEEGGAHEVGDDVGGGGARQVHGPRQVRHQVHRDAHRRQPLAQLDPQHQRRRHPPSRRRPVRRATPVVHRVPHHVAAA >OB01G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15596608:15602874:-1 gene:OB01G29140 transcript:OB01G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQAMEAGRKLPARHGGHPHGRSGGVGRTAHSMSSSSLRKKSDAALVRKVPVAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAAQCFRFGQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGAEQPYDRKQSDVSTGLLILGVLCQSMPLLLRYAVGAGEPSVAAATAALDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGDGADAGGADYEEPALAFYSALFWLVVMTVVISVLSEYVVGTIEPTSQSWDLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGIQMDLDFKLLETGSLFIAVLVTAFTLQDGTSHYLKGVLLLLCYIVIGASFFVARQPAGHANNNGTLLDVPNSSMNVQVA >OB01G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15626368:15627353:-1 gene:OB01G29150 transcript:OB01G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGDGGGDGEQLTVLGAWGSPFLVRVRLALNLKGLSYEYVEVDLAGKREQLLAANPVHRKIPVLLHAGKPVCESMGLYLRRAFKKV >OB01G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15631646:15637272:1 gene:OB01G29160 transcript:OB01G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G51720) TAIR;Acc:AT1G51720] MDELNLLRQAQRQHQHHLVVRGLGEEIDLEIGPGDDPSFSSAALVGVTSAHDPADDHKTLLIPCSQPQPTPPQVEEHEGLLRLPGHTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPLEIERPVYVKALSKTAASILESVLKRDPHEAEFIQSIQAVVHSLEPVLVKNSQHVQILERLLEPEKCFIFRVPWVDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPTMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSENEIMRFCQSFMNELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDTEGFDYMKYSVIRTIKAQQRSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCASQNEIDQAEALAIINTGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATAAGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENQESLVHGANICAFLNIAQAMTDQGCV >OB01G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15637212:15640537:-1 gene:OB01G29170 transcript:OB01G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein [Source:Projected from Arabidopsis thaliana (AT1G51730) TAIR;Acc:AT1G51730] MADYEQEQEMEVEALQAILMDDIKEIDPSESGLSTTARCFQILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKSEDLASLKEKLDQEATENLGMAMVYTLVTSAKEWLSEKYGQNAGDDESEENESEEEEVIVPHGEAVTVESFMAWRERFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHTMKGASTTADEEEEEEEDIDFDEDFDDDEEDMLEHYLAEQSGKSSA >OB01G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15641726:15649769:1 gene:OB01G29180 transcript:OB01G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNIVLFEKGSDFWRSYEKLQLLEAAQTAVEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEASGTPIKLLGRPDGSMSYPKLTQAAMDVRDWYNLEKSKRVKPFRCGEYEECIEKAKAQARLHKRAYNEGKYVRREDAIMHALELERARFPNEYDTDEHVTSSPVFESQNSYCAKSKNINGLNKRSSRAAKDLCDIEEESAKGLSEALTLYKQPQNVSSSSTRYASSSRKKQKASNDFEDETVQGSRRMRDLREIGSTKNPKHSSYVLNGHCDLPLLESASFGYSLSGTNGIKVDQKSHSSTKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHSSEQFSGGKMSNPFESTRGKFGFPVDVNNYSSGASSVETLLDASCTNHNAAAKAISVKDAEVSCIPGFLNDDCSDGDELFDAPLVMEEDDFPEEGHLHKYESCVSLKGQISKPRKQTAEYSEVGIPSPHDHRSSKKKSISSVHLRTQENKGSNLLAQHGRTTKRQALDSDAVRVDARVASAFCKPPELENDMQLAIVPADGCAGTLEQQYYGSRPEHDESSETISNRSQSEKGAPSSPYYGLPLQVIPPEQKPGLEPSSPHLVKPIKSQWTEFKLYEVELAVQGSYKGHRVPLVSLMSKWNGKPIVGYPVPVEVLEDSSRASQDDLHPATSSLNHLLKRSEPTEPRQARSSHSSRSASRPKPSGKKKISDHDMDKSWRPHTKKSASSPRKMRRLSSFASSRRDSTSRKPVVGKIGGPTIACIPLRLVFSRINEALSFPVRPENPT >OB01G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15650167:15653320:-1 gene:OB01G29190 transcript:OB01G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDEKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAEKRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPATNASKPATVQMRGQPVAQQSSCCS >OB01G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15650403:15665047:-1 gene:OB01G29200 transcript:OB01G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAEKRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPATNASKPATVQMRGQPVGQQSNCCS >OB01G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15670678:15672278:1 gene:OB01G29210 transcript:OB01G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGEKEMAAPEGQQRQAGGGGESGGGGGRRKEEEEEAAAGKGKGGVRYARCFSGLELSGVGPGSLRDVDAGRLKSQIRKWAKAVVAYARQISFGSPRAAAARC >OB01G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15672400:15675811:-1 gene:OB01G29220 transcript:OB01G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT4G29400) TAIR;Acc:AT4G29400] MLLPALLAAAPPPPATTSAAAPSSRLANPRFPRLRRPCGLVVSRRLGLGEAARAAATERGATEEEEEEGQEGFPEWGSGDEDDYDHDPEIADIMGDYFDDPNKAQSRMEERIKKKRHKIVQAKTGSPNPMKVIFSKFDFSNSYIWFEFYHALLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANTTPTSFYNIGDLEIQDNLARVWVDIGIHEPLLLDILLNALTTINSDHVGIKQVQFGGSEFQNWSEDLKTEEAGYSVHKI >OB01G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15681688:15689902:1 gene:OB01G29230 transcript:OB01G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRQFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKIPASCPPPAIPDFPVPSHIEPRFSCFVESEAAGSAVVVSCKMPADRIKTVNDYRDSLAESMFHWALNQRFFKISRRNDPPYFSCSSAAEALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSDREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKVVEPRAHASLEDLKAIVLKINTLEKDNAIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFATKVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIKISDLKKVDPIRACEYFNNCFKDPSSFTVVIVGNIDPSISVPLILQYLGGIPNVRDAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSAAMTEDIHYVGFLIKLLETRIMQVLRFKYGQIYSVNVGVFLGGNKPSRSGDVRGDISVNFSCDPDMSSKLVGFVLEEISYLQNEGPSEEDVLTILEIEQRAHENGLQENYYWLDRILRSYQSRVYSGDVGSTFEIQDEGRLKVRDALTPEAMQLALQRVVPFPCRKQFTVVILMPKSSCWDSFKTLLTWSSGGFSRDAKILAGMAGAVVLAVTLWRYSRSALRS >OB01G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15691368:15695792:1 gene:OB01G29240 transcript:OB01G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1000) [Source:Projected from Arabidopsis thaliana (AT2G25950) TAIR;Acc:AT2G25950] MACLHNHSCEDHNCAADWSLFNHIDLPKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELLIFIPFTSDVKIKSISVVGGADGTSPSRMRAFVNREGIDFNDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >OB01G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15699243:15702145:1 gene:OB01G29250 transcript:OB01G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Phosphorylated adapter RNA export protein, RNA-binding domain (InterPro:IPR019385); Has 110 Blast hits to 110 proteins in 51 species: Archae - 0; Bacteria - 3; Metazoa - 56; Fungi - 0; Plants - 36; Viruses - 0; Other Euka /.../ - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G20430) TAIR;Acc:AT3G20430] MERGDSVLDAVLGEETLDFDGDDVEMADADEAMEEEEAERDPTIAAAAAAAPAPATGGEGGGGAGDDGGVGQAEMRGPAGKNRRKKRKSGRKKNKGRPDGPPKIADINRFVNETCKRLKEKKSYLVWNAVGCLGVSVVSDLVREVEAIQKCGGQTIADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYTKGRPQTSRNEDASSQGSALIDEDIESHGAKEVSDDPERLVDAEKSPPETDRKVERKPLSERIRVPVAYDDLFEEGEIHEGDAQ >OB01G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15705198:15714781:1 gene:OB01G29260 transcript:OB01G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATRRWWKRRGGGGGGAGDDDDDLVPMDTQEQEEMVRSLEQKQAQQSRRWRCVFAGFLLGYAAFLGYSSFHHASAPWELRYHAYFMEDLSSPMVIVADWIAALACLFSVKGLLNSCKKWMWYSFYFSILVTLFWTYYILRLPRIRWDVAWLPFGPLMFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNVASYSSYFKYLGLSRNPAQALRVYGSIQEHSTRVHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGVSPDLFTYSTLLSGCMKLNHGYTKAMELVNELNSRGLQMDSVIYGTLLAICASHNCCEKAEEYFQKMKDEGHNPNLFHYSSLLNAYSENANYEKADLLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKARALLTELEASGFAEDEMPYCILIDGLVKERKIWDAMILFNEMKEKGVKSDGYAFSIMISALHRGGYHQESKQLAKEFEANNATYDLVMLNTSLRAYCSTNDMESVMLMLKKMDESNISPDAITFNTLIRYFCNAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRRVCKSLHEKVLCILVPAGLLKDAYIVVKDNAEFISRRSLGNFARSFVASGNINLINDVMKALHRSGWCISQDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQEASRITGPRTKK >OB01G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15717410:15717770:1 gene:OB01G29270 transcript:OB01G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARPLPSLFLFKVWLLLLLLLLLPLLPMALPLLPSHCQLLSPSLFPVMVTAFKLEESRKQTPSIHGDEMEILSAWWILDVHVLLGRIKLGIFFSPCVVTLGTRVTAPPKS >OB01G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15724902:15729720:1 gene:OB01G29280 transcript:OB01G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRLLPLLPPLAALLLCAHLAVAVARPRMEPWGSRGPRMEPWDSSDNLLLPSEREWERERERSVEDEDAAEAAVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIAHNSENPRPGVIINHPQGGDVYAGVPKDYTGKDVNVKNLFAVLLGDKTAVSGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPDDINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDCDVHNLRTESLRQQYNLVKERTSVQHTYDSGSHVMQYGSIELNAHHLFLYMGSNPANDNSTFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLAEGSPEKNEARKQLLEMMAHRSHVDNSVELIGNLLFGSEEGPRVLKAVRATGEPLIDDWSCLKSMVRAFEAQCGSLAQYGMKHMRSFANICIAGISAEEMAKVAAQACTSIPSNPWSSTHRGFSA >OB01G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15734741:15735016:1 gene:OB01G29290 transcript:OB01G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGSKALAVLAVLAFAAISAVSAADAPAPSPTSRSSPPPPPSSSPPSATEPPPPCRWGSSSAYNIRLRRLDLLVLFITITIVTTTAS >OB01G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15734751:15734930:-1 gene:OB01G29300 transcript:OB01G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIDRGGGAQWRTAEKRKAAAEATSARWGWAPARRPRRRRRWRRTPGRRGRRGPWIRRR >OB01G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15744841:15745035:1 gene:OB01G29310 transcript:OB01G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLYCGDIIFIIISSIADVIWWVLVEQSGDVCVVSGTRVARGMDGGLNDGRGSERGWLVCCVK >OB01G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15748770:15753614:-1 gene:OB01G29320 transcript:OB01G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLRRRIHENPELGYEEFATSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALAMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEHRDELKGTVVLVFQPAEEGGAGAKKMIDDGAMENIEAIFGVHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLNKDRPFFPPTINHAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADAIPATYYYFLGMCNETRGPQAPHHSPYFTINEDTLPYGAALQASLAARYLLEHQHAAIDKVRAHDEL >OB01G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15757723:15761161:1 gene:OB01G29330 transcript:OB01G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVFEGYERQYCEISASLARKCTAASALQGEKLKQKASEIKSGIDGAEGLIRNMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGALKRVTTGNTQQGSREELLESGMAETLGVSADQKSRLLRTTEKQNQTTDRIRDSHRTMLETEDLGVSLLQDLHQQRQRLIHAHDTLDNVDDNIGKSRRIMGAMVRRMDRNKWIIGFIITLLVLAILVILYFKFVH >OB01G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15761388:15762735:-1 gene:OB01G29340 transcript:OB01G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLEGLVEARLGALIREEAWRKNQPFTIFRVPAYIVESNRVRTSRAWCPCIGPYYHGHGVPTLRAMEDHKYVELPERPSVPLSRRRRDRSGGHVSRGGAGSGGPGAGVLQRAPAPAASAPTTSSRCSYWTASCFILEFFFKWHERKTDTLSSVGWNLTSVVSDLLLVENQIPFFVLERIYAIVAGTQGSRDSLLNLLVEYCYVRPSGRRTRRSAPSKAFRGEAQAEWSATAGQALGVFDAQPQADTATSAGAAEDTARHRAARGGGDLRAAEHATATAQIPTIEIDDMNRTVLVNLITLEPTRGRDQQPGLITSYVALMSNLIVTARDVELLRERGVLESRVSDDEEAAQFFSRLARGTAMNDERQAFAALYEEVRRYCNSRWYYRVRKALLELRRDYLSSPWTIISVVAATIILFLTATQTYVAVFPAKNN >OB01G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15767043:15770166:1 gene:OB01G29350 transcript:OB01G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPELGDQPDHRFHDRRRDGFAKWTVQAAARVRNRVRSAMNSTTTPTSDKVSDDEKSRILRINEKQNQTSDRISDSHRTMLETEDRGVAILEELQKQRQHLMHARNVSRSLSINEKQNETTDKTRDSQRTMMETEDLGIALLEKLRQQREHLMHARNTLDNVDGNVGNSRRITGAMARRMDRNKWIIRFIIALLVLAIQVVISVTGSGLFVSMCAVDLRSTVVNSEGHD >OB01G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15791031:15794120:-1 gene:OB01G29360 transcript:OB01G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L130] MIDTSIWLLAVHFTGKRLDGSLFTSTREDGVPLTFIIGQEFAGTKSGCRASIPENIPLDQAVRFDIELISLVTVTNILDDNEDEVILKKTIKHGMGNVKPCGLDDVIVDYNVCLENGMSVSMSDSVEFNLQKGFFCPAFPLAVKTMTEGEEAVSIVKPEYGFGEQGRPSKGNEAAVPPDATLYVYIQLKSYKTMIHIGEGQTIFKKTLRKGECVENQGVVRVRMIGKLQDGVAFDQRGHKTDEPFEFELDEGLQQSKRTTRGGEW >OB01G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15813366:15814763:1 gene:OB01G29370 transcript:OB01G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSVSEMVEVKWRDIREKWRESQPFTIFRVPAYIRESNRTAYEPRMVSIGPYYHGGGALRGMEEYKRRYMKDLLSRFSGDADGNADDTAESTLLKKMRGLQARALACYSECPVPPPPPVGKADDFFAEMLLMDGCFILEFFFKWHEKNSDTLSAVTWVRTLVLYDLLLIENQIPFFVLEALYGAIAGEQGNRQLLLNLLVEYIEAEIKPSSEPSAGMKVNHLLHLYYGCFVPKTAKDGVVVITIPWPPNPKDAPEPKTRTIPRATELLEAGVTFVRCEVPVGDRPAARCFGMFAKDKVAPDRFDVTFDRRTGVMKIPTIEIDDMKLPLLINLIAFEQTLCGEKPRLLTSYVALMSKLIVTARDVELLRRHRIVESLLADDEEAAQFFSRLGNVGTMDYERQAFFDLYKDVRHYCDSSWHRYRATLHRDYFSNPWSAISVVVAAFLVALTIAQTYFAVYPSKN >OB01G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15819849:15820461:1 gene:OB01G29380 transcript:OB01G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHFDDILDKYVAKTRVDMGKFVIKLTNNVNVVFRKVKRKVGKHKKKLHPIEEPNDKVYLPPTCFTLIPEEKRTFCKCLHEVRVPNGFASNINNILSMNDSTLLGYNSHESHVMLTVFLSIANLKSGQSNHCT >OB01G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15822914:15834949:1 gene:OB01G29390 transcript:OB01G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L133] MTEKIDRSFDMELSELGEPDHCFSGSTTDDGIASLDVSQRGTDDDDADGSPGLFAKWIVQQAAATVPHGEEKDEFYYCDEAQVHFTGKRLDGSLFASSREDGVPLTFIIGQDDVMQGFSMAINSMQPGEKAIFTIPSELVGTKSAGCPASIPANIPLDQAVRFDIELISLVTISEIVYNEGILKKTIKHGMGNIKPCGLDEVIVNYNVSLEDGTSVSMSDSVEFNVAKGFFCPAFPIAVKTMREGEEAVLIVKPEYGFGKQGRPSIGNEAAVPPDATLYVYIQLKSYKTVIHIGEGQTIFKKTLRAGEYVGNQGVVRVRLIGKLQDGVVFDQRGHRTDEPFEFVLDEEQVSDGVEEAVLTMQLGEIALFTIAPQYLQDPLVVVPPGSSSVTYEIELVSVVHEKNPRDMSRAEMIETAARKERQADELFRSSKFLRAYRRYYKASAILMARLLEDEIDEEAKQMSVSILFKAAECAIRLRCYQEAKCQCNEILRYDPGNVRARELAQQPFPGDSLGIDTDALYRGLKMPLECKQMKMAELGQKLGQKVYKFTNQKANATYTYHLPQPKVKLGKKMGVMRLMEGHQYSGRKIFVPPMKKPGADVRTDYSPATPATATNNRNNHE >OB01G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15835697:15836968:-1 gene:OB01G29400 transcript:OB01G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEASVEASLDALRRDDPWRARQPFTIFRVPTYVRDGNRTAYEPRVVSIGPYHHGGAALRAMEDHKWRYLQDLLSRRAGDGATVVTASALVVEVRALEPRARACYSERPSGLASDDFVRMLLLDGCFILEFFFKWHTKEPDALCDVGWGLTLVAADLLLMENQIPFFVLEKLYGVVAGAQGRESLLNLLVEYIGDEEPIRHPSGDWEVHHLLHLYYECFVPKRPRPPDSAQAAATTTRTILRASELREAGVTLVRWIASRDRFDVTFDGRTGVMEIPAIEIDDMKRPLLINLIAFEQTQAGEEPRLLTSYVVLMGQLVVTARDVELLRQRGVLESLLADDDEADPFFSRLGEGAAMDLSRQAFAGLYEEVRRYCDSWWHRNRAALRRDYFASPWSAISVVVAAVVVFLAATQTYFTVFPAK >OB01G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15841267:15846643:-1 gene:OB01G29410 transcript:OB01G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVRCQVPPELKTSHKRVAMRRNQVLRQQQRGFGILVFRKADRIKNTTTVYTLNDPDGGATIENNVFCVSIHYRNFDKKVFKVRPKDAWNKVANGAGILIHILLMEFLTALASWRAVKYIEGATIENNVFCVSVHYRNVDKKLQNIGFGIHVSLKADRIKNTTTVYTLNDPDEDANGACILIHILLMRSLVEAVKSTKGATIENNVFCISIHYRNVDKKVKGVVEKTTHEVLQNYLGLILREGDMVFELCPEVAWNKLIFLLMHCDLKPIRVRVPNHHVEAVVEILTTLLIFVRPGQNQ >OB01G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15870421:15872630:-1 gene:OB01G29420 transcript:OB01G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLGALWRRGTGRRDVRRAQGRHGDPGHRDRPLLIDLIAFEQTQAGEEPRLLTGYVALMGQLVVTTRDVKLLRRRGVLESLLADDDEPARFFSRIGRGPRGVADDESSVRGCSPALRRDYIASPWSAISVVVAAVVVFLAATQTSPCFLRKIETPTFLIE >OB01G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15874700:15880441:1 gene:OB01G29430 transcript:OB01G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L137] MTEGEEAVLIVKPEYGFGECGRPYIGNEAAVPPDATLYVYLELISWKAVSYIGGNRTIIKKTLRRGNSEGQQTDNQAVVRVRLIGKLQDGAVFDQRGHEGEEPFEFMVDEEEAIDVLEEAVLTMREGEVSLFTIPSQLVQDQHVVVPPGSSITYEIELVSVVNDKHPWLMSRAESIEAAVEKEKAGDKLFSSCKFLRAYRRYYKARQIILSCFQIGEIDGEIKQMLISFAFKAAECASQLQRFEQAYHRYREVLDYDPGNVKAQEMSGQPFPEASLGVDTAAVHRGLEVLILFLRIMCLASYMTPEFSEMRLKQGHKYHGRNIFVPPIQKPETNANQVVPATPTGQRLTIPPGTDNGTTNSRSIQSLPVRP >OB01G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15883152:15885633:-1 gene:OB01G29440 transcript:OB01G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEETVEERLTALRGDPWRTQQKFTIFRVPAFLRESSRTSYEPRLVSIGPYYRGAPALRAMEDHKWRYLCDLLSVNIHYPVLVSASDLVAEIRSLEAQARACYSDPVDDLNSDEFVQMLLLDGCFILEFFFKWEREEPDTLCDVGWGLTFVLSDLLLMENQIPFFVLQKIYDAVVRSPREILLRLLVKHIGRMEPIGQPSGEVNHILHLYYASFVPKWTPSQRGQTSSAPRVIPSAVEMSEAGVTFAVRRDSSTDYDVVFDARRGVMYIPTIRIDDARTPLLSNLIAFEQTQGSEEALYLSSYVALMGQLIVTPRDVALLRRRRVLENMLANDEDAARFFNHLGDCGAVNRDNHAFVELYKDVDRYCGTWWHRNTAALRRDYFASPWSAISFVAAAVAVALAALQTYFTIFPLNKAHISSSEWSIKGTPTYLVSII >OB01G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15890379:15891154:1 gene:OB01G29450 transcript:OB01G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNPLGSGFHAEPTVQFDASNNSSSVGSFIPPLEIFMTSQSVQDEADRKHQDEEAAQLAKERRKELQHQEDEKDGRTKLVSSMSLLKSDDVWMMCNTDWKNKVDSLGPSKNNCAAMRVMISMEKSSVNDGNWRNNNAKIVAD >OB01G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15911253:15923340:1 gene:OB01G29460 transcript:OB01G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L140] MPIPFELGEPDQSFYNLWKEEGDELWEDEVDLWGEDVEEELSLRHPGFNKWTIQQAASGGDNISARGENFYCVEAQVHFTGELLDGTQFVSSQENGIPQRFILGEEDVMHGFNLAVSSMQPGEKAIFTIPPALTMTKLGSPASIPSNVPPDQTLRFEIELIALFTITDIFKDQGILKKIVKNKEPNREQSHSSDFVFVRYHACLEDGTSVSKSEGGVEFSLTDGFFCPGFVHSVKTMEEGEEAVFIVKPQYAFGDQGRPSQGDEAAVPPNATVYVHLQFVCWIYRTGLDQAIAKRILSVRNSSERICTQSQAVVKVRLLGKLQDGTVFDRRGHGDDDEPFEFVVDEGKVIDGLDEAVMTMEEGEVAEVTIPSHHAFGDVGSDHQHQLASVPPNSTVIYEIELLSVFNEKHPLLVESRDEVVRYAHKKEEEGDNYFNLGNYLRAHRRYYKARLIIEYSRFGKKWKSGLNIIELLGIPIDTTSDMDAQMEQMLISFTLKEAKCAIQLRCYKQAAVYYREVLNQDAANVEAQEQITLLEELSEHSSIVDTDAMHRGFKEVPPEYEPLLEDLMGGTIRAYAYSPRRKNENSYTGSVLFLPHVQLSAQQANASQVPSTPSTSTTTSGISSPACATQPTSATASGNAAQRSPILRTDRGFIFRCFGPSPTN >OB01G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15931667:15932335:-1 gene:OB01G29470 transcript:OB01G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSVRQLRVHRVATTYRPTASDGARHDDIPRGGGAIDSYDMTVTTMITARWRRRLRPMLKVVPWLIGVDGGCDVKTNKHDHIHQSGVIGPCPKRNVLRASSQK >OB01G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15943770:15944977:1 gene:OB01G29480 transcript:OB01G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLDLGKPDSTPFFVLLGDNLFRFLPPDTDDDEYSGFNKWTVRKPTSGLTAMNLLFPCGITARERLAYISRPRDSERPEPDHVDGLYCIEAQVHFIGELVDGTQIVSTRENGVPLRFLLGQGKTINQIHNLELPPPHPKW >OB01G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15947559:15947744:-1 gene:OB01G29490 transcript:OB01G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWVLFIFFFIFFFLSVPECVIVFFCFSGATDVGDDVRNSAFKAVKICNIMIYIYVSIISNK >OB01G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15949975:15961428:1 gene:OB01G29500 transcript:OB01G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L144] MTVARATRRCDCDSGCGSVGALGATRDGHDNGGEGGAVCGGDDDENVMLGLTVVASAMRPGEKAIFNIPPKLALTKAGTPASIPSNVPPNQTLRFEIELIALFPITDILENGSILKKIIKRPLSDKSHSTQADTIIVNYNACLEDGTSVSKSEGLELKLASRTGFFCPALRHAVHTMREREEAILIVNPRYAFGARGRDPIGDQAAVPPNATLYVYVQLAERRTDKENLEGKGLIIDGSDKGTTTARAPPKRPVQSGFSTTVVQDERPTYPGHVLFVPHVVEGADEAHEGQATSTPTTVFATQTTTANSNTPQGSGTVRTETGFLFERFQSWPAN >OB01G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15969102:15970742:-1 gene:OB01G29510 transcript:OB01G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEASVEATLGARRGDPWTAAQRFTIFRVPAYVRESSRASYEPRVVSVGPYYHSAPALRALENHKWRCLDDVLSRRAAGAVTASELVTVVRPLEDQARACYGERPVGMGSDDFLRMLLLDGCFILEFFFKLHANKEPDALYDVGWGITLVAADLLLMENQILFFVLERLYAAVAGVQGTRESLLHLFIEYVGSDDEKPMRWPSGDWKVDHLLHVYYQSFVPNRTPLQRRTPSPTTTRAPRVIPCATEMSDAGVKFVVARGDTTAARAARRPGSPPPRRGVMEIPTILIDDARRPLLANLIALEQSQGGEEAGLLSSYVALMSQLIATARDVELLRRRGVVESLLDNDEEAAGFFNRAPRRRQPGGLRHAGLRRTVRGRGALLPDVAAQANGGAPAQLFCQPVVGHLRRRRSLRRRSRHRADVLHRVSTEQMIAGLITRSLSSLSAINLKAESMFVLNLESMHVPLLAPAVSAAAGRGSSGPQTVPRSEPGRGCSGAPPTVVPLLPLLSK >OB01G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15974984:15982195:1 gene:OB01G29520 transcript:OB01G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L146] MAPLPELGEPDENFHNLWLRVDEEEEERLPPHPGFHKWTIRKPHGRKKARAWRQFYSTVPGDGANSFYCHEAQVRFTGRLLDGTQFVSSQENGSPHMFILGQETHIRHGQGIWLNPQWLVFQYATIANTENVMHGFNFAVSSMLPGEKAVFTIPPELAVTKAGSPASIPSNIPPNQTLWFKIELINLFTITDLFEDEGILKKIVKIQVPERRKFDWRHANFVFVRYNACLEDGTSVSKSEGVGFRLADGFFCPAFSHAVKTMKGGEEAILIVKPKYAFGERGRPSVGDEAAIPPDATLYIHLQFLSWVRRSREDWTISKKNLSVGNSRRIPRKSQAMVEGVLKANAGKIRKKFPEFSHGSVTDLCIHARENPDYAILVGVFGYNLENQEAETKLREILTQEFLEDSPEVDNVDEMDRCSKLATFELQSGSSRKLKRKHRYRGGVFFVPDVAGGRNAASTPAAAAAAGATTAASATASRNAAPESSGAAKADRAFLFPCFGSSPTK >OB01G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15982170:15986206:-1 gene:OB01G29530 transcript:OB01G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYALYVWADLDNLTNLQPGGGCDDPNYPYYFKLRCENCGEVSAKATCVSIGEVVDLPTGRATANLVQKCKLCGRDGSIVMIPGHGTPLSIEESQNETGTCLMVFDCRGCEPIDFAFGDGWKAESLEGTSFDIDCSEGEFADYDEKGECPVGLGKLRSEFRVVKKQESRGKTKFV >OB01G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15988264:15988944:-1 gene:OB01G29540 transcript:OB01G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFASALIVHLRPKWRETAQTYSFECRVEDGLSVHKFICRPETGDPSPRLLSSSPAAAMSIPGNGHLPASADLPDHSSSASDSEAESEADYRPISGAATDSDTDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRGRDARRGVPRRAAAVGRPRPRGPVGRPPPLPPRRPSQLGGIHSLFGCKFL >OB01G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15991435:15993570:-1 gene:OB01G29550 transcript:OB01G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFKQNAGKEVNNLGDDINSISSSIGDSAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDVTNYEFNEETKKLTVFIASACEVSYRDSSVLRFFTTVTGHLEKGKLSEVEGLKTKILIWTKVTAVRAEAGKVHFAAGMNKTRNRDAYEVVRDGHAIDKF >OB01G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15995373:15995615:1 gene:OB01G29560 transcript:OB01G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:J3L150] MVANGDAPARGTAAAAASLRRRRTTSSGAAGGGASTMLQFYTDEAAGRKMSPNTVLIMSIGFIAVVALLHVFGKLYRTST >OB01G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15996771:15997730:1 gene:OB01G29570 transcript:OB01G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVTFLDGDDDNRWYWCPRKEPVLRIGDDLALVDVTFPVDDMHTKPWFRGIAPLYRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVKPPREPEPNRRWMTISALLRAEAKDKAGAAAAEDYHSYSKRGKRPVAEAVKGLSLERMAHVALGREMRLAPWPPEVIDAEWGSYYLKKSQWKYAARDAYLCFEIAARCLQMLGAPVGN >OB01G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:15998329:16001014:-1 gene:OB01G29580 transcript:OB01G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPLNRLLKILISYNLAQGGLKETGANRKRLLEQHDAEKNDDASDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKKKLDEENPKAQALLVVTNDDVEPSIQQPELSKENSEESPPSPLHDTGLVSGQHDQAATNGVSKSNRRATPVSSDNNQNNWGAQLQQPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSLLAPFYTNCAPLSLPSTAGDFMNSAYGVPIPHHQPQHMGAPGPPAMPMNYFPPFSIPVMNPGVPASMAEQGRLVSMSQPYGNFEQHSWISCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGVNGPVSAAFPTTSAQNTQPQLSSGSRDNQTNVIRVVPHNSRTASESAARIFRSIQMERLRDD >OB01G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16002658:16002933:-1 gene:OB01G29590 transcript:OB01G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGKEAEEGGKVMGPLFPRLPVSDAVKGGGPRAPPRNKMALYEQFTVPSHRFSAPASSTVPSTGGRGGIGGEFNCHGAKRLGNFD >OB01G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16013189:16019892:-1 gene:OB01G29600 transcript:OB01G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGGGGKEAEEVGKVMGPLFPRLHVSDAVKGGGPRAPPRNKMALYEQFTVPSHRRFSAPASSPGASTVPSASTSQVYGSDRSLFQPFNVPSNGPSHSTEKVNSDTINRKINGSRKESGMLSSQTKGMDNYASRSTAQCAPQQRVENTINSSSGKRLADDDEFMVPSVFNSRFPQYSTQEHARVQDKPTPLEAASPHKRPSTVYKSSTKCYNTVDKHLERINVSDVKSRNPPKDNEIETTQTSQNVEIEKSSSRQPSKDMFGSRHAKVYPNMDKMGIINDSDEPHVGNSGYRAASRNGGSMKFLNPPVRTNAISSKPSFENTDKHYNLAQGGLKETGANRKRLLEQHDAEKNDDVSDSSVECITGWEISPDKIVGAIGTKHFWKARHAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKKKLDEENPKAQALLVVTNDDVEPSIQQPELSKENSEESPPSPPHDTGLVTGQHDQAATNGASKSNRRATPVASDNQNNWGAQLQPIQNQWLVPVMSPSEGLVYKPYSGPCPPAGSLLAPFYTSCAPLSLPSTAGDFMNSAYGVPIPHHQPQHMGAPGPPAMPMNYFPPFSIPVMNPGAPASMAEQGRHPSMPQPYGNFEQHSWISGNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSRPVSAAFPTASAQNTQPQPSSGSQDNQTIVIRVVPHNSRTASESAARIFRSIQRERVRDD >OB01G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16027712:16027966:1 gene:OB01G29610 transcript:OB01G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVEENPRLRQKSRTFRNHQNPQFLLFFVLSFPSSSFYLYPLFSAITGAAMAAYAASNAAVTVSSSSGTKGCGDPSRQRQHYRGD >OB01G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16007669:16037247:-1 gene:OB01G29620 transcript:OB01G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYEQFTVPSHRFGGGSLARSTSAASQSQGYGCDRPLFEPFNVPSNGPGHSAEKMNSHPIDRQNNGSRKDLGMLSSQPKGMNKYGSGSRAECVSQQVVENRINDSSRKKLADDDEFVVPSVFSAKFPQYSTKEHVQEEQTPLVSASTHKSPPAVSKSPTKCYNTVSKNLERINVSDVKSRSSPKDNETDTVQTSKNVEVKIFSSFQISKDLFESRHPKVCPKRDKTGSINDLDEPHLENTGNHATSRNGTSTKFQNSSVRRNAISSKPFPDIENTNGHFNLPQEGLREIGSKRRLVARDNTEKNDDLSDSSVECITAWEISPDEIVGAIGAKHFWKARRAIINQQRVFAAQVFELHKLVKVQKLIAALPHVLIEGDPCLGDALLISKKKLAEENLKTQPSLVATNDDVQPSLQQPELSKENSKENPPSPHDTAPGSGHHDQTAKSGASKSNLRATSVASDDRQNDWGVQLQPPQNQWLIPVMSPSEGLVYKPYSGPCPPAGSILAPFYTNCTPMRLPSTAGDFMNSAYGVPVPHQPQFMGVPGTPTMPMNYFPPFSVPVMNPVALAPAVEQGRHPSMPQPYGNFEQHSRMSCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSGPVSSFPTASAQNAQPQLSSGSRDNQTNVIKVVPHDNSQTASESAARIFRSIQMERQRDDS >OB01G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16037525:16037848:-1 gene:OB01G29630 transcript:OB01G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGGRGGKEAGEEGKAVMGPLFPRLHVSDAVKGGGPRAPPRNKMALYEQFTVPSHRFGGGSLARSASGGGPSPGGGGEGGGGGGGGRGGGEGGGGGGGRRGGR >OB01G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16046835:16047387:-1 gene:OB01G29640 transcript:OB01G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPMTTVLFGVIPLFEGIMNVLMALFKNELELVVGYTIQLALNRLNWGENTDPIDRFFGAEILHDGVLIRSALVIIAMAKYEWMIDL >OB01G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16050451:16051308:-1 gene:OB01G29650 transcript:OB01G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFNEIVLSESVWSGGGDGDGGVVALPPEVGVGGVNAGVVDGSGMTVLERLVLDEALAAAILELQGIQAAQGCGGKLAMVAPPPAAGDGGVEAAVAFAGMATATPAYADVDADVLQRQQQHHHRHQGVMGMAAEYDVVPATPAVTLNAVPPAFAAEAASVDGCVDAAVFSGVGNDDVVDAASATVAMAATHTATTSQCEQVRGGGGGGCGRKQRRPGRKRKASEPIAAAAADMPAPETPLCRLLASNPAGDGGIQIAFSTSAPASKRAKPSLSSSSSSISFDGR >OB01G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16063287:16063457:-1 gene:OB01G29660 transcript:OB01G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRHGDGYVGVWTTTLRYCSSCAILFRHRLLSPLGTTVSSEPLDLITYSYAPFSH >OB01G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16063797:16070973:-1 gene:OB01G29670 transcript:OB01G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25910) TAIR;Acc:AT2G25910] MASAHSDPPDSGGAPPELLSLPVRVITEPGQLPVEFLEPSAAHKLVIGFDCEGVDLCRHGALCIMQVSSSERSGGRELRARAFARPVAGVGGGGREGGREALPASEFELLSLPVRVITEPGQLPVEFLEPSAAHKLVIGFDCEGVDLCRHGALCIMQLAFPNAVYLVDAIDGGKELIQACKPALESEYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLLEEQEGKKRAHDEYISFVHLLADPRYCGMPYPEKEEVRTLLRQDPNFWTNRPLSQMMMRAATDDVRFLLSIHEKMMEKLTKVSLWRLSVRSELYCRCFCINDNLYADWPPLPTVPDEIEPDVYVPEADILSVLDVPPGKMGRVIGRKGSSIMEVKQSCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >OB01G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16083223:16083639:-1 gene:OB01G29680 transcript:OB01G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRSKSYAGGRTNMQIEPYRGGGGAADLRSYSYSGARGGGGGAAGSYQYQYEYGGGGGGSKVGYWGSVAEERPAEAAEAKRSKSKRRWLALADPDMERKRRVASYKAYSVEGKVKGSFRKSFKWIKDRYLHLVYGWS >OB01G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16088275:16090350:1 gene:OB01G29690 transcript:OB01G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMTDTGGAHKNYPGKMTIFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIKFFPSVYAKEKEMVETNQYCKFDSELLTLFTSSLYLAALVASLFASVITRKFGRRMTMLGGGVIFLVGAILNGAAADVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPARMRGMLNISFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAFIMSGGSLFLPDTPNSLLARGKEDEARTMLRRIRGTNDVGPEYDDLVAASEASKAIVNPCSTLLERRYRAQLVVSLRIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMVAPFVSIATVDRLGRRKLLLQGGVQMIIAQLILGTLIAIKFGTAGVANISRTYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMLFTFIIAQVFLMMLCHLKFGLFYFFGACELVMTAFVYFFLPETKGIPIEEMDRIWGKHWYWRRFVDGDGDRKVEMAASTV >OB01G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16093369:16095223:-1 gene:OB01G29700 transcript:OB01G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGGADGAPKHYPGKMTTYVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIKFFPSVYAKEKEVVDTNQYCKFDSELLTLFTSSLYLAALVASLFASDITRKFGRKMTMLAGGFIFLVGAILNGAAMNVAMLIVGRILLGIGVGCSIQAVPLYLSEMAPAKLRGMLNICFQLMITVGILFANLINYFTDKIAGGWGWRVSLGLAAVPAVIMAVGSIFLPDTPNSLLARGKVIEARDMLRRIRGTEDIGPEYDDLMNASYATKAIVNPWSTLLERRYRPQLVMSLLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMVATFVSIATVDRLGRRKLFMQGGIQMIIAQLILGTLIAIKFGTDGVANISKGYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQVFLMMLCHLKFGLFFFFGACELVMTAFVYFFLPETKGIPIEEMDRIWGQHWYWRRFVGAGGNRVMQMTSTNV >OB01G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16099069:16100100:1 gene:OB01G29710 transcript:OB01G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQERGHRCRRPCRHRRRRRGRQRQHRLLRRPLLRGVHGAAGVGGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDAHQEYWRARGYWQYCAAMSAYFDDTQQYKAAKAIAQHRQVRGGGGGGGRGTLRSCGDVVGFLTHVAKYTKQLLYQYIIRNSIMLVSPWLVCLECVTSIRSRLNSDHLVKT >OB01G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16103902:16108180:1 gene:OB01G29720 transcript:OB01G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPIDNNGRRSSCSAADDKSHVVIDIDGGGRRSAGSDDDTPSCVVCMEPLEWVAVGLCGHRVVCSACATRIRSPPKSDHRCCICRTLCPNVVVTNVSATAADGEPNFSELPVASQDGRVGDHWYCAAMAAYFDDEQQYEAAAKAAAAKAASLLKQHPPPSSVAEDEHGHRNGTPDFFSFCLCMAVFGAAIGFFFAGDATGWGQRVGIVSGSAAVSVAIGSVLWLLAKYGYCCWSEQQ >OB01G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16110799:16116908:1 gene:OB01G29730 transcript:OB01G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMDTTIAPIMDEQDYIKIKSFDCWNSIRLPDAALNLKGRQIIIQAPFWINKNKVDLHRQDQQPNKSYHNSPKDRAIPVHIKWYQSFRCSVLVISDIFISVPVTLKFGPWDTQDDLARHQQLRRHIRSDQHDLYKRIQNHQQKEEKNVLEKKAEKHEAPAMFEENLQGKLNGAKINEGEYSQRELHMSTFHAIVEQTLVEPIVEMPLSQVDLLAVPCDKEELCDNASLISMPQLMNEHAIPVVNSSCANFKHVVHIADKVKEHELNEVDLHQQDQQPKELVLIRFAATQSSQSRGDRSDRIELVFSLLGCMAQLHLQAGDSVAGAAGHSHVIEMPQLDASRRTAVAQHNHAMEMAPIDNNGRRSSCSGADDKNHVVIDIDGGGRRSTGSDDDTPSCVVCMEPLEWVAVGPCGHRVVCSACAARIRSPPKSDVQCCICRTVCPNVLVTNVSATAAEGEPNFSELPVASQDGRVGDHWYCAAMAAYFDDEQQYEAAAKAASLKQHPPPSSVADDEHGQRNRTPDFFSFCLCMAVFGAAIGFLFAVDATGWGQRVGIVSGSAAVSVAIGSVLWLLAKYGYCCWSEQQHDP >OB01G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16127546:16131114:1 gene:OB01G29740 transcript:OB01G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDGGGTQRLPRLIRLEVEADPDKRHASAVDSNRTSQSGKERISHLIRDLVAVCCEKRRQHPACILADRTPGAGRVDRRRAEVIHRIESNLCSLLECMAQLHLQLQAGDSVAGAAGHSHVIEMPQLDATSRSAATDHNHAMEMAPIDNNGRRSSCSAADDKNHVVIDIDGGGRRSTSSDDDTPSCVVCMEPLEWVAVAAKMDGLISNAQSAFIKRQCIQDSFVYVRNVARLLQSRSKPTLFFELDIANAFDTITWDYLLEGLRRRGFSARRRDWICLLLSMATSTINLNIIDGEPIKHTRGLRQGDPVSPYLFILAIDPLHRILDRATELGLLSPLACGAATCRVSLYADDAAIFLNPTKEDTGNLFQLLQNFGDVTGLWVNLAKSSVVPIRCANIDLTRVLDSFDGKLEQFPINYLGLPLTPGRINRNHLQSILYKIKKRMAGWKREDDQSGWSEDSDKCGFKLYTNVLSDNSKITQANDSGY >OB01G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16132833:16133515:1 gene:OB01G29750 transcript:OB01G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDTSGRSAAATDDHLSHVVIDMSQLDTNGRNAAAGGIGSTGATLPAAAWTICPTVVVTKLAAATTDAAITFSVLPAAPSRDGRVGEYWYCAAVSSYFDGQQQYAAAKAVASRRRAEYMVLFLRRMLIAALSGAFMGLLVGVIMGVPRWGYLAIVPVCSIFNVMVEIFWIWAKNQNRLRYGAAS >OB01G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16133831:16137591:-1 gene:OB01G29760 transcript:OB01G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPEKTSYCAAAADQPDLVDKRPQVDVNAAANGHSRTVDNTSQLDTNNDGGLGHPAVVDVSSDSSHASSPDVEAAAERCHIAVDIDGLDEGGAGCVVCMEPLEWVAVGPCGHRVACSRCAARIRTGPGADKRCCICRRLCPTVVVARAAAGNGVFDFSKMPAATQDGQVGEYWYYASMSAYFDDKKHYEVTKQGMKILRGYDPHTRGEVGHAASHESRQLAGRGAVMVASLCRLVGGRAERRVASDDDSRVD >OB01G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16142836:16143489:-1 gene:OB01G29770 transcript:OB01G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKHQLKANNHGPAGHVVIDIPHSDNPNTDNAEGNHVVVDIDGAGDTTNSSTVSEGSCCVVCMEPLEWAAVGRCGHRVVCSMCAARIRSGPRPDKRCCICRTLCSTVVISKKGVTSQDGRVGGEYWYYAAMSAYFNDMEHYKSTKQAVDGFLKTKQLPPPPSSCRNGVTRNLDASPARPAVSSSPENPTIILLFVLLYLSVPVVTLVAAVIFYTTG >OB01G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16145461:16146114:-1 gene:OB01G29780 transcript:OB01G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKHQLKANNHGPAGHVVIDIPHSDNPNTDNAEGNHVVVDIDGAGDTTNSSTVSEGSCCVVCMEPLEWAAVGRCGHRVVCSMCAARIRSGPRPDKRCCICRTLCSTVVISKKGVTSQDGRVGGEYWYYAAMSAYFNDMEHYKSTKQAVDGFLKTKQLPPPPSSCRNGVTRNLDASPARPAVSSSPENPTIILLFVLLYLSVPVVTLVAAVIFYTTG >OB01G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16151945:16152598:-1 gene:OB01G29790 transcript:OB01G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKHQLKANNHGPAGHVVIDIPHSDNPNTDNAEGNHVVVDIDGAGDTTNSSTVSEGSCCVVCMEPLEWAAVGRCGHRVVCSMCAARIRSGPRPDKRCCICRTLCSTVVISKKGVTSQDGRVGGEYWYYAAMSAYFNDMEHYKSTKQAVDGFLKTKQLPPPPSSCRNGVTRNLDASPARPAVSSSPENPTIILLFVLLYLSVPVVTLVAAVIFYTTG >OB01G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16156200:16157039:-1 gene:OB01G29800 transcript:OB01G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPETTSCCTAAADHPDIADKRPEVDANAAANGHSRTVDDKPQLDSNCASPAGADDDHRTNMDDKHQLKANNHGAAGHVVIDIPHSDSRNTDNAERNHVVVDVDGAGDTINSSGGSEGSCCVVCMEPLEWAAVGRCGHRVVCSMCAARIRSGPRPDKRCCICRTLCSTVVISKKGVTSQDGRVGGEYWYYAAMSAYFNDMEHYKSTKQAVDGFLKTKQLPPPPSSCQNGVTRNLDASPARPAISSWAENLTIILLVILLYLSVPLATIVAYLLFCKWG >OB01G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16185870:16186244:-1 gene:OB01G29810 transcript:OB01G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHFPLLDISGPNTVGFLGDVFHRAKKTVGRRWDVGHVAFGQPPEGQESELVAADESTASLPFRIWKSNMFMRYLPEFRDGNEPNPVGSFTILVNF >OB01G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16195875:16196428:-1 gene:OB01G29820 transcript:OB01G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKINADNPLVGQPVSEKLGKTNHAVWKAQVLATVRGARLEGHLTGDDEPPVVVLQTKDGEKETVVSNPEYDEWIATDQQNSSFGIEYVWVNDPSANNQHAPLPHHSVERRHEYHNICWEHAPLPHLYAERRPEAVQAGPREVAASGVLSRGVSGVGVVT >OB01G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16199435:16201674:-1 gene:OB01G29830 transcript:OB01G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLQCGIEIAVKRLSVSSGQGPDQFRNEIKLMATLLHRNLVRLLGFCIQNEENILIYECTENGSLDDVLSDTGRKARLLNWSTRCSIIDSIAHGLLYLHNFARQSTCIVHRYIKASNILLDAAMNAKISDFGIAKIFSSNPMEAAPTRGWGTIGYTAPEVFFNGTISNKSDVYSFGVLVLEIISGTKVNSACFHQYGRSDNLLTCVYALSSELITCMLSSGENVSGLEDALVRYVQVELLCVQGDPDERPIVEKVVALLSSTEALAGP >OB01G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16222397:16227607:1 gene:OB01G29840 transcript:OB01G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase B [Source:Projected from Arabidopsis thaliana (AT1G29900) TAIR;Acc:AT1G29900] MGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLSIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAARLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKELVDVEQFLISRGLGQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRSTRLALQVAPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVINVIELERPDGIIVQFGGQTPLKLALPIQRYLEDKKLVSASGTRLVKIWGTSPDSIDAAEDRKRFNAILEELRIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTKCLDIIRSWTTQLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTEEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSSAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFDIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDSSQNLQAAQTAS >OB01G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16229809:16231354:-1 gene:OB01G29850 transcript:OB01G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPKCPRSKLYSQLIMYLGPNEREAFEVVVEDGKLLYRKSGVLVNTTEDSKWIFVLSTTRSLYVGQKKKGKFQHSSFLAGAATTAAGRLVAKDGVLKAIWPYSGHYLPTEENFREFISFLEENSVDLADVKRCSVDDDEFPSFKKTDEQPEEADKPTEPTHDEILESSQVELPEVDIVKEVVVENSEDTEAAPKMVNRPSFKWATANGARIGWGRGYPAAPMALALAVSGTTRLISRAWPLSMSIFHQEWCPLQPQTGYRYRLLARAPRSGCHLGFTTWVSRRLPVASSLSRARRSGGHQGINSWGFRRRQCLSHSQNWGSEVMP >OB01G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16246284:16252401:1 gene:OB01G29860 transcript:OB01G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTQGFQSLGILQSLRKLWQYEGVRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNYAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNVLGNSGRQPTYGGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKQPHNANGAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >OB01G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16249972:16256123:-1 gene:OB01G29870 transcript:OB01G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSNGSSSKKDKDSRRKNIFDSANGGLGSIVGTLQTTKNDAEESAGNLQDDVKTTLGSIIHIEGHSEARQSKDLEQGSEEQGKKDMEAFNKVIDKVKDVKSNPEVVEKLDKVKEEITSLAHALHLGKHDKEPEPEEKAKEGEAAKNADEGASASKAEDSNVAVQAVEEIQAVVAAVQQQLHPGAEGAATETANEAEAAAETSAAAAAGEEPEESKRDVEKDDPSKRLGFIGYFAMLFERFCNPGNKKKD >OB01G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16257765:16258082:1 gene:OB01G29880 transcript:OB01G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEKNEPADSSRWPLISQQREIKTCDINLVLRNTRRKKKEKEEEAEHVHVGFLLQPIKISDLIGEAIDGMRVIENWIDADTLANSSSTMIDLQQGNFLMILTIL >OB01G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16257911:16258132:-1 gene:OB01G29890 transcript:OB01G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIAAFEILVFYFSLNYKMVKIIRKLPCCRSIIVDEELARVSASIQFSMTRMPSMASPIKSLILIGCSKNPT >OB01G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16265790:16269034:1 gene:OB01G29900 transcript:OB01G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAKTLARAGSSLLARLLASPSPLRAGLPPPPPLLARLQPHVIPPPPPETTVEGYEAQAVARLSSLPGEISFPCGLPSLRFLIDEGKDPGANEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >OB01G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16272408:16274946:1 gene:OB01G29910 transcript:OB01G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGEAGPAPFVAKTYEMVADAGTDAVVSWSWGPDGKGSSFVVWDPHALAAGVLPRFFKHANFSSFVRQLNTYGFRKVNPDRWEFANEAFLAGQKHLLRNIKRRRVSKPLVDSHLRNKTSVVFGQPEALGEVGSLKRDRAALRAEVIMLKQQYNNCKSQLIAMEEMVQNIERRQQQTINFFARVLTNPVFVQQVLLNYVKKNGLRGSAKRQRLMENEEHHADLPLNKGTEAASAMSADVSAGSTGCGTVGNDEVTPKFSVQNIDNMCDDVWEELDALPETGMEQEDKAGICFDVEEFVGRPCGWVDDCPYLVEPMQFVEH >OB01G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16278540:16282643:1 gene:OB01G29920 transcript:OB01G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPALAPPAAPPGSLAAAPPAPEVEAALGKPTPHETPLMSGFPDGAANSTTDPGVVPHGTPESGVQGVERVESVEELVLTGSTSAKAGDGGEELGAGATLMGEDDALRSMQACLDGEDNELVNEMMGNDDDLLQLDAMMTNFSGLIDDASDANAGISSAPSCGVTGGKLQSDSRVAEEVKELGAGIGNDRYQVKESGDGIGNDTSLISSDHVSIDGGDLDGGDFEEGEIEDDMQNLDVDDSGNSELEDKDAKGEELKEDFVSRKMGENGSCSYDVRSHNLHLIPQKGDVNFVMNTQCNSKDDSQMHGARAQAVSYDEVVDWNETPLPDDKAPKHGNKRKRLLTEERKAKKTKNKRIKRALQREAEGVKRLKLQPVIKPKVVQDCRFYLLGKCQQGNMCKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFIENGMCIRGDKCKFSHVITTAEGPLTPDAKKSNASSVPEKVNYRDQTSSQKTSTVYSGEPSAPTEHRSILKNLAGISGNAQKAPGRIPKGIQFLHFNKASSDSHILYQDVLSTEKHKNPIGGQHQNFGKPLPAEGEKIAKHNGLRSAPLLDENSSSKQANLHACSEPKKNSLPTTASVPSLASTENEVSEASRILQEFLFSPGK >OB01G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16286389:16286580:1 gene:OB01G29930 transcript:OB01G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALEIKEFLFCFFSLFSELEGMLSLSIYLLGPNYRARLNRKMMIKFYKTMLATRGGAPTK >OB01G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16299859:16303918:1 gene:OB01G29940 transcript:OB01G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHHLQQQQQFLLDEADADAASADQQESSMSNLTSSASAAAPPPSSGGGGNNNKRKRSLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSKEAVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKVCGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGGGLPPPPAPPAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGNGLVPAGVMGQLARTASHGRSGEDVGGGGGGGGTGTGAGGGSDGMTRDFLGLRAFSHRDILLAGFDSSCMGHVNAATNAGMACYEPPQHGQPQNQHQQQHQSSSNEPWHGMGSHS >OB01G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16302736:16303912:-1 gene:OB01G29950 transcript:OB01G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAMPWLVAAALVLLLVLVLGLSMLRWLVAGHAGVGGGVHVAHARRVEAGEEDVAVGEGTEPQEVPRHAVAATAGAGAGASASSSPADVLAAPAVGGGSCQLAHHSGRMEKDSWR >OB01G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16322520:16327541:-1 gene:OB01G29960 transcript:OB01G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSPTAATAGRRSPFPVLLIYVAFALFILLLLTSYSPRSQPRGGGRSLHRRLKLHPRNPSPGGSGDQRQQNQQNQQHHAASFDPEIAELERRLEDKEWEREHYRILHGEGGGGADEHMKEWEEFLREDDDFINDDDRFNLADRIRALFPKIDLAPQDGFVSLDELARWNLEQSRADQLHRSAREMELYNKNGDGMVSYGDFRAQHDESHGEVNSLGFPWWKEEHFNASDADGDGLLNKAEFNDFLNPSDSENPKIISLLCKQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENAYISLIGNTTVAKERFAKLDKDNDGFVSDHELEPVLDKLHLSERYYARQQAIHAISEADKDHDGRLTLEEMIENPYAFYGSVFLSDDEDDFHDEFR >OB01G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16340222:16342479:-1 gene:OB01G29970 transcript:OB01G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRSYLYLCLAVVSLLAVLLARRRRRSDTARDGHGGGLRLPPGPWQLPVIGSLHHMVGKPPHRAMHDLARRHGAPGMALQLGGVPTVVISSPEAAQEVLRTNDAVFATRRLSCSMGAATKGRSMSFAPYGDYWRMLRKVAVTELLCASRVASFRSIREEEVAAWLRALAAGERGVELEMQAELTRLVANSTVRTVVGDGDRCAHRDEFLRQLERANKLATVFNPVDLWPSSRLAARLSGGVRKFVGCVDEIRRIVDGIIQEHLEKPRGGREDFLDVLLRIHKEGELQVPLDMESIHYLVADLFAGGSDTSATLLEWTLAELIRNPKVMAKATAEVRDAFAAAGAVSEGRALGELRYLQLVIKESLRLHPPVPLLLPRECQEPSRVLGYDVPRGTQVLVNVFALSRDERCWPAAEEFLPERFGDGEAAAGLDFRGADMEFVPFGAGRRMCPGMALVLANTELTIASLLFHFDWEAPGIADPTKLDMTEEFGVTMRRKNKLLLRPVLRIPVPGA >OB01G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16352227:16357219:1 gene:OB01G29980 transcript:OB01G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQLAKNRPASTIYNELITSNPSWILRGAVKGGTLKNLHERYQSSFVGSLARRVQNLDAPSEASLLKEIYRSDPERVIQIFESQPWLHSNHLALSEYVKALVKVDRLDDSTLLKTLRRGMAISGGEEESLGSSFALKSAGQATKDGILGTANAPIHMVTSETGHFKEQLWRTFRSLALTFLVISGIGALIEDRGISKGLGLSQEVQPVMDSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRLDRHIVVPNPDVEGRRQILESHMLKVLKGDNVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMDDLEYAKDRITMGSERKSAILSDECRKMTAYHEGGHALVAIHTEGARPVHKATIVPRGMSLGMVSQLPEKDETSFSRKQMLACLDVLMAGRVAEELIFGDSEVTSGPSSDYQQATKMARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEQEVKSLLENAYNNAKTILVERSKEHHVLAKALLEHETLTGAQIKKILAQANNKQAQEHAAEAPQKTPAAPSSPASSAAAAAATAAAAAAQQAAAKAKGVAGIGS >OB01G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16358936:16363793:1 gene:OB01G29990 transcript:OB01G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQVARNRSAYAICNELITSNPSRILRGDIGAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALSEYVKALVKVDRLEESTLLKTLQRGIAASAREEENLGSVSSFKSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDGEVTSGASSDFKQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKVFLENAYNNAKTILTKHNKELHALANALLEHETLSGAQIKNILAQVNNKQQHEQEHGIEAPQKTPAAPSPAASAAAAAAXXXXXXXAAAAAAQQAAAKAKGEIAGIGS >OB01G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16363750:16367144:-1 gene:OB01G30000 transcript:OB01G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 2 [Source:Projected from Arabidopsis thaliana (AT1G17650) TAIR;Acc:AT1G17650] MAAASSLLCGARAAGPFRAAITAPHQPPSFSLSLSLSLPAGGGDGGLGLGIMGAPMAANLLNAGCDVTVWNRTKSKCDPLLTLGAKYEPSPADVASSCDVTFAMLADPASAVEVACGANGAAQGMSPGKGYVDVSTVDAATSKLIGKHITNTGASFLEAPVSGSKKPAEDGLLIFLTAGDEPLYNRVAPLLDVMGKSRFFLGDVGNGAAMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADQDFSAVIEALKAKEQNK >OB01G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16377489:16378070:-1 gene:OB01G30010 transcript:OB01G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQLPSFAVTSLRLAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAAAFAADGADVGDGTVPGFLHPAGNTTVIKGAASASSATVDPVVASSLRSKKSHAMSVEMDSKVGFQIGRFNSKSINVRVLCAGFTAALAKNTPSPPPIVVAAAPSPSRSRSVIKSSTSSAGATTTTDAKCKLRVKIWIWTF >OB01G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16396433:16405155:1 gene:OB01G30020 transcript:OB01G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:J3L196] MDACYSTQLIDGDGVFNVSGLENFMKEVKMAECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRSQTTKGIWLAKAHNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVVSLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTDDEEWQQFEEAVQNDYVPGFGKKISSLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRARTLEAFKESFDKSLETEGFAVAARDCTKVFLGKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCAKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEATQNELLSKLENHGRTVVESKAKEEAARVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDSDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPALLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >OB01G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16408216:16408371:-1 gene:OB01G30030 transcript:OB01G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVFLQSCILKRLNVWRVQSACSYHSVSSLKSSDYHHIYGSLGLFKYVAL >OB01G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16412488:16416285:1 gene:OB01G30040 transcript:OB01G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVWCSLEEEIAAGIQDHQPPPPDLHDPSFWPAFAECAASFIAGGDGDNACFAGVADVDLMGDDCRAVAMDDDGDGGFFVGEAAEHLMLTSSPSSLSSSRRSLSIDSAGSMSSFSLDAAAALSAVVPQYQLAPPGLFAPAPADDHDDAIXXXXXXXXAPPSSSGGSASSPTPFSRDSAPPQPAMAPQPHQQTPRAGSSAGHVVVKSSTSSCSSSILAVPPEKAGGRGQQPQPEEARAAAGNNSQLYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLINAAKYLKTLEAEITGLEGKNSKLEQHIDGGGGLDAAMRARRAQQRAKVQISKEDSPEQQLVNLTVMVMVECDVVELVLHILGCLRWMKHVSVLSVDADTYSPQLLLKAIASIKLHIMGGDWNEASFHEAMTKAANDATLSCAPHRLALTA >OB01G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16418224:16418382:-1 gene:OB01G30050 transcript:OB01G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGSFVRLSYALNMPFICVIQVTLFNFVLVLVTYLIFSKLDMENSVLRSKF >OB01G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16419448:16419642:-1 gene:OB01G30060 transcript:OB01G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSSLSMLIPEAFLFCFVFRKFIFRCCIDVLIGNEKTLVCIFLNSAQLNSHTCIMCKLKLHLS >OB01G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16423157:16424984:1 gene:OB01G30070 transcript:OB01G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFKPKGSSSVAGAIAARRRDQHAAVAEAADSPSAVAVPGAADVAKKPFSSPGGGDAGVHFGSMRSYRGWRLIGEGAFGAVFRARHIATGETVAIKIAHKDSDDEALLREAGTLAACAGIPAVVTLREXXXXXXXXXXXXXXXXVGPSLADYLTRRVDDEERALTEAETQSIMRQLLTGVKQMHERGVFHRDIKPGNVLVGAADGRVRICDFGLGKSAARAPPHTQLVGTLWYMAPEQYLGSKDYGPAVDMWALGCLMAELLTGETLFPGDTEYLQLVLVAGLLGVPDEVNGMGLGVTTPSRLRTKVPVQKLSQPGFDVLDGLLQYVAGDRLTAAAALEMPWFSMKLS >OB01G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16425463:16441982:-1 gene:OB01G30080 transcript:OB01G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stomatal cytokinesis defective / SCD1 protein (SCD1) [Source:Projected from Arabidopsis thaliana (AT1G49040) TAIR;Acc:AT1G49040] MASSSASRIFEYFVVCGLGPEIRTLDGVKGFHGADDMYMAAFLDQLPPSSHGLYPPPPXQHINCVLPAGVRIYSSGLDANDLSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPANSYADKCICLVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHVVSNVPLPTPGKDRVLFAIENCLLSAEAPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPLRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITISEEIPPIPETEYNFLRGEILKLLQPNVMGIDYMKINLGSMGDHSLRTGTKSWGQEHDFQLRLIFLRFFALIMSGYRNFIDNASPSGFNTQAFLKKRSRATNQPVESMLMIMQFIETQGFLDYLERCSNAEENTNNLLDKLQDATGRGQNPLAIFPSHAADPEIITIADSETGGSEPGKRFCYKRFPANARTEEQEEKRKSILAIASGASKQVPSSPSIPTSGGHKVESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRNDMLTIRDALEVSAEMYKKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQMIKLRALLTHLQQLRIGYWGIATGKGQQLPSYGMASPRALDVSDESQQPAEASGLGRNWVQSMFSRDRSLRASSFNRSNDPKVGPTAGKTDLPAAQKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRTTLKGHTRTIRAISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILSAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIRCVKNLTLHSASVLSISASDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAMVRCIASDLDRKRICSGGRNGLLRLWDATTSI >OB01G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16466880:16467053:-1 gene:OB01G30090 transcript:OB01G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRERREREIRTSLHDIYWIQQRITTVAEDTYTVGQNVSYLQQLPVGNPPLGLRRR >OB01G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16472942:16474204:1 gene:OB01G30100 transcript:OB01G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAEQLVLQRSEQLRELYNALLSGDGGRGRGRRPITALSPEDLGDVEWYYVICMTYAFRPGQWLRPYENN >OB01G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16481446:16484042:1 gene:OB01G30110 transcript:OB01G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEMIYSLIGDCQEDGLDDADIFVVPSLVEDDNNGGGGGGWLLRRVAASPASCFVAWKRTGSDEEEAAVVAGESPGQKLLKKAVGGGAWMKNNGRGPGNSMKNHVVSERRRREKLNEMFLILKSLIPSINKVDKASILAETIAYLKELERRVQELESSRQGVPRDEPDIAGNKKQASAGAKAKRKKPPSSEVAGAGGGAAREHHHHSWVVHSEEEEEGAATASDVHVMIVTEKEQLQVEVRCRWKELVMTRVFDAIKSLRLDVLSVQASAPDGLLRLNIRAKYASSAAVPPGVISEALRTAVGN >OB01G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16488693:16491416:1 gene:OB01G30120 transcript:OB01G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGLLIGKLGAALGKEAATNGASLLCQEASALKALFAQIRDVKDELESMEAFLHGAERFKDIDETTGIYVRKIRLLAFEIEDAVDEFTYKLEDKHGGFAAKTKKRIKHLRTWRRLARRLQDIKRRLENADRRKLRYDLSLGGIGNDGGSSGRSKSPEQSFQFAREEDLVGIEKNREVLMQWMVGDSGRGCRVATVWGMGGVGKTTLVSHVFKTVRLGFDVSGLVTVTNSYQLDDLLKKISGELEVPIDDDTTSVASLVERIHNHLQGKRYILVLDDVWHPDVWFKIRNVFPTESTGRFIFTTRMQEVALLATKNCTIELAPLDVHCSWQLFCKEAFWNTENRTCPEELEDIAWMFVEKCAGLPIAIACIGRLLSCKHPTYSEWQDVYKELELQLTNNVILDVNIVLKVSLEDLQRNLKNCFLHCTIFPEGYVFNRKRLIRHWIAAGYIQEIGSKTVEEVAEGYLNELVNKSLLQVVKRNLCGRVRWCRMHDIICLLALAKSKEECFCEVYKGSEACSIENTRRLSIQNASIEHISGSSAPCLRSLHFFNSHLRTDSLKAFLISFNFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRQTDIEYLPKELGRLQNLEVLDAYNSKLSILPVEVATLRKLKYLYVVRVSKESFDRVLAFGGIQVPLGICNLIDLLALQFIEATTEILCQIGCLTKLTTFSIGKVRTEHCADLCDAIMVTHLVHLTIGSADEKEVIQLETLCLPSTISNIELIGQLSEKSISRLNSASSHLRLKLCFSKLNEDSSACLLNLHNLVELHLLKAYDGKELTFSATSFPKLKLLSVQDAPNLRKIAIQHGALQNLVELFIEDCSELRDVPDGIEHVRTLEYIKLKCSDELRRKLQIKGKSKECNEDTMKISHVKWVEIV >OB01G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16489018:16492962:1 gene:OB01G30130 transcript:OB01G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASSAAWDDRRSSPDSRGQLVFESAGTSKDNMFLEYALKIMLESESQNYSKRIIVFHVQYV >OB01G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16494667:16497796:-1 gene:OB01G30140 transcript:OB01G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCCCCAVVQLCYNQLTGGVPTQLGLLKRLSVLALQSNHLNGAIPASLGDLPQLVRLDLSFNNLFGSIPVRLAQLPRLAALDVRNNTLTGSVPSELAKLQGGFQYANNTDLCGTGLPALRPCTPADLISPDRPQPFSAGISPQITPGSPDGHGRCSGARCPPSTKALAAVVVIAVILLAVTAAGLFAFSWYRWRKQRVAGSPVVVGGRCSTEAAVKESFRKSVSSTLVSLEYSNGWDPLADGRGGAGFSQEVAQNFRFNMEDVESATQYFSELNLLGKNGNFAATYRGTLRDGTSVAVKRLGKTCCKQEESEFLKGLKLLAKLRHENIVGLRGFCCSRARGECFLVYDFVPNGSLSQFLDINDEVARSNGRVLEWSTRISIIKGIAKGIEYLHSNRANKPPLVHQNISADKVLVDYTYKPLISGSGLHKLLVDDLVFSTLKESAAMGYLAPEYTTIGRLSEKSDVYAFGVIVFQILTGKSKNAQLPVESDNIEDLIDSNLKGCYSAVEAAKLAKIASACTSENPDHRPTMEELLQELCTL >OB01G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16514447:16519387:-1 gene:OB01G30150 transcript:OB01G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) TAIR;Acc:AT2G45280] MSHNRSAPAEDVLKVAGKCKGADGPSASSVLKGAQNAWDMLSDEQSRKHINTGSADLNNILGGGIHCKEVTEIGGVPGIGKTQLGIQLAINVQIPLEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPYCHEKAPSGQKRLKPESFLADIYYFRICSYTEQIAVINYLEKFLEEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLAKAHNLAVVLLNQVTTKFMEGSFQLTLALGDSWSHSCTNRLILYWNGKERYAYLDKSPSLPVASAPYAVTGKGVRDAVSSSCKRVRVT >OB01G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16519328:16519549:1 gene:OB01G30160 transcript:OB01G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEDAGGGMLTGEDEGTTAPPCSREISAGGGRRSASRAAARESAGGGGELASRPAVAAAYGKERTERRRKVE >OB01G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16526405:16527360:1 gene:OB01G30170 transcript:OB01G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGGILRAGGRLLGSQLRPKGCRTVACACLIGQGWSDSACYIIDWEKETSFPFPGTFEKVMPIDDMEC >OB01G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16527317:16539663:1 gene:OB01G30180 transcript:OB01G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIAECGVSIDQKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHTTEQNVISEAKGSFVFAASPHGDSVESSGKPGSSSCRDANTADNIMLLDGDTSNTGGEKLVKHGTKRTNTSQPDVSVRCDGQNNVKEAEDPGLFRLGAKNQAYARRRLKSSRENATMSVGSLPVSPLYSQGKDAKGMIQETKTEDHGVSSIGNSKPTSPNWNDTSKVASLGDHDAMEMNSAQAINEGNQEANHETTDGKDGAQTPEISLTGNSQLIGDDLVVTSATSAESPDTTPKEAALVPASSFPSSCNEVLKEAQVAEKAGNGCSDKILVVEADDMVSKSAVSPSEVEIASLNENEADIPCTDASKTIDEHPGKNESFLSGKVSDDVLGDSIPCDKDGNKDGQAEGSYMPTVVDGVSNSVQPEVGNTIYAKDEAEVHNNKMVIGQEDTGSLATSGDDKVNKESSSNLKRNNKCSPDFNIADKSDPVTMASGLLTEEVPNSVPSMNLDNDVKESGENMPTMEKKECEDSIVAKKDHEDSILKRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANDFMQERLWKSAAAAQMCRWIAFSGRATFEEANIYRKQKSIARILAKSIMSFWCSAETLRATSGKMPKDKQAEEPIGLVETKLAGVKAEKEQCNESLEQEKPRCSPQSPIQSYALRFLEKNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEANLFYGVAPGAMRAYREYVVGPFVVNKKTNNSRQIDDYEPSTTCSVADAHRGNSYEDDDVEACTYLLPGTYDGGLPSKSSHKKKHLMQQRMNGTRHYGTGVDMPYDPYVENKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKGTACDPRPQVDLIAQYEQKDYLKKRPEVHQFDSNGNIVINGQHAAKKPKLMNQTPDVSLEAPTPVGPMASPAASQMSNMANPTKIIKIITHTNRDRGRKNKVLKMAPGHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKSSGDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQGPFEEETLKAHFEKIIFLGQKLHPIRRKGENQELKQINQLHPSHAHALSQACAPGVILMPLDLCDAMTPNQDALSIGYSGSHATGLMLPNRPSSIGPTLPTANLNARIPGSPGMILANTLPSPSTPNAPRDSRYGMPRPTSLQGDDQQRIQYNQMLNSRSLQQPGVPVPGALPTGVDRGVRMMPGAHGIGMMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTTNVHTGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEYQMQVSQGNSQSIHFTGSPFSNVGASSPGQSIPVQSPQPHQMPQQSHVIGNTHHPHIQGTAQSSPQQQAYAMRMAKDRHIQQHMMTQQHPLSGASAVSTVQNGSQMQQQSQGPGSSAVPSLQSQHKQQHPAQNPLDSSVLPNQPANTTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSPPVDAPQASGVSTTPKNQVSDKSMVQQGPGYFSGNKGLVPSVLQPGNQPKIYGSQMPHSPIQTLDVGNQNSMQGSPNQTLLASQQAPLHSSSPLTTQQQQQQQQRYMNPSHNNIQRLMVQQNRHLNTDGRIESPVEQVQHNQIIPSTSIAKSTDSGSPGGVSSISQRRQESSHDPTAVPSTSQSSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQQQSRQQQQSQQQRPAVQGSVYAHPSNSGPG >OB01G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16552192:16555878:1 gene:OB01G30190 transcript:OB01G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) TAIR;Acc:AT4G33000] MESGFRFGFSDDDVASASSLTVGERLCAAFLPFVAIAEAVFFALPDCLADLFPPSRRRSAASSYLAAVAKRRGYQQQQQQQQRGRVGVGVGCTSLSLGQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRMYDLRQTGFIEREEVMQMVIAILTESDMKLSDELLEAIINKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >OB01G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16558881:16560386:1 gene:OB01G30200 transcript:OB01G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSEQPVAGTLLFYFVVYVFAKTYKRDQEKKNAQAAAATAAVAAAATFSPPATATAETAKPTPPKRVLPPISEDEQRQIYKWMLEEKRKIKPHNAAEKNKINEEKSLLKEFIRAESLPRL >OB01G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16561831:16562166:-1 gene:OB01G30210 transcript:OB01G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAQRKELDDEFKLFQSEARDSVEKNGYYSVDEPRTRLWSMKSWPRWCIIFGYWDYNDPKSVEFLWSDGRQLRRRDSTAILYSSSSLAKQYYCYQLVLYLPVLSMTIYG >OB01G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16567256:16567525:-1 gene:OB01G30220 transcript:OB01G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEAAAGEQEQDAAQVASDRMRLLRELKAAVHEDLYEDGRCIIDETEAAEAMAYLHGLMAKVDTSGIVVGDWDFNDPKCLQYLRVD >OB01G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16567349:16567690:1 gene:OB01G30230 transcript:OB01G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRHCLRCFGLVDNATSILVEILVDGSLQLPQQPHPVGSHLRRILLLLARSRLHLPGHGRHLLPRLRLRLRLLLHLPPPGPPPPPPAPPPPPPPPRPPPPPRPAPAPPPPPPP >OB01G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16568807:16572066:-1 gene:OB01G30240 transcript:OB01G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLSAAASSQDSSNPAAQEERVVITNKHGEKLVGLLQHMGSNKIVVLCHGFTASKNDSIIVDLANALTKQGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVVSHLHQEKYDVKAIVGHSKGGDVVVLYASIYDDVQMVVNLSGRFYLERGIEERLGKEFMDIIDKEGYIDAKTKSGRVLYRVTKQSLMERLNTDMRAASLSISKECRFFTVHGSADEIIPVEDAYEFARHIPNHKLRVIEGANHCYTAHRKELSDAVVDFIASSEAGDNPSA >OB01G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16574693:16577378:-1 gene:OB01G30250 transcript:OB01G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLSIGIVATSAPPSPPAGTSPLPPPRRRIAPPLATGALLCSYHARPRRRQRAPPPMSVPQDGSEPHALEQRAVVTNKHGEKLVGVLHHTGSSKIVVLCHGFISTKNDSLILDLAAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVALYASIYDDVCLVINVSGRFDLEKGIEERIGEGSIDKINKEGYLDVKDRSENVHYRVTKESLTERLNTDVRAASISISKDCRFFTVHGSADKTIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHRKELADTVVDFITSN >OB01G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16588960:16589223:1 gene:OB01G30260 transcript:OB01G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPSARIILVITERERERARCRLWPPERVKISRERKKGDQQKRARGDQLWVHVVRRHRHGRDQEREREKPKKHREREGERERVLMCRQ >OB01G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16589337:16595301:1 gene:OB01G30270 transcript:OB01G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3L1C1] MASAAVAVAVVAVAAAVLAWAASAAVTYDRKAVVVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAEMQKFTTKIVDMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAASMAVGLNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNNPDKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFERTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKAIKLCEPALVAGDPIVTSLGNAQKSSVFKSSTGACAAFLENKDKVSYARVAFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFAWQSYNEEINSFDEDPFTTVGLLEQINVTRDNTDYLWYTTNVDVEQDEQFLSNGENPKLTVMSAGHALHVFINGQLTGTVYGSVDNPKLTYTGNVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGESLSLHSLSGSSTVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKATGNCGTCDYRGEYDESKCQTNCGDSSQRWYHVPRSWLNPTGNLLVIFEEWGGDHTGISMAKRSIGSVCADVSEWQPSMKNWRTKDYEKAKVHLQCDNGQKITEIKFASFGTPQGSCGSYSEGGCHAHKSYDIFWKNCVGQERCGVSVVPEVFGGDPCPGTMKRAVVEATCG >OB01G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16603450:16604410:1 gene:OB01G30280 transcript:OB01G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVVDLKGLAGADDDDRSRTMAKLHEACKDWGFFWVENHGVDAALMEEVKRFVYGHYDDHLKNRFYASDLAKNLTTKDDVGGGGGDGDGALSENADWETTYFIQHRPKNNAADFPEIPAAAREALDAYIAQVVSLAELLAECMSLNLGLDAARLRDAFAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDGGRGGGAVPGAVQVRRLPGLLPGHQVRRQGGQVPGRQEAVRLVN >OB01G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16615365:16615946:-1 gene:OB01G30290 transcript:OB01G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAGGAAADESPSRRSGHGKLKFLGNIKKLLPTGKKGHGHGRGDRRSSKKATAPPRDEHLEKALQWLSSHDALYDDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGDGVRGRVEAAGGGDGTDEERRRRVLRARAGEPGSRAPAGLTRSGRTTPPGTGGKTTTWGPARRRRGGRWGGGPRS >OB01G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16615977:16620521:-1 gene:OB01G30300 transcript:OB01G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPSTQGPWWSWGVGEQGRQSIWWLERMEDAGGNGGDGGLRFSLQFDPGKPGLGRTLLGFPVSALLSHLLGWSSSSRQGTGEGSMAMAADGGGETAPAAGVAVLTSAFAAYLVSVYASDHRRRPRRRLRLRPRLDGLDSSARPRAVPTPDDGLRIVSSNEESLENVIHGASVGAGDDEPDIVARVVMPPPDDMAAGANADGGETGNDGRSGKEREEEVARVKELWLSLMEREQRLQLRLAELDELREQEANARELERRVGVAAVEARLLELKAASLQEENRRLEAQASELDAVRAKLARTKEKLRELRARVEREQEAAEREAAALRDRASELERNGEARESAMAAEAAALRCRVAELEKGGEERERALAAETEAVRQRMAELEKNVEERERALAAETEAVRQRMAELEKNVEERERALAAEEAELRTANAGLEEENMELALRLQEAEQTASTVNLVLKEDVVKEATHLRETNERLTRQIEQLHADHCAHVEELVYLKWVNACLRYELRSHDDGGDGGGAGRLSARDLSKSMSFRSSEKAKELMLKYGTHGLDGFDPSIFSPLHESLYSDDFEGRKPSGDVH >OB01G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16632170:16636023:1 gene:OB01G30310 transcript:OB01G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein [Source:Projected from Arabidopsis thaliana (AT5G57030) TAIR;Acc:AT5G57030] MQASKAMDDQSKISSKLLPLPDENSVLDLVIIGCGPAGLSLAAESAKKGLNVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDGNKPIMIGRAYGRVHRDLLHEELLRRCNDAGVTYLNSKVDKIMESPDGHRVVCCEGDREVLCRLAIVASGAASGRLLEYEVGGPRVCVQTAYGVEVEVENNPYDPSLMVFMDYRDCFKEKFSHPEQGNPTFLYAMPMSSTRVFFEETCLASKEAMPFDLLKKRLMSRLDAMGVHIRKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISDILKNRVYSGQYLPGSSQSSSPSMLAWRTLWPQERKRQRSFFLFGLALIIQLNNEGIQTFFETFFRLPKWMWRGFLGSTLSSVDLILFAFYMFAIAPNQMRMNLVRHLLSDSTGSTMIKTYLTL >OB01G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16636508:16638786:1 gene:OB01G30320 transcript:OB01G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGPLSPDEHRATSPSGLHQPASTIVVAVDRDRNSQLAVKWVVDHLLSGASHIILLHVAVHPPAANHGFAMAEATQGALEAEMREIFVPFRGFCTRNGVHVSEVVLEEADVSKAIIEFITASNIQSIALGASNRNAFTKKFRNADVPSSLMKGAPDYCNIYVVAKGKSVNVRLAKCGVPSGLAGEGYDGESIRSAAGSYTRRSSRGKLPPAAPEMARRSVDARTVPELTTRPPFRERSLNKIVPMSGVRAASDGADGSYRSTRRSTSNDSLIGDLDFGQSTRFSSMDFCDNLDVSSLSTSPRETSSPHSAPQREVEVEMRRLRLELKQTMDMYNAACREAINAKQRTKELQLLKLEEARRLEEARHAEEAALAVAEMEKTKCRAAMEAAEAAQRADEKVRALDAISNHDFRYRRYNIDDIELATERFSDKLKIGEGGYGPVYRASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPDYGCLVYEYMDNGSPEGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPAPSATSTRSTSRPGSSASSRTSTPSACCCCRW >OB01G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16646946:16647368:1 gene:OB01G30330 transcript:OB01G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFSLVASDIVSRLISSLTTRYTNLSTADDKLERMQWLLLRARTIVEEAHGQQISNDQGTLLQLRQLMESMYRGYYVLNAFQEPHTCSDTTAARRLRKLEAAMEGLEATIGDLKEFAVFLLDSPRLPHRQPRNSTHLC >OB01G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16654828:16659841:-1 gene:OB01G30340 transcript:OB01G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G33060) TAIR;Acc:AT4G33060] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGTGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFISLDRCEWLDKKNTIFGKVTGDSIFNLLALADGETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKLDTEAKPKKKAVKQLNVLSFGDEVEEEENEATSSGKDKIKSIHDVLDDPRFLKGEPQDEQLSKEQEDKKKETVLSVREALVSKKSDFREPEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRPSETSKKTDKAHRKDKELPAHRSDDDNVDDDDDEDHRSTKSRKLSMKKKGIGSEASAERMSTGDANLQLLNPAEQEKHLKKQKRRRLQGREDETLAKLQKFKASFLSKNPATSNTENKGDEVEDYTGWHSNRLTFKPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >OB01G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16670417:16671136:1 gene:OB01G30350 transcript:OB01G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETFDMICEALGSAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAAIKSVLMPRFLQWPDEATAAVFKEKFQTTYGVPGVIGAMYTTHIPIIAPKISVAAYFNRRHTERNQKTSYSITLQGVVGPDGAFTDVCIGWPGSMPDDQVLEKSMLHQRAAAGMMHNACLVGGASYPLMDWVLVPYTHQNLTWTQHAFNEKVDELRRVAVDAFALLKAW >OB01G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16671145:16671540:-1 gene:OB01G30360 transcript:OB01G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFHQLCPDQTYQNLSTLVAKRIATCRDFVPRGECECEPIKKRRRCDRSIDRQKKVVPARPRWRRLWAMLSLARLAASERTGISGEVSSSTSSYRSSGSSTSPRVSQMLCRTQQAPSTTGRSWSFTSVPF >OB01G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16686249:16691222:1 gene:OB01G30370 transcript:OB01G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEDSAASAATVEAEARVAAGARSVFAVECVPLWGHTSICGRRPEMEDAVAPVPRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRERLHAALVEELSRIEGSVSAANLGSVEFKKKWEEAFVDCFSRVDDEVGGSASRGADPVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKLPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGSNSSSAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >OB01G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16693254:16696034:-1 gene:OB01G30380 transcript:OB01G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G19385) TAIR;Acc:AT2G19385] MVWFQCEDCGENLKKPKLAGHFRSCSAYRLSCIDCGESFSQDTVQAHTQCISEAEKYGPKGQNKGSNNAQGKQDKPKPNADVDINVGLSTHPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAFHASQKQANGAEQTPKETIAAPMTESTQVNNAKSTESESGVGKDAVKRKRANDATSEGPDNTKRPNNSSVNCGEVIQSANGEPEHKAKIKSTKDELASNTDFKECKKQKIKWKKIITKVLQTNPDGTLKLKKLQKLVTKELLGCGLIEDKEQLHAMLMDKISSSSRFSVDGKQIRLVAKD >OB01G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16703800:16703970:-1 gene:OB01G30390 transcript:OB01G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLLLLTDGVSTNQPAARKGEEVGESNCTKLERRGRKLHACVCMYRLICIIHLLVK >OB01G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16709905:16710316:-1 gene:OB01G30400 transcript:OB01G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISEKSSTSTHTFAPLAPPLSSPSTLKYDADGDVAPAPGPAPAPSPLLARASPSIITVAHNLYVSSPTHATPRRDATGRDAGDAESKSGEQLEVSSELFGSLPLFFFFFLSLALAFRLIFSCSFLLLSLPETCW >OB01G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16710144:16712326:1 gene:OB01G30410 transcript:OB01G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGDARARSGDGAGAGPGAGATSPSASYLSVDGDDNGGASGAKVWVLVLLFSLIVLMFLPSAVRRGGPWGGGGGGGGGYQRGGGGISLKSGWDVVNLCLVVFAILCGLLGRGGDGESSGAAAAAAAAAAAEEGGKSSSHHHHHHHQVSPSSTAPTAEAVGEEPSVAEVWASFNTSAATTSYANNQYGHTGIRRLKSSSSYPELRLDSDGVWGLTSPEAAWRFYDDAELYRTRRPERAERSWDVDPPLKRTTTPELKTIPVDTYEVRRKSLPKEERRRRRGSIERLPSMAEIVEERPQPQQPVETVTPTPLARSRRWNPDMLDAVLEQETRVRVEETVMPTPLARSRTWNPEMLGAVLDQDTRVEEPAMPALTRSRRWNSERLDAVLDEMRVEVTPPPAPVRQRRRSRSVESLPGFEAERIVEEIRNPLPSSSPAMFPPGTPPPPPPPPPPGTVSRSKKKRSGSVGGAKDLASAIALFYQKKRKSIGIKSKKRHHHHHHHHLSDDHYSSPSSDTSASPDATGEEEAPSVTASPAPAYCASPDVNAKADKFIERFRAGLKMEKINSYREKWQRQIQDESSAGAVAEDEGEFMVIGSLFDDDDDEDIISLPETPATATATAVAVGF >OB01G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16716491:16721264:-1 gene:OB01G30420 transcript:OB01G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVLQQAVEDAEVRVIAERDAAKKAIAEAPPVIKEIVVQVEDTAKVDSLTTEVGRLKDLLGAEMKATFDAKKALSEAELRNEKLARLLGVEEMKNKQLQDSLKRMEVKASDLEEENRKLREAVTSVPYVRLPSNVNCDDPDIQLTPENEDDNDNESEKAVYCEVKPMIVDRGVDIHENNSQLPGMTDPEAEKQQQELLIKCISEDLGFSIGRPIAAYLIYRCLIHWKSFEEDRTTVFDRIIQKISSAIEARENNETLAYWLSNSCTLLLLLQRTLKINGAAALARQRRRTSPLKTPQENQTPNHPDRPVSDGRLVGGLGEVCQVEAKYPALAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNTLKSNYVPPFLICKLFTQLFSFINVQLFNSLLLRRECCSFSNGEYVKSGLDELEHWCFWLTEEYAGSSWDELKHIRQAVALLILEEKHNKSLKEITDNYCPSLSMQQLYRISTMYCDDKHGTLGIPPEVVSSMRTKMVQQGSNSPSAQDDINSFLLDDDFSIPFSIDDIAKLMVHIDVADMDLPSLIQENKGSTFEPLK >OB01G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16721536:16721994:-1 gene:OB01G30430 transcript:OB01G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRRSSAAIAPPQGGPAWRRLIAWLRLLLKAVARRYGEAARWRPAFLLSLALLAERGLRRAYLSWKESRLTGGWPLAASSPSAGGREPPCSSRYTTPHHTAAAAAEMELLLR >OB01G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16726236:16727513:1 gene:OB01G30440 transcript:OB01G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHYYRRRRQDAHAPPPPSPPVAAAAVEEEEDWGDLPDTILLGITACLPCRADRVRMACVNRQWRNAVREEPRPPPLLPQVPPLPPQLPWLIFPNTEAPSFYSWIGNMCHSLPLPPDVRVARFCGSSDGGWFVLALDASHRYALYNLNSGQRVNLPPGIGFRSGREFPLVARFATLSASPSPRVYMLAAIVLFKQQLRVAFWFQGSECWFPPRGPRPRQPQDVIYYNGGFYLVTATEGVVVYWPGYGNPHNNQMLMRRVEYDMLQRADYVRDMTLIRGYGSVTRYLVESRGQLLMVVRYVYNEAGTEALRVFRFQVMPPTATSVGISRRPRATWVDMHYLEGRMLFVGRGCSRSFESDQFRGFEDAVIYFLDEGFVPDRRVAAERRRYLYDDMGIYDMDNMTSTEWPPANRRPTTSDNAPATWWFP >OB01G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16729230:16748879:1 gene:OB01G30450 transcript:OB01G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30990) TAIR;Acc:AT4G30990] MATPPYAAGKRPNTSSSSRKRFVFKSFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFLDALVEWRELNTAEDFISFYEEMIHLVQTLPQIILHREKIFSGLLQRINMKARLSLEPILMLIAALSRDILEDFLPFLGRHASAILALLSDGGDRDPEILEQVFTSWSYIMMYLQKYLVKDVVQILRITAPLRYFPKDYVREFMAESVSFVLRNAPISQLIQGVRKVLLEAAKNSLPTHIDGVTALLCYVMRGTHARFHSRAGKVMEFLLSKSNLTTIQEKFPNDKANIHKLVESLVIICGNLSFISPFYGPAFKLTDPSVLVFVKKLLTKGPQIIQTFESQILSAMDNFLETSPEEVLFILLHFFKRSKKQITLHGIDGSYLDREKKVCKFFESKVSFWLELLDNMVKTGNHSSNQVNEKEAAILWGSICCYPNIKNVPRDNLSMLNKLICNIDRLLEGEEENISGLPKTTWRSLLGAALSSYHELLLIDTRRNPETGHILSLAKRHSTSLQVLSAIAEYLDSLHGAASLGMAEECGPQNLLDLFSIFAVNLSSPNKDIRILTLRILSYFGKMDQRLGTDEERPHKRQRTEDSGNDTVDMKYSNVLDTLLAVESTPISVSTSRKIAILVSRIQMSLSSKMVHEDYIPLLLHGIIGILYNRFSDLWTPALDCLAVLISKHKELVWNQFIQFIAFHQSKGLTVKNPDKLEASIQPQSIYDCFSVYLATDFDCTPLETVATLLLQSLQKIPDVAESRSRHLVPLFLSFMGYDNSNITSVDSYMSNKCKGKQWKMILKEWLNVLRLMRNARSLYQSKILQEVLTKRVLDESDPDIQSKALDCLLNWKDEFMTPYSQNLKNLIDSKTLREELTTWAVSCDSLSIQKDHRRCVVPLVIRVLTPKLRKLKLLGSRKSLIPGSLQLEIFGSQSDNLLVNISDIMGASTQICIENLTWKKANGFLHLIEEIFGTFDIARISPVLDVLLIIVVRLLESCMRNLRSGNGEDYPSKQSNDLDGDCSMTVEAGNSMILKGCSKDGLSADDTKVCNIMITSQIPIVIQQISSVMHSHQLPNSVVQESVSIKQLKDLRSLCIKIVSLALSQYGSNDFGENFWNIFFTSVKPLIDCFRQEASSSEKPSSLFSCFMAMSQSPKLAPLLEAHNLVPAIFSILTVKKASGSITSYALELIENLMRLDSDLEQHGDHSLKKILVPHMDVLLHSLKDFVSYCRELHRKSGMWFGQRELRLFKLLLKYITEPSSAEHVLDVILPFFSKKELNPDECLEALRVVEGILPNLRCGVSTKILNALNPLLATVGLELRLCICDIYVGLSLHESSMSTLARLIRDLNAVSTSELGELDYDTRIKTYDMIQPKSFLDMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLEFSASVMNNESKHTIETEDNSSGICTKGSIQHILEKTYLRNMGVAMSKDISTQKEWVILLREMVYNFNHVPSLNSFVPLCKEDLEEDFFHNITHLQAVKRSKALSLFKQCIKDAEFSEDVMMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSIAAKVQWEHYRTILMRCFRELSLKPDKQKVILRLICAVLDSFHFMKPSNDASKNSNAMDEDLGSSLTFSSTIVSSEKQHYLQKIVFPQVQKLLGADPEKVNVSINLVALKILKLLPIDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHYLLSKTITSDINGRLNYCLEDLLAVVESDILGDVAEQKEVEKIASKMKETKKRMSFETLKLISQCITFKTHSLKLISPVSGHLQKHLTPKLKTKLEMMLHNIALGIECNPSTETFDLFVFVYGLIKDTTAASESQCKENEGSGHGQENICRKTIPGLCVSGLQNSYIITNFAVTLLRNRLKSIKLDKEDEELLSKLDPFVNLLGECLSSKYESVISISFRCLALLVKLPLPSLKDNASIIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFKISLSDDQLQIIVHFPIFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVRIGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPSGREAVLEMLHDILTRFPQRIIDDQGQTFFLHLVVALANEQHQNVSSMILRAIQKLLGRIGDQGKNSIFEYSLSWYTGEKQNLWSASAQVIGLLVGDRSLGIAKHLSSILAVAKKIMECSVIASGGPQVDLADETCLPFWKESYESIAMMDRLLLRFPELYFKQNMEEIWIILCKLLIHPHLMLRNISSSLLASYFSSVEKSKREMKLDGKSALLVQPSRLFLIAVSFLKQLRAELSDTTANNLIVQNLSYAVCNLHTLIKQTSPHQFWSSLSSCDHGAFLEGFELFGLTKAKNTFLLCTSTSTDVNGSNLDGSEELTSLLVSSILKRMGKIAMQMEDTQMKIVFNCFSVISSALGAEVSLSYAIHFLAPLYKVSEGFAGKVISDDVKQLADSVRDKLCDLIGTEKFVEVYNSVRKGLKQKRDSRKQSEKLIAAVDPARHAKRKLRIAAKHREHKRRKIMTMKMGRWLR >OB01G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16760002:16760402:1 gene:OB01G30460 transcript:OB01G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSPNPRNYYRCSADGCRVKKRVERARDDARFVVTTYDGVHNHPAPPHPRPAAGYSIAGPAAGHRLLGLKEEEAAAIALFRSTSATSLHLP >OB01G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16771427:16775886:1 gene:OB01G30470 transcript:OB01G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDALTNGGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWMWLFVVPLAAYTLWQLMYFLIVNVLRRQRLLKDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRPLMYILLQALFTVATMALTVPIFLSFRMHVVFQILKVCAATWNGGSFILEVMPRQVVQKEKKKLEMKPMEQANPARSAVQPEESLPADGQHSSEQLSH >OB01G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16777019:16777450:1 gene:OB01G30480 transcript:OB01G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRPRDAPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRPRVGDERRDRLRRVLRPRRRRRPPRRRRHRRRGGGRGTRLLSDAATQQYTARQYNASHGGLK >OB01G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16784006:16785619:-1 gene:OB01G30490 transcript:OB01G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1118) [Source:Projected from Arabidopsis thaliana (AT1G74730) TAIR;Acc:AT1G74730] MAAVLTAACSSPCLSRPPASRRRFTVTAMAPQKKVNRYDENWSKQWFGAGIFAEGSEEVDVDVFRKLEKRKVLSTVEKAGLLSKAEGLGLTLSSLEELGLLSKAEDLGLLSLAETAAGARPSALASVSLPLLVAAVAAVVVVPDDSAALVALQAVFAALLLAAAAGLFVGSVVLAGLQESD >OB01G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16786907:16787116:-1 gene:OB01G30500 transcript:OB01G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITNESKSLHELTIPNNETSTHNNITNTRGSSNCNACVKFDTKPTLTKSGSPPKKATELLQLNVVRST >OB01G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16786275:16795363:1 gene:OB01G30510 transcript:OB01G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWNYVVTAHKPTVVAPGCVGCFTAPDHLNPRRLLMLDVPAYGRIAAIYLFHPCDEAQDSLFICMERYRYCVLHWDGRKSASYKDNQYIICRSEGDAYNYIGRHTDKGQIGANDAHCRLIGLHIYDGMFKASYLYELFFVEFAMRLLQVIPFGSKGHLDYPFDIRTTMVQDMLRHMKLHCRMMDLFKSIIESVGQVDADGSRYLFGNNSGGLHLLVVTHEQGSAYKDGSIRTVRNGVEISEQVTAKYFSLVSSSSKELLAQWVAPEGFSVNVASANASQRYAPHVTLLPKNNWFIEIMEAAPFSSAYVLPEETELTKQEESLIKEVARDYNTTWMTAVEMLDNDVYIGADNCYNLFTMLKPNDADKALTGGFLVIGQYHLGDYVHRCGPGLLDGDLIESFLGLEPSKMEEVAMMMWLDTDGPSKDSVKAPRKLHYHDHRIEGS >OB01G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16804731:16808585:1 gene:OB01G30520 transcript:OB01G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCTHSKVEDEEAVRRCKDRRRLMKQLVRRRVDLAAAHTAYLQSLRNTGATLRQFAEVESALSQQAPAGVAVPPSPSPPPPPPPPLPPPAHPAYSVTSAMPAYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDDQLDGDDSTDDDDDSDSCSTPLPPPPPPGCDWEYLEPFPMRPLNFPSSLADRIDKEVASQVTMDDDNWVETNTEFDGYDDESVSGNVDGIVSSVQLNQVKSRALGDDNSSMVSWVTKDSDSSAAVWRSKKSLAGIAKEIDEYFLKAAASGSDVVILLDSSGGQPDPSELEASKGAGKNSKSAKVFSTLSWNWSFKSAQANRQSSMHSSDSSGYGYHGKTLEKLYDEEQKLYKLVKDEEFARLQYRKNSSLLQRLESGDHDKLHAEKVRDNIEELQARIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQASLVGNLPGNEPTTDSHCQATSQLEVEVSAWHSSFCNLIALQRDYITILNQWIKLTDCLPDNDGFMKSSSGIRSLCAELQRALTGLPEKVAAEAIRTFLSVIHSIVVQQTEERQLKKKSDNIESKFHSLLEKHSNNTTQNSGQPTLAKLDTFRKQVEEEKARYLHSVRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQSFEGISRCSEIVASHSGAVSPAISS >OB01G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16810285:16812257:1 gene:OB01G30530 transcript:OB01G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSRKGSLQPGKRLKKPCSSSKRAKGDLATRDAATHSAVTGAAAGVDVTGAEADVARAAAASVGARTTVSVAMAGTDVAGVAVTRATATSVGARISAWLQNSIDPIDGNDKELEQYWGDVTVAYNSTTKSNRKRNRNQLKLCWERIKKPVTDFNGCWSMMERHSQCSIYGEYYDMKKKWSAYVKKQNNEKGKSATVNNPVHVVNVEDIVGQRPIGQKKAKDEHKGKRKASLPLSEMNEELDKFVEASTMVRKEREKMSEVQQNLANKKVYN >OB01G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16815400:16822962:1 gene:OB01G30540 transcript:OB01G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLLRYVVEEVPEDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMELLFSFVKSDNPHSTLLSGYFSKVVICLMLRKTAPLMAYVQAHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMVADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSGAENVLPTTYGCLCPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIIGKILAAEKLSSLSTESNGPTVPSEGKAIPKIGNIGHMTRIANKLIQLGSNNSTIQTHLQENSEWVEWQTDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEESQGPLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGITDRLAAVPSSSPNSEEISPDTEENDDGEVIGTEDQMDTVCLGNGPIEEVEDALKFTEHLATSMEDTEQPENVEGIEQHLDVSNGDTEASIEAASATPEFSAPSSEMQTERTADEPTGSSDSGNPAPEVLADPDDSNTDPANTSVLSEQTVDSKDVELPTKEVLAMDVETKTDEIKANE >OB01G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16823283:16825348:-1 gene:OB01G30550 transcript:OB01G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3L1E9] MAMAGGGNSLPSASCGDDKKRRVCYYYDPGISTVDYGDGHVMVPYRVTMAHDLVAAYGLLGDMRRLRTAPATEAEIAEVHDGGYVALLRGLTPDGYLRDDGGVRDRAFRCGIGVAGRHGEGADNPVFDRLWDGFCYVNDIVIAIRELLGHFRRVLYVDIDVHHGDGVEKAFEASNRVMTVSFHQYGDKFFPRSGNVADVGTKPGEYCTLNVPLKPGIGDDEYHRLFEPIMARVMEVFQPEAVVLQCGADSLAGDRLGDLSLTLHGHARCVSFIRSFNLPLLLGGGGFGGGGYTINHVASCWCNETAVAIGKDIPNDIPEHGTDRFYENQRYQLHYTMSTSRKNCNTARSIDEMRQKALENLSKLRLEAAAGVPFEERGGRSTDADALYERSDEEEEERPSQRLHRLCFLERADRRRRREPHPHGEVPSQAQAHDTERRSRKLRKAK >OB01G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16828373:16830702:-1 gene:OB01G30560 transcript:OB01G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) protein [Source:Projected from Arabidopsis thaliana (AT1G69800) TAIR;Acc:AT1G69800] MDQPDENVKLPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSEHNIRAAPVLNPESTAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATMAGVGMGAVGAVGAAALGATGPAAVAGLTAAAVGAAVAGGLAAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVQSIVESYRWSPFLPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSFDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPNKKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTLGSTLPDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYVASAMDKIEDKGAGSVDKS >OB01G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16831802:16833397:-1 gene:OB01G30570 transcript:OB01G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFALFRDEVHKDMDKDVVAKAALDPKFRAAVAAAVASYVREQGGGAGELFNLAPRC >OB01G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16839178:16843541:-1 gene:OB01G30580 transcript:OB01G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit [Source:UniProtKB/TrEMBL;Acc:J3L1F2] MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDVKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMMCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCEKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQSIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >OB01G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16846290:16853393:-1 gene:OB01G30590 transcript:OB01G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMVRRLAVEVVDARDLVPKDGIGTSSAYAVVEFDGDRKRTRTVPRDLSPQWHERLEFAVHDPAAMQAESLDPQPEPDPEPEPDGGEAAYPPEVRKTRMASSTERVRVARHLSGGLGPEYYATSPRVISGRFVSTGDAVEPVQSSYDLVEPMRYLFVRIVRVRGIRACEGPYVKIQAGPHTLRSRPGRDISGTGNPEWNQVFAINHAKPEPTLEISVWDGGAPSPIEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVVVWIGTQADEAFPEAWNTDAPYAAYTRSKVYQSPKLWVAVWIGTQADEAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDVRGPAPPPRAPLDVRVKIQVGFQSARTRRSVASRSSGSAFAWEEDLMFVVSEPLDESLIVLVEDRSMIKEPALLGHTTIPVNSVEQRLHERQLVASRWFSLEGGTSDIGIGPGQAGGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWKPPGGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGDERQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEDGFTRFADLGQFQNFLVTSIHGLDLYDIMNFGDFVDA >OB01G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16860741:16861271:-1 gene:OB01G30600 transcript:OB01G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCMPARGSRSGKKAAAPAPAEEPRDVDVEPKMEWTDGAAGYVVRLDLAGFKKEEFRVQVDGAGRVTVRGQRPAGHVRVRRGFQLPPTADVDRIAARFDGSTLCLTVPKRAPVGAAEAVVATMEEAKAVREMELDMEKEKELARWDRGTAIAAAVAAFALGVVVSHRILSTRNCN >OB01G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16873936:16875060:-1 gene:OB01G30610 transcript:OB01G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPASSAAAAEQQQRDGDYEPVYEWLDAGANYLLRLNVPEFKKEDFQVHVDASGRLTVRGQRGSLRLNKVFQLPATSNLDGITGRLEGTVLILTVPKLPAASAAAATAPPPPKVKEEEEADAKKPDTKKKRAIEELVTGKPAERLAAGEEPKAAPAAAAPPPRREPETAPERRERKDEDDDKLARAGAEHKARVAREADRRIEAARARLAAQHAPKPAPEKKAPCWKDRAAEEGMRLAEAIGKNKEVVATAVAAFALGVFVSSKLFSRNN >OB01G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16879673:16882069:1 gene:OB01G30620 transcript:OB01G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERFGKFDGVMEPGCHFVPWFLGLQARGPLSLRLRQLELRCQTKTKDNVYVTVVTHVQYRVLAGKASHAFYTLTNTRSQIQAHVFDVLRASVPKLTLDEVFEKKKDVAEALEEEVAEAMAPYGYEVARALVVDVEPEEGIRNTI >OB01G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16883548:16886039:-1 gene:OB01G30630 transcript:OB01G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYADIGKKTRDLLYKDYGTHQKFTLTTCTSEGVAVTAAGTRKNESVFGELHTQLKNKNLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGINASVGLNSNPMVNLSGVFGSKELSVGVDVAFDTATSNFTKYNAALSLTNSELIASMHLNNHGDTLTTSYYHLVKHHSNTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >OB01G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16889381:16890505:-1 gene:OB01G30640 transcript:OB01G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLSAPGRLLMCTVTRPAGPTELPVSFDHLRSLARAGRLTDIDAVLAPHVTSHSVAAISTLSWLGLPDRASALLGTLPSPTAAHLNALLAPLLRHRHRRLVGLVPSLLEANPSVPRDAATETIHAKALCIASGAESAIHLLQRESPPPSIQVFTSIINSYYKQRQPHRAEQLWSQMVDDRGIIPDVVAHNIRITYKATSGTVEEVKELIRAMREDARLRPDIGSYNGLMRAMARHGRVDEMLEVYRSLEKGSAAAAGADKLAPDYATYTCVVAALCKPGRWSEAVDVLYEAMKRSQAADLGTVRTLVRGLRDAGKRRAARRVVVGLRKKFPARFDGPWKELEELAGLPGKEQDNDVERDDDEQAAPTTMSE >OB01G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16894781:16896186:-1 gene:OB01G30650 transcript:OB01G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATSSSGTTASCAAEAAQALCCACVGQSTVAVGESWGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALADKAYDAFYRLTNARAQIQSYVFDVIRASVPNMNLDEVFGQKKEVAQAVEEELAKAMTMYGYEIVQTLIVDVVPDEVVKRAMNDINAAARLRVAAAERAEAEKIQQVKRAEGEAEAKYLAGVGVARQRQAIVEGLKRFVPNEKDVMDMVLVTQYFDTIRDIGATSRSSTVFIPHGPSAVRDMAAQVRDGLLQATATAAGGGGPAALKSL >OB01G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16900134:16902179:-1 gene:OB01G30660 transcript:OB01G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G51300) TAIR;Acc:AT5G51300] MKDFAADLDPEVHNLNARLLEISRLLQSGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKTSTFKSEVQCKVCGDGGHPTIDCPVRGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGAGAASGSNPPWAAGGGAAAAGPNGIKEYDEANLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIKDRITGQSKGYGFVKYSDVSQANAAIAAMNGYHLEGRVIAVRVAGKPPQPAAPPGPPAMRAPPTYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPLYNVQYPPPPAPIPPPGTSPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQAPPPPPPAGADPSQSIANAPWATHSAPPPPPAGADPSQSIANAPWAAHSAPPPPPAGADHSQSIASAPWATHNAPPPPPPSNMEQPPATYGADAEYDKFMSEMK >OB01G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16903931:16904149:-1 gene:OB01G30670 transcript:OB01G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRKVYRHCLLASISLRISSRESSTSRIRSWRDLVAGINMGVELPPLSPLTMLELDGREMEEEGGAEVLHRRE >OB01G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16910602:16911209:1 gene:OB01G30680 transcript:OB01G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPLAQRLDGAVLTTGIKAAFAVKIGNRLPLCPLANSRFPYAISFTGMPRRNKYFQHKVGRHANGSMHLESSTY >OB01G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16911814:16914821:-1 gene:OB01G30690 transcript:OB01G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTTSSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWATRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLVNLKDMANSSYFFQSMQQERAASLGHPTGSQSMKAQSTFARNGQQPMRSLSYGPHASPYRQSPRPGKQQ >OB01G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16916118:16917266:1 gene:OB01G30700 transcript:OB01G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISQARAYNSLAPVHRLTEASTRRHNRCRRKRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAASPQGVISGRW >OB01G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16925114:16937337:1 gene:OB01G30710 transcript:OB01G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFFTLFSLPLPSLSALPAGAGAVSGRPTRAATTCYTWPVRVSGSHGRHELVRPASELLARITGGLVELGNIARFSPVSASLAAIKSVLLSYAYVSIWITLGFLVIVYYTLDPKMCNCPFPVSITMIHMVFSAFLAVVLISVVRIVAVPALLPSLYTASIVPIVTLYALSLCFSNSAYIYLFVSFIQMLKALMPVVIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADKEKRKRDEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKQSAHDKEEKTVAELDEEDEKLIKSITFHNSKDYVKRIEDDDDDDEDLAIPGQSSSTSKMNGSSESAVNPTDVLTKTSGSENANKEGNKSWPSKMPKFIVKPKSDPSKKQKTETVATPDNGKAPIVEIKSEPAQNNVLQSLCQNYDSDENLSSLVFQFAIIRFISGSLLFRFLDEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFVTSPEVSQMFGEMIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKAIDINLVECSPTLQKVQYNTLKCEDETIGVETRTVSKLCGAPVHWHASLEQPTASLLYLSKRCGWASSEELDKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDDPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRKGVYYFVLAAREELAGLTFHLHRFLRARIGGCIAVSGTIPELLVCNLEIQAMHIV >OB01G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16940902:16941241:1 gene:OB01G30720 transcript:OB01G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVTFPWLRKQTSRIIFGFGTRRRHRRPPVRILGVRMAERSGRCDPGLKVRGEAVWHLIVPDQADLVLGNILLKFFRPAPWQ >OB01G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16940711:16943986:-1 gene:OB01G30730 transcript:OB01G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3L1G7] MGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFITEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >OB01G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16966814:16969433:-1 gene:OB01G30740 transcript:OB01G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKFELMDRIKTFTDLKNKVGCSIRVCITRLDEETNDLIISEKKAWEMTYLKEGTLIQGTVRKIFPYGAQVRIAGTNRSGLLHISNISQGRVFSVNDILKMDDEIKVLVVKSNVPDKIALSISDLESAPGLFLTDKARVFSEAEEMAERYREQLPADSKNTKLDADLQGGTVPFDDEGTLYANWKWFQFLEDGKPGENDN >OB01G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16970312:16975157:1 gene:OB01G30750 transcript:OB01G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPGEQGMATWRRTLAAARGGGRAAAPEARGSQRCLEDEGRRHLEHRAGRRASMSGSLVAVEDIKEASEKHYEALNQRISISPTSRRHSVSPKKENLMAGLFTSQAAQYAAARPVYPKDLFAKLAALTAHHRVAWDVGTGNGQAAIGVAEHYDSVVATDVSAEQVRRAVPHPRVRYLHTPDADAAPADDGLVMALGGEGSVDLITVAEAAHWFDLPAFYGVARRLLRRPGGVIAVWGYNYRVSPVEDMMARFFHTTLPFWDPRARYVMDGYRDLPFPFDDVGAGKEGEPAGFDVAHEMSFAGLVGMLRSWSAVATARRQGVDLLDERAVRRLEQEWGGASLVREVTFKAFLLAGTVGSELSE >OB01G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16975634:16980171:1 gene:OB01G30760 transcript:OB01G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKENPGINFERNPKNAKRRAGAGAGVGGGERALMGAPKQRWTPEEEAALKAGVAKHGTGKWRTILRDPEFTALLRLRSNVDLKDKWRNLSVTAGGYGSRERARMALKGGKRGPKAIAGPMDVDEKIPDNDDNAVIDAKPLAVVVETMQLESSSEPEKSVARLDDLILEAIENLKEPSGSTKTAISAYIEEQYWPPDGFQKFLSAKLKAMVATGKLIKVNQKYRIAPSSNSSGGKNMKLFSTGEMNIENSNVGQLSQPQVDAELDKMKNMSKEEAAAFAARAVAEAETAIAEAEAAARAAEAAEAEADAAKAFLDAVALTMQNRNHASAVLRAC >OB01G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16981322:16986007:-1 gene:OB01G30770 transcript:OB01G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHALVRTPPPLFPSPVVRFARLVVQEKLHQWILRKHEEESRLTVADIMSHIQHELDYGGNDPHVSPRVHQHSANLFANSSIQPSAGSYGQATIGFAPRPSLSDQSKNTIFSNALSSPTALGTNTTEVDCAEMNTTEVDRVRCCMRNQAAAHTTVVSCCCMKSPLQPSSLGQPEDNRLPRRRRRMASRPIARAVHELSPLYRLSTKAPEFPEAGKSGQGENKALDLFDGMPTKSQVSWNAALAGLVDAGRTERALSLFREMPLKNAASYVTVIGGLSRAGAAPVARRLFDELTLDQHNVFTWTAMVSCHVRNGEPGRAVELFAALYGELFERGTLPNAHTLSSLLKACVALRSLAMAMQLHALAVKLLEEGTKDTTFVWNGLIDVHAKLGALPDAEKVFDAMRCKDASSWTIMMDGYSRHNLIDKALHLFRSMENKDAFTWSVIISCLWQNGLGEEALRLFIDSLRSGDRNNGGEAKPNAATYTTVLHICSVLSLLALGRQVHARAIKNGLSRSHVFVGNSLMNMYSGSGTAAALEKVFDEMAVRDTVSWNTAIQGLGQNGHGRRALALAERALALRLHNGNTFTAILAACSHAGLVAEGMRYFDAMADEYGVERTLDHYTGAIDLLGRAGWLERAHGLLVGMPFAPTAAAWTTLLHCCLAHRDRALGGIAARELRALQPDGDGWNYERLLRGCGGEGGGGEAQDRKSSAHLPGCSWVV >OB01G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16986754:16986930:1 gene:OB01G30780 transcript:OB01G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWFQISMKLNIVFVSDYIYRIICSMSVLSTVSFSYIYKQKIKFNLPFKVHLRVYLL >OB01G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16990573:16992740:1 gene:OB01G30790 transcript:OB01G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAHGKCAPFICFGRAAAGLEGASPPKLNSGNTSGSSSEESSASVKDGSNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKCECVIQ >OB01G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16993406:16993921:-1 gene:OB01G30800 transcript:OB01G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEGARDIAATPRSFSSLQGEAGSLGSWKGVEMEGRNAWRRFGHTHAPPLWIRVCGASVPLPLPARPSRHPSSLSLSLSLSTPRRQRLWRLPLLAGAAELIARWRAGLRFVRFAGTRHGRSTFRHSAPCDCPCQPRVHLSFAYTRRQEQWCVRVKFILANTVIIQIALGE >OB01G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16993893:16996499:1 gene:OB01G30810 transcript:OB01G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:one-helix protein 2 [Source:Projected from Arabidopsis thaliana (AT1G34000) TAIR;Acc:AT1G34000] MSLAPSIPSIKVKVGGVAVSPPHRHRACRSSCAVIRSPKGGGGPGAPAAPPLSPPPPMPPKAPALSTPPTLSQPPTPVQPAAPPSSSPPPPQDSEPKPAAAPVAVAAPPAAGSVTLEYQRKVAKDLQDYFKQKKLEEADQGPFFGFVAKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >OB01G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:16995893:16998706:-1 gene:OB01G30820 transcript:OB01G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09950) TAIR;Acc:AT5G09950] MPDRNAVSWTCLMSGHVLSGLPEEAFEMFRAMLREGPECRPTSFTFGSVLRACQDAGPDWLGFAAQVHGLVSKTVFTSNTTVCNALISMYGSCSVGSPILAKRVFDTAPVKDLITWNAMMSVYAKKGDAICTFNLFRAMQYDASAIELRPTEHTFGSLITVTYLSSCSSGVLDQLFVRVLKSGCSSDLYVGSALVSAFARHGMLDEAKDIFLSLKERNAVTLNGLIVGLVKQQNGEAAAEIFMGTRDSAAINVDTYVVLLSAIAEFSTAEQGLRKGREVHGHALRAGLIFMKIAISNGLVNMYAKCGAIDKACRVFQLMEARDRISWNTIIAALDQNGYCEAAIINYYLMRQDCISPSNFAAISGLSSCAGLRLLAAGQQLHCDVVKWGLYLDTSVSNALVKMYGECGAMSECWEIFNSMSAHDVVSWNSIMGVMAGSQAPITECVQVFSNMMRSGLVPNKVTFVNLLSSLIPLSVLELGKQIHSIVLKHGITEDNAVDNALISCYAKSGDVDSCEQLFSKMSGRRDSVSWNSMISGYIYNGHLQEAMDCVWLMMHSDQMMDHCTFSIVLNACASVAALERGMEMHAFGLRSHLESDVVVESALVDMYSKCGRIDYASKVFHSMTQKNEFSWNSMISGYARHGLGRKALEIFEEMQESGESPDHVTFVSVLSACSHAGLVERGLGYIELMKDHGILPQIEHYSCVIDLLGRAGELKKIQEYMKRMPMRPNTFIWRTVLVACQQSKDSGKIDLGREASMMLLELEPENPVNYVLASKFHAAIGRWEDTAKARAAMKGAAVKKEAGRSWVTLCDGVHTFIAGDRSHPNTKEIYEKLSFLIQKIRNAGYVPLTEYVLHDLDEENKEELLSYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFKYISQIVGRKIILRDSIRFHHFEDGECSCGDYW >OB01G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17002806:17006467:-1 gene:OB01G30830 transcript:OB01G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLAPKTRFCCWCGTWKGEKICSCCRKASYCSKKHQELHWRAKHKNECRQISGSHDATAILQDAGKAFAGNIWPEYIVVDEIEKPSSFASCENRSELLVAQGQSEQDDMMASLMDQFEADDDNRCWSSFLERISRDQHQILRYCRESTAKPLWQYSGSLANAAIPSCIYCNGPLCYEFQIMPQLLHYFLVENGPDSLDWATIIVYTCKGSCDQNVSYMEEFVWVQLSPPATSRRHGKSFFTIFEKVTQGAILFNVKMWYLKTSQTFLRDYKHSFNLPGSRGVPYIENFRRVLR >OB01G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17010591:17016152:1 gene:OB01G30840 transcript:OB01G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32660) TAIR;Acc:AT4G32660] MESSRSRKRARQDCDGAGAPPPPPTERAVAARGGASPPWRDDDRDGHYVFDLGENLNRRYKILSKMGEGWIFCNLSLVLGVFVIIVMKAMGVILIGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLCVQIQGWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVRDFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPGSKKNSPDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPEHMIRKASSSAQKYFRRGARLNWPEGAVSRESIRAVKKLDRLKDLVARKADHSRAALADLLYGLLKFEPSERLTAQEALDHPFFRNTT >OB01G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17018646:17026692:1 gene:OB01G30850 transcript:OB01G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGVGEKGTFEVPKLEIKFTKLFINGRFVDAVSGKTFETHDPRTGEVTAMIAEGDKADIDLAVKAAREAFDHGPWPRMSGFERGRILHRFADLVDQHVEELAALDTVDAGKLFAMGKLLDIPNGANLLRYYAGAADKIHGETLKMARPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTMVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVPGFGPTAGAAVSSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDMAVNLVHMATYTNKGEICVAGSRIYVQEGIYDAFVKKATEKAKKSVVGDPFNPHVHQGPQVDKEQYEKILKYIDIGKREGATLVTGGKPCSDKGYYIEPTIFTDVKEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDVANTVSRSIRAGAIWINCYFGFDSDVPFGGCKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >OB01G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17025696:17025911:-1 gene:OB01G30860 transcript:OB01G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKFRETRCSESCDNQFRAIATKVDKEQYEKILKYIDIGKREGATLVTGGKPCSDKGYYIEPTIFTDVKA >OB01G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17031268:17037883:1 gene:OB01G30870 transcript:OB01G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDCGNGAAVAGGGLVVPEIKFTKLFINGEFVDAASGKTFETRDPRTGDVLAHIAEADKADVDLAVKAAREAFEHGKWPRMSGYERSRVMNKLADLVEEHADELAALDGADAGKLLTLGKIIDMPAAAQMLRYYAGAADKIHGEALRVAGKYQGYTLKEPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAAISSHMDVDSVAFTGSAEIGRTIMESAARSNLKNVSLELGGKSPMIVFDDADVDMAVTLSTLAVFFNKGEICVAGSRVYVQEGIYDEFVKKAVEVARNWKVGDPFDATTNMGPQVDKVQFERVLKYIEIGKREGATLLTGGKPTGDKGYYIEPTIFVDVKEDMTIAQEEIFGPVMSLMKFKTVEEAIERANCTKYGLAAGVVTKNLDIANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGLVAIDKYLQVKSVITAVPDSPWY >OB01G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17038812:17039027:-1 gene:OB01G30880 transcript:OB01G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNLKLKVHVLLSTLFFFFFFSGLMQLSMAQGSPAAPTTATARVAIDAKAVDQAVAYLLMVAALFVTYLAH >OB01G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17041124:17041246:-1 gene:OB01G30890 transcript:OB01G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSPAATAPARVIDVKAVDQAVAYLLLVAALFVTYLAH >OB01G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17043030:17043239:-1 gene:OB01G30900 transcript:OB01G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKACLLLFTTFFLSGLMHLSMAQAAATQTAAAAAAAPARVIDAKAIDQAIAYLLMLAALFVTYFSH >OB01G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17048675:17049693:1 gene:OB01G30910 transcript:OB01G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNRKRAKLRKSIQLFLSRKLKKIPPIHIPSSTIPAKITSSRLLSTCRFPRTPSLHGGGGGGGGNSRDQAATLSDVDRFLFDNFRSLYIHDGDNNARRPSSPGKLTSIVDRTQPAAAAAETSTSRSDSVADDIRESSGDENSSSTAIVLFSMNPYTDFRRSMQSMIELHHGEETLPLDWDFLEELLFYYLQLNDQSVHKYILKAFADLTAGAHVSRPARGKTQRTDKSVRSRKGY >OB01G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17049935:17050673:-1 gene:OB01G30920 transcript:OB01G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSSSGNFHGECNLCFPFHIFTLEFLNVNSNSRIIPIIWYAGAIEEEIFHASQCVADSGVYVA >OB01G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17051490:17061054:-1 gene:OB01G30930 transcript:OB01G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MPVYRIRGVDVDFPFDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGGGGGGGGGSQPSPYGSQPSGSQRSGDSGSQSSPYPVIIYASRTHSQLRQVIKELKATNYRPKMAILGSREQMCIHNEVSKLRGRQQNNACHYLCKKRWCRHHNSVTEYMRNSSELGNEAFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRSIESSADKQFDPENYAILKALLMALEKKITELVIESKEMGYTKPGNYMYEFLSELNITSETSKKLIDTIDSASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNDCQQTSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVIASDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGIAIVNFARIVPDGLLVFFPSYSMMDKCINCWKDMNHENSTDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKQGTSSNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKCYKKYGEVVQGLTRFFRDKASMDSSKPKETDFNDSIVPLAAKHTPQAATTTNENQGTTISLNAAAARSNYIKFAQITPANRSALSMKHGYSSTSERLSSEDQSTDAQIVDLTADVTTHGHLARHTFKSSGVKKAKVMVGSKDAICFDDRSPKLQHNVGSRALAGLLGERSTASSNKSNIELPGNSSCIHEKSGGQESNAGPAFLKLAREKLSTAEYRGFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEGFRVFVPKNHLPLYEQLVQSYTMPNT >OB01G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17070969:17114851:1 gene:OB01G30940 transcript:OB01G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSALQSSSPQLVQLIQLILDDILSEEIEDHGISDANWMPLPFKKFMETLSLKRGADLDDKTILNRAITSCKKELYHYSRLSGKHVLEVVMETVLSLIEREQLQEAVNVISMFPLLQPLIAVLGWDILKGKTALRRKLMQLFWTSKSQALRLKEYPHYRTQTDETSCEEYLCDLLCFHLDVACFVSSVNSGHPWNLRDSLSFSQQEQDSDVNSTKILDPFVENLILERLAVQTPIRVLFDVVPGIKFQDAIELVGMQPLSSTTAIWKRMHDIELMHMRYALQSVALSIGEMEKSAIDGNEHHYRIALSYLREMQNFMEAIKSTPRKIYLISIVLSVLHMDDSIKLSEAAPSECSVSHECSNSDIESEEKNMVTSFVGLLLDILRHNLVLDTDHQSSMGLSPAGGQALEWRFKHTKHSIEDLDWRLSVLQRLPPLSGRQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARTGDMCRFLLDEATSLLSEIFPGSSPKEGPNYWDQIQEVALISVIKRMLQRLRDILDLEGYPYLQLVFTEMNASSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDSTYSKENIKLEKKDILSSEKGIILGHGLRILKQASRTDTPTTAVLESNVEHKGSTSRYLGPVSSKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSNITSENRPQSAQGWSVHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNAKDATQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVASATENEVTLHQPKGKYLPKRAREPDSDAESEIEDIVISGKTTSNSLESPKCDQTKLEPTTFISFDWDNEGPYEKAVERLICERKLIDALALSDRCLRNGASDKLLQLLIEQKEEISLGARQFHAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTMCICHLPEDDPMRSEVLHMKQSLQRYGHIMSADDHYTRWQEVKVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKFLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDMLIISYAKKAISINVSSTPREPRLAISGSRTKQKKVAAPAKTNFAQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEAMPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATHACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKSLLKKLVGASDLSSSSERSRDVDDISVDTGSSSTGSQNPDELSDLLSLTDLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAVYTCKKCKIEAFPVWAAWGHALVRMEHYSQARVKFKQALQQYKGDATPFVLEIISTIEGGPPVDVSSVRSMYKHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERPRQSKDPMDSQFASTSSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTAEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPMYQGSTMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYINSMSQEEALKHLGHAKTHFEEALSVRDRTIEATKLVSRTARNKSASEKMTRETIMKLSTRVSYQMDVVKALNSVDGPQWKTSLFGSPTDAETLRRRCMVVETLAEKHFDLAFRLLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >OB01G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17116748:17117119:-1 gene:OB01G30950 transcript:OB01G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCHLILPPVLTEHFWEQQLSIGIAIGKYTSGIVTLDPYPPVKFRIIKIPIPVTGFG >OB01G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17128383:17128646:1 gene:OB01G30960 transcript:OB01G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSSSPTPLVAAGGGDRCCWPRRPARRWGYARFSILPRHGVLLFLLSSWGILGPAFHRGRRDGLGGVLGWGFNLYVGSWNSILFFLIW >OB01G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17129321:17130639:1 gene:OB01G30970 transcript:OB01G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSVVPQTSSESIAQKMGFFRVPDLLVKLSTKCLIEMDSVRSPTSPLDLKFFPGLGTKSPRSSFLDANQNQKILLGDRVGLGLVDSLTADDSSTPLGSRKVLLGSEMRITDNLTSKNSFTAPVEVEVVDQKDESMYDELKGSFMSLDDILNSEDYTRVVSRGPNPRTTHFFGDRVLEFEGEQLMPDENKREESLLPHLKEGMMSFCCLCGEELKEGKDIYIYQGDKAFCSMECRESFMEDEMEEGEPVIDHSAPPSSPPTNGGCIFQLIQ >OB01G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17133569:17137250:-1 gene:OB01G30980 transcript:OB01G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT4G23620) TAIR;Acc:AT4G23620] MKYIKKSYPRHPPPPRAPPPPPPALLSPPFDYLPGHPRPDAKHDELILAVPRASSGRHAAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPDQKKSK >OB01G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17138744:17142612:1 gene:OB01G30990 transcript:OB01G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGDPAGRPAQPPAAARFPARRPDLFSATGGSGRGEDVLRGDGVGSVADRVADRVPAVPLLPRTRPPHGAPRRHPRPAPHPPLPLRLRHAHPAHRHRLGRQRPPPPPPPPPRPATGWCVNASFLLAAAAGAAFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIVGLAIMSLLGEYLCIRRELKEIPISRLRASV >OB01G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17147491:17149979:1 gene:OB01G31000 transcript:OB01G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKNLGVGPVATSSLLVASIVGGKVRASDDPRLYTQLVFTSAFFTGVLQAALGFLRLGILVDFMSRPAITGFMGGTAVVIMLQQLKGLLGMTHFTTKTDVVSVLRFVFHNTHLWQWQSTVLGVCFLVFLVITEQVRRRRPKLFWVSAMSPLLVVIVGCVFSFLIKGHKHGIPIVGTLKRGINPSSISQLKFQSEYVGVAMKAGFVSGMLALAEGVAVGRSFATMKNERIDGNKEMVAFGLMTLIGSFPSCYIPTGAFSKTAVNYHAGCRTAMSNAVMSVCMALVLLALAPLFRYTPLVALAAIITSSMLGLIKHREIRCLYKVDKADFAVCAAALLGVVFSTMITGLGIAVAISVLRALLHVARPATSKLGRVPGGGGADDTFRDVAQYPGAVTTPGVLVLQVGSPIYFANAEYLRERIARWVEDEERIAGDDRGEDLLYVVLDIGGVTAIDSPGIEMLREVHGKLERKGIKMAVTNPRMEVAEKLVLSGFAELVGESWMFLSNGDAIAACQYTLQGSKHGGGVPPV >OB01G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17155849:17156529:-1 gene:OB01G31010 transcript:OB01G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTSPTRRLLPRSSCSIFSSLASSPGMAPMRPLWLTSSTVRLVSIPSSGGMQDLSPVFMRMISSSVRDMLAMEAGRQPPKSLLASTSTDAGELPTLSGIWKRRRLELRKMASSGRSKSSRGTGPSKSLNRRSRYRRLGIRSTTSGKAPTRRLLLTSSSWRRCSFSSDRGTTPQKRLELTWNTARSVSSPSSSGRCPARSAWLRSTEATTTRCGSSCDGEQKTPS >OB01G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17156108:17157292:1 gene:OB01G31020 transcript:OB01G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRYLDLRFNDFEGPVPRELFDRPLDAIFLNSNRLRFQIPDNVGNSPASVLVLANNDFGGCLPASIANMSRTLDEIILMNTGLKSCIPPELGMLTSLTVLDVSHNGLMGAIPGELARLEKIEQLDLGNNRLVGDVPEGICHLPHLQNFTYSYNFITGEPPVCMHVKEFDDRRNCIPGRPDQRPAEQCQFFNTHPVNCDAFRCKKFVLPSPPPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSLPPPSPSPPPPSPPPPSPVYYSSPPPPYYEVSPEDRYLSPPPPTAYNKASPPPYYEVSPENRYLSPPPPTYQGTPPPPPHYEVSPEDRHPSPPPPSPVKWKLPVYEYSSPPPPPAATPNP >OB01G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17160863:17161335:-1 gene:OB01G31030 transcript:OB01G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQLSCAWDSCHRLRPADGKATEICFGQCYDGCRTTTTAPLLPRPLRAGAAGVHAAAWPAKSPDVALLAPPDDVDHHVFATVPDNVDHVFTAPPDDLDGHHEFTTRPDDVDHHA >OB01G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17164913:17165625:-1 gene:OB01G31040 transcript:OB01G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAAKVAVLLLAVAALLAAASAQGRRSFAGAARLSSSFAAVRGDDDDDDHRKDDGHWKCYSSCMSKCCDDDDGDKAKAAVSVVGDDDDHDDHDHDDDDEGDYHKCKAECLGGCFKDVPGVCYHKCVADSCIKLPPYSSDRVECFKKCGHKCYHDDDGPKPKPKPSPPKPGPKPKPKPSPPQAEAWALAG >OB01G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17168357:17169022:-1 gene:OB01G31050 transcript:OB01G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGGASAKVLPLALLLLAAEAAAVVVTAAAGGDEAAAGIIIRPPCFHACFDQALPLALLLLAAEAAAVVVTAAAGGAEAAAGIIIRPPCFHACFDQCVPREEYWFCQFSCYHSCFSGAGAGAGAGRFPGDCEHACALSMCSQIDPDSKVMAVCLSTCARSYAVAGCRRRPTASSFTAAL >OB01G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17175117:17179901:1 gene:OB01G31060 transcript:OB01G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTAADAQPEHAPAAEKPPAAPLTPEEAEAETAETGDEDEEEYVSDPDDAPLPAMRRREASDDEGSEEGRRIGSDREDDDGQGAAEAYEDEAYEDDDEEYYDDLGEEVGEGFEEEYDGRAEPPKEVASAQGEEGEKVEGEGAEAEGDGEEKKEHEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLQEERYEDKRMSRGRFRGRGGGGRTRGTGRGFARGGRYRGYNEDINNNNNQNRPQKVVRGRGPRRYEAVAKNNRDVVGFQRKQPARSRESAANASAARESGQTLNVQSETVPLKKNIVNSSLNSASPPFYPSGASNPDFSVAAQRRDNIQAGGSNKIFPSSVRGDDNLKVQSGPSVRGRTTMDYGARDRYQHADGPVRQSPRNGGTSLNSSGFAASSVNHGQSPRAQGGNGIPSNNQSTSSVHQHSRVPISQQSHTSAVHQKSGQVQTQSAMRIPTQQLNHCTGNPSTTQHQPVRSTESGENGLYPSSNKSNAPSGAGKTNNQETGRSSFMYGGAQVIGAAGAVGLPQGEQNFPGTPALLPVMQFGSQHPGGLGVPTVGMALPGYVAQQQMGMGNNEMTWLPLLTGAAGAFGGSYSPYIALDPSFYSRSSGQTSSSVPSRESIPNKGASPPRNDIVNEELDHRQNKPRRYSEMNFSQ >OB01G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17184021:17189322:1 gene:OB01G31070 transcript:OB01G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARGLKKALPWFPRSSEDHPEEDEGSNERRGLLRSHLEQVVPITDLDEELAASDAKEPKTVALKVSMHCHCCARKVEKQISKMEGVVSFKVELESKKVTVVGNVNPMEVLESICKDFYEAREVSLVVLWSCWLMEDDQVLVDPELGAELEQSLVEPVQELEQNLVVEQGLAIGQEFADVHACRRAVKDMAIAMHFELRVVKSDRSRFIAKCAREGCPWRVHVAKCHGVPNFTVRTLHGEHTCDGVRDLHHQQATVGWVARSVEATLRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRFLPAYCEQIVQTNPGSVAIYKGTGPDNSFQRLFVSFHASIHGFLNACRPLLEIDKADLKGKYLGTLLCASAVDADHMMFPLAFGIVDAESDENWMWFFSELRKMLGVNTDKMPILTILSERRPQVVEAVEVNFPTAFHGFCLRYVSENFRDEFKNPKLLNIFWTAVYALTTAEFDSKVNDMVQVQDVMPWFQRFPPNLWAVSYFEGIRYGHFSLAITEMLYNWALDCHEFPIVQTVEHIKHQLTCWFVERQNLALSYNSVLVPSAEKLISEAIADSGCYQVLRANKVEFEIVSTERTNIVDTQARFCSCRRWQIYGIPCAHAVAALLSCGEDPRLYAHECFSVMKYRETYSQPIYPVPDRSQWNHPFSFAQGAGSKAYVVLRPPKIRRPPGRPKMKILKIESLKRPKRIVQCGRCHLLGHSQKKCSLRN >OB01G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17194891:17198175:1 gene:OB01G31080 transcript:OB01G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19590) TAIR;Acc:AT5G19590] MGYDELVDEFFAESGPRQFPYSDHTALRVRGFPRGLLPANVRGYTLDAGSGDFAVDLVSSCRIVLPAGSYLASFSDRLTGRLDDRRISGLSGIRVRAFFRWWSITGIRADGDDLVFEVGSVSAKFPSRHFNASLECPAKADS >OB01G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17209982:17211951:1 gene:OB01G31090 transcript:OB01G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMNPRMVGCGERTLVLSHGYGGSHAIWDRVLPHLALTNMVLLFDWDFSCADEAAAAAEERYTFARYADELAAVMDEMGVSGAVYVGHSMAGMIGCIASVKRPDLFTHLVLVGASPRYINSDDYEGGFDEPDIHAMLATISSDFLSWANGFVPLIAGGDPSTVETLAGSFFAMDPRVAHALARMVFLGDNRDVLDRVAVPCTLVHASGDFAAPPCVGAYMADCLGKRRRAAAAAMVTVDSVGHFPQLVAPDEMLRVLDLVLANAADDEEPADQAAAGKEGSSSGLAIAAEVDVKGDIDVAS >OB01G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17217485:17219151:1 gene:OB01G31100 transcript:OB01G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTILQLVHSCLPQEPVSATTSLSAAFTSGGGDDEDRISALPDDLLRHIVSSLPIKDAARTSALSSRWRGIWRSVPLVLIDRDLFPQRPHVSGGGASRSIWKTLADAVTCVLASHPGPFPHVRLVSNFMDQHGDALANWLRLLAAKGIGDLVFVNCPWPLDLDLPDSILRCASLRRLYLGVCRFPDTTGHPRGPDVFPHLQELGICHSIMKEKDIEHVLACCPLETFALVAGYCTPSRVPIESHSLRCVMRWWSMFEELAVVDAPCLERLILWGTHAGEDGVIKITIGYAPRLTVLGYLDMGSNALQIGGNTVIKAGVTNVSPTARVPSVKILGIKSHIKKVVFDQFRGGINELEFIKFILERAQMLQKTVFLVDAENLTIVDKATSTLKSLVSTDFASASEECALSMIGRRGGHPALSYRRASDLSLSDPFFVSKEM >OB01G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17224296:17225791:-1 gene:OB01G31110 transcript:OB01G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVALCRLPPYVDDDLDGQGQRGAVFLPSLRELVVCEGYFQADDLGRLLVGSPKLELLSLINAQGMPSSMDIVSPTMKSLVLCVFHTQELNLLDAPSLERVIIWRPSILVFANISLIKITGAPGLRAIGYLDTNAHAIQIGGTIIKAGTKVISPLLTIPSVETLAIKVRFGSKGEENTLLSFLKLFPNIKTLYAAAHPSPSSSTSPDEHDVDFWMKNLRSILCVCSQLTKFTFYNLHGVVLSDLAFIKAIMGSARLLKEMRLFMSDEIFFHGTFDTNEEKETALRNYLSESEIGWASDTAKLDVFPYDGAKLKYKTVSDPTRDDPFDYMS >OB01G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17225375:17226226:1 gene:OB01G31120 transcript:OB01G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEVPNCAKAGRAGDLDQRDVSKDEYARPPDDHTLKGWRVEQVELLCVEHTKNQAFHGGAHDVHGAGHALCVDQREQLQLRGADEQPAQVVRLEVALAHDELPQAGQEDGSSLALAVEVVVDVGRQAAERDGEAHHGGAGEDLRREGDAAPAAAVDDDEFFGAAADPVPEAVVVVEVGAGEPDGAEGARVGVDGAGHLVHHHGVGSEALGAGGGGDEQRVVEDEGHGGPDPGPGTGERRGAGAVGGGQARHDILEELARELADAVARARGEXXXXXXXXXX >OB01G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17236642:17238578:1 gene:OB01G31130 transcript:OB01G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVLKLRRLFLSNTTDRRRTATVDSTSNGSGEDHISALPNSLLSEIVSRLRITDAIHTTTLSHGWSGVWHSAPLNLDDSQLRRRPGEERALDELSNAAMVARVSSILSSHPGPFRSVRLTCTGMGSHREALNSWFGAFAAKHLEELSFLSLQHPDNVTVPGDLFRCESLRRLHLGGVRLPAEAAGIISRAGHGHILRELREICLYRCILQGSDVETLLSCSPKLEELSLVSSSSCGRPLRMRVHSHSLRCMLYWVSSPEELDVSAPPLERLILWNDDTLQWSGCKKIMIRSAPRLRVIGHLSPGDHVLQIGDTVIKNGMKASATTVVPSVEVLAMTVRFGLRKEEQMSIAHTQSNDEDNMEFWKNVDSIQCVRSSIKKIIFDDFSGEECELAFLTSIAQNANLLEEIYIIPSKKDFSAGIALGNVINDLFSSILWTSGYCRVEVLAGATNAWNYQIASDLSLCDPFGYAISINDNIVKLGQ >OB01G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17243006:17245057:1 gene:OB01G31140 transcript:OB01G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLIRKPFRRVFGCFSAAGGSSSSRGGDAAAPEPVLAGSLHTRVGGGEDAIGGLPEQILSTIVSRLPATDPARTAVLSSQWLRARSSAPLVFQDSDLILAANFTGVAPVAAAVTRIIESHPGPFHTVTLTSYFPESERATFVGWIRTVAAKGVRDLTLHNIPWSGLYVLPTDLLQCCGGSLERLHASVWRFPSTAGVLHRGVDGGTPPPSFPRLRELVLNRCSIEEGDLENMLACSPALQTLVLVYSWGAPERVRLVGGSLRCVVLCQCVARELAVVAAPLLERIVLWCSSGPYCGYLMRIRISRASSIKVIGYLKPSSHRLQIDGTSMKPGLRTSPDELAVPSVKILGLQVRFGVADEAKMVSYLLRCFPNVETLHLMPVKDPQSPTHLGDFEFWEEISSVECVRFSIKKVVFHGFSWENSEIAFIDSVIEGGTMLEKVCIFFEHRCGTISDDELNAKMTMVASLSIGLGRAEVIFSGEDHPWHYKKAADLSRDDPFDCCYS >OB01G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17252766:17254712:1 gene:OB01G31150 transcript:OB01G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLDEPLLRGKDEEEEKLQDDEVFNDVEEQRLLPRCSTGGASFYSNGSASFWRSCLNLSNVISGIGMLSVPYALAQGGWLSLVLFAMVGAICFYSGKLVDRCMRADRSVRSYPDIGHLAFGAVGRTAIGVIMYTELYLVAISFLILEGDNLDKLLPGTTVELLGYQLHGKQLFVLLAAAVILPTTWLKDLSMLAYVSAVGLVASMALTASLVWAGVAEKGFRMDGTSLFNLSGLPSTLSLYFVCFAGHGIFPSVYASMRTKRDFPKNGFRTGGGNLLNLSGLPSALSLYFVCFAGHGVFPTVYTSMKRSRDFPKVLLISSVLCSLNYTLTAVLGYMIYGDDVHAQVTLNLPTGKLYTRIAILMTLITPLAKYALVIQPITTVIEEKLKRSATTMPMPGNNGLGRVFTSTIIVITMVALACTLPFFGYLMSLIGSSLNVTVAVLFPCLCYLKIYMHRGIHRLEVAAIIGILLFGVCAAVIGTYTSLHQIIGTF >OB01G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17257446:17258865:1 gene:OB01G31160 transcript:OB01G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKVAASALGEPLLLPGKDQAGLADDVEAQLTSYHAGASASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFAVVGTVCCYTGTLIERCMRADGSIASYPDIGRFAFGAVGRRAIAFFMYVELYLVAISFLVLEGDNLDKLFPGASMELLGYRLHGKQLFIVLAAAVILPTTWLKNLGMLAYVSAAGLVASAALTASLSQVTLNLPSGKLYTKIAIVTTLVNPLAKYALLVAPITAAVEEKFSLQHGGAPARAAISTAILASTVVVASTVPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKADGIRRTEMVAIAGILLLGVFVAVTGTYTSLQQIISTF >OB01G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17257659:17257999:-1 gene:OB01G31170 transcript:OB01G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLSQVVGSMTAAARTMNSCFPWIPEELHARAGEELVKVVALEDEEADGDEVELNVHEESDGPPADGAEGEPADVRVAGDGAVGAHAALDERAGVAADGADDGEQQQAEP >OB01G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17261162:17261419:-1 gene:OB01G31180 transcript:OB01G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGEKKCLAAAVLATASFAVELAQAAARWGGELGGSPARRASPSGSPLGRERHGRIRPTPTLPHFNYQSNYTFKLKKSEDRIT >OB01G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17264299:17265807:1 gene:OB01G31190 transcript:OB01G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L1L3] MGMADKDEQQPLHVLFLPFLIPGHLIPVADMAALFAARGVRCTILTTPVNAAVIRAAVDRANAALRGGTGGGPAIDVAVVPFPDVGLPPGVESGTALRSEDDRGKFVLAIQRMREPFDRFLAEHRVDAVVADGFFTWSVDAAAEHGVPRLVFLGTSVFARSCNESMVRNNPVEACPDDDPDAVVSLPGLPHRVELRRSQMVDPKKRPDHWVYYKTMYDADQRSYGELFNSFHELEPEYVEHYRTALGRRTWLIGPAAVASKDVAARGTSELSPDADACLRWLDAKPDGSVVYVSFGTLSSFSPAEIRELARGLDLSGKNFVWVISGADTTTDAPDWMPESFAGLISPRGERGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLIADVLKVGVGVGARDFASNTEIHRAIGGEVIAEAIGRVMGDGAEGVAIREKARELGVKARGAPEKGGSSYDDVGRLIDELMARRSSDDVRDGNSR >OB01G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17272277:17272456:1 gene:OB01G31200 transcript:OB01G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVNSLSTQILWWPL >OB01G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17274379:17276917:1 gene:OB01G31210 transcript:OB01G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPAKQVGRSTDSLNPREAVALAAETSFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNNKKNLFADRVFDLFDQKGNGVIEFGEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDITMVFPSFVIHSEVTEADMIA >OB01G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17279894:17281909:1 gene:OB01G31220 transcript:OB01G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCPRRTAPPGSPARGPAAEESDIYSAALRPRRNMPRRCKFRSSCSNHLRANLISCDNDATCHTPPFLLLYTPPTALSLRTPAAATAAHGAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVRRPARRLPRGGRGPPPLPGRVLAVRHVLRPERPQGGEGPDRVDALRGRRRGRAAAGELPDPGGLEGHVLLRLRRDRRRRVHHRQHPAAGLPRGVRRRRPAPRLRAQGLLKSQHALRKTN >OB01G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17291776:17293585:1 gene:OB01G31230 transcript:OB01G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein [Source:Projected from Arabidopsis thaliana (AT4G23950) TAIR;Acc:AT4G23950] MPLEAYIFPTQYNASAPSTCQPSPYPPPLQEADHGSLEPSNATGSNSSAGAALDELDEFRSRILQGEGDNGTGAGRGRHVPDSAGAAAHRLEPSGAEYNYAAASKGAKVLAHNREAKGAANILGGDKDRYLRNPCSAEDKFIDVELSEETLVRTIGLANLEHYSSNFREFELYGSPSYPAEAWELLGRLTAENAKLAQRFVLPEPRWTRYLRLRLATHYGSGFYCILSYLEVYGVDAVEQMLQEIISGAGPDADADASKLGGGGVLRNDTALPRHANDRLDGVGGSGAGRNDSAGDGAKDNGSRVAGEAKPATGRVPGGADAVLKIMMQKMRSLELGLSTLEEYTRALNHRYGARLPDLESGLSQTAMALDKMKADVRGLVEWKGNMAKGLGDLEEWRSTVSSKLDDLIRDNAAMRSGVEEMRSIQETMQNKELAVLSISLFFACLALFRLACDRLLLLFTGKGAGRTACGASKGWMLVLASSSFTTLLVLLYN >OB01G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17293770:17296750:-1 gene:OB01G31240 transcript:OB01G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAQLRSKAAQASEFVSKHGCAYYKEVMEKNKQHVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKELDSAKQLWKNRKDLKVEDLGIVTLFGVELYAWFCVGEIVGRGFTITGYKV >OB01G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17302741:17309905:1 gene:OB01G31250 transcript:OB01G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:J3L1L9] MPALEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDSVGRKEAEKADYSVSRHPEYRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQSANGSLSLLSTNDKTIKFWKVQEKKIKKVSEMNLSPSNAPANGRPAGSNAFGSSAYVPNGGISKPGGLNSLRLPVVVTSQETSLAASCRRVFTHAHDYHINSISNNSDGETFVSTDDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQLFEQHEAPGSRSFFTEIIASISDIKFSMDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGFGTGNNEAATLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGADSTGIDANGNSYDLTTKLLHLAWHPSENLIACAAANSLYMYYA >OB01G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17311344:17314651:1 gene:OB01G31260 transcript:OB01G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSIVVDFPSMGSACCFPSLESLLRDSASRFLAAVSAAPDPDLTNFRSLFSRVLNTYPDPPLEAVWAVWFFSALSFHDSPDDLRGLLHLLSAFTASSQSAAKPLALLAPVISELFHSAKPRRETEALVEAVLSYVSICSSRAPAGGEGASADAGGLLPAFGELVKVWSVRHSRDRCPFQVLFPLVGEDARRELMREGCSVVFLAGVVVAEAFLLRLCLKVQGAAGVPRAELQKELRIWAVSSISVFQNKQFFEVLLNMLVNPPLPVYSLLSADDEILVRDILYDALILVDYSFINKGAEVDQADSSLLPLFVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFVKWATRQAGFNQLSKPAAITPQALLKWLVELEDKGFRVFGDNVSRIRERLMYDERRNGYQSRMIHSDADLFFIDKQNGGEVMDTRAGEDEEAAEMETADYAFMAAAQSMKTNGMRKRKDCGTEDANVVKFVKYKVEDSSVKDYFLSGNNGVSSGSEVENPQSDDEMEETN >OB01G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17317219:17319387:1 gene:OB01G31270 transcript:OB01G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKCGRLSDARSVFDGMPHRDVVAWTAMISAHTAAGDAGSALELFAGMGEQRVVPNEFALAAALRACTVGSDLGFTPQVHAQAVKLESLLDPYVASSLVEAYVSCGEVDVAERALLDSPARSAVSWNALLNEHARHGEYTRVMLVFDKLVESGDEISKYTLPTVLKCCTELGLAKSGQAVHGLVIKRGPETDSIVNNCLIEMYSKCQSADDAYEVFVRIDEPDVVHCSAMISCFDRHDMVPEAFDIFIQMSDMGVEPNQYIFVGIAIVASRTGDLNLCRSVHACIVKSGFSRTKGVCDAIVSMYVKAGAVQDSVLAFDLMHGPDITSWNTLLSGFYSGNNGEHGLAIFKQLICEGVLANKYTYVGILRCCTSLMNLRFGCQVHACVLKSGFQRDDDISRMLLDMYVQAGCFTNARLVFDQLKERDVFSWTVFMSTYGKTDEGEKAIECFRSMLQENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWSSSVVSSALVDMYVKCGNIRDAEMLFDESDTHDLVEWNTIICGYAHHGRGYKALEAFQEMIDEGKVPDEITFVGVLSACSHAGLLDEGRRYFKLLSSVYGITPTLEHYACMVDILAKAGKLTEAEFLINDMPLIPDASLWKTILAACRIHGNVEVAERAAEKLFEIQPDDISSCILLSNIYADLKMWNDVAKVRSMLVDCGVKKEPGCSWIEINGKIHVFLSQDGFSKY >OB01G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17317462:17321217:-1 gene:OB01G31280 transcript:OB01G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNPLMDRMMAELHAQGRSADDIRECLRSAPLDAHVLSAIRTASALGCDLRVVSDANAFFIETVLEHHGVLGCFSEISTNPARVDGDGRLRISPFHDPDSSPHGCSLCPDNMCKGKIIERIQATANGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENHPLWNLISSNTQLLKAEVHPWKNGEELEKTLLKLVNKVINPPAQASQFECKCDMSNPVSTEVGHHQALRVPH >OB01G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17329208:17329977:1 gene:OB01G31290 transcript:OB01G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3L1M3] MAAATMALSSPALAGKATAKVFGEGRITMRKTAAKPKPAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELGGIHCPXXXXXXXXXXXXXXXXGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OB01G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17329582:17330016:-1 gene:OB01G31300 transcript:OB01G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPWQWQQGRRRSLAGDEVGGVGPGVVVDGVGEVVGEVLEGALAGDDGLHEEAEHGEHGEAAVLDLLDLELGEGLRVVGEAERVEAAAGVERVDDLAERAAGDAVALDGAHEHDLAGPDGEDALRVDQARVAEVVEPALAEDL >OB01G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17331166:17333917:-1 gene:OB01G31310 transcript:OB01G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKVIRSSISKVRVNQIIGTTILEKQIVHGALCKLWKDDYIDTEDFLDYFLERSFS >OB01G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17333784:17337301:-1 gene:OB01G31320 transcript:OB01G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGGGFHGRGRGRGRGRSASAENDMDHHETSVPSSPSATSEDNVEFTSQQSPLPCIVSPAEHVSSTLLNPKINHRSDAIFGDQAVEQLKLRHHKPLKFHERYRPYLRDAGLLGLSQICQKMPQLDKALITALVERWRPETHSFHLASGEMAVTLQDVAMLLALPIDGRPVCSTTDHDYGQMVIDCLGHDPRGPSMPGKSFLHYKWLKKHFYELPEGADDQTVERHVRAYILSLLCGVLFPDGTGRMSLIYLPLISDLARVGTYSWGSAVLAFLYRSLCSVASSHNIKNIGGSLLLLQLWSWEHSHVGRPLVRSPLCPEKGIPEDVPPVGFRWVGARAQSENATRCLKQYRDELNLQRADQVKWEPYLHIDSSSLPPLCTKDADLWLTQAPLINFPIVEMYLPERVMRQFGLRQSIPPPFRPTLQALHRISRRGRERENWEETHHEYIQEWEARRQRIFRESGHYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDMQYQAKAPMIRKAVDKLHGMVKKAKIAMTSTADTSTQALVFEFLHGFQDVLHDLGEIKENGGSAASPHVESAATQDMPLLLIEAEQNIVGGDQEAQHQEEEELHMVDDATMSLEPMDEENNGFNNVICSCPSLELEVTPGIDECDTATPVPDSAVPHQSTDVDQDGYLENPNEMDQIVLMVEPICANDNGSNNVLSSSPSAQALEENCEVVKATNENVNSATQATDSSTPQQGTDVELEAEQENPGTREGN >OB01G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17341243:17344583:-1 gene:OB01G31330 transcript:OB01G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREPLWHGVRQGDGDDGHRQLRHSFGDRDSSPWSELWTDGLVCAFEFVGAGSLSLSRSSSARSRDGLAAVDPGRATPVANKIGYASCQGKLLDGFSRFSFRVSALRSTRNVRNISWRITQLVEMVGGDAAWDGRRGTSTSLMEHVDGDECDFTVADVAAPYWQRPAGPTWWCHVMAGHPAVDAWLATARWLHPAICVALRDDSLLISEKMKHLFYEVPVRVAGGLLFELLGQSVGDPAREEEDIPIVLRAWQAQNFLITALHLKGPAPNINVIGVTEVQELLSACGSTAPNSIHEVIAHLASRLARWDDRLWRKYVFGAADEIELKFVNRRNQEDLNLLCLIFNQDIRRLATEVIRVKWSLHAREEIIFELLKYLGGNTTKSLLEAIKKDIRQMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLTIFGGCGLVLSIITGLFGINVDGIPGAQNTPYAFALFSGLLFLVGFILIIFGVVYFGLQRPISDEQVQVRKLELQQVVSMFQHEAETHAK >OB01G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17348065:17351341:1 gene:OB01G31340 transcript:OB01G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFTMARGMKMSTGENLQAGLLSRTPPFGLRLWIVLGIIIWAAILFVAGCICFFLIYWRKRGNRFGDVAEPEIPDVTKEIAVDEVRNRAAAENIHGQETQALSLKEMLTRKSSRKMLAHFLSCKSSDNHNLVGCSSMYQNDKAQCSYPSDEGTSGHNEREYSQYAATSISPQLGLPEFSHLGWGHWFTLRDLEHATDGFSDDNIIGEGGYGVVYHGHLINGTDVAVKKLFNNIGQAEKEFRVEVESIGHVRHKNLVRLLGYCIEGSYRMLVYEYVNNGNLEQWLHGAMSQHGVLTWEARIKIILGIAKALAYLHEGIEPKVIHRDIKSSNILIDKDFTGKLSDFGLSKLLGTGKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLEAVTGRDPINYGRPTDEVHLLEWIKLMASSRRAEEVVDPAMEARPTKRQLRRVLVAALKCVDPKADKRPSMGSVVRMLEADDVAPSISRQDRRSPRGQCGDGDASGREPSGTSARYSSTAFV >OB01G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17359202:17362409:-1 gene:OB01G31350 transcript:OB01G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFRCIHVLIAIALLLFTPVISNSNPNPNPASADANTIVGCIPSERSALVRSKSALSDPGGLLSSGKGDDCCQWKGVRCNNDTTGHVVELNLSGGSCNTVAPCDPPGPGLGGSIISPSLLGLKRLERLDLSCNNFSGRVPEFFGSLSGLVSLDLFQSTFAGMVPPQLGNLSNLRYFSLGSNDNSSSYYLYSTDVSWLARLSSLEYLDMSFVNLSTVVDWALVVNRLAYLRLLLFSGCQLSSSPGSLPYSNLTSLETLDLALDNINKRITPNWFWHLTSLKYLDISDSGFYGPFRNEIGNMTSIVDLSLPGNLVGMIPSSMNNLCNLERFDASATNINGNISELFKRLPRCSSNKLQDLFLPFCNLTGSLPTAEPPLSNLVSLDLRNNNLTGHVPLWLGGLTDLTYLDMSSNKLDGVIHEGHLSGLAMLDRLRLSDNLIGISVNSGWIPPFHLTEIQLHSCRLGPKFPTWLKWQTRVINLDISDTSISDIVPDWFWTTASSVLHLNMRNNQISGVLPSTMEFMGATEMDLSLNQFSGPIPKLPINLTDLNLCKNNLSGTLPSDLGDLTLLVLYGNSISGVIPSSLCKMKSLMLLDISGNRLTGPIPDCTVNPSSRNSTSLNIYNISLRNNKLSGKFPSFFKNCRNLLFLDLSYNQFSGALPAWIGKNLSSLVFLRLRSNFFSGHIPLELTRLVSLQYLDLEENNLSGSLPNSLANFNRMAAKKDASPQFFDATTYYNQYDGNILVDYTENITVVTKGQERLYTGEIIYMVNIDLSGNNLTGEIPEDVCTLVALTNLNLSWNRLSGQIPRNIGSLSQLESLDLSHNFLYGEIPDSISSLTYLSHMNLSYNNLSGRIPTGNQLNVLDNPASIYVGNIGLCGSPLPINCSFNGEAPPSIPKVYVDKLDKVSFLLGTTIGFLVGLLTILYLMVFSRRWRNTCYMFVDGLYDKTYVYIAIALRRL >OB01G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17367101:17371431:-1 gene:OB01G31360 transcript:OB01G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRGTPYRFPASDLAEEAELNRAAWARPLPLRCHDIAPRVAPFLHGALGEHGKPCVTWFGPTPQVIVTEPHLCRDVMSNKFGHFEKPRFPELSKLVAKGVSYHEGEKWAKHRRILNPAFQLQKLKCMLPAFSECSEEMVSRWMESIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQTEQIERAMKCFQKIAIPGYMSLPTANNRRMHQINKEIESILMSIIGKRMQRMKEGESTKNDLLGILLDSNTRCLEDNGQSSQGLTIKDVVEECKLFYFAGMETTSVLLTRAMLLLCMHPEWQDRAREEIIGIFGKQKPEYEGLSRLKIVTMILYEVLRLYPPFTELSRKTYKQMEIGGVTYPAGVIINLPVLFIHHDPEIWGSDVHEFKPERFAEGISKACKDPGAFLPFGSGPRICIGQNFALLEAKMAMCVILQRLELELAPAYTHAPHRIVSLHPMHGAQIKVRAI >OB01G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17405474:17409722:1 gene:OB01G31370 transcript:OB01G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAQQQQQLLLQRKGKAVAEKGGGGATAAAAEKVVVAVRAASREISKTALMWALTHVVQPGGSILLLVVGPSHSSGRKFWGFPLFAGDCASGNKTMLDQKDISELSSQMMDKLKNVYDPNKITVKTKVVPGSPPGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPILDSSVGKTATDVKEPRPSIRGPAVTPSSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEVPATKDRVQHSDINISDSESETLSPPASFSLQPWMVDILQGSALSRSHGKGPRKARTPTADALLENISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGRNKETLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDYEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEHAIDELIDPRLGDLYCENEVYCMLHAAKLCIRRDPHSRPRMSHVLRILEGDMVVDSATVSAPSSDSGSRSWRMLNEQQNSRDWSPARQDSHRAAQGKNSYDSLRAAWDRNKQSVSHRY >OB01G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17410050:17410226:-1 gene:OB01G31380 transcript:OB01G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVTGYAQYLWGNSAIFCITLAESLSPTRHHYMSLATLIAESDRFLHVFIDIRRKLI >OB01G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17416317:17420120:-1 gene:OB01G31390 transcript:OB01G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNNQFDLLVDVDNDDPSHLIAAAEKMKKAAAAASPAPVVQARLPTKPPPPAQAVKESRNYGAPARDGAGQNGPGHANGGFRGGRMGQRRDFGEGDTNGASGFRDGIVRREEGERRPSERGRGPRQPYRGGGRRGGYTGGEAGDESGRAPYRAYERRSGTGRGYETKREGAGRGNWGTVTDESLAQESGEVVNIEVAAAVTEDETKQEDVPQSEVEKRKEGESNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKAEERKVVVDKELQSMQQLSVKKDSDEVFIKLGSDKDKKKENVERDERTRKSLSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRDGYSSRGPVAAPAIEDQAQFPSLAGK >OB01G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17430044:17432043:1 gene:OB01G31400 transcript:OB01G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSAGAAGQRGDAMLCDGGVVGGGGGVGGGGGSGLRLFGVQVHVAAGGGGGDGGGGGGGGSLSMKKSYSVDCLQLAAAQGSLVSPSSSSSSSMLLSIDEGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLDKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMVPICENGARVSEQLSSEGASSASLSLMNAPRHELSDRAAAIDLNSTEEDDTVVVSSASGASSARPLFPVVLMEPQQQQQASHHGHGHHHHCTPLDLELGMSLSSTPSIGT >OB01G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17435478:17435636:-1 gene:OB01G31410 transcript:OB01G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLYSWVLPFIHDTFEALEKIYLLSSFNYLKHSIITTKSVDIGNVLTLIYVH >OB01G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17441136:17441531:1 gene:OB01G31420 transcript:OB01G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSSIPPFPLLSPVKLSPELCHRHRRSCCSASXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPPAGAPPGRRRRQIQAAAASPAGCLPAVATEGSGTPSASRRRISLSQMEPAIPTADAHPPWPAASLS >OB01G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17445259:17445435:1 gene:OB01G31430 transcript:OB01G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSIDRFGGCSCAHVAITPQILFVILSLSNICTWRGWPLFSKNMFKGCDWISGRYH >OB01G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17447027:17447359:-1 gene:OB01G31440 transcript:OB01G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGNGTVEFDELASSLAELILGPCRPAVAVDQAELAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGVISFQEFTAIMAKSALDFLGLAAL >OB01G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17449190:17453796:-1 gene:OB01G31450 transcript:OB01G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAEVSTGSGGAGAADPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRHIDPDLIVEPMSSTSSTQSTKPAARSSATPSSENVRARDSGSSARSTTASQPSQPERTANSLRLDGRTMHFSINAWILVVSSLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAVQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNLSHSSLYRRYLEEPCLWVETNNTTVSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHGYAPFLNTPISAVQRWWLR >OB01G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17462250:17464394:1 gene:OB01G31460 transcript:OB01G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGVGYLRSRMSSQHTVVIEETEGWTSNQLYDAVRTYLATRINTDMPRLRVSRVDEAKSMMFSMEEGEEMSDAHEGSEFRWRLVCRDNAGAGAGNGNGRGGNGNYRLEVRSFEMSFHKKHKDKALNSYLPHILATAKKIKDQDRTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKLKQSVMDDLERFIKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVELQQREEGQESSKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDSHATYPEIEELIKEVQVTPAEVAEVLMRNDDTDFALEGLIQFLKSKKDVGKGAKAENVEQVVKAEETEKGMMKKIDVPENQEPKDASKV >OB01G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17462975:17466210:-1 gene:OB01G31470 transcript:OB01G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAYGCSAALPFGASISCRRKPPSRVPLASFSSSKRGALAPGCTSLPIAVCFPLVFGLGVFLSLCALSPRRLRFSCRRRTRAVSACSGDADSDAAAAPAIYANSQKGFQNLKLNNSGLVFNNIFVRFTISMSCKHLSSDSWNSGILTNHYVGMALMASFCLKLQEGTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTVFLQCGGCQVYHKFVDNLGLVVEYDLREDNGVNTDTEA >OB01G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17474576:17475013:-1 gene:OB01G31480 transcript:OB01G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVNGSVFRCVSIHNGNLLKSDVNRWARQSVEAWLGVGHLDADAGAANLGGEQSAEPVEVAPVEVVGDPGAAVASELVDGGDERRAEEETQREHAQEEATAHGLHPLRALVDEEVEMADVGEGLV >OB01G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17482478:17482777:-1 gene:OB01G31490 transcript:OB01G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAALEGVRREVGSAAGRFAADETGTGRGWRWREGEGTPDPPSVSWRHLLRAGEMGRGAASRATTGKGRRGGGGVCRWRHEAKGKTILFLINFTEKYT >OB01G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17487003:17487890:-1 gene:OB01G31500 transcript:OB01G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDDDLLGQGPCHFTLQRFPDSVDGASPGRGNMGGSQCFSGRPNGSAGGLQHQASRCAAMRPPLMATKELGKMTMMPLKATKIMEGSRRLLIGECEDNARRQRSRREFSNRAKASAKQPVGCSSPTASTGPVYWAGPRWASLMPPLAAWAGPSWSQRLLLEQLGRRKESPTSMRPKKLRTSCHSGAPTPTRPRQFNPTLPALVTNFIDSIISSPVPSVLGRLPTLVPFAKAPRKIIPQDFTPHCSARINNQGTGACKHVVSKAQQVMMKKLGVDAGEDNTDTEAAACYASLFC >OB01G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17497056:17499847:1 gene:OB01G31510 transcript:OB01G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) TAIR;Acc:AT3G24515] MAHAARLNLRMQKEIKLLLNDPPHGVSLNLPGDESALSSLLSFEARIQGPDETVYSKGIFVLKIQIPERYPFQPPNLTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNRQVFDTNARSWTEKYANPSAIGASGWSSVDISIMAPNIQEEKLSIELFPKVSNKNCEGSRKKMRLLGQKLSLKSVPEENSTSGQKDLVVNHLSSTTISSVPTTCLTDVSGKLNDASESISDIADRTVVTSKEEYQKNLQLLEQGLVTSECPSKNSSGSVEGKLPNHLLVSASLSTQHLVMKSSNNVLEKSSAKSIGESLDSLYKASEGDRANLRSLGQKLSLKLAKPENKSNDQKENMVPKHLHSLSDFNNLQKIPSDVISRKNYIGHTNPVQQNSDNEHVLPNTQLIPSKESNQGRKKLHLLSKRLSLKSVLPADKSSEKEYVPTDCSQNDRKPNELPLSAPVLKSRIFGIAGPQKDARQSNSSIQQDKTPMERIVVSDSEDECERPSRSRLSLMRRRLAGKQRS >OB01G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17500477:17501842:1 gene:OB01G31520 transcript:OB01G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRLRVLAVVLVLIAAACSESPANAQRPPPGVCAALGSPLIGHDGHIMACSGKNLVAFERNGSTAWIAPLGHTCKEDISPVAEGEEIYLVAEDKVIKITPKKLHTADPPSEVFFSYNSTPGRSEEIIGLATSGVYSSLLVTIRNRGLFSFSLRTGLQWSAGPMLAYFDCRLGCKTNISGCYFISAPVVDQWEETVYLSNTEGKLYSLYIRSG >OB01G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17507314:17510646:-1 gene:OB01G31530 transcript:OB01G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18550) TAIR;Acc:AT1G18550] MSCPIKKAAPAALPAPAARKLSLGGGVAARLKAAGEAAAGNSDAAGSRILVFVRLRPMSRKEKEAGSRSCVKIVNKKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDTTTQAEVYSTTTSDLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFTKVRQRSHDGSHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVVVEYRSMDGGSIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVFKVPDSDTDQAKLVLELQKENSELRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILAGNCLNTPDSKRPAADNGLVRDLQRKVKTMEAEIEKMKREHLLQLKQKDEFIRDLINRKGSNGTEAATCERRVATRASVRKAQKDAATAGELKSPSHRFTSPAPTAKKRTFWDIGGNSPSTLAVNGRKTRSHVATETPKGTSMLLQPGFARQRAIH >OB01G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17515964:17520555:1 gene:OB01G31540 transcript:OB01G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like [Source:Projected from Arabidopsis thaliana (AT1G48950) TAIR;Acc:AT1G48950] MAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAAQQVEKAAAVFSLKLDSGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTVKDLDETFQDADTYYQALKIISLCGWEPRLLPYAVDCGIKSHSDANSTSTLPQPRLLNNSMEDRVVVFSPNELDGSPATADPNQEDQYYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTDGHTGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSRGNFIPSGSDGHIVPVASHASGSTKRKRSMDESHMLEGNNTISNDADTNTKGADHQRDNSVNGMPNLVANTENHQGGSHSDKSKVTSTGEVSNEEPEAGHAAIRSLTRTDTELGQSEPRSPPAGDSSIARGLEESCSKNSRPVQAAKFTKSSVSRGTAACQPSGKQGLYDKLNEFDPMKQHRTFCPWICPDDGEALPGWRLTLSALLSQDKRSDGDSQVEPQISFLNEEDDPVASVRKLFMTPPSKKLRIHQAEKG >OB01G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17522386:17524272:1 gene:OB01G31550 transcript:OB01G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3L1P9] MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGVGLVVEGIYLIIFFLYSPNKKRLRMMAVLAVEAVFMVAVVLGVLMGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDLYVTIPNSLGALFGAVQLILYACYYKSTPKKQATKDVELPTVVSGPGAAAGANAAAGNISVTVER >OB01G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17528830:17529054:1 gene:OB01G31560 transcript:OB01G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLHPRMGGEPVVVSAVLPEALGKLEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >OB01G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17529394:17529707:1 gene:OB01G31570 transcript:OB01G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWPEETFARLRYLLGGLRPIENGLTNGTPLLKVVRAFPGSMAWVTYFSAVAPGMSLVEKQWLVHGAHTSALQCLVLGPRLEVFSLPLLTLKKQGHLVSLNL >OB01G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17533668:17534130:1 gene:OB01G31580 transcript:OB01G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKRKDVEEFRADPYLATLLNCMLWVFYGLPVVHPNSLLVVTINGVGLVVEGTYLVIFFLHTHEKRSMIVGILTHPRFLSVH >OB01G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17534182:17536232:1 gene:OB01G31590 transcript:OB01G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVLAVEAVFMVAVVLGVLMGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAVQLVLYACYYRTTPKKQPTKDVEMPSVVSGSGPGAAAGNVSVTVERHDASCRHSLSWTSWYRTTTGTAGGERA >OB01G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17553345:17554474:1 gene:OB01G31600 transcript:OB01G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYQCKEILKIQKFRRMVSYAGFYCFTTVLTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >OB01G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17557019:17557930:-1 gene:OB01G31610 transcript:OB01G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLPLLLLVLAAVAPGPSSARHVVPFPPARGVSPAALAWDPTAQHFVVAGGGDAGLSGSDAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAEAGVRAGGGRRRQQVADRGGGVEEGRERGDVGGAHLRRRRARHLHVLEGPDAAARRQHEQEDQIVTTL >OB01G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17559480:17559955:-1 gene:OB01G31620 transcript:OB01G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTRMLAFMLLLTLGSLAVVAVHGGRTHAMEALSLGGEDKAAAAPIQPEIDPITICSPSNFCVPEAWSSCYRCIVKPYDNPPFLTLDDCKRSCPIPPTTMSPAHA >OB01G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17565684:17566886:1 gene:OB01G31630 transcript:OB01G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGRRRRRSMRPAGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSCRVDPEEAKNRFQQVHEAYQVLSDEKRRALYDAGVYDPLDDDDQEDVEGFHEFLQEMVSLMATVGREEPVYSLDELRSMLDGMMQDFSSSESPSPGGFFAGAAGGSSPFAEPRAQQPRGSASTHPHPQGVGSSACLSRMAFPSY >OB01G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17568231:17568644:1 gene:OB01G31640 transcript:OB01G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPSLGRVMDDSKCKCLMCVCDVDPHPLPPELPSHHHPAPPEEPEPEPTPVYHYPPPHAEPAPVYYLPPPGQPAYGGQYPYPSQGQSPVLGIVGTPTVMYPQYIRAAPPLAGAGATTGSVRVSLPPPCSCPAYCH >OB01G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17569187:17570684:1 gene:OB01G31650 transcript:OB01G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding XVALVAPPARPAPAPTEACCAAFLRGVSPSGGGEGCFCHLLRDPLLLGFPVNTARLGALLPTCAAANASADAIVEAATLFADTCRDLKSLPEMRFLPDTSPTPTSSPAAVPGSVPLTPEARSTYVPAPPQDRSASETSSPCRIFLLVLLALAAAAAADLIQR >OB01G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17574034:17575222:1 gene:OB01G31660 transcript:OB01G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRRGGCYSRLAGDGEAAAASGVGCGSGDDDELKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAFTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWAPFASWLTGWYVHYLALSRSFIQGKGLYFVLC >OB01G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17579680:17580012:1 gene:OB01G31670 transcript:OB01G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASHRSAMAYAWPLFHFLFLEKKICSCGVNHRMLVLALITIESNPEHSPAFSYNNRLNFVKETPQNNIQRTCRGACVRNRWWMGTSIRKTPSFFSKKTSFFSLILTIIY >OB01G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17583130:17586836:1 gene:OB01G31680 transcript:OB01G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKSPAAADGGDSGQARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLAFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLGTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPISWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENTAGIHNNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLSADNDAGGYAIAEVFYLAFKSRYGSGVGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPILFRVTLARKHFVRGPFNLGRFGVAVGWAAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >OB01G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17589091:17591845:1 gene:OB01G31690 transcript:OB01G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68185) TAIR;Acc:AT1G68185] MAAAAADEEELEPLFDYSRVQPTIAFSFDDTDIENSDIFVHCNKRRKAAGVDGDANPNGGSEAVQNADTAPRAAAVVDLGEEDWLPPPPPKTKCTVRPELEESSVLRELRLQKQAMAKFAESADDFLEKVVQTAKQKVQARIPTEHIDLDNSSERQVENARQKVVITIQDKAGQQQFRIYKDEKFDKLFKAYAKKVNLSLADLTFVFDGDKLDPASTPEDLDLEDEDMIEVRHK >OB01G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17593265:17605705:-1 gene:OB01G31700 transcript:OB01G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerisation motif;WD40/YVTN repeat-like-containing domain [Source:Projected from Arabidopsis thaliana (AT4G32551) TAIR;Acc:AT4G32551] MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQHMKAREQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAAQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLIRQNQSTANVMATKMYEERLKLPSQRDCLEEASIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQGIKTEMNPILTPRSAGPEGSFMGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLFQQQSNSQQHPQLQQPAVSSQQSQNSNQLLQQEKPGIGSMPVDGGMPNSFGGADLTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGSSAKPLVMFGSDGAGSLTSPANALGDVDRLLEDGSLDENVESFLSQDEMDPRDPLGRCMDASKGYGFSEVAKARASATKVSCCHFSSDGKLVATGGHDKKVLLWWTEPGLKPKSSLEEHSALITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDIICSCDGDGEVRSWSINNGSCLTFVQVFKGGATQMRFQPHKGKYLAAALEKAIYILDGETQLSCRKPLQGHTKNVQSLCWDSTGDNLASVSEDCVRIWSFANGHDGEFVHELNCSGNKFHSCVFHPSYPFLLVIGCYESLELWDIREKNAMTINGAHDGLVAALAACSATGKVASVSHDRSVKLWK >OB01G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17606044:17606226:-1 gene:OB01G31710 transcript:OB01G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFRDTATTRVSHRCPVCFSVCCLGTKASAVCPSSTILPWPPPLPPSRVHFTNKFLVLSP >OB01G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17628512:17631152:1 gene:OB01G31720 transcript:OB01G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVAAGCLRAGRQVHAAAVKRGPYYCRHAYIGTKLAVFYARCGALDDAERVFDALPVKNAFAWAAVIGLWSRAGLHGKALAGYTAMLEAGVSVDNFVVPNVLKACAGLGLLGAGRAVHGYAWKAGVGDCVYVMSSLVDFYGKCGEVEYAREVFDAMPERTVVSWNSMLMGYIHNGRIDEAADLFYDMRVEGVLPTRVSVLSFLSASADLEALDGGRQGHAVAVSSGLEIDLILGSSMINFYCKVGLVEAAEVIFDQMVERDVVTWNLMISGYLQDGQIDKAFSTCHKMLESGLKFDCVTLASIIMACVKSYRIELGGAAHAYAVRNNLESDKTVSCGLIELYASSGRIEHARGVFDSIRWRDIVAWKAMICAYADHGMGSEALKLLYQMQLEGTSPTAACWDSVISAFIQNGQFDDALKTFNEMLLTSTRPNLRTWSLLISGLSRNGMHTEVMNLCCKMQEVEQAPSPTIFSAALLAVKAAASVHYGKAMHACIIKKGLLLSKSVMQSLLNMYGGFNDRGTVDSLLRFLAAAQ >OB01G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17632237:17632392:1 gene:OB01G31730 transcript:OB01G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHWPISGRHARFCDAVVCAALVTASANSSTVTSVSTHCELELLLQTSRASA >OB01G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17638538:17643394:-1 gene:OB01G31740 transcript:OB01G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVVVLLAVAAALVAAEPPPSERSALLAFLTATPHERRLGWNSSTSACGWVGVTCDAGNTTVVQVRLPGVGLIGAIPPDTLGRLPNLQVLSLRSNRILGGIPSDVLQLSQLRLLFLQNNLLSGDIPPAVSGLGALERLVLSNNNLSGPIPFTLNNLTSLRAVRLDGNKLSGSIPSISIKNLTVFNVSNNNLNGSIPASLAHFPAEYFAGNLQLCGTPLPPCRSFFPSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGKVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGKTPLDWDARMRSALSAARGLAHLHTVHNLVHGNVKSSNVLLRPDPDAAALSDFCLHPIFAPSSTRPGAGGYRAPEVVDTRRPTYKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGPGRTTPAESEEGVRGTSEEERSRGTPPAAPTP >OB01G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17669848:17676919:1 gene:OB01G31750 transcript:OB01G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWHYFSSCAVAILSLLMQEMNRVTRFLTLILGSSAPSETRFSSVAGPSSSASGGGGTTLTLNHRYGPCSPVPSEKRPISDEELLRRDQLRAEYIRRKLSRSNGTAGEDGGQQSKVTVPTTLGTSLDTLEYVISVGLGSPAVKQMMCIDTGSDVSWVQCKPCPIPPCHAAGSLFDPAASSTYAAFNCSAAACKQLGKHANGCDSKSQCQYIVRYGDDSNTTGTYGSDKLTLSASDVVEGFQFGCSHAELGTGMNDKIDGLMGLGGGAQSLVSQTAANYGKSFSYCLPPTAASSGFLTLGARSSGGGGNGTSQFVTTPMLRVKESPTFYFAALKDIAVGGKKLGLPPSVFSAGSLMDSGTIITRLPPTAYSALSSAFQAGMKQYKKAQPSSIFDTCFDFTGLDKITIPAVALVFSGGAVVDLDAHGILHRSCLAFAPNADEKTFSTIGNVQQRTFEVLYDVGSSVFGFRAGAC >OB01G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17682237:17691363:-1 gene:OB01G31760 transcript:OB01G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAEMQKVASLRRGGGGSSASMWWGADNGVFSRSRSSSMAEEDDEEALRWAALEKLPTYDRVRRAILPMEGGAAAGGGEGGAGGAGGEAGKRVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKFEEAGNALGIVPNRKQTMPILHDVSGVIKPRRMTLLLGPPGSGKTTLLLALAGRLNKDLKFSGQVTYNGHQMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRFDMLTELSRREKAANIKPDADIDAFMKASAMEGQETNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSKKDQKQYWMHHEKPYRYVPVKEFAGAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRTCQLMVVSLIAMTLFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPTWILKTPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAINQMAAAMFRFVGGAARNIIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWVKVLNNSLSNETLGVQVLTARGVFPEAKWYWLGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVVTMPSSTNQAIAGNIEIGTEIADNSQPTQRGMVLPFAPLSLTFDNIKYSVDMPQEMKAHGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTIFESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSSELIKYFEGIQGVSRIKNGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFERNKALIQELSTPPPGSSELYFPTQYSQSFLNQCMACLWKQHLSYWRNPPYNAIRIFFTTVIALLFGTIFWDLGGKTGQSQDLFNAMGSMYSAVMFIGVLNSQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVTIELPYTLLQSAIYGIIVYSMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVVSQFGDITTPMEDGTPVKVFVENYFDFKHSWLWVVAVVIVAFTMLFAFLFGFAIMKLNFQKR >OB01G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17692899:17694599:1 gene:OB01G31770 transcript:OB01G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDIGCVWFGDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVLDELKWVGSIPVFLIERFHFSIWIKDVGVMSGCIIFIQKDLHISEGQQEVLVGCLSFISLLDSLVARKTSNQGFPYRKPLKPRYHALADPAR >OB01G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17704431:17711268:-1 gene:OB01G31780 transcript:OB01G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGEIQKVASLRLGGSMRRDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGDEDGAGDGDAAAGGGGKGVVDVLGLGPRERRALIERLVRVADEDNERFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGPPTAPTSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAVAMGGQEANVITDYILKILGLEMCADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESMGFKCPERKGVADFLQEVTSKKDQRQYWASHDRPYRFVPVKEFATAFQSFHTGRAIINELAVPYDKSKSHPAALATTRYGASGKELLKANIDREILLMKRNSFVYMFRTFQLMLVSIIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPVTFIEVGGYVFLTYYVIGFDPNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELLGHSWDKILSSSTSNETLGVQVLKSRGVFPEAKWYWIGFGAMLGFTLLFNALFTLALTYLRPYGNSRPSVSEEEMTEKRANLNGEVWHDNHLSSGSTRRPIGNDAENDSTIVNDDSGVTQRGMVLPFTPLSLAFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFEGISGVNKIKDGYNPATWMLEVTTIGQEQALGVNFSDIYKKSELYQRNKALIKELSEPAPGSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFALLFASLFGFAIMKFNFQKR >OB01G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17709157:17709377:1 gene:OB01G31790 transcript:OB01G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDCLSEFTIWNVVELSSPVEISSMKSALAGPTSISPTGHINSHYCSLIIVVCLINGGRVLFLPVVTRFL >OB01G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17739797:17741165:-1 gene:OB01G31800 transcript:OB01G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRITPRAQPCLGRAGLGSPFGQTIALPATPFSRAFLVPANPTPSLSLRLRTGQAIVNIQSSTRLGTLQIEARVLDFVASNDPSIHQRTLMVTETASASHARSAIFQRLVTRPALPAAAPHEMMKTHKRPGPAMAAVPETMMAAGGLLRLSDFDKIADLGEGASGVVTKVRLRGSSAVFALKTAYYCADGAEDELEVEALRRLAAGSWSPHVVRCHAVFRDSADEPTMLLLEFMDAGSLGRVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGACAADVWALGVTVLELFLGRCPILPAGERSSWMKLMEAGEPPSVPAGAAASADLREFVASCLHKDPRQRATVAQLLAHPFVALRDPVACRRELRKIIVETM >OB01G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17742401:17743223:1 gene:OB01G31810 transcript:OB01G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTLPRLRSGRRRRPARRAPASLPQRPPRAFGRRPRRRYAQARRFRAGVPNYGGLLVGRIAHGFYPQLSGNRSVTWSQSAKGTWDMICLCCDTLFT >OB01G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17743582:17751019:-1 gene:OB01G31820 transcript:OB01G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRRESSLWRRGDDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILAVEEGGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVSNTVEAIGNALHILPSRKQPMTVLHDVSGIIKPQRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMNEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRRDRPYRFVPVRQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKFGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDQEYGPIYLGAMYFALDTVMFNGFAELAMTVIKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGPSWNKILPGQNETLGVSVLKSRGIFTDAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFSDSHASMSEEALKEKHANLTGEVVDGQKEIKSRKQELELSHIENSGINSVDSSSSRKGMVLPFAPLSLSFNNIRYSVDMPEAMKAQGVTEDRLCLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGISKIKDGYNPATWMLEVTSSAQEELLSVDFSEIYRQSELYQRNQELIKELSTPPPGSTDLNFPTQYSRSFITQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWNLGTRTKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNIWNLFSGYLIPRPRIPIWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDARTVQQFIREYFGFRHDFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >OB01G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17763258:17763665:-1 gene:OB01G31830 transcript:OB01G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXAAAGGAGAEDRACWVQAMVSEMLSATSMDDARERCLRVLDAYGSAVVEDSSRAGAAASAQIALLRKAVLFHHRLRVAQEAEKTKLRLEVDGYREKVRQLEATNYALSLHLRLADLHRGGGGMPPGPGNPEIF >OB01G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17766383:17769887:1 gene:OB01G31840 transcript:OB01G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSAQIHDPESLRAGYAIFASGLIVGFAYLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >OB01G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17771939:17779500:1 gene:OB01G31850 transcript:OB01G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNENIQFSWGKKRAKGGAKMDTQFYDSFTFDNVKYSLYDNVYLFKSGESEPYIGKIIKIWQQNQVKKVKILWFFLPDEIKKHLSGPVMEREIFLASGEGTGLADINPLEAIAGKCTVVCISKDERNRQPSHREQAMADYIFYRFFDVKECKLSEQLPEKIAGLEGQLLLNPKVEQVTSCSDQEVHGVDQKVLHVPVPLPQSTVMEDESPVAAVSQPQSVAKEEIVASAIPFTQSVAMEESVAAAIPPPQVAAKEENVTKPTENVPKSTVNIPKSAQKVLSGERPPKRVKFSENVTVKNVASDVSERTNRTGPLELAARQADRSKWFKIPWHTRLQNADEQGTLVYIQNLDIQFAAADIEELIRDALQLTCIAKPINHPTYDDPNNGKAYAIFKTKSAADSAISKINSGLVVGGRPLYCSKGLLKVPKPSETLTGHLTISNLKMGQRQREEQKKAVSTSHCSQPNTMEYDLALDWMLIREKQDMKFRLLQKKHNDERKTFASKIGK >OB01G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17781958:17784980:1 gene:OB01G31860 transcript:OB01G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11340) TAIR;Acc:AT5G11340] MGAGEGDAAASKEKGGGGVDRTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDTIASKEFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRGLGIGSKLLNHVIDLCEKQSIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCYVLTKFIVQAATKK >OB01G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17788327:17791289:-1 gene:OB01G31870 transcript:OB01G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:J3L1T1] MMPGGGYTALDDPKASGSVPAAAGPDPPTIKFADSNLQTFPPSEAKGKISGAYRPPTDADDSFSSKSAGGRGGGAGSDDAGQGGWFRMFSVAAYKRYFDVDTSDVVERIWESVFPFRGTFTEKTSEHPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >OB01G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17795090:17800555:-1 gene:OB01G31880 transcript:OB01G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNWRKEKLVFEFGLWSPDILCLQEVDNFTDLEQAMATRGYDGIWKMRTGNATDGCAIFWRTARFQLRYKEDIEFNKLDLRDNVAQICVLESVTPGNMQTESSPSHPQQAKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRAYTISKTWNDSPVIICGDFNSTPKSPLYNFMLEQKLNLSGLAKSTISGQQTNTSQGLYTGSNTSRFYPPFPTTNGRDGSITCPNDHKPQPEARNMTENSLHSSRESTLTNTASDSCLNSESSRRADNNVPCSGSTNPHEQELSGCVEGPTKDAHTSDAEAHNSTTNGEEIAVVNNSSEGYGVIKKGPVEETNITEFPSPMPVYDEIVQSASIEIVDRSCLLSSYESSEPKDSGEKSAGGSNNHSKTLEDFPDHVISEKATCAFDGNNVQSDTQFDKSKYKTDEHASEPMSNQNNCTPSESGSTCFSDSLKFADTLHQMSTLKLEENKTESTHLASPLEPSHHTDCAFSDTCGSQCTIEMINKHSESHSCSDDFGNRSHAFEGDGKSNEVVCPVVNSDPSFFDEFSGVNESLLEDEGQRQTTSDGSSARQLVTSDKRYYNYDPYRWMPDEIKAATGNEECTFVEHNMKIRSVYTEVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWVSEDLQPVQVLDTFPKEILKQTVGFPTKKWGSDHIALVCELAFTK >OB01G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17805901:17809549:-1 gene:OB01G31890 transcript:OB01G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVPVAPPRLCALVLPFLAVAACLDVPSHGCYWTGCQSKWLECPAGHLDSQSNDCDGLCTESKSPPCLPFHTHFHCCITGTPKITNKCRHCKHKVDFGQEFVCCSDCPEPTIMIKHSKLGYCKSGAELSMQLKPHEIYHWVAGPWMKCSSPCDGGVCYRDVACYGNLSDATIKHYPVDDASCSADQMPVRQEACNEQSCGVEMTEPTNSKKSGMSGWLVAFILLLGLGAIGGIVFTSYSYYSRRSSGRSGFVYVMMDAYS >OB01G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17815204:17819582:1 gene:OB01G31900 transcript:OB01G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGVLVLFFACAAAHAAAAGTDGIISCFAATCCCFDRLLPNGNFEDGPPKSDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVARGAYYAVTFSAARTCAQAERLNVSVSPEWGVLPMQTIYGSSGWDSYAWAFRAKMDEVPYESKGTGGYKRAVLEFPAISNRTRVVFQSTFYHMKTDGTLCGPVIDDASLVGLRKKTAGRRLLQLTRPRATRDITIDLAAQQQHEAELAAAAAQPLPDDDDNLIEHISILLLYIVMRGILFTHTLS >OB01G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17820948:17822327:-1 gene:OB01G31910 transcript:OB01G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIILGSRVEAWYGKNPPMGGWRLGEVVWGNGHQYNIRWDGTDVVSGRIRSVSVRPPPPCLEIPADLEAGDLVEVFDECVWKLAEFVRSRRPGDAAGEFTVKIFAKPNAVTVPRSKVRVRQVLTPGDIWVATYRDEQIPGAREPTARPLAAKRNAGNSVSAAGNRGPGQFVPPPAASQWAKIKRSRNTVNCDASSEVRRVETNSKRIRAMEEEEEELFLGYNNMEVEVINVNEPLAAFANKQPEMDGDVGGDAAGCRGGDRKNDDAKSVSSGGSSSSSDSDSDSDGSSDSDSGDRAAAARSPPAADAQEANQPPPQPQGPEHIKEERADDGTESRASAMHRRQGFERPSPAAADQIHRLELDAYASVMRVFHATGALTWEKEELLTHLRLLLHISGDDHLQLIRALSGSS >OB01G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17826295:17827759:-1 gene:OB01G31920 transcript:OB01G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSYYLSSAASSSSSSLASGGDAEQLPTYDPQSEASKKDALDASRANLVPVVVLLCDLLLWSFSTTTTSSSSEVGGIMENKTMGNRPTSVYRFGKHWIHTKLVTWAKSRSTDNMNRSEMFSGMDGVDPTGKNKDVTKPR >OB01G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17832493:17833754:-1 gene:OB01G31930 transcript:OB01G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWMCGGWPSPPCIVPPSLCAVRSSHVLLVAILFLAASLPFAWLPCSFSSRRFLPPLSCRVPGGGTPEADVGRDCSLVWRGSGRRWEDLRRRRCPPSSRCGSDGGVAGVDLHRRQGRCSVALVSSNARHHRAPRPKPKPTPPWIAGGRRGYQMVGGGRVDVGVGGEEQYQQQCGDDANERRTDLRRGEAEGGGGHHVCRGVRQEVLHLRQRAHLFLPSLPFSPPHHHHHPFQALPLLPGPHLRRGRPPPGGAPAPPRCHLPLHGSPG >OB01G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17837864:17839855:-1 gene:OB01G31940 transcript:OB01G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGVIPDAKSRTDLLGVTARSASAARALALLAEMRGKGHPLDAWMFDVVMRACFKEGMYCDAVRLFDEMPGSEIEPDQRVYSVAIVALCKLRDANRALLVLRRMQDAGFVPWDFTYNSVVDVLVKEGRMEEALHIKDELLATGKKMSVVLATTLMHGYCLQREVRKALDIFEETTRDGLVPNNITYTVLIRGCTEEGMPEKAYELCRQMRDHGLLPSAYEFNMVIKGLLNDKWWKDAVNLFEEMSDSGIPDVFTYNILIHWLCQHRKLREALNLWEKMNQTGVEPSMVTYNSLLLCYCVNGCMDEAMKLYTEMPEKGLTPNVVTYTTLMKGHINKAAFDKAYALLDDMKQNGVSCNDYTYNTLINGLCMVGRVCEVGEMLKRFESEGFVPTAMTYNSIINGFIKGGMMGSAFVIYQQMCEKGIPPNIITYTSFIHGYCKTSCCDLALKLLNGVRCKGLRPDIAAYNSLINGFCQEGNMSYALQFLVFMLKDGLLPNISIYNSFITGYKNLKMMEEALRFYEKIIKEGIAIDTATYTTLIDGFSKEGNVTFALKLYSEMMAKGNIPDHITFTALTHGLCRSGDVDGARKLLDEMNRLDIRPNVLIYNMLINGYIRDGKLQEAFQLHDDMLERGIMPDDTTYDILVSMKSLKSDSPIDVENPT >OB01G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17839446:17839922:1 gene:OB01G31950 transcript:OB01G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSASSILPSFTSTSTTELYVKSHGTNPASCILLSTSSARLASRSLQRATIATEYTRWSGSISEPGISSNSLTASQYIPSLKQARITTSNIHASRGCPFPRISASSARARAADALRAVTPRRSVRDLASGMTPRASMAAAPARPDRHREARRRLRSAVA >OB01G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17841365:17844948:-1 gene:OB01G31960 transcript:OB01G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase-2 [Source:Projected from Arabidopsis thaliana (AT5G25480) TAIR;Acc:AT5G25480] METAAPWKVLEFYSGIGGMRYSLAASGVRAEVVEAFDINDVANDVYELNFGHRPCQGNIQTLTARDLDKYKAHAWLLSPPCQPYTRQGLQKHSSDARALSFIKILNLMKNMSFPPQMLFVENVVGFEVSDTHDQLLEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKQEPMSFQNPSDNSKLLRTPTFLTLVRDGHNRYNQNEDEMELVCKPINDFLETRNLNIDGKDFSGTISNFNGSDGCTPSETVFQDYVVPLNLIERWGSAMDIVYPESRRCCCFTKSYYRYVKGTGSLLATSNNLKQVSKENLEISLLKEMGLRFFTPREVANLHSFPSSFHFPDQISLRQQYAMLGNSLSVAVVGPLLRYLFGET >OB01G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17845448:17845717:-1 gene:OB01G31970 transcript:OB01G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRACQQESLPPREAWEATNVAISSQSLCLRAHRLVSHTNPPCSHMCYLLDLVDGVGGLGWVIVAKEGEMVVVNGVGWSMSPWRDNST >OB01G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17848721:17853034:1 gene:OB01G31980 transcript:OB01G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATGLEREELAAELKGEKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDEDEHHH >OB01G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17862681:17867016:1 gene:OB01G31990 transcript:OB01G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSSGARGGRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRAVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAATALHSAACYAHGRFTNGVAYPITLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGRADEIVTYRNGERSAQILQSSGFQYLNLKSYNGLGHYTIPEEMDDVCKWLRSMLGLDRSRG >OB01G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17870946:17871182:-1 gene:OB01G32000 transcript:OB01G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSIHFYKCYFYINRRQNQYLGSYVSTSSSSILQRCLQIRWEAASVSVSEFSSTLRYRRRGNVSSPNCRIIANTSSN >OB01G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17871801:17872781:-1 gene:OB01G32010 transcript:OB01G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRASPYSSHGKGCSRRSEESAAAAAAAAAKQAAEWEDVRCPVCMDHPHNAVMLVCSSHEKGCRPFMCDTSYRHSNCFDQYRKASKDSSKDTGASAASAPECSECQQPIKLSCPLCRGPVSHWTKDYDARKYMNAKVRACTKESCEFRGAYSQLRRHARENHPTVRPTQVDPDRQRDWHRMEQQRDLGDLFSMLRSGLSAREDGIGVSEGEEDINERTLHSPSITMVFIVRTGRSILHYREAFPGHHRRRTILLLGEAFGRESSPLGGASGSGDADTTGRDNDEGDDDVTLSTEAAGSQHDVGEVDGDPAH >OB01G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17880317:17888012:1 gene:OB01G32020 transcript:OB01G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPACDPMGADEGSHAAPEPPTPPLESGPEEFQQKQQPHPPRAIHPECVSSEHAELPSLPPTSPAEITPTLPDVTDIDAVAGTPEKASGSTAEMEVMDGTEIDALAGTPEETPGSMSAMEAMDATEMDAVAGKPEESPGSTVAMEVMDATVIDAVAGMPEEAPGSTAAMEAAPGFLASLLDQRSTEIDAVSGKPEESPGSTVAMEVMDATVIDAVAGMPEEAPGSTAAMEAMDATEIDAVSGRPEEAPGSTAAMEVTDVTKIDAVAGTPEEAPGPTVAMEVTYGETGSAAVSVSLVLDSGEEVSLQKSMQKPSSPTVNTEPESMERPCPPTMNTEPCSPEMVSLGFQLQQPHSPATAHPEYDGSEHVELPLRPTSLAKTAHTLADAADIDVVPGTTEEALGSTAAMEVTDSAKIDVLTGIPEEAPSSTLAMEVMYGETDIVAVSVSPLLENGEEGSLQVSMQRPSSPAMKPESMERPCPPTVDTKPCSPEMAPLGFQMPQQQQQHPPATAPSECDSSEHAELPLPPTSLAEITHTLPNAADINAVAGTPEDLGSMTTMEVMHAAKIDVVAGMPEEAPNSTLAMEVIYRETDTAAISVSPVLDSGKEGSLQESMEKPSYPMMDPEPESIQRSSSPTVDTEPSLPEMAPPGFQQQQQQSHLPSTTPPECDSLEHAELPLPPTSPAEITHTLRDAAEIDVIAGTPEEAPNSALAVMVTYRKTDTSEISVPPVVENAEEGPLQESMQRPSSPTMVTKPCSPDMAPPGFENCKASWLPVPPPTPPGQSMPSLPVAAAPKGLVVMPEEVVESVPSLEALDAEKPSSIMQAEPSSPDMPPPGFENFKSSWLPLPTTPPVSTTEVLPDVVVTKAVEAPIEEAFGPLPALELMNMDTDTAHNMFPTEGSEGLLQKPLLKLPSPVAQSEPYSQDEMAPPGFENFKSSSEPCSVEEIARPVFDNFKSSSEPCSPVEMAPPGFENFKSSWPPHPSLPQTAYMSPDAATRDALAATVEEVTGPPPALEAMDVDVGAIHPPPPPFDSGLESLQEPLPRVPSPIMQETSCSPDRAPPGFETYKSSQLLLPSPSLAQTTNDQQDQLVTKPISVIEEAPQPLHSVELMGANMDTAPPSLLSSESGPDGPFPQQFPCLPSPAEKGTTTCLPDMVHSGSDDLESSQLLPPPVVISTIQTPDGLADAPAVDRVAVASEESPQRPLVSRGMEDGTVPIQSSPLENASEGSLPQVESQVHSPTAQAVDSLLDAPGSKSVAVASEMSQPPQAANTDFVSTTAMQPQSKGIVDESLQLPQHPASSTAHAAPCLQDSVLLVPPPPSPFLNKEIGQMVCGSCRVLLAYFRGADHVHCTCCQTMNLVLEAHEVGNVHCGHCETLLMYPFGAPSVKCSLCLFVTEIGERNVRPRLSIEQAVPPHPSEVQKSELTHKT >OB01G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17888115:17889416:1 gene:OB01G32030 transcript:OB01G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGGGRDAGASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSATIEWSPLYDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >OB01G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17901107:17905451:1 gene:OB01G32040 transcript:OB01G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIAVVVAVAVAAVVGGVAEAGGGGVGVSQFLCVLSTAEAFGLPFVPPYLGGGDFRNGANFAVGGATALNGSFFRDRGVEPTWTPHSLDEQMQWFKKLLPTIASSESELSDVMSKSLFLVGEVGGNDYNHLIVRGKSLDELHELVPKVVGTITSAITDLINFGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRNLHPDVSIIYADYYGAALNIFRAPLQFGFTVPLNSCCGSDAPYNCSPSILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYAIPPLSETCQGGEYKVSQLHQCTDNPTNTVTYDAMSSFI >OB01G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17911549:17913267:1 gene:OB01G32050 transcript:OB01G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDDMATKPMMKFSSCRGVAFELKPSPPSPFAVDVCPAEPPPAPAPSTAGRWIWLPLSSSRYSSFSRNVIPAASDGGFGRSRSRASSHFCDLDVVGDDEEDGVSVLDDGHDVEMGVAAAAAAEVGVKPVVKAAAAPARQSRLSVILFDQGLFTVYKRLFMLCVALNAAALAVAAAGHFPYAKRHAAVFSMGNILALTLCRSEAVLRGVFWLAVALLGRPWVPVVAKTGVTAILQSLGGVHSGCGVSSVAWLVYALVQALRHRDAMPPEIVAVASSILGLLALSCMAAFPLVRHLHHNVFERTHRFAGWSALALLWTFVVLSAGYDQQSTSYVPLAGAALARRQDLWLAVAITFFTILPWLTVRRVPVAVTAPSTHASILTFQGGVKAGLLGRISRSPLSEWHAFGIISDGRSTHAMLAGAVGDFTRGLVTDPPSHLWVRGVRFAGLPYLINMYRRATMVATGSGICVFLSLLMQPTPAELSLVWVAKGVEANYGEDIKAAVTGSKNLAGRVVVHDTAVMGRPDVRELAVGAARRWGAEVVVVTSNPEGSRDVVSGCRKAGMPAFGPIWDS >OB01G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17917216:17919954:1 gene:OB01G32060 transcript:OB01G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQPPQPQAGGGGEGQAQGAMKLLFVEMGVGYDQHGQDITAAAVRACKDAITSNSIPAFRSGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >OB01G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17918005:17918397:-1 gene:OB01G32070 transcript:OB01G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGSALLYKTISLLEIKSCTASQIHSINIQFEGDLQGDTLESLLGLTTKKLNKESDEVGMPYSGQSNTVNSLMKIITDRTSEQCWVRGSVLDLAIWL >OB01G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17920308:17922103:-1 gene:OB01G32080 transcript:OB01G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVMVSRHLCVAALLVATVAAAAARGEPRHARMAARHERWMARFGRAYADAAEKARRMEVFSANAERVDAANRAARRAYTLGLNQFSDLTDDEFVRAHLGYGHLADNGTAPAAAAAAASLDGQDVPDSVDWRASGAVTEVKNQRSCGSCWAFAAVAATEGLGQLATRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPAPAATAASPPTPSTRPWTA >OB01G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17928199:17930402:-1 gene:OB01G32090 transcript:OB01G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARRWLCLLLAAAAVTSSASGGAPEDDPGMPMAVRHQCWMARVGRTYADAAEKARRLEVFRANAAWIDAANRAGGLSYTVGLTPFADLTADEFRARHLMPDVDAVGDEPATAARAMLEQEEKATKQHLPHFGPPAQWGSKDWRGLGAVTAVKDQNLHACNSCWAFAAVAAAEGAIKIHTGNLTELSAQQVLDCTGNDNTCRGGHIHEALRYIASAGGRLSTASSYLYDGVQATCRSGAGAALAASVIRGVQKVTPNDRNALRAAVEGQPVAADMDSSDPGFVNYRSGVYRGSSGCGKKRNHAVAVVGYGTASDGTNYWLGKNSWGPAWGEAGYMRIAVDADCGISSRPAYPFV >OB01G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17932815:17938349:-1 gene:OB01G32100 transcript:OB01G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MWQLMICLYCPGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHTLWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGDPDKSLKNLPKDYVNALLVPKGGQIPLDVKNLASQGIFHVVTVDSVRDAKTGVIFDSQSLIQALTSLISGRRLVEPDLLTENVESLY >OB01G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17941590:17944045:1 gene:OB01G32110 transcript:OB01G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSAAVVVRPSSLAAAASRQRWALATSLCALLCLSLLVSVVLLLLGSTRPLLRPLFAVPRQQAPEVVEEAPWERYVKLAQAAPGSPTPGGVRDRDAEAVVVAPPATPDLDEEEEEEGGSDAISAAPAPAPSPSPAEEEGEEESCELFEGRWVHDPARQPLYEAAECPFLSAQVTCRRNGRPDSGYEQWRWQPRGHCGGADGHFGSGGREAALEQCRNRRVVFVGDSLNRNMWESLACLLYTAVPDRSRTRVDDVASGYTIFRAMDYNCTVEFFWSPFLVTLETKHDRTRALKLDQLPATLQQLRGADVLVFNTGHWWTHTGKLRAWDHLERDGKQVEMGGEEAFNRALRTWARWVDQSVDTARTRVFFRSVSPEHKSENWCYNQTSPVADGTTVVPWFPKSLVSIVERNIRSMRTTVAYLNITRLSELRIDAHPSVYTITREGRPLSVQQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPINVH >OB01G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17946725:17947501:-1 gene:OB01G32120 transcript:OB01G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGPQMGAQYGGPGSGLSSFGAFGGVGGGLGGPNPYANLPSSMGGGGGAGLVSLGNQMPSGMGGAGAGGYGPGGLGGGSFGGSSQFGSAGMGAYGGLGMGGASSVYRMQPGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRAMYPNVPPYF >OB01G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17954066:17957722:1 gene:OB01G32130 transcript:OB01G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MSGDGGQPQAEAEEPVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVISKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKTAEEMVHNYEKASSVDYSLKEGETLVLQLKNKEPGAKVNSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKADFPSQDQSAADTGVDATPFKVEFPSNEQPAGDGVASSPPPKAEAAEQPTAVGKATQESLDDDFGDFQAAG >OB01G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17957741:17958034:1 gene:OB01G32140 transcript:OB01G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMEMEEQQKQQKQAVDTNNVAGGDRRSRGCTQSGLRVLASLSLLPGGDDGGHAAQPRRLAAVARVDCLLLYLWAYHVTQNLTAGSGAAAGVA >OB01G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17958542:17964375:-1 gene:OB01G32150 transcript:OB01G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein [Source:Projected from Arabidopsis thaliana (AT5G45900) TAIR;Acc:AT5G45900] MEAAARPLQVAAIGVCAETGFWDALRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPIPGTLLNTNNIRGFQNLDRALLLKSEAKKILHDISSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLVFDSKITLLSLKLAAQVLSQEEAASLSKALTEWRRSSETTVVPFFFISISPDSTVTIRQLKEWKAYQGNSQRLLFGFYDHGNRGFPGWALRNYIAFLSLRWKIEKVQFFCYREKRGHPDVSQSLIGEALFLAPHGWDEPDYVPEAIGWEGQSTGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLSRVRCLLLGAGTLGCEVARLLMTWGVRKLTVVDNGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEIEGIQMQIPTLGHNISTSKIASVLDDCKRLQTLVDSNDVVFLLNETWESMWLPTLLCADKNKIAITTLLGYDSYLVMRHGAGPGTKSEGMDEVIARVENLSTEDAVGRQRLGCCFCSDTASLVNSDHNGTLGQHSTLVLPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTDHQLGILPHQMRGSLSKCVLSTVLGNSSSNCIACSNSVLSEYRRRGFNFVMQAINSPTHLNDLTGISDLKKPFDCSKISGSIPANLEKLSGARCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRDTPKTSAILGHLKERCPSVEAKGIKMEIPMPGHPVSPNEAASVLEDCKRLQELVSSHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTNSGSPDVIGAANELTAEDVLGRQRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRLLHHPDGIHAPGEIAGTNREGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKAAAYSQVDWVDEADDDDIDI >OB01G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17968039:17969190:-1 gene:OB01G32160 transcript:OB01G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGFARALVPYYPVAGRIAEPAPGNVLVDCTGEGVWFVEATASCALADVNNLERPLLIAKEHLLPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGAPAPSVAPVWDRDAIPDPPKPPPRGPPPSFTAFNFVTQVVEISPESIARIKEDFRASTGEACSTFDAVTAVVFKCRAVAMALPDDAEAKEALAVRFTDWLRGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGDVFTLNDDVNIVASVIYLRPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >OB01G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17968470:17968874:1 gene:OB01G32170 transcript:OB01G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARHLNTTAVTASKVEQASPVEALKSSLMRAMLSGEISTTWVTKLNAVNDGGGPRGGGLGGSGIASRSHTGATDGAGAPRAISPAAFRNCAAPCPSNTRWLKQIPTAKPPQVNFVTCKAKDLKPLAPKNFSR >OB01G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17974376:17976976:-1 gene:OB01G32180 transcript:OB01G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTVTKSPPALVPPSGLTPGGSLPLSSIDKTAAVRVSVDFIQVFPFSSAAAAAGAPSEQVAAMREGLAKALVHYYPVAGRIAEPVPGEPEIDCTGEGVWFVEAEASCALEEARNLERPLCIPKEELLPRPPPEVRVEDTVLLAQITKFTCGGFSVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKRVKDQVASETNQKCSTFDVVTAMMFKCRTLAIDFAPDADVRLGFAASTRHLLNNVLPSVDGYYGNCVYPGGLSKTSQEVKDASLVEIVTAIREAKDVISTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEPQHSAVFGEELQKLA >OB01G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:17985499:17986677:-1 gene:OB01G32190 transcript:OB01G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDMATAHGKTVVLTIHQPGVRILERRARFVLLAGGAVHHHGSISFLEERLAASGHGIPPHVNVLEYAMECIDTLKPDVVVVSSVTAVTTADTNRGDTAPVPVPTLRRRAAYANSQAAEVCILSARFVKTVLRTPQLFAARMAQSLLAGVFLGTIFLGAADLQSRLGFFAFTLTFVLSSTTEGLPVFLQERRILERETSRGAYRVSSYVASNAAVFLPFLLASALLYATPVYWLVGLAREPARFAYFSLVVWLVMLTANSFVACFSALAPNYIVANSVIAGLIGCFFLFSGYFVASKNIPRYWVFMHYVSLFKYPFEAFLVNEYGGDRGGRECLAKVGGGLCVLDGAALLRQQGMRESMRWSNLAVMLGFVVGYRVLCFVFLWFRCHRMRR >OB01G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18015083:18021592:1 gene:OB01G32200 transcript:OB01G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATEPLFASVANALGCLDNVGKVPKELKGMEMGLLEIKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKAGLACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIINKAAQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDVKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVAIAISKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGSTEVKVSPSLANGIHSEPLSGGVGQTGHIQAANGPAWDEDWVPAKKANAPSQSSDSNARMKQPSDPFDFSTKTKQPSVPPFDFSTQQPKPPSAISQVAPATFSPAQPLPSLQSLPPSSGPQTSGSCVPVDIEWPPRKSTSSDFNGPLSVSKESEGLDDIDPFANWPPKASSGASISAAEHPSSTNQSISGFSTGNIGFSGNSGSMGQMKTNQVSWSAKPNTTNLMGMNSTGSYLDQGNSVLGFGNPIGGLSTGFSNPVNSIGGQGIMQSKSDFGSLPLSTSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVSPEQPPILDLL >OB01G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18042448:18043116:1 gene:OB01G32210 transcript:OB01G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSARRQRHARGGRRGWRGVQSCPVAGLETARWRHGTGGVRGDSGGVVLANDRRSTRGSCGWRLVGATSCFVVLCDVWTQVAGMSWVAGIDRRSAGNCRARWKSLVKAMVGLNQPDDDDTLGAVALLGGVIFSVHPPVSSPGENLILFGRAVAAFTSRPPWGHRLWRKLPVQCRSSASPATFG >OB01G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18047968:18052239:1 gene:OB01G32220 transcript:OB01G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRIRLRTAALSLDGGAVRDKPDAKADVFADLGSPVSPLRARASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASALIGLGRLADALRDCEEAVRLDPANGRAHSRLAGLCLRLGMISKTRRHLMQAGHLHQSDPSEWEKLQQVEAHQGRSIDARKIGDWKSALREADAAIAAGADSSQLLLAIRSEALLRLHKLEEADSTLTSLLKLDSILLSLLAAKLSGMLAESYVCIVRAQVDMALGRFDAAVEAAENARHIDPGNAEIGMILNNVKLVAKARAQGNELYKAAKFSDASIAYSEGLKYEPSNPVLYCNRAACWGKLERWEKAVDDCNEALRIQPNYTKALLRRASSYAKLERWADCVRDYEVLRKELPADTEVAESLFHAQVALKTTRGEDVSNMKFGGEVEMVTSVEQLHAAIGSPGVLFSTRVSVVYFMSAMNQQCTKIAPSVDSLCSEFPSLNFLKVNVDDNPMVAKAENVRIVPTFKIYKDGARVKEMICPSLHVLRYSVRHYAVSSS >OB01G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18073235:18080991:-1 gene:OB01G32230 transcript:OB01G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral homeotic protein (HUA1) [Source:Projected from Arabidopsis thaliana (AT3G12680) TAIR;Acc:AT3G12680] MSDSLYPYGHGAVGEGAGAAGYSSYEIDLIAARYGGGPLTNPSSAADARPVGARRSTGVRYHQPIMGSHSTVEQIEALYSSNTMVKRPRLESSLPIYPQRPGAKDCAFYMMTRTCKFRDSCKFDHPQWVPEGGIPDWKEAANLEESYPERQGEPDCPFFMKTGKCKFGSKCKFNHPKGRVNALASGKGNEKHPSADSSILPVRPSEPICSVRIHFTFFFCLYKASSLKPNFSCASVLQFYAKTGTCKFLAKCKFNHPKDIEVPSDHNESENAATVEGEKDIGAVDDSISVKMSTPIAAQEFNSKGLPIRPGEVDCPFYMKMGSCKFGSSCRFNHPDRLVLNFPLGQTIIPTPESILLNPAANFMQSFDFHAARLPVGPGPIAYPQRPGATVCDFYMKTGFCKFSDRCKFHHPIDRSAPDRSVNWEPAEDSLQLTLAGLPRREDAEVCDFYMKTGVCKFGMQCKFDHPPPQEAIAKVSNSGS >OB01G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18082665:18085350:1 gene:OB01G32240 transcript:OB01G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRVAGGARAVLRRLGAAAEAAAKQDGRVLTASYSSSSSVNAPFGLGGISTTRSLLAADDAMVPVSSPLTPPIGEGEGTDKKGAIVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAARNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGATTA >OB01G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18085609:18086484:1 gene:OB01G32250 transcript:OB01G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLRLAPPLAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAVAGLCRLEDPKNALAALREMAIDGIRISLKLRESVRDAMLQDARIEEAWALEAAMKQPDTTELAQLVEKLLEEWEE >OB01G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18087763:18088874:1 gene:OB01G32260 transcript:OB01G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLSASGRRLLCTVARPAGSSELPVPIDHLRSLVRAGRLADIDAALAPHVTSHSVAAISALSSLGLPDRASVLLGTLRSPTAAHLNGLLAPLLRRRRLVGLVPSLPEAHPRDAATEAIHAKALCVASGAESAIHLLQRTSPPPSIQLFTSIIDSYYKQRQPHRAEQLWRQMVDEHGIIPDVPAHNVRITYKATSGTVEEVKELIRAMREDAGLRPDVVSYNGLMRAMARHGRVDEMLDVYRSLEEGSTAAAEADKLAPDCATYTCVVAALCKAGRWSEADDVFYEAMKRSKVADLGTVRTLVRGLRDAGKGRAARRVVVGLRKKFPARFDGPWKELEELAGLTGNEQDDDVEGDDDEQATPPPMSA >OB01G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18089890:18090075:1 gene:OB01G32270 transcript:OB01G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWEIVPGGYVYRFCSCQNEFIGSVLLALAFLWMLCVSDACLRDLQSAFFMREQVQRLST >OB01G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18094132:18094629:1 gene:OB01G32280 transcript:OB01G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRRRAAKLRHSPTPDAPPHCRRAGERCRAPKRRRESSPCPPPARVGCLERRERVIVTAVESSGGNVNRSLKRRCEECAKVGGENTGKLSPWAPLKAVCTVSPVVSNKVYPGFGSLAIA >OB01G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18104355:18104945:1 gene:OB01G32290 transcript:OB01G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDHGIVPDVPAHNVRITYKATSGTVEEVKELIRAMREDAGLRPDIISYNGLMRAMARHGRVDEMLEVYRSLEKGSAAAAEEDKLAPDCVTYTCVVAALCKAGRWSEADDVFDEAMKRGKVADLGAVRMLVRGLRDAGKGRVARRVVIGLRKKFPARFDGPWKELEELAGLTGKEQDDDVEGDDDEQAAPTTMSA >OB01G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18106763:18112980:-1 gene:OB01G32300 transcript:OB01G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:J3L1X4] MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGSADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKDKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLESYAREPKLDEWVARATLFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCHRKLVIDWVASTDLEDSTAIEAPDAYKAAWNLLRGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQLAVVEFARNVMNLPGANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVADCKSAKLYGNASYVDERHRHRYEVNPDMVPEFENAGLQFVGKDETGKRMEIIEIPKHRYFVGAQFHPEFKSRPSKPSPLFVGLIAAACGQLDRVLHCSSNGHVVPTKHPLSNGSYTSTVHQNGHAKKLANGLSNGTYYPNGNGVHA >OB01G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18140657:18152890:-1 gene:OB01G32310 transcript:OB01G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G80410) TAIR;Acc:AT1G80410] MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNSSKAIEVLEAYEGTLEDDYPPENERYEHSEMLLYKISLFEECEMLDRALEEMHKKESKIVDKLSFKEQMACILLKLGRFEEAEKIYRSLLFMNPDNYKYFIAVQKCLGLYSGNGQYSADDVDRLSALYKSLKEEYGWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQSGKANILEELFLKLEDSIRTKGCFPGSPHTEPPSTLMWTLFLISQHYDRRGQYDVALDKIDEAISHTPTVIDLYSIKGKILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPSKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDEAASSNTKSGKKQNARPVDLDPHGEKLVQIEDPLAEGTKYLKLLQNNSSDSLETHTLSFELNMRKQKNLLAFQAVKQLIKLDENNPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDIRQLHGKSLVEVNRSFLEKHNASLMHRAAASEMMYLLEPDKKLEAIKLIEDSVNSTASGNSILGPVNEWNIQDCIDVHKLLETVFGDQDASNRWKARCAEYFPYSTYFEGIKSASAAYCSVISSVEDSSENGVVANAQVKTADGETCTLNGTVHIVDELSDLSIR >OB01G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18159096:18167357:1 gene:OB01G32320 transcript:OB01G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKERGDAGKLDHSDGAEVAAVANGKNNQLGRRPAVDRKRFRFNAKPPRDNPVQNVDFSELLNIEDPDEYFATLDQLEKADKEIKRLRGEVPTEATYNDRAIELPKKRPGLLRRKSVHSYKFSASVDTPDAIETSSSQTETITEYEFAQVDVHASAPKMPKEPVSSRSSQHAIPDTSVRKDSFVGKDNIFTLNYLLSAFKDLDETGGENLLRETLQIKEISTGKVCLPDFNVPGDIPPTVHTNSMNCDMLERTVPGSSLARISQLEKRVFVEDAREDKHTDLSKDDESDWSPESLLCKRSPMRRSSDTVVLPINEGFTAIKTPSPSIKSPEHVLEPEPNPPEGVATGRPMGSSPIGVNRDSESVKERGTSCRHSVLLEEDDMPIDCTVSSHHLESVSTEVLSNTPSRNVPPLNHGDGNSEHQEMVGGDVAQDNPIHTSEIPPEDTYPQNQSEIHRGNIEKLAIDTRNSLSPSEGKEQRGKKKKQPSKRGKRVGDNTTHTLDIPPEDTYPHNQSEIHRGNTEKLAVDTSNVLSSSEGKERGKRKQQPSKRVAGEAGDLEIPAPNFEPENQPDVLDTDVEQQPACISHSPSPSNGKRQNEVRKRNKKQDLNRRKSLADAGLTWQSGVRRSTRIRSEPLKHWLGERFVYGRIHGTMATVIGVKSFSPSQEGKGPMRIKSFVPEQYSDLLARSSKY >OB01G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18168535:18170261:-1 gene:OB01G32330 transcript:OB01G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLGLPSEPKPPSLIESLLVRRGEQRQQQQQHEEGKRKAGPPTDPLPKSQVLGRVKDFLGEMAKANEKLQLDVKNKRPEEYDIEALTGNENEYIEMDLLLGVADLHSEKAIEVAEATINGFPPAGRSFPCSSSDSEDDSDDSDEDGGDEQNMSAKDEDEPEIQTSKGKKSNKRQKIVVLN >OB01G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18181883:18185110:1 gene:OB01G32340 transcript:OB01G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G11450) TAIR;Acc:AT5G11450] MAAAPAALLSPPPSPAAPSLHPRHAPRWSWSAAGTGGRAAPSAGRRRRAALVSCSSSVRPGSRGGGLELERRHLLLSGLVSSFALVLPVSDSHAVAEIDEDVKMATVVDQINAYSFLYPVELPRKKFKFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFLPFKDKSKWDPKDVADCILADKSSLKVTTGQRMTESSVLDAHSSDVDGEPYWFYEYLVRKSPTQSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESMGPSLQKTVASFHLLPPTENYVPPYKDPWRFW >OB01G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18185083:18185379:-1 gene:OB01G32350 transcript:OB01G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVPISITSSLPPAPEPAQKQKQQQQQQEPQHRHPQQLVPCGAAAVCCYCGVASVGGLMAMPGSTQRRCFYGCGNWTPASGPTCSFFLVGGVVDCQ >OB01G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18185278:18187123:1 gene:OB01G32360 transcript:OB01G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLLLLLLLLLLSWLRRRRQRAGDGDGDAPPHELDEEARRVAGVVEAAVLAARPLLSGSIRRRPGWSRVARLHSSPSCFTRRPTQFATARTRSDTAKETRTPKGERGAKPPPPGRGRLRRRERASVGSWGLEDWI >OB01G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18190539:18193132:-1 gene:OB01G32370 transcript:OB01G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPAAPPTMPRVKLGTQGLEVSKLGFGCMGLTGAYNSPLDDDAGIAVVLHAFRRGVTFFDTSDVYGPHTNEVLLGKALKQLPREQVQVATKFGIRRGADGVSSICGRPEYVRACCEASLGRLGVDCIDLYYQHRIDTSIPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPVTAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTQQLAAESNLKGHPRFSAENLEKNKLLYLKMEELAKKHHCSPAQLALAWVLHQGDDVVPIPGTTKTKNLDANIDSLKVNLTDDDLKEISSQIREEDVAGGRQYTSFQQYTWKYADTPSQGRKSAKY >OB01G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18195028:18199976:1 gene:OB01G32380 transcript:OB01G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHDGGVEEDQEGSSSPSPAATAAEAGGGGAAIARAARPPRPGRDKRLGVRHPLKHRRFRAGGKTMSVAGAREVGEATTVAEATAIAAPEVGDEVEEARYICGGWKSDDGRMSCGYSSFRGRRANMEDFYDIKSSKLVDKQINLFGIFDGHGGSHAAEYLKKHLFENLLKHPSFVTDTKLAISETYRKTDSDFLDAETNINREDGSTASTAILIGNHLYVANVGDSRTVMSKAGKAIALSKDHKPNRKDEKKRIENAGGLVIWSGTWRVSGVLAMSRAFGNRLLKRFVVADPEIQEQEIDDDLEFLILASDGLWDVVSNEHAVAFVKAEEGPEAAARKLTEIAFARGSTDNITCIVVNLLHDKMDLDSAASSVEQS >OB01G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18201213:18204870:1 gene:OB01G32390 transcript:OB01G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLLLRTHGSLPVLARAFPCRLRLRVPGRARPADAAVPKRRRRDAEEEEEEEEEGVAFSRVVTSRGRGVHEEDVVEAEAPEFDGEKRGAEEAGGVDGSYLSDTRFDQCGISPLSMKAVKDAGYERMTQVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNARRDQLRPSINLLVMCPTRELANQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKDYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSINDLSISESPTPSVDSSTRTEVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMGLAAPPAIPKQILRKMGLSNVPGLRST >OB01G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18205643:18209410:1 gene:OB01G32400 transcript:OB01G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGPSDATHRKRRRGRGRGRKDGEDGPSLPRVDTTTNGAGCEEEDAVEGMAMELDAGTGAAEVGGVDGSYLSETRFDQCAISPLSMKAVKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHSSLGVQVVIGGTKVPQEQRSMRSNPCQILVATPGRLKDHLQNTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIGFIPKERQTLLFSATVPEEVRQISHVAMKKGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYDVLKKHVAEDADYKVLVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSNGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSEAVVPTIDSRIQAVVQDALGRVETKCKESAYQAWLGYYNSNKNIGRDKSRLVRLGEEFSQSMGLAIPPAIPKLILRKMGLINVPGLRSV >OB01G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18209071:18209352:1 gene:OB01G32410 transcript:OB01G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTIAHGRIWCTVNQVVLKLHLKLLHPSWTRSKSANGLDSFASNGILSWIVLVGLKWPRILFWIGVDWASHSDGLDCIRCGQTKGVNPWIESMD >OB01G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18220717:18225394:1 gene:OB01G32420 transcript:OB01G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRRPEAHWLDRLLELRSRFHDPAKRHSSDNDLFNNADEDDDVYRLDGDEYHHHDGCGVNYEDDDEHVDGRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKRQKKRVLARTGWEGSDSLASWQANLLFEPTVFEGAALFRLDPDGRADRPARHVVESALRAFLNSPHPLETLSDLSAYGSEGAILRDHESSNYFRALNALTRVPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVFPAPPVTVRNKELITEA >OB01G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18226668:18233977:-1 gene:OB01G32430 transcript:OB01G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 11 [Source:Projected from Arabidopsis thaliana (AT5G53170) TAIR;Acc:AT5G53170] MSWWPFWRPDRGLQRLIDEADANPTDAAKQSALLQELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALILTNAIADYLPDEQSGRSASLPALLQELKQRVSGSEEKPFMNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPTKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVASDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESKKLTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLEHETLTADEINKVVHRYQEEPQLSFQEEDFALT >OB01G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18242029:18244834:1 gene:OB01G32440 transcript:OB01G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGACVMGRRRWCLLVAVAVALSAAVGAGAQETCSGIVPAPPRRGAWVSVASXXXXXXXRTLSTAAFQAAVASIERRRAPGGALLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELPGGRYMSLIHGNGLQDVVITGENGTIDGQGSAWWDMWKKGTLSFTRPHLLELMNSSDVVVSNVVFQDSPFWNIHPVYCSNLVIRNVTILAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGMAYGRPSSHITVRRITGSSPFAGFAVGSETSGGVEHILAEHLNFVSSGFGIHIKTNTGRGGFIRNVTVSDVTLDTVRYGLRIAGDVGGHPDDRYDRNALPVVDGLTIKNVQGQNIREAGSIKGIATSAFSRICLSNVKFNGGTPVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >OB01G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18244618:18251018:-1 gene:OB01G32450 transcript:OB01G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) TAIR;Acc:AT5G53180] MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFIEFADQNQAIAMISYFASSAEPAQVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLNLVFSTFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPASNQPSILGPQPVQYTGAYNSVPSSTTGAVVPPGTTLTPPGAPSHPYTSNESLPQTPVVPSVGAPGYTSQGILQGPPGVPPAQFSGYGTPQFPPGSAQAQMHHHSVPGSQQMRVNHQPPGGSHMFMQYPGDGSRPVQDAPGPQAMPFHGHGGQHLPPGYGGQPQFQQGPRPPMPQQFTMYGDQQFPPGMGPQMMMPLAGQGGGQQHPFAPLRPYNSH >OB01G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18253097:18254176:-1 gene:OB01G32460 transcript:OB01G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGSQEGKNSKTSDGSIQEVNSTAQNFVHFTEEEEDLVFRMHRLVGNRWELIAGRIPGRTTEEIEKFWAIKHQDK >OB01G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18260903:18262800:1 gene:OB01G32470 transcript:OB01G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPRDPSPESSRPSTAAASSSSSSSLSGPRFRPALLAPLLLLLVLTALHFSGLLSRSRTHTPQVGTKLSVYERGLVKRDVSASEILTEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLFSAKFTHISPVWYDLKSDGNKLVLEGQHNFDARWVSELQSNGSLVLPRVVLEAFPGIVLLKKKLRDKAIDLIVSECSE >OB01G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18266960:18268312:-1 gene:OB01G32480 transcript:OB01G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRGSQGKNSKTSDGCETKGVNSTAQNFAPFTEEEEDLVFRIHRLVGNRWELIAGRIPGRTAKEVEMFWARKQQDT >OB01G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18273897:18281182:-1 gene:OB01G32490 transcript:OB01G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64350) TAIR;Acc:AT1G64350] MAERQVAQLGAGAACVGWNHCGRRLAAGAGDGFVXXXXXDSQPSPSSKWQAHEHAILNIVWLPPDYGDAIACVCADGTLSLWEEVAEDDQLPTWRKCKVFEGSNSHILNVQFGLQLSSLKMVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPIVELGSLQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLSPESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWYEQAVLDCNVSHN >OB01G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18283878:18285334:-1 gene:OB01G32500 transcript:OB01G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L1Z4] MAPVHSNVPHVVLLASPXXXXXXXXAPPAPPPPPPPATIVTYTNLSTARHSSALASLPPGVTTTALPEVPLDDLPADARIETRVFAVVRRTFPHLRELLVSLLGSPAGVAAFLTDMLCPAALAGAAELGVPRHVFFTSNLMCLASLLYTPELAATTACECRDLPEPVILPGCVPLHGADLADPVQDRTNPVYPLMVDLRLDSLRADGFVVNTFDALEHETLVAFKELSDKGVYPPAYAVGPFVRPCSGELINDTCVRWLDDQPDASVVYVSFGTGGTLSTEQTAELAAGLEVSKQRFLWVVHFPNDKDNSASYFGTTGGPGDAGDPLSYLPEGFLERTKGAGLAVPLWAPQVEILNHRAVGGFLSHCGWNSTLEAAAAGVPTLAWPLFAEQRMNAVMLSSERVGLALRVGLDDDGVVPREEVATAVRELMAGEKGAAARKKASDLRVAAEMASAPGGPQHQALAAVGGGWKRRGWSPARARADGA >OB01G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18290710:18291777:1 gene:OB01G32510 transcript:OB01G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSLLYTPELDRTTTCEFRDLPEPVLLPGCVPLRGSELVEPLQDRTDPVYPLIIDVGLDYLRADGFLVNTFDAMEHETLVAFKELSDRGVYPPAYAVGPFVRPPSDKSANDGCIRWLDDQPDGSVLYVCLGSGGTLSAAQTAELAAGLEASGQRFLWVVRFLSDKDSTASYFSGSAGGDGGDSPLNYLPEGFLERTRGTGLAVPMWAPQVEILNHRAVGGFVSHCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAMQAPGGPSLGAFEAVVAGAWKAAAARARADEPSKGTATATA >OB01G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18299599:18304269:-1 gene:OB01G32520 transcript:OB01G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G41800) TAIR;Acc:AT5G41800] MAPAAFDAEAAKQPAADASDAGAPFVPGAKGSEAAKQPAADASDAGAAFVLESKGKWWHAGFHLTTAIVGPTVLTLPYALRGMGWGLGLAVLTVIGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGSGWMFYFVVTVQTAINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFVSLLLSLGYTILVSAACIGAGLSKDAPVKDYSLSSSKSDQTVNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYLPSITGYWAFGSPVQSNVLKSLMPDSGRALAPTWLLGLTVLFVLLQLLAIGLVYSQVAYEIMEKSSVDATRGKFSRRNVLPRLLLRTLYLALCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNIALAPPRRSALYIANTAIMVVFSGVGAIGAFASIRKLVLDAGRFKLFSNNVVD >OB01G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18307589:18313354:-1 gene:OB01G32530 transcript:OB01G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPGRRIGLDERSQCSEQRALDWHALKQDPVELLRKLDELRDQITRTCQIVELPPREHRRANRRALSLLPENPEPPPMPGYHCSRYGGRYGHCLPPSPYEPPRPELGERYSRQSSGRYRHYQGRQWDNCGVGHGNYNPYSCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYHLLQVPMEKCMGRNRLQCGSCSEIISLRHEEKVIPISPSTSFCVSKTEQCSTDQTKRDFEHQLNDFANSAFYNLNEHSSMQINMDFGDEHSVSSTISHDRTEKGCESSRSIQLKTDELLLSPSRSGDIESPKDILCERDAECQAEPSDVRTNPCSPVLEDKLVDPLCSQEKDNNSEDLSTANRSDVNYKGEHKVSDDDGRLSLGSEQKVKECNEDSSVDESVCTTHEQKSKEDQYCSIEDVSRTHELDSSGTKDNIISIEDGKGRHDLESKIDDINSLEGDNVNKEYGQKSKEEENSGLEAENTEKGFDENNKENSNSALADADAPLEDTGDAFDAASLNERCEEKKTEEENGKLDQPFVEDGNALVESGGSSFNERSNSGFSRGSSETALEEDQPSTGKSGDSKFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPLASNCASGDTGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNVTDEATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >OB01G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18321853:18322290:1 gene:OB01G32540 transcript:OB01G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKMHQTQEGVERRGGMGEDGKEMWDMGSSLYDSYELASLCQILDRHVGTGDLPLLHGEPRQEGQRIGTGAPPPPKERRNAQAVVPRGAAQRGGGTGRKVTLRALFRAAASWAAVTRPRKAHGGACVGADSAGAIEPVVSPGR >OB01G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18325564:18328057:-1 gene:OB01G32550 transcript:OB01G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTECVEQHLHNVLWCVAVALEAIDTAGEIAGFDPDELARRRLLLAKKYDRDMLEPELFKYAFGKLYLVSQELVARMDVAWKEDSDYSVRRRLGSRLKEAHWMGESFAVKHFIGDIDAAGAEVALLSSVVHPNVAYAAYCFHDEEKKEYLVVMDQLMSKDLGSYVKEVSCPRRRIPFPLVVAVDIMLQIARGMAYLHAKKIYHGELNPCNVLVKPRQPDGGYVHVKVAGFERPGITAGGAKASANGNATANGNGNDHSCIWYAPEVLRPDGIADAAARCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQQPGEPAQRPAFHSICRVLRYVKRFLVMNPEQGQPDAPGPPVDYLDIEAQLLKRLPAWQRGEAARVADVPFQMFSYRVMEREKQATGTVHGRDKASDSGSEGNSLYGDENGFGAMSPDHAFSTTSNGSLRSRPDSSDGKMPPAKKADGKAPRQAGSQAKAKPVSTAARTPQSARRALGVKPDDHLQPNVPTARRRTPEKASE >OB01G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18334594:18337334:1 gene:OB01G32560 transcript:OB01G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLMASTRKKEIVDIIIKAIKSTSEYEPQVASSNRFEYHAAAEVIKEVEFEEAEGGVKTRIMHKAKS >OB01G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18351329:18351943:1 gene:OB01G32570 transcript:OB01G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSPRRFHRFSLSLSLSPASCPLPPSYKAVGAARRGGSRARALTTSKNPSLPRDRVSGVRLDRLGSVCPPLSFGCCRCAGFCIESSVFSLLVHLLFWVFFLTFDAAGGRGWVFFLTFDAAGGGGWVFFLTFDAAVGRGWVFFLTFDAAVGRGWFCLSFSVSNLGFWISWGLFVWFLCGSLWNTGRCSSPVPIISGSLFVFLCDL >OB01G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18353814:18355754:1 gene:OB01G32580 transcript:OB01G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSSGLGFGDSINALDTSHHWSTVQHNSGLKATTIDGSAYSSFSIASSKTLKRKRGAMAGPDGTGNPLLTLGLGHSPSSSDNSKVSSATAYAMSPSPLKEADEESSVDLGLKFELCLGNDMAQYQKKSLVGAKNSPLMNSPKLNLQLSLSTGSPESAVTNTNLVSPIIQGGWEIPVTNSSPTIIGEGSVPCTWVFEKSVVSSSYASEATYAFPFSKIPKTGDFAMPSVISSTLVTSMKSPVACTSGSTNPQQRISNTKNCQFPGCVKGARGASGRCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHEGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECSKGAQGSTKFCKAHGGGKRCTFLGCSKGAEGSTLFCKGHGGGKRCIFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDSGFGIGAPQCEKFARSKTGLCSAHCAFVQDHCVRGGGAYQFATDVKFDEMEVAPVNGDPLTKTSGDDDRSLLGNGRPPAALAATPDQLSEGRVHGGGLLALLSRGGNNERSDNSKNGPSAMMTWE >OB01G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18356278:18364316:-1 gene:OB01G32590 transcript:OB01G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase family 1 protein [Source:Projected from Arabidopsis thaliana (AT4G01210) TAIR;Acc:AT4G01210] MGSLENGAGGGAGAAGGHKRGPXXXXXXXXXXXXXXXRSRLARFLLFEKVDYLQWIGFAAAFFFVTIVVVVVFPGSGVVERPTILLPSRRAGGGRGGAESLLPRGLGVLETGEGVVFEPTRLRERWAKERREEADSSAKLGSPVRRFGVRQPRLAMVFGDLSPGAMQLQMVTVASVLEAMGYEMKVFSLKDGSCSNIWRTIGITVNLLREDTDLHISVDWLDYDGILVNSIESRPVFSSLLQEPFKSIPVIWNVQESSLAHRISEYNSSGMTQILDGWKEAFSRASVIVFPNYVLPVMYAAFDSGNYFVIPGSPVVPFQDRITTQSYYEGVRVSMGLSPSDFVIAIVGSQFSYGGFLMEEALVLQAIGSLLQQYPSENSNQVELKVRILAENVTEKHRTVLEDVALNVGFPRGALELVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGTNALLFPCKNIGKLTQVLLQAVSNGKISVLGRKIASAGKVHAKNLMASETVEGYAMLLENVIKFPAEVLTPLSGGEIPVALKQEWKWHLFEDVKHLYHINETSAGYILQKLEEEWRSNQMEDHHSNASKIDDTFSVMAWEEERAYEIANIKKRLEEEELKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLCIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKANLSKKAESALLEAVQTQKHGDAFYFWVRMDQDERNLANQDFWSFCDAINAGNCRLAVLKAFQRMYGMQLGDDLNNVPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFADALDSQMYDKHHQTGHCVLSLHRDQHCYSRVLELIVNVWAFHSARRMVYINPKTGAMQEQHLLNGRRGQMSIQWFSFATLKSMDEDLAEEFDEDHPDRRWLWPKTGEVFWQGLYERERNIRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPEDAGSLNDTRTVDQ >OB01G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18367330:18372798:-1 gene:OB01G32600 transcript:OB01G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3L204] MMSPSAAAAFLATAPASSSPTSTHCRRRRLPVISASLASSSSSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAAYQALAKRHPSFRERSETTDLIVDITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDLEKLRFVGESLKILRSEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLAEAISDYIVYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPHVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAYLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTKELEPAA >OB01G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18376957:18380755:1 gene:OB01G32610 transcript:OB01G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYTFGRELGRGQFGVTYLVTHKASGKRFACKSIATRKLVHRDDIEDVRREVQIMYHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSKSEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGRIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHMNKLEKEDHILKAFEYFDKDHSGCITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >OB01G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18380911:18383490:-1 gene:OB01G32620 transcript:OB01G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGLRQQQMTGTAGRHHKHYIVPNLANKRMQAFNEMQTDAEIDSRPRPYPSEKTLFWHLASEKNSKSNGARQSEFVLEETKATKPASRGKEPSTSPLPKHLQSNSASSNFAMHNVGMKDQPVVRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHKSGKMKNVSVR >OB01G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18389919:18391397:-1 gene:OB01G32630 transcript:OB01G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G80080) TAIR;Acc:AT1G80080] MALPAAAPTMVVVLVAVLAAVCLGEFTVVVPDSSSSSSSAAALVNAPQTGLSDRARTDPAEQRAVQEVMAATGNGWASGIADVCRGRWHGIECVPDRGEVYHVVSLSFGALSDDTAFPACDAARATLSQAVLALPHLRSLFFYRCFTANPQPVPAFLGRLGPAFRSLVLRENGHVGAIPPELGNLTALRVLDLHGNHLTSAIPATIQSLEHLQLLDLSYNQLAGEVPHFKFQHLSILDLSHNALQGRVPATLGQCRSLLKMDLSQNRLAGTIPDALGDLPDLILLDLSQNSLSGPIPAALGRLSSLRSLILGDNRMQFSTVPDGFFAGLKALTTLVLSGMGLEGSVPESIGELDHLRVLRLDNNEFTGVIPASFRRLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDAKQQGLEGVVALAGVSDCDSVRSRTTQHLANTGGWPAPVANVTASAASGRTGACVWSWHVFVGVLVSMQLVWL >OB01G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18394513:18397310:-1 gene:OB01G32640 transcript:OB01G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCPLARKYIDDPGLVIGMEFGNDVQIRMDAGVHSEQSFGEPALPRLLIEVPPQVIDGFDCVGGVGGATATLSEQSKELELVGEEKDIVISIPEPVNSPRCASVSAAYEDDGGQMPYSVSLSMPASPSGFHLSQFGMAAATKVPAETTRFDAAHPAAVGRVEAHSPRLLMKQTRFHSQPILHLSKNGETRRCDSGARDKRFDQFKTFSGRLERQLSNLRGRPPQEHMIGGQAPEPNIAEEETEQVPAADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAILWKTLASAPPTAFLHVSPVVNHVLWYVALALMALVTSIYLLKIVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGARMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNITLPKELHPVFFLFVAAPSVASMAWAKIHGEFDYGARIAYFIALFLYMSLAVRINFFRGFKFSLAWWAYTFPMTGASIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLRDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKELVLSISKPQNNSDSDSSVSSKATATDPSVTRVKADI >OB01G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18429544:18434809:1 gene:OB01G32650 transcript:OB01G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGLGLVSSRLTGLATGGGGGGGXXXXXXXXXXVDGRDIGVSFGDFPYYLSEQTRLALTSTAFVHLSPTILPSHIRALSTSSRTILLCGPSEVYLQSLAKALANQFNARLLLLDVLDFSRKIHHKYGGPSNPQARERSITEGAFNKVSSLVGAFNLFRKKEEPTGSLNRETNLLDLRTSNCCSHNAPSVRLQLSLIPVAKDHGSESSRDFDSAKPYWGLSEKVLIQSLYKIIVSASEISPIILYIRDVEDLLGCSEKAYCMFQKMLKKLSGRVIVIGSQFLESDQDRDDIEESVCALFPCILETKPPKEKNLLQKWKIQMEEDSNNDKNRMVQNYIAEVLSKKSLECEDLGSINADDDFKIIVNYLEEIIAPAVSYHLMNNKDPKYRNGNLVISSESLSHGLRIFQESNNLGKDTLEAKDETEMVVPDSEYEKKIRQTVIPANEIGVTFDDIGALADIKEWLRELVMLPLQRPDFFKGGLLKPCKGILLFGPPGTGKTMLAKALANAAGASFLNISMSSMTSKWYGESEKCIQALFSLAAKIAPAIIFVDEVDSMLGLRDNPNENEASRRIKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNLCVTAAYRPVRELLQKEKNEDKKETAPETNQEPKQKTEIQEIGATSSDSKKDKDKLDNKDSKKDKPDDKKDKSDKGDAGETALRPLNMQDLRNAKDEVAASFASEGAVMSRIKEWNELYGKGGSRKPEQLTYFL >OB01G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18434845:18436342:-1 gene:OB01G32660 transcript:OB01G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCIVSCVVLMLLCCMRLSAAAVYNVGSFGARPDGRTDASEALAAAWSVACRSTEPATVLVPNGQFLVSHAAFAGPCSGRMTVQIDGTLVAPSGYTGGGSSGGEGEWIVFDHVDGLTVSGGTLDGSGESLWACKAAGHDGCPDGATSMKVLNSRDVMISGVKSVNSELYHVVIDGCEGVTVQDSRIVAPESSPNTDGIHVQSSSAVTITGASIQTGDDCISVGPGTSNLRVEHVSCGPGHGISIGSLGKESEERGVENVTVSGATFVGTENGLRIKTWGRAARSSAYVRGVVFEHALMRDVSNPIIIDQNYCPNDGGHGCPHQSSDVQISGVTYTDIQGSSASQVAVKFDCSASKPCSGLGLQDIKLTFDGGKPTEATCQHADGTASGVLVPPSCL >OB01G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18450516:18451447:1 gene:OB01G32670 transcript:OB01G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLLAARRFRRRAVSTITATAPATPCGGYGEDEGPFFDLDLSCCSASAPVSSAESGSESEDYSSCAGEFDDFVISLQRSRSASPSYERVFYVRGGGWSAAAASVAPAHLKFCASEPSDAASRFGYGRRGRLRTLSFGSAKAAFYGGRASFSRSSNSSRSARLFATFSYGSPDQEEASKRTPSRDVIRRYLSKISRHLRRVAPGASATAADLRLRKSRSASAAQTAAASQSPPSRRDDSLLEQQDGIASAIAHCKESLHRASVSECDLSLPRSRSDPGS >OB01G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18457512:18462895:-1 gene:OB01G32680 transcript:OB01G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSYCLCSRACGFGAPRIWLWLLLALVLQNCSLTLTASPYLVGMGSFDITGPAADVNMMGYANAEQTASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERNKYQYNVDKEMTLMKFVDNEQGPIGSFNWFATHGTSMSRTNSLISGDNKGAAALFMEDWAEQNGLPKKSALANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSAQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGRNELCYGRGPGYPDEFESTRVIGNRQFLKAVGLFNSASEEIQGKIDYRHTYLDFSQLEVKVSTSAGSQQTVQTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWRLVRNLLKTPRREQVECHAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRNAVKNVLTSGNGEFNKNIHVVLAGLTNSYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFEKLATAMTANKEVPTNLQPPDLLDKQIGLLPGVVFDSTPLGVKFGDVSSDVPSNSTFNKGSTVNATFYSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAVSGVYRLRHYGASKPMFGSIRHFTGTSRAFAVR >OB01G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18470908:18471487:1 gene:OB01G32690 transcript:OB01G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLVDRAKGFVAEKIAQIPKPDATLDRVSFKGVTRECITLHSHIDVNNPYTHRIPICELTYTFKSDGKVIASGTMPDPGWIAASSTTKLELPVKVPYDFIMSLIKDLSGDWDIDYILEVGITIDLPVVGSFTIPLTTEGEMKLPTFRDLIF >OB01G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18474168:18477147:1 gene:OB01G32700 transcript:OB01G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDLESKAKEAFVDDDFELAAELYTQAIDAGPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIKLEEYQTAKAALELGASYASGDSRFTRLMKECDERIAEEAHQAPIKKTEDPAAAPSVASTVEEKDDTVTMENTQMVEVPSKPRFRHDFYNSATDVVLTIFAKGVPPENVVIDFGEQMLSVSIEVPGEPGRGAIPFSAAAVL >OB01G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18483245:18485138:-1 gene:OB01G32710 transcript:OB01G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPLRLRVVVVMDQHFNDWDLQAVVRSCSFPQPEQPAGGPTRVGGVGSAVPAPPEAAGAPAGPGQVTRAPEGAAAKAKAAGASALYDLEYLDLDHKPFFLPGSSSSFRAVARTRGEDDSKSHEVMISFPAAAASTSGAQPRSPSGRKPGIRTPRPKRSKKSQLKKVVYEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGMLVVTYMADHCHPVPTQLNALAGTTRHKCTPAEDDLQPTTESRGAPCSRQSGRRRRDGEVRGRRQRAVCGGRGGRRRRGGG >OB01G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18494990:18498832:1 gene:OB01G32720 transcript:OB01G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G16060) TAIR;Acc:AT3G16060] MNGGGRRRYSSEQLMFDVPANAGAAAGKWAQRGGVRRGDGEIFVSVEPTTPARLRGGDTAAESPGQRQQLSPGLLDLHSFDTELISDFQVPGIGMYDGAQKFGYGYGGFDDSDPTFAPNKQMSKSTVFAESNFVKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSSTKKDLPLAVAPLGESSPSPLASALPSFSAAEVMNDITERSNFGWPKQQCAKEQQAPTFVDRMPKVKEDAEFGLSNGGFFKEQRTKGSGQMGIAEIPDTVYHQGRQPARKARNSGSDNNMRNSIAYPIRRVVPDEDDHLNELLQEEEDLVTAHRKQVEETLDIIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >OB01G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18502966:18506583:1 gene:OB01G32730 transcript:OB01G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALKVFGPARSTNVARVLVCLEEVGAEYELVDIDFAAREQKSPDHLKRNPFGQIPAFQDGDLLLFESRAIGKYILRKYKTSDADLLREGDLAEAAMVDVWTEVEAHQYNPALSPVVYECVIYPAIHGTPTNQRVVDESLEKLRKGLEVHEARLWESASLAGDFASFADLNHFPYTFYFMATPHAPLFDEYPHVKAWWERLMARPAIKKLAARMALLN >OB01G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18509636:18511683:1 gene:OB01G32740 transcript:OB01G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVARVVVCLEEVGAEYERVDIDFAAKEHRASPDHLNRNPFGQFPAFQDGDLLLLESRAIGRYILRKYKTGDVDLLREGNPRDAAMVDMATEVEAHQYTPAISPIVYECIFHPAMRGTPASTKVVDESLEKLRKVLEVYEARLGGGAPPPRLSYFPFTFYFMVTPYAPLFDEYPRVKGWWERLAARPSVRKLAAGMAISPEAIRRSFNG >OB01G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18522090:18522444:1 gene:OB01G32750 transcript:OB01G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSAPLLTPYKTGQFDLAHSDPCAWFQSASPCCLWPGQGRPRAADAVPVPGNVPQAHNAAYYTQRAAAGALLVAEAYAVSETARGYPDAPGLWSGE >OB01G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18522167:18522544:-1 gene:OB01G32760 transcript:OB01G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLWERRGRCAISGRRRRRPWRARRRRRASTPPPTPRSTTPARPGTLSPSPTLRRPPPPAAPPPPPAACSTPRCAPVAHCRAPAPHQRREDDPAPATNNKEMHFGTTRRDRSRRRRRSAAVRLNL >OB01G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18528742:18529820:1 gene:OB01G32770 transcript:OB01G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGGDGQQQTAPFVAKTYQMVCDPRTDALVRWGRENNSFVIVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLSRIARRKKRGDQGGGASCSFGGFNGAGEQHAASNMGMFGEEAAAEDVLANEAALFEEVQRLRHKQTAIGEDLARMSQRLQATERRPDQLMSFLAKLADDPNAVTGHLLEQVAERKRRRKHLPPHEPTVSPLPPAPPPPQQPLLALAGAAAMEGTWQWTTEHQIKPMTMLPSLEPPTASCGVQKVPELGGGGVMGLTDGEAKVEPPFPFCLLGQAFF >OB01G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18535567:18536039:1 gene:OB01G32780 transcript:OB01G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIDKQWPNAVRKEPTPRDRPPLPRHGDQHVAIPAGVSSPHTNASIHTLLLPNDPYKERFRGWYSAATATGSTERQQLYSESSGGGITGGAGKGAVVKKWRLGVKAST >OB01G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18543969:18544157:1 gene:OB01G32790 transcript:OB01G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILHVNTNEDELQARTTHIAGERARSLAASPTYVHLCSTGLTSRKEQVGYKLDWLIKQGLWS >OB01G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18544175:18545280:-1 gene:OB01G32800 transcript:OB01G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFVDKSPQCRRDGVGAGGWLKQRLAQILRPKVDGTARHRRPRRRNASFVHISIDCTGGAGATSGRRSVRSDAPLLPSSARSLPTKDGRRQSRARRNPRSPSTSRRHCPSSSWGLARLPRGAPGQYSCSSSTVTDDELAPFSTDEEGGEEAETRTLFSSLSFSSDSTSEFYHTNSSSSLARKGHKNVPRRPPPRRASTRTTSDPADAFRPVVSVAATKQHNEYFNDKRKEEKTIKKQLGEEEEDAGADVGAGMAVVKRSSNPYADFRSSMVEMVVERRICSVPEMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEE >OB01G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18551987:18552996:-1 gene:OB01G32810 transcript:OB01G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFTQEVLLILLLSILKAIAFSGGDIDFRTRVLFAFINCAAGRTAEAAHRHENFSNPRTRNPWRSSNAVRPCSNCQSAAAATVLQLDTDPRYMAYQGRKGNCVSIQRPPSAGIHFRPRLVPKFFFEKHHIKSLNI >OB01G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18556643:18556822:1 gene:OB01G32820 transcript:OB01G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRKKIEQRYDEFSVLTQRLYNRRQYVIAVQRNNIDEFTNTILNSYRSFGKWGTDIPVK >OB01G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18557173:18565583:1 gene:OB01G32830 transcript:OB01G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adaptin family protein [Source:Projected from Arabidopsis thaliana (AT5G11490) TAIR;Acc:AT5G11490] MAPTAPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDAVLKKMCYLYVGVHARNHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKTLMLSDPDAQVVANCMHALQEIWTLEAAKSEEAAREIETLYSKPVVFYLLNRIKEFSEWAQCLVLELVSNFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPILFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLAAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSGEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLTVGAETTENTISAQRYQENDNDLLLSTSDKEDNATATSNGSSASTYNAPSDLSGPSLLSSQTPSETSLRNPGGPTYSSQSNFSLDDLLGLGVPESPAPPPAPALTLNSKPVLDPGTFQKKWGQLALSLSQECTLSPQGAASLMNPQLLIRHMQSNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKIKADDGTAAEAFSTLFQSALSKFGLS >OB01G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18566131:18566373:-1 gene:OB01G32840 transcript:OB01G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKGKGARKGSPAGDEEQSTAAAAMQFVKVWTTWTMKKTKVAAHYGFIPLIIVIGMRSEPRPSLAQLLTPV >OB01G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18572842:18575005:1 gene:OB01G32850 transcript:OB01G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGDRHAPYQQHGHGHLARGDRGADDYVYNSSDMESFFFSQLPSGVGGGGATGVGGADEIMPYSSITDYLQGFLDPSGLARHLDVPGPSSQDTPVKQELSVDVTSHDSQGTGGVAGEGVAQATPNSSASFSSSDGEAEGGKSRRCKKGHAKAEDEKDEEDGENSKKPNKPKKKAEKRQRQPRGALFLTKSEVDHLEDGYRWRKSGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGAGGHHLFMPGGLHGLPPPSHLMPAGFHPELMGLMHHYPMAAAAANPSMYLPGVAAPPPAAADAMSTAPPLQQHHFTDYALLQDLFPSTMPSNAHD >OB01G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18587636:18588373:-1 gene:OB01G32860 transcript:OB01G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPTAFTGNPSFAYGHEADGCIANGPLGGQCNYRVPVSPAFGAPPVMTTPQLRTSLSGFEFQPSKVCPRNFIIFDQTDDKGRIMCHPALVSKLNPTATNAFPSYPEEICRSSGQHNGNLEEDSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDFFDSDSIDSSSPLRSKKMHHSSEKSSVFHGSMDSVTHERMRNMVTVLRGIIPGSDQLDTTSVIEEAVRYLKFLKMEAKKLGVEVSDN >OB01G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18598641:18601438:1 gene:OB01G32870 transcript:OB01G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVIGIGSGEASPWSVLGGAAAALLLVWAVQMLEWAWLAPRRMDRVLRAQGLKGTEYRFLHGDLKEDLRLVRAALSRPVPADRPHDIFQRVAPLLHRSLEEHGKMSFTWFGPIPRVTVTDPELVREVLTNKFGHFEKSRQVTRLSRLLVGGLVILHGEKWVKHRRILNPAFHAEKLKRMLPAFSASCSELIGRWEIAVAASVEKPDLDVWPEFQNLSGDVISRAAFGVTHQEGRRIFQLQAEQAERLVQSFRTNYIPGFSILPTENNRRMKAIDREVKTILRGMIEKRQKAMKNGEASKDDLLGLLLESNMDYNDKDGKPNGGMTVDEIIEECKLFYFAGMETTAVLLSWTVVVLSMHPEWQDRAREEVLQVFGPNKPDFNGVSRLKVVTMVLNEVLRLYPPVPVTTRRTYKEIELGGVRYPAGVMLALPVLLVHRETAVWGRDAGEFNPGRFAEGVANACRDPGGAFFPFSWGPRVCIGQNFALLEAKVAVSMVLQRFAFELSPAYVHAPYTVLTLHPQHGVPVRLRRLRSSC >OB01G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18604580:18608088:-1 gene:OB01G32880 transcript:OB01G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGEASPWGLAAVALLWLAVWTLEWAWWTPRRLERALRAQGVRGTRYRLFTGDVPENVRLNREARTKPLPLGCHDITPRVLPMFSKVVEEHGEPSFTWFGPTPRVMISDPESIREVLSNKFGHYGKQRTTRLGKMLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFANCCIEMVDRWDNSMSLEGVSELDVWPEFQNLTGDVISKTAFGSSYDEGRRIFQLQAESAERLIQAFRTIFIPGYWFLPTKNNRRMREIEREVRKLLRGIIGKRERAIKNGETSNADLLGLLVESNMRESNGKAELGMTTDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLNRLKTVTMILYEVLRLYPPVVFLTRRTYKEMNLGGIKYPAEVNLMLPILFIHHDPNIWGKDANEFNPERFVDGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMALCTILQRFSFELSPSYIHAPYTVITLHPQHGAQIKLKKI >OB01G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18619974:18622154:1 gene:OB01G32890 transcript:OB01G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGASLEGSSPSSPATAPWGLLYGVAVLPVLCALYWAAERCWLGPRRVAGELKAQGLRGTAYRFPAGDLTENTRRSKEARAKPMPPCHDIVPRVAPLLLDIVRDHGNVCITWFGTTPRVVIAEPELLKDILSQKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSACCSEMIDRWDSKLAGSDGPQEIDIWQEFQNLTGDVISRTAFGSSFKEGRRIFQLQEEQADRVIKAIQYIYIPGFLYFPTENNRRMKRNSREIEGLLRGIVEKRSRAVENGELSGDDLLGLMLRSNTDSGEPPSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLAMHPEWQDRAREEVLGAFARDKPSFDGLSRLKTVTMILYEVLRLYPPAISLSRRTIKEMQIGGITYPAGVALELPIILIHHSTDVWGKDAQEFKPERFAEGISRATKTDQPAFFPFGWGPRICIGQNFAMLEAKMALCMILRSFEFELSPSYVHAPYASVTLHPQHGAQVILKKL >OB01G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18627111:18629726:1 gene:OB01G32900 transcript:OB01G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLAMVLGGLTSPASVPWSLLARGFLGLLLLWQAVRLLHRLWWRPRRIELALRAQGVRGTRYRFLTGDLGEHGRLNREAWARPLPLPLRCHHIAPRVAPFLHNASREHGRTFLSWFGPNPKVTFVDPAVARDVLSNKFGHFEKFKFQGLTKVISDGLASHEGERWAKHRRILNPAFHVEKLKRMLPAFSACCEELISRWMESVGSGGSYEVDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQADRVMKCIQKTVIPGYMSLPTKNNRRMKHIKKEIDSILRGLIDKRMQALKEGESTKDDLLGLLLESNMRQMEMAEDGQSSQGLTIEEVIEECKLFYFAGMETTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNKPEYEGLNRLKIVTMILYEVLRLYPPAVTFTRQTYKQMEIGGVTYPAGVMIELPVLLIHGDPSIWGSDVHDFKPERFAEGISRASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRLELELAPSYTHAPQSVLTLRPIHGAQVKLRAI >OB01G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18627276:18661083:1 gene:OB01G32910 transcript:OB01G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGCHDVVPRAMPLFHQAMKEHGKMSITWFGPVPRVTITKPELVREVLSNKFGHFEKLKFGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTEMVDKWEGLARGDEPYEVDVWPEMQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELVVMTMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKCIIAKRLKALKAGEASSDDLLGLLLESNLDHSKGNGNANSGITIDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLQVFGSRAPDYDGLSRLRTVTMVLYEVLRLYTPLTSLQRKTYKPMELGGVRFPAGVMLQLPLLCVHHDKDVWGPDADEFRPERFAQGISRASRESPAFFPFGWGPRICIGQSFALLEAKMGLSVILQRFSFDLSPSYTHAPFTVGLLQPEHGAQVRLTRLH >OB01G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18654383:18661321:1 gene:OB01G32920 transcript:OB01G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLDGASTLAHPWEPWSLLYQLGAALVALWWAWLALDRAWLRPRRLERALRAQGLRGTPYRSPAGDVPLNARLNREARARTMPLGCHDVVPRAMPMFHQAIKENGKMSITWFGSVPRVTITKPELVREVLSNKFGHFEKLSFGRLQRLLHNGVSGHEGKKWAKHRRIINPAFHLEKLKRMMPAFAACCTELVDKWEGLARGDEPYELDVWPEMQSLTGDVISRAAFGSNYLEGKMIFQLQGELILHVGVAMNRIYIPGYMYLPTKSNRRMKQIAAEIEGMLKRIIAKRERALKAGEASSDDLLGILLQSNVEHSKGNGNGNGSSSTTSLTIDDVVGECKLFYFAGMETTSVLLTWTIVVLSMHPEWQDRAREEVLQVFGSRAPDYDGLNRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRFPAGVVLVLPLLCIHHDRDVWGPDADEFRPERFAEGIAKASRGGREALAFFPFGGGPRTCIGQNFALLEAKMGLSVILQRFSFDLSPSYTHAPFPVGLLQPEHGAQVRLTRLRH >OB01G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18664941:18674290:1 gene:OB01G32930 transcript:OB01G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDGASPAVASMELVLYALGALAALWWAWRALDGAWLRPRRLARALQAQGLRGTSYRFPSGDMQEYVRLGAAALSAPMPPSSHAVAQRALPFDHAAIKQHGNVCLTWFRSEPRVIVNDPKLFREILSDKNGRFGKQKSILSIQGLFADGLTSHQGSKWVAHRRIINHAFHLEKLKRMLPAFATCSSELIRRWQDSVGDDGVQEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFELQSEQAQNVVKMSRATHFPGYSFLPTKLNRRTKANAREAAELLRGIITKREKAMKDGHADNDDLLGLLLETNIKESQETGSSKPIMTTEDIIGELKLLYFAGSDTTAVLLTWTMVLLSMYPEWQDRAREEVLWVLGKNSSDFEGINHLKVVTMILHEVLRLYPPILLLSREAYEEAELGGITYPAGVTFALPIACIHHDPELWGEDAGEFKPERFAEGVSRASRDSPALFHFGWGPRICVGQSFALLEAKMALSMILQRFSFELSPSYTHAPFPVSTLQPQYGAQIKLAKI >OB01G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18679302:18685003:1 gene:OB01G32940 transcript:OB01G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQCEEIAFDRTAVGSTLLSHQNVCSTSEVPGANFGITEVSFSQDEFNTTTTGVLPPSLLSCGPRSMLPISVPSSSSLETILSPDPTYSELQVKEMNHNATAMDESSEFLQLILSSNDEGYNTANEFQVWDVLDFYFSESFSAMQFDSLMGFTNDVSSSHHECMNLVDMVERPVALLSLNDTEEQSNTTDEAHVDHTIMDPDDTSLYLQMKPSDLETESNCASQDLEKPLSRGLPDLMDVDSPSRLSKSARSKQVTLVLDLDETLVHSTLDHCDDADFTLQVFFNMKNHTVYVRQRPHLKMFLEKAAQMFELVIFTASQRIYAEQLIDRLDPDGRLISNRIYRESCIFSDGCYTKDLTILGVDLAKVMIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVDDVRPIISRTFHHTLEQN >OB01G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18686651:18686815:-1 gene:OB01G32950 transcript:OB01G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYSTTEAGISTNIHESLKQFDRIQSHLGRVFVTPSVSLSLVFHAHVSRTAKRC >OB01G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18686971:18688350:1 gene:OB01G32960 transcript:OB01G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3L240] MVTVPHGHHMASLLSRAAGAVSLLAVVLTATTSTSPAAALFPEEARPTRSGYLSITSTNSLYYAFYEATDPVTTPAASAVPLLVWLQGGPGSSSLIGNFAELGPYLLLDSDTSLSCNPNRWNRRFGVIFIDNPLGVGFSVAASDADIPTDEPTIADHLLAALQSFMALDPAFRARPLFLTGESYAGKYIPAAASRILGANSKLPSNRRVNLQGVAIGNGMTHPVAQVTVHADQAYFAGLINAAQKAEVEEMQTRAVSLVKSNKYVAARRERGKIIDFLENVTGVATAFNYARGQPHPTQPLRDFLNTGEAKAALGARSDVQWARRSEAVSRALAKDVMKSAIGDVEAVLASNGTRVLLFQGVFDLHSGPASVEAWVGELAWPGLGAFLAAERAVWRLGDGQLAGYVQRSGALANAVIVGAGHMAPGDNRPAAQAMIEGWVLQTGPFGEAAVRDNVVNES >OB01G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18691071:18697252:-1 gene:OB01G32970 transcript:OB01G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L241] MQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPILFSQKFPSADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYTNGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMDRKHTSLPRSTIVHTTPIPAKEQPRNGLSRDKPLSDETYNNPREFDRFSGSAPRTSQAPQRVPTARPGRVVGPVLPYENGAAKDSYEARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPLQAERYTLHQQAYACANSTAVPDVALDMRAPPFHLTGGPKSDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >OB01G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18707277:18707903:-1 gene:OB01G32980 transcript:OB01G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILNKLTPENFNLLKGQLMEVGLTTADILKDIIFLVFKKVVFEPTFCPMYVQLCSDLNEKLPSCPQEEPDIKEITFKRVLINNCQEAFEGAEGLRAEIVKFTGWLFPRE >OB01G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18708411:18708584:-1 gene:OB01G32990 transcript:OB01G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFKHVLLPRNTKLIVTAMYVLLTYNFYHIFQSVLKCRPVKKYRPINIFLLHNNVNKS >OB01G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18720841:18721005:1 gene:OB01G33000 transcript:OB01G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LATWEKYLANIEPHACSHRYTSYQPRMLGRACMHALLLWPFPPLYKCTPTIKIQ >OB01G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18721366:18721494:-1 gene:OB01G33010 transcript:OB01G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERLLDETADYIAALHAQVGVMRALACLLSGLGSPPEKPQ >OB01G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18730875:18738273:1 gene:OB01G33020 transcript:OB01G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLALFPVLIAWVYSEILEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIGSCFLAVILMWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIISISLLAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYISLRNCTQQLRNVSLTLFAWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAVYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVCLYCLSIVLLKIPIV >OB01G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18739203:18742348:1 gene:OB01G33030 transcript:OB01G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urophorphyrin methylase 1 [Source:Projected from Arabidopsis thaliana (AT5G40850) TAIR;Acc:AT5G40850] MALALRAPRFQPLPTPTPAPPASTSRPSSYYYHGHAVVCAAAASPFTEATSSSRYRRDAWSYAAADDESSSVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGDGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYIAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDLVSEVKSADLVSPTLIIIGKVVALSPLWIDSSKQDALKVENSYAPEAKR >OB01G33040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18757432:18762114:1 gene:OB01G33040 transcript:OB01G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTILPKIAAHWLFTFYRAARKLRGHAFQYCRSSAAAKPPPSVSQAAASKCAVIVPDAADKAVVFGFDGVLMRPDTLFPYFMLVACEGGSLLRGLLLLCAFPLVWALGERSDAGIRVMALLTFFGLRPRDMDLVARAVLPKFYMEGLNAQVYSRLWLPARKKVVVTSAPRVMVEWFLKEYMAADVVGGGELQVVRVGRGCYFTGLLCGTGGSAPGLKDEALTEAFGTDNAMADVAVAGSSSQLDHHCFSYCKEVYVVNRDSSHSKNARLPRDRYPKPLIFHDGRLAFLPTPSAGLAFFLFLPLGVTLSVIRISIGIVVPYKISFSAGALFGVRFRTSGLRAPEPGVKRRGVLYVCTHRTLVDPIMLTAALQKPVPAVTYSL >OB01G33050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18772075:18772248:-1 gene:OB01G33050 transcript:OB01G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKNERHSFTSTHITVTNFGNLVTGHASMRKRQERVQRAPTLLGDHTVVAGEHHVL >OB01G33060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18789335:18790723:1 gene:OB01G33060 transcript:OB01G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGVLVLLLLAFLGGAEQQCRAEAADASARGGASTRRGLTTVSVAKPSYPTVTTPMPASTSPTTMPMGASFPSLATAGGGGGGSWCVASQSASPTALQVALDYACGYGADCSAIQAGGSCFNPDTVKDHASYAFNSYYQKNPVPTSCDFGGTATLTTTDPSSGSCQYPASSGGGGQNMLPPPSPTTLPPMTPTPMTPTPTTPMTPTPTTPDIGTPIYGGSTTPPDYGSMSPPGLGANSPPDYGDVGAAPTTASGRAAVAFACVVIATMSLMMSMST >OB01G33070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18799327:18806802:1 gene:OB01G33070 transcript:OB01G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKSKKTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQPSFPPQDKHTNREPGKTPGHMAGSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSSGHARKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGGFSWENRMKVVVGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPSLEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >OB01G33080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18808665:18808895:1 gene:OB01G33080 transcript:OB01G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGKVGEWIRSRMVPRKKRAKASGRSEGGEAEARVLAKLYEKVVYHLLWLVESIVVVARLCFFVMRFGIKQL >OB01G33090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18811037:18816996:1 gene:OB01G33090 transcript:OB01G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTARGICTLLLILFVSSMLASAGNDGLVRIALKKRPIMESIYGDLIPKSSTVEHEVALGYSGVRMMNREEGIYDPVTEAINSVRLHQQKMLKDIEAAAMEQRLKHFWSYKDFRERSGLRDVTQYPLALKNFLNAQYFGQIGVGCPPQNFTVVFDTGSSNLWVPSAKCIFSLACYFHCKYESRGSSTYKENGTPASIHYGTGSIHGYFSQDQVTIGDLVVNNQEFIEAIHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNMIQQNLVNEKVFSFWLNRNANDMNGGEIVFGGADKNHYKGLHTYTRVTRKAYWQFEMGDFLVGGKSTGICVDGCAVIADSGTSLIAGPIAAIAQIHAQIGATGVANEECKQVVAGHGHELLELLQEKTPPAHVCSKIGLCKHDGTHGINAGIESVLGETHKSADGVSDATCNACEMAVTWMQSEFVQNHTKEGKLEYANWLCDNMPSPVGSYVDCRHIHLLPNVAFSIGGRAFELTPEQYILKFGEGFLTHCMSGFMALDIPPPIGPLWILGDVFMGAYHTIFDYGNMRVGFADSA >OB01G33100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18828378:18828977:1 gene:OB01G33100 transcript:OB01G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQETKGKTCTLNKAPRHSLHASPPIDPFHSSSRSSSLERSLSSLHFTGATAAAAAAAVISSGAGDQDERRLLPVEPRLLLGDERLHRAPELHLLVHGAPVPRGGRGERTAATAPLRLQAAQLRRRRPRPPPAADLLGPAPTRGGARRRDVHRLLVLEHRALLILARRLPLGDRGERQIRAREGEELVVYVVGVGAG >OB01G33110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18828519:18829025:-1 gene:OB01G33110 transcript:OB01G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLLVALSRRDRSSSSSCSDAHDVHDQLLSFASADLPLPAITEGEAPGEDQEGPVFEDKQAVHVTPARPAPRRSRSEKVGRGRRTRAASPELRRLESERCRRRRSLSSASPGDWGAVDEEVEFRSAVEAFIAKQQTRFHREESSFVLVAGAGDEITAAAAAAAVAPVK >OB01G33120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18848060:18849146:-1 gene:OB01G33120 transcript:OB01G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:J3L256] MALSLSTSFLPTPATRTTLHSLVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEESAGAN >OB01G33130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18859948:18863642:1 gene:OB01G33130 transcript:OB01G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSDEDSEISDSEIDDYEGKFYARLVAGEFKVKDGESYSCPFCSGKKKKAYNLNNLIQHASGVGAAPNRQAKDKASHRALAKHLKNGLTKSSELPAQTVVVEPQPLPSRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKVIPLWNFRGHTGNAIVEFGKDWHGFRNALAFENYFEAEGYGKRDWKQKQNQGSYLFGWVARAEDHSYPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYTERTASLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIEQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVRKILRLEEQLDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKESKSNTEMQDARKELENGLLDLSDGRAHIGIKRMGELDLEAFSKACRKMSSEEDVGVTAAILCSKWQAEIKNPDWHPFRAVLVDGQEKEIIEDDEKLQELKEEHGEEIYKLVRKALCEINEYNPSGRFPVGELWNFRDDRKATLKETVQFVLRQWRANRRKR >OB01G33140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18859722:18860117:-1 gene:OB01G33140 transcript:OB01G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTQCYERRRHTYLRPAASPSPDLTPCRTPARAEGRERAAGIGEERRGGRTRRAFRPRARGAAERGRGWEEGRDPGASSAGKERAVPVRAGTGMRGKEDWTRESRTGILCRICCYGTEAIRCIEENLHC >OB01G33150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18864171:18864889:1 gene:OB01G33150 transcript:OB01G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETRISIGEVSYKMSAEQKAGADWTTSPCSSVQIRCKVTVKYASRRLSGNGKAVRDRKPDKPWTSEETRPVHTVAPGSDEACGVCKERFSNGGASPVNLPCEHAFHTHCALASLSKGNACPVCRHDMCGLVTPPWTRLAT >OB01G33160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18865596:18868670:1 gene:OB01G33160 transcript:OB01G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAGQTGGGEAAGEYWSEALKSFLDHIPVSSVSGALQPSPSPALEIKLDGSVPDAIDSMYHSNVAGAVIVDDVRTSFGKFVDRDIGFLEFPSLLLWALEELDNMENGPGDKNSDLLSCLKQHPRIAETKIAWLSKLFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVESMNSSVTRFVTQNAVMELLLTSSGLEWLDKIADKQLSEFRFYASKPISVYSDQTLADALHILSKEKIGIAVIDRKTRCLIGSILCSELYRLLDDNSLFRNRKTLIAEEFMKLKRKVEDNSTENSPAADVQSVLSLRPGQSKTTDRPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAALEQTGCRVEQGQMIQNS >OB01G33170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18870507:18871912:1 gene:OB01G33170 transcript:OB01G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydroflavonol 4-reductase [Source:Projected from Arabidopsis thaliana (AT5G42800) TAIR;Acc:AT5G42800] MDEAVKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSNLGKTKPLLELPGSKERLTLWKADLSEEGSFDAAIRGCTGVFHVATPMDFESKDPENEVIKPTVDGMLSIMRACREAGTVRRVVFTSSAGTVNIEERQRPSYDHDDWSDVDFCRRVKMTGWMYFVSKSLAEKAAMDYAGEHGLDLISVIPTLVVGPFISTGMPPSHVTALALLTRKEAHYSILKQVQFVHLDDLCDAEIFLFENPEARGRYVCSSHDTTIHGLAAMLGEMFPEYDVPREFPGIADGLEPVHFSSWKLLAHGFRFRYTLEDMFEAAVRTCREKGLLPLPPAAAAGGGGGGGGTAAQRVWPARRKRYWSEGRRLARKLKRWSNEMLTIESALGSTMILWSMVGCSLLLAFALLGFLFHNA >OB01G33180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18872994:18879376:-1 gene:OB01G33180 transcript:OB01G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKATWGRPDDIVKITTCMVEPSISYVVDSCNLSNKSATDHVVIVGGDTFVNGEKGSTGQTFPSSSSVKSASSDSMSDKNLHTPTSVSKNNCEDEDCQKRLSTHSESSEDVPSPDYPFLRVSNNRLHTQPIKVQPPSMPPSKLLNKKESKANGDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELMERKGDSFKLRKKQGHHRGTKSTDLKESITPEVVHIYDEKLTMRRLVKEEKTNGEPALVTRNGGSSAVKSTHCDHNEKVVTSPRKPQQTVQSGSKLEKLGKWTSGTDFYELISPDQKCKTNSVTCEVQTANPSSKPDQFEEGEATLGDLERCGKLWDGDDITELRMEHVNVREHAMCSTEDACKAPTAPEVSFGKGKPTCQDSTETHFKECVGTENYQEGHGDDRTFEISCVNGISSKLNNQEISGASLESCISGSNNIETLEVPCVDEMQSQILEEYHDVHSENIEEIKSSQVKVSNLEESVEYHETPNFQTQLSAAHGGTETVEKEKMFSLSDELCPQNENKEITEVPPESLIHQEIKKFETEKAYTSVGDMVQKSESLEEEANITLEMTESASVSRHEPEVLNEYLEGINVMNPLVRTCGTSVEHSDKIQEAQESSEPRHSGTKMDRIGTKMDRIRDLIFHCNEEAKDPWFDNSEESHAEENLSHDGKEGQTSVKGIDKGPNDAYVEVNVHHSETEVIIDEGSDCDMKMSTCSKELNASFLESCVSMQHLSQIDEFISGQASDESTPLENLAENCRKAEKEFPAENCTALEQEQVTGSKLEGDDKDKLSKSKQQNQKSVNLDNKIVPNFIENPTLNFFQKLRDETPDVQRIEGKANVKKTDRETEVLDGLDEDRERYNMEREKEQAKERSRQKLEEEKERERERAKDRLAVQRATKEAHDRAFAEARAKAEIIALERITLARQRASAEARENKEKASAEAAAEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKATNQDNQLDKQFQKTASNNYERSTDSSNQVVEFESALRHKARSEREQRTAERAAKALAEKNMRDMLTQREQAERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILASDSGWQSVPLTDLITAAAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSEER >OB01G33190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18889295:18891101:-1 gene:OB01G33190 transcript:OB01G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVHSAVVVLPGAGVPYPFPEPDDEAEIILGEWWHADVETVERQGSMLGMAPNMSDAHTINGKPGPLFPCYQKHTYALQVRSGKTYLIRIINAAVIDELFFSIAGHAMTVVEIDVTYNKPFVTSTVQLSPGQNMNVLVRADQSPGRYFMVAKPFNNMPIPADNKTATAILQYAGVQTSVVPILPQLMPATNSTGFVAAFHDKRRSLSSPRYPADVPLAVDRHLLYTIGLNIDPCDTCLNQSRLAASLNNITFDTNLLSVESHPFHLHGYNFFVVGRGVRNFDPAKDPAKYNLVDPPERNTVGVPAGGWAAIRFRANNPGVWFLHCHLEVHTSWDLKTAFLLEDGSGPDESVLPPPKDLPKC >OB01G33200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18891647:18893308:-1 gene:OB01G33200 transcript:OB01G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRGRLPLVVSTLLLALFTVTARADVKRYQFDFLHAYIYVLASASVDCDEQREQIVPREGHGHGERGYPGPTIYAREGDRIVVNVANRMTRHNVTIHWHGLKQRRNGWADGPLRSICTQPNTIQIKTNPFNSFSSNPLAKLRADVREAVPDRQRRELRLRLQRDGPAGHAHIAWMRATVHSAVVVLPGAGVPYPFPEPDDEAEIILGEWWHADVETVERQGSMLGMAPNMSDAHTINGKPGPLFPCYQKHTYALQVRSGKTYLIRIINAAVIDELFFSIAGHAMTVVEIDVTYNKPFVTSTVQLSPGQNMNVLVRADQSPGRYFMVATLILA >OB01G33210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18894742:18896313:-1 gene:OB01G33210 transcript:OB01G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3L265] MAEKVVVDKPYVTLTGTSASSTVITWNESWVSDESPTVSVLASDFVAKRLTFQNTFGDTAPAVAVRVAGDRAAFYGCRFVSFQDTLLDESGRHYYRGCYVQGATDFIFGNGRALFDKCHLHSTSPPGAGGAFTAQQRSSESEETGYSFVGCKLTGVGVGTSILGRPWGPYSRVVFALTYMSSTVRPQGWDDWGDPAKQRTAFYGQYQCYGDGSKTDSRVAWSHDLTQAEAAPFITKAWVDGQRWLR >OB01G33220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18899001:18901264:1 gene:OB01G33220 transcript:OB01G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G47271) TAIR;Acc:AT1G47271] MDLHQIRGNAALNPVLKLPGELIVFTESPIHASQENWGRSTRAGLQHLIQPLFKLTMQGITRALRFHGKQLKLTVLQHMNKGIFSWATLISRIQSESPAAIIPHIGLENITVREILNAKGESKAGALYWCCTSNLVHEAVKHMKAHNVGALVVLKSRDEKQLAGIVTERDFTRKILLPGRSSEETRVGDIMTEEDKLITVSSNTNILRAMELMTDRHIRHVPVFDEKVVGMITIGDVVKTIVDQQHQEVKELKKYIRGDYY >OB01G33230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18927961:18929141:-1 gene:OB01G33230 transcript:OB01G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWLVLCLCVVLSATDEFSVILIDFGTVLLLSPFLRLGLLCLVDLKLGGLGEFGGDGGAQTRVMAGVGGGSRGVEAKGKGPAAASAPAKRLRVSGGGGVGQQQQRPSCTVDGRKEDLSKHRDYHRRHKVCEGHSKIPLVIVASREMRFCQQCSR >OB01G33240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18931039:18931341:1 gene:OB01G33240 transcript:OB01G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGWFDGVDVDELVEAAVDGEAEQAVRAGAGGVGQGHAGAVAQHRARRRGHVRRRGQALLRPARRRRQAHRGRQGPLPRLQVPHPTLRRRRQLRGRQVSAS >OB01G33250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18934231:18939980:1 gene:OB01G33250 transcript:OB01G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAGAGAGAANHNPNKSLEVNPAPGDSVSSLSFSPKANHLVATSWDNQVRCWEIQPGGQCQAKASISHDHPVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPTVLSGHEAPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSLSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDGQQGKNFTFKCHRDGNDIYPVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKAFSKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESEVKGKPRVNKK >OB01G33260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18941763:18942425:-1 gene:OB01G33260 transcript:OB01G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSGSKENDVDDVGGGCSSPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAFAGRVGWRIQRQEEAAVEHFCAQAGVRRQALKVWMHNNKHSFKQKQQQLQENRQEHQQ >OB01G33270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18944540:18949140:-1 gene:OB01G33270 transcript:OB01G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFYSSILILAVLLISLWTVTPVLSNSELDYGRRVKNEQKPHHGKDMSKEFGKKVEHHVNQAAADAALILKAKEEIAKRTSTHIQSAIGSARVAINIKEEMLEKTAEVMSQMAGEVSVQLSKVAKEHTKVAVGDIAAALKFKQEVIKRAAERVKDVSEDVNMATKAKQEILQKVAHDLSKVAGDMATSMAKMADVAAGVAGGAAAGVATGIAGGFAGGVSGGAGVHISGGIHGNIHIGASASAHAKASAAASAGAGAKASKSGNDNIGNSVEEYAGGSGGASSDGSASRGTKVEGGGNTGVDRYEDKKLNSDFGGNTNGSSSNNISGGADVSGGAKIGGGLEGKVGAQGDANADVVISGGAKVGGTQGGNTGIDSNTNANAKDGFKVGADISRGAKVGGGIGGNTGIDSNTNANAKAGFKVGADISGGAKVGGGIGEIAGANSNVNTNKKASDNVNAGISGGAKVGEGIGADTYIGSNADAYANGGLQAGDGISGDAKVGGGFGESTGVSSNANANTKSSSSASANVSKEAKIGGNIKKDVGGSGNTNPSANDNVDTTGSSKSVEDNGAYVGGKISKNVGVSARVGVSGGVKTGSNVEGNVGGNANANSGVGASAGVSGSAKIGGAIGANTGVTTGSNVRVGDNSGVLKDYEVGRGNGGNVGGGGKTNIGANIGVGASISKDAKTSASIGENASGGGNSNDGLGASVSKDDKINAEIGGNIGGGINANAGLGASISKDAKFKAGIGENASGGGNAGLGADIGVSGGAKIGGNIGGNAGETSNANLGGNANAGLGANVGISGGAKVNGKVGGSTSGNGNRNVGAKTNAGTSGSSKSDGDNGTNVGTNAGLGIAGNAKIGANAGLGASISKDAKISNNIGGSTGGISEGAKIGAKTNVGLGASVGISGGAKASLGAIISKDAKINADIGGNVSGGSIANAGLGGSVSKDAKISAGIGGNAGVGVNAGLGTDVGVSGGAKIGGNVNAGLGIGISGGAKIGGNIGGNIGESSNANIGTKANAVTSGSNKSDGDNEAYTGDKSSTNIGGNAGPEIAGNTKIDGNNEGNIGGNANANGGAGTSADISKSVKIGGGIGGNGDVGGSANAGANIGLGVNGGVFKGARLGGNVGVGANVGVGFSGGAKAGEDIGGNINDKGNANAGIGSSANVYENSKGGGGVGGKDGASANANAGIGVSKDVSVGGGASINKEIGASVGGGASASKSVGVGVGVGAGAHAGLNFGFKGGIGAKTNVGSNVGAGVSGENSVDAPSKTNGGV >OB01G33280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18949950:18951668:-1 gene:OB01G33280 transcript:OB01G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPKETYLVQYTSGATGAPKPVVVTAGAAAHNVRAARKAYDLHHGSVIVSWLPQYHDCGLMFLLLTVVSGATCVLTSATSFVRRPRLWLELISEFKATCTPVPSFALPLVLRRGRSEHGTRPLQLQSLRNLILVNEPIYKSSVDEFLEEFGRAGLRASSISPSYGLAENCTFVSTAWRVTEGYTGDSRSSTLPAYNKLLPSARLPRPSLSAEAPDIEIVVVDAETGKPVEDGGEGEIGLSSPSNGSGYLGDTPEPESREVFGARLPGRAGPCFVRTSDLGVVHGTEERYLYVLGRTADAIVVADSERRVPAHYVETAAFESSPGCLRGGCIASFTTLPASPSPLVVVAELRNERGGGGADMAADICAGIRQAVWREVGVPVARAVLVQSGGVPKTTSGKLRRGAARAQLLAGKLPKVFEARYVGLEPAAGVVMAKESASNLVRLQSPL >OB01G33290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18951679:18951982:-1 gene:OB01G33290 transcript:OB01G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPNYPDRPVVDQYIPVWAKHSELGSKPAFIWADDQAGGGVLPRRQMLTYSELDSAVQRMAAGLLEVLARGDTVLVLASPGIRLVKLIGLG >OB01G33300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18954926:18958280:-1 gene:OB01G33300 transcript:OB01G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHTVDVDGAGTVISFWMPEGKVPKDRGTVRDEADAANTSSKQQQKAPPTGSERPAVVLVHGFAAEGVVTWQFQAGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLVTALRKLGVERCTVVGFSYGGMVAFKMAESHPDLVASLVVSGSVIAMTDSISETTLERIGVKSSAELLLPETVKGLKALLSIATHRNLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAMTMKEQLGEKATLQSISKAGHLVHIERPCVYNQHLKEFLAYVNAEFPKETA >OB01G33310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18966867:18971943:1 gene:OB01G33310 transcript:OB01G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLGLLLLLVLLALCSTIDVCDARRGKHWRPRSSPSSSLLRKKGKGKKGSSNRQHGGNWPSLKPPVSAPPSPGAGKGYQSPSPSPSPNAPVSPSPVNGSGHASPKPPTPSCGKGNQPPSRPTPTPPQGAVFNVVDFGAKGDGISDDTKAFEAAWAAACKKGASTVFVPSQLEFLVGPISFSGPYCKPNILFQLEGTIIAPTSAKAWGSGLLQWIEFTKLNGISIQGNGIINGRGQQWWTYSDTDDNEDDDTQYDVEFERMPQVKPTALRFYGSFNVVVSGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSLNTDGIHLQNSKDVSIHHTNMACGDDCISIQTGCSDINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMNGVRIKTWQGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDKRTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNDPFCWQAFGELYTPTIPPIACLHLGKPAGNNLQSYHDLC >OB01G33320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18972951:18978123:-1 gene:OB01G33320 transcript:OB01G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1B [Source:Projected from Arabidopsis thaliana (AT5G14660) TAIR;Acc:AT5G14660] MAARLHLHLRRCPRLRGFASSSRPLLATHPRALPLPRMGSVSSVTTTPPAEDEDFATAADLQFDPPLTVVKYPDPILRARNKRINTFDDGLRRLADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKLSKRLLVFEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLLGILFFDRMSVDVLESVREGLKDLENKYVESTGLVSPESIENYKGRKDLISFSR >OB01G33330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18980221:18980981:1 gene:OB01G33330 transcript:OB01G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGEPAVRKGPWTLEEDLILVSYISQNGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGVRRGSITPEEDIVIRELHSRWGNRWSKIAKHLPGRTDNEIKNYWRTKIHRKPRRSQLQEPEPEPCEDAMATSESASASSSQSLSQASSTVWDEHMQTSSSFPHPELLGSVAAADHHLGMAVLDEVAAEHFIPPEFTFSEGLIDFVDNFWETMPVSDMV >OB01G33340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18988205:18989680:1 gene:OB01G33340 transcript:OB01G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L278] MTIKDEQQPLHILFFPFLAPGHLIPVADMAALFAARGVRCSILTTSANAAVIRSAVDRANDSFRGSGGGLAIDLVVVPFPDVGLPPGAESGTALSSQEDRDRFFLAIMRLREPFDRFLSENRADAVVVGSFFRWAAEAAAEHSVPHLGFLGTSVFARSCTNSVVCNNPMEAAPEDPDAVVTLPGLPHRVELRRSQMMDPKKRPDHWELLKSINSASQRSFGELFNSFHELEPDYVEHYRTTLGRRSWLVGPVALANKDAAVRGTSELSPDADGYLRWLDAKPHGSVVYVSFGTLSSFSPAEMRELARGLDLSGRNFVWVINGADADASEWMPEGFPQLISPRGERGLTIQGWAPQMLILNHPAVGGFVTHCGWNSTLEAVTAGVPLVTWPRYADQFYNEKLITEVLKVGVGVGSMDFASKLENRRVVIGGEVVAGAIGRVMGEGEEGEAIRKKAAELGAKARGALEKGGSSYDDVGRLMDELMARRSSFNV >OB01G33350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18991004:18992512:1 gene:OB01G33350 transcript:OB01G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L279] MSEQDRQSRARSSPAMAVSEGEQQPLHILFFPFIAHGHLIPVADMAALFATRGVKCTILTTPANAEVIRPAVDRANDASRATGAPEISITLFPFPDVSLPPGVENIPSMSMADRDKMSKAFPLFREPFDRFLAEHHPDAVVADSFFPWSADAAAEHGVPRLSFLGSSLFARACTDSMLRNNPVEASPDDPDAIVSLPDMPHRVELRRSQMMDAKKHADDWAYLQLVNAADQRSFGELFNSFHEMEADYVEHYHAKIGRRAWFLGPVALATKGMAARQRTGHLSPDAERCLRWLDQKAAGSVVYISFGTLARLLAAELREIARALQLTGTNFLWIITREDTDASEWMPEGFADLVARGERGLIVRGWAPQVLVLNHPAVGGFVTHCGWNSVLEAVCAGVPMVTYPRYTDQFYNEKLIVETLKVGVGVGAGDFASFMDDRSQVIEGEVIAEAIETVMGEGEAIRRNVKELSEKARSAVQEGGSSYDDAGRLLDELMARRSSATA >OB01G33360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18996862:18997461:1 gene:OB01G33360 transcript:OB01G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHPYRFPVPAEGEPRRRSAAQSCGTCGASAVASCVALCCCPCAVVSCLTLAFVKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEGSGRVRSRVDAAEKTWVEIYQLGHWGFGRLSSSSRPQVISGDADSDGVAAGRH >OB01G33370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:18998509:19003224:1 gene:OB01G33370 transcript:OB01G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLMERMRSNNFTVSAMHGDMPQKERDAIMAEFRSGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >OB01G33380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19002956:19006696:-1 gene:OB01G33380 transcript:OB01G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAEQVIEACVRTGSVRKCVFTSSLLACVWRQNYPPDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSPASIAYLKGARAMLADGLLATANVETVAEAHVRVYEAMGNNTAGGRYICYDHVVQRPEEFVELERQLGLPRRAAAVEDSGDRPARFELCMQKLARLMSTRRRCTYDDYYSVAFD >OB01G33390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19027383:19030002:-1 gene:OB01G33390 transcript:OB01G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIEQSMVNAPRETSGSPPPVTSPSPPPPALPPPSPPPASKSPPPPSPATSPPSSPPATSTPQSHQSPPERTSPPASPPPSPSGNSAPGTPTTSPPPNGVSSSTPPARTGTSPPAPREMPLPGTPPSPPVITTQAPPILPPPMGVTVIMPSSGTPASTSQSPPVPTAGNTPAPPLAPPAGAWGGNVSSGLLVGVAFAGFLLALASMSMFLCLKNRGRRRRPAQMMNLGRRNTLVVPERVVSPDVYQPSNGPAASPSGTTSYDFSGTTSCFTYDELAAVTGGFTQENEIGEGGFGKVYIGALGNGRRVAIKQLKVGSGQGEKEFRAEVDIIGRIHHRHLVTLVGYCVTEHHRLLVYEFVSNKTLEHHLHGRGLAVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSLTHISTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDFRELADPALERRYSRSEMRRMVEAAAACIRHSVAKRPRMVQVWRSLDVDGGTTDLTNGVKLGQSTAYDSNKYSADIELFRRMAFANDLSSAELGYSDEDDASSSSRPKPN >OB01G33400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19045161:19045910:1 gene:OB01G33400 transcript:OB01G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSRDTVEEEVGGAAFSGDESDGEFEFPFVSREADAGGVADELFADGRIRAFYPVFGRVLDDVAVAAPAAAAAERRAPLRRLFIEEGRNSSVGSTASSSSSSTDAELDGVSPDSYCVWVPGSSPASSPSRPPRKSGSTGSIARWRRISELVVGRSHSDGKEKFRFLSAPPSPAREHSRSKPTKGAVKPTHTYTELDTVAAGYRMSYSPARAPTGAGATRRTFLPYRPDLMGIFANVNGLSRTHHGPF >OB01G33410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19058443:19067274:1 gene:OB01G33410 transcript:OB01G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:J3L285] MSTAAAAAAAQSWCFATTTPRSRATVVASLASPSPSSSNSSNLPAPFRPRLIRNTPVFAAPVAPAAMDAAVDRLKDGFAKFKTEVFDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTLGLQPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLQDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCSILEKEAVNQSLENLKTYPFVKEGVANGTLKLVGGHYDFVSGKFDVWEA >OB01G33420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19070712:19074491:1 gene:OB01G33420 transcript:OB01G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:J3L286] MNAVERFKTGFENFRSTIYEEKHEMFEALKASHSPKFMVFSCADSRVCPTLTFGLKPGEAFTVRNIAGLVPSYDKTRQCSIGSAIEFAVVVLKVECIIVIGHSRCGGIRELRSLMEDRPSTFDFIDDWVKIGLVAKKKVERENMLLPFDDQCTVLEKEVVNLSLRNLQSYPFVKERLDKGTVMIGARYDFVDGSFEMWDP >OB01G33430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19081204:19081845:-1 gene:OB01G33430 transcript:OB01G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRLPVMQVRLVKYHNPVASLAPNELEVMLELKGISSFADRAGLDLVAVIDVSGSMGGDGLAKVKSALHFVIHKLSGLDDRLSIVTFSDNAARLCPLRFVTESARDELKALVDGLVTDGTTNMKAGLETGLSVVNDRRLTAGRAVNVMLMSDGMQTRGGDAKDVELKNVPVYTLGFGTHHDPDLMEAIARKSLGGTYNYVAGSANLTGSFS >OB01G33440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19081379:19081828:1 gene:OB01G33440 transcript:OB01G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDMSMTLTARPAVSLRSLTTLRPVSRPAFMLVVPSVTRPSTRALSSSRADSVTKRSGQRRAALSEKVTMLRRSSRPLSLWMTKCRADFTLASPSPPMLPLTSMTATRSRPALSAKEEMPLSSSITSSSLGARDATGLWYLTRRTCITG >OB01G33450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19089365:19091759:1 gene:OB01G33450 transcript:OB01G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCCRVAVIASLVAAAAATVLLNFSLPSSPHVSATDFAGKLSIAISLPPPPPLPPPSSSRPPAPAAPPPPVLQPPAARPRRREPSYWRMAPEEALRYAKKEIMAAAPVVDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLRESRRFAVADAAKAHLFYLPYSSQRLRVSLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTGGADHFLVACHDWGGYTTTAHGDLRRNSIKALCNADSSEGIFVPGRDVSLPETTIRTPRRPLRVRPVLLRHWGDGRDEDMRVYGPLPARVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWRAFAVVVAEKDIPDLKKILQGISLRKYVAMHGCVKKLQMHFLWHARPLRYDLFHMILHSIWLSRVNQVELHE >OB01G33460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19093040:19094599:-1 gene:OB01G33460 transcript:OB01G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3L290] MPIDPKEEAITSPLQSKTAKLDHLPGAAGNGMPAGEVPPEVAAVAAVGERRTTSATLRVATAVLSLVSFSLMASARTSGWDGDRYAAPPPGRYEQYRYAVGVNVVVCIYSIAQAVGEIRRLVSPRFIFRSMSSYYFSLFLDQVLAYMLMSASSAAASRNDLWVSRFGKDPFNKKISSAVWFSFIAFIGLATNSLISTANLFSMI >OB01G33470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19096904:19103376:-1 gene:OB01G33470 transcript:OB01G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60230) TAIR;Acc:AT1G60230] MAAALPLLRWGASSLRGHSSPPPSRRLFSAIRRPPSAARCEPGSKVMLKGMDYPELEKWARSQGFRPGQAMMLWKCLYGNNVWAHSHDELAGLNKDFRKMVTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCASGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQVVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDDQGLHFSPRKVTVSTSGLVPQIKRFLHESNCSLAVSLNATNDEVRNWIMPINRKYNLNLLLGTLREELRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVHGIPCKINLISFNPHCGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGGYQLPLLRVPEKFQVAL >OB01G33480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19107155:19113012:1 gene:OB01G33480 transcript:OB01G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPKPPESARTHPLCGARDTSLLRSPLVITRPSLPTSPSARTPQCAPSHGVFPSTTSDRLRLRVANPIDLKSLDEQLERHLGRPAERAASQHGGGGSRRGESTRLGEEPPQALHHQRRREDWEVDPSKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEISSLRAAFAQEVVVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPIDQPQGCFSCFRRYRGP >OB01G33490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19113414:19117551:1 gene:OB01G33490 transcript:OB01G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGVAASACSRGWPLAVRFRGLVPSRAPADQPTYPVRVQGETTKRKGWINHSIKGPESIADHMYRMALMALIAAIVGDITPSDGIPKAEKSRREQKALNEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKGKFQTEIGKSWAAEVNARRKQRCEKQK >OB01G33500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19117318:19119123:1 gene:OB01G33500 transcript:OB01G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53930) TAIR;Acc:AT1G53930] MTGWCEEFQGPDSRANFNINLTVADLATEAIDRLLRRDRRENADIVKTLTGRTIALEVENCDSIENIKERIHDREGVPSDQQRLIFAGGQLEDGRTLADYNIRNESTLSLVLRIRGDPTSKANTQTEKHIDVGSFEEHDIKAMGRMVEHFY >OB01G33510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19119988:19123148:-1 gene:OB01G33510 transcript:OB01G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSPSELSASSGGEATPANDNARPSDSVGSNSPGPARSRIALQLDQRSLHFSVSAWVLIVALIGILPLAPRQLQYKGYRLSLLGTTCTTGYALFAFYRLPRAGNMHAAQIFHHVASSKDFIPFMYCLMFVVSELQLKLVLVPVICWALEHVARFLRRHFTNSSLYRTYLEKPCTWVETNTTAVNFLSSNAEILLGFLLILSLFSRKRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYINRYTPFLHDPINAGMRWWFR >OB01G33520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19135384:19146428:1 gene:OB01G33520 transcript:OB01G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSETLERYKNAITAASSVVGAAMLLRRFVADVLPDTALGALLLLPPASARRHSVVIEEFDGAFYNRVFLAAKAYVSTLLAAAPVPLMKATLPRGAGAEQITLAMRPGTAVVDVFDGAELTWRLSSHGGRRRGGGDDAREVFKLSFDGRHKDMVLGAYLPAGMARVAALSQGHRQAKLYSNEWGKWRPVRLRNASTFATLAMDDALRQAVVADLDRFLGRKEYYARTGRAWKRGYFIHGPPGTGKSSLVAAISNHLRFDVYDLELGSVRSNTELRKLLIRMKNRSILLIEDVDCAAVAAPRGGAAGGGPDYGSTSSMNRKVTLSGLLNMVDGLWSSSGHERILIFTTTHVDQLDRALLRPGRMDMHVHMGYLGFGAFRELVATYHGAADDAHPLFPEIEALLREVEVAPAEVAEKLLVTDDAGAAIETVAKLLRDRKAGTEEDGGGHHSSHWVRITFSGLVHYDPERDRLGYQWMSQLLALTIYAILLMPGFLQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDISRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLMGQSAGAHISACALMDQAVKESSGQSISWSVTQIKTYFGLSGGYNIHRLVDHFHERGLNRSIFLSIMEGEESLSRYSPEIVVKQSSSQIIALLPLIVLMHGTEDYSIPSSASQTFADVLQQVGAQAKLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIYVDDEITQEKISVTPAPRRLVFEWQLQLARRISPF >OB01G33530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19148224:19148412:-1 gene:OB01G33530 transcript:OB01G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSSPWSRLKKTREQTHQCSLNTVRKSNYEHTHVANDSKDQLKQQALNTKHCHEQNHQTN >OB01G33540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19146293:19149572:-1 gene:OB01G33540 transcript:OB01G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGIAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKVKSSKPAAAKN >OB01G33550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19157728:19158612:1 gene:OB01G33550 transcript:OB01G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTDTIQANVRTTLDRSNSQEVDAELRAAIVAAAVAAAVRVHHVERLGVAGAYDALEPRAHVHDVALPAAARRRDDARAHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARAVRRRDTAVRPFLRCCCCVCLSGLQGGGDTKSSEWNDGQVEENAMVASSSSSAPAPLMKSSSPALLAPWLLLLPRTGHLKCLREKENEDSWGLLAIMVLMVSR >OB01G33560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19157779:19157970:-1 gene:OB01G33560 transcript:OB01G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDCGGNGSGNNGGPELSVYLLRV >OB01G33570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19180842:19187764:1 gene:OB01G33570 transcript:OB01G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVALGILRPCYLLLLLAAASGIVTPLLSQATDTVSRSQPLSGDRRLVSQGGKFAVGFFKPANLATASLTIIRLEKLYLLLPPTPFCPHLNSRTQLIHDITPWAIKDAGGAPDKWYLAVWFNKVPKFTPVWVANRVAPISDPKSSKLGISEDGNMVLYDQLNSPIWFTNITSNTSNLTIGVILDTGNFVLTLASNPTNFLWQSFDEPTNVWLPGAKLGWNKITGLNRRLVSWKTSSDPSPGYYSVEIDAGGSNQFLYRWNNSEDYWTTGSWTGTIFSRVPEMALYPKSLLTYDYVNDDQENYFMYRTNESMITAMFSMEIAGQVKAVSWMESAQDWVPFLAMPKAQCSVYLVCGKFSICTENAFTFCSCIRGFSQQYGGDRLYGNSSEGCTRNVGLPCAGSSSRKEKVDGFYALAIANLPDSARSIAAASDDECKQDCLNNCTCTAYSYGDRCSLWYGDLINLVSPTDSSLGQSIYIRLAASEFSSPSRTRTALTKAAIVVGVSVPFIVLVALCLIRRARRFSSVNKVDGSLILFKYRDLQNVTRNFSERLGKGSFGSVYKGVLADGTLVAVKRLDGISQGDKEFRAEVSTTGTIQHVNLIQLLGFCSERSCKILVYEFMPNGSLDRYMFGSNTVALSWSTRYQIALGVAKGLAYLHEKCRSCIIHCDIKPENVLLDASFLPKISDFGLAKLVGRDFSRVLTTMRGTVGYLAPEWISGTAITAKADVFSYGMMLFEIISGKRNLEQSEQSAETFLPVLIAKELPEGNVQALLDSELTANADFNEVETACKVACWCVQDDENSRPTMGEVVQILEGLVDISLPPVPSYGMMLFEIISGKRNTDCHRQGVETFFPVLVAMRLSEGKIQDLLHPELGVDANLDEVVRVCKVACWCVQDDDNKRPMMGEIVQIQEGLADISVPPIPWYLHILAQRSNFFTLRRHHIRQA >OB01G33580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19185577:19191588:-1 gene:OB01G33580 transcript:OB01G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G18580) TAIR;Acc:AT3G18580] MAATATTSSLLARRLLLTRRFLSSPLRPFSTTATGSSFSYSSSSSSSSDDSHAGSDAGPDPEQQGPADQDHQAPVRPRARDTRPLENGLDPGIYKAIMVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDGEEPHQYAERCSVQWHRVCIYPDRLGSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGNDGNAPKLGEAKGVGYF >OB01G33590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19204407:19208221:1 gene:OB01G33590 transcript:OB01G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3L2A3] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMTLRFVAADTLQKLLVLAGLAAWSRLPSRAGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIAGQFPDTAASIVSLHVDPDVVSLEGGRAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPANLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSTRPKPPATTGALNHDAKEHMFVWSSSASPVSEVSGLPVFSGGGGGGLDVGAKEIHMVIPADLPQNNGSGKEHEEYGPVALGGGGGGGENFSFGGGKTVDGAEAADDEEALPDRLTKLGSSSTAELHPKVVDVDGADAAGGAASSGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVAKSISILSDAGLGMAMFSLGLFMALQPSVIACGKSAAAVSMAVRFLAGPAVMAAASIAIGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >OB01G33600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19204427:19204932:-1 gene:OB01G33600 transcript:OB01G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSGNWPAISIRAARNSKRKSISVYQMMHWSTTIWTMSEPEYGPYMAISSGIPMTSVLGSVEREKSVMDQSSRGAPAREGRRDHAASPARTSSFCSVSAATKRSLIAYGSLVEMKWKDSSGTAKMATKRLMPEHWSGVKMPHHRTDPYARNIATYNGTTAAITV >OB01G33610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19206634:19207023:-1 gene:OB01G33610 transcript:OB01G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSVPRSPMAIDAAAMTAGPARKRTAIETAAADLPHAMTLGCSAINNPGQETVDSVSSGADQGRRVWAPVRARGINCGAMRCRATGRQEHKGRGHPPRLNMAIPSPASERMEMDLATIAGMETCHL >OB01G33620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19217280:19221178:-1 gene:OB01G33620 transcript:OB01G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSSGSEGAGCNSTSNNNNNGGGGGGCNMRDLDINQPASGGEEEEFPMGSVEEDEEERGVGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEETRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGPPVARPPSSAAAGSSPFHPRRPSAAF >OB01G33630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19239858:19244945:-1 gene:OB01G33630 transcript:OB01G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2A7] MDAKKASVEPDFFTEYGEASRYEVSEVVGKGSYGVVAAAVDTQTGERVAIKKINDVFEHLSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPRNILANADCKVKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGTPSGETISKIRNEKARRYLSNMRKKPQVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPVTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQNYLRGGDQSNFLYPSGVDRFKRQFAHLEEGVAQGDKTSPKLRQHVSLPRERVVGNGDEPENPTADYCIKLHVGEEPAHASVTDGLNKPLLSSRNFLKSESIGASQCVVIKEKREKDEESMSEYMNEVADGVPQKIAQLKT >OB01G33640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19251321:19253551:1 gene:OB01G33640 transcript:OB01G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSLQLSPPIHGLPATARNGCGGRRLLTSVACCKHNQPTIVQGARLTSSLSRRDTLIFMSSAFIATLLVAGPAPAGARTSRQENKRKVREKLEKLREKALGPDDRNGASGKKESVANLLLPPKLVEATI >OB01G33650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19261773:19261997:-1 gene:OB01G33650 transcript:OB01G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMPVCVQCGTHSNPCRCKVLGPTLGFVAFVVAGAVEWPLGALVYLFRHRKGRRIMSHPANVVYPRITSAIPI >OB01G33660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19274220:19274495:1 gene:OB01G33660 transcript:OB01G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMVTSSNCKAAAISGKPRRNHAGVQGYALSSTLVRIVLPIIMYRVFFFSFLLYFSLLCCVRSFTVSCKKLIAKQNWNCSSSFHIVKSMS >OB01G33670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19279255:19279782:1 gene:OB01G33670 transcript:OB01G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAFPIEFTKGIRSYWRSRKYQRVDGSAAGRGTASNLVRLGGGSGSENGGGAWAVRLGGMFRARVKAAPAATTTTTTVAKVPARVLGRIRDAYVDAMVGVAKRQSAAAPSQPGGTESLWQKRVPVRRSRGQNKKQLRQKADELGQRLVMEMYKSVLASRDLSGMLQASTAR >OB01G33680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19285168:19286918:-1 gene:OB01G33680 transcript:OB01G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLSPVRSALELKPFAFGDQRLASSPRYFPSGDDALYRCSSPFSPTFGFSSPSPLATSYQEVADRYELCLSHLAEAAEEAAALRRENAELRVANSDLTRRLALLSGKHTAAVAVADEIRRLRLGEQKVATTNKEPTPEKLAVLPKSISVRSTSYLKLNQQAQATATAAAHNRKPRTSSNPTNNPSSQQRAYDGVRKGDEQKEQCADAAAELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLRRP >OB01G33690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19291985:19298444:1 gene:OB01G33690 transcript:OB01G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT2G26900) TAIR;Acc:AT2G26900] MAASTTCPARSMASVSRALRPRSHAALASAAVRAGAHLGGGLGIACSMPSYGRKEKEEWGLTIASAPTTAAPVARSCQILCKAEANISSNLPESIPSGENQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMSLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIITITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVCSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVLWRNRGLPANDKDDFKE >OB01G33700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19313232:19315440:1 gene:OB01G33700 transcript:OB01G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3L2B4] MDNKPAQERRETRVPGAVIVGAGRSDSLASSWRHRMYDRLTLHLPKRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAVGVAPRFGASVEEAAFDAAVGAWRVRLACGEVVMARWLVVATGENAEPRLPDFPGMQKFAGCVMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHSAHPSMVVRNTVHVLPREMFGLSTFGIAMALLRWLPVQLVDRFLLTAAHLILGNTGQLGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEMTGQGVRFADGKEEQFDAIILATGYRSNVPSWLKDGGDLFTRDGISKVPFPNSWRGRNGLYTVGFTQRGLLGTSSDALNVAKDIHYQWRERGRSAINVLEIGSSSF >OB01G33710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19319025:19320410:-1 gene:OB01G33710 transcript:OB01G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT1G80310) TAIR;Acc:AT1G80310] MASSAGDPLLPGEDGDGRRRFLPSNIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLFLGVTGLMTAIYRFLPLPVVRGVQLSQGLSFAFTAVKSIRYEQDFSRSSSASTSVQRPLLGLDGLVLALAALLFIILTTGSGDDDDVNRDGTNRRRRSCSRVPAALIVFALGLVLCFVRDPSIVQGLRFGPAPLGIVKITWDDFKIGFWEAAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSIGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLVLGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFISGIVLYLLLRSREVDIGGVVGRWGAGRRQSADKTFEDGNGDA >OB01G33720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19326167:19328188:1 gene:OB01G33720 transcript:OB01G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27110) TAIR;Acc:AT5G27110] MRYLYFRGNTSQARPSRHHLLAYFDACASRAHLVELHGQLVRAHLASDSFVASRLIAFLASPAARHDMHYARKVFDRMAQPSVFVWNCMIRGYNSCGAPADALALFRAMRWRGVSPDNYTMAALVSVSAAFAGSTSWRSTGNAVHALVRRIGFTSDVFVMSGLVNFYGALRSVEEASKVFKEMYERDVVSWTSMISALARCGQWDKVLRFLSEMQAEGINPNKVTIISVLSACAQTQAVDKGRWVYNQVGRYGIEADVDIRNALISMYAKCGCLSDALEAFQDIPARYTKSWNTLIDGFVQNHEHKEALIFFEEMMLHGVAPDSITLVSVLSACAQLGDLQKGRHVHSYIQDNGICCDNILTNSLINMYAKCGDMTAAEKIFQTMKKKDAVSWTVMVCGYVKGQQFRLAFNLFEEMKIAEVVAHMALVSLLSACSQLGALDKGRDIHSYIEEKNVAKDLCLESALLDMYAKCGCIGTAAEIFRKMEHKQTLLWNLIIGGLASNGHGEEAVELFDQMLKLRDPKPDGITLKAVLGACAHVGMVDEGLHYFYLMSSLGISPDVEHYGCIVDLLGRAGLLDEAFHFNKKMPIEPNSVIWGSLLAACRVHHRMDLGKVIGQHIVNLAPNDVGAHVLVSNLHADKSQWDDVELVRGLMGTRGIQKAPGHSSIQVEPS >OB01G33730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19332473:19333984:-1 gene:OB01G33730 transcript:OB01G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTFPFQWPMDPAVPSGLDAGLLPPPSPVAPDDGVASYAAAEADVAAAAAAAVPEFAAAFPPCAPDAAAVLAMRREEEEVAGIRLVHLLMSCGGAIEAGDNALASAHLADANAALAAISAASGIGRVAVHFTTALSRRLFPSPVAPPTTDAEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDHVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGHDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVRPWMLQIAPGEAVAFNSVLQLHRLLGDPADQAPIDAVLDCVASVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGNAMAEAYLQREICDIVCGEGAARRERHEPLSRWRDRLTRAGLSAVPLGSNALRQARMLVGLFSGEGHGVEEADGCLTLGWHGRPLFSASAWEAAGDGGGDNNNSNNSNISGSSGSDSNNSGSSNGKSSGARGGSSVCLSREGWFD >OB01G33740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19334121:19334429:-1 gene:OB01G33740 transcript:OB01G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDPFLSFSPRPLLLKDFYIKTEKIKLVVSEIYVKRGGTRTGFQTPRSPHGQNQKTGIDRRRGAASPPHGGSSGLPPRPSPSESFLPEAYVSPPASPRVRSR >OB01G33750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19355485:19357051:1 gene:OB01G33750 transcript:OB01G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHLSGDHHPSPASAATTALGPLLLLPSELLHDILIRLALPELLRVRSVARPLSHVISSPDFRRLYHLSSASSGPGPAAAWLLVFKKLRPRDAALRGFHGPSGRWFRIPVSAILGPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAHAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSGQFRFLFAELVNNTPFLFEYQSETDTWQSSEAVLAEGASTEAGPDGTFLCAAHAGPDCVMVYSGPGVERPVFFRPRFPHNPNGGGADRLHVYGDGSAAVVRWTAIDEPSRTRAKVVAGVDLYGFGGSVGGDWQLVSTVPSQLIEGFRKPYAVMTGLLSEREGVVRLVLISNCRGAWDIVWLGGELARRGWERGSPPPSPASGRRGLPFVLHHQLPVNRTRPSAQTQWRSRSRHCASSARATCRPCIRRGRGERCRIGLLGPMRQWAPPV >OB01G33760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19358509:19362266:-1 gene:OB01G33760 transcript:OB01G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:J3L2C0] MFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGTFAFVLYPLRDVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSLVVVLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILAFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKKDKSAKEKVDPSLLKAPEGQADVLVEHTNGTVVSEATATESSPSNSSPSN >OB01G33770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19369427:19369687:-1 gene:OB01G33770 transcript:OB01G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCTMVFMLACDTLIFCMPVEHALMHHYLKEEQLFRFPYISIVKCNDMSGIFNCKLRNVQLHQLIVNEKNSILFLLELIRELRSI >OB01G33780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19370399:19370837:-1 gene:OB01G33780 transcript:OB01G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSEASYLVQDGGIVSFAVYAGAPWCGRGRAVVRIAMRHTRIEKCMVFVPGG >OB01G33790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19392356:19396274:1 gene:OB01G33790 transcript:OB01G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASGLSHGPYLGTASCCSLASLLILLIKVSVYSPAQEIGPELSPSLADHKLSLKRLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKSACTGCYKAPRSPTPYSGKLYSRSDSETKRKSVSQDDRDIPISFLADGDSMFIACQGITVHYKLSDPSSCISVATDNFPEIHHDVISSSISPRRQRHDSPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVDPVTSPTLSYDIPVLPVDDGNADICLKHMGFDLEAGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELGSQVDLLISFCLDMGLNSVVLVGHDDGGLLALKAAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLTSKRAADLLRSVEDLPVLVVAGSEDALVSPKSAQAMASRLVNSRLITISNCGHLPHEECPKALLSALSPFISGLVSSQDSLQRL >OB01G33800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19401242:19406957:-1 gene:OB01G33800 transcript:OB01G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGAARMPACGPWGHGGGPALERELSRDGSHYSISSAILPSLGARSNRRIKLRRFIISPYDRRYRFWETYLIVLVVYSAWVSPFEFGFIRKPTGALATADNVVNAFFAVDIVLTFFVAYLDKMSYMLEDDPKKIAWRYCTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHERSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMVFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVELVEHQNGSEQVIQVSKSGDVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTAFLSIVQSNVGDGTIIMNNLIQFLKEQKDNNVMAGVVKEIESMLARGHLDLPITLCFAVTRGDDFLLHQLLKRGLDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDSEGKVPLWEALCEKHAAVVQLLVEGGADLSSGDTGLYACIAVEESNAELLNDIIHYGGDVNRARRDGTTALHRAVCDGNVQMVELLLEHGADVDKRDGNGWTPRALADQQGHDDIQLLFRSRKAPSHHHVVPPGSTAKAAPPLIGRFNSEPAMKNMIHEDAADLPSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPIPTGLPTAGSPSGSRNSVIRVTISCPEKGNTAGKLVLLPQTLDMLLELGAKKFDFAPTKVLTVEGAEVDEVELIRDGDHLVLVSDDWDAEKTKRKS >OB01G33810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19420336:19423293:1 gene:OB01G33810 transcript:OB01G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTEQPNEPSSQCFRLLNPRGEESRKLAAQRLRGATAQRVRVFSEPNLRSRREGSGAPGGIGKSGMEEQFILRVPPSVAERIERLMNEAAGASSSSRERASLDLSFSEDGRSGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADIGQMVMVREEDNLAPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVHRVEKDLMNIMLGVSVNQNASLIGAGEGGERKKAAPASAIKPNVQQPGEEAEAERSDSDESVDP >OB01G33820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19424271:19424525:1 gene:OB01G33820 transcript:OB01G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding EATIVARVHHQFTTRYFVYQFFDENGSLDSWHFTGDDTSDLSCATRRRITIDVAKALAYLHHECRQQNEAGEHTPRWCLLAHLS >OB01G33830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19428480:19429169:-1 gene:OB01G33830 transcript:OB01G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRRRSSLPPPAPPGFPTKRKHRLPALQSAASPGDVGVSADLGDAFVRCGNLLDKLLEHEDGWVFAEPVDARALRLVDYYLYISDPMDLGTVRHRLERRRYADPWAFAADIRLTFRNAMSYNSPGDPVYESAAELSDIFESEWPSVLAAPPRPPDAERKRRLSDLLPWLPVAAQVMVAEIMKKRDCCLREVNGMMEVDLDKADAATLDELDRLVAEHGAALAPWLR >OB01G33840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19433680:19437637:-1 gene:OB01G33840 transcript:OB01G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAGGPGLTDRSATAVKSSPSEEKAAASGGGGAALLGGGVFSPGWGSNGAGQLGKDGGGGEGFRSGVPGVLLVRDRAAETGNILGLAGRRPTGQPSKDGRRVRRGTEARPCSIGRKGTEVLLIIAVQLISFTFVLIFLMVPRFHMISRARSQDDPGLNPKTLQSPAVTYSFGPMPRPKRSESSLPPPGSSKKRKHPQQPPPPPPAQGDVGGAADSGDVFVRCGELLDKLLWHEDGWVFAEPVDARALRLVDYYLYISDPMDLGTVRHRLERRRYADPWAFAADIRLTFRNAMSYNSPGDPVYESAAELSDIFESGWPSVLAPPDAERKRRLSDVDVLPRLPVGAQVMVAEIMRKRDGCLREENGMAELDLDKADAATLDELERLVAEHGAPAPLVGVGNVN >OB01G33850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19438480:19441010:1 gene:OB01G33850 transcript:OB01G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEPVHQGKAKKRMASVLDSTNDTTKEVNPEYNLDESTMEEKLATMNLLNKSEITEEQSPSLVPPSADSVHILLKQALRADDHTELLKCLYNRDEKVIVKSVSFLTPTDVVKLLKFFVLLIQSRGAKLACMLPWLQALLCRHMSSIVSQESSLIILNSLYQLIDARTSTFKSALQLSTTLDYLFNEVSDGETDEDEATPPIIYEDKDTDDEESEVDAMETDGESQELGDVTDASEHSDGSDIMTY >OB01G33860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19442900:19446807:1 gene:OB01G33860 transcript:OB01G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3L2D0] MRPSLMRSASQVLRRRRSYSSASGQPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPAQVKGFMGDDQLGEALEGSDIVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVHVTDVNVPVVGGHAGITILPLFSQATPATNALSAEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFANACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGQLSDFEKEGLENLKGELKTSIEKGIKFANEN >OB01G33870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19451174:19454125:1 gene:OB01G33870 transcript:OB01G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTSTRGGGGGGLLSPAAVVIAVVAVLLSAAQAREEPCYQRLFSFGDSLTDTGNFPFIFGNDSREPALRPPYGETFFRRATGRFSDGRLVVDFIADALGLPFVRPYWSGRTAGDFARGANFAVGGATALRPDFFRARGVPMADIVHLDMEMKWFRDLLGLLCPGDLSGCTGMINQSLFLVGEIGGNDYNLPLLRGVSITKIRSFTPSVIAKISSTITLSLQELIGLGAKTLLVPGNLPIGCVPNYLMIFKSDKKEDYEPETGCLRWMNEFSQYHNKLLTDELEKLRKLHPDVAIIYADYYGAAMEIYLSPEQFGIEDPLAACCGGGGQYGVSGTARCGYGEYKVCDDPQKYGSWDGFHPSEAAYKAIAIGLLRGSYTQPSIATTTNSCPQITELSSSVEYKNCRTVLHMTAVSQVIGWLLFFLLSF >OB01G33880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19455695:19460494:1 gene:OB01G33880 transcript:OB01G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGGERGSRPRKTEELSGDRHSARSGTPRAATAADAAANRDGNSGTGTEYPSGQREKENHSAHRSHYPIFPVAQRLRSARTAGGMASSASGRGGLLSPPAVSKVVLAAVALLLSAAPQTLAAPCYPRLFSFGDSLADTGNVAFLSANDPGKSSLWPPYGETFFHRATGRCSNGRLVIDFIAEALGLPSVRPYWSGQSVEDFAYGANFAVGGATALGPDFFRARGMPLRNGTVHLDMEMKWFRDLLDLLCPGDLAGCKGMMNESLFLVGEFGGNDYNYPLLNGVSINKIRSFTPHIIAKISSTITELIGLGAKTMVVPGNLPIGCIPNYLVKFESDEKEDYELETGCLRWLNEFSQYHNKHLTDELEKLRKLHPDVAIIYADYYGASMEIFLSPEQFGIEDPLVACCGGGGPYGVSASVRCGHGEYKVCDGPEKYASWDGFHPSEAAYKAITIGLLRGSYTQPSFATITNSCPQIIDISSFVEYKDIYDL >OB01G33890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19465817:19473518:1 gene:OB01G33890 transcript:OB01G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATIWRRGLRLLPLALALALLLPAAQGSAAPCYPRVFSFGDSLADTGNIAFLYGNDTRRPSLWPPYGETFFHRATGRCSNGRLIVDFIAEALGLPFVRPYWSGQTAEDFAHGANFAVGGATALGPDFFRARGMPVRNGTVHLDMEMKWFRDLLHLLCPAGLAGCKDMMNQSLFLVGEIGGNDYNHPLLNGVSITKIRSFPPSVIAKISSIITELIGLGAKTLVVPGNLPIGCIPNYLRIFMSDKQEDYEPQTGCLRWMNEFSQYHNKLLLDELEKLRKLHPNVTIIYADYYGAAMEIFLSPEHFGIEDPLVACCGGEGPYGVSADARCGTGEYKVCDDPEKYASWDGHHPTEAAYKAMAVGLVRGSHTQPPIAAITNSCLQPAAHASSVQYKALYDL >OB01G33900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19475584:19475805:1 gene:OB01G33900 transcript:OB01G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYSDLCFFFPRMEFPWQEISILASPPPHQFISNALVPTCLRRECSCLLVVHVLITYIQCIVSWEEPENNFG >OB01G33910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19478682:19480211:1 gene:OB01G33910 transcript:OB01G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESLIRWRHGRRAVSPSAPFALLCAAVLLNAHVVLCGCYKRIFSFGDSIIDTGNFVYSTGSSPSPFKELPFGMTYFNHPTGRICDGRVLVDFYAQAFNLSLLPPSMPEEGSGQFPNGANFAVLASTALGPDYFKTKYNFSVPVPYCLDSQLASFKKILDRIAPGVDATKSLLGESLIVMGEIGGNDYNFWFFARMPRDTPNQYIPDVVGHIGAAVQEVINLGAKTVLIPGNFPFGCAPAYLSGFKSDNPSDYDATGCLAWFNDFSRQHNQALVQEVGRLRSQNPGVTLIYADYYGAAMQYFQDPKNYASSMHAFMDEADTIAFVWLMFRYVLGLEGIPDPLLACCGGDGPYHTGMTCNKTAKLWGSPANFANWDGVHMTEKAYSIIANGVLSKRYINGPLINSC >OB01G33920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19481659:19484212:1 gene:OB01G33920 transcript:OB01G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLLVSAVLLLNVTLGECGCYKRIFSFGDSIIDSGNFVHIAGDHPCPYKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFIPPSLPEKDLGQFPHGANFAVLASTALPPEYFRRWNLTVPMPISLATQMEWFKQMLQRIAPGDAATRALLGESLILLGEIGGNDYNFWFFARKPREIAYQFIPDVVARISSTVQELIGLGAKTIMIPGNFPTGCVPAYLSGYQSSDPADYDELRCLRWFNAFSAAHNGALRDEVSRLRAQQHPDVKLIYADYFGAALQFFRDPRRFGIDDPLLACCGGHGPYHTGATCGRTATVWGDPGSFANWDGLHMTEKAYHVIADGVLNGPFADPPLLHSC >OB01G33930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19485679:19487139:1 gene:OB01G33930 transcript:OB01G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MLAAGVPATDRNIPSAAKAVAAAEDSFRPPLAPHALHGLSAKTPFAGDVFVGSSLLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADSGMHSAAMGIFRMALEDAVPVNDFTISCIIRVCASATLFVLGAQVHTRSIKTALNASPFVGSSLVSLYSKCGLVECAYRVFGEAPERNLGIWNAVLIASAQHGHTSAAFQRFMEMQNAGFWPNYITFLCLLTACSHAGLVDEGKRYFSLMKEYGSEPQAEHYAAMVDLLGRVGRISEALDLIESMPMEPPESVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHVDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYRLLEKVGEKMEAAGYVADTNAVVKDVDKDEKQATVRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAIKYLSKCTGRIVVLRDNRRFHRFEDGACSCGDFW >OB01G33940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19492745:19493354:1 gene:OB01G33940 transcript:OB01G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCDMASRWRELHGSGHWDGLLDPLDVDLRRCLITYGEMIMATYESFIGEPRSPNAGMCRYRRADLFRRVDVSHPGWYAATRYIYATANADVHGKVLLRPLCREGRARECNWMGYVAVATDEGAXXXXXXXXXXXXXXXXXTDPSVHRGYLSLYTSADQCSELSKQSARMQVRATAPFFLSLSPCGTGNSIGFCMQLTCKL >OB01G33950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19498631:19502398:1 gene:OB01G33950 transcript:OB01G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRGGSAGFSPALAVLWCAALTVAGGLPPPALGCYSRIFSFGDSLTDTGNYVHLTAGKGPSPYGAPPYGRTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQVGNGPADFPHGANFAIISSTANNASFFARKGLDITPFSLDTQMFWFRSHLQELTLQNGERAIASGGGGNGLSAARVALGEIGGNDYNFAFNKGVPRETVRAFVPAVVDKLAAAVEEMIGMGARAFVVPGNLPFGCAPLYLQRFRGAPASEYDARTGCLAWFNRFAEYHNRLLTARLDELRQLHPDVTIVYADWYGAMTSIFQNPGKLGFTNALGSCCGNQSVPCGKKGCAVCDDPSTYVSWDGTHPTEAVYKVIADGVLHGPHAAPVPPAKTCPPT >OB01G33960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19503762:19504823:1 gene:OB01G33960 transcript:OB01G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALDQPTMASELRALVWTALIVPASAWYARSACRRLRPGFPRLAALLPTFPVFVYLPCLFNSLHLRLFSSFFHTWLTVNKLVLLALGTGPLHPSLPLVPFVLCAGLPIKLRLGQQHAAKRDSSPPQPPPPFADLLLPCARSFLFMICLAVAYPHTGWLPVYCVHFLYCIHIFLTLDLVLSSIALASATVLGTGLERQFSTPLAVASVNDFWGRQWNLMAVDLLRASAYEPVRARWGRDAGVLAAFLMSGLLHELLYWYLTLRRPMGEMLLFFMLHGVSQIAERWARAAGLWRPPKVAAYLLVSVFMVVTISELFFGPFMRAGADVRLMEESGAMLQLIRVVSRRLLRSFGVV >OB01G33970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19511096:19513454:1 gene:OB01G33970 transcript:OB01G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKVAQMCYFLLVSLLLATTLTDVASAQRWREASVGRDKWNGLLDPLDAGLRRDLIRYGELAQATSDALIGDPASPLAGASRYAPDAFLRKVRASDPDAYRVTRFVYATSSIRLPDAFMARPAPPLGAAWSGESNWMGYVAVAADGVAAAAGRRDIVVAWRGTKRAVEWANDLDITLVPADGIIGPGPGWSQPSVHRGFLSVYTSKSFSSRFNKLSAREQVLAEIARLLRAYKNENCSITITGHSLGAALSTLNAVDIVANGYNVRGPSRVPVPVTAIALASPRVGDDQFKRAFDSMAGVSLLRVRNAPDIVPTILPSAFFKDVGAELLVDTRRSPYLKSPAGPAQWHNLECYLHAVAGTQGAGDGAGFSLAVDRDVALVNKEVDALRDEYQVPAAWWGEKNKGMVQNASGRWVLQDHEEGNLAM >OB01G33980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19511707:19513345:-1 gene:OB01G33980 transcript:OB01G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding HALVLLPPPRRRHLVLVPERIHLLVHQRHVAVHRQAEPRAVAGALRAGHRVQVALEVVPLRGARGALQVRRPARVHEQLRADVLEEGGRQDGRDDVRGVADAEQADAGHRVEGPLELVVADAWAGQGDGRHGDRDARRPADVVAVRDDVDGVERGERGSQAVPRDGDAAVLVLVRAQKSLATNYTCSRALSLLNRDEKLLDV >OB01G33990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19527363:19533210:1 gene:OB01G33990 transcript:OB01G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKEAAKSPAPAPAPHVRRTPETPTRGSSSGSPAPAGSASKAVSFARSLGVHFPRSSAQVQPARAPPEVAELLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKETASRLESENMRLCAELDAAVLEVTSRKQRIVRMEKEMEELKKQQEAAAADADDCSSTASVSHEQTESSSASANPASLARRPSIPPPPPPMPPAASKSKAYSASSRASPPSTSAPSPSSSTSTSPTYSGSSSDTAATPRNRKPELSKLPPIPPPPPMPALSVCGRAAAPPPPPPPPPARRTSGATSPAVSGPRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDNELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLEVEASSFRDDARQPCAAALKKMQALFEKLEHGVYNLARIRDGATGRYSRFQIPCEWMQQDTGIVSQIKLQSVMLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRLQRQEQNRHLRQQKLVART >OB01G34000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19531801:19538716:-1 gene:OB01G34000 transcript:OB01G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGSWVYDDSLPMYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPTSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAAAPSSRTTYSRGTPLSTVTFQDYGVSVAYYRSTYLVDIVDESIGRVLKLGSISGDPWLGADMLIFNTWHWWTHTGRDQPWDYVQDGGQVMKDMDRLTAFSKGMSTWARWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGSTYPAGPLPAQSAVRSALAGMSKPVFLLDITLLSQLRRDGHPAGYSGGHPGNDCSHWCLAGVPDAWNQILYASLLA >OB01G34010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19542217:19543108:-1 gene:OB01G34010 transcript:OB01G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCQESSQDIPQKADRLKGIKLHRAPYKRVTFYGNVKNLIMWNRRSTFDASSSDSLTDREGNDDGGIIGIWMIVWAFLREMLENDGMQELSSMPRSARTSPLEFSLPLFILQVAIVVTTTCFLVLLLKPFRQPRVIAEILVCPRATDTSAQFVAH >OB01G34020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19549285:19550004:-1 gene:OB01G34020 transcript:OB01G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPRSIAKRWRELHGEDHWKGLLDPLDVDLRRSIIGYGELAQATNDAFIRETWSPHAGACRYSRDRFLEKAEASDQVAGLYEVTAFFYATAGAGGVPALFVARESNWMGYVAAATDAGVAALGRRDVVVAXXXXXXXXXXXXPAAVRRRRRRGCTGGGCPSTPRATRRPSTASSAPGSRYVVRIRSMHIRYCYVVRAVCYYSRRCCVCTCVMAVLSYGVLGIFLYTRLLGTVSFGH >OB01G34030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19555767:19559673:1 gene:OB01G34030 transcript:OB01G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:J3L2E7] MAAATSTSSTALARPKTLNPASKSAAAGSVSFPAAQPPCLLAAGRRCAVAAKVSSQQVIGTAMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVVGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKQQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRLYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >OB01G34040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19563295:19566022:1 gene:OB01G34040 transcript:OB01G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHEEGMKMAAALLEEFGLPLGLLPLAEVVEVGFVRPTGYMWIAQRKKVEHQFKMVSKQVSYDVEITGYVKDRCIKKLKGVKAKELMLWPPVNEITVDLPATGKIHFKSLAGVTKTFPVEAFGAGQ >OB01G34050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19565864:19567681:-1 gene:OB01G34050 transcript:OB01G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILILLTNAQYFGLVGVTVPRATKLASSAPVVSVMKYCDIFRGEWVPDLEAPYYNHKTCYMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFARHKSLAFVGDSLARNHMQSLLCLLSQVSYPKDISANPSTQNKVYHYRAYNFTISMFWSPFLVRAREPDHDGPAHTGHWSLYLDEPDQSWVSEISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPALTLYYSQRRAWRVSLKAINDLENLRGRVIVRMLSPMSHFENGTWDQGGDCKRTQPLRSNETVMEGRDLHFYTAQMEEYRAAEKVAEAKGRRMMLMDATAAMLMRPDGHPSRYGHLPNQKVQLYNDCIHWCLPGPIDIWNDMLFQMLLA >OB01G34060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19577548:19578971:-1 gene:OB01G34060 transcript:OB01G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFLSALVVLSCFKSVPYLSRMSSTELPSYMSYFESPIPRCDIFQGEWVPDEASPEYTNETCSYIQEHQNCMMYGRPDLEFLKWRWKPAGCELPRFDPDKFLRLVSNKTLAFVGDSLARNHMQSLLCLLSKVATPKDVSVTGKTDPNKMLYYEGYNFTIYIFWSPFLVRAEESAESPGAFNLYLDEPDCKWFARVARLDYVLFSAANWFTRPSVFYESGRLVGGSYVALNITSDLTLRHSHRMAFRTALRAINDIRFRGRAIVRTLSPMSHFEGGAWDKGGDCRGNETAMGGLDLDFYTSQVEEFREAQREAAANGVDIVLMDPTGAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >OB01G34070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19584275:19585786:1 gene:OB01G34070 transcript:OB01G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19460) TAIR;Acc:AT4G19460] MALISSLQRPRKPRCPSHPMLSLSPSLVCLLVLVPFLSLLLLHRSAFPSSCSPLLAHLTSSSSSRSSASGFAGGDLREIEFSWNHLPFRNSRPPPARLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPRRSPDGPQLHFLDGDPGVWRCDEAWKLYEAEAENDPFDVIHSESVALFHRWARGVPNLVVSWHGISLEALHSGIYQDLARSDDEHMSPAFNHSLGQSVYRVLSEVRFFRSYAHHVAISDATGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGHAFREDLGLPKAADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWEQRYMDLGRNAKVLGAVPPEKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIVVDDEFGYMFAPNVESLLEKLEAVVQEGARRAAQRGRACRDYAKNMFAATKMALAYERLFLCVKNDSFCGYPAEFD >OB01G34080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19586286:19588113:-1 gene:OB01G34080 transcript:OB01G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHANRRIATTHRYYWLGQRDMWRNCPVLSAGQPMRSYFGSTVAAAGAGRRHVAMSTRGADTTTARHGPPATVKIIETVHIEADSAEFKSVVQRLTGKDAVAGGVPGEGRGGRRSTAVGWIPKGGVGGGGSRVWHVLLVCTQRREVIAGEGLNILIL >OB01G34090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19592271:19592713:-1 gene:OB01G34090 transcript:OB01G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDHLDGIVNNAGVVGSLAQRSLDLADFDAVMDQHTGRPPRGSWCSSTPQTATSIESRDPACNVLLDANLDVKLYDFGFTHDGFLATSTRSRPSASPSLQSILLRRDTVQ >OB01G34100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19592773:19595610:1 gene:OB01G34100 transcript:OB01G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVGGDDGSDREQLAAVDSSCGVDDDNDGDDEENSMSPRMAKGTRAAGPPMQRRGEEARGPA >OB01G34110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19622979:19630228:1 gene:OB01G34110 transcript:OB01G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:J3L2F5] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAVNPVDGKQGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPISSIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQFVSKVPREPKLAEWTERASKFDKLNNTVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEDAAAKETPEAHKKAWKLLKGAEGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSIMKLPAANSTEFDPNTTSPCVIFMPEGSKTHMGATMRLGSRRTYFQVTTCKSAKLYGNTRFVDERHRHRYEVNPEMVPEFEKAGLSFVGKDESGKRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPDSIIVTPNPKPRLIVPKKPLYNAHAKKTLDSLVNGYFANGNVIHT >OB01G34120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19630838:19635274:-1 gene:OB01G34120 transcript:OB01G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT4G20310) TAIR;Acc:AT4G20310] MRCWTHNICFHISFPSIRYISVYSCYFVSVEAMVMIFTWYCDCKIYSFNDIIFNIGRRYAGYMRAWFSAGVYFSVVALIGISVVLLWDSIGAICFTGRSFSTWLQNLLASSFGISIIDITAIIVSTVLSIAFHEFGHAVAAASEGIQIEYIAVFVAALFPGALVALNCDQLQNLPPFSMLRIYCAGIWHNVMLCAVCFIMTLLLPLLLNPLYVSGDGLMITGVPETSPLSEYLSAHDFILSVDGLNITRPDEWMEMLAQDNVEKVSSHDLLESYESYGTSGSRKGYCVPNSWIDASKNLWQINDKLSCPDDLMTFQQMSGKGIDKKEAEDKYCLIAKDVVKLKKCGNGWWEAKDDRSNFACLEDEYCSMPVLGPGISWIEISYARPYSLECLRTEGNSSLLHGVNSNPGLSPCQGTFVYAGHLLSAARSIKLSSYRPRWPHLLFIADVPRILENGLGCLLRVSAALAAVNCLPVYFLDGEAILETMLGYFAWVTRRKQCKILKFCRFFWTILSIILFSRTLYSMTLYYDFI >OB01G34130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19636513:19641224:1 gene:OB01G34130 transcript:OB01G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc [Source:Projected from Arabidopsis thaliana (AT1G30825) TAIR;Acc:AT1G30825] MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDLRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFVAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPAPPLELKGVPSDALNANAGFVTFVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVSGGSFKRLSLNNDGNSRH >OB01G34140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19640902:19641153:-1 gene:OB01G34140 transcript:OB01G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPMGETTPTTYESVGGGENRTHTDLRSREDQGAIQIEKVQDKVDEPAARRVDDAAFDAKKVGHGAAADAGAAGTGA >OB01G34150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19640908:19641207:1 gene:OB01G34150 transcript:OB01G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPGGPGVRGGAVPDLLGVEGGIVHPTCGGLVDLVLHLLDLDGALVLARAQVRVRAVLPAAHRLVRRRRRLPHRLSSLRPRHPCNTARAPLESLLWLDCS >OB01G34160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19642213:19646175:-1 gene:OB01G34160 transcript:OB01G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:J3L2G0] MAFEKIRVANPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKNEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNDRLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSNYLNTEEFIDAVAAELRSRLAAN >OB01G34170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19647665:19647928:-1 gene:OB01G34170 transcript:OB01G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTSARTLVVSATVAVTGVAMYYGIERMKTVSCVQFLTPAPPDSLHGSSSSAAYDDGSDVEYVRAVLLAAADEEHAGEGVSVSKDN >OB01G34180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19656906:19657547:-1 gene:OB01G34180 transcript:OB01G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPGGRLLDEAGKIRRIAGDIALSVFGGIFTGCIIIMVLQAFINSRAAPHFFLRIVGVEGLDPSAASSPTADADHVAPPAFRLAIDVAGVREGYAACVGGNCPSMLRVSFHGMVLAWGAVPPFCIDGKQLRQQGRDGAADGVAAVYARAESAVLREELHGMIRSEQHIMGKVNFDVDGYVARLGYLRCKTHFFEGEQSPLYSCEVRKAYIY >OB01G34190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19683402:19683620:-1 gene:OB01G34190 transcript:OB01G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLILLSDILSVKIVNLITWLRTCGYETVMRSSCVGDCMKVYFFNTFIACKYQTAKYMSFNFLLPFGSPNR >OB01G34200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19695834:19696034:-1 gene:OB01G34200 transcript:OB01G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAALVIIPLYSNTSAIPYLHNRQHCTTLCRHIPQVCIFIFLQEISKATSTNHQEKVKLHSISSC >OB01G34210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19698050:19700730:1 gene:OB01G34210 transcript:OB01G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGCLYFLFVLSVTIVVGYVSYFSDVILGKATPPSPEKGFDSSTIIMGAVLSALSFATPYIVHWSTGCNRNAFMEIYTYSAVISAGCATFAWLLYSLCQLHRADGRIVGVTTVVIYTIGLTIFCVTLTIKFSPNGVVSGKVCWATVISLLIITIVLMLMALFGPLKRDTRLANIISAIAAVAQLFSSLLPFADLCNSCISQGQAPDAQVPPFRNRRKLSIYMSLLDATFMMYWAIYCIHHYERSTFWLANIMSWILSWISASLSIYKALRPVMAQAERGR >OB01G34220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19700946:19701176:1 gene:OB01G34220 transcript:OB01G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNAPAGRRDTKGIVLTIVPTNISSYRFEHEEDPAIPMDPRHDFVLAKQTKQSQRILMLHVMTGSSVASSDSSE >OB01G34230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19714081:19718522:1 gene:OB01G34230 transcript:OB01G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGFLPLFFLPCLLVCAYVSIYPDAIFQANKEGMKATNEKDGFKPPIILLGAALSVLTYATPFVVYWSTGSNPKAFNSVYTNTDFISSGITTLAWLLFSLCQLYGANRKIVGDPAVYIHSIGIFIYCTVLVFKLSPDGIVSDVACLIGVISPLAMVIILMVGLSGFLKREHKIAKIISSLAALAQVVSSLVLYGDLCCIRREQAPDAQVPRIINRRKLVVYIRSLDAGFKLYWAVYCMDHYDNTYFWITNITSVILSWIAAYNSILVALRPVVGEYEAETFKGRKNSFQIPLSSV >OB01G34240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19721829:19722188:-1 gene:OB01G34240 transcript:OB01G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKNSPQEQTLTLPSPRAGRARGNWGIRFSPSSPLAAVAAEAMSARKKQSWMDGDGEEETSFGFRELFLCLFFVCSNGLPSHRGEKERGARLGGRKKMRIFFWLWLWPSARGFVQLRRRG >OB01G34250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19722321:19729973:1 gene:OB01G34250 transcript:OB01G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L2G9] MPGAGRTTGTAASGGPRGGGATSSSPWSCSATCRSPASRTXXXXXXXXXXXXXXXXXXXPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPVKPPAPAKAVPVQLPPKSEVLYRKGAIADRSLTMSELDEDDDDDYVEAERYRAGQNFVASRKYSMSLSTLTSVHDEPYIYYHRKGPMKKQYEDEEEDGTEEEEEEELSYWSTDFVYGDVVWARLGKRQPVWPGVVVDPAQPAAAEALPPQPRGGAVLCVMLFGWAAEFGDEKKFIWVREGGIFPFMDYIDRFQGQTELSRCKPGDFQRALEEAFLADQGFVEVPMDGSRTGQPAVCQSFPADLEEVTGSNELECQSQIKRYKRALQCESCGNCFPTKGSNMMVYVMEQLACRQCAKISRSKEYCGVCLKSWQHKCGGRWVCCHGCESWVHAECDKKCSNLKDLRDNSYFCPYCRIKRNSNQSSKKTKSYEDRNDNSTQKSSKPDKVAVICFDMEGTYLPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWKSSIKIKDTLMPFGKWIEQHQSSSCSTNPAKRSSHKVKKQKLIDLLNEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNIDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQRNGTVTASRLIRKDLPKDSVSDVEISENLSTARCRVYVKKELKRSREGAIAHRVRGACQHRWDEIDLLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRAIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIALIAKKNVPAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >OB01G34260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19735278:19741694:-1 gene:OB01G34260 transcript:OB01G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSHRSHRRGGSAERSESEGDEAGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKQPEKSRGSGDESKRSSRRMVAMDDRAEEAVLKSDSGKRRSDKDLGRRESSGQYRDDRDRERDRDREKEREREKEKEWERQKERERERGRDRERDRERDKERERERERDKERDRERDRERDRERERERQKDREREKKDYDSKHERYDDGSAKKNGSKASRGEEDGYSYKRDIEINASTAKEKYNNTEKDPDRHSRRKDVSEDKDKWPADNRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKILDERLTRDHESDRADYKSAKDGHRTSENHYRKEVQDGDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDSLVERARSDHRHPENLDSSPSKIHPRSSPGPNSYHDKDQNWHGSKLTDHAKREIQYDERNIRSRTSSGRERTPGSRLRDRDADNWPSDRLKQKDDLQSRDMQLEISSSLQYDRTPRKDTHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRDRDGDSLERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFPAPPMFNLRPQMKLNQPGVSYPLHESVDRFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWEATGDALKGQNEFHEPETPVTKKESDCSATPVPETYSGQYNLNPRIEQKEMDQTSEKNGVKDDLKNSLRNTGGPGGGPLMTSMPSSNDTAIFSKSYLSKINVSRDLVESELYKRCISLLGDLGIANNTQVVGTGLVQNNVSFGKVNRKLGTPNLLRSRNLKNKSDIFERAMALHKNQIAKIVSPTPSTLETEGKMDLPEDNHDDTGMIDHTASKELLLVDNSEPHHSADTMESSAAGIGVTPAPTTEPGNTEAPPTIIEPDEGMEDAVPPTTTEPDESMEAVAPPTITEPDEDIQEVVTLGTAEPDKGMEEVSPAGISEPVIGMENLVPPGDAELAKHDMDVVAAPPVAVPSVGLGDAVLEVNVEQADSTQEKPQAMGELGDGVEVVVPPVAEARQGKKDSPAAASSHDGQTIISGVHAGIEKGMEGETDNLIDDNPGASEVNASSFALDVASGADDCEALVESRVNLSRIPNSPESTH >OB01G34270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19747502:19752806:1 gene:OB01G34270 transcript:OB01G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 [Source:Projected from Arabidopsis thaliana (AT5G53450) TAIR;Acc:AT5G53450] MTDFAVADRISVGLHGRSDEMIFEATVRDPSSDLYGSTVVLRQLMSSQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVLPSNEVEQDDGPFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEDQVRRVGDDSIGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAVDFHDSEPRNSTLASNDERRKMMIAFDMRCVGFIMAKMVLRELMDPSTFLKFKSFLTKGNDPACLREFLLPILCQNSPSGNIGLQMLDRQWGAGWNLFALLLATKPDKRISCVDALRHPFLCGPKWRINPSLDVIRWGLGSTAVHMAEDYIYGRHQRRRLAYFVELMEVLNPNTRRESWLNNLTGRWRLLYCTGRHIGLTLRQPSPRILITDAFLAFAQTADSIDPVFSLTSDIGFKIMAESDWPHDKSGTEGTLSVNTSARIATGRIYIHEQDGTDSRATSSKSSRRYLRGKWRKVSKMKELPASLPTVNITMDEPDVSMSCNSTLNVNSAQKVLQEIRTQTPPEMFDLSKIVCGTYIDARLMILRGVNGSALLFTRSNSRADS >OB01G34280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19755317:19758634:1 gene:OB01G34280 transcript:OB01G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNLAILLGSGILGSILVGGDTKLPTAGEVLSGAAKFVKKHGNEDKNTSSNSDMHTAQLLSQVNHLRQEIQSLGSRHVTVVTNAAKSGPGTFTVTVVVVAGVVGYAYIKWKGWKLSDMMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPTASVPPAIGSSERVVRRATSLPQTAARPALPAATPAAEPSHRAEASEEQRGIVSRISCSSEGLGLLQEQRGIVSRTSSSSEGLGLLREHRGIVNRTSSSREGSGLLQEQRGVVSRTSSTREGSPQSSKKISSSTGASMGTSTGTRNTSASRFGGLRLPGLGFLTS >OB01G34290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19764000:19771896:1 gene:OB01G34290 transcript:OB01G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKYPDNRMLGHREIVDGKAGTYVWKTYKEVFGIATKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGADAVEFILCHAEVEIAFTEEKKIEQIFKTFPKSTEFLKTIVSFGKVTQEQKEEASKYGLEIYSWDEFLSLAGDKEFDLPVKTKSDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNCAKEELEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKFKQFRMMQGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVRLESVPEMDYDALATRPRGEICIRGETLFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALETWAAANGVCGDFEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >OB01G34300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19780453:19788071:1 gene:OB01G34300 transcript:OB01G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTRRRDHAAVAVREVLAGDRKVGTVSRSARRRRLELRRLGRTLSAAAEDEAAKRVRPASDSSSDSSDSAKVAPEPTSAAKRCPSCVSHGAVSGIGVGRRREMEDAIYVAAPFLAAAKAAVERSGDTEEDEKEGEEEEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRLRRLLHGGDDADVADEDRARWKEAMAACFARVDSEVGGAEESDAGGQTVWRKLRLVSIPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNEVACKIARNCLSGRAASRYPESVPGSTAADAAALLVELAISRGSKDNISVVVVELRRLKSRRTTASKENAR >OB01G34310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19780708:19781115:-1 gene:OB01G34310 transcript:OB01G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHRLPAGVGFLGAADLAVHTGEAGRHGLFPTGAVLVRDVGVVAAVQQPPQPHLLREHHVHPLPARLATRDPPCPSYTAKNLLLPLLLILFRIATPLHRRLRGGQERGRDVDSVLHLPPAPDPDPRHRPVRDARR >OB01G34320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19791137:19791460:-1 gene:OB01G34320 transcript:OB01G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHEKQEAAVLGAAWDCGSPLYDSFELARLYHVVDSHLMILPFPPDAAAQRMLDGWRGAGRAAEVDDDDKRGAVARKTSSRRRTRRTAWRKAMAAICRAVACWRTP >OB01G34330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19801366:19805504:1 gene:OB01G34330 transcript:OB01G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNQDITTSAGTSATTGGRPPKSRNRLPESKLTITVVDLNGNPTQPPTITSNFASSCGVVARECIGILQKTFKEVLQEEKEFAWEKLKEKFDYPPEAGLALKRQVLIKIDSSWKKFKSMLVNESMERIPKLKNSPEFVNISDDHKRLQARNVHPHLLGTGGYIGKTDRWAEEDEAARRSGGPVSFADLEEERARNWARARAKKNPDDTLMFPNQADADVFRQMQLFADISPCVLQVRVMAKFSSDAAEGLVFKPSKTIRVHGAQLLDGHAKVQVDRVLDGWATFPLEHPPIDEILTLDAAKGTYIQWPKHDIIIRMKPKAPPIPEPMDSMPPPDEPNVEVSIGQALTDPHFGSGPALETIVRASSSPSMTYQKKYTKGRRWGKGSKKPALAKKLDMGKRAVPSGVSNKGKAKQFVLGWRLVDDLALTLVGQACQDLHAWYMTTTSSKCQNMDESVVGSHGCEPFLSPMAMFVVGFNDLWDLFNLTQLDTGLLKCYSLRHWILICICPKWNMVYYLNSAISPIYTWIPIKEALERAWEPYVTKGGKHDAKRSGLTHKFDFPIAQQTGLMCGFHVCHHMSNLSQQVNTFDPECRLLRDRYPKPLIFHDGHLAFLPTPSAVLAFFLFPPLGVTLSVIRISIGIVVSYKISFSAGAVFGVRFRTSGLRALEPGVKRRGILYVCTHRTLVDPIMLTAALQKPVPTMTYSLSQLSEIIAPISTVRLTRDRVRDAETMSCLLEHGDLTVCPEGTTC >OB01G34340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19823880:19824113:1 gene:OB01G34340 transcript:OB01G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHASGQEPRSVSSSLLSTLLFTPAPTRPVANPNEAGGVEAGDGGVGQGSTLAGRQEDGGGGFGVATDWGGRAG >OB01G34350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19828150:19832001:-1 gene:OB01G34350 transcript:OB01G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIETSRGSTRARKRKGDSPWTAATRATRREETLASLRRRKKKKAMVPREGTRMAGLREREVGRIPPKLFANSVMASQDFVRSLGLQKRLRKHGGFVNTIGFNGDGNLLLSGSDDRTAVLWNWQDGTPTFTFHTGHSNNVLHAQFMPFSGDRSIVTCDADGQFDLREKDVTELFKCAEVDNFSGDTIEVYAITIDSRKPSSFAVAGSDEYVRVYDSRKIHVDGGSSFGRPIEYFCPPHMIGENEDGITGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGERLLMDETEGDCHINTAPLPFCRDKLPVPQTFKGHRNKNTIKGVNFLGPNCDYVTTGSDCGRVFIWRKKDGDLMRVMKGDKRIVNCVEQHPSGIVIASSGIEKDIKIWAPGENPDEPITDTSSVTGGSLQPITDATSLTGLSLLPITDASSLTGRKLQPVTDASSVTGCNLKTVTGDASVTGCNLRPVKDRSTEVTGYCIWPVTDGFMTGWYFGPSKGECMSTQSYVCFIE >OB01G34360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19834452:19834661:-1 gene:OB01G34360 transcript:OB01G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLVPRKVPRELLQHGDIRVDNYYWLHDDFRSNPNVLAYLRDENHYTTTIISGINASCNATHYPFP >OB01G34370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19834980:19836879:1 gene:OB01G34370 transcript:OB01G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAARHDRLLALRVAKDLLYTTAGHHQNGNHDTTGQQLENPTLLAPLHTPEAKEASKENITLVRTLKKLTMMINDIPAMKFRNYLPHDEQLRGGKLAPVSLPNFEDPISAETAEPKQLEDPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIAGIVLTDV >OB01G34380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19836456:19841423:1 gene:OB01G34380 transcript:OB01G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIETSRLKRIIKNVKAHLSVRQVSPNGKLVAYAQDTKGDEIYTVYVIDAESGKYVGQPLEGITYDIKWAGDDYLVYVTMNAILRPDKVWLHMLGSDQSNDIRLYHEKDDMFSLGLRSSESKQTAHNSGGEGAVGARVRQGWRMGEKQELGKSLDVTCD >OB01G34390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19864462:19868134:1 gene:OB01G34390 transcript:OB01G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACEDAEVDEDDDVPLSQLWKRRRLGEHAAVKSEKGDGQEQHNSVDSGGNYPRKCTCVRTDVPEALTGEMVSRPPEDSMVAAFVQGKGTFQPEKGGGEMPRAVLHSAGEVVWSTLQKRKFGKKYGSSAAPGFTTSSSQARSTNLIPKKCRESTSPDDEMCNARSVSVPVGAVNTSPRGRGEQENGTGVVQRAKVLQGTGGIGERGDKLDSTPTKVGESNKREGELQKKSINSKSNDVLECQDKEDARMVQKRGLSMHSRDLPMPIVAGVPSVTKNLKKGKHVMRSAPGDSSRAGSKNGVPARGVSEPPNGNNQMKKMSMVEPSSNCGYEKVGADMQKCSSLPRESEEGTVAREVVLFEVTKMTPVQPLSIRNLSGLELLNLTKGGGESSKKLVIEGSPKYGEQNNDTGSGKSSSPLRQREGLKIIGERASNEESRVGRLSPSVERMHSVSKNDELCNSTMTKALLEPWSSSTPLKHTIFPPYSSKSTSIQEKREINLSPSATTRRWESAAHMITSLRGNMELSMQALCALYRRRKLVLSSTEGRQNGSAGLSKIDAARAAKLAEFLLDGKLQGPLKRTAEELKGHDSTGPTFLEKVLLILSKKLFDIYKNKEDPYFC >OB01G34400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19869431:19870471:1 gene:OB01G34400 transcript:OB01G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPRAAVLVMAIAAMLATLANAAQAPAPAPTSDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYKLF >OB01G34410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19874121:19874801:1 gene:OB01G34410 transcript:OB01G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCGHRAYVFLFRGATWSRTRERPDRLRQERSQSAGPHTPRCTPVPLSRSLARSRRRHSLGWTLALLAAGWLLPPLLLLLPPLESQDSRACTGLGVINRPRPRTDRSQETCRYAHALREKPLPAAPALARHRDPPRSSEPGRARGYCVPDPMQTPEQWRSRELAAWSGPVGRPGRNFAGSLMRASGPAGHRNPVSFPRNRIMASPFQARRVWTTPRSSRARMQKC >OB01G34420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19875080:19875976:-1 gene:OB01G34420 transcript:OB01G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEDEGCGGRRVGSMVRELPPAPAPVVQAGFAPAPAAAAPLPEQCSGGDGELGRRVVGGCSAGRRRLTKGGAGAPSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPSATTNFSTSTNSAGAQDPAAVGYESGAESSPAVSSPTSVLRKVPSLSSLAEDKDDYEAGPCEPAAVGSGLTVLEELGELVPFEDAPVYGSSSFWDFEPERGFLYAEPSSPETPWNAGATSSGAPWASPVQENDYFQDLRDLFPLNPLPAIF >OB01G34430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19875552:19875995:1 gene:OB01G34430 transcript:OB01G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYTRAASSAVSKVPSQTRTPRQGSRISAANLPHGLRLTPRNLVLGAPAPPFVNLLRPAEHPPTTLLPSSPSPPLHCSGKGAAAAGAGAKPACTTGAGAGGSSRTMLPTLRPPHPSSSPDESVKSGSSQKIRTVRERDMARTKMM >OB01G34440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19876940:19878578:-1 gene:OB01G34440 transcript:OB01G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHASGSHHASARDWLLPLLSPWPRYNAAPPLLTEGRRRTALLCGSRPLSLRDHRDTAAKISRRGRPRRPCSLLVLETGWEDIARDGSWERRGNMAAAGRSLQRSCGAETTHPGCGPAGWIGVLQPAHGTRPIHEQVTEPDEFHGDETPFSSHETLSFNNSDMSVILLMWYPI >OB01G34450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19900736:19908931:-1 gene:OB01G34450 transcript:OB01G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGDFRLPPFFSYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKIYIVSLEEDFPLFSNPKIERSLSHEAKEVFLAALVNEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDNVMTVEEIRSGIETRGTARGGWAGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVAFLAQRYFPSVRRCLGPSQCSAEQTRQHVLSACFQVLLSICSLLTDPNPDDPLVPEIAHMYKTDRQKYENTARTWTQRCSRRP >OB01G34460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19911219:19914191:-1 gene:OB01G34460 transcript:OB01G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDTNYDVWAVKMKLILRHLSVWAVIIGEGLSAKEEKDIEVLIVISQAMLDMMAIAARTRGLGAHREDEHRLSLKEKKNDNNGGGHDKAGCSGGRRRDNDDDDGASIDSNDIERRLGGAILMHETDVEIVVRAIQVAGLLVNYMRSFLVLTSELAISL >OB01G34470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19917035:19920088:1 gene:OB01G34470 transcript:OB01G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIISGEELAVALAPVAVYWIYSGVYVALGSAGALDRYRLHSRRDEETKNMASKKDVVKGVLLQQAIQVAISLAVLKQDGGDDGVETGQASTPAAAAAAAAALLGVAARFGVAMVVLDTWQYFMHRLMHASPYMYQRFHSRHHRVVAPYAFLTETLSGAAAFLASGMAAAFFALATVKGIDDHCGLMLPWNPLHAAFGNNTAYHDVHHQRGGGRRNLSQPFFVVWDRLLGTHAGYVVLATKDGGLEAKAMEDYRHVA >OB01G34480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19920074:19924552:-1 gene:OB01G34480 transcript:OB01G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYSEQRPGFEVTKDWNGGDQVVIRSPRGASVLVSLHGGQVVSWRNERGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRIWTVDDEAPPLNHNDNNGKASVDLLLKPSEDDLKCWPHCFEFRLRVSLSMDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDVVVWNPWDKKSKTMADFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >OB01G34490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19927910:19931316:-1 gene:OB01G34490 transcript:OB01G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALGPYLDWSNMQAYYGPGILPPTFVGPGIVPGHTTPFIWGPQPLVPSAFGKPYATIYPPGGGFSHPFMPLMVNPLSMEPAKSVNSKENCSNKKLKEIDGAAISTGSGNSEKTSGDYSLEGSSDGNNQKASGTPKKRSIDDRPKSDDKKAIHVSPGVETGGALTPNDRPSERAALPNLCIPVTAIKPDVSAASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETDELARKVELLTVENRSLRREISRLAESSKKLRLENSALMEKLTETGPDEAQEVPPVKNKKARSARGVENFLSMKDNTNTSRSSGHMEQATPKGYSISRQTSAQELTKGTRLATDAVAAR >OB01G34500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19932995:19933375:1 gene:OB01G34500 transcript:OB01G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRPKTAFESNATTISKYGNATGTGDRPPATKPGKIPRDHHARGSVSCSLEPSPSRGGKTEETTTRGRGGIALSVDTGMRKAPRRREGDRLYIPGASQIGAAVRAGGRSAKKPERRVNATDGGE >OB01G34510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19942471:19946760:1 gene:OB01G34510 transcript:OB01G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHSHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYATKTEVHQPEILVDHDVYLPPSPSSHDSHEMFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQATA >OB01G34520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19973716:19974556:1 gene:OB01G34520 transcript:OB01G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDEESGLALPEGERILEVTIISAQGLKPPSALRRRLLQAYAVAWVDPEWHERLLFRVHEAALADDSRAAVTVEIYAAPTGGWHIGGDSLVGSARFLLGDHGLLYRPVGSPSMFAVGVRRPSGRVHGLLNLAASLVATPPTPAASHALRSSPAVSLSGLSAAPIPAGRVLRVLNRSFPTPPPSPTVLTPNKQQIAAKPYKKSADKQDVAVKLNNNRVDDGSDEEREVSRDTAGVVFCGPCVLPLPRKIHISPSDENLQSFARIFSGGVGITKQSPRH >OB01G34530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19983197:19983922:-1 gene:OB01G34530 transcript:OB01G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCPSPQPRNPRRPRPPETYHTDVFMKDDPTPIRTTVTASPYHAAEFIKEIARETHEEGLMVGIDTEWRECRDRNGRRCYKVAVLQLCIGRRCLVFQIYRASGCPRELVYLLSDPDVRFFGVGVDGDVTRLAMDYNLTVTNAVDLRHAAAEALGRPELASVGLKALALTVMGARVEKPKHVTMSNWALRALTKEQVAYACIDAYVSYEIGRLLLLSGKSTSPALPQQAQAHREQLASVD >OB01G34540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19984084:19984962:-1 gene:OB01G34540 transcript:OB01G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDEAKKNYQTRTKIPNAAHNPQLEHSTKMANPTFSSQKKEPSFFFSLKKKGNRVDGEDQEGPYLDSLPRRRQRAGGRRLPEKGTRRAPARGGRSRGGRRPVARRLAGGGSVGNGAGGRVGGFEKKRPFGFIYSGQTGGRENKGRSIARARGRATRPRRPATRVCEQIRMRRRRIELRERVMDGEKSNAPCRAARVSLGGPSIYLYGTLGFVSWKILDRRENYNLICCILLCIILTLVGIFIGYIGDRNLEYKINILSYIFRILSLSLEFLLDSIISNRMYSNTYPYAYEL >OB01G34550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19985981:19987189:1 gene:OB01G34550 transcript:OB01G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSSWTALSIGHIRISAFNFGIVSEDTNEGKEIPLSLDVVVPDDILERIFTFLPIVSMIRSTAVCRRWYDIIYSSRFLWTHMLPQRPWYFMFTSNESADGYAYDPILRKWYDLELPCIEKSSCFVSSSCGLVCFMDNDNRNAISVSNPITKDCKRILEPPGSKFPDYSTIAIKVDRSSHTYTITLAKSKQIPDDYVRWHFSLYKYDSRSTLWVTVVDEVFIGWRGGDDSVICDGVLYCLIHSTGILGNVDPRHSIVIYDLIDGPSKASLMQSSIPVPCFLTCGRLVNLREKLVMVGGIAKHNRPDIIKGIGIWELHNKQWEEVGRMPHKLFQGFGEFDDVFASSGTDDLIYIQSYGATALLTFDMKQKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITT >OB01G34560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19994400:19995299:1 gene:OB01G34560 transcript:OB01G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLNLSPLLAAVAPPPPAAMVGTSRAGNIAVYWGQNGDEGSLGDACSSGLYAYVMVSFLSTFGNGQTPALNLAGHCDPGSGGCTGLSSDIQTCQSQGVKVILSIGGGAGSYGLSSTQDAQDVADYLWNNFLGGSSPSRPLGDAFLDGIDFDIETGDSAHYDELATFLSQKGGVILTAAPQCPYPDASLGPALQTGLFATVWVQFYNNPPCQYAGGGDATNLVNAWNTWTSSVNAGSFYVGLPAAEAAAGSGYVSPGDLTSAVLPAVQGDAKYGGIMLWNRYYDAQNSYSSQVKDSV >OB01G34570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:19997111:20003057:-1 gene:OB01G34570 transcript:OB01G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3L2K1] MIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKGPEVRSGDLPQPIMLEPGQDFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVDNQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVALRTEATISGGETPTNLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >OB01G34580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20014375:20015543:-1 gene:OB01G34580 transcript:OB01G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRRSWSDGLPPELMAIILLQLNCLADRACFAAVCRAWRSAAPYADGPQRGVPWLLLPTRDKPPFLSLHSGATRRMHLPEVARGARMCGAHDGGWGVVARDPWRGFAAVNLLTGKRVPLPEKLRLEVPPGGAYQFGFEGFTHHHMLVRSVVFSAPPSSPDCIAAAHVSSASNIAFWQPATMSTSHWIAYRRDTDIIQDVIYHWSAQLQGFHVLTNREEEDTIYFLDDASLDLSTVLNDGSSHRSADMGMYRKGEKIRPGARQFPREFTADCSPPIWLVP >OB01G34590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20019124:20019885:-1 gene:OB01G34590 transcript:OB01G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCPPPRRANNAGRLPITYHTEVFMKDDPTAIRTTVTSSPFHAAAFLREIGREHHEQGLVVGIDTEWRECRDPDDGRRHYKVAVLQLCVGRRCLVYQIYQATKCPRELAYFLSDPDVRFVGVAVDGDVTRLAQDCNLRVTNAVDLRHAAAAALGRPELARAGLKTLALTVMNARMEKPKHVTMSNWAARALTRQQVVYACIDAFVSYEIGRLLLSGESTSSAQSSTWTIVRTTQTTSSAQSSTSSASSAQS >OB01G34600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20020580:20021170:-1 gene:OB01G34600 transcript:OB01G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTYVTEVAFGSDVITTTVTSSGAAVDGWLRDVRSAYGPGLVVGLDVEWRPSFRADQNPVALLQLCVDRSCLIFQFLHADFVPASLGRFLANPGDCFVGVGVDKDAERLSDDHGLAVSNTVDLRPLAAERMGRPDLRQAGLQAVVRAVLGADVVKPQRVTMSRWDAYCLSNEQIRYACIDAFVSFQVGWSLLRT >OB01G34610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20020683:20021416:1 gene:OB01G34610 transcript:OB01G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAEVRATHPLRREGAQVDRVRHREAVVVAQPLGVLVHADADEAVPGVGEEAAEGGRDEVGVEELEDEAAAVDAELEQRDGVLVGAEAGAPLDVEPHHEPGPVRGADIPEPPVHGGAGGRHGGGDHVAAEGHLGDVRVGGHGIAAAAASAETTRVKRDFGGEGDADRTEGMRRRSYIFGSLSKSSPPYNLEVSKPKGIFAQIFQCGNRDILSSVSKTMEDNW >OB01G34620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20022959:20025875:1 gene:OB01G34620 transcript:OB01G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVYQELARYHPWKTYVKLGRAAVCRLIRSHIVPEYSFLDARGLGAFEGKSLETLPEVYASDSISPDIKPPPINDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRR >OB01G34630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20029831:20031793:1 gene:OB01G34630 transcript:OB01G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3L2K7] MLDREPAEAASESENMTLALALTETEKAQPPTPPKTVEALESPVGSPQKESALTIAKLLSGEDLADMETKPAPEKVAPAAATTTTVTASVGSAGGGGGGVGSKRWLLGGVPEKVRLSELRRAELGFRVSAAVFCLVSLSVMAADTTPSWSGDSFRRYNEYRYTLAASVLAFTYSGFQLVVEVHHFVTGKHIIRDPSRKYFNLAMDQILAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFIALGLSSIISAYYVFSTIY >OB01G34640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20033000:20036135:1 gene:OB01G34640 transcript:OB01G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDIVLDLTEMINQTIKLGAGLRQDCDTIQATSAGKLRLSKPSKYWIESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFETSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >OB01G34650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20038688:20041977:1 gene:OB01G34650 transcript:OB01G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 2 [Source:Projected from Arabidopsis thaliana (AT1G10600) TAIR;Acc:AT1G10600] MGGRRFEINTNKCGTHPTPSKAYYVDTTSTDAHQVVHCQVNCRPARDRNIDSYSVKHHYPSPIVSWIEDLSSFGNVPFCPDPEYADEQSRSSAGQSSASVNLQDMQISVRLTDEFIELAKENTSNNVETCGILGASFRDGTYYVNMLIIPKQEATPHSCQAVNEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPSRSCGIFRLTDPGGMGVLRECRESGFHSHPETTDGSPIYETCSKVIFNPNLRFEIVDLRSAP >OB01G34660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20047946:20048701:-1 gene:OB01G34660 transcript:OB01G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDHGAEENVPGPAAGAVDGEDEGDDGAGFSFPVPSLAADAFIVPVYPVFGRPMSPPPREPVGEEEEETETATVRVPLGRLLLEEREFRARQRERPQTPVPQQLQQRPDDEGGGDGELEGVPPESYCLWAPGQSTPGSPRRCRKSGSTGSVLRWRRISERLVRRSQSDGKEKFVFLNAGPSPHPPKDSEDIGGGGGGGSKDNDGRHGWSYYRKGGGGSGGRRKSYLPYKQELVGLFANVSGLRRGYHPF >OB01G34670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20052088:20052417:-1 gene:OB01G34670 transcript:OB01G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHGAMVQASKEEIGRRRLGERHVQEETLMCRSLLRLQLQQFHRKTGVRQTVSLFSILLLHFFFLKESLFHFGIQGARSVLTSVHTLCRRVPAKRNVVFGDRSVHTIS >OB01G34680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20068415:20069353:1 gene:OB01G34680 transcript:OB01G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHRKRGLSQSSPHSPFPNGFHHALLRLLPPLPPLLLLRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVAAPGAGGAGAAAEEAPAEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKVKEAVTKDEAEDAKKQLEEVGAKVSIA >OB01G34690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20071375:20075375:-1 gene:OB01G34690 transcript:OB01G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQIRVDESSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMDEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKNYEAKKAKLGQKGEDKAAEA >OB01G34700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20076477:20080681:-1 gene:OB01G34700 transcript:OB01G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase D [Source:Projected from Arabidopsis thaliana (AT1G60550) TAIR;Acc:AT1G60550] MDAAERRLARVTAHLLPSLPLPLASAPPLAPSPTASSSPSSSPASDSYRRVHGDVPSEPPEWRAATDESGKGFVDILYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTVAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRQILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >OB01G34710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20091290:20091875:-1 gene:OB01G34710 transcript:OB01G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAASAPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDSGVDYAYGYPRQQQQWGCYGPAVAKAASFGAFGDAVSEDGPCLPWGLGVMPSSPAFSAVREVPSLFQYY >OB01G34720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20100263:20100538:-1 gene:OB01G34720 transcript:OB01G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRSSSRNGSSANMASTWSTRENKLFEEALAYYGEGTPDRWHKVSRAMGGSKTADEVRRHYEVLEHDVGLIESGRLPFPKYNTQGAWN >OB01G34730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20102094:20104588:1 gene:OB01G34730 transcript:OB01G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLHVCGFSLHMIRETFVGPDGMDELLGMLLRNLGPVWHSAPQRYNEVPL >OB01G34740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20114558:20117670:1 gene:OB01G34740 transcript:OB01G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLAAHRVALLVLVCVVHGLGAGVREAEAVGGDGFVRAQGTRFVLNGSPYYANGFNAYWLMSMAADPSQRGKVTAALSEAAGHGLTVARTWAFSDGGGTNALQYSPGNYNENTFKGLDFVLSEARKYGIKVILSLVNSYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHIKTVLTRKNTITGAAYRDDPTILAWELMNEPRCQSDLSGRTIQSWITEMAAHVKSIDGNHMLEVGLEGFYGASTPSRVAAVNPSGYQLGTDFIANNQVPGVDFATVHSYPDQWLSSKDEQAQLTFMGSWLDAHIADASTSRRAGAAPRWGASSGSSWWPAWTRTATATRSSSARRRPPPASSPPTAGGCASSARRSRGPGRCGGRAATTAGTRDWQEDGVV >OB01G34750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20118215:20124157:-1 gene:OB01G34750 transcript:OB01G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRVAAPLLVLAAVLLQGLLAEGVVRITLKRRPVDESGRVAGHLAGDDTQRLLARRHGFLSNEAAARASSKAAAVAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKSASIHYGTGAISGYFSQDSVKVGGVVVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPIWYNMVRQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTFVPVTRKGYWQFDMGDVLIGGKSTGFCAAGCAAIADSGTSLLTGPTAIITQINEKIGATGVVSQECKTVVSQYGQQILDQLLAESKPAQVCSSVGLCTFDGIHGVSAGIRSVVDDENGKSSSPFSSAMCNACETAVVWMHTQLAQNQTQDLILQYINQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGKKFVLKPEQYILKVSEGDATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKLKVGFAEAA >OB01G34760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20127334:20127525:-1 gene:OB01G34760 transcript:OB01G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSPTCSKMGRQSKRACAPTPTEIPSPGNQPVTPPLPPQFVVPPSFASTYRPSALFPAVTM >OB01G34770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20130871:20133144:1 gene:OB01G34770 transcript:OB01G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSAAAVADTELARRSCVPCNSKDIHAMSEDSAKKLLEQVGGWELAADGDILKLHRAWKVKNFVKGLEFLQLVAAIAEEEGHHPDLHLVSWNNVKIDVWTHSVKGLTDNDFILAAKINNLNLEGLLSKKANVQN >OB01G34780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20133710:20134426:1 gene:OB01G34780 transcript:OB01G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIHAMPLAAVAPPVVGKRCCFLAQPAGRVAPAWIREVMLPSLALPSRVPLHFIGEKMLTASDVAPQQNRISIPIGMRRHLLPLLSPDECAAANLVEVELKLARWSGSNGVIIKGEGFSAFFRDCALKSDDTVEIWVFRRSPCVHLFGVDMQPANGPMHIVIAKTHVGNILLPPALPLPAPVVDEVIVMHER >OB01G34790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20136536:20142762:-1 gene:OB01G34790 transcript:OB01G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKPRGSVNSNSRGIRGGADRSARSSSVQSGSSGADNMYSRSSILGPGMPVTNSTQKQTVPSSSVNKDVLPNGSFGAMQPSSGFQNSWCGVPGQMSMADIVKMGRPQVRSSSKPVATTDRAYAIQSSSFSSVVSQNPNQSASTSPPTTFEQRFPVLQDPIPQVMNSKHASADNHETQESDWFQQDGTLSGSQLTVPETSRDISLPLASLESTTLVPDDVNSHNNSHVGENSSVIPSDRHLEILEGNNYFNDDLLHNSSTYQSQGNSYDDDDDDQAEASNVDVESAAANIQHLSLQTEDLVATKSTEDNPAVIIPDHLQHANADCGHLSFGSFGSGAFSGLLQPKVHKNSVEDMPTPDESPSVDQEDVRNQDHNVAVNSSTDGDIEARIDTNMENTDVPSVSQPDILTQGAVDVSSLQYNLPSVSDHVYLNTTQPSTMESPQVDAQVQHLPQYSSLLQANTLHNNLLGSNLSPLRDFDFSSLLATKYNPGVPTASLPAISMQETLKPGGFSNTQSTQNLPSTSILSGPPLPQQLSVHPYSQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQPYSSNGPFHQSAATNAVPGVNMKYSVPQYKSSLPATSPPQPSSVVSGFGGFGSSTSIPGNFGLNQNVPSAATSMGFDEALSAQFKDNSHYIALQQSDNSAMWLQGAAGSRAVSAVPPGNFYGFQGQNQPGGFRQGQQPSQYGGLGYPSFYQSQAGLPQEHPQNLPEGTLNSSQTAPSQPSHQLWQHIY >OB01G34800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20148802:20153707:1 gene:OB01G34800 transcript:OB01G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT5G46390) TAIR;Acc:AT5G46390] MWMKKKQDILQSSIRSRSRAHDIIRKMLANLGDPYTRFLSPSEFSKMSKYDMTGVGLNLREVPNDNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNDIDVMGKSAFDVSSMLQGPKDTFVTIKVKHGNCGSVESMKVQRQLVARTPVFYRLEKRENEDSSIGYIHIKEFNAVAKKDLVSALKRLQSSGASYFVLDLRDNLGGLVQAGIEISKLFLNKGDTNWCNIYAPMELLQVIYTAGRDRQVQNTIVAERGPLVTTPLMVLVNSRTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYRRLPDFNEATEYLSRCQSRELS >OB01G34810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20156218:20160635:1 gene:OB01G34810 transcript:OB01G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEQQLQQVTGVSRAQDGVAKASLGKDHVPGSELWTDGLTCAFELIKGHKKHKSWPTIDSMQEKGVPAHMKRHISRNSHRAVTLKPDECIVVEKPCQTDFSNDSYVLKDRPVYAREIFDHKWVPIGWSRIAELVQRVQSDASWECEQVEMTDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEMCISDLFYKLLLAIQVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNVNVLGVTEVQELLSAGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYIFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILESIRKDTRDMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGIVLIGVGILYLGLQNPVTNEKVKVRKLELQHLVSMFQHEAEQHGKVREGLSRHSSSPKSSSASDEGYILIS >OB01G34820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20160751:20164102:-1 gene:OB01G34820 transcript:OB01G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNNSISAALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTTVGFDSRSQMEIPVVSKDINVDRVDAQSLHDSGTPIMSVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPARKGSSSYGYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGQLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMGGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLSSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADEVNLVEWLKMMISSKKAEDVVDPKLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKKPSQMGSIDIESQQSVEDISNSADS >OB01G34830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20170560:20174446:1 gene:OB01G34830 transcript:OB01G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cAMP-regulated phosphoprotein 19-related protein [Source:Projected from Arabidopsis thaliana (AT1G69510) TAIR;Acc:AT1G69510] MAFLRKGKAEKMPGNRPLKFIMSGMPTDDATGQVRMEGDVSDKKEEKTQDQNEESGMPSPQEEEAAIKKKYGGILPKRTPHITKDHERAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTHARARRTPYASADNDECMNLPSEDVNQNGDPVEDKNKEEV >OB01G34840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20188053:20195427:1 gene:OB01G34840 transcript:OB01G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2M8] MQTEQQQQRRKDSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTASVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVSFSGRFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSAIDNFRRQFANLEENGGKNGDAVPSDRKHVSLPRTTTVHSTPIPPKDHHNVTSQVPQRIPTGRPGRVVGPVIPLENSSAMGPYNQRRVVRNMSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRSSSYYVSKAKADVADRATLQSSMMQGIGPFNGIAAVGGNYNKVGAVQYGVSRMY >OB01G34850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20196128:20201592:-1 gene:OB01G34850 transcript:OB01G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPSQPFAPRARAGGRRLRVAFPERAAGKKKVLIISSTTLIKMPALKMKRKFDDDGFGDEFDSNPTKSMKISHFQIGELEQSSVLNLPYKDPQDELDLTTQLAGQDIMIMEAARLDDALGGTSVALLKDLISEVAVSPNMENDSLLNYEDSRSQLNVVNYFNKDEDVNSAEYNICVVNCHAESWASNEGCSLLDIYNPDDAFSFILDTPSEFPASYTALCDEIVPIDTLVNVSGRCGLFPLSESTTEATVGNEPWKYEGDMLFSNSEVLEWLNPHLSEEDLPNLIDLTELNSDVAQVSKEQGSRKVTLALDLDETLVHSTTEQCDGYDFNFPVFFDMKEHMVYVRKRPHLHMFLQKMAELFEIVIFTASQSVYADQLLDILDPDKKLFSRRYFRESCVFSNTGYTKDLSVIEVDLSKVVIIDNTPQVFQLQVNNGIPIESWFNDSSDEALPQLIPFLETLAFADDVRPIIAKKFGNKGYC >OB01G34860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20208851:20209084:1 gene:OB01G34860 transcript:OB01G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARLRIPLAGLTPATPFVSGSTPKPGSLSFAIRPASASLSASFNAPSSSSPPPIVVVGSANADIYVEVDRLPLVG >OB01G34870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20218567:20222903:1 gene:OB01G34870 transcript:OB01G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPDGQNSIIIVGGANMEGWAAGVGSDDLDLIRQAGVLLLQREIPDWVNVQVAQAAKDAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMLTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGKEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQVE >OB01G34880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20230715:20234717:-1 gene:OB01G34880 transcript:OB01G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEFQFQDELAPLFGRQGTTAEMQMPASWFSDYLQAGAPMQMDYDLMCRALELPVGEDVKREVDVGAGGGGGGVGVVPLTPNTTSSMSTSSSEGGGGGGAGEEDSPARCKKEEEEENKEEGKGEEEEGHKNKKGSAAKGGKAGKGEKRQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAHAQAAAHLHHHHGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKKTTMGGAAAAATTHVLTGAIGGGGGVSGATTNAVAVAASSPSPPSLQMQHFMAQDFGLLQDMLLPSFVHGTNQP >OB01G34890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20262978:20263385:1 gene:OB01G34890 transcript:OB01G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEADIAPAAESGGKAATEGVKRRGGWVKRMTAPVTRRRGHYSPVGGELHAAAGEDEGPERRGGWLRRMMLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADAVSFRVMYVVEAVVLGLALSCFFCCCGCQI >OB01G34900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20267673:20271990:-1 gene:OB01G34900 transcript:OB01G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRREEEASVQASSVQGGSSSSTRPADAPAERAFPIRSHGAGVARSHAYDWVALLLLVAVDGLLNVIEPFHRFVGAGMMTDLRYPMKSNTVPLWAVPIVAVIGPVIIFTAVYIRKRNVYDLHHAVLGILFSVLITGVITDAIKDAVGRPRPNFFWRCFPDGKGVFDKVTTGAICHGDPSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIAVFDRRGHVAKLCVVLAPLLVAAMVAISRVDDYWHHWQDVFTGAILGMTIASVCYLQFFPAPSHKEGFWPHAHPGNISERRKNSESQVQGTREPLDAMETGRGGQ >OB01G34910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20274267:20278931:1 gene:OB01G34910 transcript:OB01G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box (high mobility group) DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G34450) TAIR;Acc:AT2G34450] MKTRSQTAPKPLKTVRPAPTKPXPSPKPPPPPPPSSRKKAQPLVDRRRPKKPPTAFFYFMEDFRKTFKEENPSVKSMQEVGKACGEKWNTMIFEERVKYYDLATEKRAEYEKAMAEYNKKKESGEFSEESDCD >OB01G34920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20281300:20281461:-1 gene:OB01G34920 transcript:OB01G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASKGPTKFLDAHGLHQWWADDVELQVALNHSKLIDRQLRSLYAAPPPAAS >OB01G34930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20283273:20286620:-1 gene:OB01G34930 transcript:OB01G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAASTAWSLAAEEGGKLHAMAHALLLFLFLFLFLEFAGRWEAPPWQKKKSISCLPFVSFFSWRASGATALPSTIHHKEGGREGGKPRTAHHMFEPRVAERPGPLSVGLGWNVWKRPPNERNSGPAHSAESPTSWDSGGSRSPPAIRRRPIHSPLLAPGERILLPVAAAMSSPGDAAPPSEASASVSAAQEAGQQGGPTRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPGAHDAAAAAAASGEFRRAKKRAAPSDPLGAKNSGVDARAHKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESESAIDSAEPENGNDDSVASAKPMGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLRQAYLKKRLEKLIAEKQASSATDDLPAS >OB01G34940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20286547:20287750:1 gene:OB01G34940 transcript:OB01G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSAARLQAVDAAATAGAMRSGRVAPRTAVSPAHRSLGAGCKAAARQDGTSASATQDPTISVSSARTQLDLLDQLASPTSDAIGLENGAPPAEPRARTTIREQLSALFGERGGEFTLPLGKKLKEGLKNLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >OB01G34950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20288470:20290398:-1 gene:OB01G34950 transcript:OB01G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSARKGLLFLFISSVVLLFPAPSDGSSNSTVNLNQSHNKTGHPLEMTPKVLFQLKLHALFHWSSFGFLMPVGIILARMSSKSKSGRCIRVLFYCHVISQIAAVLLATGGAALSLMNFENSFSNSHQRVGLALYGFIWLQPIIGFFRPERGVKIRSLWYFLHWLLGIAICATGVTNVYIGLHTYHERTTKNMKLWTGLLTFELSLLVFLYLLIDRWSYMMKQGNAPIEQLRPTDNRRTYPTTLRKELGMVQE >OB01G34960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20301051:20309763:1 gene:OB01G34960 transcript:OB01G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEPQFVMSGSPEVLLAVGGRVLVVDEDGVQTLGEGLEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDSALPPDQIAWCGLDSVLLYWSEVLLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSSMEFLHRVPDSTTLIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQHSLLRAASYGLAFCSQFPHERFQEMCKTLRVLNSVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASASIPDTVLLEALLDKLRLCKSISYAAVAAHADNSGRRKLAAMLVDHESQSSKQIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKGAPLDFFGLINARPLARDLFIAYARHSKHEALKDFFLSTGKLQDVAFLILKESRELERNPMASKGSPLHGPQVRLIDQARKLFADTKENVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKTDFKVPDKRWYWLKSCALATVGNWDALEKFSKEKRPPGGYKPFVEACIDAGQKTEAVKYIPKLTDPRERSEAYARIKMAKEAAEAASQVKDSDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY >OB01G34970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20309988:20318513:-1 gene:OB01G34970 transcript:OB01G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMPDADGKPSSASSGFPPSAPPQPPQHQYGTFGAPPPATGELPQPAVGFPQPAPPPGFRHYPPPPPASYAVYPPPQTYTAAAPYYAQGYQAVQGYIPVVEGRPVRMQRLPCCGLGMGWFLFIIGFFLAAIPWYVGAFVLICVRVHDYREKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNASIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >OB01G34980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20321299:20324214:-1 gene:OB01G34980 transcript:OB01G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase II 3 [Source:Projected from Arabidopsis thaliana (AT1G53580) TAIR;Acc:AT1G53580] MVPLLRSCRRLIPHLSAAAAVAAPSSSCARPISRSLRLLPVVLAMAAYSSGSGAERRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKSSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGDGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESVHSQIFTLPKDTLLYPGHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMDNLNLAYPKMIDVAVPANLVCGIQDPPPSSV >OB01G34990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20327616:20331864:1 gene:OB01G34990 transcript:OB01G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34060) TAIR;Acc:AT2G34060] MDFYAKTCAAVDQIVGNVTAPLFRDNPAAGPAVLRLFYHDCFVEGCDASILIAPTGSNAGGPPRVERDMEENRNLPQEAFDAVEMAKAAVEKACPGVVTCADVLALAARDFVHLAGGPYYQVKKGRKDSKVSLPGKVRGSLPRANSTVDELLRVFAAKGLGAGDLVALSGAHTVGFAHCAHFVGRLYDFRGTRQPDPVMDARLVKALRMSCPFTGGSARVVVPFDVSTPFQFDHAYYANLQARLGLLGSDQALFLDARTRPLVQELAADKERFFQAFAASMDRMGSVRVKKGRKGEVRRVCSQHLH >OB01G35000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20338949:20343011:-1 gene:OB01G35000 transcript:OB01G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFRSKHAAQPWPPPWRAQAPDMGGSPPFLLSGSSSAGSGGYSLKSSPFSSVGEERVPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRGGSGRSALGLGNSRASAPAPREAAAPFTPQPIPPQPVQQQQLVSPVAAAPTSSSSSSSDRSSGSSKPVRPMSTQAMSATAAMDLLSPLAVACHQQMFYQGQPVESATAPPPVPASKGLCNELTGPNTTGHRSCAWTAGLGGQHWSGSADQFGLGKNSEASIAAVSREDAHDHATKLGMLQYGYGITTPAVHVDVITSSDASVLPAVPSSPSPDAAVTVASVAATTSVADFAATAISTGAVANNQLQGLADFGGPGAGAGAAVVCVGIGGAAAPFFYPAAHFNVRHYFGDEAELLRYKGDSRTEPVPVDASGVTVEPLLQGAVYLVLNF >OB01G35010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20354739:20355008:-1 gene:OB01G35010 transcript:OB01G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGVPLLAGGVPDLGLDELVVDADGLGGELDADGGPGLQAELVPREPRQQVRLPHAAVPDQHHLEQVVVLLLRPVPRPRRRRHRPPSS >OB01G35020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20354676:20355727:1 gene:OB01G35020 transcript:OB01G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTGDRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVRVDDKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRITFENAERWLSELRDHTDANIVVMLVGNKADLRHLRAVPAEDARAFAEAHGTFSMETSALEATNVEGAFTEVLAQIYRVVSRNALDIGDDPAAPPRGRAIDVSAKDDAVTPVNDSGCCSS >OB01G35030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20356235:20361506:-1 gene:OB01G35030 transcript:OB01G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTLCTPQVIDLETEQVSRVHSESFNRTGNDSSDQGAQHAVRGVGNATNIGLSDMRSYYDAGMNHPHQPVHSLHPNLGVDSGFAFPSSMYNPCMSTTSMNRYVSHTQSFGLPLNQVVLGSMDEGSRNENAGESARGFIKRKNAAVIGSYHCANGFASSSSTSHVSLNPTHRPWDPSFEPNVLPNTASYSPSEYHSQASWPSMEGSSIPSNGFSLMSAHPESAQHGNYAFPAGHINQCFQPTSSTWISQAANGIADGIPQWEYVNGMNNAPGRFACSGMTETVNGSFHEYQNGPSTLCRGPLPYFHQHAVHSMHAQNLLDHAQMQAPYQQCHNNPVLNGVNHSGNRFHLGPRIPVIFSNSERTFGPPHHPFLANPVNHRNIRVLPPEHTTIMDFSRLYEASNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLYVPGSSDKSSETSKENDSCIICQEEYRVKDCIGTLDCGHRYHEDCIKQWLTVKNLCPICKTAALSTGRRSG >OB01G35040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20371927:20373048:-1 gene:OB01G35040 transcript:OB01G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLDSIFDTEKDRPTDPRKIQEHFEDFYEDIFEELSKFGEIEDLNVCDNLADRMFREEDQAAAAHTALQGHFYSGRPIIVDFSPVTDFREACFRQYEENCCNRGRRKRGEEEDMKGMMMEESVGMVVVLQGVQGTRSGRAARNAVPRSNSGTVDDSIVSLRRTVIGVAMTLLAFCESIIHLGILLFPSCYDLIDLVF >OB01G35050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20380939:20381103:-1 gene:OB01G35050 transcript:OB01G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRSFCPFPVACPLYFSLSPSLKVKTINLPSVHGIVDLWLLRRFTSIKHAFR >OB01G35060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20389620:20389796:-1 gene:OB01G35060 transcript:OB01G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASRQEEGGGRGRKEAAGAGGGRRMRRRVVRENGGGAGGCERTEEAAPVTGVDEP >OB01G35070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20389989:20393212:1 gene:OB01G35070 transcript:OB01G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MADKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFQCTIQATHVSLGIRGNPPYLNHDLTHPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSE >OB01G35080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20411592:20421595:1 gene:OB01G35080 transcript:OB01G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLRDGAEEEVLSEGSGGLPLQGREVRDREINLTIDLNVDEHEGVEGVNVVEEEDDRKGGGGDEEKGEAIGTENDLDEGKHEEVGEEEGLHGKETTEELESPVLEGRNGDELPCDKNNAEGVTDSPLEYEHLDAQSEQNMEESNLCVEQQMELDGCNPSEQLKEGQHDEQTGGFPNVVPPEEVPKEEVRKFPLSEEKQGITEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRKFLIACHDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKTYHGWESVAGLSNVIEGMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKNWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLQEILRSAERGFEHGRLPLPSVLVEERDWLAALADAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKTIAKRIASILSSYGLIASQLRNHDSVLNHKEQHEKFDAHRSNSTGSHTKGGLAHKLSGFRALVAGVPRSGQQHLIRCLLHGFVGQTVIHKLDLATMAQEGNSDILSGLTQILLKCLNLGRCMIYMPRIDLWAVDKVHEQEAEDHVPNVGTSRLGSTPIKNIKKCSEIWNALVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFGTHVVDECLASSEHTIPRFSVNVDSYFSWDEVIDACCLQISQDLVQQQVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSRSKEAGMLMKYPLNMDKHPSCGVSSREHPNQLATCSAQQEPPTSTLEDKEGNAEKNDFNEKVTTNPSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSSTSPDKSLSGGNNVLKGKEKILRVRGLVAVGLLAYRGTYASVLEVCAEVRKVLELLVGQVRTKIMEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSDSSRVKTGPKITVRKSSTRECQDDSNTAEANIVGPPAVCSEAQVTPAQHTNDLQAPAVCPSEMQENSVQHAPAHHEIHDMVCDLDNDSATSIASINAVEPDLIHSASLDVHTDSLTTAGAVVNDGESCGVDDDGQMSRVISGEENRTSDIERPESHTGCVEDFNELQRRNSVVSSTSPGNAGTSRNMVSSEVHGSGNERDTDFPVDECKSGHLVNPQSQDAVKNVSVQKSPCLYKCCPMCFNAVYKMVHNILSNSVRPNLHRLAVDDMHDFLSSWSVNLLATVRKWYSSQGIVGCEENSGEGHCVCQSDNSCIPRECTCHLEINEDAGIINYESYNPSGQPLSFFFKDGVLIPPDITAVTTPHCSYMRLCVCSTPGFLGSIGS >OB01G35090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20423900:20426317:-1 gene:OB01G35090 transcript:OB01G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2Q3] MCFFRSSAPTTEIGMSKFLYTVSLSYLSVLLCCGASPWQTIGTGTSLQVDRGETLLVSPDTTFSCGFYPSGDDTNAFYFSIWFTHATDRTVVWTADSGLPVNGHGSKISLSHEGNLVLTDVNGTTVWESKTGWGKHTTVSLLNSGNLVIKASDDKIVWQSFDWPTDTLLPSQRLTREKRLVSQSGYHVLYFDNDNVLRLLYNGPDITSIYWPSPDYNAVQNGRTRFNSSKIAVLDDEGRFLSSDGFKMVALDSGLGIQRRITIDYDGNFRMYSLNASNGNWNITGEGVLQICYVHGLCGRNGICEYSPGLRCTCPAGYEMVDPENWSKGCRPTFSISCGKQREDFTFIKIPHGDYYGFDLTSNKSISFEECMLSCKDSCVCLSFTYKSGEGLCYTKDLLYNGQVYPYFPGDNYIKLPKNVASTSLVSKHPDLTCKTNASKVMLASIDAYRKNSDNIMWAYLFIFASIIGAVELVFIMIGWYFLFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGVLGDKKVVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGRHRLLVYEYVENESLDKYLFDDSGTRRLLSWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLSKLSKRDSTTFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGSRVSSGITIDEEDIDLMQFVQKVKQILTSGADLDNIVDNMLKGHFNFDQAKVMVKTAVSCLEERSKRPTMDQIIKDLMVYDDEDYHPAYL >OB01G35100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20431572:20433977:-1 gene:OB01G35100 transcript:OB01G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2Q4] MKRKTMAALLYLTIISSLSFKLCSSASPWRTMTTGSQIRAEDHDKIFLLSPDTTFSCGFYQIGTNAFTFSIWYTNTTRKTVVWTANPYSPADGYSPVNLYGSRVYLGHDGNLVLADTNGTTVWESKTSSGKHTAVTLLDTGNLVIKDSSNNTVWQSFHSPTDTLLPWQNLTKDIRLVSRYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYKAEENGRSRFNSTRVAFLDDKGNFVSSDGFKIEATDSGPGIKRRITIDYDGNFRMYSLNESTGNWTVTGQAVIQMCYVHGLCGKNGICDYSGGLSCRCPPEYVMVDPTDWNKGCKPTFTIDSKIPREDFVFVKQPHADFYGFDLGSNKSISFEACQTICSNSSSCLSFTYKGGGGSCYTKGLLYNGQVYPYFPGDNYMKVPKNSTTSTPSITKQQILTCNPIAPEIMLGSANMYGTKKDNIKWVYFYVFAAILGGLESLVIVTGWYLFFKKHNVSKSMEDGYKMITNQFRRFTYRELREATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSGGTNRLLVYEYVENESLDKYLFGERCHESLLAWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDYDAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSNGIVVDERQVEFPEFVQEAKKILATGNVTDLVDDKLHGHFDPEQALTMVKVAVSCLEERSKRPTMDEILKTLMLCDDEDDYHPAYSY >OB01G35110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20451294:20453682:1 gene:OB01G35110 transcript:OB01G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2Q5] MAKLLCLVILPLITILPCSYALPQLTLRTGSSLSVEEHEQTFLTSRNGDFSCGFYEIGGNVFSFSIWFTNSKEKTVVWSANAKSPVNGHGSKVTLSREGNLVLTDVNGTVNWEGRTSSGKGTAAVLLDTGNLVIRDSTGAKLWQSFWEPTDTLLPLQPLTKGIRLVSGYYNLYFDNDNVLRLMYDGPEISSIYWPSAEYKSFDVGRTSYNSSRNAVLDTEGYFLSSDLLSIKASDWGAGIKRRLTLGYDGNLRMYSLNESDGSWIVSWQAIAKMCDVHGLCGKNGICEFLPSFRCSCPPGYTMRDPTNWGRGCQPLFSKNCSKEEEFVFVKLAQTDFYGFDLTYNQSVSLQECKKTCLDICSCSALTYKTGSGLCYTKAVLFNGFSSPNFPGDNYIKVPKNMVAKQSSLSCNRSAEIVLGPATVLGALVLIFTGTSWWFLYSRNNIPKSMEAGYRMVTSQFRMFMYRELREATGKFKEEIGRGASSIVYRGVLEDKRVIAVKRLANISHSEEEFWVEMSIIGRINHMNLVRMWGFCSEGQHKLLVYEYVENESLDKYLFGNVSAERLLAWSQRFKIALGTARGLAYLHHECLEWVVHCDIKPENILLTRDFEAKIADFGLAKLSQRDSTSLNFTHMRGTMGYMAPEWALNSPINAKVDVYSYGIVLLEIVTGSRISSGIKVDGKDVELREFVQAVRHILASGDIKDIIDSRLNGHFNPEQAKDGD >OB01G35120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20451455:20451694:-1 gene:OB01G35120 transcript:OB01G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPVSSSTAAVPLPELVLPSQFTVPLTSVRTRLPSRLSVTLEPWPFTGDLAFADHTTVFSLLLVNQMEKEKTFPPIS >OB01G35130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20458749:20461172:-1 gene:OB01G35130 transcript:OB01G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2Q7] MAGSVIRCLTVQLSLVSLLLCSSSSMSMAQHTLGTGSSLSVEDRARPFLVSPDGTFSCGFLQVGDNAFSFSVWYNAAKNGTVVWTANRDAPVNGRGSKVSFGRDGELALADTNGTTVWTGKTSGGKRGLTVSLRDTGNLVIEDPSDGSAVWQSFDWPTDTLLPSQRFNKQTKLVAGYYSLYFDNDNVLRMLYDGPEIASIYWPFPGASVFDNGRTNYNSSRIAILDDAGVFQSSDQLKAEATDLGLGIKRRLTIEQDGNVRMYSLNASTGGWAVTWSALKQPCQAHGLCGRNGICEYQPKLRCSCPPGYVMADRRDWRRGCTPTFPVGNCSHGSSPALAPAGFKFIKLAHTDFYGFDLGYTESITYKQCRDQCLNMCLCTAFSYRLDGRGRCYPKGTLFNGFRSANFAGNIYLKVPLGFNASAQSAGGLSCGANVTVVPVSADVYGMAQGNSGQWTYFFVFAGVLGVLDILFIATGWWFLSSKQSIHGSLEAGYSMVMTSQFRRFTYRELKAATANFKEELGRGGSGVVYRGVLDGGKVVAVKRLAVDVTMHGDEELWAEMTVLGRINHMNLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDAADGKQTTLSWSDRYKIVLGTARGLAYLHHECLEWVIHCDMKPENILLTREFDAKIADFGLAKLSKRDGGGSGVEFTHMRGTSGYMAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTEAGEQLQLPQIVQALRHVVDSGDVMSLVDARLQGQFNPRQAMEMVRISLACLEERSSRPTMDDIAKSLTAFDDEDEHPAYH >OB01G35140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20475255:20477678:-1 gene:OB01G35140 transcript:OB01G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2Q8] MAGLGTLCLAVQLPLVCLLLRSSASTAQHTLGTGSSLSVEDRARAFLVSPDGTFACGFVQVGDNAFSFSVWFTAAKSSAVVWTANRDSPVNGRGSRISFRHDGELALADTNGTTVWASRTGGGGRGLTVSLRDTGNLVVVDPSTGRAVWQSFDWPTDTLLPSQRFNKQTKLVAGYYSLYFDNDNVLRMLYDGPEISSIYWPLPDLRVFGNDRTSYNSSRIAILDDAGVFRSSDRLQALASDMGVGVRRRLTIEQDGNLRMYSLNASTGGWTVTWSALKQPCQAHGLCGKNGICEYLPSQRCSCPPGYVMNDAQDWGKGCNPTFAVGNCSQGAASEKFMSFKVAQTDFYGYDLKFNMSMTFEICREQCLLDCQCVAFSYRLDGAGRCYTKGMLFNGYTSANFPGSIYLKVPLDINASALRVSAQSAAAGLACSPVVPVLTVSAAVYGMPARNSWKWTYFFAFAGVLGVLDLLFIATGWWFLSSKQSIPSSLEAGYRMVLTSQFRRFTYRELKDATSNFKEELGRGGSGVVYRGVLDGGKVVAVKKLAVEVSMQGDEEFWAEMTVLGRINHMNLVRIWGFCSEHKHKLLVYEYVENQSLDRHLFDAADGKQTTLSWSDRYKIVLGTARGLAYLHHECLEWVIHCDMKPENILLTREFDAKIADFGLAKLSKRDGGAGVELTHMRGTSGYIAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTAAGERLQMPQIAQALRHVLDGGDVMSLVDARLQGQFNPRQAMEMVRISLACMDERNSRPTMDDIAKALTAFDDEDEHPAYRS >OB01G35150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20478136:20478306:1 gene:OB01G35150 transcript:OB01G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNVIYLCLRVTFSSFVTGLFFASFRVDECATSLNSDTNMYRSFSGQGRRLVVRH >OB01G35160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20483497:20483820:1 gene:OB01G35160 transcript:OB01G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTNHYRCAPNATSVVVSLDDLSFDRLEGVTPPLLAMARTSFADSSRTANHDWAQRWSQHAPRGGKVLAQEGIKNPNPRQKMKEVRRCGEEIVTYRREGGHRRGRG >OB01G35170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20486988:20489306:-1 gene:OB01G35170 transcript:OB01G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2R1] MMKTIPYLILFSSLQIMACSSASPEHTLGTNSFLSVEDYEKPFLISPHNTFSFGFYEVGHNAFSLSIWFTNTIDKTVVWVANPNSPVNGHGSKLSFYLEGNLVLSDGKGSIVWDNKMMSGQGSRVALLETGNLVITDSKDCVVWQSFDSPTDTLLPLQLLTKDKRLVSGYYSLYYDSDNVLQLKYDGPEISSIYWPNPYYSIFDNGRTTYNSSRIGILDNTGHFMSSDGLNIVASDSGLGINRRLTINQDGNLRLYSLNTLEKKWTVTWEAMPQICGVHGLCGGNSICEYSPSPRCSCALGYEMVDLENWSKGCQLMFTNNYGQVVDHFMFVEMQQVDFHGFDLAYNMPISLEGCEKICSAMNSCVAYSYRAGHGRCFAKGQLFNGRKTPSITGSTYFKIPKTTNILIVKQYGLTCRHNNTHEMHQHLEKLLYYYICAAIFGGLELIFITIAYVFLQSKQNIPKSVLDGYEFMTEHFKKFSYRELKEATGNFKEELGRGGSGVFYRGVLDKKRVVAVKRLTNATKAEEEFQAEISVIGRINHINLVRTWGFCSEGKHKLLVYDYVENESLEKHLFESIDAKRFLRWSQRFIIALGTARGLAYLHHECLEWVVHCDMKPENILLTQDFEVKIADFGLAKLSKRSCSSLQLSHMRGTIGYMAPEWALSLPINAKVDVFSYGIVLLEIVMGARISSQTTTEGEKLDLTQILEALKQLVASGDVTHIVDAKLHGQFNHLQAMEMVKISLSCIGERNKRPTMDEIVKALMACSCEDKY >OB01G35180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20493133:20495451:-1 gene:OB01G35180 transcript:OB01G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L2R2] MAIFLHLIILSPFLFLGLFSVSASPQLTLNTGSSLSVQDHEHTFLASPNSVFSCGFYPVGTNAFTFSIWFTNTVDRTIVWSANPQSPVNGHGSKVSLHDDGYLVLTDVNGSTIWMSTVSAGEGSTAELLESGNLVVRDSGGTAMWQSFTSPTDTLLPAQQLTKDTRLVSGYHSLYFDNDNSLRLVYNGPEFSSIYWPNDDYTMFRYGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNPRIYSLDASNRSWIVTWQAIVEMHYVHGMCGKNGFCEYSPEPSCSCPPGFEMVDPQNWSRGCRPTFSYNCGQERYKFIEIPQTDFYDFDLGFNESISFEECRNICLKTCSCIAFSYRLTGTGVCYPKGLLFNGYKSPAFPGSLYLKVPYSANIEASSKQSVLTCSLGSQEIATSSDHTRWLYFYIFPGVFGALELVFVLTTWWFLSKKSYIQNSAEGGYMMIRNQFRRFTYQELKEATGKFREELGRGSSGIVYRGVLNDKRIIAVKKLVDVARCEAEFQAEMSLIGKINHMNLVRIWGFCSEGKNKLLVYEYVENESLDRYLFNTVSTERLLMWRERFNVALGAARALAYLHHECLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTVGYMAPEWATNLPINAKVDVFSYGVVLLEIVAGQRISSHTTRESRVIKSKQFIENVREALTAGDTKCIVDGRLHNQFNSEQAMVMLTIAVSCLEEDRSKRPTMHEVVKTLLDCKE >OB01G35190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20501567:20507287:-1 gene:OB01G35190 transcript:OB01G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3L2R3] MVGIDLNTVEEEEEEDEAEGGTGTPPAPAKAGGGVCLELWHACAGPVAPLPRKGSAVVYLPQGHLEHLGAGARPLATRPRCSARAQCVRDIDLTVCFRCAVQADAASDEVYAQVSLVVDNEEAKRRMREREHGAGCDGEGEDAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFITKKKLISGDAVLFLRGEDGELRLGVRRAAQLKNVTPFPALHNQISSTTSLSEVAHAVAVKNIFHIYYNPSWTCRLSQSEFIIPYWKFMRSFSQPFSVGMRFKLIYENEDASERRRTGIIIGSREADPMWHGSKWKCLVVKWDDDVECLRPNGVSPWEIELSGSASGSHLSTPHSKRLKPCFPQVNSDVLLPNGSVSSDFAESARFHKVLQGQELLGLKNHGGTVNTASQATEARNFQYTDERSCSINMSNNILGVPRLGVRTPSGNPGFSYHCLGSGESQRFQEVLQGQEVFRPYQGGILSDDCIRGSGFRQPDGNHASGAALKWLAPQGCGQHGITTPVLPQASSPSSVLMFPQTSSKMPGLEYIYGCLDRNENSRRFKVGPTQDIGRTDETLRLWPRRMSGKALDGCMGTEKLNSPVSGAEHESNNKCLNTNGCKIFGISLTEKTQAGNEVDCGNASYHSRFQSLNSQMPKSLGSSCATVHEQRPVVGRVVDISAVNTMI >OB01G35200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20519100:20519429:-1 gene:OB01G35200 transcript:OB01G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKQRRCDRSTDGSSEEGGVDEAAVEEVVGDVVPGALGGLGADRYLRRGLVVDELVLELRVEHLVACLTDVVQDVAGAQHDGEVLELHLRALLEARPPRLETRERVHGDAP >OB01G35210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20537561:20538729:-1 gene:OB01G35210 transcript:OB01G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNSSDGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRHSSILKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLMPNFEVHTGGAGFGP >OB01G35220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20541124:20541754:-1 gene:OB01G35220 transcript:OB01G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLASAAAVAAAKKQQQQLQASPHVNLIKTCPSCGHRAQYEQFSRTHIYCALDTYIDQRRKHTYIDGFASDGIEHGGQSQLQAAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLVDEFIPTIEGENGICYTHPERLPGTYTCYDRSTHQYISVWVSSADQKLFN >OB01G35230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20549808:20550788:-1 gene:OB01G35230 transcript:OB01G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46100) TAIR;Acc:AT5G46100] MRAAGFAPTTATYNVLIKAHCSDAAVPIDDAVRLFQNIAKPDVCSYNTVIDGLCRRGRLPEACDLFAEMIANGIAPTVVTYTTVIHWLAREACFDDALKLFDEMSGRGIMPNVVTYSSLIDGLCKGGRAASALELLDRMVRERKLPNTITYSSVIDGLCKEGRLGQAMEILDRMRLQGRKPDAGLFGKLITGLCDSGRALEAANYLDEMILAGIEPNRLTWSLHSKINDAVVKTLCAKGEVSRAFQVYQSMRTRGISTEPKTFHLLVECLSKKNYLEKAAHVVRDMLSERCIPERETWDTIVRAYWSKKKVRQEAEEMWSQLTVTN >OB01G35240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20557115:20571955:1 gene:OB01G35240 transcript:OB01G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGAAGKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAAAGPVSAGSAGASGSSEKPVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAGPLMAPPPVLPPPTLPLASGELLISGSSPYDEPPPMPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMIPPPMSSMQLTTSELRVIHSVESQLGEPLREDGPVLGIEFDPLPPGSFGAPIVPEQPKQPVRSYDTKIYSRHDSKLLKGSAFFPSVEHPFVPNSIGGKRKLMVGNPPPVHPHAGSRAVHEYQFLPEQPSDRYEGSSRSRYYDMPVEASNSRMSSHTPGSQLLHGSEEAALGYPFQGQISGSGLLPQSGRPEVLPAVPTDYEMIQSNSNLNSVPVEGQYGISQVAGFENSLLPSERRAYHDEDGSRVDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDMMKRKREEQMRKEMERHDRERRKEEERLLRERQREQERFQREQRREHERMEKFMQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLYLDSDTLQQLDSFRGMLSPFPPEVVRLKVPFSIKPWTVSEDNVGNLLMVWKFSITFADFLGLSSVTLDEFIQSLHDYDSRFLGELHIALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGLGPQLKKRDAEGVYSRDDNEGHDGKNVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPVDSEVVLSSAREKIRAFHNVLSDSEAEKEADGAERDEDSECDDADADDDPDGDDVNIDVGDGKDPLIGLKEQDGVPITTAVDSTKREEEIEGALTQPSSLNKSGKEVLNPSLDKPSSANTSSDSPVRDSSDCHEIAPSDAEDQEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALVGIANEGNSIRAVLEERLESANALKKQMWAEAQHDKRRTKEEFASRVQYNSNMNLKADVNQENGTESTTTPCRNVDKDNDGNAGVVNNNNEIIDHNSNAVNTSYERNGSGQDITATADTLSVQQYAYADKTRSQLRSYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESRDGYWRVLDSGEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKNGAVVEQSAGRYLKNGAMEMIRASSRSEFGSPSSSLSGITSDSAIAFSDSFKIELGRNDVEKTAISKRADGFIRWMWRECNDLKLTCTMKCGKKRCSELIHSCTYCYQIYLAEERHCSSCHMIFKSIHNFSDHTSQCEEKRRTDHNWKMQTADHSIPVGMRLLKLQLSTIEASIPPEAIQPFWTDGYRKSWGVKLHSTASLEELFQMLTLLEGAIKRDYLSSDFETTKELLNLNTQDTASQNHVGLSGSAAVLPWVPATTAAIALRMLDLDSAVSYIQNQKMERDGGDFVKPPSRFAVVKNAQELEPLEATGFDLYDGRWVAGSGRRGRGRGSRGGGSRGGRGRSRGGRVPRGISSSSRIGFKDENESSRKNARRGRTTRGRRRGRRTVRPRQPSEGRGRSIPKENLLGSFSMLSNAKPATVEESPRSSGADEWGLDNRRPYIEGDENSSGSQSDQSEDNEENGQPMDEEYDEQVPNYSRGYSGGSRPHGMIDDESEEEDEDAEGDDDGEEDDVDHAVDDVDAEMDDDDDIGDDGEDGGDGGEANADEDEGASSYSSEYSD >OB01G35250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20575031:20580140:-1 gene:OB01G35250 transcript:OB01G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGMGRRLGKQQQQQYGNREEGYYFFCHHYSNWWMIIHAPPGLGSGLERFHSNTSRLGFLLSLPFAFLSLVLSVVGVAVWLVGTTLSCICPCLPCCFSVVELAVILMKLPVTVVIFFVDLIPASQPAAARWLISWAVC >OB01G35260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20585435:20599421:1 gene:OB01G35260 transcript:OB01G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAGPLMAPPPVLPPPTLPLASGELLISGSSPYDEPPPMPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPVSSMQLTTSELRVIHSVESQLGEPLREDGPVLGVEFDPLPPGSFGAPIVPEQPKQPVRSYDTKIYSRHDSNLLKASAFFPSVEHPFVPNSIGGKRKLMVGNPPVHPHAGSRAVHEYQFLPEQPSDRYEGSSRSRYYDTPVEASNSRMSSHTPGSQLLHGSEEAALGYPFQGQISGSGLLPQSGRPEVLPAVPTDYEMIQSNSNLNSVPVEGQYGISQVAGFENSLLPSERRAYHDEDGSRVDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDMMKRKREEQMRKEMERHDRERRKEEERLLRERQREQERFQREQRREHERMEKFMQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLYLDSDTLQQLDSFRGMLSPFPPEVVRLKVPFSIKPWTVSEDNVGNLLMVWKFSITFADFLGLSSVTLDEFIQSLHDYDSRFLGELHIALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQLALSAGLGPQLKKRDAEDVYSRDDNEGHDGKIVISTLRNGSAAVNAAALMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQESGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKSPYRKDPADSEIVLSSAREKIRAFHNVLSDSDAEKEADDAERDEDSECDDADDDPDGDDVNIDVGDGKDPLIGVKEQDGVPIMTAVNSTQRVEERVGTLTQPSAFTKSGKVDPNPSLDTSSDSPARETSDYHEIAPSDAEDQEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALVGIANEGNSIRAVLEERLESANALKKQMWAEAQHDKRRTKEEFASRVQYNSNMNLKADVNQENGTESTTTPCRNVDKDNDGNAGVVNNNNEIIDHNSNAVNTSYERNGSGQDITATADTLSVQQYAYADKTRSQLRSYIGHRAEQLFVYRSLPLGQDRRRNRYWQCSTSASPNDPGSGRIFFESRDGYWRVLDSEEAFDSLVASLDTRGSREAQLHSMLQRIESTFKEAIKRKNGAVVEQSAGRYLKNGAMEMIRASSRSEFGSPSSSLSGVTSDSAMAFSDSFKIELGRNDVEKTAISKRADGFIRWMWRECNDLKLTCAMKCGKKRCSELIHSCNYCYQIYLIEERHCSSCHMIFKSIHNFSDHTSQCEEKRRTDHNWKMQTADHSVPVGMRLLKLQLSTIEASIPPEAIQPFWTDGYRKSWGVKLHSTASLEEIFQMLTLLEGAIKRDYLSSDFETTNELLNINTQDTASQNHVGLSGSGASLPWLPATTAAIALRTLDLDSAVSYIQNQKMERDGGDFMKAPSRFAVVKNAQELEPLEATGFDLYDGRLAAVSGRRGRGRGSRGGGSRGGRGRSRGGRVPRGISSSSRIGFKDENESSRKNARRGRTTRGRRRGRRTVRPRQPSEGRGRSIPKENLLGSFSMLSNAKPATVEESPRSSGADEWGLENRRPYIEGDENSSGSQLDQSEDNEENSQPMDEEYDEQVPNFSRGYSSGSRPHGMIDDESEEEDEDAEGDDDGEEDDVDHAVDDVDAEMDDDDDIGDDGEDGGDGAEANADEDNGASSYSSEYSD >OB01G35270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20604076:20607448:1 gene:OB01G35270 transcript:OB01G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGMEEVEMELEDDGVGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKIARDMLNHITVQHGYLFKSGRRTQRFVIPESQALSLLSRDLRDAQLQALLGGGHCHRRSNTTATNISSDPLLSSFGLGFSTLNPEELSKAPVPIPDDTSIRKDTPAQPWESSIDSSLTSEEREQKRKQATDRATFVQGLMLSTLFGDCA >OB01G35280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20610244:20615013:-1 gene:OB01G35280 transcript:OB01G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELHRILEGYIDTSTGRPANPAVHGENAFLTRVVMPIYGVIRDEVEFSRNGTAPHSAWRNYDDINEYFWRRDVFDRLGWPMEQSKQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYLQAAAIVAWESEGLPWKSLGNRNTQVRVLTIFITWAALRFLQALLDIGTQFRRAFRDGRMLAVRMVLKATVAAGWVVAFAILYKEAWNHRNDRNDNTQIKRYLYAAAVFMIPEVLAIVLFIVPWIRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSVFWVLLLAVKFAFSYFLQIRPLVKPTQEIYKLKNIDYAWHEFFGKSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNERSFLPNRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIITKFREEDIVGDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVQGPDRRLWRKICKNDYRRCAVIEAYDSAKYLLLKIIKDGTEDHGIVTQLFREFDESMSIEKFTVEYKMSVLPNVHAKLVTLLSLLLKPEKDITKIVNALQTLYDVVLRDFQAEKRSMEQLRNEGLAQSRPTRLLFVDTIVLPDEEKNSTFYKQVRRMHTILTSRDSMINVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEFFVERMKREGMSNIKELYSEKQRLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRREVGSDGSGYYSRTSSSHALSRASSSVSTLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAFEILELMKNYEALRVAYVDEKYSNGGETEYFSVLVKYDQQMQREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEAFISSNTNSTNNAALGAVLNQQFVIQLGIFTALPMIIENSLEHGFLTAVWDFIKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVLHKKFAENYRLYARSHFIKAIELGVILTLYASYGGSSGNTLVYILLTISSWFLVLSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWEKWWEEETDHLRTTGLFGSILEIILDLRFFFFQYAIVYRLHIAGTSKSILVYLLSWACILLAFVALVTVAYFRDKYSAKKHIRYRLVQAIIVGAMVAAIVLLLEFTQFQFIDAFTSLLAFLPTGWGIISIALVFKPYLRRSEMVWRSVVALARLYDIMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKSHGV >OB01G35290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20632956:20639745:1 gene:OB01G35290 transcript:OB01G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53770) TAIR;Acc:AT5G53770] MLQLHKEILDFCEFISPSAEEQSSRTAAVTAVSNVVKHIWPQCKVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDVDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLITFFDFYGRKLNNWDVGISCNSARTFFLKTDKNFANPDRAYLLAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDVNLITSLGANRSILGTIVRPDSVLLDRKGWNKDDTIADMLTEPWEPLPRQFDSGNDAVYSWHVIDDEPLPRNNSPSTSEDRNPSPTQKRKSSKAKQKSRKKAKADSSSGNNVENGFKLGKGLAQCDRSHRSTGSSKRSKGHREYDRFTNTLPQYTQHINRW >OB01G35300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20640052:20642571:-1 gene:OB01G35300 transcript:OB01G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARPAAAAASVSGAFGLPADPARCSFDQSRRRAEDLQQDKRMVRTFVNVYGAQESYTKEAVMAAVEECMKKQAEGLLHLLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLEKNLRQVQKSVQVLQDKHELAETPKELSKLQIAHEFPARVNEASAFSTFGARENEHSTQVAKHEVAFVPLHQVNAMQSPAIPVQSSNGYILQQLVPVSLSTQPDQQQPSQAAMFYMQSQNPVKCTESEPSESAVHVIQPQIQNSEARVAVELSQKSSQVTELYPQTQDQRLHLPAQQVESQAWRTQPLMVQPQQYNIQQIPPQLVQQQTSSPQAQSAPQVTVLYPPYSSQKPASTTAEPLLRNMMVQSPYSSPQQKHHEAMPSFYGQGNTVLLPSTDLNIQHQQPQPLQPHNLSSCPPQPSKPNHCSVASYAVQGSGQTYSATFKNPSNCAATVVAVLPQHPVGAPMAFHHLGSQVVHNQPFGNMFETASMVGYPRDRVESVALPVVTATQPADSIAMADKLNAGSNVTSPREWSG >OB01G35310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20660525:20661127:1 gene:OB01G35310 transcript:OB01G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGRASTSSPTGRTSWCTGPAPAGTPTRCWRWPQPLQMACTRLSLRRRDDYQPDAALPVTFVATRYLVESRGKLLMVVRHCTDNPRVRRRTRMFRIFEMSLTDTGSYWVEISELSGRALFLRRGCSRAVEVSQFKILQEDTIYFLDDAVCDLSNSMVLNNGSKYGMGMYRKGKKIRAGARQFPREFTADCSPPIWLVP >OB01G35320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20665170:20672687:-1 gene:OB01G35320 transcript:OB01G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDDEPSITRWTFEDFELHYEARLGIRREPKGDEDGDGSDHIGPLGSGSVTSSRPAAVRANGGADLAVFEQYERLERKVELRNGAIDAGPPQKSLLPSFESAEMRNLAETLLRDIIHGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARHGMFEELLPSIPGTIKIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMAVLEGRQEEVPEDELPEVGPVTTEDIELALKNTRPSAHLHVHRYEKFNQDYGSHVLS >OB01G35330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20674192:20677596:-1 gene:OB01G35330 transcript:OB01G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >OB01G35340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20685369:20686790:1 gene:OB01G35340 transcript:OB01G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPSTAADASGFKLFGKVIQPDGQRAAEESAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRLPHMLAARVTSSSRARPGPTRWMSLLFHFLAFFWVRFLFFFLRYHFRILLVAKWMRPATKEIRKGKKLEIWVLSKLVGSSNECTTSSSSSSSSRASRQ >OB01G35350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20691853:20695612:-1 gene:OB01G35350 transcript:OB01G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLVPPECLQGMEVLDLVSSESESESKDEACLHSPDRKRAACEADPDHDRGSVCTRTGHCESESFLERERMAVLMRPPLNQEVNKGKEKVGEEKILERASVPDGPLLGRESFHSHGCTLGAGGVVSKCGNGGNEGAACWCVQLGGSHPVPQEGSDSKGFHERHGQHGLLHSAASAMPYGNWKGILGARPTDAAVDTLLHSRDNGKTEDEVPMQGQSSIATNKVTGADDVFLEDGSSTWLSRIKGLNYPLPDENQLKTRQIESDEEFARMLQEQFNKEQSGLQNLEEVDTTLAWTLQEEDVERARNAAREGQSSSNQRDRSMAHLYSYGRHSPVQSLSAYVNDHVLTSMPNRRGFQRSSNRAETEQQNMLISQLTRGCFREDNMDLETRMAILDSLQEAFGNFGEEFVSESDDDDYENLISLDDNNHHRGASDNEINNLPLSVVEGESCSDEPCPICLDCPAAGASLRHLPCLHKFHKDCIDKWLRMRISCPICKSDVI >OB01G35360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20705504:20713612:-1 gene:OB01G35360 transcript:OB01G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPTSSGKSEANSCEQSWWPPDFLEKIESVSLSRKQNVFSDKESRSNLRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKENFPTIPSLDDLQTLEADGLKADIIIVDAERDRKLFMLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQQSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSSSYVREDQSNANDCPNNDDTSGGIVATNNGSRNRNGSTQKAMSLPSSPHEYRAQISETSSACDYVSKEKMVSAWKKVLQSSSFLNKPLLPFEEWNIDFSELTIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMVPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIVRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYTVS >OB01G35370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20729495:20730756:1 gene:OB01G35370 transcript:OB01G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVAQLDEAARKRLDSLNQRLRWLEQQMETLEAEVGKASTTTD >OB01G35380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20730162:20730389:1 gene:OB01G35380 transcript:OB01G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPQIQLTSSSILSVCNHQFTSTVLICSQIVVVQVSPNVSHLTMHNFRLGFYSAIFSSKNFSRKYYMNFWTSK >OB01G35390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20731196:20738275:-1 gene:OB01G35390 transcript:OB01G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3L2T3] MREQRNKAKEKEAREPVEGRYTGCGGRDEMPRETTTPPAAAERGEVPEVVEMEAGEGEDGQAEAEDQEERWARLLPELMSEVVRRVEASGGERWPARKDVVSCACVCRRGGGGGAPARGPAESGKITFPSSLKQPGPREFPMQCFIKRNKKNSTFYLYLGLTSATVDKGKFLMAARRFRRGPHTEYIVSLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCALECPSTQETWENCLKTKFRKPTGSTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPSSSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >OB01G35400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20744855:20750696:1 gene:OB01G35400 transcript:OB01G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30610) TAIR;Acc:AT1G30610] MVAPPSVLNLGGCGVLLPASAADSSASHGLLLRRGRGWGSGRVSVAPLGWGLTRRGGVFDARADGSGASGAVASGEGGPGSSGLRHIEKDLTFSPTFTDYVKIMESVKLDRSKSLQGHESDGNNSRRSFTGNDDAWVVRRGDGRSGDGRSKSFDERKGAQRNRGDANRRGMKLGNNDIQNNSTRLVEKKMLDDVAKSHRRQGKVEEYVQRRIVRGERRENEGNGDKSEHWKFTSQLKMKDTRGSLVAHQPERNKHVQWNGPNSLRGQKASERSKHVLSNGRNSLPGQKSLILSRSPPNSRIILESTKPMVVREKENISRTSRSVQENNFNYPRERKISNYDVKADGKFQRYKQTTEFSGRDLVLGKFGQGDINFNKSTVGKRYVNEWPKSGHDGHRMDGLKHVKSEAIRMQRGENAQAGKFIRRDAEATDLDDRAAFKSFEVFTDVRNRSRVLQMELEDKIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDYSILRIVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQAGLVKELFDVIDRMHSPPTKKFKLSPIQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIHPTNTTYGLVMEVMLVCGKYNLVYEFFSKVEKSSIPGALNYKVLINALWREGKVDEAVMAVKDMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFEEMCKYCSPNNITCNIMLKSYTKHGMFEDAKGLLENILNGRITSKVELSQKAIADKFTFNTFMEACAETKRWNDFEYAFRKMLSSGYHFDERRHLRMVLDAYRNGKEQLLEDVWNYLCHHGRVPPAPMIMERFCLKLRQGDTVAAITCINTFQESKIRNVSSMSWFKLLNRNADRLKEDNIIKLVYELNKLVASSGHSDSLYQNILSSCTEFLSGSSSVEKGSRDQQMLPCTS >OB01G35410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20753401:20759831:1 gene:OB01G35410 transcript:OB01G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMVDALLRCSVLLALVLHFVVSGCSAVNIEGSALLEFQSRVEEDPHGAMAGWSQLDGNPCSWNGVRCVDGRVVILNLKDLSLRGTLGPELGNLNHLRALVLSNNLFSGPIPKEMRTLAMLEILDLSNNNLTGEVPQEIAEMQSLKHLLLSNNNFQGPLIQNSFWKFDQEIDFDIYDERGDVNQRSENRFESDSSSVENTKDNNKHSARPSQFAARIPAIQLSRRRLLVDTNLAAAFVNTPVPAVAPVPSTGTGSYSAFNANDAPPPLSPPSSPPMPSNPPRRFKRWLYAIVFSSIALLLISIVCLFVLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTGIVTSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEDPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWTGRMRVIMGVAYCIQHMHELSPSITHPDLQSSAILLSEDGAAKVADMSVWQEVVSREKMPKNDDFVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSEHQGSLVNLAMECIKDDRNISCFLDPTLKAHKENELEIICELIQDCIQSDPKKRPSMRVVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAS >OB01G35420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20763969:20766401:1 gene:OB01G35420 transcript:OB01G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSLLRGHSLDRFLPRRLITMSSSPSFSSSSSPSPSLSSSSRGSNSGRWCGSVADEDDDAAPPLPPLQKRVLSRSHGSRAKPGGSLDLPPPVPSKTVRDSGPPSDMELMKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMGADRRARWNKEIDWLLSVTDHIVEFVPTQQASTDGTSMEVMGTQQRRDLLMNVPALRKLDAMLLEYLDNFKDDQEFWYVSKNSDENEGGGAPRQGDKWWIPTVRVPPEGLSDTSRKWILHQKDLVGQVLKAAMAINADVLTEMEIPEEYIETLPKNGRSSLGDSIYKCITDDYFDPNELINSVDLSTEHKIVDLKDRIEASVVIWQRKICNKLSWGPGVSLEKREQFEERAQTVLLILKHRFPGVPQSSLDISKIQYNRDVGYAILESYSRTLESLAFAVLSRIEDVLYADAVARDPKRAKSRRRPSIVDIPDVTTMDGALEEEERLSSMDANSVQWQEQELESKGADANGGKLRKVHRMVTKKILHIEKIDNVGGGLRGFSQR >OB01G35430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20365881:20769561:-1 gene:OB01G35430 transcript:OB01G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVGDTLPDGQLGWFDGEDKLQDVSVHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDEILLVSVNDPFVMKAWAKTYPDNKHVKFLADGLGTYTKALGLELDLSEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGADEILKAL >OB01G35440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20773528:20785947:-1 gene:OB01G35440 transcript:OB01G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRRRTEKGSAIADGEQKQAPAGTKTQPSRRKGKAHGGVPVTVFHLYAGMRQLSLVLWESSNGTIIKGNGYMDFINRTGLKEHDTVHIWAIKRRGFRLFGATVPESPFYVVIVGGSRRTFEAPPPLCMLPP >OB01G35450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20801873:20805140:-1 gene:OB01G35450 transcript:OB01G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3L2T9] MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSMASLDLVLMSSSLKSAWATILSHKHARSLERSRSKGMSCKRAMLHLLVCFMVGIFIGFTPPFSVDLSGKIASENGRLPFDGDAIDRQMVEHQGTKLEPFIVGAESEASDEPQVEESPPVPAMLDDEADFVEASPIVYSVSDSGIAAKKQLIVITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHIGTKYRVVLEGPLCKGNQVTGWHTNQRRGVPRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPTGWLLQKNMDAVVPIT >OB01G35460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20816228:20816575:-1 gene:OB01G35460 transcript:OB01G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLPLPLAPRPGVSPVSPAPLARGEMRAHRVKAEQSEAAIVCLLLAVGAAAACLLCPVRVRENMTKRCPCLVGFFHGHAHGPPLRKQRAVAVAVAGRLCV >OB01G35470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20823844:20827520:1 gene:OB01G35470 transcript:OB01G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3L2U1] MSPPLEPHDYIGLSAAAAAAAASPTPSSSSCSSTPNPGGGEAGGPRLTLRLGLPGSESPERDVVAPGLTLGPVPPTKAASKRAFPDSSPRHGAASASAAGAAAKGQDKATAPAAPPAAKALVVGWPPVRNYRKNTLAASVSKGKGEDKGTAEGGPLYVKVSMDGAPYLRKVDLKMYSSYEDLSMALEKMFSCFITGQSGLRKSSNKDRLTNGSKADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAAGIAPRPIEQSGQNR >OB01G35480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20825732:20826888:-1 gene:OB01G35480 transcript:OB01G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNYKDTEIFEILAMNNSKEARSVDFRVRPKISTEAKEDIIHEGYLYVIELEKMGVPNNE >OB01G35490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20827738:20828325:-1 gene:OB01G35490 transcript:OB01G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSRPDEEEPSGDFWSHPTDQELVTKYLRRHVESGKNLWRFVHEADVYAADPEDLTTKYAPAVAGDGSAAWYFFTTVRPKSEGGQRRARAVGDDGCWHSEAGAKDVVLGVPSPRPIGRRQAFSFVTKRDGQRVRTGWIMVEIGLGYAQQDVSSNELVLCKVYRSPRARPAATKSKTADEPASDDVKPAVAA >OB01G35500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20827895:20828521:1 gene:OB01G35500 transcript:OB01G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPVRTRCPSRFVTNEKAWRRPIGRGLGTPRTTSLAPASECQHPSSPTARALRCPPSLLGRTVVKKYHAAEPSPATAGAYLVVRSSGSAAYTSASWTNRHRFLPDSTWRRRYLVTSSWSVGWDQKSPLGSSSSGREEEEEAAIGRMAAIDLGSGGDAMERRERRAGGAAPRRVGEGGMVEPSGGVVPRLYGARVTRRIPRVRVGVGS >OB01G35510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20832936:20833547:1 gene:OB01G35510 transcript:OB01G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPSKPFLSTTPRPYLPASTPATALRCTVAPKPVTGSTPKPSQDEANNNKEQEEPNASATAADATPDEAGTNPHRIPDDETPPSATATTSFAVARRVPSAISPDRRQRRTALTQGEPPNYEIGWKRTKELPLEKPKGWAIADFMEKLEGLMARGRYGSGELLGTVAGVVTEEPVKERVETARARCRQAILVALSL >OB01G35520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20846728:20849230:1 gene:OB01G35520 transcript:OB01G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGGGGGGGAADKPKQPQRGLGVAQLEKIRLQSEMAEYFSPLGQQPGSLVHRTGSLNLEDARASTSSLSSSPSSPFHATAVSSSSQFPIHPNLAMAYGERGDVRYSEFQTPIIRSPSSSNIYGAPHYAHPNITLPLFEPEESARLKGHHDRSHSADSTSMNSDDPQDVDLELKL >OB01G35530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20849467:20849637:1 gene:OB01G35530 transcript:OB01G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTYEAVKADMLCGAFLPRGCTVHTYMPTNHAAWCSLQALHACLINRLTFLARYI >OB01G35540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20855210:20857118:1 gene:OB01G35540 transcript:OB01G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVVMRHGKAVMFDTSTTGRSLMRLPVGGCRPDTRSRRPGAMDCWAHAVEFDYNTGALRSLKIVTDTWCSSGAFDVDGNLVQTGGYFEGDKSVRYLGACDRCDWKEFPKSLAEGRWYSTLDLTFSLAEGSSRYATQLVLPDGGFIVIGGRRAFSYEFVPPGGQVNTKTMPLRLLRETTDEDENNLYPFVYLLPDGNLFIFVNDRSVVFDYGSGQVVRELPILPAGARNYPASAMSALLPLDLRRGVGLSAEVIVCGGATKNSFKLGESSTFPPALRDCARINPSKPRARWALDQMPVARVMGDMLILPTGDLLMLNGAAKGCSGWGFGRQPVLSPVLYSPHQPRGKRFRALTATTVPRMFTLRFATPAQAVGNADVKVTMYAPPFTTHGYSMNQRLLILPVAAFAAQGRQHTVTVDPPPKPELAPPGYYMVYVVAKGVPSKAAWVKIHK >OB01G35550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20857845:20858393:-1 gene:OB01G35550 transcript:OB01G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVQLPQCIFFDLTGAHLRCFGPAPAMEQTGVPYDTQLAIGLEALHRARLPRTGDIVASRPVHQVDMAAMVLCDRAAQIGDDDDGGGPDTVSDAGTSGVGAVDEETAGGEAEAEDDVASLDELFFDETFVRKIDALAELVGMEGAYQPAAVLGEVVRLIQEMERKTGHCHYASATRAVRS >OB01G35560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20863842:20866854:1 gene:OB01G35560 transcript:OB01G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDASSPLAALSNAYQPLPSLYLGFLAIWAASGFSWAFSSWRSRHFEQANNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLLVLREQLNFIEEEDMHSLHGALNTKYIMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPFVPLTKSTWDIAMPTIYSVEMDAADFKGLVSEHWHVGVRTSQINSSCPSQPLLVLVQNPSPRVSIAAIGSRLPLNKSDQV >OB01G35570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20872742:20875486:1 gene:OB01G35570 transcript:OB01G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRPDGASARVSLLLLLLLPAATATSYSSLCSSPAKPADLVGAAGKDQSIFTDMHHLPLPSDGYFFGGSEHIPVPRSFSLFTRRLSRTTNTDTLHLVATLSLSGYRSSGRGRHGNFSSHSVSFDLEGYYSTEPASDSGVLCMVGSGSRAMEDGSGVVVVPDVVLRLRLARPPRLMRPFVTGSLAGSDFGNVTLVAYANDDGDYKYGEASSWTCPPPPDPVRSARQVLDADLSCRRLGEMLRVSYALAYAAGRASSGSPLRQRYGSMQLRELYCDENDAVRAYMVFDRTPSDGMLPGIHGSRWWRRRSGSFQVDVDEGLVAEGSWDSSRSQLCLKACRTVRSMVREVDCGIGMNLWFPAEWSIHDRSAIAGLIRNTSTKSDDGDTNNMSGTISVSSTDSFWGNHSDIKYKYTRVEDAMKHYYSRAELSMQRSGKLPGNYSYRDFAFHFYMTTQDGNGEASPVTLGSAMVDGTFMADDEFSRHAVAEMNKQRLLSVSYELDVHLYRHVNSSRNVSRKHDRWRISAEGVYDTQSGSLCMVGCRVIDGLSDCEILVTVQFATLDGELGAGSISSLRKKNHTLFFETLEIRVYGAESAMEVVEVLSRMDMERIMLVSSMTLSCVFLLLQLRHAKKNPGALPATSITMLAVLALGYMIPLVLNFEAMFVDDGGGRSNKHFVQLASGGRSLELNELALRASTMVAFVLQLRLLQLSWSARSTTALPNGSRDEQWTAERSTLWICLPLYIAGALLIWIPHIGDGHNQEPMPQIKLAIDATPPALLSDALVSYAGLIMDGFLLPQIVSNAFSGSMANAISPWFYVGGTAIRAAPHVYDGLRTTGYAQRWMSSTSYDVMVYAGPRDDLFSVVWDVVIPCGAVALAVLLFFQQRLGGGFLCCVKNRKQDGYKVVVSTLDTSA >OB01G35580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20881173:20886888:1 gene:OB01G35580 transcript:OB01G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMKSSRACHLLVQLVLLSAFVFPVAVSTSRCSNPSPAPKEVPEGNDALELLRSFQITGYFSGGDGLFAPDDDNSSYIPRSFALSPYKVARTTDPAYLEVAATLALSGSPSDHGGRGARRQRRRHRYVGSQTASFHLHGYYNTTSGELCVLSGSGSYSVHGGFVEHVQDVNLRLRVPSTPSLADPFVTGLLHAADFETISLVAYVESDRYAYSEKKPSCPPPPTPAHAVRSAFLALVANFSCSRLRPRSGRGGGVVIGMGSWRFGLRELLVSTYRLENTSRDASSPASSPFALSRGGLRMHVNQLHCTANGSVRAYVVFSNGTDAAEPWQWRNMVHDRFLVKEEALVADGYWDATSSRICLRACRVAVHSSAPKPSMPSTDLKVGEQCGIGMSFWFPAVWTIRERSVVSGLLWNASHDEGGDKHAGAAPSGAISASSIDGDRRSNLTDVKYNYTVVEKAKTQYIQSVLSKSKKGGSRATAARADRRVDLEDGPGDHHGGGLRDAVVHLRRPADPPRQGNPASAPATSIAMLAMLALVHVTHLALNVDALFVGRRTHYVPFSTTGGWLELNEVVLRVPTLVAFALQLCLLQLVRSSRRSADRAIAKKWSLTEGRSLRIYQPLYLFGGLVAGAVHVINNGRAATGENPLIVSVAAAGSTTLWGALASYAGLVLDGFLLPQVILNVFSRSRARAISPWFYVGVTVLRATPHAYDALRARGCVPSARPSSYMYARPRDDLFGVAWDVAVSLGAASLALLLFLQQRLGGAFFVRGKRFDEYEMVSTTVGSPQEVDTAMD >OB01G35590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20888627:20891407:1 gene:OB01G35590 transcript:OB01G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKNHRLCFILLVVFITSATPSAAALSGSYSSRCVSPSPAADQHTDVDDASALLRSFHITSGVFSGEGADILFAPRSFYAVSGLHQFTDGFVRRSFSLLPHSVSRTTEPGVLHLAATLTLSGSRVQVFESGAGRSFEKERHSISFYLDGYYSSTSAQLCMVGEGSDLSIDGSMKHYADVALHLRIPSPSSLTDPFVTGSLEGADFEPFSLVTYAEGSSYSYGENASCLPEPDSQPAAARRGIQTTPDGNFSCATLQERIATSYRLEYGPGYAVSFPSLHDPRMYVNQVHCTPGGAVRAYAVFSNDTADKWGFRHIFLSEEAAVVADGHWDSDTNRLCLRACLVARSSQAPATPSTRTELQVHECGIGMSLWFPAVWTVRDRSVAAGVIWNATQMNSSDNHTSVGPDALITASSFQDWKGNLSDVKYIYNFTMIEEAKKHYLKAGLSTSKKKKSNGSFLGNYTYSHREFYFPLFFEGETGSGHARPVMIGSAMVDGDHRAANHSFSRHAAAQLKQSTLVNVSYDMSYDVAPKNWSSFGQLERQHIRAEGVYDPTTGSLCMVGCGEHNGSMDCKILVSVQFFGGDKGFGYGGGGRGRISSLRDSSDRLYFPGKGFTVSGMYWRSVVSESIWRMDMESVVVVISTTMTCVLTVLQILHTKRNPRAAASTSITMLAVQALGLVTPLVVNSELVFFNKRKDFAWLSDSDKGWLHLNELMLRVPTLIAFVLQLRLLQLAWSGRISVDRGSEGEASPAAVERKVLRTCLPLYVVGAAVTGVAHMMNVRAAREAELVPRRFAPAEATTLWGDLASYAGLVLDGFLLPQVIFNAASGSRVKAISPWFYVGGTAIRGAPHAYDAFRAVSYAPTHVYASSRDDFFGVAWDIVVPLGAALLAFVLFLQQRLGGDLLLRPRSRGSGDYQLVSTTNY >OB01G35600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20893019:20904384:-1 gene:OB01G35600 transcript:OB01G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-pore channel 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) TAIR;Acc:AT4G03560] MLFVNCGDRVHCGVVGTRGGLRWRLASEAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRGYAQHACDQRDLYFLGELPYLNKTESLIYEGLTLVILVMDIFYPLSYEGLNIFWKNTINKLKVLLLFILACDILLFAFSPQPFRVAPYIRVLFLIMTIRELRMCAVTLVGMVGTYLNVLALSLLFLVFASWLAYVTFEDTLQGKTLFSSYGATLYQMFVLFTTSNNPDVWVPAYKSSRWYSLFFVVYVLLGVYFLTNLILAVIYDSFKEQLAKQVSQADFIRKSILEKAFGLIDVNGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSCLEKYPSFYHSELCERLKSFVRSRLFEYIVVFVLLMNLVAVIIETTLDIQNSSSQKAWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEGQNKFDFVITWTIFIGEALTFGFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQVQRFRAFVATFFTLMSSLMPYLGIVFCTLCIYCSLGLQIFGGIVYAGNPTLEGTALFDNEYAFVLISLFRFLERSGPVTSLQYSLVIHLFTKFSHFYLDMVSYLLFNFNDYPSGMVTLFNLLVMGNWQAWMESYMQLTGSSWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDSTLEGRSRRRAVRVRSKGTMVDILLHHMLSNELDGSQNCDQ >OB01G35610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20906812:20907066:1 gene:OB01G35610 transcript:OB01G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIFFFLFSSVFKLGAIETFCNIILSVTSIFFLSQSILSFDPGFHVSSCISMLQPFFNRFILRNPTKSFYSHPHYLVFLKAK >OB01G35620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20907490:20909386:1 gene:OB01G35620 transcript:OB01G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSPLFSLSSLSASLPFPARTAPASLSLRAFSPRARLSASYAAFPIGGFGAWATASASGRSRRRGLEVVCEATKTGKRPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVLKALEKLRKKPDATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTGNHRKSILARRKKAIEILRGWYVPNAEPAATT >OB01G35630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20909523:20913623:-1 gene:OB01G35630 transcript:OB01G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACGDAAAAAASVAPGLGSLLIRDAARLPPLPERGGGGNAAEREAGGANRNGRKEKAGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSITHNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQFDGLQNIKLRNQALQRSAESANGICLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRSNSIGRIGLSILP >OB01G35640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20916096:20916296:-1 gene:OB01G35640 transcript:OB01G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSASSCVNCFSSVAKIQLLIRVSLSGSFAPPCRLHLCWGTQPWKCDCESPCLCKKRLGYLIQNSAA >OB01G35650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20916000:20917331:-1 gene:OB01G35650 transcript:OB01G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVPITCKKCKSCILQTVSRTKGIKSLTYDDEKSTLTVIGEVDVVVIVDKLRHPKSGKGKEKREGYMVEVMSVSDEKKEAEEKKKKDEEEKKKKEKEEEEKKKKCAEIKKCVELQQQCCKACQPYYIAVDDHPGYPCTIV >OB01G35660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20919935:20920336:-1 gene:OB01G35660 transcript:OB01G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVLISSKRPWCHRHTCARLFDAASGSSPLGELARARGAVTFHRRDDIFRCFPGIQPVDGPLVATCPWRHPRSASWVLLRAGPRQIKTCGAGEHGRRFQHQLSTGLAVVLYSLVSSSSLQSTGHGPPPLFFL >OB01G35670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20923829:20932197:1 gene:OB01G35670 transcript:OB01G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGIFLAVSIISDHFGPLVSKVCRCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNSPRGSGDKTTTHYLAIFENILHRQRFSKFVSVIRADIPESEALLEGLLQNGRLTFDQLVERTISKIPEGSITPTREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQPTLTRKRAPKTVEIVLSIDKKVVNTAALSDAERYSEIPCIMEDASNANDSPHSSISGAKRKRNAIEVDAELNSTIAENEVLYRANFEKFIFCLKKKFCAERKKPKLKVGTHPIREAFFEASLIERDNNSVTSPINGILERLGQKEGSTSMTLDHITRVLEELNCSPSSEDPDSFTLDLSRIIEASRNEEIESLVKKKYGQEAFIIFRLLVREGCPVETDKIIDTTILDKQIVHGTLYKLWKDEYIDTERILSGTGTGNSQFFVWRVKNTFREQFIDNLYHAALNLRQMVNYIAELLLEGSKDDTKLRNRKNILILALIRHDDSLMLFQDF >OB01G35680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20945501:20949652:1 gene:OB01G35680 transcript:OB01G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWIKCHRAAASSKNASSLPATASPRRTFRPDKSRTWAPIPCSSATCKESLPFSLAACATPANPCAYDYRYKDGSAARGTVGIDSATIALAGRAARKAKLRGVVLGGTPAYNGQSFLASDGVLSLGYSNISFSSHAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSTTAPPTPGTASCKPAPPPADDAPGARQTPLVLDHRTHPFYAVTIRGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTVLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVALPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVVGNILQQEHLWEYDLSNRRLRFKRSRCTH >OB01G35690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20950975:20954765:1 gene:OB01G35690 transcript:OB01G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1794 (InterPro:IPR014878); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2 /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G79260) TAIR;Acc:AT1G79260] MEGAGAPAQPPAPHPAVAPLAFLLGKWRGEGEGSFPTIAPFRYGEELLFSHHPSKRTWKAASGEPMHAESGYWRPRPDGSIEVVISQSTGLAEVQKGSYDSERKTVTLQSELVGNASKVKQITRAFQVVDGELSYVVQMATITSSLQPHLKALLKMV >OB01G35700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20956304:20958267:-1 gene:OB01G35700 transcript:OB01G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >OB01G35710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20978885:20985404:1 gene:OB01G35710 transcript:OB01G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] MEPKGEHEQLRQNHHSMQEAMENLKINASTKTSNVNLPARKDASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGWDENGYFVGYNGLEVHPTVIQGDNGSYLCYLPGYENGYTYSPIVPGVIAGMDGQYVSKEPYYSTISMQDPSTPGIFAQPMAYGPELVPAYTWDPSFALVDGVQGRPVGVHQTNYPARPKYSSNKHAIPSSKVSRNTKSASDTIKGSSSALDTMSTSANGYPSSKPANKASGASISKGYPLSSKFVVHTNQGKGNLYQSKDIGLKESGRNWNSTEKFKARSKLNGYGDCDVSDNDNSKHSLSPQAGTYGLSGAGECNDVTPSPVAISRDAYNLTDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFRLAQERVTEKGTKCPIFLFFSVNASGQFCGVAEMVGPVDFNNNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGAEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATSLDRPIQKTEKPAETKKSSQFVSTVDLDVAKTDEEPIDKVSTGLDISEISEEQIDKVGVKVDTTNNSE >OB01G35720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20991616:20992803:1 gene:OB01G35720 transcript:OB01G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITTTTTSSAPEQLLDMPPSSVAATTNGARAASRRRRRLLLFANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPVLLVPVYAGRSPSQPRPFEWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAVIVRHPLNFSNLNAVVLLTLSSVLLALRSSDSGERPGGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRKAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWKEELARWDLSPAAYWVVLASLVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVLVFRDPFGADKAVATVLCVWGFSSYLYGEYNSTQQKQDGDGKVAAASTTTGAAGGADKKNPTGGGAEEGGSVLEAV >OB01G35730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:20992257:20992562:-1 gene:OB01G35730 transcript:OB01G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVSTAVMHTPLCSDDVRYTIPAVPMKQACHVATSEASTTQYAAGERSHRASSSFHPPAAARPATASAVAAACMITCTSTAMRNPPDTALRYTSSITGR >OB01G35740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21006632:21009559:1 gene:OB01G35740 transcript:OB01G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAAHEEDAGGAPEGYEGGSGSRAGRGKVVRVQKEQEEEDDEEEHMEVDLDKLPSGSSDPDKLAKMNAILSQFSVDQMNRYESFRRSGFQKSNMKKLLASITGSQKISLPTTIVVSGIAKMFVGELIETARIVMTERKDSGPVRPCHIREAYRRLKLEGKIPRRTVPRLFR >OB01G35750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21010769:21011668:1 gene:OB01G35750 transcript:OB01G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLPPPPRPPLVRGRLVGVGPPSPATSQTQRPMPPASLRLLRCRCQRSEGPWRTSTSTKGRRRWWSDEDLEEEEEDEEYEYEDGLPGGSAQQLFGEPWFSKLFRAYGYVLPLLLASMLVATGPKAFLMAMALPLAQSAISWVVSFFTTRGREQQEESYEYQYDGDPPFERREVDYDDYYEGGARQWQSRSYQQPNKSGSSFGGWDDLLYDEDQRQQESTGKRTTPPEPDTAAATGDPPPPPPADLGIGLRSRRGPRRSNGGMSRGRSGGSRRYNQAPLLTRLLVALFPFLGSWFRIL >OB01G35760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21015380:21018531:-1 gene:OB01G35760 transcript:OB01G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEVHAIGRDAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGSADGSRLAPYLPSPQLDSKPLRARAPQLPSSSATWSPARDRDRDHAHYNHHPSDSTDTASPRSRCRRDSSAVSSANAAPSSQRRQTAASAVKIQRRSSAPAEKLMPLPPPSTTGSYTRGSNLRSASSFSTRSAAAGEAHPHRSAAEERSGGGGHRRSPDKAHKSALDAVLQMDSKNNHHHHHHHHDSLLAANGGTGAAEKLGGVERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTKGRYEVREKKCVKKVYSSTSHLAFSVHAFRFFFITSCYESNRKRKITLDLGASVSQT >OB01G35770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21022662:21025242:-1 gene:OB01G35770 transcript:OB01G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAVLAVLAAASVLLAPRAATAQTSGCDDALPPVLAGNYSGLACRPVWNSFVLRYAQGKDNVLRVVLSAMYSTGWVGMGFSKDGMMVGSSAMVGWIGKTGRSHVKQFALDGKTPSQVVADKGFLQSKDHNHVVVVQQAKIYIAFQLRFPSALKRQQVLLAFGTGIPANDRLMLHADKTSFTFDFTTGSSFGSSYPDGLKRAHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHGGIQFVGFILGLAGIVAGVALYNKIQADVPAHRGLGIFVLVLGILQILAFFLRPHKDSKHRKYWNWYHHWVGRLALFFAAINIVLGIKVGAADSWKIGYGFNLAILLITIITLEVLLWTRWKNNSSSMPTY >OB01G35780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21030536:21031876:-1 gene:OB01G35780 transcript:OB01G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVNDVLVGVSSAALSRYYFRRTGESEGKSIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNQFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKIFGIKAAASLCYGMFSNTTLSFSNLAGPREQIVFCGNPIVYISPTSYGHQHALTLHWQSYMNIVKLALAVDETQFPDAHELLDDFTESLRLIRYAASREAEKTQDGS >OB01G35790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21033775:21033957:-1 gene:OB01G35790 transcript:OB01G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCSYTFTLWKQNILRYQEFRIGKGSGRRVGFAPELKLITRKLSMFQFVRVVFNQNQTK >OB01G35800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21041416:21047211:-1 gene:OB01G35800 transcript:OB01G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSMKHLVQVGEAREAAAEDGGAPSAGPAYRCAAGGGAASPPAVPGLECCWDIFRMTVEKFPGNPMLGRREIVDGKAGKYTWMTYKEAYDTVIKVGASIRSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHSEVEIAFVEEKKIGEVLKTFPNATKYLKTIVSFGKVNSEQKEKVEQHGVSIYSWEEFLQLGGEEKYELPTKEKDDICTIMYTSGTTGDPKGVLISNRSIITIISAVDEFLGNSNEKLREDDVYISYLPLAHIFDRVLEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQEKVSTGGFLKKTLFGVAYKYKQGNMVKGSRHEEAAAIFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEFLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVRLESVPEMGYDALSKESPRGEICIRGDTLFSGYYKRDDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDITSICENPKAKEFILGELTKTGKEKKLKGFELIRAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQSVIDNMYKNMK >OB01G35810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21046893:21047223:1 gene:OB01G35810 transcript:OB01G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGEKPPQFLAPAQIERNSRSKPESTTTYDVPEYVPAALEPRHCGRRGRAPSGGAAVRRPGRGRPSVLRRRLPRLPDLHEVLHRSHHLSLSLSLSPTHDRTQRGSSGGR >OB01G35820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21039692:21056479:-1 gene:OB01G35820 transcript:OB01G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAAGNKAGGLDPSIPRFKCQECHRALVVVGVDAFADKLPTQAASGMHVSSIQGSIMGASRMDNSYVVLSKQNRSHGHGIPPRPPSAAAPHVEPNQPTRAIEGSYIVLPPAAASIYKTSTSEGGGVQLPPPSMNSNSPLPGNSFHSNVTVLTRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNTDIKAYEACLQQLEQKSYNILSDASFQKEKQKIEDEEEKLKAAIEEAEKQYSEISSEMKNLEIKSKEFEELEERYWHEFNSFQFQLTSHQEERDTVLAKIEVSNVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFAPKFQYQIKIHPMGSYPRVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPDKSLKLPYKIDGDKVGSHTIFLSFNKLENWTKALKYTLCNLKWVLFWFIGNTSFAPPSGSLCAATSSKR >OB01G35830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21056220:21060127:1 gene:OB01G35830 transcript:OB01G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFPGRQKRDGKRIGRRRKGTRGGLRWELIGEGIHANDDERAVALLAFEPRNRWIQPTSLVAGRDRGLHVARIKGRLRSSTLASLPLSLLFDVSSSRRLQFHGDEVESEAEPEAEAEAEAALRDGQFPSAFALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKETGRAGVRAAEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVTKPRSGEDAKKLKIWLGKKKVEEDESDSDSDSEMGDDEGADTKSIILDDENSSHGSKSEDEKVDLGSVIELHSERETSGEKSACSDSDENGNCVQESMEPTIRSEGACGDFVSDCAVEREVGLMDQHTPENVITALSEEVLKPDIEAEDNTASTTSHLNGLESPPVEEPSNGSRPLSEEPLDLTNYSSAAELEVVGMEKLKLELQTRGLKCGGTLQERSARLFLLKTTPLEKLPKKLFAKPSGGGK >OB01G35840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21061644:21061937:-1 gene:OB01G35840 transcript:OB01G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHHQGPTSPFLTKKKNNTTQVSHARTGLETEKYNCHMHVYTPRGPEAKHQGTPRQKRSSSSEPQQLQATERGTQRRIEPASRLHRNARNRDDITKS >OB01G35850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21062234:21062437:-1 gene:OB01G35850 transcript:OB01G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEAEKLVARLKEQKARGRKARMAELKNELRAGVGGDGGAAAAAMARPVCSRGEWTLSLAPIPER >OB01G35860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21065550:21065930:-1 gene:OB01G35860 transcript:OB01G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAPRMRHIEATAAATMGGRRGAMCCKKAEATNAPAASSAVARKRPDEKSAGRGGAGAEHSAGHXXXGGEGGAEEEGRGEADREAERAERDGAEGQDGGDQERTQGGGRRRCREPRSAPGRTDT >OB01G35870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21068269:21078990:-1 gene:OB01G35870 transcript:OB01G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGMAYKLRTDAAPTGAGRRARRNHSSVAAPYRAARLVQGSMSIEGGFVGGCQLTEERVGARPPRAAAHDAEAVRPLSQLPESSIGLYDPSHERDSCGVGFVAELSGDYKRSTVNDALEMLERMAHRGACGCEKNTGDGAGILVALPHNFFREVTKDAGFELPPPGEYAVGMVFLPIDEKRRERSKAEFQKAAESLGHKILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSKADFEQQLYILRRLSIVSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRILGHNGEINTLKGNKNWMKAREGLLKCEKLGLSKDQFLKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMETEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPPKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERVAPSIPGSFTHANEKKEYAGVNGILTPLKAFGYTVEALEMLLLPMAKEGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSVDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLERICTEAREAIKKGYTILVLSDRGFSSDRVAVSSLLAVGAVHHHLVDNLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNSDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFNGTPSRIEGATFEMLARDALRLHELAFPSRTPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTTDTISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTINEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPASEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPTGTIHVKLAGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKVVVYPPRDSTFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNCELVDLYHVEEDEDITTLKMMIEQHRLNTGSVVARDILSNFDTLLSKFVKVFPRDYKRVLESMEAEKAAAKLAKEPKISNGVSVTTKNFIGLIRHFNLQKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGITFVVNAHVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSAEIIDADLVLLAMGFLGPEATIAEKLGLEKDDRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA >OB01G35880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21087324:21087536:-1 gene:OB01G35880 transcript:OB01G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNINGVHKNIHNVDKNIHDMDNNIRDPDKNIHGVEKNIHDVDKNIRGVDKNIRGMDKNIRGVTSQSKA >OB01G35890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21101727:21106599:-1 gene:OB01G35890 transcript:OB01G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTEEAKPKPRLIVRLGIFLASHHILFSALCCTAGIIALLFLPSLAKNTYLSENALIPGSANTLFSTEDILEANRFLKGIEAATGESRGGTDMPKFISQEIKNLGAEVHYHEFLPDRKCFHPLKFFTSMTNNMAVKPNGTYTNFGINIAGIIRAPRGDGKEAIVLVTPYNSQGDQSNELLSLALGFSVFSLLSRATWLAKDIVWLSADSQFGEYAAVSAWLNQYHNPMFLSDPVILDTKMYGTNRNLYEHDDTTEKAELMTFKRAGTMAAALIFKVGETRKYNDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFHVNIETFSSLLSSSWLKVIAEVFQNVGSVLRKINPDWKLDVNVPDYVEGTANLANSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFNLKNENAKFSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALFLAPLPIVAAALAGDSKTKGKLVDECKTKDIVGDLEIGGESWKWLKSARVLLVIQIWAALVSLLPYYISQIPGAMPTQYAVIWAVLSIVILIILYGMFGSPYHAGVEWRLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLKAQLEMNFLPRIILFISNILLTVLGFPPAALLVMKCLSKGLWTVDIGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCLQPESKMKQE >OB01G35900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21112176:21116308:1 gene:OB01G35900 transcript:OB01G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MTPLHAAPHHAAAAAVVSSPNSAPLLRAKPYPPXASACSLTVPATTPSRKAFLSCPDHGQAGVAPPRSAPASPPALISSVQDLYDFICSGPLVERIGYTKEKIAESIDRWLRCGVQVARLFRLNELHLSESEKARIYHFYIPVFLWCEDQVTEHRSKYNEGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRFSGSKSATLSIDDFYLTAADQAKLRESNPGNALLEFRGNAGSHDLPFSVETLESLTKLTKEGMKMKVPRYDKSAFGGRGDRADPSTWPEVEGPIEVVLFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLQAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPEHLLVIDIDEQRNPMWGR >OB01G35910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21116977:21123175:1 gene:OB01G35910 transcript:OB01G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80350) TAIR;Acc:AT1G80350] MVSALAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALVRTKWMNCKKAICDEVEIVKQLDAQLKAFKEAPGAKRSSSPPIRSNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPNRRTARGQSSARKSSQDGAWARGPSKAGTPSRGAKPNGSKGNSMAGSSTASNTGGRKGKSSSSKADSASSDAEEGKSKKAQYEGPDMDLAAMLERDVLDSTPGVKWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQKSVSPADIEKHEKWMAEFGSA >OB01G35920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21141027:21145650:1 gene:OB01G35920 transcript:OB01G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) TAIR;Acc:AT2G01120] MAAATSVASRAQAVLRGRLCDQAVVHAALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVVDMVLDDLRKEHPDAISVIRLNGMLHTDDNCAMKEIVRQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLRRLMEQLLTLPEDSPLLTKYIMEYNARVTSIFNDKKFKGVLNSLTDADATTSHILRFLFRVVSYMDMDSGLLSMQSFMNALSSMQRQPKMDSLQDLSILELYILVCMNRLEDKEHSSYNFINIMKEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFVDNKGRNQTLEYRPVKLLVSSRELAQSLKLNTTCPAVLQKLLDRERIM >OB01G35930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21145573:21149882:-1 gene:OB01G35930 transcript:OB01G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQNRDDARQFMKHLHPGLGVELPERSYGSDCRLYVPENPTNRFINIQETLFDEFVSAHVLGWWGKAVMIRNQLLLWVMSVGFELMELTFRHMLPNFNECWWDSIVLDIMICNWFGIWAGMQTVSYFDGKTYEWVGLSRQPSIMGKVKRSLCQFTPAKWDKDQWHPFMEPRRFIQVFCLCVGFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPAIREYNTYLQDSKPVKKVGAFCWLSLAICMVELLICMKFGNGLFQDPTPTWLITFWVSAGISLVLFLLEWSRRNHLSFLRKKL >OB01G35940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21153971:21156745:1 gene:OB01G35940 transcript:OB01G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting family protein [Source:Projected from Arabidopsis thaliana (AT5G49540) TAIR;Acc:AT5G49540] MAAAATGGGISADVPILHSENLTSNVKSIYYSRTFLSIISGVVAGIWGFTGLMGFVFYLLVMMVASLGLLVKAKFSIHTYFDSWNRILIEGVFGGLMSFVLFWTFAYDIVHIF >OB01G35950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21157560:21158465:1 gene:OB01G35950 transcript:OB01G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDLVLVPLGLAVLAVYHAWLLYAVLRHPTRTVVGLNALARKRWVTVMMAVEHGEERGAGRADAAEQHHGVDGAGDDGHHARLRHQRLPRRNGGSAPGVPFVLVVGGAAAGVREQDRGGVRGQVPGHLALLHARLRLQRAGHPPVRARQLPPRPAAPPGRPPVPAGEGEAVAREEFAAYVARTVNRGSHSWSLGLRAFYVSLALFMWTFGAIPMLACSVLMCGLLYFLDTARERATVTTSIHHGHKDSTV >OB01G35960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21162294:21164178:1 gene:OB01G35960 transcript:OB01G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKVKIAVHAARLSSMVLAVVVVFMGAARPYLGALSQTSSFRFMLVAMWFEIIWGVLEFLQAAHLIFYGAVPIPTSLLTVMVMVNMVLMCCTWGMSNAALSTALFVRHHHLCASTDVCKWFIVVAVLAIFVGASRHAVASRIPVSSCRGLGLT >OB01G35970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21165596:21166613:-1 gene:OB01G35970 transcript:OB01G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGKVLMFIYLLGSFVAQGDIMHMREPLESMWQQGQSNKITIIGCFETYDCTSIGKEDKFNIGSSIKTSHQFIGSSKSWVGGVEVTTNPPK >OB01G35980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21167766:21168836:-1 gene:OB01G35980 transcript:OB01G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRIASCGKVLMFIYLLGSFVAQGDMMHRTEPSESIWEQDHNNNKLTIIPCFQTSDCISIGTESLSQIKKSCIEGALSFFLWPSDSSRANEAGSCLPWTESDISNRMKVMGHTFTCFFPGGYSPGMHAHDVQIE >OB01G35990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21172844:21176291:1 gene:OB01G35990 transcript:OB01G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenylyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10095) TAIR;Acc:AT1G10095] MEQEAVAALVAEQKEMVQLREGILHHFEQILHQDPLIDEVGFLHPTQFQSLEHIQTGNWTSEAPECPWTYLWCKDHKLAISMEILPKLYSAARHAYTNSAAAKDGPLMETDLMRHSKALLILCPDMLTAWNSRKMVLSVNYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKKVSEHNHDMSEIIERESLLVKEIAEKSKMNYRAWRHRCWLIPYMTREQVLNELKKSTRWNELHVTDNCCFHYRQRLLLASLDICQVKNTEDSLDRRSEVHLLWKEELTWNEMLIRRYQGREV >OB01G36000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21177603:21180948:-1 gene:OB01G36000 transcript:OB01G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3L2Z4] MAAGRSRCCGGGXEEEGWWRRWGSEAGKLAYLALPMVVVSLSQYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVTGFSLLIGMASALETLCGQAYGAKQYHTLGVHTYRAIVTLLVVCIPLSLLWVFMGKILLLIGQDPLISHGAGRYIIWLIPGLFANAVIQPITKFLQSQSLIMPMLVTSVATLLFHIPLCWLMVFKTSLGYTGAALSISISYWLNLAMLVAYILLSSSCKETRTPPTIEAFKGVDGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPYGLGAGGSTRVANELGAGNPEGARAAVYVVLSVAVTEALIVCGTLLASRRLLGYAYSSEEEVISFVAMMVPLVCITVITDGLQGVLSGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGARGLWMGVVCGSISQTTLLSAITFLTNWQKMAENARTRVFGEKPTDCSRYHLPE >OB01G36010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21185121:21189967:-1 gene:OB01G36010 transcript:OB01G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEIETKADRANADLKNTNVRLKETVLQLRSSRNFCIDIVLLCIILGIAAYLYKALPPSFRRSATAAAIAMSAVNITSVAVLDNPTAFLNPFQFEISYECLIPLEDDLEWKLVYVGSAEDESYDQMLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNVLTDKPRVTKFTINFHPETSTGAGQEQQQQQQPP >OB01G36020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21195890:21197058:1 gene:OB01G36020 transcript:OB01G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRSHGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIIFSLYNSIGSRWSVIASRLPGRTDNDVKNYWNTKLKKKAMAMHHQPQPQQQQQQQHYHHQRQQHRGGADGARVTLVSTPPAPQSQCASMQPSPASASSVATTTSGDACSFGVAAMYSPSPSQVPQAPTLAGYNSVAAAAAAAAAGAQHSPLAELICQAPPPIAADCWPSCVTLDDVFLPELVGAGEFPNGNLFGGFGPLLQDRSSLELSACYFPNALAAEMWTSADKPAGLCHSLT >OB01G36030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21209829:21221875:-1 gene:OB01G36030 transcript:OB01G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:J3L2Z7] MAYLFSSPDSKSLSITTSTLFLSSLASLPNETPSTSAASPLSPVPASVPATALIPGSRFLVDAFRHAGDYSVSYFLSHFHSDHYVGLGPSWRRGLVFCSAITARLLVSVLSVPPQLVVVVDVGTHVNVDGWGVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMRTERNLLEFIGADAVFLDTTYCNPKFTFPSQEESLDYVVNTIKRVKDERGAAGERVLYLIATYVVGKERILLEVARRCGCKIHVDSRKMEILTVLGIGGENGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMNEIVVERGYNKAVGFVPTGWMYETKKEGYAVRAKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFTCLVDETANKQEFLMAFHRKSRSVSLGPEDAVTRLSQQEMEEATLLPAISPALERSDNLQEKITVEMKKDLSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEQANVSNSETPKSEINLTDHGSSVDASSQQEVPLFSQKPMDQQKKLINLNSMRMKSNPPKRERKRGSNSADKPKKKGRSIASKPSTESSGRKQSTITNYFVRTITTASKSDMSDEVTVDANQSNVKNGDQFTDLVESEKQSVSQLLQIIDGGISRESAISLLEKAKGDVNVAVDIFYSKTDSSDVDENDKNIAVKNIENDMSDKSSNKSMLCNSSEATPKMPNLCVQSYVTQAESVSISLPIEKYVPIEHACWTAGQSAPYLHLARTFDLVEREKGKIKSTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVVSALEEALGSSRSKIHEMYKTYGDLGDVAQECRQNQMLLAPPRPLSICDVFSTLRKLSAISGSGSTGRRKALVLHLIRSCREMEMKFLVRTLVRNLRIGVMMKTILPSLAHAVVIDGKYSNSPVLSLEGIKLQLQELSAEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMVPGTPIPPMLARITNGVTQSLKLFHGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDVVNMIKELCSIEVSSFIIDTEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGKRLLNCPLRERRKYINELFQEKPGHFELAQQLTVEADEAYVDNSTTLDRMNTFFKMACHSSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSPEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYICSRPDRSPDDCSTACDVASLFKAQTRKMEVSSDAQDTIH >OB01G36040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21226730:21231244:1 gene:OB01G36040 transcript:OB01G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3L2Z8] MVRTIAMDGTEGLVRGQHVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >OB01G36050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21235989:21240258:1 gene:OB01G36050 transcript:OB01G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLQQMSTTCDSLLLELNVIWDEVGEPDTARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAEAEAELAGICSSMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMRKKKVERWNQFVDIIEQIKKISSEIRPADFVPFKVPVDQSDMSLRKIDELTKDLESLQKEKSDRLKQVIEHLNTLHSLCEVLGIDFKQTVYEVHPSLDEAEGSKNLSNTTIEMLAAAVNRLREIKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSTDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLIGEEGYAAEFSIEAIEAGAIDPSLVLEQIEAHISTVKEEAFSRKDILEKVERWRNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLRTKIVAWEHERQKEFTYDGVSLLTMLEEYLIVRQEKEQEKKRQRDQKKIQDQLKAEQEALYGSKPSPSKPQSTKKAPRHSMGGANRRLSLGGATLQPPKTDILHSKSVRAVKKTEEIGTLSPGSRGLDIAGLPIKKLSFNASTLREMETPRKPFAQITPGCNVSSTPVRPITNSTEEDENRTPKTFTALNPKTPMTVTAPMQMAMTPGLANKVSATPVSLVYDKPEVTLPDDIEYSFEERRLAIYLAKGMVA >OB01G36060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21245097:21245303:1 gene:OB01G36060 transcript:OB01G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRLCRQRTTAPGRSWTRCPWATRRLRRRSASSSSGSAGGPRRPGRRWRPYTRSATRQQRDASDTSSN >OB01G36070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21255068:21256161:1 gene:OB01G36070 transcript:OB01G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPESAAAAAAPAVAQPQVLLVSSPFQSHVTPLLRLGRRLAAKGLRVTFTTALRDGIRLSLDDGDGVGVGGGVLRVERLRGGGMWEPDDPRLRVPGDTARHVEATGPAALKELIRREAEAGRPVACVVANAFVSWAIRVAGDMGLPCAILWIQSCAVLSVYYHYVYSLAAFPSGDEADSSCAVVIPGLPELAMDELRPLLIYASGQDMWRQMLVGDLGSMTEKAPWVFVNTFDELEHEAIAGLCKHIPLVLVGPLIDPDDGNVEGSSTADVDVDDGCTAWLDAQPRRSVVFVAFGSLVDIGHDEVVEIAEGLASTGRPFLWVLRDGNRALLPEDALDGVLQAAACGDRGKVVPWCAQGRVLAH >OB01G36080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21260151:21269240:1 gene:OB01G36080 transcript:OB01G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L302] MEIARHEPFSPGCSNTTTERSVLTRMSKILVRLKAHYWGSKVTGMHLIQKILQIFKNMGMLEKYGLLIFFLNSTYGQKFPCGIVSKNFSVSRFGADVMDTVAVWTKKLCADIIQGGTPPGNDTINCEVDEKQFSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKHLASRDGFTSINFLVDDIIETKLDRKFKIVTDKGTLDAIGLHPDGRVKRVMYWESVSNLVEPGGIVVITSCNHTKDELVQEVEDFSKRKSGKEHLDEGAGNVPQIFRYIDHVRTYPTIMFGGVEGSQHHRVWPLYLRLAALPSCPADTAIRIYRRFLQFDPSRAGELVELLVSAGRWQEAADHIVSVLNGGGEVGDNDRSLLLKLCDLLAKHADEVAGLKVEAVLRGAMRKFPDEAGRLRALLAECYARIGLYDKARDVLEEGVTTAATVAEFGLVFEAYAQLEQSLVAAKMEKAAEEEGDRLVAGCWLADSDDGDMCLARLERLLDRRPELLNGVLLRQNPHDVGQWHRRVKLFDKGPARQAATYVEAVRTVAPATATGKPHTLWVAFAKMYEAHGRLDSADEVFSKATQASHKSADDLAAVWCEWAEMQLQHRRFDKAVALMRQATAEPSAEVKRRTAADEPSQLKLHKSAKLWSFYVDLEESLGTLASTRAAYEGAMAARAATPQMVINYASLLEEHSYFEDAFAAYEMGAKLFAYPHSKPIWEAYLERFVARYGGSKPERARELFAEAIRQAPPHEWARLFLRHARMSVHEAYAASAVELCGVPKVRQVYEQAIESGGLQRRDALALCLRLAVLEEGLGEVGRARAVFVHASGYADPDGDEEFWTKWSGFEVWHGDEHTFMDMLRTKRTQKLAGPPGIRARGEQKDEKARRRTDRLDAPYSKRQRV >OB01G36090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21270661:21273135:-1 gene:OB01G36090 transcript:OB01G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMAGRLLTESTLQSAVDEALAVAVASVKIVHDQPDVSVDHEDAQDGRPKSGVMVECRICQEEGDESYMETPCSCKGSLKASTLTVHASRGGAMRRETRYARYAYRRAGERSDNISADHSEEHVVQTSDQASGASSFDSQNSSRKGVFYCRAVAISLMVLLVLRDAISLVLGDPEVYSMALFTAVHEAPVSEPGGGEGVQPMPPPQHVISIQ >OB01G36100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21277474:21280137:1 gene:OB01G36100 transcript:OB01G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQFRPNFYIACWPVSKTSTKFYLWSLSTVQLLKSLLMLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >OB01G36110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21286330:21291480:1 gene:OB01G36110 transcript:OB01G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSANRFGKKVELEVLSSKGDIGESSYHKHSLWMAHWARSSISAEPQNDQSCTQLKEIDDVGYSKDCGALPFELLKARVAERLMVGVSHGGASAGNTREFSSNMWGVQHDVCQEVQCKNVDQMGSSIESSVMQKNVNLYAAKTVVSERYSLHKISDISADSYKFCGTENLSSEWSHFPMFEINKKIDSILNPRRSAFVTSSEKIFVPQKSVKINLSTSNVMAFSSKKYQFHTRQVTDENGQCKSARGMLSRLNDYTSLNSDRAREKLKGHLSTEESCSCSKDGTDSSCSLADEHHASRYIPNSNKSPHWSCKISASKIENQTVEGSSLEHKLGGYGAYKKHQQLEGVSFREPSLHREHDIKPVKTTAITNEDDVDTNGHHVVFANMSQGDQHYMKEHTLDSAVNLTESCKIPDIIDSGMISKSKDESLAQGKQAENRLIDNKRKGPCLFEMFTQTTKSNAKCSKDPTSSWKSCGSMPSCLLGAQKQFSAKTGSLYSEAHHASKSTAGFASSSMQKDPCYPSSAKNEQLVTSSIKGVSSCSKRNKAANASAEYHDSYPKETCANNQECSMSKTSSMNLDLVLFQISRLRNPIPNALNESPVCPDPSEKWLKRLQHDTSDSHAPRSKKPKVGDGPLEGGTATLFSQVFDCDSDSTTMISHVKNKLMCKGFIDQQSQEGSPMSAKSFNHWIGRWCRGGTPVFHGTSDLERQEAKSDMPPNDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >OB01G36120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21293121:21294029:-1 gene:OB01G36120 transcript:OB01G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCSTSPFLFAVLHLAAFAAVSNAGQVAVYWGQNNGDGTLTETCASGWYGFVNIAFLNVFGENRAPGLNLAGHCEQATGTCTSLSSEISSCQQSGVKVLLSLGGAAGQYSLSSADDARGVAGYLWDNFLGGSSTSRPFGDALLDGIDFDIETGGGDHYDELAMALASRCNGACLLTAAPQCPYPDARLGAAIRTGVFNHVWVQFYNNKEAQCQYVDGDTSKLLDAWARWTSAVPAPADVFMGLPAERTAATNGGYIDADTLLSQVLPTVKGAANYGGVMLWDRWRDTTARYGEKLQGNV >OB01G36130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21297899:21301752:1 gene:OB01G36130 transcript:OB01G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSPPLLRRCLCSAAKSASAAGGTNKRNIVFLGSPQVAASVLETLLVASDSPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQRALDRGFPGDLIFTPERAGEEAFLSGLKELRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVISCEKFSVDECIKAPELLAILFNIGSKLLLRELPSILDGSAKEKAKPQDDSKATHAPKLNSDESWLSFDQEAKVLHNKVRAFAGWPGTRAKLQLMNQNGEPDVLEIKVISTKVCASCDKTGDGNEVLFSGSSLLIPCSGSSCLEVMELQLPGKKVTTARDFWNGLRGQKLLKSP >OB01G36140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21306327:21309829:-1 gene:OB01G36140 transcript:OB01G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSDPQTASFPSKPTTTTSSFLSGFVRAGAAPSSAQPPKPPPPEPPRTGRHSRSPSPSRRHRTRSRSPSRSRRHRSRSRERRRRSRSREREDRRASRRRSRSRSRSRPPSHRTGRSPYYEDRRDRHGDRRRDDGGGRRESSKGRGGGQGGKVDYSRLIEGYDRMTPAEKVKAKMKLQLSETASKDSTLGNATVGWERFQFNKDAPLDEDDNGVEVANDDASLVKHIGKSFRLSAVESKHEDMVRDAHDNAIFGVPTYSIVDTETTGVELKTNDESEKAEDVETEPSSSLISDKVLAMQRGSWRERAQKLRQHPNA >OB01G36150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21309880:21313847:-1 gene:OB01G36150 transcript:OB01G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G25420) TAIR;Acc:AT1G25420] MSSLNSLFNRSTFGTKCKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSVHQGQLPIIESSPVTSISRDKPSLSISPVEDTRKYQAPQSPSSPAGPAVTHATTSNTVSQEHHRSPADEISCASPRPSDVLDKARAAIAAANRASAAARAAVDLVKVKITS >OB01G36160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21315741:21319538:-1 gene:OB01G36160 transcript:OB01G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77420) TAIR;Acc:AT1G77420] MAAISQPQLHAVAAPRRVAARPGKGKGRVAAAGGARRVRGAFAPVLPTLDHCLFKMAPNGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPCFGLSYGLHGYIASFDGMVDHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKIAEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGASDMVTDPHVSEFLYQKASTKDKTLKLYEDGYHCILEGEPDDRISTAINDIISWLDSHC >OB01G36170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21325468:21326084:-1 gene:OB01G36170 transcript:OB01G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREESHAVIFGVINEVLCKSVIVPTDVGVLIFNSSLLSLMLSFTSLIVKHYRMRLNIFAHNLSGMDKVTCDRLYFKVVGGTQPIVLGLERELR >OB01G36180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21334860:21335844:1 gene:OB01G36180 transcript:OB01G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVAGVGREASSQKRPRRVSVRMERSRAGVGDGCCCSCSGRTGVATTAFSGRAGMVVIVGATGTGKTKLSIDAAQELGGEVVNADKIQLYAGLDVTTNKVPLADRRAAEAATWERDVRGPALAAMRRFLGRPEFDFPAEGHLAARRQCHRGGMVVG >OB01G36190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21337958:21338320:-1 gene:OB01G36190 transcript:OB01G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELWEDRRSRGQLVYCYDAGRGLVHAQTRAAGEASPSSAKNKAGFPVSMMAFAVSRALETSNRNNYQGKASAFASACMHAGAAAPRHRKGAGAGPHACSSPHATHAAAAGVQRRRGPRR >OB01G36200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21353268:21354045:-1 gene:OB01G36200 transcript:OB01G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXPRPEGSDDAADTAPERAPPPAAAARKRAFSILLSKEEIAEDFKAIRGTRPPRRPKKRPRTVQRQLDLLYPGFCLADVTPETYKIEER >OB01G36210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21358395:21360280:-1 gene:OB01G36210 transcript:OB01G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGEQHGPVLIGIDLGTACSGVAVRQNGRAEVVTNGHGGRATPSYVAFTDTEGLVVGDAAKSQASRNPTNTVFGESVVHSCRLLNVLIDPDLRLLRLYSDKAGLKLWPFKVVAGRGDKPMVAANYKGKQKLLAAEEVASMLLSKMKAEAEAYLGCPVKNAVITVPASFDVVQRRATKDACAIAGLDVLGVIHEPTAAAVAYGLHESANDKNVLVFDLGGSHASVSLLAVASGKIAVMATAGDPCLGGEDFNGRMVEHFIAKFKAGHRKDVSRNARAMVRLRAACEQAKRTLSSASWAAIELECFHEGTDFYSTITRDQFEDLNLDLFCKCMEPIKKCLMDAKMDRRSVDDIVLVGGSTRIPRVRRLIQDLFDGKELRKDINPDEAAARGAATIASRGGGDSLLDLFLPDATPRSIGVEEAGGAMAVVIPENTAIPVRETKRTISVQPHHKKGVVVSVFEGEKPRARDNTLFCELELPGAHRGAKPGAKLPVSVCFSVDADGVLTVSASDKVNGHKKQMRFMEQSQLRKKEIERMAKEAKYMAEDEGNKERIKAKNSLEEFLYKKRRAIEDEKRKVDDALCAVEEMIQKVPGDQVSSAGELSDALKKLMIE >OB01G36220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21363204:21363827:1 gene:OB01G36220 transcript:OB01G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPQPQPRSPEPELPAAPLPTPPPPPQDASPAAAARPWNLRERKRRSSARGSTGASPTTSWAARRAEAARGGGERAPFAVALSSEEIEEDIYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >OB01G36230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21385242:21394268:-1 gene:OB01G36230 transcript:OB01G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEEQVFPLLTKKFSYEEQADLVWQFLCNIPVNMMAEFLPWLSASVSSDEHEDIHSCLCKIVPEEKLLQQVVFTWMEGKAARKVSQNSSDSNSEASCDFKDASSIDHADNHICSHEDSKVRNKKYTESIDGRVDRHPIDEILYWHNAIRKELVDIAEETRRMQQSGNFSDISAFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRELLYKSLCVMPLKLLERVLPWLVSKLSDEESSSFLENMRLAAPSSETALVTLFSGWACKARSEDKSNSGEYLCLTSGEARCLLDEVDGLEKCRPFCPCASRSNAVISLHSQIENGSRPGKRGNDEEAVSATNGSDLSQTDDTEARPCTKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALAELSQLHDRMTHPHVEVSDAEKNVSHSSDEIDWRRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNMMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSEEASSAPEDGDLQDKIDQNDQTFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPKSEECSEGTGIPGCAPSYRDQEKQTFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHTMERKATQEMMCMLCLKVQPVGPKCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCEKKGRSRFHWLYHKCGSCGSYNTRVIKTDTADCSTPN >OB01G36240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21404995:21405150:-1 gene:OB01G36240 transcript:OB01G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSHVRFLEQPKPWEAIGWAFFFLIMSVSARKHCLKQNDDDNIASDVLLLW >OB01G36250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21404763:21407825:-1 gene:OB01G36250 transcript:OB01G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEAASKEDQMGGGGGAGDAYGGDEAKRKEDPLASSRLLDPDFKPSKLSQDRLDKFKELQKKWLQIKEQPKCKGKSRGNTKKNSKVTSDCRVADKDESTSNVAIDVQHTSSPAGFQVDLSSSFPPRNKRKLHWGLDVKERWERKANM >OB01G36260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21412021:21412230:-1 gene:OB01G36260 transcript:OB01G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKLAQHTAQHNTTPNFFSSSSLLHLCSCAVLVLKLLGCLARGAKRLDSPGQECRGSAEAGSRHGRW >OB01G36270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21412379:21416439:1 gene:OB01G36270 transcript:OB01G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPXXXXXXXXXXXXXXXXXXXXXAEDEDGTEVVRLRTRRGNEIVGVHVRHERASATLLYSHGNAADLGQMYGLFVELSRRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVSDEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEVTVKEGTSSKDAEPASSDKPQEAPKCAQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >OB01G36280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21416017:21420562:-1 gene:OB01G36280 transcript:OB01G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRELLLTVVVLLLLLAAAVCRGNPTGDTYDTAMCGAQASVNCGDVTVRYPFYLSNATRALPKYANSSTFCGYPGLEIICDGGGRAVMRLGDDNYTVSRIDYAGLTVSLADADVANGSCPLVSHNVTIPPPSSLRLADTVGKLVFFFRCAFGPAVGDAPPKPPTIHPLTCSESSEDEPRPSFLIPASALPPKDWYRGCEAVYDVPVLGGSLPSDANDPAWRRDGYVGALRKGFQVSWDRSKQCAGCELTSGKCGYDQHGKFFGCLCADGLMDSDGCSKISDSTLRLAGSKRRTKIIAVAVAIAGTLALVAGATVFVVRKRKYKKVSSSSKLLKYSGSGGTPRSMGAGDMESGSVKDLQTHLFSYEELEEATDSFNDNRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGQRAQERALSWPLRLSIAVESAAALTYLHAIEPPIVHRDVKTTNILLDSDFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLRGIQELCVAEKDGGKDKKDLDPPLSPDTVHVQWDSRQTTPNPSQ >OB01G36290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21422451:21422639:1 gene:OB01G36290 transcript:OB01G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding APASSTVVLPPCVLPPTPFYLRCWFSSYSKPVNITYFSLDMLLCVIRHQLVQHLASCAIGYGH >OB01G36300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21424209:21425075:-1 gene:OB01G36300 transcript:OB01G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPCRRRRLLLLLLFLLAAACRGGDASGDTYDISMCRKEPTYCGEVSISYPFYLANETKDLDGYSNSFCGYPGLAIDCDDGKPTLQLNGTDKYVINNISYGSITSMSLVDQEVAQDNGVCPKVDHNVTFPPGSWLFFPGMSVDYLVFLLGCSFTNPLLPITCNLIGFPGQSYVIPKNQVPQGNWSRVCQRIFEVPVLNYQYVDPNSDAWRRGEYGNVLRQGFQLALNDSGRPTNCTQCEESKGRCGYSQDGVFLGCLCPNGRVSSLRCSSSDTGKSFVSRSILLGN >OB01G36310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21425974:21427133:-1 gene:OB01G36310 transcript:OB01G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPRLLPFFLLLLGVHASVCRGSPTTPLPSTHSTSICPKSGIKCGTVDISYPFYLSNATGETNDYNRFSCGYTDLKISCIWDGKNDTPIIQLGGDNYTVLDIRYDTFTVVLADTDALRGGNCPRVRHGFNFGQDHKWLEYTGSLDNLTFFFGCDPALVDPPLAGGIDKYQIKCTDFNNSPSTGPSFVFTKEELYSLPYYESLSKTWKCQNVTVPIDGRNPLLRSNQATLPSGGYGEVLKKGFELAWNSNKDEQCFWCQRSQGQCAYSQNKTLLGCVCPDGNLRPPNKDCNAPN >OB01G36320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21434154:21435154:-1 gene:OB01G36320 transcript:OB01G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFLPLVLVFFAAADASLTACRNATCGAMAVAYPFWLINSNSPASALDCGYPGLGLRCVNNTLILPFRTHKYRVLAIEYSTHTISITDADMEYSSTNSSCPHFHANLTIDDSSWLELASSDSNITFLYNCKSDASWASAWKLAGCAAGSENSSYVLLDGGVTGEAYGYECETVVMAPVLDAHKKTMAGVFGRLPPENRSFGEVLSAGFQLTYSAHSDKCSKCERTKGWCGYRHNETSTTMDFTCFCEEGPTKSHCGTRASSLVNLIASLFGVLLALDFRIGVGGIELQIKTNKKKMLNKPNTKESSRSVDSLFAGSNRWQEMFSVVPVE >OB01G36330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21444940:21445791:1 gene:OB01G36330 transcript:OB01G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFLPLVLVFFAAADASLTACRNATCGAMAVVYPFWLFNSNSPASALDCGYPGLGLRCVNNTLILPFRTHKYRVLAIEYSTHTISITDADMEYSSTNSSCPHLHANLTIDDRSWLQLASSVSNITFLYNCKSNVSWASAWKLSGCAAGSGNSSYVLLDGGVTGEAYGYECETVVMAPVLDAHKKTMAGVFGRLPPENRSFGEVLSAGFQLTYSAHSDKCSKCERTKGWCGYRHNETSTTMDFTCFCEEGSTKSHCGTRAPSLVNLIASLFGVLLASDFRIG >OB01G36340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21448577:21448995:-1 gene:OB01G36340 transcript:OB01G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPSGDGWVAERRVSTGYPAGTGSSVGHGFGYNTLSANQFEYRVAAEEEGDVVMGAGLLQTLIVSGEGEVVPDSRAVAVGERIGVREHDVRCECVEDRRKIGSKHGR >OB01G36350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21451826:21457591:1 gene:OB01G36350 transcript:OB01G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPRLLPFFLLLLGVHASVCRGSPTTPLPSTHSTSICPKSGIKCGTVDISYPFYLSNATGETKDYNRFSCGYTDLKISCIWDGKNDTPIIQLGGDNYTVLDIRYDTFTVVLADTDALRGGNCPRVRHGFNFGQDHKWLEYTGSLDNLTFFFGCDPALVDPLLAGGIDKYQIKCTDFNNSPSTGPSFVFTKEELYSLPYYESLSKTWKCQNVTVPIDGRNPLLRSNQATLPSGGYGEVLKKGFELAWNSNKDEQCFWCQRSQGQCAYSQNKTLLGCVCSDGNSGPNKTCDTPPPPRAKGLKRKLYAIAVASSILLLCLLLFASLFTLKKYRSRKISNDAPRIESFLQRNGTIHPKRYTYTQVKKMTKSFAEKLGQGGFGAVYKGSLSDGRQVAVKMLKDPKSDGEDFINEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMTNGSLERYACKKNNSRGGISLTWEKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQKFCPKISDFGMAKLCINKESIISIAGARGTIGYIAPEVYSKQFGAISSRSDVYSYGMMVLEMVGARERNVDANSESSSHYFPQWIYEHLDEYCISTSEMNGETTELVRKMIVVALWCIQVVPTNRPTMTRVIEMLEGSTSGLELPPKVLLSW >OB01G36360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21462019:21467161:1 gene:OB01G36360 transcript:OB01G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPRLAAARLPVPSLLLFFVLVLVLHAPVSRASALGYDHSNCFKSSNCGGVEIKYPFYLSNTTSETPPSICGYTALKITCLQASTPTIQLNTSNYTVQSIFYNNRTVKLADTDALGGGTCPRVRHNVTFDGKWLNYTASHESLTFFFDCNSPPPDPPSQGKFDPSQTTPSSSSSFDIKKFQISCKDFSISSSGGGDSFVLSSGQLNASVGNELATRNCSQVIVVPVDGGDPLMKSTYQATLPSGVYGEVLRKGFELAWNSSGDEECYKCEQSQGRCSYSSQQNGAFRCNYPPSWPNLPSYNPLPMATRSITTAAPIRVTRKDVAPFQVMRRATAAGPVQIARKREILVVPLLAQRGGLYFVSDTSHPAAMYDSHARLYYYKIVQNGYVPCIINCLQSSDTVAACVLFICLLLFAWFFGLKKYRSKQIVVGTQGIESFLERNRTLHPKRYTYNEVKRMTKSFAEKLGQGGFGAVYKGSLLDGRQVAVKMLKDSKSDGEDFINEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMPNGSLEKYAFNYYKGGSSLSWEKLFDIAVGVARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCPNKESVIFIDGARGTIGYIAPELILKQFGTVSSKSDVYSYGMMVLKMVGARQRNPDADSESSSHYFPQWIYEHLDEYCISVSETNGETTELVRKMIVVALWCIQLNPTNRPTMTRVVEMLEGSTSCMGLPPKMLLS >OB01G36370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21470398:21471968:1 gene:OB01G36370 transcript:OB01G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKLRFFASVFLSMSVLSTAASGGVNIAVYWGQNGSEGTLGGTCSTGLYAYVNLAFLSTFGAGRAPVLNLADHCDATSGSGGCSSLAIGGGALGYNLSSPSDVRGLAAYLCDNFLGGGGGGASRPLGDAVLDGIDFDIEAPSRFYDDLARNLASLYKGAAAAGGRRYLLTAAPQCPYPDASLAAALGTGLFDHVWVQFYNNPPCQYAAGDVGALQSAWQQWTTGLPASTVFLGLPASLDAADSGFVDADKLASQVLPVVEGAANYGGIMLWSRSYDKDSSLVKLQRVLQNRNKPIGTTLLI >OB01G36380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21473852:21474148:-1 gene:OB01G36380 transcript:OB01G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYVALAVLVLVAVATSPGAVCMASRAAPAKCDPLALSPCAAAILWSEAPSAACCVQLRMQRRCLCRYAKNPDLRKYIDSPNSKKVAAACSVPTPRC >OB01G36390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21474650:21474872:-1 gene:OB01G36390 transcript:OB01G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPCVSAFAGEAQGAPSSACCSKLKAQGSSCLCLYKDDPKVRRIVSSSRTKRVFNACKVPAPNC >OB01G36400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21477010:21478976:1 gene:OB01G36400 transcript:OB01G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3L334] MHPHFPSDSDSEQHARMPFYRHKSIHRHFGGGQVADILLWKNRNLSAGILAGATLMWFLFNVVEYNIIPLLCQIAMLAMLVIFIWSNAAQLLDRAPPSIPETIISEHAFREMALTVHYKVAYSASVLYDIACGKDLKRFLLVVGSLLVLSAIGSSCRFTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSEDIKKFYYKVDSNLLNKIPRGPVKTKVK >OB01G36410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21478103:21482420:-1 gene:OB01G36410 transcript:OB01G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRPVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSARTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADACRVPATIQSDLQEPEFPKESTEQFDEDQELQQVIDGQVCMKVYHFSDSMEKNFSRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVDQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRNIEGVFKVLEDLDIPGELRDMFISIPEEKFRMDISSTDIRKRQGP >OB01G36420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21487007:21487159:1 gene:OB01G36420 transcript:OB01G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKPPSLSLYRTVHEIFTSYDSSFNSFKGILFLVESLRPSSTSSRRLTKTN >OB01G36430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21488312:21493506:1 gene:OB01G36430 transcript:OB01G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3L337] MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETEENNQMRGPRTAVPYFL >OB01G36440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21497223:21497426:-1 gene:OB01G36440 transcript:OB01G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXSRPVVPRRSPTPSSVTSGQAGPGAQWRTRSSGHGGGRGLQVAAANPRHRRSNNHPLMIGEDSAS >OB01G36450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21501392:21502189:-1 gene:OB01G36450 transcript:OB01G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGAGGAAELRLLEERVSPYCIRVKHAMAVKGVTGYECVEEDLEHKSELLLASNPVHKKVPVLIHNGAPVCESLVIVQYVDEVWAGAGPALLPSDPYERSRARFWASYIDDKFFSSYLPFFTATTEEARAEKFKDVIPQVETLEEAFEECSKGKHFFGGDRIGFVDVALGGYLRLFKALDEVAGTRLLDAAKFPRLAAWAEHYEAVDAIRGASPAVADVVAFYKKMQAAASH >OB01G36460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21504438:21505351:-1 gene:OB01G36460 transcript:OB01G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGELQLLGTWSSPWVIRVRVALETKGLSYDYVEEDLSRKSDLLLKSNPLHKKVPVLIHGGQPVCESLVILEYVDEAWAGAGPPLLPADPYDRAAARFWASYVNDTFFPSWKALFRSTTTDQRAEAFKNVVPQVETLERAFRECSKGKAFFAGDAAGLVDVALGSHLVWIKVVDEVAGTNLFDEAKFPGLAAWAERFLSLDAVKKAAPDAGEVLKQYEGFLAKWNEGAGSS >OB01G36470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21509367:21511615:1 gene:OB01G36470 transcript:OB01G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMDASLGRSESFRATMSIFGESISGRKAEKNRGFVPDQENLSTEIKQLAQSGLDKLNERKALVDKERASAESELSRARAMAKELECQIEQTQAKATSQRSGLQAMWAARTKKKGADGDAPGARAQSERDARYAEVVQELDQAKRELLRLRLETKLRAVDEMKRRVDEANEEHVLVELARIEAERERREIDAQRGAEAERFARDIEATRARIDALQKELSRAREMEAKLAVTNSDVEVLQGEMELVRAMEKNHVKNDEAAEATARRNREEAQDRALLQTAEAELDAARKELETIKAGSFQFMTSMDRTRTEIMRVAEEISRLKGQEKKADAQVQQLNTKLLKARARLEALTAADERSKAIVSNLAAAMKQLKAETEAARMEEDLTKLEKRCVIAEAENTEKEIATTEGRIKQSVKELEAAKALEAEAMRKLRDTVESTMRARASSAPRRQGTITISRFEYEYLTGRAALVRVVADKKVVAAQAWVQALKASEKEAAARAEAAEREAREMEARAAQAAAEAEKTAAEQKELEQELYDLNAAAERDGMQCAYPRRRSSRVSATSRRAKPRRSSVSAGARNPRSPSFTIKRKKKVMPNLLKLIREKKGRKSTY >OB01G36480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21514678:21521730:1 gene:OB01G36480 transcript:OB01G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGETRDLHGGRAALRARAAEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGYDTWIVEVRGAGLSMRGTEVSVANTTSDMSPSSAVDKISTQKINGAAPAKDDSTCLAQNFEGPLVEDKFEVAVVENKDIVESNTSEEPQLVTKLSNALAQLGDTFSGYVKDNQLRSIADGFFHRVSELVPDASLTSRLEEVSERILGLLELPQTSAISDQISQLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIKQQSPTKDGKLLAIGHSMGGILLYAMVSKCGFEGAEPELAAIVTLASSVDYTTSNSSLKLLLPLADPAEMLRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPELLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRTGTFLFKQHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFISQHDEMSS >OB01G36490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21519069:21524747:-1 gene:OB01G36490 transcript:OB01G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPPHHHLDAAPFFGADLLPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMSLYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPSFDPFRYPTEMEKKCSICQEEFEANEEMGKLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >OB01G36500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21539841:21540698:1 gene:OB01G36500 transcript:OB01G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGVQRRDGGGSDDPLALTLGSIYDAVPTPPPLSPSTSPSPVAAPPPSPPKPPVVFSTLPGFVLVSELPPSSPLVPHPPPSSLSAPIPARRRRNSPTPPRSSRRRGANNPDAGDEANGDEGAPLPAPFPWMITDRPLLHYTLETLLLKGITSVEGRAICRHCSAQASIPYDLESKFREIRAYVAANIHTMDDRAPEQWLIPRLHKCDACGKESCMWPLIPNEKREINWLFLFLGQMLGCCTLDQLKFFCKNTRNHRTGAKNRVLYYAYIEMCLQLEPKGPFNV >OB01G36510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21549926:21552019:1 gene:OB01G36510 transcript:OB01G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPASIHLGAPTPHITSHGSKVVRLHMYDWIVLILLVAVDGILNVIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPIIIITGIYFKRRNVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGLPAYDTVTTGVLCHGEASVIKEGHKSFPSGHTSWSFAGLGFLSWYVAGKIKAFDRRGHVAKLCIVLLPLILAALVAVSRVDDYWHHWQDVFAGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLESNPTPTSVDRPNSLPSGSFRSSNGLEMGNASQALDSMEAGRRHQ >OB01G36520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21567296:21569103:-1 gene:OB01G36520 transcript:OB01G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCTHGHSRGQPAHITSTAKNKPILLSLSSYTLLMKPWSHHIPWAEPGSRSELTAPTNQHLTLSLPPWRDVALSKVQHNTMNIFYNDPATEKRKKKKKPKQNKTKVANHLPPSLHADMRFRGRDAVTNFRPLAEADPDAAAELRFLATRSKAEVVDMLRKHTYFDELAQSKRALAASTPSAATTTACLANDNLSSPRSPSAGAAARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSATSTGDEGKLFIECKLVRTTSAALAAPADQPAASPVKTVRLFGVDLLKTPAPEQQMAGCKRARDLATTTPPQAAAAAAFKKQCIELALV >OB01G36530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21590318:21591550:-1 gene:OB01G36530 transcript:OB01G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWTDFQPLVADTTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCQYANPPINVKADFASVMDVLHNKLNGKI >OB01G36540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21593449:21596419:-1 gene:OB01G36540 transcript:OB01G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G18440) TAIR;Acc:AT1G18440] MRLLSGASAPRIPCSLLSFPRAMPRRLPVSASRAACRAASSSAAAAAGDGGASAQKPWLFVGLGNPGKVYQGTRHNVGFEMIDVISEAEGISLSSMQFKAMVGKGRIGDTPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNREFPRLRIGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMVLDGFNKSATYVNTAQSSGMLNR >OB01G36550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21599246:21601796:-1 gene:OB01G36550 transcript:OB01G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLAHNEIGIMIPPRKPKEGSLVINSCNCATTNRCKPLSLDKRLVNASKDLTTNSIRSVAAQPCLPFLQELTGGINYIIPHAKHGLRMHIDETGQRWGGEKGQRIDLTNGKVV >OB01G36560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21602634:21605944:1 gene:OB01G36560 transcript:OB01G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFHHLAGLVPTLLLLLLLANARGANADDLSALLAFRARVSDPRGVLRGNWTAATPYCGWAGVTCGHGRRHRLRVTALELPGVPLAGSLAPELGGLTFLSVLNLSDAQLSGPIPDGIGKNLPRLLSLDLSSNHLSGTIPSALGNLTVLEILDLDSNNLTGQIPPELHNLKNIVYLSLCSNELSGQIPHGLFNGTSQLLYLNLAHNKLTGSIPGAIGFLPKVEILALSWNQLSGPIPTSLFNMSSLEVMHLAMNNLSGLLPDNESFDLPMLQTVNLHKNQLAGTVPQGFGACKNLQIFILAYNGFTGGIPPWLASMTELMELSLGSTHLSGEIPAGLGNLTGLTHLDFTTSNLHGKIPPELGQLTRLQWLNLEKNNLTGTIPTSFRNLSMISMLDISFNSLTGHVPRSIFGQALTELYIDENKLTGDVDFMADLSGCKNLKNLVMNTNYFTGSIPGSVGNLSSLKIFRAFENQITGNIPNMLLRNQSNMLFMDLRNNRFTGEIPLSITEMKNLEMIDFSSNELVGTIPANIGKSNIFALGLAYNKLHGPIPDSISNLSRLQILELSNNQLTSEIPMGLWGLQNIVGLDLAGNALTGSLPEVGNVEAITFMNLSSNQFSGNLPTSLGLLSTLTYLDLSYNSFSGTIPKSFAKLSSVTTLNLSFNRLDGQIPKGGVFSNITLQSLRGNTALCGLPRLGFPHCEDDLRRRGKRSRLLKIVLIPSILASGIIAICLLFSIKLCTGKKLKDLPTNNDNNKHISYYELVRATNNFSSDHLIGAGSFGKVFRGNLDNEQIVAVKVLNMDMERATMSFDVECRALRMARHRNLVRILSTCSNLDFKALVLQYMPNGSLDEWLLYSDRHCLGLVQRVNIMLDVALAMAYLHHEHFEVVLHCDLKPSNVLLDADMTACVADFGIARLLLGDDTSIFSRSMPGTIGYMAPEYGSTGKASRKSDVFSYGIMLLEVLTGKKPTDAMFAGELSLREWVNRALPSRLADVVDPAISLHDETMSSSSGGLQDDSWTNGHEPAGDRSCIAQLLDLGLQCTRDLPEDRMTMKDVAAKLHRIKEVLQA >OB01G36570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21608160:21612117:1 gene:OB01G36570 transcript:OB01G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCMVLLVLLASPCATALTSPSSTNNTTTDLAALLDFKAHVRDPSGILARSWTAGAPLCSWIGVSCDRSGRRVTRLELEGMALQGTISAQLGNLSFLSSLVLSNTSLTGPVPDELGRLPQLRSLDLSYNGLSGTIPSILGNLTALESLDLSSNNFYGGIPQELENLNNLQVIRLSDNDLSGPIPQDLFNNTPNLSMIRFGSNRLTGAIPGNIGSLSKLEMIVLEKNLLSGPMPAAIFNMSQLQVIAVGRNNLSGPIPGNETFYLPMLEVFSLSENWFTGPIPSGLSKCQNLAAISIPVNNFTGVVPSWLATMPKLTAIYLSTNELTGKIPVELSNHTGLLALDLSENKIEGEIPPEFGQMKSLRYISFANNQITGSIPESIGNLTNLTTIDMFVNALTGSVPTSFGNLLNLRRIYLDGNQLSGNLQFLAALSNCRSLNTITISYNVFVGSLPPYVGNLSTLLEIFVADNNMITGSIPRTLAKLTNLMMLSLSGNQLSGTIPTQITSMNNLQELNLSNNTLSGTIPVEINGLTSLVKLHLDGNQLVGPIPSTIGDLNQLQAVTLSQNSLSSTIPISLFHLQKLIELDLSHNSLSGPLPADAGKLAVITKMDLSSNQLSGDIPFSFGELQMMVYLNLSRNLLQGSIPDSVGKLLSIEKLDLSCNALSCAIPNSLVNLTYLANLNLSFNKLDGQIPEGGVFSNITIKSLMGNKALCGLPSQGIALCQNTTHSRSIQQLVKFILPAVVVFFILAPCLYMLVRRKMNKQRKMPLTSAGDLLSYQLISYHELVRATRNFSDDNLLGTGSFGKVFKGKLDDESIVAIKVLNMQHELASKSFDTECRMLRMARHRNLVRIVSTCSNLDFKALVLEYMPNGSLDSWLYSNGGQHLSFLQRLGIMLDVAMAMEYLHHHLFEVVLHFDLKPSNILLDNDMVAHVADFGISKLLVGDDNSITLTSMPDTVGYMAPEFGSTGKASRRSDIYSYGIVLLEVFTRKRPTDPMFVGELTFRQWISQAFPYELSNVADCSLQQDRPTGGTEDASKLFEESIFLNTCLASIVELGLLCSRDAPDDRIPMSKVVIKLNKKVKLLFSLWKQGS >OB01G36580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21616267:21620505:-1 gene:OB01G36580 transcript:OB01G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGRTVELHKCAVIELETLRPVYSMEIAMGRLLLGEAGGLRVFPLQGLMKSGTEREGRKDGGGAAVKKSIYKKNGIRNGFIVPIGHVSGDEGGKGGAVSTCKLTTLRVKQTSGSYGSFFSSFSSKDHHYSQGGIEVSKVEKVVSIHPLSKDKFMVLDSAGVLHVFNLQNKDLFSEATSKRYSGSRTYCLDNAMKVQLFAVFPSSSTKTQIFWVSDGGHSIHIMSVIDAEPPNSENGGGDGKIELTTIKLTAIEAIFTSEKVQDIVPISKDSVLILGQGNIFLYGTA >OB01G36590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21624932:21641505:-1 gene:OB01G36590 transcript:OB01G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLSFLKHGDSAVVNQSIASGTNLFAAVLEEMALQINKCGKVDAWLEEIWSWLNQFKDAIHNLIHEPVPVTTKLFALKFIEIWILCFIPQSRSDRMQPIEGRNRRLFDCSRLSQFHPSLNPAVLEADANRALILLVDILQSACAHQGSFLVGTINSLAAIAKNRPVYYERILPVLLGFDPNLEVAKGAHSASLRYSLKTAFLGFLRSPCQAMIESKDTLVRQLRILSPGEATEQIIRQVEKMNRNIERASRASKDDPSTLDMPYGDVNRKYPAARSSDAFATADGIAKRARFDTSALNPPFQGASDYSNIQVDNEANAAHSSDPAPMNSDVSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNGQQQKNQSSSSPLTENLPSNSHSLSYSTQLALPADGVSMSMSDVPAMSGAHDSKRDPRRDPRRLDPRRTVAPAPAATTSIHVKGETTGVHQTNNLSNVPYSVSGKAENSSDYSGDLSRIEDEQQTFCLPNQTFPKENCENLDDALELERKFEVQAVADVGFRSEVGKEMANPLSPEVTSNNESDSVELEIDPFSPVPKASTPEDTTNHDLPVLPTHLELSDDEKILLHKLAIRRIIDDYKKNSLNARFSLLAHLIAQSAADDNIMDLIQRHIIFHYHDQGHELAMHVLYQLHSVNVADSPESTTPTSKHYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLENICVSQGNSQQAKDGDGDRVTQGLGTLWSLILARPPLRQSCLDIALKCAIHSQDEVRGKAVRLVTKKLYGLTYASERVEQFATESLLAIANKHGVETDINFTSSKESIPEFEAGSQGTSVSESHTSDGEPSESACDKTDLVSPKQSAVSVSEAKRHTSLFFALCMKRPILLQHLFNAYGRSPKVVKQCIHWHIPNLVRNLGSSCSEMLAIIHNPPEGSEELVTLILQTLTEDSTPSVELVLAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDKVALKKVIDACTACFEQRTVFTQQVLEKSLNKLVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEIALNKYPNLRSPLCSFVNQRNMHNILPRQILKVLGFINEPQQAPIPFVPAALQTADAASSLPGATLM >OB01G36600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21650885:21651805:1 gene:OB01G36600 transcript:OB01G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVPSVTLISQRQKCKGVHSAPTWRGASARHVSHSTQGPTYRECVPSQRHEQLHLELRGEASRIPSVSTRHPVCARRLATKSAVAIVAEPPPPSANGRRPRPTATGVAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSQRGRAAAPGSSRRGLTAARAPPSEAAPSPRAPPREATASSS >OB01G36610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21658453:21660867:1 gene:OB01G36610 transcript:OB01G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G16610) TAIR;Acc:AT3G16610] MRHRSLAAAAASASASGSGTIRRNVALLLPLFYQRHHRRFSFTTVACCLHPPPFESTEDQASLQGHIARGELSRARHLFDEIPSPDVRAYNDLIRAYSSSLSTAIDGLYLYRLMLRHRVAPNNYTFPFALKACSALADLHCGRTIHRHTLHMGLHADLFISTALLDMYVKCSCFPDATHVFATMPTRDLVAWNAMLAGYAHHGMYHDALTHLVTMQAQARLRPNASTLVALLPLLAQQGVLAQGTSVHAYCIRACLHLKVTDRVLVGTALLDMYAKCGSLAYARRVFDAMPMRNEVTWSALIGGFVLCGRMTQAFSLFKDMLALGLCFLSPTSIASALRACAVLDDLRMGEQLHALLAKSCVHADLTAGNSLLSMYAKAGLIDQAIAFFDELAVKDNVSYSALLSGYVQNGRAEEAFLVFKKMQACNVEPDVATMVSLIPACSHLAALQHGRCSHGFVIIRGFASETSICNALLDMYAKCGRIDLSRQVFNMMPSRDIVSWNTMIAGYGLHGLGKEATALFLEMSNQGFAPDGVTFICLISACSHSGLVTEGKHWFHEMTHRYGLTPRMEHYICMVDLLSRGGFLNEAYEFIQSMPLRADVRVWAALLGACRVYKNIDLGKRVSRMIEELGPEGTGNFVLLSNIYSAAGRFDEAAEVRIIQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHPQSPKIYQELDNILAGIKKLGYHPDTSFVLHDVEEEEKEKTLIYHSEKLAIAYGILSTSENKTIFVTKNLRVCGDCHTVIKHISLVRRRDIIVRDANRFHHFKNGQCSCGDFW >OB01G36620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21665914:21672292:1 gene:OB01G36620 transcript:OB01G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEAPELVPAEEEKPQTEEPAAAAAAAMPSSAEVVSPPPKVEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGDTSTSGTTTVQDKGFDPTGWELALVTTPSTNTNSLAMDSNLGGGFDKLTLESLYDDGVYRQHMQQQQQLYGSAAPNPFMATDPFAMSNQVAPPPSVQMANMAQQPQQMPLMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPASNGMQHPQANPFGTAQLL >OB01G36630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21674639:21679507:1 gene:OB01G36630 transcript:OB01G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQWSSRAGGFRISFVRRRCSAGMDVAGVVMSDASACCVAGVLEVEERKAQKSYWEEHSKDLTVEAMMLDSRATDLDKEERPEILSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTSPDLMIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQALDQAGNSFELSLLTCKCVGAYVKNKKNQNQICWLWQKVDSTEDRGFQKFLDNVQYKTNGILRYERIFGEGFVSTGGIETTKEFVDKLDLRPAQSVLDVGCGIGGGDFYMAEKYDVHVVGIDLSINMVSFALERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFNWLKPGGKVLISDYCKCPGKPSEEFAAYIKQRGYDLHDVKAYGKMLENAGFHDVVAEDRTDQFLNVLQRELAEVEKNKNEFVSDFSQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATK >OB01G36640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21682558:21688881:1 gene:OB01G36640 transcript:OB01G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRPVPPGTTPRIPHRPQPRRASHFASPPPRARRRRRARHEAKDRLTKITTTARPPVSVSPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSQPLSAPPAAPPPSAGPAKVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFKLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERILFAAALEDPANQRFVLLSDSCVPMYNFSYIYTYLMASPKSFVDSFVDKTEKRYNPSMSPVIPRGKWRKGSQWVALIRRHAEVVVGDKRVLQVFRRHCKMVVTKALLGQKPYYRRLGFGLRRKQILKGAIRMEHDCIPDEHYVQTLFSINGLENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYETASPEQINSIKGIDHVNYQMERRTEWCQCNATSVPCFLFARKFSYSAAMHLLEDGTVGPLKSALLA >OB01G36650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21686959:21691758:-1 gene:OB01G36650 transcript:OB01G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAGASEPPAETAAATFRRVYDTLKAELLQDPSFDFNDDAVQWIESMLDYNVLGGKLNRGLAVVDSYKLLKAAGKTEPSEEELFLACILGWGIEWLQAYFLVLDDIMDNSQTRRGKPCWFRLPKVGLIAINDGLVLRSQISRMFRRYFFGKSYYVDLLDLFNEVEFQTTSGQLLDQITTNEGRKNLNKYNVHVYRRIVEYKTAYYSFYLPVACALLFFGERLDDYVQVKHILVEMGVYFQSQDDYLDCFGEPEVIGKIGSDIEEFKCSWLFVQALERADEKQKKVLFENYGKSDPACVAKVKDLYNELDLQRVFSEYEKESYGSLISAIEAQPKEAVREVLKSFLHRIYKRSK >OB01G36660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21692117:21692296:1 gene:OB01G36660 transcript:OB01G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPEGSARVSREISPASLRRSFDPEAVKDSKRYFRLATLSSVELQHFRRAYVQSPGRKG >OB01G36670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21692592:21695485:-1 gene:OB01G36670 transcript:OB01G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein [Source:Projected from Arabidopsis thaliana (AT3G26115) TAIR;Acc:AT3G26115] MLPSPATQVHTISVRPSHSLPSPPHHLAFSNLTTAPKRHGGKGEEEGSPRFEVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDVPTGYNLISLMFGNVTYVSRSVYAHRDEMLYDHARKVAGTGGTVLWADDIGREDFVLDEDNCEIGSRRVVIIKEGAGNVQALLGVIRLVEYLYNLSSFQKHENVHVVVDAGTGTTAVGLALGAVCLGLPWRVTAVMLADTLERYKEREKALISDFKKLCHNCHEMVGENDIGDNLVEWVERFSPRRFGKVLNGEIALCRQIAQQTGVLLDPMYTLAGWEQAVDLCVGDSKTKVVMIHTGGTLGLCGLAQRYSQHFSADEQA >OB01G36680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21703013:21711002:1 gene:OB01G36680 transcript:OB01G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGAGGGEQHDAEKKEGRSSSSGDDAGAGAGNKLPFLRMFRYADGADKALMAVGTVAAMANGMSQPLMTVVFAAVIDCFGGADASTVLHRVSKVVLYYIYLGVGTSIASFLQVSCWTMAGERQSARIRSLYLESVLRQDIAFFDVEMTTGEAAARMSADTVLIQDALGEKVGKYIEVLTAFAGGFIIGFIRGWMLALVVMACIPPSIFSFAIVSRLRAQVSGRTQASYSKAGNVVEQTIGSIRTVVSFNGEKRAIAMYNNLIKKAYKATIMEGIVSGFGVGCIFFVVYCSYSLAFWYGAKLIISKGYTGGQIINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPKIEITDTSGIMLEDIKGDVELKDVCFSYPARPEQLILDGLSLQVPNGTTMAIVGQSGSGKSTVISLVERFYDPQAGEELIDGININTLKLNWIRGKTSLVSQEPLLFMTSIKDNITYGKENATDEDIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERVVQEALNRVMVGRTTLIVAHRLSTIRNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQNHSEESHDVQHNVSSSRLKSKSLSLEHSMIKDSPRNRRKNSTKYVGSSGSDGSHKHVLRDEQEDKEFGDRQYLKKAPIKRLFNLNKPEAPILLLAIIAAFVHGLLFPLFSIMMSGGIRSFYNPPHQLRKDSRFWALMCILMAIISLGSIQLEFFLFGMAGGKLIERVRCLSFQSIVHQEVAWFDDPSNSRFATQMDLHVTFLYLTLFEYKVTLLNSGSLGAKLYIDALNIRRLVGDNLAILVQCTVTLIAGFSIAFASDWKLTLIIMCPIPLVGLQNYAQVKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKQSIRSGMVGGLGFSFSQLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALIFTAFGISQTSGMASDSARAHESAASILAVIDRESKIDSSKDEGIILEKVDGKIDLNHVNFKYPSRPDVQVFCDFTLSIPSGKTVALVGESGSGKSTVIALLERFYDPDFGTISLDGVELKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGSKGQATEEEIIAVAKAANAHEFISSLPQGYRTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADMIAVIKDGSIAEKGKHDSLIRINGGVYASLVELHSKTA >OB01G36690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21712476:21719716:-1 gene:OB01G36690 transcript:OB01G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGRGRDGRETKDAAATAMTKKVPLLGMFRYADRLDVLLMVLGTVGAVANGMSEPLMSVFFGNVINSFGDSSSSTVLRSVTKVVLNFIYLGIGTLVASFLQMSCWTMAGERQSARIRSLYLKAVLRQDIAFFDTEMTTGEAVSRMSSDTLMIQGGLGEKAGKLVQLSSSFIGSFIIAFARGWLLTLVMLTSLPLIAIAGAVFAQALTRVSGKRQTSYSDAGDTVQQTIGSIRTVVSFNSEKKAIAMYSNFIKKAYKTTIEEGIITGFGMGCMLFITFGSYGLAFWYGGKLIVEKGYTGGRIITIMFTVLTGATSLGDAIPAFAAVVEGQSAAYLFKTIERKPNIDSDDNIGMVLEDMNGDIELKDVYFHYPARPEKLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATLEEIKRAAKLANAANFIDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRMMVQRTKLVVAHRLSTVRNVDCITVVQQGKIVEQGHHDALVKDPNGAYSQLVRLRGERHKLPHSRSKSTSVSFRRSRTKDSLSKSSTYSLKNSLGLPVDIDEDKITSEQQKVEHSDSEAVKKTPIGWLFNLNRPEVPVLLLGSIAASVHGVIFPLFGIIMPGVLKSFYEPPDKLQKDSRFWALMFVVLGVACFISIPVEYYFFGIAGGKLIERVCTLSFQRIMHQEVAWFDNPSNSSGALGTRLSVDALNVRHLVGDNLALIVQATATLITGFVIAFAADWRLALIITCVIPLMGAQGYAQVKFLKGFSKQSKEMYEDANQVAAEAVGSIRTIASFCSEKKVVAMYNNKCEALRKQGIRSGIVGGIGFGFSSLMLFLTFSICFYVGAKFISQGKSTFSDVFKVFFALGLAAKSVSQSSALSSDATKARDSAISIFNILNRKSKIDSNSEEGMIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGIISLDGVEIRCLKVSWLRDQMGLVGQEPVLFNDTIRKNITYGKHGEVTEEEVMAVAKAANAHEFISSLPQGYDTMVGEKGMQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGIIAEKGKHEALMQIKDGAYASLVQLRSSSE >OB01G36700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21715512:21715805:1 gene:OB01G36700 transcript:OB01G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLVDYFSNIQFNSVTVSFLTLGNLSMKFAAFASLAALLISSSVASSLPYVMLSLMEVIKSRGSWLTRPIFPLIQSSLSFLMLIPSIKTSPDCGS >OB01G36710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21722761:21724221:-1 gene:OB01G36710 transcript:OB01G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYADDTLIVCEAETTGVAALKEILHRFATVTGLTINFSKSSMVPINVGERETAEFQRILQFQKVEKFLPGWKAKLLNYAGRTVLVNAVLDSVPVYLMSVLDLPQGIIEKIEQRRRAFLWTGEDKVSGANCLVAWDNVCKSKEEGGLGLKKLRLRNKSLLLNWLHNLHQSDSPWADWVWKQLTNEAPCRSENLGNHWNSLAKLLPEYRNFTNSSVMDGRCTSFWFDKWLDHLPLAVKYKALLSHSTTKHTTVRQAIRLGPGQQLVHRLSSVAQAQLQELLQHLQTFQLREGADIRSHNLGKPETKLTTSQCYKSLQAGDKGPNYGFIWKNRAPPRVRFFTWLLSKDRLPTRNNLIVNKIVDSASCPICDSTWEDGDHLFLQCPFAVALWSALGFAPTTSSVRELHSISKPSGLIAREFKTFFILCFWRIWTHRNDVVFNKLQPCKKRLLQQCVQDVTL >OB01G36720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21729270:21736233:-1 gene:OB01G36720 transcript:OB01G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASEKMDEPGRGRDGRETKDPAAAAAAKKKKVPLLGMFRYADRLDVLLMALGTVGALGNGLSEPLMSVLFGNVINSFGENTSRTVLRSVTKVVLNFVYLGIGTSVASFLQVSCWTMAGERQSARIRSLYLKAVLRQDISFFDTEMTTGEAISRMSSDTLLIQGALGDKAGKLVRLLSSFIGSFIIAFAQGWLLTLVMLTSLPLIAIAGAISAQALTRVSSKRQTSYSDAADTVEQTIGSIRTVVSFNGEKKAIAMYSKFIKKAYKTTIEEGIITGFGMGSTLSILFCSYGLAFWYGGKLIIEKGYTGGKIITILFAVLTGATSLGNATPTVAAVVEGQSAAYNLFKTIERKPEIDSDDNSGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVESGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGINIKKLRLDWIRGKIGLVSQEPLLFMTSIKDNIIYGKEDATFEEIKRAAELANAANFIDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRMMVQRTTLVVAHRLSTVRNVDCITVVQQGKIVEQGRHDALVKHPNGAYSQLVRLQETTGGERHTLPDSGVPDSRSKSTSLSFKRSRTKDSLSKSNRYSFKNPLGLPVDIHEDRITSEQEKDDHSDSEAIKKTPFGRLFNLNRPEVPVLLLGSIAASVHGVLFPIFGLIMPGVLKSFYEPPDKLQKDSRFWALMSVVLGVACLISIPAEYFLFGIAGGKLIERVRTLSFQSIMHQEVAWFDNPSNSSGALGTRLSVDALNIRRLVGDNLALIVQAVASLITGLVIAFTADWRLALIIMCVIPLVGAQGYAQVKFLEGFSKESKDMYEDANQVAADAVGGIRTIASFGSEKRVVEIFNNKCEALRKQGIRSGIVGGIGFGFSYLMLFLTYGLCFYVGAKFVSQGKTTFPDVFKVFFALVLAAVGVSQSSALSSDATKARDSAISIFSILDRKSRIDSSSDEGRIMENVTGSIDFNNVIFKYPLRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIVALLQRFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIHANITYGKHGEVTEEEVMAVAKAANAHQFISSLPQGYDTVVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESEHIVQDALDRIMVSRTTIVVAHRLSTIKGADIIAVLKEGKIVEKGKHEALMRIKDGAYASLVQLRSSSE >OB01G36730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21745232:21747267:1 gene:OB01G36730 transcript:OB01G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLISNPPIKRSRKILETIKAGARERRRVMGRNPSPSGTGLKEVAVVPSLPLLVTAISLTVALTHRRWPSPSRRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAPPLAPPPPSPAAAWGRRTRETPPRFALAVSTSDGDPAPRTFEQLREQLLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTRALESIKQRIEVFDKLSTKISEAISMKQNMLIEHALHPGMSNGKESNDNIRVFSGKVGDEADETTVSPAKSSEKAVDLKHVALSSMAGQLEQSKLQTSDKFKFSSEHDPPNGTTNHSSYDDFLEHIGLQLNSLECEIEQYISSQLAKQVDIQKPIDGKWQKLSGILKLISETRERIAKIVDNAVKETGSEDLRS >OB01G36740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21747693:21749322:-1 gene:OB01G36740 transcript:OB01G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYTMRTSSCQLMNPRSNMSSMEEHLLPLVHRDQIYSSRQDRRSSDVPDRCATSFHPKSKGNPNTPNHPSPTSRNANTVPTQNFQRVHSSPSMFTPIKETPCANEFDEQSHTAEQVPSFARQAIVSVILYISIGVLVYITNVEGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMIDAEKKRSRGKMKVLLALGVVAGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFMFLTDLRMDRRNRRTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIEMISDQFDQLGLAKCGKITLADIIGKL >OB01G36750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21766221:21773620:1 gene:OB01G36750 transcript:OB01G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKRRKEEEEEEGGVKKDDGDVGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGGATADNVLHPVIQAVLNFVYLGIGTAVASFLQVACWTMTGERQATRIRSLYLKSVLKQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFVGGFVVAFVKGWLLSLVMLACIPPVVVAGGAVSKMLAKISSKGQASYSDAANVVEQTLGAIKTVVSFNGEKQAIASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVISKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPHIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLSWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNTDGAYSQLIRLQENREEEEQKLDRHVSDSRSKSRSLSLKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSNVGEQTEHGGDGEVQKKSPVGRLAGLNKPEVPILLLASLAAAVHGVLFPMFGVMISNAIKTFFEPADKLKKDSSFWGLMCVVLGILSIISIPVEYFMFGIAGGKLVERVRALSFQSIIHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIALIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMRMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKARDSALSIFALLDRKSQIDSNSDEGSTLNEVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTISLDGVDIKTLKVNWLRDQMGLVGQEPVLFNETIRANISYGKHGDVTEEELVKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTVIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >OB01G36760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21775660:21777186:-1 gene:OB01G36760 transcript:OB01G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L370] MLRSASPSPPPRPPPRAPARIPVLLFAMDGAATITSARKPVVLYPSPGMGHLVSMIELGKVFAARGLSVTVVVVDPPYGNTGATGPFLAGVSAANPAIAFHRLPKVEVPPVASMHHETLTFEVARLSNPGLRDFLAGASPAVLVIDFFCNAALDVADELRIPAFMFCTSGAEIVAFFLYLPVLHAQTTVSFGEMGEELVRVPGIPSFPATHSVLPLMDRDDPAYGEFMKAAADLYRTQGFLINTFRSLEPRAVEAMAAGSCTLPGVPTPPVYCIGPLIKSEEVGENRGEECLAWLDTQPNGSVVFLCFGSIGRFSAEQIKEVAAGLEASGQRFLWVVRSPPSDDPAKKFDKPPEPDLEALLPKGFLERTEGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLESVVAGVPMLAWPLYAEQRMNRVFLEEEMRLAVAVEGYDVGEGAVRAEEVAAKVRWLMESDGGRMLLERTLAATRRAKEALRDGGESEAALARLLESWSEAASA >OB01G36770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21775696:21777231:1 gene:OB01G36770 transcript:OB01G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQRRLRLAAVAQRLLRPPRGRQRPLQEHPPAVRLHQPPHLRRHLFRPHGALPDVVPFHRHGQPHLLLQEHPVHPLLRVQRPRQHRHAGHDRLQHGVPPAVRHEAAHRRVRQHVALRRPRLDDEAPALGPLQEALGEERLQIGLRRLVELLRRVVARRAPHHPQEPLPARLQPRRHLLDLLRAEPADAAEAEEHHAAVGLRVQPRQALLAAVLAHLLRLYQRPDAVDGRRRDAGKRAAPRGHGLDGARLERAERVDEETLGAVEVGRRFHEFPVGRVVTVHEWQDGVRRRKRGDAGDPHELFAHLPEAHRGLSVQDREVQEESDDLRAGRAEHEGGDTKLVGDVEGRVAEEVDHEHGRGGACEEVAEAGVREAGDLEGERLMVHGRHGRHLHLGQTVERYGRVGRGDAGEERPRRAGVAVRRVDDDDGDGEAAGGEDLPELDHRDQVAHARRRVEHHWLPSARDGRSAVHREEEHGDTSRCARWRSGRGRRRRRTEHVNRGAAHDERLLRH >OB01G36780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21789932:21790649:-1 gene:OB01G36780 transcript:OB01G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALREELKDLEELFKAEKDERKADQRNPEQLDGKVNSLRYRRYVRPWLEEEVDALRKKAAEAAAGPAVEEESVVPPPSPEERVAWLAKAGAVAGAATVAAAAGVIYLRLTSLRIWMCALQYIA >OB01G36790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21796790:21797886:-1 gene:OB01G36790 transcript:OB01G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDQTLANRPAAAVDGEKAMVTGGAVGGDFTATANGHPIKSYADVAAEKTIPNGNGSVAEDEVAVTAAENPVKSYAAVVAEKTEHTGSVAEDEPENPAKSYAAVVAEKTEHTGSVAEDEVIVNGDENPTKSYAPVAAKKTVPDGSVAGDEITVIASDSDCVNPTKSYADVAANAEIWDLRTATRAVEERLAAADREYKGFSSKTDSLNGSVSQARGNLVKLESEVASSDAKVAALREELKELEELFKAEKDKRKADTLNSEQLDGKVNSLRQVRPWLQEEIDALRKKAAEAAAGPAVEEESVVPPSTGERVAVKAGAVAVGAAVAAAAVLICLRLKR >OB01G36800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21802475:21807875:1 gene:OB01G36800 transcript:OB01G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55100) TAIR;Acc:AT5G55100] MDLEIVGRHALLFDDDPTAEVVNSGGSLVPWAAVGADDLLLDRHDVRHLLDRVPPRPRRSYSAALLSAPSPDGVSEAELDRERFLDLPANDFGGEGSQDAVSSGNGTDTGQADYNAVPFLYWSTVGSDDPNNLSSYYRPSFPVPDKVLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQVLKDGVDADSNKGCKTANSESELAVSSGGALSLLGAVYESGDEDEGMLPPSQKVTAPGKDMVAHEQGHENSASGICRNEEAEDIQKAMAAAAVAVKDKSILTKKNPMITDSSIVAARQEKVKDAMMASSKSDVSDKTDVVLEPPSFLKRTMEKIVEFILRNGKEFEGKLIEQDRTTGRFPFLLPSNPYHSYYLKLLEETQESKSSGNSSELKDRRGSSERKEHRDRRSSSEHKERRSSSERKVSSHGREETKSKMRLSTSKDASSSDRSSAEPSEKQLYDKQKQGKGKFHMIISGAKKEPPRNVTADEAAAIVMAATRGLVPANTRTNTPKDMGGIGQTRGDNGQTSSFGSFSSFQDPDVLYKPVSNSEAGTSLTSSGQLKTEGIGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFASIIKSGGSKMADLSTSVDATNETEKTSTGDLNLSGSDPQTSAKEREGSSVPFEREGSTMAKQEKYSDDEQSGARKYRKKHTLETDEESYDSEESYKYSRKRHHSEHSRAHTSDAYKHKHKKHSKKDLEPRNHRHHHSSSEDEHEHRSSKSRHRHRNDYHGDDDHRSSHRHQRDHRSSSKRKKDDDRDKNNQTRLELSQNTSGHNFESEKALGDAAQSSQVTTEVPSELRAKIRAMLLETL >OB01G36810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21809112:21810212:-1 gene:OB01G36810 transcript:OB01G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFTAAFLVLLGLASLLVSPGAESLAFDGKDGVYTGVSDGRVLRWGGSAAGWSTFAYNANYRRIPLCSSSVVPPEERESICGRPLGIRFFRKTGELYIADAYLGLMKVGSEGGEAQVIATEADGVPFHFLNGLDVDQSSGDVYFTDSSSTYTRRFNGEITMNADVTGRLLKYDAQTRGVTVLKTGLPYPNGVVVSRDRTHIVVAHTVPCQAFRYWLRGPNAGDYELFADLPGYPDNVRRDGKGGYWVALNQEKMRLGAEPPAKHLVGVRLNPDGVEVEELTAAKGVTLSEVAEQKGKLWLGSVELDYIGVFA >OB01G36820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21815970:21816425:1 gene:OB01G36820 transcript:OB01G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPTHAFRPRLRSAKPPRIGLRVPSSPPTHHPPPPAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRFGWRAPSSPPTHHRSPPAASDEVSPPAPSSLRPYPPAASSSFSSSVALSGPVSVAINPS >OB01G36830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21817018:21820496:1 gene:OB01G36830 transcript:OB01G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLAMEVPITSSVNTAVVPVPVVHNPRARKLRSAVWQDFTKERRADGSCVAVCNHCKKQLTATSRSGTTHLRNHLAICTTTSTRRAGKRRKLIVRRILHNKTSNDGHSGDGHASGEDHDNDSTHFDQELSRRDLAHMIVQHGYRFSIVDDVGFQKFVKNLQPQFRMVSYETVRADSMTIYESEKLKLQDVLLKIPCRVSISVDMWRSNTQMDYLCLTCHYIDHANDEWKIRKKILNFVHVEAPFTAEQIASIILEKLHEWGIDGKLAAIVLDNCTSGEIVARELLGALQPRRLLLLNGNLFQVRSCAHILNLTVQESLEQTSGIITRVREMIQNVKFSQERFEKFQGTAKLLQMDQKLLVLDSPNNWPSTYLMFDSACYYHDVLMRLAEQEAHYSAFLTAKEWADVKALTEILDALYHTMEKFPVENPTANLYFNDMCEMHVLLNTWRNSPSPVVAQVADHMLTKFEGYWDLTRPVMAFASILDPRYKMKSVEYFCRLIYAADQFRAKTTIDDIRQTFTNLCSEYEQSANSFKNPSALFYSGTSNSCMSSVYSNGDDFKTFSRITLSDARRGLDQYIQETSSGQSFKSDLDMYLEEPVYRQKEGHLDNFDILGWWRSFAAKYPVLSQMARDILAIPVSIIPLDSEARTLNEYLSTMDPSTVQGLVCAQDWLREDTEVTSSDGHADDKAARGDELIVLPK >OB01G36840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21831205:21831800:-1 gene:OB01G36840 transcript:OB01G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSHVAFNLVLMLALPVPLLLEAARPLVTGDQDDENLPVLSTATAEETTQVMVDYLELKGTRSGSEEGSETSPGSSPDAGLHRPARSPPSPQGRYPPQHQQKPSGGAGTGMAGGRRPSAPPAPRGRNPPHWVRSSDWPARSPGPSQEGPWPLEVKRAGRADKLRGTD >OB01G36850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21843030:21844409:1 gene:OB01G36850 transcript:OB01G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAVSGSWTRLRTLGRGASGAVVSLAEDGASGELFAVKTAGAAEAAMLRRERGMMSGLSSPHVVPCIGGSDGPDGSYNLFLEFAPGGSLADEVARNGGHLEECAIRVYAADVLRGLAYLHGRSLVHGDVKARNIVIGVDGLAKLADFGCAKTMDSARPVGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPAWLSAEAKDFLAMCLVRNASNRSTAAQLLDHPFVAFACHEVKAAQPLRWVSPKSTLDAAFWESETDDEEEAHETAESLRLCDRIKSLACSVSALPDWDSDEGWIDLFVEQCESRDSEEAKESVDVAGSAPSKVSSAATVPAAEVVAVGGGCRPSDELDVEDRPFHGDILASDRSIERRNKVCASSDNDVLLFRLLCNGIYAMEFFQLFTNLAALLCFVPAVPTLFSSNRELQACIE >OB01G36860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21852736:21854307:1 gene:OB01G36860 transcript:OB01G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRPYIYGKRASWSAAGESAAANHTTKPNQNRETTWSQAETRGMEGAVDGRWTRVRTLGRGASGAVVSLAVDDSSGALFAVKSAAAAKVAEQLVREGRILSGLRSPHVLPCLGFLADAGGECQLFLEFAPGGSLADVVARSGGRLDERAIRAYAADVASGLAYLHGMSLVHGDVKGRNVVVGADDRAKIADFGCARTVGSSQPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSYMEDILSVVHRIGYTDAVPEVPGWLSVEAKDFLARCFARNPRERWTASQLLEHPFLASAGSSVKAGEAAPQWASPKSTLDAAFWESDTDDEEDDMSESPAERIRALACPCSALPDWDSDEGWIEVLNEPCEPCDMSVPKDAAEEKGCVLTEVFSGVDADCADPEFTVRLITVACIGQQEVFCLGFTNGPLVFPINKSELTKSLFRTNCLLVLASTHFLPLVYDYPICTNLTKLATAEPVCNIRLFQKHCSRPPQKKCKNLFRNDRQYIRSCLMGPC >OB01G36870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21858948:21860230:-1 gene:OB01G36870 transcript:OB01G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLRRVRTLGRGASGAVVWLASDDDSGELVAVKSACAGGAAXXXXXXXXXXXGEYQLFLEFAPGGSLADEVARNGGCLEEPAIRAYAADVASGLAYLHGISLVHGDVKARNVVIGSDGRARLTDFGCARAMDSFGPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDILAAVHRIGYTDAVPELPAWLSSEAKDFLDGCFKRHATDRSTAAQLLEHPFIAAAAALDRRPEPAKQERASPKSTLQEACWDSDTDDEDDEMPTGAAERIGALACAASALPDWDSDEGWIELHDEGSFSPVTPPAIDADYVWAEASDPEFEQFAVAADGGHHLPRNEAEAIESSIRQGSYLCVHLGHCKNKFLHPFDTNGTGSVRFNCVCNRNRVMKSISLKFRLVCDQPVGAFKFSLRLLY >OB01G36880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21864210:21865481:-1 gene:OB01G36880 transcript:OB01G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMQKQLRRLRTLGRGASGAVVWLASDDASGELLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAADVWALGCTVIEMATGRAPWSDMDDIFSAVHRIGYTDAVPEMPGCLSPEAKNFLSKCFTRNPRDRPTAAQLLEHPFLASASGDIDATAPKHGWVSPKSTLNADCWESDDDDDEGMSQSATKRIGALAITCSALPDWDSEDGWIDLQSDQTEASETPAPMVIAGADFGLWWETLDGEIDLHFVDVDDDDYVTRTVRARGFMEYDRPLGVRVSSDMLLSPVDCQRNDTVKFGCPCDENKVINFEFAQILS >OB01G36890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21871977:21873112:1 gene:OB01G36890 transcript:OB01G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAINTGFFIGAGLALPANFSPIYFPMGNAATGFFVIFAVIAGVVGAAAAIAGFYHVRAWSHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >OB01G36900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21883492:21884671:1 gene:OB01G36900 transcript:OB01G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHHAGSDDGGSNNNTVENGSNNSSRRDGASDGNHLPVRPNVPPVDVSEDSMESSEEMVTPRAAASEADEEERKAATSEVPVEVVEVGEEVMVDALPPESAGVQEQQGKAVGDEDALVVVQEPEVKREELVAKVHPVHEPEPKVEEVLVVEAAAASVPQVPEVKHDDVVVMETAALPVVQEPETKSGGVVAKDVVVSRSLGAADTTEVARGPAVAMASAGQRTTWWNCCGLCDVLSGSER >OB01G36910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21888897:21891033:1 gene:OB01G36910 transcript:OB01G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3L385] MDSLYDISCFAAGLAGNIFALALFLSPVTTFKRILKAKSTEKFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGTGTVFQLAYICLFIFYADSRKTRMKMIWLLVLVACGFALISHTSLTFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRTESVEFMPFYLSLSTFLMSSSFALYGFLLRDFFIYFPNGLGVILGAMQLALYAYYSRRWRGHDSSEPLLLA >OB01G36920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21894139:21895597:-1 gene:OB01G36920 transcript:OB01G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFPCSDDPAPSMKMESVLHMKEGLGETSYDRNSTLQKKSMDTVKSLVTESARDVYASLRPERFTLGDLGCSSGTNALGMVQEIVRSVVEACRGAPPPEFSVLLNDLPANDFNSIFSRLPEFTGKLKADAAAVAGDGPMVFLSGVPGSFYGRLFPSRSVHFVCSFSSLHWLSQIPPGLWDERDGPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVLVMLGREDERHADRNTALLWDLLSESFAALVSQGAVEQGKVDAYDAPFYAPSIGEIEEEVRREGSFTLGYARAYETHLNGSGDAGREGRTVSMAIRAVQESMLGHHFGTGIIDALFSKYTELVTAAMEREEVKSLQIGVVLVRQ >OB01G36930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21906256:21907888:1 gene:OB01G36930 transcript:OB01G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIEPVNLAVCAALLVPAVLCLAITRRRRSRLPPGPAGLPLVGSLPFIDPSLHTYFARLAEKHGPILSIRLGSKVDIVVSSAQLAREVLRDKDSVFANRVMPDAGDAIAFGGAQNIAGTPVGPTWRQLRRVCVHQMMSPAGLASVHGLRRREFRSTLRYLHTKSGESVDLGAQMFLNIMNVITGTMWGGTIGSESEGAVVGSEFRGLVAEVTELLGTPNVSDLFPVLKPFDLQGVRRKMEQVRSRFDLLLTKIIQQRMKSQQDGGDGTTTDFLECLLKMEKEGGDGTTPFTMDNVKGFLLEMVVGGTDTTSTTVEWAMAELLRSPQILNKVQRELDRIVGRDAVVEESHLPQLHYLRMVIKETLRLHPPVPLLLPHSPSAASTVGGYHVPKGCRVLVNVWAIQRNPLVWNEPLVFNPERFAGDGGHKGDFIGSQLDYFPFGSGRRICAGMAMAEKLTAYSVAMLLQAFNWKLPQGVQLDLSEKFGIVVQKATPLVAIPTPRLSKPDLY >OB01G36940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21906759:21912140:1 gene:OB01G36940 transcript:OB01G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMAAANLVYASLLVPTATVLYLAISRRRRSRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAEKHGPILSIRLGSKVDIVVNSAQLAREVLRDQDSVFANRVMPDAGDAVAFGGVRNIVGNPVGPMWRLLRRVCVQEMMSPAGLAGVHSLRRREFRSTLRYLHSKSGEPVDIGEQMFLNTMNVITGTMWGGTIGSESERAAVGSEFRGLVAEVTELLGTPNVSDLFPALKPFDLQGIRRKMERLRSRFDVLFTKIIQQRMKSQQDGGETTTDFLECLLKMEKEGGDGKTQFTMNNVKGFLLEMVVGGTDTTSNSVEWIMAELLHNPQAMDNVQQELDSVVGRDAVVEESHLPHLHYLRMVIKETLRLHPPAPLVGPPPPRPPRRCRCWCHTARAPPRRSAPTTSPRAAACSSTCGRSSGTHWSGTSR >OB01G36950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21916108:21918420:1 gene:OB01G36950 transcript:OB01G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 711, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT2G26170) TAIR;Acc:AT2G26170] METGELLAAAAEYAATLMAMAAGGLLVMMVAYRPSLWEPYWKVRHVPGPMTLPLIGHLHLVAGRGPDVFSELARKHGPVFRFHMGRQPLVVVADAELCKEAGVKKFKSIPNRSIPSPIANSPIHQKGLFFTRGSRWTAMRNMIISIYQPKHLASLIPTMESCIERAAKNVGGQEELTFSDLSLSLVTDVIGQAAFGTDFGLSKLPVSPDTNKGDKTAGADTGVEAKTSSEFIKMHMHATTSLKMDLSGSLSILAGMLVPFLQEPFRRVLGRIPGMGDYKIDRANRTLRAQMDRIVAEREAAMEHDLLAAPQQRKDFLSVVLTARASNKSSRELLTPDYISALTYEHLLAGSATTAFTLSTVLYLVAKHPEVEEKLLREIDGVGPSDRVPTAEDLQTKFPYLDQVVKESMRFYMVSPLVARETCEPVEIGGYTLPKGTWVWLAPGVLAKDPKNFPEPEVFRPERFDPNGEEEKGRHPYAFIPFGIGPRACIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLQFQFSIVCNFKYGVKLQVIKRHKA >OB01G36960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21921497:21923536:-1 gene:OB01G36960 transcript:OB01G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRASTMRNLGSFFLALLLLIVSPAGSLAAAAPPPPKGGAAAGAKQGGGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFADAEVQQDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLRVDRIINEPTAAAIAYGIDEKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRVMDHFIKLIKRKHGRDITGDSRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMVPVKKAMADAGLSKGDIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNRGINPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKKQVFTTYKDKQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGTPQIEVTFEVDPNGILSVQAADMATGKSEKITISGDDRKLSQEDIDRMVREAEEFAEEDRRHREQVDARNSLEAYVYNVKDSVEGKMADAMKGHEKEKVEEAVREAYEWLDGNPDAGKEEYEDKQRELEDVCNPIMSAVYRRAGGGATVGNVDDEDDQDEL >OB01G36970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21921749:21922225:1 gene:OB01G36970 transcript:OB01G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVHVRLETVPGVHLLPVPAILLGELLRLADHPVDILWLSFRSSPEMVIFSDLPVAMSAACTLRMPLGSTSKVTSICGVPLGAGAMPVVNTCFFLVGTTVLRGISLVITPGRLQAEGERRDVEEDHA >OB01G36980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21927459:21928945:-1 gene:OB01G36980 transcript:OB01G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKIPFMNVETILHMKEGLGETSYAQNSSLQRRGMDTLKSLITNSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSISRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGRPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPPGLFDEVNVPINKGKMYISSTSPLAVPMAYLRQFQRDFSLFLKSRAAEVFSGGRMVLAMLGRQADGYIDRRTTFLWELLSESFVSLVEQGLVEEDRVDAYNVPFYAPSIGEIEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPEIVDALFAKYTELVTASMEREEVKSLQIGVVLSRL >OB01G36990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21941810:21949268:-1 gene:OB01G36990 transcript:OB01G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSVDRHCACFEGVVHDEEKKEWKSEEDNSEGDKKAKAGSFKKRAISAGNRFRRSLRRKRKKRIGDHVASIEDIRDVKELEAVERFHQRLHDEGLLPERHDDYHVMLRFLKARKFDIDKAKHMWSEMLRWRREFGADNIEEFVYSELDDVLKYYPQFYHGVDKEGRPVYIELIGKVDPTKLVQVTTIDRYVKYHVKESEKCLQMRFPACSIAAKRHIDSCTTILDVQGVGLKNFSKDARELIMQLQKINNDNYPETLHRLYVINAGQGFKILWGTIKSFLDPETASKIHVLGNKYQNKLLEAIDESELPDFLGGKCRCEEHGGCIKSDKGPWKDPGIIKRVLNGEANYGRQILAISSVDGKKICYINPRHLTKKCSDASAESSSEMEDVSSPTASVNPIVNPHLTPVHESKLPGHASTSGAPTRVEDVPVIDKVVDTCIGRITSSMTFNSDSFSLRNVPMELGGLRNRITMWLTVLIVSLVAVLRSAPSRVTSRLSSQAIACENDTHSSVLRRLGELEEKLQEIEAKQSQMPSDREELLNGAIHRVDALEAELISTKKMLYDALMRQDELIAYIDQQKKIKFRKKRFCF >OB01G37000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21949715:21952669:-1 gene:OB01G37000 transcript:OB01G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAPATGALTSDAKISGQLLRRVQLRRRACGVQGDHYRAARRFFGFPSERHGRSGWVWPVCCSYGSSSDGDGDGAAAADFDASGEEFVNSSVMEAVELRSVSDGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYVVRLVRITEMVHDAYYSRLYLAKIGDEEETISFDLKPSDAINIAFRCKVPIQVNRRIAYNNGLKVVQPKPSESYVGSDQFQYTRLDRPDDQPCFEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFTFRAKNKNMI >OB01G37010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21968962:21973110:1 gene:OB01G37010 transcript:OB01G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYVIVFKDVPASPSGLLATVVTSFQLLYVLSPINVIVVQIDEIFTGPIKQLPGVLAVIPDVLHKLHTTRSWEFLGLEQNGTATAAWEDDAKYGQGAIIGNVDTGVWPESASFKDDGYAQVPLWGRYKCITGNDTTFKCNNKLIAARFFNLGYLASSLPQGGLPGQAAELYSPRDYIGHGTHTLSTAGGGFVPDASVFGHGRGTAKGGSPLARVAAYKACYSGGCSSVDILAAIVAAVGDGVNVLSLSLGGPASDYLSDPVAIGTFYAVQNGVTVVCSAGNSGPKPGSVTNVAPWILTVGASTMDRDFPAYVTFGGDTSSTTIKGQSLSDSTLPEGHRYEMINAKNANAANGSGNNSTLCLPGSLDPTKVSRKLVVCTRGVNARAEKGLVVKQAGGVGMVLCNDAGNGEDVIADLTNPVGYITTSGARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVLAAYSEAVSPTDLPLDDRRVPYNIESGTSMSCPHVSGIAGLIKTKNPSWTPAMIKSAIMTTAITVDNDGSKVRDETGAAATPFAYGSGHVNAVQALDPGLVYDTTAADYASFLCSLQRPTTQQSALPLPLLVNGKPLACSQGEQHGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCLYEVSVTEALAGVNVTVDPGVLSFEHVGEEKEFTVRLEVQDATAAANYVFGSIEWSEESESDPDRKHRVRSAIVAKTTCG >OB01G37020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21973119:21973295:1 gene:OB01G37020 transcript:OB01G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRYDSFRVRNGNGITLSCHAKVQQVGDLTREQRINFFTSYWLHCIQKAICLCLSGRPM >OB01G37030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21977441:21984709:1 gene:OB01G37030 transcript:OB01G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MAPHYQAATLIASPSYPNAIAWSSENLVAVASGHLITILNPAALEGPRELVVLRPSDPFPIGVVNREDIFEPCIVPTSLARETEPCARSISWSQQGFAPNSGCLLAVCTVDGHVKLYRSPYCEFCDEWVQVADISQLLFKYYKDIEFGEDDGPLSLPQGKGNTEQNQRFVCISELQDPIPRRGTERRKRKAARFVGYVYDDDDDKDSTDASEDADFLFDPISNLKKKSSKKATKPGHVYAVRNGQGNSQNIQTPLSCNGEHKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGYKSGSVSFWKIHKPEYYAIDIGMVTRDPMLIGVVQAHLSWVTAISWEFFASGSSKPLLLLATGCSDGSSKIWMGDIEGLNQCTSAKEVPLTLVAEVTTDSAPISSISLSASAQHDDSINLAIGRASGSLEAWSWNISGNKIEKIDTCDAHDQVVTGLSWGFHGHCLYSCSQDNSAHCWMFNKTHLEEIPMHTHSPELKESIDLTEVSDRCYGLALAPGELMLAVVRSLDPNLLNQMYQARTQKAVVEFIWIGGQFLGIPPDNSVIHSLQSAALSETNFLWWGSNIFWSLKRYENCERVLVLWDLIAALQGFKKSAPTFLETLMHKWVSDQGNDVMADLWNNLLVRSERELRKRLVAFTFSAVLNRAAYLLKGAHIENSWFPIGVAQMDSWASMNDGEVHNGLKFLRTRISDLGDRINLVCEYSVEEYCSYCTAPVPFESADAAMCSGSNPAAPPAEAHKMSRCVASMRLCSVLQPTWHCVCCGGTVDKLLPEIFFTMPTSFWDDAPHGNESIDLSTPAVPYCPFCGVLLQKMKPGFLLSVSPV >OB01G37040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21986200:21990350:1 gene:OB01G37040 transcript:OB01G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSTYYDVLGVSTDASASEIKKAYYLKAKLVHPDKNPGNPDAEQKFKELGEAYQVLSDPVRKESYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVETEEESDTTEARARIQDKIKELQTEREQKLIQSLKDRLQPYVDGKHDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSRMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGGDDKEEHFMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENTVPKDVLKVRAKGLKKLGTIFQVAKS >OB01G37050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21991183:21992031:1 gene:OB01G37050 transcript:OB01G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECTGTRTRASTSTGTRSRRSTRTGTPSRTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAPGAYGSSDGVSYGLAGAAEHPHESVVSGGAAYPHDGGVPPGEKAFAYEGMVSSAGGTAAQLPPTREEQHATLGETLRSSGAKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEERKAGDAGTGTHAAGKHEKKGIVEKIKEKLPGHH >OB01G37060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:21997621:21999225:-1 gene:OB01G37060 transcript:OB01G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACSAAAGVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISASVVAAAAALDPSPEDQKPAATADGFALKQQQVFDPFPVTDSFGGGFDSTGMAMYGHLGGKNAAGFVDYSSVLDVSENLGYGESSSNSSNWNCGVGAPEVNNALESEALHWATESKAEPFVGYGGEGDTMEHKFVLPCHGQQEQSMPHFDFDVGRGMVVGDFNLEYFR >OB01G37070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22018260:22019786:1 gene:OB01G37070 transcript:OB01G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSATPGTGRTGGGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMVVTTAVVATSPGERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSSAARGGALSDDPEMHGASDPLRNSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPDLPRDRDHDDQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >OB01G37080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22027911:22032137:1 gene:OB01G37080 transcript:OB01G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVANGSSGDSKAAFAEIYSRLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGISVIDSYKMLKGTDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLMKYNLTIHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHVLFENYGKSDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >OB01G37090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22034387:22039258:1 gene:OB01G37090 transcript:OB01G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLVWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >OB01G37100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22039733:22044212:-1 gene:OB01G37100 transcript:OB01G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLTALVLAAAVLAASTIAGVDARVVHPGPYHVVIRSAGEPGRRLIGIGNAADICKEVHYKTLCGTLTTLPGVMTPQQLLDAALRVAATKAGMAGERVNEVIKSSKGKDGGGMSSALGTCKTSYASLVEALQKARRTLNGGGGPGDLMTEKARRTLNGGGSHDNLMTDLSAASTFSTDCSDAFDDFPDLTLPIPGVQRHVTRLVTNCLDLAATIKQVGSIFKWSCPYDITLGISIGRQRQKTHADGGNTERSANGEVDKTFAKIDVAGRLSTAKNSLSTDYMSAHYSETIQNQIY >OB01G37110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22049115:22051019:1 gene:OB01G37110 transcript:OB01G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) TAIR;Acc:AT1G12940] MEAKPAAMEGEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSAYLLVRFFSGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKSGDMHKDSFGNVLRHALSNYRGWILALTYGYCFGVELTIDNVVHQYFYDRFDVNLQTAGFIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTVGGVLCVVLGIVDFSFGASVAAAGPLSDCLPSRYGMRGRLWGLWTVQTVGGVLCVVLGIVDFSFGASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPIMLIYFPQWGGMFVGPRKGATAEEYYSREWSEHEQEKGFNAASVRFAENSVREGGRSASASGGGQPRHTVPVDASPAVVDV >OB01G37120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22053818:22057506:1 gene:OB01G37120 transcript:OB01G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRTAAPSPPRCSVSSSPYLYSKAAWPLPIQRVNEGRMQHLDALKGHALRNYGNHDGRFHIKRITSFAAMDKQEPIASPTTDVLPVEETDNNSGDSPMSSSSSYFTERGIGKSGFISFQGGSYQMKSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVATMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >OB01G37130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22063005:22064714:-1 gene:OB01G37130 transcript:OB01G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEMGVRVWGREMKHRRHEEVGGEVAGDGVASLAAMDLYGDVLESVVERVPAADLACSERSVEPSKQRAESSSKHGRWTATPSRCPVARRTTRCRATCPRGCSPATRTRRTKRRCHRRSGCAGTPPGGTCTMRPTRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVEAEPLGRVILACSPVGLDELARDRLAACAVAN >OB01G37140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22071958:22076844:1 gene:OB01G37140 transcript:OB01G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel E [Source:Projected from Arabidopsis thaliana (AT4G35440) TAIR;Acc:AT4G35440] MAPPSVSHSPAARVLLASTPSPPHLSRRRAARALXXXXXXXXXXRTIRCGAMSEEDGDRGGEQVEAAWEEMAAPGRDLVTLSACLVGLITGVSVVLFNLSVHEIRDLFWDGIPLRGASWLREEPIGDIWQRVILVPVTGGIIVGMLNTLRSSIEFNSNDNMSKIKGAVRPCLKAVAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVLELEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPSSSVDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPLYDFRSPTELPLYLLLGIFCGLVSTTLSRCTALSMEIVESLQTTAGLPKAASPAIGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKVMKFTFTGPEALFHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTRDYRIVVPLLGAVGLSSWIASPQRFSRSNRGKLDSSEAKSSKFKQAKNVPSQTQQAATMDTDEPTTELCKLESSLCVYDAKLDNMLENLTVAEAMKTKYISVSTTTPVVEALNLMLVEKQQFVMIIQSNNSLVGLLTLNDIQDFCRTAKGTRAQIEEPMVSHVCGAVECKMLPVTSQTLLITVEKIMDSHGVDQLPVVSEHVNRQNRGLLVGFVDREGITIARRAAATKDFLSFTSDIRSEER >OB01G37150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22077981:22078226:-1 gene:OB01G37150 transcript:OB01G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding APRAGAAELSQSPCLIVLCFSSLSASCFVQERLEQIYRHAAMRMIKKDIILKSCFCYSVFLVHTFSQCAAMLDLLLSLVNL >OB01G37160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22082706:22083377:1 gene:OB01G37160 transcript:OB01G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSAVLRVLVAVAVVAPLVVVVVVADSPPLQHIGGAALRAAPAVDGFPCKPAASVVSDDFFSDAIVSAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTATNRLFSKVVREKELFVVPRGLQHFQLNVGAGNASFAAMFDSQSPGLVTPTFALFATQPAMPMEVLAKTFLMGEDEVDVIKSKFAGF >OB01G37170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22083721:22084413:-1 gene:OB01G37170 transcript:OB01G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGRGAAGATRDKAYEAKDRASETAQSAADRTRDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAVLQQAGEQVKSVAVGAKDAVMNTLGMSGDNNATAAGKDTSTYKPGKDYQ >OB01G37180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22091652:22094385:1 gene:OB01G37180 transcript:OB01G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSFVLTIILLILLCNASAGQTIVIKNDIPATPKLIKGHSRKILTDIQDYDYGGANSKHDPRRRPGIGGRSR >OB01G37190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22093742:22096018:-1 gene:OB01G37190 transcript:OB01G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MAMAMAASHAAPHHLGAGLLPTPRASGRTGRSGVTISMRAQRKQNSDSGSGKGSGGDGRVSGGRRVWRRRKLTKEDDMLRYKLERIPFLEETVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >OB01G37200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22096388:22098681:1 gene:OB01G37200 transcript:OB01G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGRLRVFVAQEPVVAASCLIAGFGLFLPAVVRPILDSFESAKQVPPTNSQ >OB01G37210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22104941:22106332:1 gene:OB01G37210 transcript:OB01G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSETDAGLFAAVLGHDAAHHLATTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVERSMARKRVLLRLHALYGGGDEEGADYALRLDRVTGAEMYFLASMYFSFPEGSGGPGRTLASGRHAWAEVDPHPSGSASAPGWYVRSSLAQSAGLRTIVFLPCKGGVLELGSVVAIRETPEILRAVQSALRAEPSPPEDFMRIFGKDLSPGAPMRPAQTMGCDAPWTPRLTSQTTPARPAKREVVKAKPANSPKSIDFSKANVQEQAGGEERRPRKRGRKPANGREEPLNHVRGGGAAAAGEAEPAVLRAARGGAQDLQDGQGVPAQRRHRVHPGAGGPAQWRRARARAAGRRGEGNAGRGGAAGEHAPGRAPDLEGVPRHERLPAQRRGVRRGGVGRRRHAHAHGAVGRAGASHGGDGARGDVAGRERHHPLPVTVQ >OB01G37220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22112452:22115168:-1 gene:OB01G37220 transcript:OB01G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional coactivator p15 (PC4) family protein (KELP) [Source:Projected from Arabidopsis thaliana (AT4G10920) TAIR;Acc:AT4G10920] MDEETQKKVESTVLEILRGSDMESLTEFKVRTAAADRLGIDLSVPDRKRFVRRVVEGYLEALSQEEDQKQKQEQEQGGGAGEEGKDKQADEEEEEEEEEEEEEEPRGGAKREYDDEGDLILCRLSTSRRVTLQEFKGKTLLSIREYYFKDGKERPSKGISLTVEQWEAFRDAVPAIEDAIKKLGESSD >OB01G37230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22118141:22124345:-1 gene:OB01G37230 transcript:OB01G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKGKLSGVLHKGFKPDKCKTALRMALARIKLLRNRKEVQVRQMRRDVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELVDVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLQKQNDNLLHGTTTYSGGNIPTRGSADSSSGMPTSQPAAPSYSYMESSNSHMPTAHSYSSAEVPDNRAPFVTANPNGSMPPSFASPNASMPPSLQHGATAYSSAQIPVPNSSSHVNAGRPDVSRPHSQYDTDTTSGRKEFNQSRERKSSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNFSAEQNTGAFESPSYIHETTPRKQQAEHFMEGQRSFNEQSSGAYDPRLTSSNARKDGERAETSRVGNQNMSTHSSGQYPDYSPLIHSDEYGMPTELHRTDSPGHQYSDDSSEKVSNFERPDDHQFNLPGERLFDAQLGGHNIKDTESKEPNFDQENTDDYYSNYSSSHVTFTHGSSTIWDSQNDKNGPDSSAFTFDKYDSDVGEENLLDHFSSKHTEESTVEDHKDSSAYVFDHYDSDVGEENLLDHFSSKHTEESTVEDHKDSSAYVFDHYDSDVGEENLLDHFSSKHTEESTVDDRKDPSASVFDQYDSDVGEENVLDHVSSKHTEESTVEDHKGFSNADWGQQHRNEYSVNHSTSTLFSRTEENPSYNLEANRKDVSSPHSRGSGFSESKEDIISGKVVHNVNVNIEDLESKSRNKYVNSSGSNTSAKERYGQSSLRSDYSWVDGELDHTKMRDLEEEERIPHKLEGASSEITGENKNQPFSLGRQTSASSADYDEGDLGLNFGKLTPGLRNKLRQPPPYRKDSEDNMLPKQLLHKVPAAIGKSVHSEENDTLSENTRNASTSMARSSLDENYNNELYDQYQSVGARVEARSTMRRHYFESEGDRGKLSEQSFNAPSSGTNKSSGGVNSSQDLYHEKSGVGARRVTKTTKTYFDSDDSEDELAQREKVQTKWSGEQIKSRRTREMASDTKRETGVQVGVQHADKTEAKSPTGSFTGFTEQRRESPVYSRAPVPRTSPKPVRVDPPLGKGKSQEAGPNTVSVTRKEESTQSPAEAPKESTPKTAPAHVHPKLPTDYDSFAAHFMSLRTKRR >OB01G37240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22126575:22126796:1 gene:OB01G37240 transcript:OB01G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRGGEPADTHVDRRKGCEAPPPSSCDRLLSRLGAGPLVDIESDKIKGVFRRWARAVVTRARQLNLGAWPE >OB01G37250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22127409:22131617:-1 gene:OB01G37250 transcript:OB01G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGPAAGAGAAVEDATGFEVGIVVPKLSRSAAAGCGEVEDCVARLVRELEDVGLLVERVRGVPAEFIKLSAPMGTLGRAASQMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERFACFRHLIYGIVNKSNSDILLKFDDKEFHWNQNESLLTRLEDEGVVKLVFPLHDEVKRKKLLRNWALNWLDFTWQPMDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSALIARWGIDYSFSEYKTLANELGPLSCSLADDDVQERKLGDVPDEKRILQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEIIETEVLRYVLTAMYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLYRDIMTLRQVLIKRLIVSQVLENLIENSIPYLKYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDVEGKWRIEPGLAAILIIEHALLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKLDTKWE >OB01G37260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22132703:22133563:-1 gene:OB01G37260 transcript:OB01G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAYHYQRRMVASSKTIKVGPWGGAAGSPWDDGAHRGVRRIILTYGRFLESMRVEYDDRSGRPVLGEKHGGGSGGDGRAGGSRTAEVKLDYPYEFLTGVKGRMGPVAHGSPVIRSLTFRTSKGAVHGPFGDPVPPGDGVPFEYPMERGVVVGFSGRSGWWHLDAIGLYVAALRAETLCDVVQERGAMAYRSVVYGNGGSGAQLQRRKPFEWCYK >OB01G37270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22138165:22140816:1 gene:OB01G37270 transcript:OB01G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMFRAAAVVAAAVSCLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPAPPPSSPPRCLASPGLLWPPTGPRRARRPAQPPSPPRWSLPSSARPWRSSSATCVTELVRLSQRARVPEAGSMNDSVLCLITRLVCLLLPPLIPTRT >OB01G37280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22141283:22145020:-1 gene:OB01G37280 transcript:OB01G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPSFHVFLAVAVALCLCRSSLSSAADVLDRTRRPEFAAWMAGVRATIHERPELAFEEIETSRLVRKELDAMGVGYRYPIAGTGIVATIGTGRSPFVALRADIDALPMQEEVEWEHKSKVSMKMHACGHDAHTVMLLGAARILQERRHELQGTVVLLFQPGEEVGTGARRMVADGAVDNVDAIFGFHVSVGLPTGVVGSRSGPLLAGCGFFEAVITGKGGHAARPHGSVDPVAAASAVVLALQSLVSREADPLDSQVVSVTRFRAGNAFNVIPDSVTVGGTFRCFSKEGFSRLKRRIEEVIVAQSAVHRCGAAVDFNARGTPLLPPTINSPALHAHFEAVATETLGAAHVHGALEPCMGSEDFATYSEAVPASHFYFVGIRNETEGLVHLAHSPHFLVDDGALPFGAALHASLAMRYLDERHRDVSQSHEVL >OB01G37290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22147783:22148525:-1 gene:OB01G37290 transcript:OB01G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3L3C3] MQVSGDTRWYQAVPYGSKWYHMMLVSLTGLLEVKGTTYTHTDKVKQDAHDMLVSENTITVYHNDYVTYHLDLDVNGTNNSFIKSTVTAIRDTGCDTPRRSYWTVRREVAEREANGEVDLGAVKI >OB01G37300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22152688:22156430:1 gene:OB01G37300 transcript:OB01G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLSRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHSTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIIAALVLAILLILYFKLTY >OB01G37310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22163392:22164552:-1 gene:OB01G37310 transcript:OB01G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGWAGGGQLHDDVYFPRSVGCGRFELDDAFLGACFGSQLQYCDDGGVGAGGGHVGDDGGCLQGASGFGAMGDPLGLLCSGDMFASVAEGAGTHDEGLLDAALAFSRKLGAPCDGISDGGAVSNGAMLSSYSGTTGGNISSGESNNYSGGCYDAEVVSPTSTMSPTTTSLTQPPLHHPKRKLYDDHPAIAAAAAAPPFAPRPNLGAAVKRKASTSATSITFVQPCHHHDPTAAGYEPDVEAMAQVKEMIYRAAAMRPVHLGTEAAADKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQKLETLGTSSSTAKLQHHYYGGGSSSSSHGMLAFAANNNTISGYANSNGNATKLL >OB01G37320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22165170:22165343:-1 gene:OB01G37320 transcript:OB01G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKNRYINFLPINYVLIVSRWFSLCFLAKQNDDILPLDGSFATCDLMHADFHTKKLLN >OB01G37330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22181846:22188086:1 gene:OB01G37330 transcript:OB01G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGPGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLKVPRTKGPSSSTSPSSQTPLLLPSNKVAEALPSADPEKNSQDGKIPNSMVMEALHEIGDPNGSDIEAICHYIEQRHEVQANFRRLLTAKLRRLIAAKKIEKIDRAYKITESYGAKSLLATKSPSPKKDSAKPLKASQKGSLAVKSTALDAAAVAAMKVADTEAKAHLANEHMMEAERVLKLAEETESLVTLATEIYERCSRGEVLTIMQVAQSKFEF >OB01G37340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22190731:22192044:1 gene:OB01G37340 transcript:OB01G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3C8] MAAPNNNDAAGGASASGTTSEPAQEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADHSLADGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVATKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >OB01G37350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22194770:22198312:1 gene:OB01G37350 transcript:OB01G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT5G11960) TAIR;Acc:AT5G11960] MWESVALTLAGAAGNNVGKVLQKKGTHILPPLSFKLKVIRAYAFNRLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGIGGEEQKVDKIPLFNIPWLVLSTVILFVLLNTWLHIYKRQRREQELTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMIALDEHLPTAPTGRFFLLLGWFFIITGVILLVSSARIIARLPRSVQKFLKSNVERTHSIRRPGSARGKDPIPTTTIHASTLHLLTSPSKEKA >OB01G37360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22201571:22207568:1 gene:OB01G37360 transcript:OB01G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRAVGHGAGPSGLAPPALQNDRQSGVDEGRTSGWSSMDRRRAPPPITSVGTLSKQKAPVGNDASFSKEPVISAANFLGRPSGSSRRPAVSSSRDVMPTDSSEPSRTRATDASPGAFRRTSGPQKSSPVNSAEPKHSSSGRHSSNVKNYESALKGIEGLNFDGDERVQY >OB01G37370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22209129:22211746:-1 gene:OB01G37370 transcript:OB01G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3L3D1] MGDNSAAAAAPASRFGRICVFCGSNAGNRAGYGDAALEPGRPLVSRGIELVYGGGSVGLMGLIAQTVLDGGCRVLGVIPKALMPLEISGASVGEVKIVSDMHERKAEMARQSNAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKSDCRQIIVSAPTAHELLTKMEQYTRSHQEVAPRTSWEMTELGYGKHQRNQSIIC >OB01G37380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22215461:22221001:1 gene:OB01G37380 transcript:OB01G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G58030) TAIR;Acc:AT5G58030] MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLQREARFG >OB01G37390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22222067:22224230:-1 gene:OB01G37390 transcript:OB01G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGQWIRTLVGLRPAAERARAGAGKGRRWSRLWRSSSSQRSTGNASASEVPSETSSSADALSSVVAAGVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMQALVRVQARARDRRARISADGLDAQDMLDERSSGRVDPVKEAEAGWCDSQGTADDVRSKIHMRHEGAIKRERALSYALSHQRCSNHGGRPSSPAVSLRHHGNGANRSNNSWSYLEGWMATKPWESRLMEQTNTENSTNSRCSESVEEINLAGPKLSDASSVKIRRNNVTTRVVAKPPSMISATSSDFVYDESSPSTSSVTPMSGTNTLATERRSDCGQVGGPNYMSMTKSAKARQGGYGSQKPPLQRQKSGDLLHHSRTAFSSIDVQSTAGSEVSVTSKRLNSLTLKSRVARSLDKENERQQSSLL >OB01G37400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22230333:22235157:1 gene:OB01G37400 transcript:OB01G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAAGVDLCALDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFSSLGVVKSLKKLRQHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGSGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQISSGLKRARSQPKYGGIKDVFKGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKVHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQYRDQFGAPQIRGTFQGLMVIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKNLLKIPPREKQMSGHGST >OB01G37410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22240906:22244333:1 gene:OB01G37410 transcript:OB01G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYISTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPSPADLYYNILDGAGQSIAAASLNAVENAAHGFTQSPPSSVHNSAAWAPSFSSHQPIFLPGHGCGGDLPYAAVDGEFIRLCRAAEATGRVNKQGHQRYFVPKFNAVIFTSLNKVYAFWL >OB01G37420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22247297:22247617:-1 gene:OB01G37420 transcript:OB01G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAATKPVALACAAVVLLVLAAAMTLAPAVEAGQNCICECVKLCMRTRIPSIEAQCVGKCRETACVHSCEEACERTGFPKLPAEGIGACELEPLTPDEEHMLH >OB01G37430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22252189:22257478:1 gene:OB01G37430 transcript:OB01G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT1G72880) TAIR;Acc:AT1G72880] MAASSGDDAPRPNPLPSALVSNLQSVLAARRPPPAADEPGAAAPPTXXXXXXXXXXXXXXXXXXXXXXGGIRSPGLAALVDALVAGGRCDVHVCAPESDKPSCGHSITIHETIAATSVDFTGAKAFEISGTPVDCVSLALSGRLFSFTAPALVISGINAGPNCGYEMFHSSAIAAAREALLYDVPSITISLNWKKDESKDTDFKDAALVCLPLIHAALEGIEKGTFLRGCLLNIGVPSSPTTNKGFKLTKQSVCCPAQSWQGVSASRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRTNAQRKIVEVESVAATGKREVREVVKKLFRAEFIEKEHECLDEDIDLRALENGFISVTPLNIHGHVEPETGVTASDWLSAAVAPDKAKEDSVVTAEEQDAPDVAEEKEAPSAT >OB01G37440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22258137:22263845:-1 gene:OB01G37440 transcript:OB01G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPPESITPSSNRDNDGTSVPRPPNNLPNHKSLPLKGPEVSPPSIFRPFHHGKAHGGPVAAPSKERHHHFILVNNTHGNTHIGPAVAPPKGRHHHSLPVNSSRVKGPAYSPNYPSTHRGGHVIPVAAPPKEHSTNLPPAYHRHHKGSFPVISPSPHKADNASAMKHGRSSLHHSPAPAPVDLPPSKGHARGNPAYAPHHPHKYQSPSNSPEPGLPPAHPPDSHAFKKPKTLAPAPQSLPPPPPSSYCMALTCQDPLTNSLPGTTCLCVLPIKVELRLGIALFTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPPGAKFDNETALLVFERFWHKQVNINHMHFGNYDVLYVNYQGLPPSPPSAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCFGAALMIYFKIRNHNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFCSSIVTYKGTAKTFTLIEMERATQRFDHSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELIPNGSVESHLHGSDKGTSPLDWDARLRIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAKGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDMDVEPVLSAELFNASSRYDTLDASGSFRRHSSSGPLRVGRTGQNRERGLSTGSSSEHCGTQRFRIDSE >OB01G37450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22276878:22283078:1 gene:OB01G37450 transcript:OB01G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQTDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLGAQESRPDLVPTESRPDLILKGHMDIADFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTETSPGASGSKGKTANDKDSPKVDPRGVFLGHDSTVEDVQFCPSSAQEFCSVGDDSCLILWDARTGTSPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGTPIHKFEGHKAAVLCVQWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNSPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKAHLASCAPRT >OB01G37460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22285989:22286705:1 gene:OB01G37460 transcript:OB01G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADASTAWPLGWSLLRGYFSPATLFLLLNVVIGTIALTSRSHRRHHDDDERHKAQRQHQHDHYHEPQRRYDDQYAPPPPLPAPLARTSSVMERLRSFGLYRFRSGDFPPEYNLSAAAAANAICDESEKQQAQYTRSRSEPAARPLPPVEHGRGGGHRAPPPRAPARPVQAAAKEEASTAGSPGLATASSVDARADDFINKFREQLQLQRLNSLLNYTEMLNRGADAKSSSVGDPCV >OB01G37470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22291848:22293812:-1 gene:OB01G37470 transcript:OB01G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyamine oxidase 5 [Source:Projected from Arabidopsis thaliana (AT4G29720) TAIR;Acc:AT4G29720] MVAKKPRVVVVGAGISGLAAAVRLCGAGGDRFEVAVVEAGDRVGGRILTSEFAGQRVEMGATWVQGIVGSPVYALARDAGALAAAAAEEGGLPYERMDGFPDRVLTVAEGGDVVDADRVAAPIEDLYRGMMEAARAGEAGGGGGVEEYLRRGLRAYQAARSAGGGKGVEEVEEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVEHLVAALPPGTVRFGLRLRRLEWGGAPVRLHFADGAPPLTADHVILTVSLGVLKASLGKDASGAGAAAVAFDPPLPPFKREAVTRLGFGVVNKLFMEVEAPAVPPPDFPFLHMAFRGQVPKIPWWMRGTESICPVHAGSSVALAWFAGRGGGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSVALAWFAGREAAHLESLPDDDVIRGVHATLHSFLPATPRWRVSRIRRSGWATDPLFLGSYSYVAVGSSGEDLDLMAEPLPRGPDAADEPPLRVLFAGEATHRTHYSTTHAAYLSGVREADRLLQHYRG >OB01G37480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22302176:22303543:-1 gene:OB01G37480 transcript:OB01G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42690) TAIR;Acc:AT2G42690] MDASQGVLLSSNVSRPWPELLGSANWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGTCRFSRATLFARTQFPAAGDLSVAANLYATSQATSFPGSMVYSLSREAWSKESNWIGYVAVSNDAAAAAAGQRVIYVVWRGTIRSLEWVDVLKPDLVDHDGILPEGHQARGRSRVMKGWYLIYTSTDERSPFSKYSARDQMLAAVRELVARYRDESLSIVCTGHSLGASLATLCAFDIVVNGVSKLRDGAHVPVAAVVFGSPQIGNPEFKKQFEQQPNLRALHVRNMPDLIPLYPSGLLGYANVGQVLEVDSKKSPYVKHDTSPGDYHNLQGILHTVAGWNGKNREFKLQVNRSVALVNKSSGFLKDSNLVPESWWVERNKGMVLGQNGEWQLEAPAEENLPVPPVVTGKIVDDDVAASPTATSGRTKEGKKMGKATKLLSGLIDQLLCV >OB01G37490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22305706:22305963:-1 gene:OB01G37490 transcript:OB01G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDEEEVVVEKGGSTSIRSDARERRRGSRKFRRPLSEAGKGRAIWLTVCQISGGQSIPAVHVAGTDGPDLRDNNDLSPRAPP >OB01G37500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22305841:22312279:1 gene:OB01G37500 transcript:OB01G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANSNADMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTSRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKNDNILESSVEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASR >OB01G37510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22308998:22309878:-1 gene:OB01G37510 transcript:OB01G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMTRTCFPHSYAKYSAVVRAILGVIIRSMVGSFARLRNKVTLSIEPFSSKSRLKNCAVSMFTPMAAKTIAKLSSTELYHKLYYHSSPNALPDQPGGKSEQRFHCVGDQQQKTEGSSDHG >OB01G37520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22315880:22321547:1 gene:OB01G37520 transcript:OB01G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASILRSERRTVLPYLRRLLHSSAAASTPSPSRXXXXXXXXXXXXXXXHSPFLRLPAARVTQLPTGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPTALALEVEIENMGARLNAYTSREQTTYFADVQGRDVPAALDVLSDILQYPCFPANALKRERGVILREMDEVQGMMDEVIFDHLHAAAFQGHPLGDTILGPVENIKSISKKDLEQYISTHYTCPRMVVSAAGAVNHDELVDQVREYFTELSTEPTTVDQLVEANPAIFTGSEVREEKPEMPLTHFAIAFKGSSWADPSSIPLMVIQSILGTWNRSVGVGNCSGSALARGISNGNLAESMIAFNTNYRDTGLFGIYATAKPNSLYDLSQLIMQEFRRLAFKVSETEVARARNQLKSALLFHIDGSTAVSENNGRQMLTYGRIMPFLELFARIDAVDCATIMETAKDFIIDKDIALAAVGPLTNLPELSWFRSQTC >OB01G37530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22323485:22325560:1 gene:OB01G37530 transcript:OB01G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRVLFLMLAASCCLADAAPISLDAVPLLAFKSACHADSATALVSWKEGSDPCSDRWRGISCQKSSSSSSPSPPRVRRVVLGGLRLSGDARAVAALAHLPMLSFLSLKNNSFSGSLSDVDFSPLAPHLKLLHLSDNGFSGRFPESVLRLRHLRRLDLSGNRLTGTIPPEIGQRLSSLLTLHLARNLFVGPLPASLEAMARLAKLNVSGNHLQGRIPKHLAAIFPASSFAGNPELCGAPLQRRCNGQHQMVYGGGGGVGVDTPHESKRGMRMGNDRWMVAMIMMAVGAAVASLVAAALCAALWLKKKRPPARPRASSRTSSMAREETVRFDGCCVEFDVCTLMRGAAEMLGKGATATTYRVAMGGDDVVMDDAGVVEEGKAGEVVVVKRMRRREGATREDERRKRDLAREMGTWRHANVVSLRAFYASADELLLVFDYVPNGSLHSLLHENRGPARIPLEWQTRLKLAQDAAQGLAYLHGVSGGKLSHRHLTSSNILVDAGGNARVSDFALLQLLVPAPADEAAQKQDVHAFGVVLLEILMGRSPEDGNVDLARWARTVVREEWTSEVFDAELLPSKGAENEMMALLQVALLCVADDPAARPRMEVVAKMIEDIRDRGSKRSRYSASPSQAGQSYESSPSVSEDTTRSTTASSS >OB01G37540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22335052:22341303:1 gene:OB01G37540 transcript:OB01G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:J3L3E8] MASECGFNTLDALIDATVPAAIRAPQMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPGVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVVVADAKDFDYSSGDVCGVLIQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTLAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDAYTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLAPEVSSSIPTSLVRKSPYLTHPIFNMYHTEHELLRYLYKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKQHLAPFLPSHPVIPTGGFPLPDKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTEASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADVNNNVLKSAPHPPQLLMGDTWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVEEAAAATA >OB01G37550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22343714:22345347:-1 gene:OB01G37550 transcript:OB01G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKYVKAHQSPLLTPNGGFGLFTYKDKRFYPNIIKNTSSNRHGMAKGEWLDLLKAPVLYKEVSSKKEKKGLLHAGEVGAADDGVERTAQRRTARRRRPTGGGWRGGGRGGGRRSLRVGRRVAGEVAVTEVTGDAGTREDGGRREGGRHRGGDPKP >OB01G37560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22344608:22347487:1 gene:OB01G37560 transcript:OB01G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKFSSMDVGAGSDDEDDSSSNSLQELWPVGTIDPKRARFPCCIVWTPLPIVSWLAPYIGHAGICQEDGTVLDFAGSNLVSMDNFAYGSIARYLQLDRKKCCFPVNLAAHVCERSYKHAEAGTAISWDDALQSGMRHFQHKFYNLFTCNCYSFVANCLNRLAYSGSVKWNVLTVAALVWLHGQWVDKMSVVRSFFPFLTVTCIGILMAGWPFLIGMAAFSTLLIGWFVFGVYCMKGLVC >OB01G37570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22348988:22351468:-1 gene:OB01G37570 transcript:OB01G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29590) TAIR;Acc:AT4G29590] MASGGAPSRSFVASARPRQGGSPGEQGIFRIPQILKNVEWPEEFPFKAEDFSRFDESSDALFYSVPRYVTHIDDQAIKALTKYYSEVLPPSNTPGVALLDMCSSWVSHYPPGYKQDKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGSFEPPEAVDISPNPGRTDPMYVVQSRKRVV >OB01G37580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22357784:22358384:-1 gene:OB01G37580 transcript:OB01G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase [Source:UniProtKB/TrEMBL;Acc:J3L3F2] MPCGHCRQFLQEIRGAGGIRILVTSDAEDGCAPEWRTVASLLPRPFGPHDLLAKNVPLVLPPPEPPRPPPAPPAAVANGFADGDLEARLREAAEAAARAAHAPYSGCPSGFAVADGEGRVYAGGCLESAAYNPTLGPVQAAIIGMVAAGGGPAGDVVAAALVEKEAALVAQEATARIFLAAVAPQASFHVYNYKPSDA >OB01G37590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22365741:22367065:1 gene:OB01G37590 transcript:OB01G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33870) TAIR;Acc:AT4G33870] MVRHDSASGLRFAAVSLILCTANVCTGAGLSSYALLLDDDEDDGGGGDGSSSFSFTPQMQPRGLVFGFYDETCPDAEAIVASTVRELYLADPNAAAAFVRLFFHDCFIHGCDASVLLDRINGEKSERDAAPNQSLRGFGAVEKVKARLEAACPKTVSCADILALAARDSLVLVGGPSYPVLTGRLDSARGFYDEVGARIPSPNATYAVTLDAFARRGFTERETVALLGAHSIGKVQCRFFKDRIYSFAGTGEPDDSIDADMVEEMRAVCGGDGDGAAPMEMGYYRQGREVGFGAHYYAKLLGGRGILRSDQQLTAGSTVRWVRVYASGAHGEEMFREDFSRAMVKLAALEPLSGSPGLVRVRCSKP >OB01G37600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22368304:22370739:1 gene:OB01G37600 transcript:OB01G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVRRIVDMRLIGILAGYEGGCWVRDDFWLLVTTPQRCTVQFAFVLVTKPPHSTPYTGLNGGSHRSTWTIPAYAPYPREVGSNNGNLRRPDPVIVTTTTMGAGCHRGSNNDGGRIRMRDHREAKSRRGGNDYDDGKPPGV >OB01G37610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22372191:22373722:1 gene:OB01G37610 transcript:OB01G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMGLAPMLSVAMLLGTLAAFPAAVHSIGVCYGVNGSNLPRASDVVQLYISKGIDSMRIYFPDADTLKALSGTGIGVIMDVAGEDLARLASSPSAAAGWVRDNVQAYPGVSFRYIAVGNEVQGSGTASILPAMRNVNSALTAAGLGSIKVSTSVRFDVIANSFPPSDGVFRDSYMTPIAGFLAATGAPLLANVYPYFAYKDDQQSGQNNIPLNYATFQPGTTVTDAGNGLTYTCLFDAMVDSIYAALEKARTPGVGVVVSESGWPSAGGAVGASARNAQAYNQGLINHVRGGTPRKPRALETYIFAMFNEDRKPGDEVEKHFGLFNPDKSPSYGISF >OB01G37620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22379280:22383288:1 gene:OB01G37620 transcript:OB01G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTSKRRSSSASAPSSSSGDGAAVSDRPRGVTRKRRSGGRCPRPAAALIPTAPRPHQAAGLRVILQKELRYSDVSQLGRIVLPKKEAEAYLPILTSKDGKKSLCMHDLLNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHDLQLGDSIVIYKDDENNRFVIGAKKAGDQQAATVPQVDEHMSTLFPIFPIAQVDDYLSPMAPQVDISAFVSHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNTNQSSNLHVTDEKSGHSLFPNPKSGPQM >OB01G37630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22391165:22394296:1 gene:OB01G37630 transcript:OB01G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDACAAVPRFADVPVRMLAGRRELDGLELDFDATSLRLPAHLFGVYDGHGGSEVANYCHDRIHVVLREILRSKMALTDLEEVDVQEQWEKAFGDCFQRVDEEVSGKASRPMLNNGVEELRFEPVAADNVGSTAVVAVVCSSHVITANCGDSRVVLCRGKEPIDLSIDHKPNRKDERARIEAAGGKVIDWNGYRVSGILAMSRSIGDRYLKPFLIPRPEITVVPRAKDDDCLILASDGLWDVMSNEEACRVARRQILMWYKNNDVPHPGEYGEPTMNPAAQAAADCLVRIALTKGSEDNITVIVVDLKQRKKPKAKS >OB01G37640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22398260:22419430:-1 gene:OB01G37640 transcript:OB01G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLNIVIGSHVWVEDKDSAWIDGEVFRIEGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDTSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLITRNAMDTVGITEHEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASSCSFVSTLFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKATCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQSQFRTYVAREQFLILRDSSVCLQSFVRARLACKLHECLRRQAAAIKIQKNIRCYFAWRTYSQLRMSATTLQTGLRTMAALKEFMFRKQNKATTHIQTQWRRHRDNSNYLKLKRAALTYQCAWRRKVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDMEKSKAAEVSKLQAALHEMEQRMEEVAAMQEKESAKKAVEEALEKERERISSLTSEIDGLKALLVAEKEENDLTKKAHANAQERNEELNKKVEDADGNIKQLSDTVQRLEETIQEREALLLAEKQEKEEASAVIAESQARSKELASKLEDAEKQIELLQETAQRFEEGMQNLQSSMTIEKQQHEETVAQLAEARAKIDELLREVGDTDEKSTQLETTVQRLEESLTEKDALLTTERLETEATKKLLGEAQDKNEELLKKIEDAEKSIAHYHDTTQRLEENVTAVENTLKSERQHNDAIMKQLADTRVEIGELQRNLEDADRRNNQLQDSFQRLVEDATTSEALLVAERQENEVTKKTLTEALDQIEEFVKEVECAKNTVYQLQDNIQRLEQSASAREADLLTERQEKETTCEALAEAQAKIEGLLEEISSANKKINLLQKSIERLEEGATTTDALYLAERQEHDQTKKAFFEAQEINQQLHRKVEQAEKNIEQLRENVERFEKDATARESLLVMTKQSHDDTVKELLEAHERNQELMNGVEDSNKKTILLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEVQDNVASIAGREDTIRRLEENVGAKESLLLTEREQNASALKLLAEAHLKIDELIRKLEDSDRKSDDLQNTIKRLEEDCIAKEALLSTEKQAHEATKMALTEALERNEEFLKKSHDDDKHILELQFTIQRLEENTATKESLLLREREQNDATAKAQVESQERNEQLLKKFVDVDRKIDLLQDTIERIGENSTTKDALLLSEREEKDAIKKELFEAAEKNEELLVRIEDTNKKIEHLQNTIIKLEEDIAAKDVSLEVAMEENDTIRKSLAEAQERNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDATKRALTEARERNEDLLKRNEDLLKRNDDLIRKIEESGKTINQLQETLQRLEGKSANLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQSEMKPSIGTSETIPTMGKAPDLNNEKYVEQGEKLQKVLNQKYQSQQPQDDQQSLLTCISQYLGFSGSKPIAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDIRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFQGSQTSNAGLAYLSGQQVSGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHTNGLGQQNQLGHWLGIVKVLTNYLDVLRANHVPSILVQKLFVQIFSLIDVQLFNRLLLRRECCSFSNGEYVKVGLTELKHWSDNATREFAGSAWDSLKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSCIPFSLDDITKTMPIIEVADDLLPYVHENPSFAFLLQRGNS >OB01G37650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22422989:22428154:-1 gene:OB01G37650 transcript:OB01G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFLVPPPGAADRAGGDAGDAALARDIVTLHKALSLDPNSSSSSSRRRRSLPLPLTAPSVADQARQKPRLKPSSSARRLLPSASSSSGAAASTSSSSSFWKKSLTAISHLGRRRLDCAFTLHVHSVDGLPAALDGSPISVHFRRIAKAAVKYEPRAFIVVASTSALELGKHEVDLTRLLPLSFDDLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCALVGAGAEQHKGGEVAGLRRGSMARQVSVQTPTPVPARSRDVRVLHEVLPSGRTVKALPFFGDAGLDSRKEEVSTVESEENESPQSKHCTSVEVKKADVAHPEGDCDGAEFSVVEQGVEIALEDPEQLKSVGTDNVADGKEDFRDGVGEKGEPKAMSVGVARPEEGSVGVKPEEGASDVAFDSEDAGEVSMVKAVSLSTAELDGDDQLDADLDDLGCLINDLSIVEPEQFDSPTVEDKHSRRLSYVGVTDSSNSASRMIRSRSMDASSDFVANEFLDMLGIEHSPFGATSGSDSESPRERLWKQFEKETLASGNGILGLDFEDEAEEPSNEDDMEETIETSCEAHDFDLSTIIREAELELQNAVQPIETRFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPLELPPLADGLGPFVQTKDGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEASPALESAERYDLLDDHSMDALAGGIGNTTFGKNKKGKCTDLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQSIGEFSSLQGKCSGNTLSLGLEGTAGLQLLDVKQSGGDVDGLMGLSITLDEWMRLDSGVVDEDDQFTDRTSKILAAHHAKSMELVAENWNTDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDRGNSEHDDEEPKGEQVLEKALVKEEKIEEEHDSIPQFKVTEVHVAGFKSEPEKTKPWGSQTQQQSGSRWLLAAGMSKGNKHPLMKSKAITKPSKEAAGQSGDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >OB01G37660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22438272:22438793:1 gene:OB01G37660 transcript:OB01G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVSTKASPVCDYERLADAPGEGAAESLCASAPCRKDSRCTSAFAFTIVAVNCGTAIYHSRRDPWSVAFVLAASVMLLSLLCLLRVFESLPPGSSSGRRIKAGVWAVSTALTVMFSHRVAALMPFPVAAVIWGLAGSTIVAGFCMLFVCRGEVETVAEEIPPKVSESDIA >OB01G37670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22447443:22447601:1 gene:OB01G37670 transcript:OB01G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQLGAWDWEYISALYVCIYTQQVICFLFRSSDCILFVPLSPSFLLTKLT >OB01G37680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22448109:22451255:-1 gene:OB01G37680 transcript:OB01G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHQLQAIVEKLYSESVQEQGKLLGIGNLLQDLHTHFRMVHGRICGINNSAILMINANDEQHHQLVQKLWSLTLDIDDMLNKVSCYLTKTRVLPIQVHRLFILKRLPFRRLIVRKIEQSIVALQECYAQTYRIRLPARHRDTSAPMACQRAHSIGPEGILGREKEVSDVLRMMQADHGEAGLSVIPITGMAGIGKTALAQLVFSHPWVIKTFGDDRIWVLVSSSFDAMMILSRIAQITRQHNTEDSKSLECLVKEKLSGRRFLIVLDDVWDQNLQKWKLLIEVLESAGKPGSKMIVTSRVPDAITMTNFLRPYTLKPLSPIESSKLLTKWMQNSAELPPRLVPIRKMIADACCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFYKDALLLDATFVSYQQVPSNIQQCFLYCSLFPIHSFTPEQLTEMFVADDLTKLSRSKSDLHMYFSKIMIEHYYIVVQKPRQRENAIYKMHPGIQLLAQRISRGFHSAIDARKELVWPAENAGNARCLSLLVDSKTSKLPTELFEMGNLRTLILLGDENMLLSDNKCSITDIPEEFCKCLTAMRVLHMQSCRIKRVPKAIDMLKKLTYLNLSHSEIETIPDSICNLQLLTHFNLARTEIAEMPESVGKMQTLQVLDLSHCEKLLSLPESISKLVNLQILNLEGCHYLTILPRSMKNLGSLAYLNVLECPLLTQMPCQMNQLTNLKILPRYIAVENHEHTISELCPLAGLKELGICNMENASYGDARNVILHNKHKLESLTLSWTRSCGDHTTSSKAQQILELLKPNCGLKVLCIFSYPAKKLPSWITNMRPYLKSLTEIKLVNLACECLPPLGQLPLLKIVELCGIDAVTCVGDEFYGDDGTFTSLEKLSFIHMHNLEVWLPSEREALFPNLQEITITQCPKFTAVHVKFPVVKSLILLMNNEKLIGSRGALEGFSQNLKYLSVSLYDGLSECSECEGLRELHIEELHISRCTELISLPHGMQHLSFLRTLTITACRNLETLPEWLKNITSLRFLCISDYPKLCIHKSLNNLSNIQISLE >OB01G37690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22447094:22451550:1 gene:OB01G37690 transcript:OB01G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSRFGGMTNAAYHGVKRSVQCTLKYEVPQYEVLAALHILNTLITRHIGKPTDISVNFGHWVIVIS >OB01G37700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22452253:22454991:1 gene:OB01G37700 transcript:OB01G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRNIEKDVFEPLVGRISEIGQRFFGTIGSSETGEKDSTVHGFSEKIKWEVQHLEELFEGIKEDKEEVYEDFKSVSLAISEWQRRLKTAYLNASRAPPPFEGMKWAVEHHEMWVEDNRIGANDEVLDFDEHELFESLRFLKTARGGSEAHLLESIKSGMQCIKNVLITIRSRKEADNSSWCVVEHVFSPLLKLLKTIDRLVSEAIARNNKSGNYKILVKIDDEVNCLQGALDLIDRNMDEVDENFRLIEDLILPLLTCLKAIYNDQSESLSFLDAVKHGVNNLEGVLDKIEQKQQDGNDNFHIVTAAFSPLLTCLRTFRRISLEALAHEDKSDALILLERIRDELSQLKDVLQMVQEKENGIYSNFDAIEEHIDDIYDGHMNVEGSLKLNHMGGLRDKLQLIHEEITNTRGNVNDSFKVQVAPRVMAASHEASSSHQSSASDTCITMESKHMLQLKVMIDGLETRLRQCLLCLAVFPEDAIIKKRLLIHWWIGESFVSSVSEGKNFFDELLLSNGFIKPVKKRHCDKVHRCKVQPWIRGLLIEAAKSKAFVELSSDGSSKNDFTRTRRACLHAGKILTNFHPNVLTIYNIKQQYVELDKAWFSEKNCLSTLQLGQWQDANYDPRAHHIEINSAKFLKQLNSCKQLKYLSLRGISRIEALPNSIGKLSKLVILDLKACHNLEDLPKEMIKLVNLEYLDVSDCYLLSGMPKGLGKLAQLEVLKGFVLSNTKSKDPCHLNELVMLKKLRKLSIRIGYSVDSGQFANFVEFCALQSLTLTWGAPSIPIHGSSPSHAAAHAMPCVLPLGLEKLELCCHPLVEFPRWVSPQKLTKLKRLYIRGGNISGLGDLKCWEVTVLRLRFLKHINYSWTALHDSFRKLDVLEVYECENLQHWPCEKGLWKKEPNGTIASVLT >OB01G37710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22463518:22465416:-1 gene:OB01G37710 transcript:OB01G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEGEHFRTAVAALADEGRARTTDRIAFRTRSDDEVLDDGYKWRKYGKKTVKNSPNPRNYYRCSTEGCTVKKRVERDRNDPRYVVTMYEGRHSHVCPGTVYYAAQDAASGRFFVAGMHHPDLN >OB01G37720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22471637:22476062:-1 gene:OB01G37720 transcript:OB01G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIFFRHHPAMFKSKGRTAPRSHVKSSTAASPPLLFLILFLRSPKLLVRLARRRRRRRQGPEEIDRDRRLPPSSMSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNANRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVADPVPEAEQRSGCAC >OB01G37730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22477560:22480006:-1 gene:OB01G37730 transcript:OB01G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G20220) TAIR;Acc:AT5G20220] MVNWVELRNTAPVAEKGKLRSWVGPNGQYYRELPCLSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCVQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYASPSREVAMKISRSLRSLNATTGLFTKHMKIIHQDPKLHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTVRQNSGRVNFRCRLCGGKGHNSRTCGKPKSENEHQRQPRHCSQCGERGHNRRNCPRSTTIDVGASGYIVKQVNDRNSVMYSCSLCSAKGHNRRTCPKRKANIGQKKE >OB01G37740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22492605:22501814:1 gene:OB01G37740 transcript:OB01G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G69830) TAIR;Acc:AT1G69830] MSGSRVQGVIRPTAIVQKVEGKAWVRVDAEPDGEGKCKVVIGCDVEGKWVLHWGVSYDGEHGREWDQPPSEMRPPGSVPIKDYAIETPLNISHDSEGRMIHDVQIKIDKGTPIGAINFVLKEEETGAWFQHKGRDFRIPLIESFGEDLLGTEQDIDVRPGALGHLSNLLQKPEGPVPDDKGSRAKGISGCYEEYPIMKTVYAQNFVTVTVRENNGTNKHTVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPTTKIFRQKALQTLLQQKADGTGNSISFLLDAEYSGLIFVVKLDEYTWLRNMENGFDFYIPLTRADTHEPHSAEKHKADDMASQPDGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYLDDSEIVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGSKAKELSSMGFTIIWSPPPTDSVSPEGYMPRDLYNLNSRYGTMEELREVVKTFHEAGMKVLGDAVLNHRCAQFQNKNGVWNIFGGRLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPFGMELQGYVYILTHPGTPAVFYDHIFSHLHPEIAKLISIRRRQKIHCRSKIKILKAEGNLYAAEIDERVTMKIGGGHFEPSGPINWVVAAEGQDYKVWEVPL >OB01G37750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22502265:22505697:-1 gene:OB01G37750 transcript:OB01G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEEFEVVGLYSVTGIPPEGNAPGLDSEVSKKDTPTVAATGPGLFSEIDRKAKDLLYKDFSTGQKFSLTTCSKNGLAITTASTRKHKAIFSKIQTQLKNNNMFTTITTERLPIPGLKKIISFPIPYQQTAGKVELQYLDDYAGISLGVGLNSKPLVNLSCVFGNKTVAVGADVAFDSSTEDFTEYNAGLKFTTPDLAAALMLINKGESLAASYYQLVNEESGSAVGGELTHSFSRKKNSFAIGALHALDPLTTVKIRYSSRGMIGALIKHEWRPKTSFTLSTQVDTNAINKAPEVGLAVALKP >OB01G37760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22507363:22510404:-1 gene:OB01G37760 transcript:OB01G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15100) TAIR;Acc:AT5G15100] MYPAWAVWTIPKTLKLKPAWKSLNKTWIMSVVYIRQLQCAQNFPDPSWAGDLECSSGSSINMVSWIDIYLVLEATLPLYVAMILAYISIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISATNPYDMNIKLIYSDILQKSLALLGFAAISKACCAEKFDWLITGFSLSTLPNTLIVGIPLLRGMYGEQAVKLLSQIVVLQSLIWYTLLVFVFELRAAKGMASTTSSETTESTSRGPTQQRFEEVQAKGISARCSCAFRFMLVVGKKLVMNPNIYACLIGIIWALISFRWHIELPLIVINSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKKMLLSLAIRFFLGPALMGISSYATGMRGALLKIAIVQAALPQGIVPFVFAKEYNVHADILSTAIIVGMMVAVPVALAYYFAMIIADSTL >OB01G37770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22515300:22517399:1 gene:OB01G37770 transcript:OB01G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40405) TAIR;Acc:AT5G40405] MTGPGPPWPGLAFGRPRQPTRGAAPFARSRRPPRARARGALTNRNVAQTECGRSRGASIRCSASRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAVRAPGLDANLIVRSVHASALRRGHAGDPHVQSGVVSMYSAAGDVGAARAAFAEIAFPDAVCITAMVGALATCGKVDAAREMFDGMPLRDHVAWNAMIAGYVHAGRSREALRLFNEMRNAGAAVGEVTLVSVLTACAQLGALERGRWVHSYVHSCGMRVSVTLGTALVDMYSKCGAVAAAMEVFDSMDRKNVYTWTSAVSGLAMNGMGRDCLELFKRMESTGVDPNGVTFVAVLRGCSMAGLVDEGRSCFDAMKSNHGIDPWLEHYGCMVDLYGRAGRLDDAMNFINNMPLEPHEGVWGALLNASRIHKNVELGKYAMDKLMAIESKNDAAHVLLSNIYAESHNWKGVSNVRDVMKAKGVKKVPGCSAIEVGGKVHEFFVGSKTHPRYREIEIMLAEMTQRLRLHGYIANTKEVLFDIEEEEKEDAISLHSEKLALAFGLVALPENMEIRIVKNLRVCKDCHDYTKLISKVFNREVVMRDRNRFHHFKDGACSCRDYW >OB01G37780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22517127:22519790:-1 gene:OB01G37780 transcript:OB01G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADKAAAPALAPAAAAEASDDAIQEESTSPAPNGKPGSEAPAGPEVEVKLFRRGRGPVAEFRSPLGGYTQDQLEVGDILERHGLKSVFVFDPVSRTRGVAIRFHPRNGRSLLPYVSGSTIFLDGEPKDSLLKPVTKVMIGVAAMTAVAAVLLKEAKMPEWLKGSKLGNLNFPPWVLACMVIVFMRLRKRTKDAMKKFGWSS >OB01G37790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22520245:22522077:-1 gene:OB01G37790 transcript:OB01G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSRLPHRHLLQHLAGLLKTRSLYDLLCDASTSRAAHHLFDAVPRPTPALCATLISALSRRSSHRELLDAFSSLHCRGSIVPPGCVPPVVKSCTLLAASHQGRQVHCHAVVRGLLGDIFVQTALVDFYAKNGDMDCAVKVFEEMPVKDPIPMNCLITGYSKSGDVDTARRLFDGMVRRTSASWNSMIACYAHGGEYQEALRLFHRMLSEGARPNAITIATMFSICAKTRDLETGKWTRSLIAEEDLQNMIVHTALMEMYVKCRAIDEARREFDRMQQRDVVAWSTMIAGYAQNRRPHESLELFERMKSTGCRPNEVTLVGILSACAQLGSDELGGQIGSYVESQSLPLTSYLGSALIDMYTKCGNVGRARHVFNQMEHKCVITWNSMIRGLALNGLAQDAITLYKQLIRDDHDVRPNEITFVALLTACTHAGLVDQGMAFFEEMKTVYHVSPQVEHCACIVDLLCKSGRPREAHEFICGMEVRPNAVIWSTLLGACRTHADVELAKLAASNLLVLEPDNSSIYVLLSNIYADAGLWGDAREIRRLMWSKNVQKLSAYSWIELDGEVHRFLVQDTYHPESAEIYAVADGMGLHLDHVDSDPDLFVSEHY >OB01G37800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22522837:22527523:-1 gene:OB01G37800 transcript:OB01G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSSKSNSTKAKDLAKDANNKPALSENPTVISEPVVVNSHNDETASTIGNAENCKLPKGGAVEAMGQDVENQNIVRSKTPSRPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKLQALVRGRNVRLSSPSMQIVVKSGQHKFLSDKPSDAWKERVSSNAYVQKLLSSSIVLGALHLHYDERDPNSLYNWLERWTISRIWKSASQPKKVADGKSQVRKASYAMETESAKLKRNVRKSSAVTVDSFQTNMTVEPEKLKRNSRKFSSSTSDSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRGDASKLSSSMADASKVSISMADASKVSNSMADASKVSNSIAQIPPNLMNGISDHQDNQCDEAQQFACAVPPDTQELQSGNMLEDNSHMNLLEPDLISNPETPFASILTWEKFNDTTADAQEVEVLPLQNIDNEDNFLGKKEQSRSKEEHLPNGSLKTSKRRSSFSTKSDYPENGVQNTPVPRRKPSYMAATESAKAKLRGQNSPGLDSDSLADMIGFTRRQSLPSATNNRAIKAEWRR >OB01G37810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22532014:22534452:-1 gene:OB01G37810 transcript:OB01G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLFLALQCVQCSTMQVKPQKKSSNKWVCVVCNQRQSVMRVHARGCRAADLRRFVQDANLSRGRAAHVPLPVPGEDWTPAVSGEQQDDFPRGRKRRMDWSEYLDDPGECDGGGGGDEGTEDGEVRIQVTTELPEKRPKVTSLKRAPKAQLGLAVKRPKTPPVDAYLPKRQLIEESSPPCSAATTVEAQRSKWSNYLDTSFFEERSGFQDSGQHCAELECFTTDVVVNDEVHPDFM >OB01G37820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22535778:22538987:1 gene:OB01G37820 transcript:OB01G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:violaxanthin de-epoxidase-related [Source:Projected from Arabidopsis thaliana (AT2G21860) TAIR;Acc:AT2G21860] MAATAISPPPPSRGRRDSSLSTHPPPPPETASVPGPSRTIARRFSGGVHSFRAPCAAVGERGGGAAETITEEGTVRIVSIVGDGSISPLKDTPWEEVMRHTANRMKWVDEGLEMLVFTDKSIGHGDLRKELAQCDMLVNVALTSQESVQWLLNNSENIPNIICFQSSPTLENKLGGRYVQYSGHQDMFGKLINIGKTAGMKESAEVLKTVSNAWERHNSDDIRFCLLIVVNAYIRPIDMLKNLRAKGLSTLSCMIRNCGPQILNCLFDPNCRKAIQCLNSCSPTDQVCNYRCIASYESPYLEAFSLCVLQKNNCLELNAEIPSKPSVTPLTMFREQKLSHELAEDLFVGWLDSLEWSWIVVAGQNPAYDQFPCQFQLFYRGKAKGSFWYEPIFKVRTLEGKMVWRRRKYRVRRASIPGTFYFSVLDNGVVSKEFWTIVDVSDDFTWGLFHYHGAAQAAGLAYTGAVLVSPDGSCPDLDNPRLASALDKCGIKKWELYMVDNCSCTGAPLGTPEDAKLHYQIAPGRQSGILQTG >OB01G37830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22541487:22542725:1 gene:OB01G37830 transcript:OB01G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYERPSETYAKKRPRYPDAWFARLAALTAGHHRAWDAGCGTGQASISIAEHYDSVVATDVSEGQIRHAVPHPKVRYLHTPVDLSEDDLVALVGGEGSLDLVVVATSIHWFDIPLFYAVVNRVLKKPGGVLAVWGYNYEIHPFEDKLHGQMYPAMRPFMDPRTRLAMDRYRDLPFPFEPVGVGREGEPADADIEVDMTLDDLVGFLKTGSVVTTAREKGVDLEAVIKDVMKRVEADWGDPTVARRLVFKAYMLAGKPKR >OB01G37840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22550413:22556794:1 gene:OB01G37840 transcript:OB01G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGDATGDDTDSEFEYEEMCGWERQLYDEERRLRGLGAETIDSQMESVPCRLNRRRKSETLRAQYIDIKELRVCVGTWNVAGRLPPDDLDIQKWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVTMWEHIVRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSEVGGEVHPWNEPVFFVDDDSIDSSKYEHSSAPTETTINGDKFSRAPSMKIFDRSQNLSFKDYISSLEEPVHQKMLTKTLSHSERLGMIWPEQPLDILAQHLPDSTKPFIPEKALRACMSFKSVNDSSVFPEDSLVRDLNIKSALVKRKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSVPKTIYDHERIIWLGDLNYRINLSYEKTHEFITMKDWNGLFQNDQLKRELKKGHLFDGWTEGVITFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRIISFGKGMRLLAYRTVDIRLSDHRPVTAVYTTDVEVFCPKKLQRALTFTDAEVEDQFSFEEEGTSGIFSF >OB01G37850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22560604:22560891:1 gene:OB01G37850 transcript:OB01G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIPASSGEVRGWGRFRGVVRRLLHAQVRPRHPEVAARRAADVGRTEEQRVAATPVGSGGDLALESEGKEAHEHGEGDCYLDLGGWRWQRRIS >OB01G37860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22563937:22568483:-1 gene:OB01G37860 transcript:OB01G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENHSQGQRAAEAAQPRIPKEARRLLHEIAASWADVTDCRALQVIPLKGAMTNEVYQVRWLNGADGEAKPARERDVRKVLVRIYGDGVELFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAPDLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLKNWLKTARNLCSSDESKEFRLGSLENEIAALEKEFSGDYYGIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYSKYPDTGEQKRFVKTYLSNSVGDEPDAEEVENLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKQALLTS >OB01G37870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22582583:22587936:1 gene:OB01G37870 transcript:OB01G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRGSAGLGLQVLICSSLLLNAVLFAHHFLGVSPPPAERLGVGVGDGLSWALQAAREAEAVAAVDCSGHGSVFLDGVTGEDGKPGCECNTCFSGPDCSVRTPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRLSYTTTDLFQSVELVRHIRQLHRAVGNAVVDDKHVVLATGSMQLINALVYALSPEGNAASPPASVVATVPYYPAYKSQTVMFDGREYRWDGTTAAWANNGSRNPTRDFIEFVTSPNNPDTSLCKPVLAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTTSKLSGHAGSRFGWALVRHENVAKRANDYMQQSTMGASRDTQLRILKILKVILANLRSKEDIFAFGYAVMRSRWRRLNAVVSRSTRISLQKMRPQYCTYFKRTKEPSPAYAWVKCEWEEDVDCSQTLLAAGIISRSGISNEAGGRYTRVSLLKTDDDFDVLLERITEFVDAEKRSRAPAGSSSM >OB01G37880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22592463:22597305:1 gene:OB01G37880 transcript:OB01G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRHGSQSLGSAWLGLHVLLCSSLLLNAIFFAHHFLRASPPPTPERLGDGGGLSWALQAAREAEAVAAADCSGHGGVYRIALRHLTHRHMRGPDGSVLLQWFPFNLCSGNPLFLEPYWRRHAAASAVVFSGWHRLSYITTDGAFHSVELVRHIRRLHTAVGNAVVDDKHVVFGVGSTQLINALVYALSPEGNAASPPASVVATVPYYPAYKSQTDMFDGREYRWDGTTAAWAKNGSRNSTRDFIEFVTSPNNPDTTLREPVLAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTASKLSGHAGSRFGHAASNKACHCKWALVRDENVAKRAISYSRQSTYGVSRDTQLRMLKILKVIMANLQGKDDIFAFGYDVMVSRWRTLNAVVSRSTRITLQKIRPQYCPYFKKTKEPSPAYAWVKCEWEQDVDCYQTLLGAGIISRSGISNDAGSRYTRVSLLKTQDETSTCSWRGSPNSSTPRSTAEPLQAPAPCETVNC >OB01G37890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22596680:22596997:-1 gene:OB01G37890 transcript:OB01G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMSCRDSVFVTWGWLFGLLEVRAVLGSYLLQRDPGGPRHDGVERAPPAHHHVVAEGEDVILALQVGHYHLEDLQHAKLGVPGHAIGALPGVGNRPLRHVLVPY >OB01G37900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22598256:22598543:-1 gene:OB01G37900 transcript:OB01G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWRGGGGALPLDEPQDAVEQPTPPPRAKAKKSRCAACGRSVGLMGFECRCGGVFCGAHRYSDRHDCGYDYRGAGRDAIARANPVVRADKVDKL >OB01G37910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22611920:22612993:1 gene:OB01G37910 transcript:OB01G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSENGRGMTSELQENVNMWKKLWRINAPGKMLITLWRIVHDCLPSGFQLRRRHIPALEGCCFCERDDRIEHIFLLCPFAECVWDSIKSHFDLKLCRSELRSMKQWAFDFLGRSSNIQKTVMAVTLWHIWEARNQARNNTTPTSPRQVTLKILAYVDMIEQHCTRSAFAARSDPTQPAPRWSPPSEGTILINTDAAVFRAAGFFGLGFLLRDHNGVCLLAVNERQTGCTSPETAEAMAIRCDLRTALDEGHQKIMLASDCLSIIQRIQSVGCDRSTNGALTSDIKVLATGFWDCSFMYVNRSTNAAAHLLAQCSEQTSCNVYRDVIPEPIREVLLSDLSKSCGIISKKKKVCFHK >OB01G37920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22622150:22622362:1 gene:OB01G37920 transcript:OB01G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHSSCCIAMGKQTGSRRKLRKKKEEKIYSYRYTTTSTNQATAGKFLSCTHQKRTLSLCVESSKWHPSE >OB01G37930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22622565:22625909:-1 gene:OB01G37930 transcript:OB01G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWVAIAALFVVVARGAAAADDAQLLEEFRQAVPNQAALKGWSGGDGACRFPGAGCRNGRLTSLSLAGVPLNAEFRAVAATLLQLASVETLSLRGANVSGALSVAAGARCGSRLQALDLSGNAALRGSVADVAAPAGPCRGPPTGSAVGAAKVGGGGGPGFAGLDSLDLSNNKITEESDLRWMVDAGVGAVRWLDLALNRISSLPEFTNCSGLQYLDLSGNLIVGEVPGGVLSDCRGLKVLNLSFNHLAGEFPADIASLTSLNALNLSNNNFSGELPSEVFAKLQLLTALSLSFNHFNGSIPDSVAGLPELQQLDLSSNTFSGTIPSSLCQDPNSKLHLLYLQNNYLAGGIPDAISNCTSLVSLDLSLNYINGSIPASLGDLVNLQDLILWQNELEGAIPASLSRIQGLEHLILDYNGLSGSIPPELEKCTKLNWISLASNRLSGPIPSWFGRLSYLSILKLSNNSFSGPIPPELGDCQSLVWLDLNSNLLNGSIPKELAKQSGKMNVGLVVGRPYVYLRNDELSSECRGKGSLLEFTSIRPDDLGRMPSKKLCNFTRMYVGSTEYTFNKNGSMIFLDLSYNQLDSEIPSELGDMFYLMIMNLGHNLLSGIIPPALAGAKKLAVLDLSYNQLEGPIPNSFSTLSLSEINLSNNRLNGTIPELGSLATFPKSQYENNTGLCGFPLPQCDHTFPKSSDDHQSHRRQASMASSIAMGLLFALFCVIVVIIAIGSKRRRQKNEEASTSRDIYIDSRSHSATINSDWRHNLSGTNALSINLAAFEKPLQNLTLADLVEATNGFHIACQIGSGGFGDVYKAQLKDGKIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKAGEERLLVYDYMKFGSLEDVLHDRKKIGKKLNWEARRKIAVGAARGLAFLHHSCIPHIIHRDMKSSNVLIDEHLEARVSDFGMARLMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKQHTKLKITDVFDPELLKEDPPIELELLEHLKIACACLDDRPSRRPTMLKVMAMFKEIQAGATVDSKTSSVATGSIDEGGYGVLDMPLREAKEEKD >OB01G37940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22636218:22636622:1 gene:OB01G37940 transcript:OB01G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNIFNSSLLQCVERNRDHALPPPAQDGLARRRTPPPSDKMLPPPPSAGSRLCPARHLLPAQAAATVARHRKPPPPGAGRHPHLDLCESTSSPKQTPLTSTASPASGPRLHCAARAPLTKQTQAPSPPYTSLP >OB01G37950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22636802:22639040:1 gene:OB01G37950 transcript:OB01G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHGRSSAMEWWEPAGGEVGYDPYFIKKLVIDYYNKKGIVANLHAKKPPKEVSAKVQKALS >OB01G37960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22642648:22646094:1 gene:OB01G37960 transcript:OB01G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSGATRAAILPVTNAGMAAGSEILRLPSGEASPSLGRFVVNPHSCGYWWWQTLLIVLVLYTAWASPFELAMEKSDCGALAITELVVDAFFAVDIAASFFVAYRDTSTGTLVTDRRKIATRHLKRPGFAMDVASTIPLQMIYWIASGKRQTQYGFLNLLRLWRLRRVSKLFARLEKDIRFNYLWTRLVKLLGVTVFSLHFAACIYLSMAFHYRDKELTWIGSQIHGFEDRSVWFNYTCAVYWAMTTLATVGYGDLHAANPGEMLFSIAFMLFNIGLTSYIIGNITILVVHGTTNTFKMRDMVQRASVFGRMNGLPRATREQMMESVQLRFRMEERLEQEMLSELPKAVRSGIALHMFRGAVESCYLFQGASSKLVVQLVADMKAEFFPPKADIILENEAPTDCYIILSGEVEALTTLEDGREKHVMTIGSRGMAGEIGVMFNTPQPFTIRSRTLAQVVRISHSHMVQTVRPNTADGVIVFTNFVQYLESLKVKANEAAFVRDHLRNGCTTVLGGATMLNADESKGNAYRMLQCKEPKRVIIHEHLPDGSGTAPNGSPGKLVLLPDSFQELMKLSERKFGKAARGILTVDGAEVDDIEVLRDGDHLFYSW >OB01G37970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22647389:22647541:-1 gene:OB01G37970 transcript:OB01G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRFLDLRLVRPAYATTPSAACCAGLIYISYNKKNSVTHLIVVFCLSGN >OB01G37980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22648965:22649450:-1 gene:OB01G37980 transcript:OB01G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLMSPPSLPLQPPVVTRRRDTQPVPRQSWGLDAAGYVLGGCDDAGTRDSGSDAELGEGQTIEAVAGKGNEFEMLAESIRKLGDAYERVESSKRQHMAEVERMRKDLQRDLEVRRREILEKAQAEIARLSEEDGDEGELEEGKGKGDDNKMTGDGGGGKE >OB01G37990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22664884:22665788:1 gene:OB01G37990 transcript:OB01G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDQGLAQKSTAPTGFFRVPGLFVRLSSKGLNAVDPDSAWSPTSPLDFKNLSSSTGSTNLKSSGLLGVEADLKLRTSPPRVGLGLVDALTADESSSCFGVTNSFLESIRPFLELGLPKAASDAAMPKTSSAGVTPNEIADFAQSEEYTCVIEHGPNPRTTHILGGDTLELCKGVPSASKKPIFTIEPIGERPATPAGAVPRSCSYCRKRLQEDRDIYMYLGERAFCSNECRRDYIEEEIEEVEELMMLDSAGSSALVSW >OB01G38000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22668149:22671986:-1 gene:OB01G38000 transcript:OB01G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHY-type/CTCHY-type/RING-type Zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G25560) TAIR;Acc:AT5G25560] MGAMDLGFESTAVQHGQAKLNVEEHDLVSLLADEKCTAEKIDDEYHDDYQKLEKGIMQYGCTHYQRRCRIRAPCCNEIFDCRHCHNEAKNSIKIDAVKRHELPRHEVKQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCDGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFNSTNDVSVLPCGHTIHVKCLQEMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGAISEVQFHLIAHKCQKCKSYNTRQI >OB01G38010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22699850:22704176:1 gene:OB01G38010 transcript:OB01G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAAIIILQQLKGLLGMKHFTTKTDVISVLHSIYHYRHEWKWQSAVLGICFLLFLLSSQHLRKKLPKLFWVSAVAPFMVVVIGGVFAFLVKGDEHGIPIVGNLKKGLNPLSISQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVTGLGASVGLSVVRALLYVARPTTCKLGSIAGSESFRDVKQYPQAKSVPGILVLQLGSPIYFINAGYLRERILRWVEDEENLCKGVGHDLQYVILDLGGVTSVDNSGIGMLSEVHKSLERRGITIALTNPRLEVTEKLMPSGFIRDILGEEWVFLTIKDAITACRYALQTFRRKGEDEV >OB01G38020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22709258:22711822:1 gene:OB01G38020 transcript:OB01G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGLDKVLDCFSLSLCTNACACIHSVEDEEEAIEREALVSSQLEELVKLKDLVGGAKTLAFHLEPKTVELRVSMHCYGCAKKVQKHISKMDGVTSFEVDLERKKVVVIGDITPYEVLESVSKVMKFAELWVAPNSTKVAASS >OB01G38030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22713697:22717374:1 gene:OB01G38030 transcript:OB01G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding [Source:Projected from Arabidopsis thaliana (AT3G24430) TAIR;Acc:AT3G24430] MRSLRAAPASFLAPPAPPLLLPPSTPAPRGVFSAAAAAAAAAANQTHAWCPSPRRVVRLRRPARAGAAPSSAASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPVKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPAFAGELPEGLQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRTILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGSGAQVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRAKTAVISSS >OB01G38040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22718875:22719975:-1 gene:OB01G38040 transcript:OB01G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHSSLVVEMSPAFQRQVRPPPLADPVRRLEVRRRAAVHRQDGGREQVQRLAPARPADAQQQVLHRVPRRHPPHGASADVLHLLAVVKVPHVLGADGVTWVDEHVGHPPRQHREGVAAAAAAAALVEDGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRVERVARAEDGGVGGVHERLSHLEVEGPPPRRRPGVVAVPVPLPVALHDVHALDEARHDGPLVVWAVPARSKSIRTQR >OB01G38050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22719637:22719957:1 gene:OB01G38050 transcript:OB01G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARWMPNVFVNPGDPISAEYVGYFDHRKKMEDIGAGAVGRVATRNSVKDLLLGVGRAGGCEPLHLFPSAVLTVNRGPSPDFKAAHGIRQWWRPDLALECRTHLYY >OB01G38060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22721805:22729504:1 gene:OB01G38060 transcript:OB01G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGESSGPVGDMNDLENYSFENETCGICRDIVIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSEFQSITCTPVFDTTGANNEDEYSLTSGDDDWYMQGENNTLSFPSYYIDAEAVVCLDDGDCKIRSGAVSVEDDSTFDTSIACDSCDLWYHAICVGFNPEVASEDSWLCPRCVSTEVKHNADPILKQNFSGDCSTGSGRTTTDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLSEPSLGLKTEQERFNSNSYPSYSNDDLLNEAVADAKIFRNSDGFTISHDRCSETNLVHKVSSEPTERPLEFSPIRESATTLFRSEQGNMPNVQLEVPQHAPSCSFSQISKVSENSGEENTLLREIGRSTVITPQFSSPAGDAAKSNDIDLIDAGEIKQMGSALDVQLTSTHDGKAIGDIEVKYKESNDEIGRPAKKARSEVSEQEMDLIGNSGASPSDDHTTSSPAKAEIGGMPEFLRSDNSVPDIMSIVGEDYRRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSSAVVQKLQQEIREVVRDTGTTILEKDIAFDEKLLTAFRAAIGKSADEPGGRTNSSLIKARRALLQKGKIRENLTKRLYGTSTGRRRSAWHRDWEVEFWKHRCSAGINPEKIKTLQSVLQLLKKSSEMDKEIAQDKKGMNTDSILSRVYLADASVVPRKVDVKPLSAIAGCPQSDENSLVKANNSKAPNKPVPGTETINISSPNSSVKVSSSLILSKEASSRKDNRNNKAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKCEVADDLKAKYPLLAQLPVDMRPHLTTSHHNKVPISVRQAQLYHIAEHYLQKANLAVIRRCADTELAIVDAVNVEKDINERSSSKSIYVNLCSQATRQPAKGKSENGASNIAAKTELDNGQIPQEVNTKNTNICNSDTKEALNSTDHSDLPASAGKMVKGELGVDLSPEQQTAGFSNVEEALKMAGLLDSPPNSPERKTTTIEGECIVDLDSEPSRNLQSTSDSMARDISSLKEADDSSLLIDLHENGQNLHIVTSCQQPKYNSDEHQKLILRGETTDATANQIVSVDLDEAGCSVQIKNSNGSNKEILAETNTPDVGDPKEIKVSESEMCNQSCQVNSSLTEAEVVSKTLNLDSNKGKSSGDTAALNSRPSDGDKLSTHPAQSGDGSKKPARDPGNNKSDPSGSIYKKVEVFVKENIRPLCKSGVITVEQYRWAVAKTTEKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >OB01G38070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22729571:22730959:-1 gene:OB01G38070 transcript:OB01G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPESLLLFVVILFPASSAAQPPRTLHVPVFHRDAVFPPPPCAKRGSLLRQRIAADAARYATLVDATGHDGRLHSPVLSGAPFESGEYFALIGVGTPSTKALLVIDTGSDLVWLQCSPCRRCYAQKGRVFDPRGSSTYRRIPCTSPQCRGLRYPGCDSGGTGGCRYMIAYGDGSSSTGDLATDKLVFANDTYIYNVTLGCGRDNEGLFDSAAGLLGVGRGNMSISTQVAPAYGHVFEYCLADRTSRSTRSSYLVFGRTVPELPSTAFPALLSTPRRPSLYYVDMTGFSVGGERVTGFSNASLALDPATGRGGVVVDSGTAISRFSTDAYAALRDAFDAHAAAAGMRRLAWDYSVFDACYDLRGRPAASAPPILLHFAGGADMALPPENYFLPVNGGERRGGNPXXXXXXXGTGGRRRPYYRCLGLEAADDGLSVIGNVQQQGFRVVFDVERGRVGFAPNGCS >OB01G38080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22730170:22730591:1 gene:OB01G38080 transcript:OB01G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPAPCGRTRGRSCARDVRSARQYSKTWPYAGATCVEMDMLPRPTEQPGRRVEQPLVVATAPKRDVIDVRVVGEDELVGGKVAGAIMYLHPPVPPLSQPGYRSPRHCGDVHGIRRYVLEPRGSNTRPFWA >OB01G38090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22735168:22737612:-1 gene:OB01G38090 transcript:OB01G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >OB01G38100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22740794:22741630:-1 gene:OB01G38100 transcript:OB01G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMGELHARGKTAGDVAAVLRAAPLDPCVVDAIKACYGLGCDLRILSDANRFFIETILDHHGLGGYFSEINTNPGAVDGDGRLRIAPYHDFHAAPHGCGLGICPPNMCKGQVLDRIRASAGADKRVIYLGDGRGDYCPSLRLGRGDFMMPRKGFPVWDLICEDPSLLQAEVHPWTDGQEMEETLLRLGGGGGVGGGVLLEARNLPALDCKLESLPAVAVQDGMPMPLRIKN >OB01G38110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22745891:22753361:1 gene:OB01G38110 transcript:OB01G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3L3K5] MAASTMALSSPALAGKVAKVFGEGRITMRKTAAKPKPVAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OB01G38120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22745897:22753337:-1 gene:OB01G38120 transcript:OB01G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGPGVVVDGVGEVVGQVLEGPLAGDDGLDEEAEHGEHGEAAVLDLLHLELGERLGVIGQAEGVEAAAGVERVDDLAERAAGDAVPLDGAHEHDLAGPDGEDALRVDQAGVAEVVEAALAEDLGAGLEPDGLAELDAVAGEELREDAPERAEHGPPAVDHLQLPVLGERLRVSRQPGGVPPVVAGELAGEVRRRLAGQRAEVEHAVGAVPRAARGGHLGLGRRLAHRDAALAEHLGHLAGESRGGECHGGR >OB01G38130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22754355:22763315:-1 gene:OB01G38130 transcript:OB01G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:J3L3K7] MAACGAAAAADYSALLPLRCGPGTRRRFAVSCRARPGNLSTQQKKKRGKNIAPKQLSSNTKLLLTTEENGQLPNTSLRTSMQSHQKSTSSEDDTTGAIGQRDEKFADIGNEQQERSKDKHFESGVQLEDLGEMIQNMEKNILLLNQAHLQAIEDVGRILTEKEALQKKVNILEMKLSKTLAAEGDINTDVLGNHLEKFTIEMLIESALTGGNPVHLRESPLYMELTVLKEENMLLKADAQFLKAKIIELAQAEEFLFKLEKERSLLDASIREHESRFLVAQTDIWKVAPLQYDVWMEKVENLQHILGCLTNDVNKYVALLDQHDDLQDKIDELEASVREGRTSEFSPYVVELLQQKLKAAKSHRQEGHQETNSHIQVYQQLIEEFQENLGKLMEESGRLEYSANGMPSEFWSHILLMIDGWFLERKISNTDANMLREMTWKRDDRICEAYFACSGAKEGDVMEGFLKLTLSGNSQCSSALHIVHIAAEMAPVAKVGGLADVVAGLGKALQTNGHLVEIILPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEKLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGHGLQDTLKTHSRKFVGILNGIDTETWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDAPQPLVGCITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQNNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLSDSVFDFDDETLPAELRNGFTFARTDEQDLTSCLERAFRYYSRKPMVWKQLVQKDMQIDFSWVSPASQYENLYHSAVAQARGVAQT >OB01G38140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22767311:22768222:1 gene:OB01G38140 transcript:OB01G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGNPLRDGPQPRQRSPPALHPAVVPAYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHSSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPTLRAAVVADLHAARSRAPACVGFSHCLLNYKGFLAIQAQRVAHVLWAQDRRALALALQSRVAEVFAVDIHPAAAIGKGLLLDHATGVVIGETAVIGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLIDVPPRTTAVGNPARLLGGKKGDDMPGESMDHTSFIQQWSDYSI >OB01G38150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22777648:22779093:1 gene:OB01G38150 transcript:OB01G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVPAAITDIASRLASSAIKKYWQPPDAEVILETLSRLLLRVHIIIEEAEGKRLANEGMLLQLQMLIEGMYRGNYLLDRFKYPALQEDTKEVSYVSLSSKFNPAKRLCFSSHRKPLFSSSLKELQGIIATIEKGISDMTEFVVFLRNYRVVHDQPRYTYSVLENCIFGRQIEQEQVLSFLLQTDHLGDEDLAVLPIIGPRKCGKSTLVEHACRDHRVRNHYSSILFFRENNLKDVNMSNLREYAVVKHQNYSSSKRLLIIIELACDICEQTWVSLKSLMSCSAPGTKIIITSRSNEIESLGTTAALRLDLLHPEAYWYLFKTLAFGSRDTDEHPRLASIAMEIAAEYRGSFLAAYIIAGLLRDNFSALFWCSALKHLRAYVRSQLRLLGDHPNNLLRKDQSVHCLRFAEASYPLWMSNYYETDSCPDRAPNISDIMLGGDTPRGRFEVLGWKSRMAPYYSYMICCTTEAPGHAVCRKHS >OB01G38160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22781476:22785978:1 gene:OB01G38160 transcript:OB01G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRSLHSGDALGRAGGGPPSRASRAAPAHCTPPRRPGGVRADLVWRASSCCQADLRPPRATPMLGPMGQESILSFPLTIWHADCEVTMGLRHLRHFLYHFQSPRIQN >OB01G38170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22785888:22787543:1 gene:OB01G38170 transcript:OB01G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLPAILSDLLGRSISYLVQRYRQQSSVQDDLEKLRLALVRVHVTVEEAEARHVTNKAMLRQLDVVREAMYSGYYMLDTLTYRAHQEEACSSSSFAPSRLNAAKRLRLLTVDDGATEVRRMVDNLGRMIADMREFVVFLKGYPRICSQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPEVCGDGVDVLQVVGAARVGKSTLVEHVCHDERVRGRFSSIVCLSRDDVEDMGDHRDLTVKHGNRAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVARDLAEDEEPLDDGAWRRLRSSAMCRARGSKIIVTSRSPETVRGIEPARALELKFLREDVYWYFFKVLAFGSVNPDDHPRLASIAMDISAEQKGGFIGATIAGSLMRANLDAHYWSLILKNMREYTQKHRALFGKHPHDLLRKNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPHGRFEVLAWTSQIPPCRSYLMSCSLDTPSAPLRVLDKKKRLRLM >OB01G38180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22792853:22793266:1 gene:OB01G38180 transcript:OB01G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPGNLIWETRASPRVRFFVWLATRGRFLTADNLSRRGWPNQQLCPLSTSEEETCRHLFSDCVFARQVWVAIKGWIQVDCPLQDQSHEDLTWAIWKERNGKIFNQKLSTTAQIYNGIRAEVIIWKEVGIFNDGEG >OB01G38190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22793939:22794139:1 gene:OB01G38190 transcript:OB01G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQPYCSHLLLENYMVGCQAAQERVINFLLSMSAMVKGRVSTSPQSLYVGEMVSRVEIWYLQKPV >OB01G38200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22794101:22794632:-1 gene:OB01G38200 transcript:OB01G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPITNCKPLKQSGTMQHNLRLCGILLYVPSSISYTSSMIASDCLPEVPNFYP >OB01G38210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22795391:22796920:1 gene:OB01G38210 transcript:OB01G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSAVLGDLLSRCISFAIDRCHQQHQGVEEEGNLQRLRRVLLRIQAVVEEADGRCITNRVMLQQLSTLRDAMYRGCYFLDNSRCRTIQAHATYEVGDHSPGLSPFSPLKRLCISTGAWKILPRAVEKNELQRMLDHLETVVSDMQEFVVFVSSYPRVPRQPYCSYLLLENCMFGRQAEQERVIKFLLEPRPPGAAKGIDVLPIIGPGGVGKSTLVEHVCFDERVRRCFSTIVYYGPDSIRGGSLTVLADTGMIKHRNPVTTEQSLVIIEPGNDMDDETWRGILHSLRDGHITTVSKIIITSRSKEMATFGTTEALQLDFLTKEAFWYFFKTIVFGSTNPEEEPKLASICMELATMVDGSFMGAHIFGDILRSNFSAQFWYRFLKCYKFYTDMHVRQVGEHPSEVYRGISGRTSIWTSKNRFVTTATYAVTYRLYEASSANLDDQSIVPVNDVFIGNFQIQGKIDVLLWRSKIPPYYSYIAHYEVLARQPQMLPNKRKRSRPLAEGLV >OB01G38220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22800302:22801801:1 gene:OB01G38220 transcript:OB01G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFFSAVLGDLLSRSISFVIDRYQRQQQDVEENLQRLHRVLLRIQAIVEEADERRITNQAMLLQLRVVRATMYRGYYFLDSFRHRVIQAHAQDEVRDHSLDLSSPFNPSKRFCSSSATRKTVCEVSEKKELQKMLGDLERAVSDMQEFVVFVSSYPRVIRQPYCSYLLLQNCMFGRQAEQERVINFLLQPQHPCARGIDVLPIIGPGRVGKRTLVEHVCHDERVRKYFTIVFYGPDSIGGGDMELLADNGVIKHRNPASTEQLLVIIELVNDMDDKTWRRILHSLRGNHVSKIILTSRSNKIATLGTTEALHLDFLPREAFWYFFKTIAFGSTNPEDEPMLASICMEIVTVLKGSFMALHILGGILRSNPSAQFWYRFLKCLKYYTDKHIYVLGEHPSYAYRKRNGLTFIWTSQNQRVIAVRYSIYQSSSARPADLRMFTSSDILTRNVELPEKFDVLDWQSSIPPYYCYMTHYEKLARQPHMLPKRKRSRLLSEGLV >OB01G38230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22803396:22806390:1 gene:OB01G38230 transcript:OB01G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial transferase hexapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29540) TAIR;Acc:AT4G29540] MAAAAAATRAVRLLLSSSRRLHASASEGAATAREAHRSFIHTAAVVHPDAVIGQDVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVSTGAILGADIPGKTIIGENNVIGHHAAIGVKCQDLKYKSGDECFLQIGNNNEIREYCSIHRSSKSCDRTVIGDNNLIMGSCHIAHDCSIGNNNIFANNTLFAGHVVVEDCTRTAGAVVVHQFCHIGSFSFLGGGAVVAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVQMLRKAYKKVFMPSIDSQSSFDDRLAELEHETALSETPVSYMVESIRMSFGQGRRGICKFRNWNR >OB01G38240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22815220:22816792:1 gene:OB01G38240 transcript:OB01G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKSSVKRGPWSQEEDAILRSFVERFGNAGNWIALPHKAGLKRCGKSCRLRWLNYLRPAIRHGGFTDEEDNLILSLYGEMGSKWSVIASKLPGRTDNDVKNYWNTKLKKRYLSTTREVTTPPSPAAGDDSIAAVDSPSQASSQPSPPPPPAIVNLDAPILDSTGDDNAELLHKSEQLYAELMGLIEQQSMITAAAPSTRDAATTSWSPPGTSPTASSSCDGSSMQMQWPVDVHESTVPLSSESSNSSSSSSASSLWFFDAFGGGSFQDLLGAASFDDVMLSQEMLYY >OB01G38250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22820728:22825294:1 gene:OB01G38250 transcript:OB01G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3L3L9] MRKAAALASAAMVAAVAVASAVSRQWQRRAVKRLERAGAVLRGLEEGCAAPVELLRQVADAMAAEMRAGLASEGESDLQTIVTHVDSLPSGDETGMFYALDLGGTNFRVLRIQLGGKEQRVIKQDSKGMSIPQHLMSSSSHELFDFVAAALAKFVASESEDCCLPEGTQRELGFTFSFPVKQTSLASGTLIKWTKSFAIDEMVGKYVVAELNMAIKHQGLNMKVTALVNDTVGTLAAGRYVDHDTIAAVVLGTGSNAAYIEHANAIPKWHGPQPMSGDMVINMEWGNFKCTHLPLTEFDRELDAESLNPGEQITLIRSNVPLSKVQTRKLVVDVCEAIATRGARLAAAGIYGIMKKLGRHPDRRSVIAVDGGVYKYYTFFSQCMESTLSDLLGQELASSVVIKPVNDGSGVGAALLAASYSQYRQADEY >OB01G38260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22825853:22830327:-1 gene:OB01G38260 transcript:OB01G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRVARSSGLRRRRPPTELRRSELSDSGGMSFTDDVLKGPSSGQMFENFSFIDQIRSLPLDDSFKTCTSLRRAKMVRFTAEELRASMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMAEESLERFDGVRAGSSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALAERIKPVLTVNKMDRCFLELQQNGEEAYQVFSRVIENVNVTMAPYEDAKLGNCLVAPEKGTVAFSAGLHGWAFTLSSFAKRYAAKFKVDEAKMIERLWGENFFDPVTKKWTNTETNSPTCQRGFVQFCYEPIRQIISACMTDDKEKLWSMLAKLEVTLRADEKELTDKKLMKRVMQAWLPASDALLEMIIFHLPSPAMAQQYRVETLYEGPLDDPYATAIRNCDPKGPLMVYVSKMIPASDKGRFFAFGRVFSGTVGTGNKVRIMGPNFVPGEKKDLYVKTMQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLSDEKAVDAHPIKAMKFSVAPVVRKSVACKNASELPKLVEGLKRLAKSDPLVVCTIEESGEHVIAGVGQLHLEICLKDLQEDFMSGTEIIVGPPIITYRETVVSNSCRTVLSKSPNKHNRLYMEARPLDTDEDQQQEKKALSNAIDDERIGPKDDVKERAKILCQEFGWDKDLAKKIWAFGPETKGPNMFVDMCKGVQYLNEIRDSVVAGFQGGWVSKEGALAEENMRGVCFELCDVVLHSDSIHRGGAQIIPTARRAMYAAQLTASPRLMEPMYLVDIQVPQAVAGNVYTVVHSRRGSLVEILRPGTPLVNLRFYLPVAESFDFTERLRADTSGQAFPQCVFDHWKIMPSDPLVEDSDAAKVIADIRKRKGLKDIMVPLSEYEDKL >OB01G38270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22832592:22833700:1 gene:OB01G38270 transcript:OB01G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYNNVLAKAIAAGTGQLVRGIFMCSDAYASQVQRGADLVRLQAAGSVSKRVGGAGGGPGRTGQADAKRGVNKSLKRQGQEAVGDDGEDEPVVARHGHRGDGIHGRAAASFQAREGLPRHRSRGDKVMDAVEAAERRSLAATSNVVAARIYLQAVGNIGCQTSISFVLRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >OB01G38280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22833665:22836385:-1 gene:OB01G38280 transcript:OB01G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:J3L3M2] MHAYTWSLQISSYLSDWEQDENRLKDLDLANANGPNVARPTAHLSPKSQSSSASGSKPTIYKYPPHPRLGFSCRQPAKPLPRSSSRPPQLLLSSPGGAANSTMSDSKAATAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKERLAKLYEVKDANCIFVFKFRPHFGGGKSTGFGLIYDNLEAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >OB01G38290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22843968:22849705:1 gene:OB01G38290 transcript:OB01G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:J3L3M3] MRALRSRFLPLLARHWQWQWQWEWELQGGVGVGCLRRAPRGLCGEAREAEEEEAKMESIMKGIRGDNAPCVLDLDDSATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAVVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNEELLNDEFYIGLRQKRATGQEYADFLHEFMAAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGALADHTYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHKPVSNLLDAVNTIKPSVLIGTSGKGQTFTKEVVEAISSINERPVILALSNPTSQSECTAEQAYTWSKGRAVYASGSPFDPVEYDGKTYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR >OB01G38300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22853284:22858167:1 gene:OB01G38300 transcript:OB01G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGTTKSLWASAERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESSKAKSLKEASMAATLEGPSGRTWLVVIHRTTEGTFFTSGWPKFVQDQALRDLEFVVFRYDGNTHFTAMVFDRTACEREDLIGGGGDRPRKKRGRRPRDASRDTPRPKKDSVGKELVTYRASPSGQQLQILDSNWTTEPGSAAVKNEEDAEEPPLRALPAAPAQRASPRRVPEGALDVDGAAARRGSVKTRSLQDDLALASIPPSIQRYKGYVSRRRPVTTAERQRATEIAHAFRSPLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVEPAVPAVRLRRVTVTCSRGTT >OB01G38310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22859921:22863194:1 gene:OB01G38310 transcript:OB01G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosyl pyrophosphate (PRPP) synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G10700) TAIR;Acc:AT1G10700] MSGAVGAHKSVLLFHCEEMRELAEQVVARNDDIELRSISWRTFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSDNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAQHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPEHGLSHFWITDSCPLTVNAVKDRQPFEILSLAGPIASALQI >OB01G38320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22866591:22869995:1 gene:OB01G38320 transcript:OB01G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRRHHFIKVMVGEFARRLEIPQDFLIHIPEVASSTSDTSLPSSAKGTLQNSEGKSWPVELERLDRRVFLTTGWAKFVEDTSLRAYEFLLFRYDENMHFMVLPFGLNACEKVIQSSGSPEGKLPGDIFCCSKRGRDGDKLTEATYSLTPSHSQVVTLQRSAQGHEHISSQSFPDQHEVCGSKDGLDEHLSLKGAIEDDKTNTVAEVMRTLDVDKVTVELFCAMLIFYKWNVDAVAEDLYICRGKPQIQNVFLKNKLLFQFDIVKRKLQNFFLPDDYCSSPILESEKRSLEEPKLSNQPLQCDSTAVKCRLIDEHDLCNFSQNKRRKRGSFCSHETPRRSPRLARQNNSRDNAKDTSKERSEEQQPSPASTIDQAEGIAEQAWLCHDKMVNGSLFQDSEKVNPVHGDVGLCEEPQHSQGENEGNLDQVNNKETGEEQTERNAVDTSESFTRRGCIESLPASCEVPECSKINELCFTWMPDEHVNSLEKVLLDIQRDNFMKTISHVQGIIRNHPIDILTADVITAVVQKEILKWDYCLKDRDAQRIVNALLEHAKKIKDMHNFNSEMRKEEFSTKLQIQLKWQLKELETAYTSLELDYKKATIDDNIAFSILHEQKKKLQNLKGEITGQQQSLEMKKDEMQKLAHQIADYEIVFQKSLMERLRIKEVMKSYEQTLAEVNVRLTSAEVGSIDVEALVKAEMDNMTKEIEMSKESVLNITFKK >OB01G38330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22870018:22876315:-1 gene:OB01G38330 transcript:OB01G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGEAGGRGGGGERRSLRGIFKFADRLDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGQAAHGVDFMRAVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYANANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDASEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPAISKETDSPGSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQNRNEMNAIISRYALIFCSLSVISIAVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKKARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKKVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVRVDGMDVREMNILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPSILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLATLQK >OB01G38340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22894976:22905249:1 gene:OB01G38340 transcript:OB01G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQQHVQEPEPAEAVNAPRHDGAIMEILSRSLQSMASPDVSAYFSGASSRRPSAADEVDDEEALRAGIQMPTVEVRFRNLSVQAECHVGTRALPTLANVSRDVAESLLALVGLNFAKRKTLDILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLEVSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGEMTVKETLDFSAKCQGVGQRYELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRFFLFPSFSHAVAAQSRRPAASVAARRHPDLAASSVVTAYLARPCPTSHSLAATRLTRSPRPSLPCLRRAHGTLRTMSPSSPPPVPQAHQAYHGDDDGTWQPRLEAHSSELIRPTKATTTILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIVRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWTQSEKPYHYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKRKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLHTRDEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQLAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSANEMHSPRWMDKFVSDGKRLGVAVLENSGVFTNKEWYWIATGALLGFTILFNVLFALSLMYLNPVGKPQSILPEETDSQENNQEGKKNAHLKQRTGYETPEPVSQNSIITLDKVIQQLRGYSANTSDRSFMNAAGRTAPGRGMVLPFEPLYMSFNEINYYVDMPVEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNAIHSPQITVRESLLFSAFLRLSKEVNDQEKKIFVDEVMELVELSGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEDNRNPATWMLDVSSAASEIRLDIDFAEYYKSSTMHQRTKALVKELSKPPPGSDDLNFPSQYSQSTFSQFKLCLWKQWWTYWRSPDYNLVRIFFALFTALMLGTIFWRVGHKMKSSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMAFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVAVSPNLQVASILGAAFYTLFNLFSGFFIPRLKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDSIKVPGQPDKQVRPFIKDYFGYDPDFMGVVAAVLAGFTIFFAFTYAYSIRTLSFQQR >OB01G38350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22895454:22895657:-1 gene:OB01G38350 transcript:OB01G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVFLLAKLRPTRASSDSATSRDTFASVGSARVPTWHSACTLRFLNLTSTVGIWIPALPRTNVRSR >OB01G38360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22906610:22907206:1 gene:OB01G38360 transcript:OB01G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQEAATRAHDSGHRPAASMADVPYDDGKDQAAATWHGSVRAAVEGPTPDQAWALLGDFCSLDRWVPSVQTCRRVEGADGRPGCVRYCAGPVNKAEPAAAAGWSRERLLELDPAGRRYSYEVVETNKGFGRYTATLQVEPDPAGCAVAWSFEADPVRGWTLEGFVGFLEELARGVAKRLEEEIMTQDDAPALQVLQS >OB01G38370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22910616:22912267:1 gene:OB01G38370 transcript:OB01G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3L3N1] MVATRAVALVMALLSMALMISAKERGSLKIFGLEIPLYANWSFSDSLEYLVGMSAASAAYCLAQLVLIAHKAMRNAPVVQSRNYAWLLFTGDQYLMEQSVASTSIGSIHWCWIFSLFNAICAEKCLLRNNGLFVAKPYCSCQLIADDSNDI >OB01G38380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22919332:22922612:1 gene:OB01G38380 transcript:OB01G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MFFLDCLMDGQLGYGEENSPFPCLVERFQDLGSPETLQAEAQNSGTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAASSVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGCDLVCYAWGNNNHGQLGLGDKESRSRPVLISGFGEGSSWDVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGPVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIREDRAQASTSGVNDQNSAELEQKLSAASEELQFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTADTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSAGRQTP >OB01G38390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22922673:22922960:-1 gene:OB01G38390 transcript:OB01G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSPFAVAVWGRRRCRSWRPSPSGEAIASAARGDRRRRRPRARGGGREPALKEIVHVPSQRRLRWIGCSEAKERGVEEHVLIYFLFIYLFWLR >OB01G38400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22923082:22923243:1 gene:OB01G38400 transcript:OB01G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELWRVGGLSRIAWLSCWSLLNCDFKTKLLNFNVEGYLTMLLEMLLRAYLVMLK >OB01G38410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22933123:22938417:1 gene:OB01G38410 transcript:OB01G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVDAVAGGRRWGKGEEGGGGGGGGGGRRGGEDGGGGGGGGGRRGGEDGGEVSLREWLDRPGRAVEAPECLHVFRQVAESVAIAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDGSEEGDPDTSPPRRRRDGAGRGEDRGGKTFPLRSVLAMELSWYTSPEEADDSGGATFASDVYRLGVLLFELFCTFETMEEKRRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPDTRPKMGEVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSADINEAMHQQSALGQCGTFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQETVLSKSSRLMKNFKKLETAYFLTRSKVAKQVGNPVSSCHQVVRRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLLGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >OB01G38420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22938931:22939487:1 gene:OB01G38420 transcript:OB01G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPAMVFAAPSFFIAVPWRLPMLISWGVYVSLGFAVSTYVNLFLPLTPAAVDEDIRNVGLGWIGATLGLINGILLTLGSNLLMMAFTCVVSVLIVGMLVLWAFLVGRYGRPERVPLSTSTSTSTSKPAEEITWRDIHCVYAERESVPLSTTLGYQSAEEITWCDIPCAYTEGQVVRYRLQIT >OB01G38430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22942314:22943276:-1 gene:OB01G38430 transcript:OB01G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVGHLQPVCLSGFLVVLLSLGFLPLRGCAMGLPRPARDVNFTIGVEGVVWCNACRYAGYVKSKHASPLPNAAALLRCRRGKWALSVWGATDARGYFLIQTGKQVAAFASKDCRVYVPRSPSRACGVPLQPGRRKGSPLKFREFVPLPDGLQGRYSAGNFVFGPRDPKKCRASG >OB01G38440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22948955:22949940:-1 gene:OB01G38440 transcript:OB01G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHTALLCSALLILGAALLHPAHHAMADEAPSPHTGTSVPPARSPYTDTTMAPAPSPYTTTSVPPAPSPSSSNGTAVAPAVAPLPLPFIVVEGVVYCKSCKMRGYNSDLNASPLQGATVQLVCYGKKVVNMTGTVTDASGYFLVMFYDLENFNARNCKVFLGSSPTPLCDKAIYPPNKWIGLSLVKETRSFPPVGLQAIYCPNSVLFYGPATGQCPSG >OB01G38450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22952893:22955958:-1 gene:OB01G38450 transcript:OB01G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPSVKEFVERYEATTHTKVWADIRQERRAELEKVGNMCDLLEKQLRFMTVDDGEQYTVPSLEALEHNLEAAMRKVRCEKDRKIGGEISYLQNIIRGRQEERYGLCDKIAHAQTLKDVECGSTSLSNGLDLKLGFN >OB01G38460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22959881:22961106:-1 gene:OB01G38460 transcript:OB01G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGLDHSAMEDSSSDLHECGTDVHPPGGFLSYFQDPSNLQNYQPSIPSNYYPAQRAAPGGGEETVTVRTEKQLFYLLKYLLVVTCASVMCCVGKPQYMLVNHIVNLKRT >OB01G38470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22977842:22980348:1 gene:OB01G38470 transcript:OB01G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLAFVSACCLLVASSAAARSLVGVNGRAFGSRKDYYTPGPSTVPHHSPASPSHSGPPCSPTPPHGGGGGYTPPSPPPGDAPPSPSSDTSPSTPSGGYYPPTPSVGDAPPSPSSDTSPTTPGGGYTPTPSDTPPSPSSDTSPTTPGGCFSPTPCGTPPSPSSGTSPTTPGGGYTPTPSDTPPSPSSGTSPTTPGGGYTPTPSDTPPSPSSGTSPTIPGGCSSPTSCGTPPSPSSGTSPTTPGGGYMPTPRDTPPSPSSGTSPTTPGGGYTPTPSDTPPSPSSGTSPTTPGGCSSPTPCGTPPSPSIGTSPTTPGGGYTPTPSETPPSPSSGTSPTTPGGCSTHTPCGTPPSPSIGTSPITPTPGGSYYPPTPSIGDAPPSPSSDTSPTTPGGGYNPPTPSTGGAPPSPSSDTSPTTPGVGSPSTPCDTPPSPSSGTSPTTPGGGYNPPTPSIGNVPPAPASGTSPSTPGGGYSPPTPCNAPPSPSSGTSPSTPGGGYSTSTPCNAPPSPSSGTSPTTPGGGYYPPAPTRGDVPPSPSSGTSPSTPGGGCSSSPTPCDAPPSPSSDASPTTPGGGYYPPTPSVGDVPPSPSTGTSPSTPGGGYSPSPSTGGYTPTPDVPISTPSTPYSPLVPTPPSSTTPTPFDPNTAPFPCTYWLSHPNVIWGLFGFWCPLVRLFGPTAAAPFGHDLTVTEALANKRTDGVGELYREGTASLLNSMVNSKFAFSTQQVKDAFSAALSSGDDRAAAAQAQLFKKANEGHVKR >OB01G38480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22990969:22995028:1 gene:OB01G38480 transcript:OB01G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 15 [Source:Projected from Arabidopsis thaliana (AT3G58790) TAIR;Acc:AT3G58790] MKVYITAAAAEAGEATKAKAGPHQQQQAAGRGCRSAVVTGFLAGVLLFRAALLAIEASASLCPSATGCLDWRAGLGDWLYGGSGDAMEEFRKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGVPKSMHCLTLRLAEEFAVNSAARSPVPLPEHAPRLTDGSYLHVAVVTDNVLAAAVALASTVSSSADPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWHDGGAIASVMRTIEEVQRSSMEYHQCDRSVVREYRRLEASKPSTFSLLNYLKIHLPEFFPELGRVILLDDDVVVREDLSGLWEQALGENIIGAVGGDHAGDDGAVCNEKTLGDHLNFTDPEVSAPSLGLQSSRCAWSWGVNIVDLDAWRRTNVTDTYQLWLEKAVRPRPELSDSLNTEAVLGHSTDDKTFFFLYCLSWQMQNRESGFRLWKVGSLPPALIAFDGRVQAVEPRWHLRGLGWHAPDAELLHRSAVLHFSGPRKPWLEVASPDLRKLWLGHLNGSDSFLQGCGVVEQQ >OB01G38490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22995896:22999003:1 gene:OB01G38490 transcript:OB01G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASVNATKRAIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEVTDGVAGGDTSSTGVFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAQMEMNPARVVGMSLSVNVEGGVPGAKIGPGDFRLEIDWIKALRTL >OB01G38500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:22999696:23000016:-1 gene:OB01G38500 transcript:OB01G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGGEELYESEVLWPDHQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHVMVSRRRSESEGEAFSLRSGTGRARRDLSHLRNSVLRMTGFIEG >OB01G38510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23009053:23009469:-1 gene:OB01G38510 transcript:OB01G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVEQQQEEEFQEADILWPDAAQDLEFAQVYYSFVDAGADDDGEEHSGRKPCGQQKASSPIDIPTRKGAKGVKAPVGFSKLGQTLAGAGAGVSSIIVGSHVFVPPHVFVDHRRAKREKAMMTLVVPKGKARTMVMRE >OB01G38520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23015033:23022464:-1 gene:OB01G38520 transcript:OB01G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSILLLLVVLSSFSTASASTKARQVYVVYLGEHAGDKGEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATELSARTEVVSTFPSQGMWSPHTTRSWEFLGLEEGVRFPDSSGRLPPGAKAGGEDVIVGVLDSGIWPESRSFVDEGLGPVPARWKGVCQGGDSFSPSSCNSEASSPTKLGGDNRVVKYNIMSGTSMSCPHVSATAVLLKSAHPDWSSAAIRSAIMTTATTSNAEGGPMMDADGTVAGPMDYGSGHIRPVHALDPGLVYDASYQDYLLFACASGGAQLDHSLPCPATPPPPYQLNHPSLAIHGLNGSVTVERAVTNVGGQGNGRVTGACPATPPPPYQLNHPSLAIHGLNGSVTVERAVTNVGGQGNVRYTVAVVEPMGVSVKVSPRNLSFTRTGEKKSFRIKIEPKGRGSWRVNGQFVAGSYTWSDGVHVVRSPIAVLVA >OB01G38530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23024158:23024956:1 gene:OB01G38530 transcript:OB01G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGGAPRRRRATRSRLPAPLLLAALLLVVSSKPPRASALRVPLRQPAFSSAAGCGLSCATSAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAGGQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLEGLLVIAKDLIFGASRTSRHNEL >OB01G38540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23034108:23035976:1 gene:OB01G38540 transcript:OB01G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLLVVVVAAVVSSALVMVARSDPQAPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDHLSKCIFSVGMGSNDYLNNYFMPAFYNTGSQYTPEQFADTLIAPPPPPPRRYVEVLYNYGARKVVMIGVGQVGCSPNELARYSDDGNTCVDRIDSAIQIFNQRLVGLVDEMNALPGAHFTFINAYNIFSDILANAASLGFTVTNAGCCGVGRNNGQVTCLPYEAPCSNRDQHIFWDAFHPSEAANIIVGRRSYRAESRNDAYPMDISTLASI >OB01G38550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23035835:23043363:-1 gene:OB01G38550 transcript:OB01G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDAPPGGSRRQIRRGLGPTAALATLVALSTSPFRNSFRAFRVFFSPSSLCCSGSGFRSPPRRNHPRPSPVAGGARRWRRWRGQRWLRPPPSSHSPYGKRRRFFSPLSALLRRVCFPRLCVVLWCGWSGFCEPRGRLKVNWSSEWCRGFAAGMRAVDASKCWPFGGGGNGEPSLPPMELPKRSRWWAHKLAAERARLESRATGTEDAGGGVGGGDGSGTGTKRKGSLGRVRAERARKRRRSLQFGLLAKRKEKTSSCLLHYVLHKQLLRKHKGSTLRAQRELSAWNKFQNTNDCMPTHGNSLNKQYIRGMDPSTGTPVRKKAANSSANKQNVKTSEPTINPVNPGCESAKNVTHPPKDDIFGDLPLLESPKIMFHTAVDELPTVIEDSFVTDQSGADTISENVSLKLIPSDMPVQTSSNLEDLVKKEGAPAKKSICILHNDAKKSLPPSADFDCLNQSSVNMVKTGLSDSQVKSNDVPALSSYSNDGLKSGSSNRANTQQDFFCMNTNYCQEIRKSATFSATSSVTVRTRMGAFESDRDTTFNGKKSTGTSCALVPTKCHISSEGSVLSSALPQGSASAATSADGMSSCKRIPSQDSIPTSGLIGSFASNLCHEGSKSVDTCTPLSKEDQGSWYPKLHPVCTPASIGSAFMKLPGLERMEISSCNLETGDNRFTNGRPSNIIRCEKQQVVIGVPNTVQGQRKTGFSDSQVREKNLNGYLRQDVYHPRQPTVRLMGKTVSLCESSKEHRVSTMGKVWIDNTIIEDHPPSASCHFPQKRLFPCPDSVTPSAHVNESLDILQRIPSATLQEARGTMGNVQNHRLQPINSASSTARDCIWNSGSQSIRQAEVKKATTVDVNSRARHMDLHQPPQPQVEKYQKSTLFSYDDPSTSPIYQSCQDLTAPLFGDMKRHSLGWSLDDAIGPRILDFGSKVAGHGLEMAINESNNVRASSGPVPVLETRSIKPPSLSLAIYTFSQRFIEDIKKIQYKLFHPSEQGIMGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKVLQMMSLDARKSVERFIETHGPDALDNIIRAAEQEAKRA >OB01G38560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23046165:23049566:-1 gene:OB01G38560 transcript:OB01G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEASPWSLAGAGAAVALLWLSAWTLQWACWTPRRLERALRAQGLRGTRYRLFIGDVAENGRLNREAASRPLPLGSHDIVQRVAPFFCNVLKEHGRLPFVWTGPKPMVIITDPDLAREVLSNKSGDFCKQVTVGISKFVVGGVVTYEGEKWAKHRRILNPAFHQEKIKRMLPVFAACCTEMITRWRNSMSSEGLSELDVRDEFQNLTGDVISRTAFGSSYQEGWRIFQLQEEQAKRVLQAFQRIFIPGYWYLPIKNNRRIREIDREIRTILRGIIVKRDEAIRNGESSNDDLLGLLVESNMRQSNETEDVGMSIEDMIEECKLFYSAGSETTSVLLTWTLILLSMHPEWQERAREEVLLHFGRTTPDYDGLSRLKIVTMILNEVLRLYPPVVFLQRRTHKATELGGIKYPEGAHFTLPVLLIHHDPSIWGQDASEFNPERFANGVSKATRFQAAFFPFAWGARICLGQSFAMMEAKMALATILQSFSFELSPSYTHAPHTVLTLQPQHGAQIKLRKLS >OB01G38570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23050669:23051584:-1 gene:OB01G38570 transcript:OB01G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPAPTPACGTGTSVPPPGKATTVSSQLLDMGAQAVQALKPVHQMKQHACSFALYAHDLGRQVEVHHFVSRLNQDVLQCAVYDSDRPSARLIGVEYIVTDAIFESLPPEEQKLWHSHAYEVKAGLWTDVGVPEALQSSEMARMAKTYGKLWCTWQVDRGDALPLGAPALMVSPQAAEPGRGRAELVRGRDERYRIDSSAQGLKGARVEMDEPEWINPNADYWRVHGKGFAVDVAATEMKRHAPFP >OB01G38580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23056386:23059356:1 gene:OB01G38580 transcript:OB01G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPAGTAATKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGSAALAELWKLGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIVLASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDREGFEWLTNDVVNVLNISTGGILAVLMQQLL >OB01G38590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23061433:23062809:1 gene:OB01G38590 transcript:OB01G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAVFICLLSVAAAAAASMDPAEREALFLVMDAVSSDRDWRSESPDPCGAPWPGLECKPAGDAASATLRVTRLDFGVEPNPSCKDTAAFPPLVFSLPHLQSLFFVSCFKNPAANTTLVLPPAANLSSSSLQQLSIRANPSLSGVMPPQLATLRSLQVLTISQNGLIRGEIPQGIGELTSLVHLDLSYNSLTGPVPREINELKRLVGLDLSYNSLSGLIPSRIGELRQLQKLDLSSNNLTGGVPVSIANLSSLTFLALSSNGLSGHFPPGLAGLRNLQYLIMDNNPMNVPLPSELGSLPRLQELRLAGSGYSGQIPAAFGQLASLTTLSLENNNLTGEIPPGLSRLSRMYHLNLSNNGLGGAVPFDGAFLQRLGRNLDLSGNAGLCLDDRMVVRGVGVGVGACRAGGGDGDRSLAPGGVTGGARAAEVTRGSVDGSPFRLLGPACVVVSCVWFALN >OB01G38600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23064375:23065055:-1 gene:OB01G38600 transcript:OB01G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSIATKYLDDPNPLSPEQLEWAIGTELTARQMADDFTSTLMDFRRGLSVFFGTVRPEEEALRKNSVWLDMRRAEATEIVSTTRLSQDKHLNHIMSLATIDGLVETEIVELINTARRPGEEALAIMPPTQLVVSQAFATTIRRYRALFTEANILANVMHKQSSSENSITKRPP >OB01G38610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23069838:23070140:-1 gene:OB01G38610 transcript:OB01G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAMGRSRSSWLLALLLVCAAAVAAAAGKEKGGTGRAEVECSDLATLGECVASGGGSRCRWCRSEALDDMCFGAAEAWRLPHQVFSCDPPAAGAAHARR >OB01G38620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23070818:23073219:-1 gene:OB01G38620 transcript:OB01G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVVQPIPISSVPDACGSTPPPAAAAAHDDDDGILFDDLVLGGGSDDKTDADADDPTGKLAWLRSQVIGAEAEFASPFGTRRVTYADHTASGRCLRFVEEFVQRNVLPYYGNTHTMDSYVGLHTSKLAMDAAKYVKRSLGAGPEDVLLFCGTGCTAAIKRLQEVTGMAVPPTLRSVALDVLPTSERWVVFVGPYEHHSNLLTWRESLAEVVEIGLRPDDGHLDLDALEAALAAPERAGRPLLGSFSACSNVTGIRTDTRAVARLLHSYGAYACFDFACSAPYVGIDMRSAEEDGYDAVYLSPHKFLGGPGSPGVLAMAKRLYRLRRTAPSTSGGGTVVYVSAYGDTVYCEDTEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREDQLLALALSRMQASANPNLRLLLGGDRPSSGRCLPVLSFVVHPPSDCTEQERGAGAVRPQLHCRFVTKLLNDLFGVQARGGCSCAGPYGHRLLGITPARAKAIKSAVEMGYHGVRPGWTRVSLAYYTSTQEAEFVLDAIDFVASFGHRDAALLLLCAETPKSSHRPAAWSVGRMPMRLSQTGRLPTC >OB01G38630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23081645:23085553:1 gene:OB01G38630 transcript:OB01G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIDIPVVPFAARGAGAGKEFLPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKVRAPPPQAPSPPAAVGEGAVGLSVERAVDRCPGRGGAAAAATGSAAASSVTGAVGGDDLDEEDEGFGCEIGTFGNFKKAKGTVNISAAYNTRNHDIESSVVARGDLWRLEASRGSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRKWLMMSMMCLNPVTCSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAQGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGVRAEVIHSLKEELNVMCGLSCSRHPSAFTALSIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >OB01G38640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23086453:23087091:1 gene:OB01G38640 transcript:OB01G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPCILLSLILLHTIAAAPSSAAAAAAADELAPSALGPAADEHGSDIYVVFVSREDYVDSVDYDVRLLASVVGSAAEAKAAMIYHYSGLGFAARLAPEQAVQLSKKEGIAIFKDRMYHVEKEEEGGRLPRFFEENI >OB01G38650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23088068:23089653:-1 gene:OB01G38650 transcript:OB01G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGDAPPCDYISELPDNILVSILSLLPLDDAARSTALSTRWRHLFPSTLLDFRAFAPGRDVVTAVNTILAARVRSFHTSWLYFPNEDDPSVGGWLQDLAGRRVQELSLSFSEGWQRIPESLFSCNSLKRLHADCCTFPDATQVAAPLPGLTEIDLFGVNISQESLNALLSECTALEHLRMRSIGDCDCIHVRSASLKTLCVSGDFDELFIEDAPNLEQVYGNSMYTRNPHLKIAHAPKLEFLGYLGMSFGMIKIGQTVFTENDFGVKTLMPSLKTVAVELSYTSEGYIDWFMQLLKLFPCLETLYIRSDTWSKVQAAAPGSWDVLRSVPCIDNHLDKVVFEVYRGHEWQREMAKFLHGRGRFLNTMEFHCMGDKGCSEHLGDEWVREQQELLCLDSRASMDARFLFFEGPLVNNHHDVCHHEWYKRKYYHGLYKV >OB01G38660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23090744:23094082:-1 gene:OB01G38660 transcript:OB01G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSITDILVARPHIPIRSFRLVMYGQGVVDHLADVDLWFRALALRGVRQLDVDMLYTAPTQTLPGSLLELSSLESLRVFNCSFIHPSWTAPVLALWLPTLRTLDLSNVFTSQYILQAVISNSPSLECAKLKNITGINKIRVRSKSLVRLFGDFGYLSELVVEDAPNLEELVGISLPLGAAKVKIVFAPKLRVLGYLGKSVHLLLMHDTVFDGGIVQYRTLMSSVKTLSIQVPFSEKGHTLVVARLLKCFPCLEVLHIEPDSRSICRPVDLEDWDTETSVQCIEHSINRLVFENFAGEECQWRFLTFLLGMARALRVVDFHCLESKDWASNQLELLGYTNRASADVRFMFYKLSWPVVKKADSRGGTMKIKKTRKGVGVRQDDGPSMIQCSIEVVHWSNSSGTTRDTTVAHRTPCAAGCSMDSNSSAASGMTNRRIDALQRINGLMT >OB01G38670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23099596:23099919:-1 gene:OB01G38670 transcript:OB01G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLTAAVGSSNSERAAVQPRGPRRAPLLALYCGLLLCCGSLCQGRVSPGVRGGRVVCSFEASVRVVVHRVYTASDGGDARAMPLPPPAPRTERLMRSVPSPGVGH >OB01G38680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23105977:23108908:1 gene:OB01G38680 transcript:OB01G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCPSTPTPCAGSARRWAITRFSGAGRRRDCHWRRRTSGRRVLTVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDYGRARVYCQSGKVDLIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQDDSSKKSSWSDVWGAPTRYRKNEEEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >OB01G38690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23109101:23109343:-1 gene:OB01G38690 transcript:OB01G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRPPPRPEELQPREKDSTAIHKTSGDCMRPQRSRMRVKEGAAAPVAVAGGEQLWLRGAHGHVSGSVRCSPLPMPHPSE >OB01G38700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23111961:23113029:-1 gene:OB01G38700 transcript:OB01G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAVTSSPTMSGGGVQKAGEGVVVAAVDCPGSPVSPSPAAQRSAAGAAASPSGRPRRSAQKRVVTVPLADVSGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRADPETVIVTYSFEHNHSAMAPRAQNRQAAAPQKPKAPTCRPPEPVLEPEETHHGIPAGPAAGGGAGVRDEFRWLYDVVSVTSSTSPSDIDAADDMQLYDQPMFFGGAVVDTAAVLPDEFGDAVGGLGGEVLGEEEAMFEGLGELPECAMVFRRHAGDGLAMAGGVKVEQPAESTAMT >OB01G38710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23115501:23117060:1 gene:OB01G38710 transcript:OB01G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT2G42770) TAIR;Acc:AT2G42770] MKAIGSGGEWWWNLPSLRRKPDXXXXXXXXXXXXXXXXXPPPEPLSSSSESIGQSRGWPMDFPFTQAVTAASLTLTGDTLAQVHRRIVDRRLRGPEPDSKGFLPDILMNHDWLRALRMASYGFILYGPGSHAWYQFLDQCMPKPTFVNLSTKVILNQIVLGPCVIGIIFAWNNLWSGKLSELPSKYQNDALPTLLFGFRFWIPVSIINFWMVPLSARVAFMSSCSIFWNFYLSSTMSK >OB01G38720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23117026:23127909:-1 gene:OB01G38720 transcript:OB01G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIDLSNQKDFKRLVASARQCATASLRLYLLLVYLHPMWYMGDPMGKVYPIGYRSGEIFLPVTGIGILMVQIFTGGVFLKFKFVPHSVHLISRVATLGPHGYQPIDDALFEQGSNQKGEISEPYRHNSHAHAPGQTDATGGDPEWRSVLPELFSSSSPHLSGAPCRAAMPTPDRAGATARGGGHLRGHAHLTNCIHLRHHHAHGGGGGGGVASSSGRRRSPTSVASAALMRDLLALQRSRSLRDPSTRRSVESSKVAADPDADTEEDDADLPPKSRRSASTTGALKTLLDQLAENPQPKLARRPRRRFKRGAGRRAGTASKVPDRSAAAAAALSVNSSSQEAVCGNKYLFRDGEDDHEELQQHVPQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLACGLSDPKSAAGRKSEAAAAASRSHFNGSHSLFPVKSERLASSTSSDSDALPLLVEAAVSGTRNGGIGGSYSGELGIFSNQTSEMDSDLLSEGRGGGGTRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCSSCIAQNLGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSVISKVVDRAPRRLVFILVTPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDAMKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYTFTREKLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSTSTSLNHGVLAGSFPDRDMAKHSALEHNGNVASTSYGERRPVEHTGNRHFLSTIAPRANVESRNSKIENELIWHAVLESIQSDTLRKMMAKEGRLSSVSLGTAPTVQLIFSSRVNKSKAENFRGQILQAFESVLRSAIILEIRYESKNDVRASRASPILSHGENVYSNMALRGSSTKHSPISSGGENLRRRLKKDRANSSKTRWMQSDPHILTEGEIIEVGPSHMHWHDEANNDVRDVTQRRKDNAWEEESLTSPNSMSNQGRRNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENLRLEPRSRSILCWRTSRTRRKLSSLRVRSGRSRAVSRLILCGRCISTRSPR >OB01G38730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23139096:23140785:-1 gene:OB01G38730 transcript:OB01G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pathogenesis-related family protein [Source:Projected from Arabidopsis thaliana (AT1G78780) TAIR;Acc:AT1G78780] MAGADTSAGDKYRSHLAGDGEKNTVWRHGAPPTFEAVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKARLEDFKSVSPGLFRLSVNGGRALTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVHARLYPNLYHYDQIDHSCNFLKDSVDRLHAQVDEQLRAEDVEIN >OB01G38740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23146695:23149163:-1 gene:OB01G38740 transcript:OB01G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAAPPPPVAAEARGKVEAVVIDVEGREPQAPPAAPAGVACRICHLVPERDDGPGSEVIRLGCGCKDELGAAHHHCAEAWFRIKGDRRCEICGSDAQNIIGLEVKKFMEEWHGRRVANTRTTTEREHTCWRTQPFCNFLLACLLIAFMLPWFLRLNIF >OB01G38750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23152436:23152735:-1 gene:OB01G38750 transcript:OB01G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKAPANAEEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAARAARLAAEWTTWAMKNAKVVAHYGFIPLVILVGMNSEPKPRLSQLLSPI >OB01G38760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23152545:23152868:1 gene:OB01G38760 transcript:OB01G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVHSAASRAARAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAASSALAGAFPFSAAADAMAEKIGERFADLVVRIAMEEHRARTQSEDRAVCGYARTEGLIAK >OB01G38770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23155682:23161190:1 gene:OB01G38770 transcript:OB01G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MLLHHRLLPRLLLSPSAPVTASLFPTRRILSPCCCSALSQVAAPQTIDHSDDDEPQGEVQVQLPLDRLFLPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLSRESLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >OB01G38780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23162786:23163750:1 gene:OB01G38780 transcript:OB01G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGGCKKLKHRLAAILSVFTRRAGGRKRRDEEGAPPTPPPPLAFPSYSRVGGVGGGKKVAAGKHERRLSLSMPRPPPLAHITIDCAGRRSVDAADPSLLAPLDVDARKVERRLTETGLPYETGEWEGRKCPPSTPFFAPPLPPLTRWKERASVSSRRLSTHSSRRLMSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTRARASVSGPCRAPPPPSRRGTSQSCRYSFELPRGSTASAATDGGFAVVKRSSDPYEDFRKSMEEMIAEGAACGDGDGESSAERLLETYLVLNSPRHYPAILTAFADVRETLFP >OB01G38790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23175704:23176021:1 gene:OB01G38790 transcript:OB01G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLQFIYPMISVDHLPFLLLDSESCEKRLPNQGNYVFCDQTSETIGHILVLPRICPQDTPSTLCIPDLMKFGWLPWPSWPKQKKKLPRIPAGAVVNSKCHQPEY >OB01G38800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23179381:23180400:1 gene:OB01G38800 transcript:OB01G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICMHPLRKLVVGFPFFWCPTAGSRLTFIAVINLCGLLQKILLLTPVTSILVFPAAHIARAPITELGSGCATQSECHNDGFSVKVLTAGICLCLMITLNGHCDRGKMLHEQSLESSKSKISDLPRPQ >OB01G38810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23181405:23184309:1 gene:OB01G38810 transcript:OB01G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3L3S5] MDPWSEIEGKRAHDPIFQNHVRPNCRQPADGFCKEKGADAAIARAERCIWVPGPIIVGAGPSGLAVAACLKEKGIDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPADYPIYPSKQQFVAYLESYAARFGIRPTYNRTVVCAEYDEHLQLWRARTRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRARTRATGVMGEEVEYVSQWLVVATGENAEIVLPEIDGLEEFKGVVMHTSSYKSGGAFAGKRVLVVGSGNSGMEVCLDLCNHNARPHIVVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARTMLGDTAPLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRSAIKQISGRKVEFMDTRLEEFDVIVLATGYKSNVPSWLKDRELFSEKDGLPRKSFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKARGKHPDVLL >OB01G38820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23199987:23201753:1 gene:OB01G38820 transcript:OB01G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKVHQLHAAGDSDGGGPGAVAPFVAKTFHMVSDPSTNAVVRWGDAGNTFLVLDPAAFSDFLLPSYFKHRNFASFVRQLNTYGFRKVDPDRWEFAHESFLRGQAQLLPRIVRKKKKASGRELCEEGEEVRGTIEAVQRLRDEQRGMEEELQAMDQRLRTAESRPGQMMAFLSKLADDPGVVLRAMLAKKEELSAAGNDGPDPCKRRRIGADGGRDIFTELDLDPWRVFERK >OB01G38830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23203566:23210520:1 gene:OB01G38830 transcript:OB01G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEVELYLGVVLLVDDVEEDGIDDYVAVDANGEAMGPEEHGGLADDLHGGVQLHVAARGVGEDGAGVMRQLDDVDASGEVEQAAGAVTSTGKVSSAINLSGAQSFLGVADIFPSIFGHCKKVPVSRGTKSSLIVGFNSAHPTQLDPMMRNNLVSRGPSPASFQAQLNLAHWSGVSMDRLIACLLGVLLIASVGSHAARAPEQYWKSALPDTPMPSSLSQLLSSTPGGDTSVNVGWGGIHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVDVGKGGVGVNVKPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVSVAPFIYNYAATETQPHDDPNVALFFLEKDLHPGKTMTVHFTPTTAGAKFLPQSEADTMPFSSEKVSEILSRFSVNPGSVEAAEMARTLRDCEAPAAKGEKKACATSLESMVDFATSSLGTSHVRAVSTVVGKEGSPEQEYTMTAVKRAAAGGDTLVACHAEPYAYAVFACHRTQATRAYTVSMAGRDGTTVETVAVCHADTAGWNPKHIAFQVLKVKPGTVPVCHFLPQDHVVWTRSD >OB01G38840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23209216:23209920:-1 gene:OB01G38840 transcript:OB01G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHRLPGVEVLLQEEERHVGVVVRLRLRCGVVVDERRDADVHRLAAGLHVDADTALPDADGGAAGFAVAWLHVHAHTALPDADRCAAGLTIPRLHVHAHSSFPDANTGAAGLAIARLHVHAHPSFPDADAGAARLAIARLHVDTHAALPDVDRGAAGLAVARLHVDTHTAFADVDRGAAGLTVARLHVYTDATLADINGCPAGLAVARVDMDASPADVHRRVSACMQPRRRVN >OB01G38850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23216352:23220302:1 gene:OB01G38850 transcript:OB01G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLHGDDAHHEAGHAAATIPHFPYFPVPLTPPPLAEPAASTTSGERHGAPLEALQPPPPCSHPGGLDGGTPDDHQLMTTTALPMMLPAMTALDWQSLLQTCLQVVPPEAAPELQQAGAAAQADQCSGENDHGDQQLAAESGGGACSNKEKQLAKGGAGRSGKKKASRPRFAFQTRSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >OB01G38860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23222106:23222865:1 gene:OB01G38860 transcript:OB01G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:J3L3T0] MAKNCIRIARERVEKALQYSYRDIRNKKRDMRSLWIERINADTRLHGVNYGNFMRGLMKENIQLNRKVLSELSMHEPYSFKALVDVSSSAFPGNRPPVKKEGLAAIL >OB01G38870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23236292:23247375:1 gene:OB01G38870 transcript:OB01G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLTGLRKKSPIWKISTTLSYYFEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIRSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERILRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDNYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHIDLGTLMTITSKPKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTTKFEFHKEHF >OB01G38880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23250318:23252216:1 gene:OB01G38880 transcript:OB01G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3T2] MERRTTVVLYPGLGVGHLVPMVELAKVFLQHGLAVTVALVKPPLESLEFSATVARFAAANPSINFHVLPPPPPAAPVDSGSDGTSAPPIVRMLRFLKAMNTPLRNFLRSIPSVQALIVDMFCTDGLDVASELRLPVGTLNTNIYIPMTKIVIENIDRMGDSIVTSFGQLGDSIIHFPGVPPFKASALPSNILDDGEACRLIIQTYYRLQESGGILVNTFESLEARALHALKDGLCVPGRVTPPVYCVGPLVSGGSTGAKDHDCLRWLDVQPDNSVVLLSFGSLGTFRKTQLEEIVIGLQKSGQRFLWVVRSPRIDGTNLIEPLAEPDLDVLLPAGFMEATKGRGLVAKSWAPQVEVLRHRATGAFVTHCGWNSILEGITAGVPLLCWPLYAEQRVNKVFVTEEMKLGEEVAGYDGEVVDAKEVEAKVRWLMESEGGQALRRRVAVAKGEASEALEEGGSSHAAFVKFVDDLIGMQASSVMVKP >OB01G38890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23256408:23257865:1 gene:OB01G38890 transcript:OB01G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3T3] MERRTVVLYPGIGVGHLVPMIELAKVFLQHGLAVTVALVKPPLEPLDFSATVARFAAANPSINFHVLPSPPPAAAPAGSGSDGTSARPIVRTLRFLKTMNTPFRNFLRSMPSSVHALIIDMFCTDALDVASELRIPAYVFYSSPASTLAVFLYLPSMRDKINTSVGELSDSILHFPGFPPFKASELPQDILDDNEASRLILPTFQRLPESRGILVNTFQSVETRALRALRDGLCVPGGATPPVYYIGPLVSGGGGGDEGHDCLRWLDAQPDSSVVLLSFGSMGTFRKKQLEEIAMGLQKSEQRFLWVVRSPRTDETNLFDPLPEPDLGALLPAGFTEATNGRGLVVKSWAPQVEVLRHRATGAFVTHCGWNSTLESITAGVPLLCWPLYAEQRMNKVLLVEEMKLGVEMRGYDEEVAAAEVEAKIRWVMESEGGQALRQRVATVKDAQQPRRSRMEEARLMQHLSSSSRTCRLRVAWFARDFKRR >OB01G38900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23262556:23262744:1 gene:OB01G38900 transcript:OB01G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAAYPRNSPNLLLLPRPNPSKAAMATPRPPCVLMNRVVFFVGDTLDDGTSGDAFAGMPIGWS >OB01G38910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23266575:23268008:1 gene:OB01G38910 transcript:OB01G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3T5] MKQTVVLYPGGGIGHVLPMLEVAKVFLRHGYDVTMVLLEPPFKSSGSDALAVERLVASNPSVSFHVLPPLPAPDFASFGKHPFLLVLQLLKQYNERLEGFLRSIPRQRLHSLVVDMFCVDAIDVCAKLGVPVYTFFASGVSVLSVLTQLPTVLAGRETGLKELGDTPLDFLGVPPMPASHLIKELTEHPEDEICKAMMNRWKRNTETMGVLVNSFESLESRAAQALRDPLCVPGKVLPPIYCVGPLVGEGAKEGAERHECLAWLDAQPEQSVVFLCFGSKGTFSAEQLKEIAVGLENSGQRFMWVVRAPPSTTEGLKKYFEQRPEPDLNALFPEGFMERTKDSGFIVTSWAPQVDVLHHPATGAFVTHCGWNSALEGITAGVPMLCWPQYAEQKMNKVFMTAEMGVAVELEGYNSDFVKAEELEAKVRLVMESEEGRQLRARSAARKKEAEAALAEGGSSHEAFVQFLSDAENLGQN >OB01G38920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23267372:23267773:-1 gene:OB01G38920 transcript:OB01G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFIFCSAYCGQHSIGTPAVMPSSAEFHPQCVTNAPVAGWWSTSTCGAHDVTMKPLSLVRSMNPSGKSALRSGSGRCSKYFLRPSVVDGGARTTHMNRCPEFSKPTAISFSCSAENVPLLPKQRNTTLCSG >OB01G38930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23271729:23273168:-1 gene:OB01G38930 transcript:OB01G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3T7] MRSSVVLYTWMVRGHIHPMTQLADHIANHGVPVTVAVADVPSSGESRKTVARLSAYYPSVSFQLLPSAPARPGDTVDPDADPFITLLTDIRAANAALIAFVRSLPSVVALVIDFFCADGLDVAAELGVPAYLFFVSCASALASYLHIPVMRSAVSFREMGRSMLRFPGVHPIPASDLPEVLLDRDKEQYKATISFFEQLAKAKHILVNTFEWLEPRAVKAIQDGIPRPGEPAPRLFCVGPLVGEERGGEEKKHECLRWLDVQPARSVVFLCFGSASSLPAEQLKEIAVGLERSNHSFLWAVRAPVTPDADSTKRLEGRGEAALESLLPEGFLDRTRGRGLVLSSWAPQVQVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRMNKVFIVEDMKLGVVIDGYDDGMVKAEEVQAKVRLVMESEQGKQMRERMALAKEMATRATQTGGSSMASFADFLGSRKIDLVNTKTQSLS >OB01G38940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23278298:23279725:-1 gene:OB01G38940 transcript:OB01G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3T8] MESTVVLYTWKARGHLHPMMQFAEHIASHGVPVTVAIADVPSTGDSPETIARLSASYRSVSLHLLPPAPVARSGDTVDPDADPFITLLADLRATDAELVAFVRSLPSVKALVVDFFCAYGLDAAAELGVPAYLFFTPSASALASFLHVPVMQSAVSFGEMGRSLLHFPGVHPIPASDMPDVLLDRDNYQYKTVIAFFEQLAKAKHILANTFEWLEPRAVKAIQDGIPRPGAPAPRLFCVGPLIGEERGGDQKKHECLRWLDAQPAQSVVFLCFGSVSSVTVEQLKQIAAGLERSEHAFLWAVRAPVAPDADSTKRFESRGEASLESLLPEGFLDRTRGRGLVLPSWAPQLEVLRHPATGAFVTHCGWNSTLEAIAAGVPMVCWPMYAEQKMNKVFIVEDMKLGVVMDGYDDGMVKAAEVEAKVRLVMESEQGREIRERMALAKEMAARAMEVGGSSMASIADFLSSLKLATSTDN >OB01G38950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23278527:23279165:1 gene:OB01G38950 transcript:OB01G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMNTLFIFCSAYIGQQTIGTPAAMASSVEFHPQCVTNAPVAGCRSTSSCGAHDGSTSPRPRVLSKNPSGSSDSSDASPRLSNRFVESASGATGARTAQRKACSLLSSPAAICFSCSTVTELTLPKQRKTTLCAGCASSHLKHSCFFWSPPRSSPIKGPTQNNLGAGAPGRGIPSCIAFTARGSSHSNVFASMCFALASCSKNAMTVLYW >OB01G38960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23287167:23290384:-1 gene:OB01G38960 transcript:OB01G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGGALNNDGALYAWVEAAKGCRRPMEGKEARCERWWLSSREKEGWTMVEVAKCCQGQKECGKETEAYEACISLSGAVRRVYHMYGDQNPGPNGSTTTPTNRGDIIQLPVQQWLQHTAAALLRLLQGAVIPRPCPSSIRPLAPNHSALRSQLCRGKLWFAMAGKTVLLYPCPELGHINPMVQIAKALVRRGASVTLAVADPPDKGAVLADVIAGVAAACSSIGVRLLPIPSREDKAYSHPVMWIVDAVRLANPALRELMRSLPAVDALVVDMFCIDALDIATELAVPAYVFYPSAAGHLVISLQVPYVASSAPSSFKDMGDTVLKFSGVPPVRALDMPDTMEDRERDVGRARIQHCSRMPEARGILVNSFEWLEARALEAIRGGHCLPTGRSAPEIYCVGPLVDGGKIGESGGRYECLEWLDSQPKQSVVFLCFGSRGTFSMAQLSEMARGIEDSGHRFLWAVRNPPGHRSKLAEVDLEPLLPEGFLERTKGRGLVLKNWAPQSEVLQHGAVGAFVTHCGWNSSLEAIMSGVPMVCWPLYAEQRLNKVHLVEEIKVGVVVEGYDGELVKADELEAKVRLVMESEEGKRLRERSATAKVMAADAVKDGGSSDLALAEFLNNLGTK >OB01G38970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23294715:23296130:-1 gene:OB01G38970 transcript:OB01G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L3U1] MESKPSRSVDVVVLYAGMGVGHLLPMVELAKLFLSRRFDVTIAVPATPGSAATGSPTIAGIAASNPSVTFHHLPPPPSYADPDPNPLLLMLDVLRLSVPSLVSLLGSLPSVSALVLDIFCAEAVNAAAALHVPAYIYFTSSANTFATSLGLMYHDSTTTASLKDMGKAVLRFPGVPPVRASDMPSTLQDRESRFYKARTKLYACAMESSGVLLNTYEWIEARAVGALREGACFPGRPTPPVYCVGPLVGSGKEEERHACLAWMDSQPARSVVFLCFGSMGSFSAAQLKEIARGLERSGHRFLWVVRSPRQDPTNLLERLPEPDLAALLPEGFMERTADRGMVVKSWAPQAKVLRHAATGAFVTHCGWNSTLEGVASGVPLLCWPLYAEQWLNKVLIVEEMKAGVVIDGYDEELVRAEEVEAKVRLVMESDAGEKLRERLAMAKAKAVEALAEHGPSRVAFNEFLDRLVNSE >OB01G38980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23296422:23296722:-1 gene:OB01G38980 transcript:OB01G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding REPLEEGCVPALPGAIGGGLRRRAGSRRRRGPMGRGRRRFQAAQAVARGRLDAWRAGGSWTAASRARRPRARPTVPFRRTEAGRCCRGRDGEDAARKMR >OB01G38990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23296494:23300071:1 gene:OB01G38990 transcript:OB01G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLQSMNLLRATRPIALAPPPAPPETFDGPGPWDRAAVEIRLDDATLLQWLPGGGEADCQEEMAEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEVERIAVFSDALNHASIIDGIRLVERQQEVVAFVYRHCDMHHLDFLLSSCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFLLVIDDAHGTLVCGKNGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKERWRRSVIWRHVQYFASLTKLKITSPIISVVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSSDDIKRLVDALSPWLPDKHAEQTCVMAAKL >OB01G39000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23302249:23302713:1 gene:OB01G39000 transcript:OB01G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVTLEDLDTDFLGTAARHPLSVNATLNADISIKNPNVASFRFGRSETDFYYAGETVGVAYAPDGEVGADRTVRMNVTLDVLADRVSRNVNATDLILGQDYNLTSYTEISGRVDVLGIYKRDLDIKMNCSITLEVGAFSTAQSKSTDCVASVS >OB01G39010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23306465:23307252:-1 gene:OB01G39010 transcript:OB01G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIGDSSKSGERVVANSGVVELTSANCHVWALVIQVLLEALELWDVVEAASKYCTKDRHVLDAILRGVPFEIKATLAVKKTKEAWDAIKSMRVGDDCVKATSVQRLWKDFEGIIFTMASRSVVLEWSLTLDDQSCDHGNDAAKLKPR >OB01G39020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23311692:23312183:1 gene:OB01G39020 transcript:OB01G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFARSNIVISNKQLPSLNILSTTYSLTSGKAHLTNQAIHSFIPLNVKGLKKRESNIVLHCLRAPNCTNASVTCCASDRRRTARAARAPTAVSPAAWRPAWGWARTCTRGTTRSGAARRRGTRRGTCACSRASRAASRRPCTRLGRLSTWHRRPRRCCRRGP >OB01G39030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23311909:23312490:-1 gene:OB01G39030 transcript:OB01G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGHFSGVLTPTSSSSHLSITVPRVLFVAEGSESPDAYSSGAAAAASPVGLDAAAIASYPKVPFYSTGADADAMCSICLSEYTDGEMLRVMPDCRHRFHVVCLDAWLRRNASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRSR >OB01G39040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23320439:23320603:1 gene:OB01G39040 transcript:OB01G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNNTIPIPYYSMCVSYIDRKSYLAKQQQMEYNKKSKLSFNGNRGINFYLIEWLG >OB01G39050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23321966:23324011:1 gene:OB01G39050 transcript:OB01G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3L3U9] MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDEKKGSSSSSSAAAVKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLVCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRELKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVALYTVPVLYEKYEDKVDALGEKAEIELKKYYALFEEKCLSKIPKGPLKDKKH >OB01G39060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23326212:23326979:-1 gene:OB01G39060 transcript:OB01G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSSPPLLPDLGSFSDSWPTNKPLAGPTNKPLARADDVDIDMHPARQCSFDFSPPATLECSEQAAEMADADQMFCDGLLLPLRFIRRPQEGXXXXXXXXXXXXXXXXXXXXXXXXPPASAIHTAPAGRRRRQRRRPQAGCRPGAPAIALAGLIPEDDGDDGPREQAQAPPDGLANVAVFLAFISPRRGDSVERHTRGAARQAQAAVLREVRPRAAEAAPLVQAPELPCTAVSEDGELRWEEKHHDEALLRTCR >OB01G39070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23330705:23338154:1 gene:OB01G39070 transcript:OB01G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPAASAAATAKATISVEYAKSGRSTCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPTSSHPLGPVEKVKGFDSVKEEDREEVRECYKKCKRDQTSEGPTEESSPKKVKVSLSPPAAGVAESASISVVYAKTGRSTCKACNENIASGALRLGATIRDPRGFDSTKWYHIACFPASTYPAFSVENLEGFDSIKNCKNMTDQLNEPILKKEVVHNMADPKGTENNLKGAKIVAEDKKAGPVIPFSVSDIEKNYKDATLPAHWKVFRTVIFREQDDGLQPSAKIAAFDFDGCLAKTSVRIVGADKWSLQYESIPEKLQILYNDGYKLVIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQVFIACGLGKRKNTPDDPFRKPNTGMWWLMTKHFNSGVAVDMDKSFFVGDAAGRENDHSDADIEFAKAIGLKFHVPEEYFNESANM >OB01G39080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23339346:23341523:1 gene:OB01G39080 transcript:OB01G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDSKGGVDGGVTVDVTLSPNAVLPGFAKRPPDATGAGRSSCRGFPRRARAAAAEVWAFASDGAGCRVAFALKAGIAMLLASLLALAGEPFRLFGTNIVWSILTVGIMFEYTVGASFNRGFNRAVGSTVAGVVAIVVIWVSLRCGSVAEPYVIGLSIFLIGAVTSFVKQLPALAPYEHGFRVILFTYCLIVVSAYRVREPIAAALDRLYAIAIGAALALLVNVLVFPAWAGEQLHRELVASFAAVADSLHDCVRCYLTGDETIDDGGLSGGSEPSIEKCRATLNASARIDSLAPDGVREAFRAEIKDAAEQAADLVRSMGSDVDGMTRSSAERLSLLKGVHGSAYRLQLALALNSQLLVSSGSESEVNETSGLQERSCSRLRESTRRQRLSWPSREADELEEMEAGGGYAAMVRMRALKSTRALSLATFASLLLEFVARLDHLVDTVDDLSKLAKFREESDPM >OB01G39090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23343296:23343922:1 gene:OB01G39090 transcript:OB01G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIVENFGDGTYTVAFDEDRIYTTLTACGEDVECWLDDILRIHRRRLNRLVVGLDVEWRPATYYSGPGPVAVLQICVGRRCLIFQILHADYVPDDLFDFLADDRFTFVGVGIQDDTLGKPGLRNTGLQGLVWEVLGLWPEKPQHVRVSAWDSPRLTLEQMKYACADAFASFEVGRSLYYDYG >OB01G39100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23345366:23350735:-1 gene:OB01G39100 transcript:OB01G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MRVEAKVADIYRVLFDSAHNANSLMLELWREQHTEYLTRGLKHLGPSFHVLDANRPWLCYWMIHALALLDETPDEDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSINRENLYKFMLRMKDTSGAFRMHDGGEIDVRACYTAISVASLVNILDGELAKGIGNYIGRCQTYEGGIAGEPYAEAHGGYTFCGLAAMILLHEVDKLDLPSLIGWVTFRQGVECGLQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRAPGDDACGTSSSNEALCYTKFGFDFIKKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSALVDPDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSSE >OB01G39110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23351147:23355305:1 gene:OB01G39110 transcript:OB01G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVGARRALLAARYSPRGALASPVRRVDSPPSLPADRTCLRSLVPHRGAGNFASEQIDGDYHSDEWGARNTENYRESHRADRPSHQVQADIPSTDSSVGADRIRGVNSDGNTNAHYRRNPGQTEFPNHHEPYISARVNNGAPGFNDRQPYVSVNTQYRSNSLQPRQMCGPYGFANPHEPYTSAKANCEAPGYKDKEPYGGGGPYNQQTPSGDLPNTHQQYSHTQGNNSANSGNGTGQTYHHYHGSDAYRSGYNSQNNQQAYDNRHYGYGPSGQSYQKSTGNDQQVFQKQQVDQISAGNSANRPGSSSQYGIPSRFNEEHVARFQQGHNGDIGHNISHTQHSPYVSSKIDAQSNLPGRPMNVNTAVQGNTHGIYKEKHFDIQPNALAGNHLSNASAPYQDGIYRNHLLTESPLIDESSGVSDVACGKSKVTVEEMDKLCEDRKIKEAVELLALLQEEGTVVHASQYCKLMQACGDVASLAEARKLHNLISQSALAADTDINNKILEMYSKCGSMEDAKKLFSSSIAQCNLISWNTMIAGFVHNGLGEEATDFFDQFMQTGDNPNSAMFTHVFLACGILGSVDEGMLHFESMQKDFGITPTMEHYVSIVNMLGQSGYIDEACEFVEQMPVEPSIDVWESLMNMCRLNGFLEIGDRCAQIVERLDSSRLNEQSKIGLFPVDASELTKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTAFKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >OB01G39120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23355369:23356579:1 gene:OB01G39120 transcript:OB01G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGARRALAGLRSASASRTLSRPAAAAIHSPGVAASALPRAPRRRLAFSRVPVAALGGVHGLMPLHSATASALLTSMLGLKPGSWGWLSEGLQDTV >OB01G39130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23357687:23365657:-1 gene:OB01G39130 transcript:OB01G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSQPAAELELVGSSSSAMTPPPPTATRTWDDGDSDGDDEGTSEFAGFTDQRLQESIKKLRCLALLKLPDGGVKLRRHIRRMEKEVDRRRTAGSRKLAAKLICWEIGSYRNGGCCRVQDVMTWRRAVQPPSQDNYHDSKDGSKFSQGIVSSKYHLNLATTPANNYEQVEESAFFKELSYFGQGKHACLKKVGQSASTPVSHTKRTDDKKMNMDNEIIYNKRKLGLKSCLRKRQRNISFDSNCAYDKPHTKEDTFGRSTKRWEPSKNHTAQSNSKERKKQKDVVLLDDEDMEPAESDEITHKLDESKIYYPSRTDMETVELTYSDIKCLEPEEYLKSPVINFYMQYLRKSRTCGDLYMFSTYFYSKLEEALSRMGDHDDSQFRKLRRWWKNVDIFRQAYIILPIHGKMHWSLIIICMPAKETGAGPMILHLDSLGLHSSRKVFDVIDSYLKAEWQHLQNDSSYIIPFSGRIWSHLSKNISKEKIEVPSQPNQYDCGIFMLHYIERFIQEAPERLTRENLRMFGRKWFDPEETAVLRDRIQSLLFDSFESTQMDGELSQSDDQPGGEENQPGDEDKDGDTAVMIVVID >OB01G39140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23378404:23378661:1 gene:OB01G39140 transcript:OB01G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAAFMLALLSPAISHRLRTNPLHAPKKEKNVFFFLLRKREGERGRKIILLLLYCQPRTPRQEGRQGGGRERQGAARRGEPREG >OB01G39150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23378571:23378939:-1 gene:OB01G39150 transcript:OB01G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTHHLPRRLPTATGNAPQDPNPPGQATATQITASLTQPNEHTAGADQEASGHTALLSSAQLSSSVANAEIPGPALAIDPSAAKCNAAPPTYPSLGSPRRAAPCRSLPPPCLPSCLGVRG >OB01G39160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23379077:23382833:1 gene:OB01G39160 transcript:OB01G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGAYADPFLPPKMASSVYVAPTGEYGQPQPQPAPRPPACPYASSSSPPPVSASYHSWPPATSASPVSSAAPVSSPPESFPSSPPPALSPPPPESPPPSLPPSPPPSPPPSPPQVEVNAPPPPMATDQPRVQPRVYPSPPPPSLPPPPPPTFSPPSPPPFHPPSASPVPAPAPAVVYPPPPPPRVTSPPPPRYHIKPHYVPRSSARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPAPLPTQQPAPLPPPNYFPSSGGSSLTSDAFFRSPGYPLRMFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCISDGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLIKAIEHRDFGGLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >OB01G39170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23383251:23386382:1 gene:OB01G39170 transcript:OB01G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVGRTQQWMAESYHLGLDWSDGEARPRMDVDLSVAVAVARYFPVLLFASPSSVLRASLSWARSRWEPTGTQYTGCWPKRGSPSPANRMREAEWRGSSVVTLGSRHAVPRRYNAGMGRKRGLTENFWPIKNNVEASCRRPAARSHHTSTVGNWELRIAGGAVDTLSPRSPSTTWEYSPPVSPTEAKYQLQPAERASMGMGMDMDMGMDILAMQLINARDVMEEEEEEEESRAEWMPDLLMTYA >OB01G39180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23389606:23393420:1 gene:OB01G39180 transcript:OB01G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVRDLRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKRFGECEMIMNVLRQRLGNTGANWRHVYKALAVIEYLLANGTERAVDGIVDNSSQIAKLTRFEYLEPNGKDVGLNVRKKAETVLALLDDREKLQEVREKAAATRDKYFGLSSTGITHKSSAASFGSASYSSGSRYGSTGGSREVGSFKDSYKDTEWRKNNKETRSNYSRNSEGSKEITDSATSYKSKKSERHGRRNQDFSTSHSKSSANLSTTPEAPSSKKWENEDDDDFNPRGSSMSDDFNPRGSSMSATTRSNHLDLFGPNLMDDFVDSSTSTSAATPVPEVDLFADTAFQLADAPLDAATVSHTQMSMQDNIDLFAGRLSSANSITSDTQFSVRGSPNKSSEQKSSSIAHPSPSVFDPFQQSFAASFPSNTEFSFSGSTSRSSQGKSPRPQHSTSNVTELPWDSSGGPRSSDRRPLEELNFGAFTSNSGSATTSSTESIKMLGQDSMSASKSAAKKETFQVKSGIWADSLSKGLIDLNITSSKKSNLSNVGVVGPLSNGSKDKGPDTTWYMGETMDITGPCQV >OB01G39190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23396104:23401614:-1 gene:OB01G39190 transcript:OB01G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3L3W3] MASHIILPKEEEEAELGVAVEEDHDSPAAPGHQRQGPVAKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIERRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADRLASKSPVPVNTKEHGEDAKKLANGEK >OB01G39200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23404555:23410411:-1 gene:OB01G39200 transcript:OB01G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKRHGSSRFASTSIVKQSSGGLFGWLLGDKPSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSMYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFDWEVKEQLEKIKAEIAEVSEDPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLAASGVEHDELVSIAEPLLLDLPSVKHPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWYEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHTTTSPDFASKAVDLAARELLDVATPGQVTQEQLDRAKQATKSAVLMNLESRAVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVSQKFFSK >OB01G39210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23418731:23422115:1 gene:OB01G39210 transcript:OB01G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISELGECDGVRVEGEEEEEQQAEEASVGVGGVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQYVLLGAVPFPSDVPRLKQLGVQGVVTLNEPYETLVPTSLYQAHGIDHLVIPTRDYLFAPALQDICRAIDFIHRNASEGGNTYVHCKAGRGRSTTIVLCYLIKYQSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFSTLTTGCLPMRSANLGHFLEAGDDCITNTEIDDYYSMELDYEDSGLPLCQVMLPRPTSPTVCTDAVLITEADLEGYDTYAGTRKDVVSVEVVVSRKPIMRRLSCLFGSLKLTSNCEPTPSRFAEVRAC >OB01G39220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23427166:23434180:1 gene:OB01G39220 transcript:OB01G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKSRDTSISDVGRYDKVEVSVVLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPTLMLGDIVISVETAARHAEERGHTLLDEVRIQAVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKSQTETLDEQVTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAAIDALSMVDLSGRTGIVSASSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEHKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVRSIDQLLGTAEIQKVLFLETPEGISSSLRPFWEKAIEGRARVVQAQPDMLELVPPATSKGDGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSERTKAVANIIGATNDEDGVAQAIYDYAF >OB01G39230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23432031:23434180:-1 gene:OB01G39230 transcript:OB01G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGGAAVLPVYHEEEDEDLFETSSSISGDSDDEAQFSDSEEAEAQEYQFAQQAASQATRLNSVSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACLSEVRCLEDLRKKESPYKKIKSSKSYVALDGNQQACHIPGANSTSIAKKSSGSSCANLMARNNTKNMLYRPPPIPVHKNGYHQ >OB01G39240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23437748:23437924:1 gene:OB01G39240 transcript:OB01G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGSCLVGRGMQDVPLWVQWPSWERTTPFHCSGWAHRNNEKGEKDVKVLMDASPVV >OB01G39250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23438433:23438630:-1 gene:OB01G39250 transcript:OB01G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPAGQRRTDDMSYVFGSCLLYVTDLDFVMCVVLCTLSLCAINRPVKPASEAVARRSAAAFVL >OB01G39260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23445357:23448470:1 gene:OB01G39260 transcript:OB01G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G56590) TAIR;Acc:AT5G56590] MAHEALVAVFFLLLVGHCLGGKVGICYGRNADDLPAPDKVVQLIQQQSLKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTENPVNVSALVVPAMRNVHTALKKVGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQAQDVIDPNTGLVYTNMFDAQIDSIFFALMALNFKTLKIMVTETGWPNKGAVKETGATSDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANASETDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGMRTTKDPSYDTCVYMAAGSKMSTTNSSALPVLNGSSPSRLDERFSRLLSMLAIWIAACVL >OB01G39270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23462181:23465253:1 gene:OB01G39270 transcript:OB01G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAGGGGQRQPVFTCRFSAGRRATRTRSLTSESSMTRSTGRKLRSWLSTLHGDRDAQARREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPAGSGRVSRANPGSWLILQPTGAGPASWKPWARLEAWRERGPVDALGYRLELVFDSGPTECAVPIAESSISTKRGGQFVIDPATFPVGAAGAPWPFSGGFVMGSTVEGEGRTSRPTLQVGVQHATCMGDVALFVALAAAVDLCMDACKLFSQRLRKELYHDQED >OB01G39280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23470638:23477538:-1 gene:OB01G39280 transcript:OB01G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDAASLLLSPSPPQPPPKRKGGRRLLCFVSSTLAVLGASLSLLVLAVHSAPSPPPNYGSLFLSLASNDTAAIHLRALTLHPHVAGTRANSLAAAYVRDAFSSLSIPSRVTPYSVLLSYPVERSLSLTVPGRATTKSFSLSQETYPNDPYASATAEVIPTFYAYSASGSVSAEAVYANYGREEDFAYLTSRGVDVAGKVALARYGRIHCEDIVRNARVAGAAAAVVYTDPLQYGGAPGEAWFPNSRWLPPSGVQVGSLFLGVGDPTTPMWASSEGCERVSVEEAMATDDMPGIPALPVSARDAAEIHGALGGDAAPVDWQGRGGGPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTASMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENMEMLSSRAVAYLNVDVSVVGPVFRPSATPQLDELFQETMKLVQDPDNSSQTVYDSWVKSNISPMIRRLGHAGSDFAAFVQHVGIPSTTMGFGEGPGYPVYHTLYDDFVWMENFGDPGFRRHAAAASIWGMMALSLADEEILPFNYMSYTIELEAYTKLVENEVRGTAISCSPLHNAIRALKTAATKVNGERKELQRQLLSNQLIKDSLKTRSLNDRLMQAERAFTSREGLFKQEWFKHLVYGPSEQNDWESASFPGVGNAIASARRDNTTESWKFVQHEIYRVARAITQASVVLTGNLT >OB01G39290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23481619:23485936:-1 gene:OB01G39290 transcript:OB01G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSEGCERVSVEEAMATDDMPGIPALPVSARDAAEIHGALGGDAAPVDWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTASMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVFRPAATPQLDDLFQETMKLVQDPDNSSQTVYDSWVKSNISPMIERLGDGGSDFSAFVQHVGIPSTSMVFGEGPGYPVYHSLYDDFVWMEKFGDPGFRRHAAAASIWGMMALRLADEQILPFNYMSYPIELEAYTKVVENELRGTAISCSPLHNAIRALKTAAIKVNGERKELQRQLLSNQLSKDSLKIRDLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASFPGVGNAIASARRDNTTESWKFVQHEIYRVARAITQASVVLTGNLT >OB01G39300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23492501:23498948:-1 gene:OB01G39300 transcript:OB01G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGKPQQRQPLLLPDAAPAGDRGGDGGGGAMRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGSNDTAAAHLRALTTRPHVAGTEANAVAAEYVRGVLSSSSFPTRVTPYSVLLSYPIHRSLSLSLPGHAAAFNFALVQDTYPGDPYVEASAEVIPTYFAYSGSGSIAAEVVYANYGNTKDYAYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGTGAKGKRKWFPDERWLPPTGVQVGTLYYGNGDPTTTPLWPSCAAGEDCERLSKEELDGSAAMPGIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNETLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLYKLEKKGWRPRRTIIMCSWDAEEFALIGSTEWVEDNMDMLSSRAIAYLNVDISVFGPGGFSPRSTPQLDQLIKEASQMVRDPDDPSQTLYDTMIRHHPPIARVAGAGTDFAAFLQYIGVPSLDMSYGIISAAAISLASANIIVLAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPFNYDSYASELEKCTKVVEGGCPGCPVSFAPLHKSINQLRKAANKIHKEKMMLQADNWRLKTRDYTVKVRDINDRLMMAERAFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTAESWQLLQHEIYRAARAVSKASAVLDGRLT >OB01G39310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23501725:23506533:-1 gene:OB01G39310 transcript:OB01G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51940) TAIR;Acc:AT1G51940] MDAIEAANGMAGPDPITTGKVYYIPLNSGEQMFRDNSIRQFDQTSMFTHYIPSWTTLCCDVSSYSYSCTSPCTKYIPRYGNVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSISHKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGGDHHTSIPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHSSLVELIGYAASKDELFLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRTDGMNEGSNSERRSLASVMLSALRNCRNSMYMGSLKDCIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSTEWEATLAGNSQVFSGLVAGR >OB01G39320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23511972:23516688:1 gene:OB01G39320 transcript:OB01G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFDGRNNGDGPSRRLEYILAWGFKKATNTVTPSGNWRVGDRTTKAGAFMSGGGTARSRILSCVKYDLLSKLTAGDGRCWPAGWGADSGRRRGPVGSGSGIPDDSWVPDDVWPAWAALSGQQNRFLLGIKSQPTKQDLKRCIPAIYSSRTCKASAPSVHPLAYAALDKCVVCRIICHGFSAKKEGKAGVGVFTVRIYLKHACNTYVLEIEKTRTTVAPYDVPSTAKHRLVSLSFTTSTSGRTYESIEVPGGGDDDPAATRKALLVCRVIARSGRTYESIEVPGGGDDDPAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSSICSSARSGPTPTSRSSTMLNPRALLPCFVVICKA >OB01G39330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23521148:23522092:1 gene:OB01G39330 transcript:OB01G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGEKAATPASNAELGSSRRHRKRQLIRGSAGERQDDRVLSKIRVVSTESSPNSTNGAANRCVVQAEDKLIVHEDNADISSAPVIGNAVRTRLAELSATAPWFIARKVLQNSDARREQSRLQLSCKGKDIGPRRRLEEALTEAEKALVRSKNEGLEVTALDRRGREYSLNCRYLESAKSYRFIQNWVEFLRENNILIGKHECLNRRVEVELWAFRSRELRHATGNKDAGHQDGALGFIVLHKDEGDEVEQQQEAAVGAPVPDTEEKPARTCPEKGEKLSSLETLAAYVIASLWTGTRSRQTKHKSDDDRRRP >OB01G39340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23528841:23532087:1 gene:OB01G39340 transcript:OB01G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3L3X8] MEESSKKRERLPQLLDLIPDEKEWKLREALGLGRSRNAGFEGEEDKKLDLKLGLPGLTEEDKAATSRDYCRLQQENSALSLGFFPKHSKTTTTTTTTPTTTGAKRGFIDTVETKTEGYNDQKQQARAGCGKELAVEEIIAAVNERKKGCCPPPPSHGAPAIIPARNRPQAQGRGAAAPVVGWPPIRSFRRNLASSSSTKQSPEPQNDEASAKVTLTCKKNPFVKINMDGIPIGRKIDLEAYNSYDGLSSAVKELFHGFLQAQKDQANVEIAQQGTDDEIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWNVFVSTVKRLRVLRSSELSHTLIGTTSSARI >OB01G39350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23535605:23535835:1 gene:OB01G39350 transcript:OB01G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANYNLVFPNYYSRGIISCSVSGEKLDFCVLYWQHVHISTSSQVLYVEQQYKDNLLMIYRIKQYFTVHSTVKPFF >OB01G39360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23538598:23541591:-1 gene:OB01G39360 transcript:OB01G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGYPTGYPRVRKIPVPIPVSIQVGYGYYLRVIREEEGNDSIDNPKWGRIKDKYRRMVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMIGQGVYGVGPVLAALMAGRREFYAMYMQEGMNLSGSNKKKKDKKAIEKVLQLAESIGLKIIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVKVDGGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAVPLTEVVPGEPTILVLGSEGTGLRPLVERSCTHLVRIPGNVDGSFVGADTETDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLTGANASDVS >OB01G39370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23542045:23542479:-1 gene:OB01G39370 transcript:OB01G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYVRHFVKDLGICLSECQAMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAIERLNNTCLDKKPE >OB01G39380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23553007:23555653:1 gene:OB01G39380 transcript:OB01G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLENLDLAINNLTGSIPAGIWSLKKLQNLFLFANNLTGHVVVDGALGAVNLVAIDLSANHKLSGPIPEGFGLLQKLQILHLYFNNFSGEIPASIGRLPSLNQIRLFNNRFTGVLPPELGQKSPLWDIEVDYNEFTGPIPEGLCDRGNLDSFTATDNLLNGSIPGRLAGCSTMKTLRLGNNHLTGEVPVELWTAMKLEYVELHNNSLTGILPTTMYRNLLSLNVENNLFRGSIPAAAAALQKFISGNNNFSGDIPASLGNGMPLLQNLNLSGNQLSGKIPKSVAMFKALTQLDLSRNQLSGEIPAELAAVPVLNALDLSSNRLSGDIPPSLARLNLNVLNLSSNQLGGQVPAALAPAAYGRSFLDNPDLCTSGLGSSYLAEVRSCASGSPAGSSSSGVSPGLRAGLLAAGAALLLVIVALAFFAVRDIKRRKRLARGDGWKITPFQPDLGFSEAAILRGLTEENLVGRGGSGSVYRVAYTNRYTGGDGAVAVKKIRTSAGKVDEKLEREFESEASILGNVRHNNIVRLLCCVSGADAKLLVYDYMDNGSLDGWLHGRRGINAGQAMSRARSARGVAPALDWPTRLRVAVGAAQGLYYMHHECTPAIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTMSAVAGSFGYMAPECAYTRKVDEKVDVYSFGVVLLELTTGKAANDGGEHGCLANWARHHHQSRGSIPDATDKCIRYAGYSDEIEVVFRLGVMCTGASPSSRPTTKDVLQILAKCAEQTHQKCKVEGGQEYEAAPLLLQQGSRRKQLSKAKGCAEQTHQKCKVEGGQEYEAAPLLLQQGSRRKQLSNAKGEDDSDERSDFDSIV >OB01G39390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23555509:23560044:-1 gene:OB01G39390 transcript:OB01G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3L3Y3] MREAEAERRRKAAAVIEEVEQRFSTPTALLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVSQQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPRSGDMVINMEWGNFKSETLPRSEYDSVLDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQRFVLRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDLVAERGAHLAAAGIYGILKKLGRDSVPSDGSQKQRTVIALDGGLYEHYKKFRTCLEATLTDLLGDDAASSVVVKLANDGSGIGAALLAASHSQYASVE >OB01G39400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23562879:23564648:-1 gene:OB01G39400 transcript:OB01G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGSELGSPAAAAPPPKRRKIEPSRRNRPSQVALDRDKVAASSSTLVSGTLPLIVDLNKVREAKRYAVFQAQHEGCLGSYKSFDSSFGNYLVPVIPSNDFFVQTKNK >OB01G39410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23574181:23576058:1 gene:OB01G39410 transcript:OB01G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMRWLKKLLTGRKEAHKGLKESHALSDGAGADKEKRRWSFAKHRKSGVDSGRRPPEGAPAAAVAAVAAEPSEVRRPCHCGEVENARAWREKAAIVIQKAYRGYLARKALRALKSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERVSVEETRLKPTAPGHRRRLSDSADSNYERSPRIVEMDTCHLRSRSSRITSRHSRDHSSDCLALAQAPTPPPLSRSSSMSIKQPPRLSIQRSHHQREPDSRHAKTAHNTPRLGAPPYGSSPAKSVDGMARRLSHRDGALVSPRYMAGTVSSAARTRCQSAPRQRQGAEAPARASLTRAGPRRSCSRTTQDSGFCFECSEDSRPDYSGELSDEAARDYYLDRMW >OB01G39420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23598142:23601482:-1 gene:OB01G39420 transcript:OB01G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3L3Y6] MEWVRYMGGLEHSTFHHALARAFPSYTLVVDRNPAFGDFTTIQAAVDSLPVINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADKTIVQWGDSADSPSGRAGRPLGTYNSASFAVNAHYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKDCYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRARGTFSRVVFAYTYMDDIIVPRGWYNWGDPNREMTVFYGQYKCTGPGASFSGRVSWSRELTDDEAKPFISLSFIDGTEWIRL >OB01G39430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23603984:23612259:1 gene:OB01G39430 transcript:OB01G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRRSVAQLYARLPLCPPPRPVTARLREPSSCLXXXXXAASYHVLVAPAPSYYHSLFLSLGSNDTAAAHLRELTLRPHLAGTEANARAAAHVVSSLSSLSFPTRVAPYSVLLSYPIHRSLSLSAPAHATTPFALVQDTYPGDPYAAASAEAVPTFLAYAASGSVAAEAVYANYGRPEDFAYLAARGVDVSGKVVLARYGKVYRGDIVINARKAGAAAALIYTDDKDYAAGKAFPDGPWMPPSGVQVGSTFKGVGDPTTPMWASSEGCERVSIAEAMATEDMPGIPALPVSGRDGEAILQLIGGDVAPEDWQGGDGAPVYRLGPGPAVLNLTYVGNETMATIQNVISVIQGKEEPDRYIILGNHRDAWTFGAVDPNSGTAALLELAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQNPDNETQSLYDLWMASASSSLIGRLGGGGSDYSAFVQHIGIPSVDVSMGSEYPVYHSLYDDFVWMEKFGDPLFRRHVAVASMWGLVALRLSDEEILPFNYSTYAVELENGAIDINERLLGVPVSLSPLQKSIEDFKRATLQVDSELKALQTWKVWYPWRKNPLTVRDLNERLMMTERAFTDREGLSGRPWYKHLIYAPSLHDDYGAQVYPGVDDAIQMAERTNTSESWRSVQHEIYRIARVIKQASLVLSGGLT >OB01G39440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23612387:23618246:-1 gene:OB01G39440 transcript:OB01G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRALLSHILHRPPLLLASRGGGRGGALPSRLRTLRLNCSVAEAAASGDEAPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWLSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSLPGLEFDNPERPECNNLLSIYQIITGKTKEEVVGECQNMNWGSFKTTLTDALIDHLQPIQIRYEEIMSDPGYLDDVLSEGAGKAAEIADITLNNVYQAMGFLRR >OB01G39450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23618577:23624666:1 gene:OB01G39450 transcript:OB01G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:J3L3Y9] MVASLPAARRARVLLCTLARASPESPLYQLRVVASRTAFRETARRCWLRWSLCRPGARTVSGMAGRGEGNDAATVGVVTGGVEDAYGEDRATEDQPITPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLPQELQEKRLLQNMRQFPVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILEVLRNWPEKSIQVVVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLNEFMDAVKQNYGQKVLVQFEDFANHNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAGQKFVGGTLADHTFLFFGAGEAGTGIAELVALEISNQSKIPVEEARKKIWLLDSKGLIVSSRKDSIQPFKKRYAHEHEPVKNLLDAVKAIKPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNNKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEALADQVTPDHIDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLLRPKDLVNYAESCMYSPVYRSYR >OB01G39460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23625305:23626945:-1 gene:OB01G39460 transcript:OB01G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQYWQQHDFLKRNLASRNPVKHGNVIDRDEPCFRSMKSLATRNTSVEQRRQICAAYSPKLALQAPLAFWPRWAYEMYDSYSLARRAADLWRQIIVNPSMDDYVRNPDILSYHIGSKLPMSGSMIQELLEIDGISYRLQKEIQLLKAFNIIRCKNFMTLNARRSDGSVGAYVKQFDSAQEMMTVYNATGLALRGNPSKAHSLFPGYTWTIALCAAYESNIGWLFRADKTNLLPKSLWGLRDSQISDDTTGHN >OB01G39470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23634851:23635111:1 gene:OB01G39470 transcript:OB01G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGQEQSSDQSLNESLLLLLRKFVGLNTWSNALRESWFFNNWKELSVPVSLSTFLLLGLEVSISGPILIPHRSPRFYLHAMFEL >OB01G39480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23636439:23638576:1 gene:OB01G39480 transcript:OB01G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLRRQAASMKKSLFDQGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFSRWDAYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >OB01G39490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23646106:23653273:1 gene:OB01G39490 transcript:OB01G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPNFDLPLDSSDEELRDYLIDGAALCYIADKLMPGVLEGMWGGYASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGSMSSIVECLLAIKDSVTTQLGGHISNCATKTPIRRKLELRETDGPVLSVATPGRRSPGEERRKGFWDPKSQQRSPLLSGQMVNEVVQFKQGSYMDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRICIQADHIRNQNSITKTREDKYRSKIKALETLVNGTNEENEIAINRLEVVKVEKTKIDEKRKLGEQDMIRLMREKENAETIINSLHQEIQVMTRMHEQLREQMETKARQMEEHLSLRAKEAEFCLMQSKKKVEEVEAASQLKSQLWSKKANIFQSFMNNQKLCIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILISNPSKQGKEGYRMFKFNKVFGTHASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSRKDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQVRDLLSNDIAQKRLGIWSTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDMTNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAAKSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPSTLIDRNSSSLLRQSTSSTGLSSLPAATQQNQPLSGPAGSVEAEAEAEDNASDDGCSGGETECSAGGASETTAERTLKAPSRITRFFLTKNGQPSAARPKPREAVLKTPGSTKSTMVQAAGGSLAKPSKRR >OB01G39500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23655407:23657390:1 gene:OB01G39500 transcript:OB01G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFLTYLQRPRELPVPEFRAPPPSPVTGVLTSSSSGSSGYGEHPDSNEDDEIGRFLRCSARVPVLRLPERAVPRKKKAWAPPVIDVRLLVLPEAGGPVAEALRSAAVAFGCFQVIGHGVDRNLVSAALRHVAARATTPGPEEMEINGGDEDGEEMWWGPGGEQKMAGNWALQSGGSHFRNTTDALFTQLEQTATKIMDTLRRDGAVATATESVAGANRNDSLLCIRKHRCKHGDRGLGGPISHDDILRMLVRSSRCSRALALHLCPGASAFNVFSQRGLSRFRPLDGAVVVTVGDQLQAWNGGLYKSVAGKPAYSNDNLRGDGGDVVASAEYFHCFPSAGTASEGFDVDAGKIIPLNLQFMVAACLVLGYHFLMSCLHAAW >OB01G39510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23658328:23661856:-1 gene:OB01G39510 transcript:OB01G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWAPLRMAGNHGPPYEWQVYNTLGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWKDSSTGQHVEYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHQVGQKRGRLLMEEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >OB01G39520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23667063:23674273:-1 gene:OB01G39520 transcript:OB01G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 1 [Source:Projected from Arabidopsis thaliana (AT2G19950) TAIR;Acc:AT2G19950] MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSNSQEGQAKKGKLREKGPLKLTAGDAGSRTPAQKERKSKQPPRERIKIEKIRPSTPVDSSSADASASKHDVASSDVKGLDDDTGAEKVEKAVVDLKNDAGADAIDTEVEVQSTAKTTDDAVLAVDAAADSGNSESAAESSVPSVPDESCEPSSSNQDIEIVSAVNLEKTSAMEVIQERNFKEVPGTQVSGNSQDSKREGLSDSPESTENQQEHKLDSGSVKDQDQLEEAQGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLAKSLDSWKKKAAVSEEKLATLQEDMDGLKRNRELTETRVIQALREELAMVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSIKQFHEVQMEAERSRVARRSASSWEEDADIKALEPLPLHHRHMVTANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLLHRLQDFASREGLPLAMGELANSNLP >OB01G39530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23676682:23677375:-1 gene:OB01G39530 transcript:OB01G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQRTCTRHAAGGVDEEQQQEEARSVRCECCGMAEDCTPGYIGRVRERFGGRWVCGLCAEAVSEXXXXXXXXXXXXXESHASLCDRFNSTVRLNPTLSLARSMRDIARTSCLSRHRSARAAACGAPDKISRVESCPLPYV >OB01G39540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23680470:23681271:-1 gene:OB01G39540 transcript:OB01G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSSAGEEQPAGLGALTYARVIQRSDGDSSVLADDALLQAPGAAGAGGAADEQQQQQDEARSVRCECCGVAEDCTPTYIGRVRERFDGRWVCGICAEAVSELRRRDPALAVREAVASHAALCAEFNATVRANPALCLARCMRNIVRIRISCRSRSGDDSSPSPAPDGGAMIGRRTQSCALPYV >OB01G39550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23688925:23690474:-1 gene:OB01G39550 transcript:OB01G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:J3L3Z9] MEATAEYGVHGGGGAAYYGGAGREKKAQAQQGCGDHFAVDDLLVLPYDEEDEREGEDGGGKEEAGLGNASADSSTITALDSSSNSFGLADGDFSGELCEPYDQLAELEWLSNYMVEGEDTFATEDLHKLQLISGIPSGGFSTACALSAQAAPAAASAAVQPGGFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSAHAFPVKKPSKPAKKKDAPPQPQLSSVPVHSGGSAPVAAGEGRRCVHCDTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVMELRRQKEIHQQTPHHQPHPHVVAGGGVGSLMHMQSSMLFDGTSPFVSSDDLLINHHLGTDFRQLI >OB01G39560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23699964:23705926:1 gene:OB01G39560 transcript:OB01G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nuclear pore complex protein, Nucleoporin Nup85-like (InterPro:IPR011502); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G32910) TAIR;Acc:AT4G32910] MRRVEYGSVPAFALLQSRRNALADAAAMSRVPAVSEHAEWWQYVLEYSKTINNLLGNTDSLPAFMIDDPKTILKVREKLTSLKAAWELLEIFFVDKQLQSWLPERLVDWLADYDSLLTKTENTVYRMLSNFQKKLINLQIVEDDPDYWNGLSSALSVGWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRMRPDLPSGKLGYCCKTRPDFIKALEKWRGQVSKLECSAFWIQCGHQKTRDGLKNLLHIMMGNIKNLTAATSHWLELFISHFLYIRPFTVGFEGMHQLAQKCIQLKPTAENSGLTGLLTGILSENTEVVLAECTKKFGPWMVTHAMELLTADNDYADMMLHEERPEFGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLFELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQAHDKVRLDRIARQLFEHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLWQAQEGRTGQSARQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSMAKLRPDFSNIYLPSHALNSVRLALASNLARAILEEP >OB01G39570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23707267:23709029:-1 gene:OB01G39570 transcript:OB01G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLRLPAPVRRLRDARARLVPRRPRRGRAPADRVGGVQGGARARQGVLPRVLGGAQAGQLHVLRRPAREPLLGLVAHRQLQHRRRHARRRPRDLPDGDHQGLHPGRPGHARHGRQVPVHGQAGWPDPLGAPDRDRHRVLDADPRPYPARLRGREDGHRYQREAVRRPGGLPRRAGARGGALVPHQRAIXXXXXXXXAVRGPGGLPRRAGARVGALVPHHRALRPRAGDAAPLLRQEPPPRRAHAAVQVRVAPRVRQLYARHVQGQRQDCGERGGTAVRDVPLHQRVRGDGRGGAREGDRRRLLRAQRQHRHPRQAPPAQPSLLRRPGRPPRRQGGPVQDPAGREPVRRAGDGARPGGARPGHGHVQHQPEARRQGVPLRLRLRRPPAVQLPQHAYQGRPGGEDGQELARGGLRAVRALLRATPRRHRGRRRRGDLDGERQGRIGLRAGAGRQDVRGGRAGQVPVWAALRLALLLGAQEQEQT >OB01G39580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23742106:23744449:-1 gene:OB01G39580 transcript:OB01G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLILYHILGLASCIALVYFSLGEVDLRLSLPALPFSGGGASSRAASLPFVERRGARLFLDGRPFYINGWNSYWLMDLAVEPDTRPRVPSMFRTAVSMGLTVCRTWAFNDGSYNALQLSPGHFDERVFKALDRVVAEASQHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGITASNDSFFFDPAIRDYFKVYLKTLVTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQRWMEEMAAYVKSIDKKHLLTVGTEGFYGPTSSQDKLNINPGEWFPNNYGADFIRNSKIPDIDFASVHVYPDNWLQHASLDEKLKFMTQWITSHVEDGDKELDKPVLVTEFGLSHQVEGFDDAHRDVLYRAVYDIVYDSARRGGAGGGALVWQLAAEGMEQYHDGFSIVPVERPSMMRLIKEQSCRLAAVRYGEEGARKVLKTVCS >OB01G39590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23758841:23761832:1 gene:OB01G39590 transcript:OB01G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEEAEREQRWPENGDDDDDEGSSGTGAAENKAFWQNQHSQLHEALAKTSQAERRIREDTEEAIRKMRAAGGAACSCARRAAAGDCRTCTLRHVAERLRDAGYNSAICKSKWTSSPDIPSGEHSYVDVVVQTRSSKAVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKNFLVPVQPQKPKKFMYNLRSPRRWEGRKSTCMMYEPLMANSSTKLKI >OB01G39600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23761473:23764942:-1 gene:OB01G39600 transcript:OB01G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAARRQRGPDSGFMLHGLNLEPRTRLSATSSVNSSVPKPPETRPSSSSNSVPKTTGSSNVQPPETKPSSSSSNSKQEHQEKRGNHHRLQEGSTKQDNRAENEKATTPGPQFSFHPDEQTLMLQRAYSSPVPFPSKKKMPDADAATRSSSLKVAGEGTKPKMKQRARSPPPRRDVPELFREAKSSSKRFSTPPPRRKPSSPPAPSRSPPPAFALVKAHGKPKHKKDSFDNGRAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVTTLSRLHHPNVIKLIGACSSPPVFCVITEFLSGGSLRAFLHKQEHKSLPLEKIISIGLDIARGIGYIHSQRVVHRDVKPDNIIFDSEFCAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAALRLLIEQCWTSQPDKRPEFWQIVQILEKFKVVLSRDGTLDNMPCSNLQGTHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >OB01G39610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23772352:23775585:1 gene:OB01G39610 transcript:OB01G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MAASTSPPPQELTITQPDDWHLHLREGDVLAAVLPHSALHFGRAIVMPNLKPPVTTTARAVEYREEILRALPPGSSFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEKAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGSTLEWLPSDQLEA >OB01G39620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23775455:23776720:-1 gene:OB01G39620 transcript:OB01G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREICFKIRAWVCNKFGEDWRSGLWSRSAPAAAAMTTLLRHRLGATAADALLAHRLSFSTSSRRTPHRFRRSRRSPNPAPPSPEVVSAAIGTLPSRLTPPLLAYSLSSVSDDRLLLPLLTHSLGLPTFRPDPGPFLIAIKRLAAADLYADFDRTCALAFSLLPSLPSPGPLLSSALYFYCQFRRIGKAFHVYTLMRSSADPAARPSADTYHTLFTALLSRGGGDTMVHYMYMDTVAALFRQMLEEGVPPDTRALNVLVKGYAQSLHLNDALRVFHQMRPVYGCEPDALTYSYLVHGLSAQGRTRNAREVFDEMRAKGLVPTEPACNAFVSALAMAGEASEAESVMWDMVRAGRVVDDVTRRALLEELWSLGRRDDADRLVREMEERGIVGGAERRTLLRSLHDEFGDDDLDVGDTRGRTR >OB01G39630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23776805:23780000:1 gene:OB01G39630 transcript:OB01G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding S4 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G53120) TAIR;Acc:AT1G53120] MAAAASLASPLRRVLHVPYARRAIPTSYHFFPRGRCHAALSFTADAARDSAVKGSVDRNVAEEVSSILDMAQRASQRRDVFHTNFLTPPIVKECMLAIEKLADIKAVAQGGYPQAERCRVSVGHPDCMTSNPDVVAALSISGNFRLEPCSHGDFLGAILGTGITRDKVGDILLQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTHIPLLALEYEPPRTKSFKTIESSLRVDALASAGFKISRTKLASLISSGDVRVNWSPVLKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIQYL >OB01G39640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23794099:23794812:-1 gene:OB01G39640 transcript:OB01G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTKQLLPMPQQDPNSPSSSTSSSSSSSTSPSHPNHRAPLHPNLPPSPRPVPRTIETTPFPTTFVQADTASFKQVVQMLTGAEQPSKNATTAATTAAGNSSAGIGGSQGANGPCRPKKPAFKLYERRSSLKNLKMIAPLAMGALPSPGRKAGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSEDAERTAISERGFFLHPSPRGAEPPRLLPLFPVTSPRMAASAAAPSE >OB01G39650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23802372:23807447:-1 gene:OB01G39650 transcript:OB01G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 5A [Source:Projected from Arabidopsis thaliana (AT1G53140) TAIR;Acc:AT1G53140] MATNAFSSPATGRTPNPKAAPSPSTRRAADSVAAAAAAAAASDSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGSPMVLATAIADLIKQRTEAHLRKIQAAVSSKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIRDIDPTFRRTMIVISKFDNRLKEFTERWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDSVKGGFNEEKYGPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSMDLSRLDSKLEATSDVSQLRRSAMLHAANICTHLRALLDGAADPAPELWGKTTEEEQMHSGIGSWPGINISVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERCRYQDSKYHQNVEDMDGYVGFLAALRCSYYKFVKDLSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKDHQHMTPPAKGNEPKEVLRESQLTVPETPSPDLPVDMNGGKKKDNGNLNDGDNGNLNDGGARKRHARMAAYANRNHHNNVVGADDLGSKQGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >OB01G39660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23810582:23811447:-1 gene:OB01G39660 transcript:OB01G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVMEASRRWPCAAWFMAVLAVAALVSSSEAYVFYAGGRNGWVVDPAESFNHWAERNRFQVNDTIVFMHDDEAAGPVLQVTESDFDACNRGSPVRRLEDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIVVMAVRPTKPPEAPVAAGPAGSSESSTWPAFPPTGATAPRAPPLWASAPEHAQAPGKSSLGDSGGRTMSRSSSLGAPPPTSGSAGLDGVIAGVATGVLGALLMI >OB01G39670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23811885:23812052:1 gene:OB01G39670 transcript:OB01G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISDRTYINMVRLLKYAAYLACKQETKHCQLACRTDELRGAIVPATLKVRIRN >OB01G39680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23813257:23814364:-1 gene:OB01G39680 transcript:OB01G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALASPSPLQRRQMSVRGAAHLPSRATSPPKRLARATPVASTLALTFLLIGAAGVFLYSSVTTSSRAVTSTGRVDEARESGRALFSPTVGSIGGARAIWELPAAPVPLLPPGCHCRPENFWPPSPRCPGCVGLPEDIAITERALRRRFAVLALASARECWSMGQEVSAAKRGIQSWTAERGLGDLPVTALGASSGGYFVSRLAAGMKLAAVVLMIAEGSFGPGGVPAGYPPAMFLHMPKDQRRAALVERNSKMLRRNGVEVKEILSQELPLTPTILSDRIPRLNQGLSERIWRVFKEEGFVDERGYMRKDGRATPWKEAVVKRGFWEEVSGWAEHIQEELNLAYGYHEMTSLHTDEIFDWIEEHLN >OB01G39690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23818330:23818644:-1 gene:OB01G39690 transcript:OB01G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEAEVLWPADHHRDSERCRHHHAAGQSXXXXXXXXXXXXXXXXXXXXHVYVAAARRCSEGRTVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLGGTDEY >OB01G39700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23823930:23824379:-1 gene:OB01G39700 transcript:OB01G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAAASQEGAPSGMCYGLAASCCSVSSGATSLLIGRCSDGFLSGSPSTAVASNDDDVDEGEELMEADVLWPDTAQPDEQQPRGGVRVYRGWSRGELGSAGRRRPKSGATARLEGHWRPAASSPIDIPAVKVATCCR >OB01G39710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23831581:23832414:1 gene:OB01G39710 transcript:OB01G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDYLNNYFMPAFYPTSQQYTPEQYADVLINQYAQQLRTLYNYGARKVALMGVGQVGCSPNELAQRSRNGFTCIERINSAVRMFNRRLVVLVNQFNSLPGAQFTFINCYGIFESIMRTPVAHGLTVTNRGCCGVGRNNGQVTCLPYQTPCANRNEYLFWDAFHPTEAANIFVGRRAYSAALLSDVHPVDLRTLAQL >OB01G39720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23832390:23836880:-1 gene:OB01G39720 transcript:OB01G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPTPTAAATPRFKLGKQSSMAPDRGGGAGESSEEGSSEAVGVMGFQLMYMAHEGDAEGIQELLDAGADPNFRDSDGRTALHIAACEGHAEVADLLLQRGADAVAEDRWSSTPLADALHYQNHDVIKILEKHGPKLKIAPMHVKNVREVPEYEIDPNELDFTNGNGISKGTFRKATWRGIMVAVKKLDDDLIMDENKVRAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVRLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRIKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFYDKKNNEIEKAHNSKERPPFRAPPKHYAYGLRELIEQCWSENPASRPDFKTIIERLSSIHNEIAQRNRWKVRPLKCFLSFEGMWKKDRNEGSTTRSSRSSRSYF >OB01G39730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23843409:23848147:1 gene:OB01G39730 transcript:OB01G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEAEGKDYQSTYHHSWTGMEDGVKVPADASLLRVEADADPVLPKGNSRRIKWPAISGNAKLRLKKHQIGNNRHSLISLSQKLAFCAMRFSKVSKQRVPVPQEMSAVPTVEPLVLAHVIQDVLDLFTPTMPLRITYNDRLLLAGAELKPSATVHKPRVDVGGSDLRVFYTLVLVDPDAPSPSNPSLREYLHWMVIDIPGTAGASFGQELMLYERPEPRSGIHRMVFVLFRQLGRGIVSAPDMRHNFNCRSFAQQYHLDIVAATYFNCQREAGSGGRRFRPERS >OB01G39740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23853703:23857996:1 gene:OB01G39740 transcript:OB01G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G29690) TAIR;Acc:AT1G29690] MSLAGSALEAALQAVGRGLDAAGAHRLLYCKGTGRLVTLDESRARDLTFEGGVLRGVPPDIEVEVCNRNHERIHLVPGPPTDEPVVLSFQKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDAAATKSLAIDGYSVPLFRVKITSGELSLHDSVKRAIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGSERFSDGDSKLNATPINYSEKDMTVIFRRRGGCDLVQNFFDWIKTISSAPDVIAMTFLPIVSLVGDMPGKKHLARAIELYLAYKPQIEELQYFLDFQVPLVWAPIPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGTKQNRLTIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLVHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIVVRAKYSLLNY >OB01G39750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23861719:23867290:1 gene:OB01G39750 transcript:OB01G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLNSLTRIDNPWKTRSPNKMLYMASDCGFCLSLCPLEFLAKMEDEEMSKVTEANGIDSREYKEQNITVDANGKAETHEVAGENKYEVVEGKVDWRGRPALRGRHGGVANSFFILVNFGLENMASLSLAVNLIIYFMTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQARSKKLTPPSCKFPFDPACRTVSGDGKAHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCTGGAISVTVFVWIQNSIGWDKGFGAATGVMGLALFVFVAGLPRYRISVVQGRSALLEIFQVYVAAIRNRAVKLPENPDELYEISKSKAPPDTEFMAHRSKPFRFLDKAAIVQEPTDAAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGATMNTHIGQFKMPPATLPIIPLIILIFAVPIYERGFVPLARRITGHPNGIPHLQRVGVGLVLSIISMAIAAVVEVRRKEVAARHGMLDANPMLGEQLPISCFWLAPQFTVFGVADMFTFIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNVATRGSTASGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYLFWASWYRYKPQ >OB01G39760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23868708:23869543:1 gene:OB01G39760 transcript:OB01G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDKPLPTPASGTGGGSAAPPGKPTTVESLVLDKGAAMLQSLRPVKQIKRHVCTFALYAHDPHRQVETHHFVSRLNQDVLQCAVYDTDDKNARLIGVEYIVSRKIFDSLPAEEQRLWHSHAHEIKAGLWVSPHVPETLEKAELEQMACTFGKFWCTWQVDRGDRLPLGAPALMVSPQDEPAGAVLPDLVRKRDNRYGYSTKDLRAERANVQVPAGARPGQADYWLCHRKGFAVDVVPHEMKRHAPFP >OB01G39770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23871128:23873888:1 gene:OB01G39770 transcript:OB01G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDAAAAGLPAAAPRRARASRRKAAARGVGQRAVLLVARWWPVLLLLPAVALLLFEASRLRASPGPSPPVSKSSLGRLDPTTRLVHGLREPCLKFLSPKGIENLVFPEGTRLNSVVKRIIYKSDDNDYDTYHSDANSTYLLQHAEATRFNLFTGFQTLPDREESFKVNETINVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVALWDEVTLSTQESEGRVVDGNGMRGRWRIIVVRSLPFVDQRLNGKIPKMLSHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDAKRLHGLKALAEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFPVCTRRDLVNSLGHTRKVKPLLTQTISESSTT >OB01G39780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23876749:23878944:1 gene:OB01G39780 transcript:OB01G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein [Source:Projected from Arabidopsis thaliana (AT1G78630) TAIR;Acc:AT1G78630] MFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEATYTPSVDMGAFVVVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGPGHPHEAQKPVPLPIADKRIQKTDK >OB01G39790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23879892:23881779:1 gene:OB01G39790 transcript:OB01G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGAGGGGSLPPFLSKTYEMVDDPSTDAVVAWTSAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIRGQRHRLKNIHRRKPIFSHSSHTQGVGPLTENERRDYEDEIERLKCDNAALSSELQQNTLKKLNMEKRMQTLEEKLFVVEDQQRNLISYVREIVKAPGFLSSFVQQQDNHRKKRRLPIPISFHEDANTQENPIMPCDLTNSPAQAFYRESFDKMESSLNSLENFLREASEEFGNDISYDDGAAAGPSSTVVLTEIHSPGESDPRVSSPPTKMRTSAGAVDSHSSHDVAESTSCAESPLPQMHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEGPPAVTPGANDGFWQQFLTEQPGSSDAHQEAQSERRDGGNKVDVMKSGDRQHFWWGKKNVEQITEKLGHLTSTEKT >OB01G39800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23880655:23886655:-1 gene:OB01G39800 transcript:OB01G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAEGNFAALGPGAGGGGGGRRRSGSFGLKRMSRVMTVPGTLSELDGEEDSEPAATNSIASDVPSSVAGDRVIVVSNQLPIVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGSLRADVPVAEQDEVSQALLDRFRCAPVFLPDLLSERFYHRFCKRHLWPLFHYMLPFSSSASPSSSSSASSFGSGRFDRGAWEAYVLANKFFFEKVVEIINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLQTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENLLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINGEFGQSGYNPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSTPEVNGLKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKHLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSKSRAIFLDYDGTLVPQTSISRTPSIEVLRIINTLCSDSRNKVFLVSGRRRDKLGEWFSSCPELGIAAEHGYFLRWTRDEEWQTCIQVSDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAEKILISMKERGKQADFVLCIGDDRSDEDMFENIAGTIKKGMVAPNTSLFACTVGQKPSKAKFYLDDTFDVVTMLSALADATEAEPEAELADEFDELAVSDDSVDIYDEHTSSDDRLIGGS >OB01G39810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23901957:23902375:1 gene:OB01G39810 transcript:OB01G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLRSRHHQGEKQQQTQEEEHEQQASNVKSKSKAAFLSFSPLAWLTKLTAKNNAAAAKSKPTASANKSSVAGTGGFPSCFHKGAITSTSTSVSSSAASQSSLADSSPAGAEAPRRLSVGNDDTTEAA >OB01G39820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23902667:23902849:1 gene:OB01G39820 transcript:OB01G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIASKRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNPARGAASAPAPRS >OB01G39830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23904309:23909469:1 gene:OB01G39830 transcript:OB01G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVENGHPAPKEEDAPAVAVELPEGAGKPQEEGGRGQRKQGGIRREPSFSRWGKDPSAMPNAPLGGASPAPAASDDDSEEFELPLLPSDGGDGGGSSHLPMDIEAGEAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASKAIVWFQHRGLEGGTSAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIMLIVSFGVLLTVAKETEFSLWGFIFIMLAAVMSGFRWCMTQILLQKEEYGLRNPFTLMSYVTPVMAITTAILSIVMDPWHDVRASNFFDNSTHIIKSSLLMLLGGALAFFMVLTEYVLISVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKYHRFKKGPRSKNCDNNSQTYSGASKYVILDEDDMENLGNSG >OB01G39840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23913921:23917246:1 gene:OB01G39840 transcript:OB01G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDHSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >OB01G39850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23915646:23915813:-1 gene:OB01G39850 transcript:OB01G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIVSSYPIMPLSSSLITSTWCNCTTHQSQEWVTELTVNVSDQGPSKQGCFCIL >OB01G39860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23918826:23919047:1 gene:OB01G39860 transcript:OB01G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSCNRNTVVTRYLFVFTVALIWGHWIRKRTARMRLHLISVALRSAPRLESGWRAGTSERSACLVAKLLYL >OB01G39870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23522948:23921042:-1 gene:OB01G39870 transcript:OB01G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEEMDRSTSAEDGYCSAGTDSPRAESVDEQGGTEESSPPGGQKRELLSPSASPSPPLPPAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKTSCHASKSSPRPAAPKPEPAAEGQQPEPAEHESSAELEVAEAEPEQEPEPVVKQEEEQKVVLEPAAATTLAPAPVEEEEENFDFGWIDQYHPTWHRSYAPLMPPEEWERELQGDDALFAGLGELPECAVVFGRRPELGLAATAPCS >OB01G39880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23923973:23924131:-1 gene:OB01G39880 transcript:OB01G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPVDRIPTRAAALLRFVVVFSLQSHREIRSKKRSVHICSTSTSRVFAPAC >OB01G39890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23924398:23924670:1 gene:OB01G39890 transcript:OB01G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKEVDVTVIKREEPPIHPIPLVVSGLALPLPGQIRGTPMICRSPKAAKSPVRRKLMTKLAPPAKVTRGAGASPATVALQRVRVQGPKQ >OB01G39900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23930686:23936690:1 gene:OB01G39900 transcript:OB01G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G18780) TAIR;Acc:AT4G18780] MMESAVQPCAACGDDAHAACRACSYALCKACLDEDAAEGRTTCARCGGEYGGPDPAHGQGAVAVEEEEEDNHAPAASGLRERVTIASQLSDHQDEGGHARTMSTHARTISSMSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYQPLSHIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVSTKTVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKAEKSEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSETVTTNTCANFDC >OB01G39910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23946100:23947352:-1 gene:OB01G39910 transcript:OB01G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVVVATRGALLLLLVGLAVAISFAGAAPLDPQQLLXXXXXXXXXXXXXXXXGAVVTASCDAGVPFRRVTSLVLANCSGTTSVSAGALEALAPSLRALAFSDCPAAPPRLLPPEQLAAGLRAFSCVASLHRLSAVWLSHLENLTELTIADTPLATGSPSELAVVVSHMDHLTSLTLSNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLSAITHLNLSSNDLNGNIPTSIGDLISLTTIDLSNNSISGGIPETISTLPELEVLNLGSNRLNGSIPQFLAEMRGLKELNLEGNDFDGMVPFTTKFVTRLRVFRAAGNPKLCYNRSVLSSEVAIGVTPCDKYGFPVTAPPATARSERSADYDDGAKDGDADDGADARGGPSAAVLAVAIGLSCLAFAVILLACLCKVCR >OB01G39920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23951587:23956593:-1 gene:OB01G39920 transcript:OB01G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGESIDSICEALLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNPRMVRVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAVEKAERKMQLKDKKRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGKSMQEMEDEFV >OB01G39930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23962712:23966987:-1 gene:OB01G39930 transcript:OB01G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAFVIGSRCSLVTEVGEAISCWCRAVCLYLTSAGGAGHTGCSIAADAARDASSSGNYSPTGVTPSGYAAAAGDPPTCDTSSGESPTGYTSSGESPTGYTSPRESPSGDSSSTGGSSTSDAFTSSADADPNTGDAVATSAVGLLRLQVQQRDPVGRRRGRRGGGRARPRGRADLLLRWQEAPSPPPAAAAAPPGVPTLPSSGASESASALPGIVVTGGAFGYDELSAAADGFSESNLLGQGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFRAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQAAEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMMSHGDQPDTLVAWAKPLLGRAVDEGIFDELVDPRLENNFDSYDMERLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTGGGTTDQMRRLKKMAFGSVTGTGTVSEYTSSEFSEPTSEYGLNPSTEYTSSAAGGDTGEVTVDVQRTAGGASGEVTGTERLSRRTTASRQAGRGGRV >OB01G39940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23971950:23972171:1 gene:OB01G39940 transcript:OB01G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSPVAAEGRGRRRRRRRRRRRRHRSNRRRHRSNRRRHRIRLGSGHDCGRGECNVLLSVRAVDHTEYLYM >OB01G39950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23980162:23981125:-1 gene:OB01G39950 transcript:OB01G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNKWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVRDFHMQDEDAGRPYRKFL >OB01G39960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23983123:23983572:1 gene:OB01G39960 transcript:OB01G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLQKPFTPIAPPAAHLPLPRFQTQKNLHPGPGTSWAIDASAIFFPSNQDSAAHPLQRRGREPSPSTSTARGIQNPDWGFRSRRRHGEFAGGGARGQLRVEVGGEGRPGRLPPRRRALPRPLRRRGRRPLRGALQLLPLLRLQHLTA >OB01G39970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23987632:23995237:1 gene:OB01G39970 transcript:OB01G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF1084 (InterPro:IPR009457); BEST Arabidopsis thaliana protein match is: tobamovirus multiplication 1 (TAIR:AT4G21790.1); Has 196 Blast hits to 195 proteins in 29 species: Archae - 0; Bacteria /.../Metazoa - 0; Fungi - 2; Plants - 188; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G59090) TAIR;Acc:AT3G59090] MPVIAAEAALAAIDASISVAAFVQLARIHRHDQQHGWTRQKIFHFMIGLCNLVFLVYFVSTVIATCERWLCWVHGCGFVLMASPQILLLASFLLLLSFWVDLCHQTNDEDEEDTRTHHEALLDRTKIKPGIRAVDVRRRCCPGVQLGSRQKFVILVLLLSFVVTIAFAILIWIGRGENPIDSSLLKRVYLDVFSVVVLVLGVALACYGAILFSKMSKVRSETGSSEKRKVASLAAVSMICFSSSAILALVTNVPVLVCWYSTDEYIVNNAIILFMYYFIGSSIPSGFVLWVMKDIPHRQTVERPTQSRVVTLFRDRPSTTQDPQWRTAVTSSNKALKSSPI >OB01G39980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:23996705:23998637:1 gene:OB01G39980 transcript:OB01G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTELSARPRAPPPPTSPAARAACPPRVQPAGAADPPWSGACSSWCATSARPRAGAATARGGGCSGVWRRRPVVAYMGFAGSTTHVRVVNDQQPALALPRETETLLPARARPFGTQSAGTHGSLMGSKQHASI >OB01G39990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24000956:24003842:-1 gene:OB01G39990 transcript:OB01G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLAPPPPLGEEVVVATVEGKKEEAEAEAEEGGACGGDAVVVAAADAEVEGHPYDFHVSGPRNLPPPNWREIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEDDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRFIGASEALKTAVERFGSANVSVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLTEEALPDNTKEEGNAKKKLRADKKWVPHLYVNNSDYICCHYNAPSCSTTATEGVPDEQLQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLAVYDSKLIHRQLKSLYTATAPSTPAKS >OB01G40000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24006924:24009883:1 gene:OB01G40000 transcript:OB01G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYQTAQPLDDIETKPIKSFVCHGRYAIFSSRPGSIDDKPSPPIPRGTAPMGRAPRKRRSRRRPAGGGAGGPDYLSGLPDDVLLAIFSRLSTRYAVTLSVLSRRFRILVRAKFGRVDSASVVDPTLPLPSLAARPSLLRRLSFKPPRGVSAAAFHRLLDAAADRGVSELTVRLRRSGFLPKNILSIRTLTVISLDTCALPRWCPAACPHLRTLKLIRVAIPQRMINVVLEAAPVLQTLEMVYCVGFAGSCIMESSAVRNLLFKPALEQRGVRMKMVGLRTVALYTRPKVQVVRLDPAPEIRKAYLHIARPRNRLQLRIRPFLDAGTGLTCLTLRGIAIKLLSSEYKETPKLAVTFEDLRILSVSLDFSNESELIFLLKLLESSPNLQQLTLSAADAKEDAASPNFADHEERLAEISCLTESLEQLRFLGFKPQRYQKELLIFLLTQVTNLKKMGLEFPKDQEAAVRRILKVRRAPAKKITTKYKNYLELEYPLEPNNA >OB01G40010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24007996:24013539:-1 gene:OB01G40010 transcript:OB01G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT1G06550) TAIR;Acc:AT1G06550] MAQQQANSDEVVLGQEIGGARVATLNRPRQLNVISDRVVYLLAQFLENWENDDNAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKRPAVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGFATHFVPSEKMEDLEKCLLNLDTGDESAIQAAIEDFSTDVQPDEDSILNKLPTINKCFSAETVEDIIKSLESEGSIDGNQWIAPVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMNTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNRWSGKFENTVHTRASQ >OB01G40020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24016755:24018385:-1 gene:OB01G40020 transcript:OB01G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:J3L446] MGAFRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >OB01G40030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24020483:24023482:-1 gene:OB01G40030 transcript:OB01G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C substrate, heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G42390) TAIR;Acc:AT2G42390] PSSSSSPPPPPATLSFLLLVASAAVAAPPLLGVAPQDEAYFTPDIIACRDGLGSFPKSRLNDGYCDCSDGTDEPGTSACPEGRFYCGNVGDTPRFLFSSVVNDEICDCCDGSDEYESGIRCPNTCKNINDVRKDDGVGVNRKDIMKDEGVARNIKDVTKYDDRSRKHALDIEDLIQKLRGLRMAVVIELGLAICIFAVCFARRRSRTRRRHYILKR >OB01G40040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24024293:24024631:1 gene:OB01G40040 transcript:OB01G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGRSSCPLQPPLPLTALRMCCAAPCLHVAAPLGPCPAGGEGSALRPLPCWRVAMAPLWLPLPPGSHVHCMRLSAFLSRSHPLLSLLNNLEAGGRRLPACLSLPRVQGC >OB01G40050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24030987:24035128:-1 gene:OB01G40050 transcript:OB01G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT2G04560) TAIR;Acc:AT2G04560] MDSFLRCRELMCKEGLQSLFPMEEISIMGLWELLPHIYNIKRKIKDTADAAVLFLPHAVVTVDSKGFSFRLLRQLKCRYNQVAHPVHVHYVAPSFWAWKDGERRLAKLHNFVDHLLCILPFEEEICKLNGLPATYVGHPLLDDAIGLNTVKEISSVNSMHQRSDEVFRQEHAMSPDSTIITILPGSRVQEVARMLPIFLQTVQHLRHTFNELSLVIPVAPHRDVRAYVENAVRSGPFPVVLIPGGTLKERYDAFNASRAALCTSGTAVMELMLAKLPCVVAYRAHFITECLINLRKKIDFISLPNILLNSPIVPEILFRACTAENLAAKLSEVIFNDEARQLQVESADQLLEMLYEPIKQRGCLFQEELHNSSLLSNFYSPSTIAALTVLYTDKHQQTVHQN >OB01G40060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24037747:24046898:1 gene:OB01G40060 transcript:OB01G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT2G31060) TAIR;Acc:AT2G31060] MDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASPTFTKSPPDNAKNMSALLDSILQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVTVDAAGAGDIISMAGLAAPAIGHTVANSDILTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGTRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPRVMYKTDRGERLEPIEEVTVEVDEEHVGFVMETLTHRKGEVMDMGPVPGTTGRTRIFLTCPSRGLVGFKGIFSSFTRGTGFMHRAFQSYAKYRGQLGNVRKGVLVSVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLDINPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVAADELIEVTPKVIRLRKKYLDATKRKMMKNKPMD >OB01G40070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24049052:24052344:-1 gene:OB01G40070 transcript:OB01G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEEIEESEEEESEDESEGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKAESRK >OB01G40080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24054828:24059978:-1 gene:OB01G40080 transcript:OB01G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPAAARPSSGTARHQQFRARAKTRVDDLQEMFSGLQSARKESRSTDAAVLEAQLHQMLREWRAELSVPSPASSLQARAPLNFFPASPPPLTISGLLGSRFHWVAVGGQDMKPEPREEAVASPADLTVPQSPSQGVIASGGGMLAPAAAAVFHDQGCLDIAAICHSQVVNVLVTSFPPVTFAAAIVEQLCPTFHVSRTCIGGGSSWRFVRLGKNMSMGIVMVVSRTKQMYYVNQELTVEDFLYDDNYKMYLPGSNPDVLSNLEGTGQLEYPQFNLPQELPPNTYLDMSNCGQSAGDVFLHMSDLLTTMSPAPAAFLRPKCALWDCPRPAQGSERWQDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMKDFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKTAKSKLACNPLNEIQQQMVRLSADSPVENKRSARSRTKANPNDINSNIYSVQNTTAQGGIPNAYQAVSQVDQMTYLNGNVVYGPHLPYGYSTERSDFYWSSNDGA >OB01G40090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24063060:24067721:1 gene:OB01G40090 transcript:OB01G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15090) TAIR;Acc:AT3G15090] MWWRAARARRRIAGARAASTAEGAERKSCRAVVVPRFGGPEVLEVRQGVPVPGLKPREVLVRARAVSINPLDLRMRSGYGRSIFEPVLPLIIGRDISGEVAATGTSVSSFTIGQEVFGALHPTAIRGTYTDYAILSQDELTSKPSTLTHVEASAIPFAALTAWRALHGTARISEGQRALVIGGGGAVGLAAVQLAVAAGCSVSATCGTKSIEQVLAAGAEKAIDYTTEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATGVLLKKQMQYRYSHGIDYWWTYMRADSEGLHEIHRLSGAGKLQIPVEKTFPISQVREAHEAKEKRLVSGKVVLEFD >OB01G40100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24071005:24074470:1 gene:OB01G40100 transcript:OB01G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCGDPTSRPSGVHRCFIPWTGGLQDREAHLSSHALLASIRGNRRSISPEMFVAALEQSCAIRRHEVRAEVCAPHDLLVTFANPDDCNRVVSSNMWIRGCRVNFCRWSRRVAGSCDMVYLVKLGLEGLPAHAWEEGTVRILLANWRCHLIELVRSEDARTLEVVAWSATPNAIPKEVLLQIPDSPPLRVAAPDDYLAIEMENAASPTQPPSPPKKKNCLDYNMLIHVLEVLDPSPTASSRRHGTPEDVHGRKPAIWGSAQRDDRWAEATDRPASQGGYYGAWPLTSPGTSPVHQPWPPSSPVRSLAEVVTLSFEGEASAGELAVTGDGGEGGGDNAGGSVGELVVDGDGVGDNAVAGDGVGDNVVVGNGEGGGDIAMAGDGELVPAPSIGLPMVGDDVAPKAVGTHPSAAVAEITAFHPATAPAALQQVSVQRKLGEVVRKLSFSATPLRQQDPTGQSEGGRPLMPPAQWEIARGGEILPSGGELGGKAGSSSFQVLPPQLAGLARITGEQEANGLDGLIVFSRRRGLQRERPTGPLLLSTVNEGGSNGPVQTEEGGLGGPLQNEEGHAQDPTNRSSQLAQDLQNLPPQIAAQITSFITACAAGPEHSLLGAPTRVQALKELQMDRAWHLTSVYGPQDEGDKFLFLEELETVGGTCFPNWVLAGDFNLITSVADKSSGRVNRRLMNAFTAVLNRLELKEIYLFGRRYTWSNEQQQPVMVKLDRVFATTGWEDAFPETSLQALSSSASDHCPILLTCGQLNKRPRRFRFENFWVTLEGFQQIVQEVWSEGVSSEDPFVALYVKLARLAKRLREWGQRRVSQIRLQLQMAHEIILRLDVAQESRLLQQHERCLRANLKGKCLALAALERIRARQ >OB01G40110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24078004:24078480:1 gene:OB01G40110 transcript:OB01G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKQGAICTSPSEMQDRRASQPMDSTRGEGEAKQQQPWVADVSCYAVLPAAAACCAWAEAVKPRKLGPPRRSHCRCSISLTLCFIICTSLASSSILFFLACHFNRSSYIISAEHLRIAKIIAHMISVVVCIPYHLTSISCLRISSFLASTLALLCTS >OB01G40120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24078101:24080780:-1 gene:OB01G40120 transcript:OB01G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQANHRFTTNMQRRLYGRATDMHIRPLNEEKAIQNAADLLGELFVFSVAGAAIIYEVQRSARVEARKEEIRRQEIEARKKRIEELANEVQMMKQRVSEIEQRQCERRGGPSFRGFTASAQAQQAAAAAAQRSTKHRQPTAAAA >OB01G40130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24083077:24084882:-1 gene:OB01G40130 transcript:OB01G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAATAGWRPSATALLHQMESFTGCANPASTRLYIGRTGAHRTSAGLQVASPNLGRCRSAHVKRDADGYPATEDLLVDEETLQRNLQTAIQEEDYFRAAKIRDDLRILHEDTKASLLAANMRFYSAFKNGDLAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKNKGRAWGKQVATNVFEKVDGTWYMCVHHASHIEE >OB01G40140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24086749:24087627:1 gene:OB01G40140 transcript:OB01G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRDSGLAADELLHAQAELWSHVFAYTKSMSLRCAIELGIPDSLTPSPPPPPPPRRRRYSCRARRGSRAAELQGALPAPPHATAQARRHLPRGRWTEDAYELTAISRLLVDAPGPGQGLSPFARAMLQLHPIIVSPSMSLPSWFRAADDGADAPCAAVHGGRELWAVAKDDPEFGAAFNDAMACDGRFVMDVLLRGHGGALFREITSLMDLGGAAKAIAAAFPHVRCSVLELPHVVASVPPGDGGVEFVAGDMFEHVPKADAVLLKVTGAASAPPAPRLSRAGDATCAAP >OB01G40150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24087852:24096311:-1 gene:OB01G40150 transcript:OB01G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3L459] MTVSTGSASWLTLATRQGPTQTSVIGQSPRTTRSGGRRSLLRTADAATDEVYARLALVAEGEVFERNSHGGGSQREDDMEDGDEERKSGMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGTKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEALFKAFSSDSSKMRTLLSVAESLKHGSVFHICYNPRSASEFIVPYWKFMKSFNHPVCIGMRFKFQFESEDVNERHAGMIAGVSEVDPIRWPGSKWQSLLVRWEGATDCNSQNRVSPWDIEIVGGSVSVAQSVSASSSKRTKLCPQGNLDTPSLYGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQPIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVSCSGFTQQSVGFSESIGFPEVLQGQESSQGVPPLQGMMPDAYSAKSRHELKNHMYTPAAMSGLSAANQGYCLSLLSTGPPSPPSSLVLYQTGVPQLELASKNKDKSGNGSQPALPLHKLPGETCWEQLEIGNASTPGNAAKSGHGGREVGRTSCRLFGFSLTEKIVPTDKGGEKEGNYEADCQNPRMLDLFGYNCTTSGALHALCAAPLGI >OB01G40160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24103238:24104353:1 gene:OB01G40160 transcript:OB01G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSQTTDASMASSSSPARHIFLFALAFLAAAGAADAWPSWGGGGRVFFSKTTRPEAVELDKVAVATTAAAAADSTNSNSASDEFSRPSSGGDSSRGYGLSGRPEESYPEAYFRRGVHHDAEKLTTTDAAAATAAQEKEKEEAPAGVAGDGAWAGYPEDGSGRGRPLSYSYARMHGQQTTTSAATAAAEQEKEEEAAPAGVSGYGAGREYTEDGSGRGRPMSYAGMRGGQQQQPYDYGMSDTRLYQNGRYYYDVNSDKYGYGRESNPVRGRPEEFNGGRRYGGGDAAAQEYPNGNDHQEEFGVGYRAGVQVGGRRYGGGNAAGHEYAGGNDQEEFGTGYRAGVQAGKRHDNAAAGYDANGLENPKERYIP >OB01G40170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24108259:24110200:1 gene:OB01G40170 transcript:OB01G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARSFLRSGAASSSSIRGAAARAASRAGTAPLPRRLPASAPRFLVRSPVEMSSVCLDTLMPMHSATASALMTSLLAAPACRSFGWLSEACNDDV >OB01G40180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24110556:24110853:1 gene:OB01G40180 transcript:OB01G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLSSEPDAMIAATIPLIQFKSTFWSASSQRHVQYSGILVRASVKQGCTCG >OB01G40190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24111408:24113434:-1 gene:OB01G40190 transcript:OB01G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGKRKPVFVKVDQLKPGTAGHTLVAKVLSSKTVVQKGRAGAAPGPVARPTRIAECLIGDETGCILFTARNEQVDLMKPDSTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVEE >OB01G40200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24117779:24123448:-1 gene:OB01G40200 transcript:OB01G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVRNQREHLVLLLANAQLRAPAATFPKDHPADVLHHSVARGIRRKLLKNYTSWCAYLGQKRHFGRRTGAAPGAGRDIRMDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVLEQSIDIETGQPAMPAVRGEDAFLIHVVRPIYNVLKNEVDASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDTSRNFFAEPGKTGRIGKTGFVEQRSFWNVYRSFDRIWVMLILFFQAAMIVAWDGSTSTRPWDSLSHRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETTTLAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAILLFIIPWIRNFLEKTNWRILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFGFSYFLQIKPMVGPTKVIFKLHDIKRNWFEFMPHTERIAVIILWVPVIIIYLMDLQIWYAVFSSLTGALIGLFSHLGEIRSIEQLRLRFQFFASAMQFNLMPEEHLDTVHGSIRSKFYDAIHRLKLRYGFGRPYRKIEANEVEAKRFALIWNEIIQTFREEDIISDEEVEVLELPPVVWRIRVVRWPCLLLKNELLLALSQAEELVADDRTHWFKICNNEYRRCAVIEAYDSIRHLLLEIIKERTDEHIIVNQLFFAFDSAIEHGKFTEDYKLTLLPRIHKSIISLLDLLLKENKDQIKIVRTLQDLYDLAVHDLPKKKKDFAQLRLEGLAPSGTTESQLLFQDAIKCPDNHDVSFYKQVRRLHTILTSRDSMNSVPKNPEAQRRITFFSNSLFMNMPRAPTVQKMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWRNFLQRMQREGMVNDDDIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDTASEVEITEGTKRLASYGSVRHENDVYPMNGGLQQRPRRRLDRGTSTVSQLFKGQEDGDALMKYTYVVACQIYGQQKKAKDQRAEDILTLMKKNEALRVAYVDEVSSGMGGMQYYSVLIKFDTVLQREVEIYRVRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYDYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSMFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWYEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANGSRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRIIQTGVIILAVVVLILFLEFTTFQIIDIFTSLLAFIPTGWGLICIAQVIRPFIESTVVWASVVSVARLYEILLGAFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAV >OB01G40210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24126952:24132568:-1 gene:OB01G40210 transcript:OB01G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVPSGRGSRSFDFGADDVLCSYDDFAAPSEPKRPDPADKQDFHDSRLGRPLGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLRHDTSKKKEDVPTQSFPEQKTLEEKADASGQQLAIVLPHQVNSSSLAPRASEPVQQYKDQTVQQPAPSSSVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQPEVQYLPVRPSATQDVPVHASSQQSQAANQTQPQSFPPYQQQWPQQSSQSAPAPVAQPQQTFSQPFPPPVQQPHLSNTQQFPPQQMQQPQQSSSQQFTPQSVQQPQLSNAQQFPPPQVQPPQPSPQLPPQAMQPQQQNQMRPQTPPNYPHYPPHQPLNPAPETLPGSMAMQGPYNTVAPSGGSRSEVPYSYAGIPPPQHNMQRQQLPPPNQGSFGPPPSKGGYAGPPQYPLHGSSQGYNNAYGYPPSGPSAGQAPQMPPAPGPHQMMRGHPYGEMIEKAITMGYPREQVLNVIQRMTESGQPMDFNTLLDRLNEAGSGAHPRAW >OB01G40220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24138778:24139026:-1 gene:OB01G40220 transcript:OB01G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVCIVLCLVLIAVVVAGAGLTATLAEARALVADQLAAPPPDTAGDFGIRGRRRPGRWNVRSLQGGKREVPGGPDPQHHY >OB01G40230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24142590:24144470:-1 gene:OB01G40230 transcript:OB01G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58590) TAIR;Acc:AT3G58590] MLAAGVAPTAFTFAPILSSPSVGARCAAQLHPHILKSGLIHSDPYSGTSLVGFFGRNGRFDDALRLFGEMPVRSVVTWNCLISSFVQHGRDRDAAIWFRALIRSGDGVSDGSLVAVLPAFGTPEQVHGLVKKIAMDSFSTVANSLLNSYCTYCSTFVAENLFNELMFRDVVSWNTMIITFAKRSMSQRALEFFWMMEGQSVFPNEITFSSVLYACTSINRHEHGKSVHAKAIKHNLNVKAFVNTSLFDFYSKCGCRKDAYKVLEEAPEDSTTRWNCLISGYSDTDVPTSFVFLREMLRSGVMPNEVSFSSLLKDPLQFHLLQIHSLVTRLGYDGYDYVSSAIISSYASHEMVSDALAYGGLLDPDSCVVSMNVLAGVYNRVRMYEEVKKLLLHQGSNDTVSWSILITACAKNGDYAEAFKIFKRMRICGHHFDRYASVSLLSICTKSNSLVLGSSLHGLIIKTNSGCLDTYVHNILLDMYAKCGRIEDCLKAFKEMEGRNIISWTAVISGLALNGFSHKALAWFKAMEEDGFNPDNVAVTAVLSSCRHGGLVHEGMKIFRHMKSDYSLEPEMEHYICVVDMLCKCGYLKEAEVVIRDMPFQPSAVIWRTFLQGCQTYGVIDAQVFS >OB01G40240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24150497:24150649:-1 gene:OB01G40240 transcript:OB01G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFSLVSLSTMHQPHVVRLNFFTVRTRRQIDSDKWAAIVCACMIVKNTGR >OB01G40250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24154720:24165774:-1 gene:OB01G40250 transcript:OB01G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSLMFRRATNTPSVLQSYIALSEYKYYSRERKPKLVGTMDESRKRLASTADAKSSSLDEDFGNDFLSSWKLPKSGKDTIDFDVESVPKNSKKFTFDNLDDFGLDGAFEKLSSFKVGMSDLDFSGPLKKKMKPNSSNGNNLSEEMKETEKDNFSFSFDFNDLGKFNLDSNLGIAENVMSKFVENAGLVSSEGDKDPQRGLSGKGSDILGDNISKDQEQKKDACTLRPSHLTSFSPAGMGQNKVDMLLTDTHEEKSNEPHPSKAAVNEPSQNPPCSSTSTPGEDPTDVTSTATATAAPENCREVHLVEVSKVHISMENKDSKQSVSSQSMNTSNMCPSLPRKLMGESDYQNHQNEIVRESACLNEESQDKQCSRGTSMKLLRKTTCETQKADKGTSGPKNLSSSMQRDIRNIKPALLNETGSFSLVPRSAIMKDSMPPHLTPEMALNQLSGDNKMIQKMNTHSAELKREHTQADARPGKPKIASSKTFCKSALHALLTTSMNVKDHWNSKLRLESPSTGNVSSLNAPSSPAHSNGHNTATSQSPLRSSNVPDAVKGTPKNDNRPISQLKGAKITKGGAISSKSDLLLEKELMEVSRRKGSPITISNNYKSYGEGKSVLPSLSMLQKIPKEPVPDPKAPAVLKRVMRSPAVRKSPEIVSKLGNITVPGSGTPKAHMDNAIVSAIPCEMGGVSELELPALLENDVNVEKAEACRKELEDLCISLRRKHEEAKELAVRAIVNNNMMLMLNHPMFEEKICALQKFADSLRSKKFSFEDISTIDAH >OB01G40260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24180233:24180855:-1 gene:OB01G40260 transcript:OB01G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGGDSLYSSCPAAGGAVAAVDEEGNKDFTLSETRSAPSECGRKEPADAALDVEVTCSVASGCSVVAAGCGGGPSAVGELVDGGLGVAHEPVDGLAGPVVAEAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPPVLPPPSQQPAPGGTLACCLRWCWWRKLPKPCCWW >OB01G40270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24180551:24181363:1 gene:OB01G40270 transcript:OB01G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKAAIDELPDRAEGPPPQPAATTEQPEATEQVTSTSYGFGNTGAGAMTSAASAGSFLPHSLGADRVSDNVKSLFPSSSTAATAPPAAGHDEYRESPPDLLSRTTSSQPQELCLTLQSNQHQIFSHVSANQHHGMISSAGVPGWPDHVQRMPSWHAPENSTGDGRGAGGNGDSYMFAMPARQGLDQGQLFAHGEPLQSSSSGWASARTWLDPLAVAAIHHQSSTMAAGQVGFGHLVGGGGGGFMGFLAPAAQRLQGEEEHGGEAMRRD >OB01G40280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24199517:24199912:1 gene:OB01G40280 transcript:OB01G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVSDPGSAWFGGGERSSSGAGPGRNVRLVATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRARSAALGWSRRRSR >OB01G40290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24204469:24206654:-1 gene:OB01G40290 transcript:OB01G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVALPTEAEASVLRTEEAIAAVRGFLRDRVLLGGEGGGRELPGEVAVASRLVEEGKPHAVDWCGLFWELLKTDLVSGKPRRYAPHFLRLIEHQRPELFAEIDGSKPLGKRRKGEFLQQCQWADDKETALIHAKCGDARSQASEAEEMEESGLSIGDLEEMPVFGEGKEFTAVDPVDYQSSIVGVRGWIHGMNEGNAELGSQQNLPSEVGVLGCDMEGNEGKDAAGANVKDQSSPYVSSFLSLLRRMDEQDDSNLQKVISGIKPQPGPNQQHIIEIEDEEDDDDANVGVGPVPQGGQFDSNSYLVQQRATEGIQSDQALLSFLACTQKIKACMDNNFLDKIKALMEARAANQRMRNMLMRKDYMITTIKRDILEDLGARHTKISRYEHDMEMMRLTIQQYQKLFENSSAAFIEYKKGMSCAEGGDSAVEVPSIADGLDRSVRVQQVYMYQRISRIQKFWLTKYSELVEHMTVLANRMAYLTGEADEDLDKELPSKTRNAEWEGEGDRCGSAAQIDELAQSAQKNGKESMIINGATGSCHEEEAYAAYQGCTALQGTS >OB01G40300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24209721:24212090:1 gene:OB01G40300 transcript:OB01G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPVAAPPQQEQAAASPTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQNIKLSGGLAADHAHAHGGPPPVRAEKELMS >OB01G40310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24214270:24214818:-1 gene:OB01G40310 transcript:OB01G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDEERRQRGGGGEEEEMVVEVPEMDGELLVELLDASLAAAGEEEEAGGDDEATARRRQLGFFTADVGDGWDGLEMMNSIHPHQEEEGCEDCGLDDILSDFGGSGYPAPAPPYAVDDPVEFWMEEIDHAAFAGDCPGEEWYMDGMAMEWEDGRSYYSFHYPSYGGDAACTDQLYSSPLWE >OB01G40320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24221755:24226574:-1 gene:OB01G40320 transcript:OB01G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G46250) TAIR;Acc:AT5G46250] MDDGQGQAPPADAVEAEPITVAEADDQLPPPAAPPLEAEGVVAQEDPLAEEEDVAPVGAASAEEAPEAAAGGVVLTDELCGRIVKQVEYYFSDENLPTDEFLMKFVKKNKQGFVPLGVIASFRRMKKLSQDLSLIEAALRTSSKLVVSTDGKRVRRMHPLQQNELKDVKKRTVVVENLPSDFSEESIHATFETVGKIVKITIHDQHSVGESATIKNHDIMLSNKVHALVEYETTEAAEKAATTLNDESNWRAGMKVRLAKRSVTGSGKHNQSSKENQTIQGQPSKVEHQMVSGKKGGTDSIEGVLDNENMSSDITHEDMHQHQKVNAKGGRKGRYKGQGRGQIQQNTSGQGHGSLPAHDGFDHVNKPIPGPRMPDGTRGFTAGRGKSPTFQKGDNAEE >OB01G40330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24232301:24236552:1 gene:OB01G40330 transcript:OB01G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSARRHALLGLCLGLLLLAAPSHQVSAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGVSQMVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAVKKLFTPDKPKDNVVTNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPLAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDEVRVWGLNGAAGLKASLGLLLGIVSIVGLMLF >OB01G40340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24245258:24247637:1 gene:OB01G40340 transcript:OB01G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRMKLWPHCFPCFDDDDRSGNRFTAACNFSDDLLPSLGATAQQPPKLRKYLVSPYDPRYKVWETFLIILVVYSAWICPLEFAFLRYLPSAPFVMDDVVNGFFAVDIMLTFFVPFVDKKSYHLVNDPKKIAIRYLSSWFLFDVCSTVPFHSISLLFNKHEHDLGFKFLNVLRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTSYLIGNMTNLVVHSTSRTRDFRDMVQSASEFAARNQLPQQIEEQMLNHICLRYKTEGLKQQEMLDILPRAMRASISHCLFFRVVQGAYLFKGVSSRFIQQLVTEMQAEYFSPRENIILQNDSPSDLYLLVSGAVDILVLLDGAEQVYGRSADGELLGEIGVLCNKPQPFTCRTTKLSQILRISRSKLLGIIQENREDGNIIRSNLQQVDI >OB01G40350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24286226:24288186:1 gene:OB01G40350 transcript:OB01G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGPPAVDHHIPLLRSPDPSDVLSGVPVVDLGSPGAARAVVDACERYGFFKVVNHGVATDTMDKAESEAVRFFSQPQADKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLAVDNASLADACTVPSCAVFRTALNDYISAVQKLAVRVMEAMAEGLGIAPADALSSLVTAEGSDQVFRVNHYPPCRALQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQVALRDGQWVAVPSDRDAFFVNVGDSLQVLTNGRFRSVKHRVVANSLKSRVSFIYFAGPPLAQRIAPLPQLLGEGEQSLYKEFTWGEYKKAAYKSRLGDNRLAQFEKKQLA >OB01G40360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24312677:24313036:1 gene:OB01G40360 transcript:OB01G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3L480] MNFYLIGGSTNEAYGRRVLRRQLVDQKPPRWLMVELVGRDDDNIFLALHQDKLYITGFTDKKGYWYIFSNKARLRLIPPASSLSFRDDYVVLGRETTLGALSELANYQPSDAVDVGCIK >OB01G40370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24317316:24321929:1 gene:OB01G40370 transcript:OB01G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARACSPLLCALLLVGWFREISGLFPAIFFCCSPQDLKGFWESRFGGKKEPEHNGHANGEADGSVRKRTSDLAVYEQFEQQARQTEARAAAIRDGNADAIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEARDELVPEEELPEVGPLRAQDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILSQEQA >OB01G40380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24322123:24325243:-1 gene:OB01G40380 transcript:OB01G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30070) TAIR;Acc:AT1G30070] MSADELRLDLEELRRLEGLAKRPRVLSVLANEIRAVDAKLAKEISPPAVAAASPAPVGTAAAASAGVSYVTLGSFSWDQDAEKVKIYVFLEGVDQDKVETTFKPMSVDIKFHDVKGKNYRCAIPKLNKEIVPEKCKILVKPTKIVVTLYKASKGNWLDLHFKGDKFKPSMDKEQDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTADSVRGLP >OB01G40390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24330640:24332658:-1 gene:OB01G40390 transcript:OB01G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSELPQRASPRAPLHLKTTACSEANGAHHRTVVDRSSPKLADRPSPRSPLHEKKRAGTRVAELEAKLGKVQDELKKLREQLATAEAAKKDAQVALEEAKKRVGTKGSPASVAAASPRSPATVAVESPEKTEQLKVADQPKAEESSTNSPETDVFEVVRTESGDKENQSATVTEDGEEVSCGIKAALAEKELEEEETKKMIEEESFDTTAIDVGEKENPEVAELKAKLMAKDMEVAILPADNAELKKQVAEAGEAAKKAEDSAAAKSSLVEQDLREKAAREARMSEQLKASEAAREALEGEMRRLRVQTEQWRKAAEAAAAVIGGDAHLVGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >OB01G40400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24349632:24352897:-1 gene:OB01G40400 transcript:OB01G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MFAVRYGPSTFAFGFERAAAAHPRKFGSLVVCGARGPRPRYPRVWKTRKRIGTVSKSQKLVQCVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEYEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMLGDVFQKLGMMDKYVKLKKKYPPPKWEYRYIKGKRIRLKVYPKNEIEEPMRDPATDEVEEEESMDLDSELEEAASAGLDRNVLDEAAVETLNLCRPELLFGIIHACFIKAGKNNELISSND >OB01G40410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24353058:24358368:1 gene:OB01G40410 transcript:OB01G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKTVCPSINLVQVPVARDKADLNVYRSAGSEVVAILATKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFFLCDLVVAATLQFDMNVTNRSSSVQDVSNKEDSVKAWLCRTDADYQDKLLSCGAIIVAQLRVRVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKDNASVKTWLDRLCEELSERVQSDLNQNKRIAQTLTLYARACKKNGCDSIKKFPSKSCPLRYGTGKIQEDAMKLFESGLHDFLGSQITKWSITSLSVSASKIFDIPSGTSSILRYIKGPNSTVSPANLDCSLHEDLSLGNKLYIAPNHEEHCEPSSSEKGDHGNNSILAKQSQAKEEKKMSKKLTEVKGTCSILKFLSQSPPALNEKRKFDSLMCSHPGPESSSEANKAEDHTPTQSVDRNNFSTGGGTSASSSPWMLNVEDIDPAVVEELPPEIQREIHGWIRMPKHSSSKARGSTISSYFQPAKRS >OB01G40420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24359402:24363095:-1 gene:OB01G40420 transcript:OB01G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRESTTGIIADYGLPLTVEEYAEAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLGDWKDCFSVILGGDQVPRGKPSPDIFLEAAKRLGVNPSSCLVIEDSLVGVQGARAAGAKVVAVPSLQSQRRHYSIADVILYSLLDFHPELWGLPPFEDRIQGALPIEPLFLSAQVGHAISKSLHMVIEGDCTYEYVPDQISGIYFGWAKLEAGGVSRAVISIGWDLSLRTIERVMHIYFLDSCCKITTEETLKLLLVGYIRKLQCTESTSQALTLTVEDQAIARDALGLPVFSEYAKLENGLLQFFSPDIPS >OB01G40430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24365949:24367823:-1 gene:OB01G40430 transcript:OB01G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06330) TAIR;Acc:AT1G06330] MTIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAQQQHYHPGGGQAHAAHAARPTSSYNYYKHGYDDSLLYGANSAVVGSRATDYFSDENPQSCSVM >OB01G40440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24377482:24378662:-1 gene:OB01G40440 transcript:OB01G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHVEMAPAAGGFKLFGKVITQCGVTETTQDKAQGFVVAREKTEPEEEEEERVPAASQRCGCNVSSGSDEVAEASCKLRGKSVRPTCVTMLLPMPPRCHTLSRLGGRRRGPMLVLIRISQVTAREFCVLVFPGNWWRASEIERSKR >OB01G40450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24386358:24391945:-1 gene:OB01G40450 transcript:OB01G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKATSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYEFAAEYESKIDPKQLDAIGNVLTRLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPQEVFDALKSQTIDLVLTAHPTQSVRRSLLQKHGRIRNCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCTDELRVKADELHRSSKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLANGFSEIPDEATFTDVEQFLEPLELCYRSLCTCGDDSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQDWLLSELNGKRPLFGPDLPKTEEIADVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPMRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >OB01G40460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24397628:24403448:-1 gene:OB01G40460 transcript:OB01G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L490] MEKETSSSDVSASHVGRARQRRRPTEATVDGNRTNGPSLLVNDHNKYKSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVNSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLMVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKDLSTGWLRCDPGPMFKPEHYSLEEWVPQGFPWKEVVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNFSVEIILDQILRNLTFEEQRFLYQQLGEIFHERQLMQS >OB01G40470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24410181:24410762:-1 gene:OB01G40470 transcript:OB01G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAPPEKDKEKEKAKAAQAQAPAPVRRRRRRKDTGDEAGGLAASNTVAASTRGGSEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >OB01G40480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24410638:24411000:1 gene:OB01G40480 transcript:OB01G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPASTGPLISSTRPLECTMRTTSSAPHGRMHAMQHLMVSMADLPALARSHAAGRFGFAELAHGMAGLQLARAREEENNSTERRVCPLHVRAGGRGGRAHLALGLFAAGMVRAFVYIYI >OB01G40490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24431090:24431482:-1 gene:OB01G40490 transcript:OB01G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGRGRGWRRAAGADALGLPRPLLPDEGHRAGVPDGAGAEVGLVVRQRVGVAHRAKRRAQVDPLAPLRHQNRSARIARSHKLHKTVRRKRRLASAAADGERRKEDLRLGVWRRAAATRRRKRKAPRFRCH >OB01G40500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24432060:24434065:1 gene:OB01G40500 transcript:OB01G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKARGNGRVRELASKGRRQGAPSPLARSSQNKHPASATNGSWEDVYKHKLEQKYLGQGEDGRSKLTSESNQLTFWSDSPMGNGSSPFHRSAGGGMSPYYRDVLSSSSKANESFGTGDKEDKMSGSNGSSSLGRDHDRGSLLGSDRSSLKGSSSISSGLDRPVYEDSMDHRGDIHSETSHLALLLDSRASLDADRCNSQLGEPQITSRNPTAKDGENDQLLVPSDKLRSLNLDDGKTCGHLEDSSVAKRDMPLQSLLPLPAPRSPSESWLSRTLPSVTSKPPVPSFLGIQLQSKKQTPWASIQPKANDTKPLRPRHIRFADVLERPNWLNSEI >OB01G40510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24436108:24440200:-1 gene:OB01G40510 transcript:OB01G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSLSNACKVSFSPDGPISEEALEKVRALLDEIRPIDVGLDNEAQIARNWNSSTRQPNGRRGRNGANQFTSPIRYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDIPDPTDQLQEISVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAERGRDCSYFRKSSVREPPVALPSEINNAEIVWLEELEDHQPPEGFVVARGLYKGPVIRR >OB01G40520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24447847:24448446:1 gene:OB01G40520 transcript:OB01G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSGSPSAPLPAPPPPLPPPARSXXAVVACRAFFGEHVDRDEEEEEEEEEGNVARFFQELLEKDAGLRGFYEAEREQGRFLCLVCEGTGARAGKRFAGCAALVQHAGSVARAGRRLAHRAFADAVGRLLGWSAGRTTAWPADSGNAGLCDEADHLEDAPQSAEMEICPDQ >OB01G40530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24450031:24453034:-1 gene:OB01G40530 transcript:OB01G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRCSSSCNRSAGSTRPPAGSNARVSPDISADLSPSTGEEEVAEEERWSALVPDLLADILRRVEAGSESRAWTKGRTNAVFHQEEQEKLYLCSISWLNTTSNFMGTKFTICDWQSPYEVGRTFSSRSSYWFGSKQRRPPVSTGDVEVGEVSYKYPSLGLKSRFPERMNRGVQCPVIGGTAMDPQDAEQPGTLFVLNSKIPSWHEHLRCWCLNFHGRVMVASAKNFQLIEPSDETVVLQFGKFDDDVFTMDYRQPLSAFQAFAICLSSFFVNR >OB01G40540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24468146:24469755:1 gene:OB01G40540 transcript:OB01G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARHVACYQRWIAGQEASLGELEAASANAAAGRATDAELRAALERCMRGYAEYVASRRTLAREDSTALFAPPWCTSFENSVLWLGGGPPSLTIRLLYSLSGEGLEEHIEELISGAGALGAARSMGLLGITARQLEQPQRTTPVSDGLASGKAHAVALAVREVIPLLQKMNPYFT >OB01G40550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24470975:24472424:-1 gene:OB01G40550 transcript:OB01G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L499] MATTTTNQDSQVIMGRYRLGPLLGRGTFAKVFKAHKVHTGEAVAIKVFDKEAVQRSGTGEQVMATRSRIYFAMEYASGGELFTRLSRSTRFPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVSDFGLSALDGGAGGSPRGDGLLHTTCGTPAYVAPEVLMKRGYDGAKADIWSCGVILFVLLAGYLPFNETNLVILYRKITESNYRCPPWFSVEARKLLARMLDPNPKTRITISKIMDRPWFQKGTCPLGDMPLIASAPSVLEAKQQHDEEEDGLAREKKRSKVIMSSPTIEVRPPSMNAFHIISRSSGLDLSKMFDAEHRLEARFSTRETTTAIVSKLEEIAEAGRVSFKLKEKGRVELEGSQDGTRKGALAIEAEIFQVAPSVHVVEMRKTGGESPVFRDFYKQELKPSLGDMVWAWQGGDSPPPALVPATGRRPITRRP >OB01G40560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24479893:24481176:-1 gene:OB01G40560 transcript:OB01G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L4A0] MVHLVKREINVLRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFSRVSKGRLKEDTARRYFQQLVSAVDFCHARGVFHRDLKPENLLVDENGDLKVSDFGLAAGPDQFDTHGLLHTFCGTPAYVAPEVLRRRGYDGAKADIWSCGVILFALMAGYLPFHDHNIMVLYRKIYKGEFRCPRWFSKDLTSLIMRLLDSNPTTRITMPEIIENVWFKKGYKPVKFYIEDDKLYNLSDDVLDLEPADALPPPLAPAPPSLQGDDGDGSGSESDSSVVSCPATLSTGESQRLRGSLPRPASLNAFDIISFSKGFNLSGLFEERGNEIRFVSAEPMSDIITKLEEIAKVKSFSVRRKDWRVSIEGTREGVKGPLTIGAEIFELTPSLVVVEVKKKAGDNEEYDDFCKMELKPGMQHLVHQMIPAPNVPTNME >OB01G40570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24489173:24495213:1 gene:OB01G40570 transcript:OB01G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT1G29220) TAIR;Acc:AT1G29220] MASDTEGGIAALFSMYNDDEEEDDGDEPRPPSPPAAATSSSPSPQTEGESSNPNPNAATSSAPPSPPLAEDLAARRTLASPYPSPGLPPLPSRRSPSPFAIASPSPLRPAAAVPPADLPRPPRRGPLAIVDYGHDEMAMSPEQEDGELNGIDISGLDAQVTEGNFEEKTLAGTVHILTPNRRSEMSQQNDAPEQNQVGTTAAMSVAGAESEDAQLEEAADNIQNDDPLSHFLPPPVTAKCSAALQQKINKFLAYKRAGKSFNSEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDRSDYYDEIEADMKREIERKELEKKKSGKVDFITGGVQAPISAAIPKIAAAVSAAAAAGAPLVSISGEGVQKETRPNKKSKWDKVDGDVKNPVALAPSGHDNLSAAALLTSANVGAGYAAFAQQKRKEAEEKKTSDHKSERRS >OB01G40580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24491851:24494485:-1 gene:OB01G40580 transcript:OB01G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPAPTFAEVKSAAADKLSCPLGARATGFLTSPSTLSHFDFLFGLVSFCTPSPDTHSMFVCTAPAIFGIAALIGACTPPVIKSTFPLFFFSNSFLSISRFISASISS >OB01G40590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24497696:24501167:1 gene:OB01G40590 transcript:OB01G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAGKDRGMPWWCTFRSFKGDARSEEFYSLPFNLNRFFPPS >OB01G40600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24502388:24503493:1 gene:OB01G40600 transcript:OB01G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKATVEDTDMPVKMQLQAMSAAYKALDRFDALDFRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSKGSFIYFNLGALRFLVFKGAAS >OB01G40610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24504562:24506996:1 gene:OB01G40610 transcript:OB01G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGGALLERRSSVQRSQSMVLEEDRGPPADGEQLTSSGGGGGGALKIGAVLDRDSPEAPTAXXXXXXXXXXGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNYKDRNEFWYVKRDSCSESDKEETQRSEEKWWIPIVKVPAGGLSPASRGWLQHQKELVNQLLKAAMAINANCLMEMAIPESYLEALPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLSADDLARERAKREAAASPAPVPAPALAPAMANDAAERHQQVGEADGPGKMAGSPNGRTLLDFMDDWNGDAERPSPTAPEAPAQEDGRLMKLPNIMTNLKQTYMDKLDLFGAHRSPPGRH >OB01G40620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24508860:24511868:-1 gene:OB01G40620 transcript:OB01G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT4G23440) TAIR;Acc:AT4G23440] MELQQESSDAGTLFSAASRNLSSSSSAFVSANQSPFFTPRCLSARVPDHAHPDNNNSLNGTVLKIGDILSNDALIQRKQLPSATVGLLTSDVSPPPSLCTSSNFDIPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHRRQWGLYRKSSSSQPTTSATSINRLRCFDVYIGFHGRKASLLRFTNWLRAELEIHGISSFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGKKNLIPIFFDLGASDCLSRDIIEKRGELWERHGGELWMLYGGMEQEWRESVDALSRVSDVQLEANDGNWRHCILQTIILLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNDDFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLLIGRSVNNYEQVNTDESKGKEPVLWKETKENIEMQRLGSPLRHGRPFRTKNDGRYGRKKRCRKILYGKGIACISGESGIGKTELVLEYAYRFSQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDNHLHEKGSDRCFEEQEDEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVITDLLPHFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLSRTLPIRDFTWNERDALGLKNHEILVRLLDVCLSIFDHADGPRSLATRMIQVCGWFAPSAVPIPMLALAAHKVPKKHRRGPRWRKWWRTVTCGLATSRMKKSEAEAAAMLMRFGIARCSTKPEHVQFHDLIRLYACKRGGTRTVQAVVQSIYLRGSIKQSSEHLWAACFMIFGFGSDPLLVEPRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWRETPFSCFRPTQSEAQYTCLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLS >OB01G40630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24514741:24515841:1 gene:OB01G40630 transcript:OB01G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILRCYSRRELLRYHCSLQFCDCGMDGICKMGYRPAAQTSHTDPPARCHVNVVLLPHEGHLITIEPSESKHSNLLNNVAPKLLPLVFKASKSCCLMLIILSAIAFNSTVHSLNITLSLSMVATIDAP >OB01G40640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24514330:24518916:-1 gene:OB01G40640 transcript:OB01G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3L4A8] MSSANVRGAQPSTDRRLSTLVRHLLPSSARTATTTTTSAAAADSPLEAFPTMASSSVFAGLTQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRHAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQESRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSVMFNEWTVELKAMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSGRTIPHLADAIHAAVTKLK >OB01G40650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24520745:24524467:-1 gene:OB01G40650 transcript:OB01G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain [Source:Projected from Arabidopsis thaliana (AT1G79840) TAIR;Acc:AT1G79840] MGTNRPPPRTKDFFAAPVLSLSLAGVFGRDNGPPAAAAAGGDELEEGDEVQAAAGEAVEISSSGNTGPGGSRSQSGGGSGEDGGHDAADGGGDRKRRKTYHRHTAEQIRVMEALFKESPHPDERQRQLLSKQLGLSARQVKFWFQNRRTQIKAIQERHENSLLKSVLDKLQQEHRAMRELARKPSRCPNCGAAAASGDAAVAAATREQRLRLENAKLKAEVLHAPFPACCMACMALTDTDLNSFQIEKLRGTPGKATAADGAASPPRSATAARTNCKSPPLHGHDDRPRILELAGRALDELVAMCSSGEPLWVRAVETGRDILNYDEYVRLFRRDHDGSGDQLPGWSVEVSRECGLAYLDTMQFVHAFMDVERWKELFPSMISNAAVLDVISTGEDDGRDGVVQLMYAELQMLTPMVPTREFYFARHCKKLAAERWAIVDVSTFDEFEAGVHASSPVRCFKKPSGCVIEEQTNGQCKVTWVEHTRCRRRTVPPVYRAVTASGVAFGARRWVAALQLQCERMVFAVATNVPTRDSNGVSTLAGRRSVLKLAHRMTSSLCRTIGGSRDMMWSRAPKGGGGDDIWWSSRTNSGDDPGEPQGLVTCAALSTWLPVNPTAILDLLRDESRRPEWDVMLPGNSLQICVNLAKGKDRTNCVTVYAVRPEDGGGSGGGKWVLQDICTNPCESTTAYAAIDAAALQPVTAGHDSSGVAFLPCGFISVMPDGLESRPAVITASGNVAAGAGSLVTVAFQVLASSSPAATLATDSLEAATGLVSSTLDKVRKALGCEDDF >OB01G40660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24525380:24528757:-1 gene:OB01G40660 transcript:OB01G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAVAAGRRRGEEEEASGAAPVGGSSSLVGTAGGAEGFDGALRELKDLRSQLYQAADCCEKAFLGTEKKKIIMESTKGYICEAIVAVIDHLGTVSSKLERQMQEKIEITRTEKRLNFLKQRLLTCEQYAITLNVLTVRGDTDTIQYHRRYLLQSTGGIKEGNGTNSRKDDLKLVESNSPTTPGATRTFKPYDIQSTIGRERSVATSDFESPAVAKSSFSFRAEDVPTVLAGHKKKANHGSNILSFLRKGRRHA >OB01G40670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24530752:24532798:1 gene:OB01G40670 transcript:OB01G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein matc /.../photosystem I P subunit (TAIR:AT2G46820.2); Has 291 Blast hits to 291 proteins in 50 species: Archae - 0; Bacteria - 90; Metazoa - 0; Fungi - 0; Plants - 200; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52220) TAIR;Acc:AT1G52220] MTVSALSVARPAALSLRGGGKSVPGSLPRLPAVPGARFVSGRMRSRNVVAAKAAQDSSESSSGSIVKYVQSSFSTPEDLFALAGIGFAGIAALWTAVNLVEVIDKLPALPLLFELIGILVAWFFIYQNLLFKPDRERFVNNVKNSISRVLGQ >OB01G40680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24533444:24539324:-1 gene:OB01G40680 transcript:OB01G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGTPITCGPTCHGITQQSRQHFPENTTPRMTGRITSNDTDAAEEPTPPPTKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNEIARREAIDATLERNPDAFRPKIGSSPHANRNNMEAVGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALYQGDHKNSIHMQQAANAAVNGAIGAAAFSSPSTSRKRKHIDPSLDHLAKENGAHKTSHLPQKNGVASDGPLPMSQPMHPPTMGPFKVTYRPLLADIVQQEDVKDLCKLLVVVSGKTAKAYAGKKAQEDKVVEREDERGGQREDEVGSSLASTNHDREENSKDPDYQKATTDNPSTGGTHAGKASLEESKHDNIDDQKSNRPMSPGTLALMCDEQDTMFTTSQNVVTQPAVPVNQNQSELYAEQERCVLTEFRDCLRKLVTYGRMKEEKYSMAIKSEVPGHPMQANGVSRIPYSKDVDVPVVKTFLQGSSRHPVAGNTATGHLDKKPKHENT >OB01G40690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24542298:24550861:1 gene:OB01G40690 transcript:OB01G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MPTQAGARGAVARLMTPLNYPAGHASSPHLLPHLLPSSYSLLSRLRRHFSSSSSSSSSSSAYNTRQNTSTLMEVVQEVLKHGSTHGVRAAIRSDQKSYNLVQLIASALDVYNILRNKKTTQNGSKGSSAEGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHQDIMESISTKCSAHCSLLPAVTSVPVDCQEPSSNEVTSSISSLMAEIDSSKDIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVQILSEAWGYQSEDQFLHCLPLHHVHGLFNALFAPLYSGSVVEFMPKFSVRGVWQRWLESYPDDGSKNNEAITVFTGVPTMYTRLLQGYDSMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGERKEGTVGKPLPRVEAKIIMEDGTETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHETVLECAVLGLPDEAYGEIICAIVVPKDDAKKRAELDSKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGV >OB01G40700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24551759:24553368:-1 gene:OB01G40700 transcript:OB01G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSGVAEAEAQECFKANTKAKGGFKALPFIISNEILEKVAGFGLNVNFITYLNVQYHLSHANAGSLLFVWSAVSNFAPIPGAVIADMYLGRFVVVAIGSVACLVGMVFLWLSAMVPGARPPPCDMRLLPELCAPPRARHMAWLLAGFVFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQVYFNAYYGSIGVAFMVAVTVVVYVQDNLGWKVGLAVPTGLMLLSAASFLLGSRLYIKDKGSKRMFDGIGAAVAAAVRTRGAWLPEKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISSTKEDKPSNGGADGDGFGDRGRLCTVDQVEQLKSAVRVMPIWSSTIFLAQAMNQYFAVPQADAMDRL >OB01G40710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24551805:24552158:1 gene:OB01G40710 transcript:OB01G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARKMVDDQIGMTRTADLSCSTWSTVHSRPRSPKPSPSAPPLLGLSSFVLLIMHALFKNLNRFNQTSPLHHMNSKVCIFEQQFAPLASCIADPSIDRSIAYLSLSVGTVSLQSLR >OB01G40720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24559729:24561742:-1 gene:OB01G40720 transcript:OB01G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALPKATTKNKGGLRTIPFIISNEIFEKVATFGLHANMILYLTERYHMAAATGTAVLYIWNALSNFLPVFGAVLSDSCLGRFRVIALGSVVSLAGMCLLCLTAILPADRRTAGCAARRRAGALVPWPLPLLFASFLLMSVGSGGIRPCALAFGADQLDRRDNSARNVRTLQTFFNWYYTVLGLSIVLASTVVVYIQQAKGWVIGFAVPVVLMLTALALLLLGSPLYLKAKADRSVLVGLVQVLVASYRRRREALPAETADASWFHNRAGYKPRVPSSRLRWLNRACALGDNPEKEVNPDDGSARDPWTLCTVQQVEDVKAAVRVLPIWSTGIMPGVVIGQQMFPHCITGLAEALNLIGQIEFYYSEFPKTMSSIGVSLLALGMGFGSVAGSAIVGVINAATRRHGGXXXXXXXXXRQLALQRPQQGTLRLLLPRPRRAVRGQPRLLRLVRLGLRRGRPEPRHGGGSDHGRQTKGRAAETVKPFSVRQAAASPSTSPSCEN >OB01G40730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24569579:24573270:-1 gene:OB01G40730 transcript:OB01G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKMIKEYIEEVSTQLKIVCNSDSDELPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHASFLLGLDERVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGNFAAKLAELAEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYSELQKAANQGRRCTWEKLSAIRANCAIELVLDECVALLNHMRRLKRSVERAAASQGYGPTIRLCPSRRIPSWNLIARENSSGSLEDEFIVSPSTNHHADGGIAGPSNKNHHVQQNVHDNSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTDFRTISSREDRTDVVTPNTNFLVSQAIGRESVESSAMPITPDRSLGNSGYDPLDSNVPRSPLGGSTSIMVSEGDLLQPEKIENGILFNVVRRDTILTSTGGVELQRSLQEPDVETIQSECLDNASDDDVGFNADGATEPGAYISSKDTQHQRSSLEENINLSSSVDCQAETNTSKSECSSLFDIGMEIVPAIVSTESSLYDRSSAKIGLETVPAECSGNSGSWKGEVDSGTANE >OB01G40740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24587269:24588824:-1 gene:OB01G40740 transcript:OB01G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWRSRGAATSSRPPGASSPGGQLPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPAPAGRGAAALAVDGPSAAAAVAAMDVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEVCGGSGLVMKKDYYVRCQGCGGFLPWQSWRRFFTG >OB01G40750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24588932:24598528:-1 gene:OB01G40750 transcript:OB01G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVARSRLLVPLLPRISARCFSAASPASGPAEAAAAVAASEADYSSPAGDPSSATPPPTRKPLGLLKGSILAVVAAAVGATGYVSYAYSLDEIDQKTREFRKNSKEPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQDQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGYVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVPIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRTVLASYQGRDIPTEFIERSKEHQRRMQEQKHQGRLWRR >OB01G40760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24592032:24594202:1 gene:OB01G40760 transcript:OB01G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCDGSLAQLLGQSTSQWQSSRRGSPRECRFDRLQAFEPIRSVRSQAGTTEFFDFSNELFQCAGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPESYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQSYRREVEERSRNIFRGFSAELLSEALGISNQVARQLQCQNDQRGEIVHVERGLSLLQPYASLQEQEQGQVQSREQYQGQYQQSQYGSGCSNGLDETFCNMRVRQNIDNPNLADTYNPRAGRVTNLNSQKFPILNLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELRRGQLLIIPQHYVVVKKAQREGCSYIAFKTNPNSMVSHIAGKSSIFRALPNDVLANAYRISREEAQRLKHNRGDEFGAFTPIHSKSYQDAYNVEASS >OB01G40770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24602400:24602720:-1 gene:OB01G40770 transcript:OB01G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGRLGEVMWEHRLQAAAAVALVAATVVSVSAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPSSAIDESGKELIDFVAGHHHPPEAAAALDVPPEPEI >OB01G40780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24607121:24609781:-1 gene:OB01G40780 transcript:OB01G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCKDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTQVKQLIGRDVSEEESERKALEEAIRGARERERRTLLRLMNRGNGGESGPSVPKIADRSREGDIFPVASLDEYAEQARQQDDSDEEEGGEFPEN >OB01G40790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24610926:24614817:1 gene:OB01G40790 transcript:OB01G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEGAVLMLIAANVGVFMLWRLADPSFMRRHFMISLDNFKSGRIHTLLTSAFSHAEADHLLTNMIGLYFFGLNVSYAFGPAFVLKLYVAGALTGSAFFLLEKAFLAPRKQAYIGWDSSRAPALGASAAANAVILLQIFLHPKRLVYLYFIIPIPAAILGAVVIGADLWRVKKGQGRVSGSAHLGGAVVAALVWARISKGWI >OB01G40800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24624991:24625503:-1 gene:OB01G40800 transcript:OB01G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHHLPSPMPLMHHHHDEKYHVAAAAALAADKSAGGGGDDVDGGSGGVAHMGRFPAGGYHRFMGLNNPLGMVNSAGGMPFHYTGESWNNGSVQDSSAGSPQVAAAAAAAAAAAHHTSPFPSLLSLAPGSHHHQLVFYSSEAEQFTVDNLGSQSLSLSSARAFHDQTGS >OB01G40810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24626703:24626873:-1 gene:OB01G40810 transcript:OB01G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWITRQIIFVSIAPNHLSDNPFVSGNGRSDEPFSTDQLSISSTAECSKLFFCLLN >OB01G40820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24641694:24641864:-1 gene:OB01G40820 transcript:OB01G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNLTQDQLQAYSWWPLLNMHFAELWQVAAYTEKIICQSVLNCAFRIINPVRLS >OB01G40830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24645090:24645497:-1 gene:OB01G40830 transcript:OB01G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASASGAGKSLFQTFRKFFKKPWEITGPCASPEYRSALPGALEYRRRCPATLTEETRAVVPTSDPETVYDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPTKDFPAPYVKTAVEEDYDAVGGGYQK >OB01G40840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24648838:24654434:1 gene:OB01G40840 transcript:OB01G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFCTICFLHFLLPLVVFARPLFPLPSKTNEIERRPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVASRQEFSNRRRTYEVEWSNVTGWFVVDFTLEELKTLKVKQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEMKDPVFINKHVKWDGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKILLIDDFSVRTQDTNQSYWEITSDDYLAYISNYVVGLGPWKDTVVPPAKNYLMAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINIMGVDGLFTDFTGSLHRYQEMISPHPKGETANSLLVKIAQMISQYEGL >OB01G40850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24655776:24658426:1 gene:OB01G40850 transcript:OB01G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chlororespiratory reduction 7 [Source:Projected from Arabidopsis thaliana (AT5G39210) TAIR;Acc:AT5G39210] MEAAMAASTGELFTGNCNSDSPTLILCQSYENAILQSFDLLHCDLGLFDDTRLCCDLKVGAARRRRADIRSETYVLMEPGEEEEFVSKEELEDRLRAWLERWPGGELPPDLARFDTVDDAVSYLVRSVCELEIDGEVGSVQWYQVQLE >OB01G40860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24661051:24661428:-1 gene:OB01G40860 transcript:OB01G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRPTSAAKNCFFAGPGHRARSIEARGERLKNQPKRRGGRERGKEEEEEDGNGVVSREDGEVYVQSTGGRSQVNPSWATATGEQRCEPDSAGKTTPAWMWTVNGMDGTGGEGKGKGCRAAGVSR >OB01G40870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24662672:24664483:1 gene:OB01G40870 transcript:OB01G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELNKQFSNVTLGEEQEICDIGHALKALRKKILTLDFENSMRVHDPQNSFEYLEVLYKIQQLTESLGSLHPSGEAKEQHNELMIYAADLFDMALARLEEEFVYLLTHYKQPLEQGLLSFRSMEDGSIDDFSSSSFSEEQSEGKTTQPDTTGGSEYFATDLIQHGALSAIKSIANFMFLSEYDKECSQAYINTRQAAVDEYLGSLHIDKLSIEELLSTNWTKLSSLIKKWNRAMKVFVQVYLASEKRLSNHVFGELSESTADLCFYEISLSSVMQLLNFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIILTEYHEVLLQLGESVRKAFTEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTVYSKTLDLLIKDTDRRCQQFSADMQSMANSCPHFTVTALHLQSITAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVRNSELKTFLGDDWIRVHIRKFQQQAMSYERVSWSQVLSYLSDDGLCAAGDGASRKIIKEKFKNFNMSFEEAYRIQTGWSVPDDQLREDVRISISLKIIQAYRTFMGRYYSRLDGIKHRERYIKYKPEDLEKLLLDLFEGAQKSL >OB01G40880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24665322:24666687:-1 gene:OB01G40880 transcript:OB01G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGSDKRRGAGGANMRAWLKNQAFDRATVGGPANATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQAALQGLQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKIINGEECFILKLEASAATPRAPGAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRHIDGINIAHGGHTTVTLFRYGEGSVNHKRKLEETWTVEEADFNLYGLTSDYFLPPSDLKKDADEQRSG >OB01G40890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24669087:24670146:-1 gene:OB01G40890 transcript:OB01G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRLLVVLLVVVVLLIGVPPRGSEGAWCVCRPDVAEAALQKALVYACGHGADCAPVAPSGACYSPNTVAAHCSYAANSYFQRNGQARGATCDFGGAATLSSTDPSSGTCKYPATASAAGTSTGTGTVGTSTGAGTTTTTTTTSPGSATAATGGTPIMGGTFATPIGGASGPTTSAMNPDGNEAPSPSPGRLPLLCSIASLLLSSSLLAR >OB01G40900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24678273:24682234:-1 gene:OB01G40900 transcript:OB01G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGATLSWNVTRCVAVLEEAGAEYEVVPLNFGTGEHKTPEHLARNPFGQVPALQDGDLYLFESRAICKYVCRKNKPELLKEGDLKESAMVDVWLEVESNQYTSALNPVLYQCLILPMLGGSTDQKVVEENIEKLKKVLEVYEARLTKCKYLAGDYISVADLSHVSTTLCLFATPYASLFDAYPHVKAWWTDLMARPSVQKVAALMKPPS >OB01G40910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24678898:24682066:1 gene:OB01G40910 transcript:OB01G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSAEVYWLDSTSSQTSTIADSLRSPSFNSSGLFLRHTYLQIARDSNKKKSDKVIAERVCARTFRARCSGVLCSPVPKLRGTTSYSAPASSSTATHLVTFHDSVAPYSFIGAIS >OB01G40920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24691225:24691605:1 gene:OB01G40920 transcript:OB01G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSSSSRVACLLLVCCSLMLPAVASAVTITPSETTTGAKTTTAPAAMPDEEFLARLCDQQHSPMRRRLPWCQQLHARPRRHGGAIGGGERWVPMPPPSRDGEVIDVRYGVSKRLVPTGPNPLHN >OB01G40930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24692785:24696591:1 gene:OB01G40930 transcript:OB01G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT1G69380) TAIR;Acc:AT1G69380] MGRLRACSRLRRLLATRPPPPPARPPPATXXXXXXXXXXXXXXXXXXXXHEARDSGLGSSAYWAWIRAAAESAPAPPPQHEEEEEEEDDGLARCIPVKAYFLSTSIDLKSLQAEHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDSRFCYRFVVVFQYGSAVLFNIADHEAEHYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIVISLYEIIKDSSMMS >OB01G40940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24697436:24700917:-1 gene:OB01G40940 transcript:OB01G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:J3L4D8] MDLSKVVSSSAASVASPAARRPGGLARAGGGGGPSRVDLGPAKSRRALLATNNSVTPVTKEEKQRIDQSEILTLDNIRTSLVRQEDSIIFSLLERAQFCYNADTYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPTVLHPVADSININKEIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDIGAEDNGAPPVYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >OB01G40950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24703255:24707542:-1 gene:OB01G40950 transcript:OB01G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uvrB/uvrC motif-containing protein [Source:Projected from Arabidopsis thaliana (AT2G03390) TAIR;Acc:AT2G03390] MQGIFACGSISLSHGAGFRPACLALDDLGMFYKINSVICGAYPWHWCVKKLHMRTNGRKMNTTVRTNARWLFGGDGRSSSNARLERSEAANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVGSENYAVAASLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEVSEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDDGNTDS >OB01G40960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24710815:24714693:-1 gene:OB01G40960 transcript:OB01G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G26640) TAIR;Acc:AT1G26640] MAEATQKQPNPTASRPVRCIVKLGGAAITNKGELESIGVENLRSACAQLRQAMSHSGATEKVAGMDWSRRPGDPTDPVVDAEGLSEMEGLGLDTNFVVVHGAGSFGHFQASRSGVHKGGLQSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRNLESANASQIIQSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLNPKYVVFLTDVHGVYDRPPSDPNAVLLREIEVDDTGSWSIVKPALKGSNKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALQGDTSSEDWLGTVIRSSK >OB01G40970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24714394:24718903:1 gene:OB01G40970 transcript:OB01G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSCAHADLKFSTPMLSSSPLFVMAAPPAPPELLRDQRPQAQAGILGEGCVHNHRSTQGKGKCKKGVTERARESTELDDASDGAGGGGVRLLLGRLRHGSSSFSSAPRAAASTPEGREMGIWSGSMATDQWDPQSAGHLVLEIAQNAGPGASSACRSGPSKLSAARFGPNLLGPIVQHKGTKPQRRREPPCARLLLPAAASRSPLGVEDHPMRLLKAGQLFRKVIEGGSEKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNIGLMADDFVTLVSKYSLAGFVVGYPFNLQGQASPDALQVRLLVGELCKTGKLDDVSYTYWDENFTSKCVEALLYPLKLNDPVEIKTMTDKFAAVCILQGYLDNMNRELRCADDSEKQRDT >OB01G40980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24734668:24738031:1 gene:OB01G40980 transcript:OB01G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGFDRVQEQVLAEILARNNGAEYLRRHGMEGRTDREAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELNRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSESKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYAQMLCGLVARAEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRAGAMSGRVTEPSIRDAVAEVLVRPDAELAAFVEAECGKDRWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPDAAAAPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLAPRGASIVEYTSQADATTIPGHYVVYWELMVREGGAWPDPAAFERCCLEMEEALNAVYRQGRNGDAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSSHFSPACPKYSPHKK >OB01G40990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24739314:24745745:-1 gene:OB01G40990 transcript:OB01G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICWNMLSEEQHWKNMAPPTPRLVVPIDVSKKPWEQKVPLHNRWHPDIPPVADVIEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPASPGDLLAVEICNLGPLPGEEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNKREKILAETNHESLKLCEVLHQRPLANLPTPENCLLGKIQEGTAEWHKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMQEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTEEDPSSTRAP >OB01G41000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24747003:24751298:-1 gene:OB01G41000 transcript:OB01G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G48540) TAIR;Acc:AT3G48540] MASGRDLAIASVSAAVGAVAAAAAVRFLSSHGATPAKHQAPPAPCAEQLEANGCAADRPPVQSPFDPAKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSANGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHKLLSMAGVKVRKHQPQMSQIPIKFQEPRNCTRERTVCL >OB01G41010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24752900:24763159:1 gene:OB01G41010 transcript:OB01G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MASSAEPPPKKRRLVGAQDPSLSSSPAPSPPSPRSPVPPPPGAPPPPQTPPQTLATAPRPASPSPEEAVRKRRNREELRSLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCASVQRILADLIPQYVSYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASFEAPKSSVLTGICSAVYMTILTFFISTFDGKDVYHVGSRRLLNLQDPVELLEILKQESGGDNQQEDDCLVELRALSLICIFLLFPENILESCFALIASAEDVKGEGLYFLKQLTCHLNSDMIIDAIDVQTDGASQHTGMEIDLPGTKESVDSKLSTQNIDTSGSSALQPNECYMTMAISRHPSLKSWILLRYKKLCNSCRADVVSEVSSCLKVLGSLSQLEDNKNHMSKSSVPDKIDGNSGGYLQPDKLACSSEQRMLLKSDSADSYGGTSLHNKNAQMVHADEVKTEKLADVKLNVCKKGTVISGAGSQVAKPDMCKPKSTHDSAGVATTLTSPGQNFGKAKLSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPTKNFALVEYRNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAIGESRHIYVAKVKNKKDKDEVFDELKAAGLKQPCSFTDISSENALLLEFETAADAAAAKAHIRRQLHSDICSQDKNTSGHQLLVQNIDNLVPDMEFINAFSQFGEVIRWQFNKLDGNCLIDYRSPNAAAAAKSHLHGARFGLKSISVESRSYNAGSLHDKTLSPAGPMLTQSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSPPRAPPQGILPCPPVSAHRGSVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMRPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSERRPPPPPPNVAPPPFKRQDIPPPPPSPPPPPPPLPISQPPSVPPPPNSPPPHQPATDPSDSQKPHTHPRWQGSLSKSGLHYCSTYASRIELDACRYENVVSEPAGWPSRLDVTKRTDYNHVKTTFSNSPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSMKPLWSRLLFILPPTPEACGMLELPPHPSECLIALILPKETTAEAT >OB01G41020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24766360:24769464:-1 gene:OB01G41020 transcript:OB01G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRVLPQGSPRGSPQATRRRGAEADENAREWSRSPGRAVVEWAASPERKKVLGERNSGGDGSGEAASPVPTSRAKPATSPPSLSGRGEGPYDPKTNYTTPRPEFLRYNPEKRREILLRLEHEAEDESPSVTNATSGTPTVSESVSSGSLAIGEETELDDADIEEEIPAPRGGRLRRLLLLLVGVACSFCYICCMNSSPSPASEMGLNFAGPTGSVHDDAVHQVDSLGLPAPIEKLGSDSQTAHLYSENAVKLYGPSVDSPKNFMVIAAMGFADACPNVPFGEFVCEIEERTVHNVQNSHNSKEDFELSEQAREVIVALAPLESAVQSRKVASLDGNTIADSIGSTYTADMEKGQPGLVHQEEEDDHSSHSPQFASMEDASELENEVLGHAAGLESDRFDQATEPREEYENTAEAAKAMIYLVKSLWSSVKPHLMEMLAFFSVAALAVAMLKYFQRSPKGAPVSKREPAQPPVFAPNQSAKLPVLSSSHHVQQPVQLSARKEEPSLYHNIPVQFPLPKQIDCRNRLQEIQQDDANNARTSDSYTVTGREIDSSRQPVVSLLGEFSLVDANSSRGSSRKGSNDHDWDVPVKEPTVSLGKEAVKMQKEFRTIKSPTARRTRKEENSVKVVKMDAALTPLRRSSRLLNRVTSP >OB01G41030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24771011:24771304:1 gene:OB01G41030 transcript:OB01G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTQMLSRPIGPYNRRNRTNDLRALLQGQLYAVIYSSPVWYSDFARDFEMFPVTLFGKKSDKNSICAVPNVAKSCVLSLKKKWALNCLIRLFRCVV >OB01G41040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24771589:24771975:-1 gene:OB01G41040 transcript:OB01G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRISGEELREFFASMGDAGAAAAAAAAMGLDENGGGGLMLAFEDFVRIVERKGGEEEEREDLRRAFGAFEAVKGSGRITPRGLQRVLSQLGDDASVAECEAMIRAYDDDGDGELDFHDFHRMMSQD >OB01G41050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24777377:24779735:-1 gene:OB01G41050 transcript:OB01G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3L4E9] MACTSKWQAPAPAPAAGTEAVGSGRRKKWRDESGSLWRIAGPVILTEICQFLIGFVTAAFVGHVGKVELAAVSVVNGVVEGLAFGLLLGMGSALETLCGQAVGAGQVRMLGVYVQRSWVICLATSLALLPLYLLTSPALRLLRQSAAISSVAGRYARWCVPQLFAYAVNFPIQKFYQAQSRVWVVTAISAAPLAAHALLNWLVVARLGHGVVGAALVGDVSWWLLIAAQLAYLVGGSFPEAWTGFSTKAFANLGGFVKLSLASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANRPRAARLSVVVAVATSAAVGLLFTLLALAARKQMPRLFTGDGVLVGETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSSVAFVNIGCYYLVGLPIAAVFGFRLRFNATGIWVGMLIGTILQTAILLVILFRTKWQKEAMLAEERIMIWGGGVELPTIQEGS >OB01G41060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24782537:24783320:-1 gene:OB01G41060 transcript:OB01G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRVGESSATVDGGGGGGSKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHSHECPVCKAVLEEEKLVPLYGRGKASTDPRSRSVAGVQIPSRPAGQRPATAPQPDHHNHFPHHNPWIMGGGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGHAAGFPYGYGHSFHGWHGHGFPPQAPQGQHVDVFLKVGSHWFDLISVE >OB01G41070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24782718:24783689:1 gene:OB01G41070 transcript:OB01G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALWCLRRKTMPMPAMERMAIPIREPCSMSIRRRLREPMHLEAQQREKAPNGRRESVVPPATAGHRGAAAHDPRVVVGEMVVVIRLRSSRRPLPGRPAGDLDAGHRPGSRIGGGLATAIERDKLLLLEDGLADGALMGVRVDMEPLVEARPAEEVAAEGDDGVLGQLQADVALEATRILAAAAAAAIDGRRRLPDAVRLHDPPEQVRSAERGREPTQATNPRSNRQNALPTINQLPRLPGILFYAGRNQSHQTTHIARNNTLATESHSLQLQAVQTGGRFVRGTLVHKIRSEETATHRGERRGSGPIHRSGGGDRARSLSKGP >OB01G41080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24783801:24790496:-1 gene:OB01G41080 transcript:OB01G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGNKGGCLCAPFRALSRACGSACDLYVRGMSGGTTPPVLLAMVASSQPTRRVSLELEASKDRVTRAPPRSQTRPPSTGRPPVAAASVR >OB01G41090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24795664:24796541:1 gene:OB01G41090 transcript:OB01G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLSGRLPRHHPAGSDLLSTLAAANSTLADVQRRLDVEFRAAYPDHANPAKLVARLKRIQEEVAALKGLCRDLLAQKQELIDTMRTSLAAQRRATQRLLASSGLPPMSDKEEAAYASLNQIIDEWTAHVGPATGRDGKDVDTNQIFFSAVV >OB01G41100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24800682:24804219:1 gene:OB01G41100 transcript:OB01G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNANAVAEISKIASSLLPFGPREEEDDGAEAVGLTEEVVVFVRHISAHPETWLDFPLFDDDRHADDFELSDTQYGHALAIEHVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLRKQDAELLSTPQILKAREQLLQSSPKQKRLGSEEPNGSSLNMNVPATEGDSNGMSEAPSFEEPTPEPICVIEADKHPVLTTEVEIIDKPVIEEELVVKNEIKSIPSDSEKTLHTTTEDDDKEVEDWLKDVDPVSSKAGNVNSAGQEEDISFSDLEDDDDD >OB01G41110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24805940:24810110:1 gene:OB01G41110 transcript:OB01G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVKEQKGAAGREVIARTKLASHGKLFVGGVPLGTSESELRAHFSRFGKVAFVGTPKDKQTGASRGFAFVQFVSPDDAAAALAAGHQRHVLHGTTMDVKIAEPKSWDGVPPLLSCNKKKIFIGGLAPFVGEQQLTEYFSAFGEVSRAIVVTEIFTNMPRGFGFIEFALESSAARALRRERHRLCGQWVEVRLAMPKHLLAAGAPDQQDAAGSSRLSVLAPPFYPARSAAGFSSSSAAAAANYSTKNAPVIEPVTYVVGDSSNPNIGYEIPGVLMSPDVAEAVAMANYLRGGSWAPPLVAAYYGGGNVKLF >OB01G41120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24814289:24818058:-1 gene:OB01G41120 transcript:OB01G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVDVAAHIQGWHLGGAVPDLLAVEGFFAAAYASWMRVRLEYLAPGLQFLANACVVLFLIQSADRLILCLGCLWIKLKGIKPVPKAGGGKGSDDVEAGADEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSSLIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKQPKQQRVGSAPNLDSLAKESRPKKDSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >OB01G41130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24824679:24830317:-1 gene:OB01G41130 transcript:OB01G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGVGGGGGGGGGAAAGDEAIWSKLREAGFDEESLKRRDKAALIAYISRLESEVYQYQHNLGLVLMERKELTSKYEQLRAASETAEIMHKRERAAQQSALAEARKRDENLKKSLGIQKECVANLEKALHDIRGETAELKVSYESKLAEALQLMESAHKKFDEAEEKLLVAKSLEAESVQSRNAALRSLLDIDDREDQLRRDRISCELENEAKEKEISLQRKSLDDMKKILHEKEEVLLKEQALLGQRDENILERLAYVTHSEKRVEEESNILEAERKVLLEEKNKLDLKIEAIFSREEALIQKESLLDKRESELLILQETIACKERVEIERLNQEQEIALERRKQEFESEMANKQMSFDTEMEAKRRALDEREQALSEQESAVAERFKSVDLQLAELTSKENTLAGKSDELKEEEEKLFLHREAIHNELQKEREEIQKMKSDLEKEKVFFEEGKREAIQAQQDLAITQADRDELLTLQMKLKEEIDNLRVQKRELMADADRLQAEKERFEIEWELIDEKNEELQKEAIRIAEERRAITEYLKNESDIIKQEKDNLRALFKNNSETLSREHKEFMSKMQQEHASWLSKIQQEREDLKRDIDIQRMELLNSAKARQMEIDSHLREREEEFEQKKAKELEQINSQKEMINTKLEHVAIELQKLEDERKEATLERERREQELSEIKSTIEALNNQREKLQEQRKLLHSDREAITEQIQQLNVLEELKIDSENKQLSLIEYNKSKLGSNIKVIDFAQDSSQKHHSSQKQLVGRKLELSPAVSTPISWVRKCAQVIFKRSPEKSAACVEFDQLGNGAGELAHAINSAKVGQKRLNHLVSCDQTEVLEPKRKHRRSTIQKVNGGEITSNCPSALEEKFSKNEHDEAPLGLSGTCKEYGDKGPENLTTPGDPASSDDAPYVNGIVDNSDSVEDEEPSVDATVSATEPSIVDGPEDNDDSDDEEEEEEKTSSAKKLWRFLIT >OB01G41140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24830403:24830630:1 gene:OB01G41140 transcript:OB01G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LELSAFPVSSGGLPPLPLPSPFSRPPLLSLSSFSLSSFGLLVRAGGVFLLGLGNLGFRWRRGKGFGSMGGRGLGVR >OB01G41150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24832310:24835621:-1 gene:OB01G41150 transcript:OB01G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:Projected from Arabidopsis thaliana (AT5G65760) TAIR;Acc:AT5G65760] MPFGSKEKAYNNSKSMAYLTAEQALADYAVLLTDLKKNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVPSTIFYDLVSNDFKRESLSCFQTIKDSWKALEDQGNGQEGLLKLSKTFHLCQTIKTTRELSDWLSSAYSYLAMVDYPMPSDFLMPLPGNPIKEVCRKIDSQPDGTSILERIYAGVNIYYNYTGTFDCFDLNDDPHGMGGWDWQACTEMVMPMSYSEDGSMFPAYKFDYASYENDCISSFGVRPRPQWITTEFGGHNISLVLERFGSNIIFFNGLLDPWSGGGVLKNISESVVAIVAPLGAHHIDLRPATKADPDWLVSIRKSELDIISGWLLDYYGARRGDLLQRATPVNSAAS >OB01G41160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24853183:24856406:1 gene:OB01G41160 transcript:OB01G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARWRILALRLALLLAVGSSPGLVWRVSAAAAKPPVPKAISDLREAIVKGLGFQSEELKVSGFDVRDALVGQAVVYEFDIEVGRKAVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIRRGRRGKGTFDPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLSDGAVVTVKGAKAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNRKPLLSLRIEGPTSLSSTPSMSPNGRLKLRRLAPGQVELSSRAIPAVTDDDEEEASSRGGGLWPVLSLNGTDGSLRGVEELLASVLGKKAAGEGEKGTFRLVRARASAQTYVKMGFAVEKRIADGEVSWSGFPEWKTKPQKVRAHYEVLARVEGGQAIPERIAQVQPFEADEAMAESVLTGNVSMSKTEVVHPPPVYFSL >OB01G41170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24857688:24869590:1 gene:OB01G41170 transcript:OB01G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKLGMISKSSGNGERRCLSVYKRKQNQSSEMEEGPSLLGFSGEARNVLQDLFTHYPPVDAELNGHTVRKASDKATKIQWTPDGAFCRPALRKPDILKKVEMLASKVNKSDQLRKIVQDRSKLPISSYKDVISSTLENNQVVLISGETGCGKTTQVPQYILDHMWGKGESCKVVCTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSILFCTNGILLRVLIGRVTNISKEQSRKRSFGDAITELTHIIVDEIHERDRFSDFMLAILRDLLPLYPHLRLVLMSATIDAERFSKYFSGCPVIQVPGFTYPVKTFYLEDVLSILQSVGDNHLNTTTDDLKQSSLLTDDYKSSMDEAINLALGSDEFDPLLELISTEQNQEIFNYQHSETGVTPLMVLAGKGQVGDVCMLLSFGVDCSAQDHDGKSALDWAEQGNQQEVCEVIKKHVECSSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASSFFRDSSKFLVLSLHSMIPSLEQKKVFKRPPAGARKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLPDYQIPEIKRMPIEELCLQVKLLDSDCRIADFLKKTLDSPVPETVRNAISVLQDLGALTQDEQLTELGEKLGTLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKKAAAAKVELASLYGGYSDQLAVVAALDCWRRAKDRGEESQFCSKYFVSSNTMHMLSNMTKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGRLLPPNRNIRRAVIETASGAKVRLHPHSCNFNLSSRKTSGNPLVTYDEITRGDGGMYIKNSSVVGTYPLIILATEMVVAPPDDDDSDDEDDTDKGTLGQQKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMVTTDDAGTSRGSSSTESSRFAQGRRVAYIPPGGFLMSLLSDKPLNAPHFQKSFNHRDGASGHIRPSRASIGRFDQSRRPQRNNSGPRTFKRQRNGAQ >OB01G41180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24877483:24880730:1 gene:OB01G41180 transcript:OB01G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAWTFKIPELISMFQRRLLNFVDKTMVEDVLPILLVAFHSELTPVLERCVRRIARSDLDNISLDKELPPEVSVQIKETRQKSQTNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVTELLDLGLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAISICRRLTRLKDYNTKMEQGQESNKDRLCIDMLEREMIRNPMAVEDSVTSPLLADDLHMKLLYLENRVSFARLFFPVEAKVAMQIAQADTTTEFGGIAAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEEDLPDSPDALYLQNGTSGEQNVKRMRFCELKEDVRKAFSIDRADNSMLSILSSSSSSSPPLTVSKK >OB01G41190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24887151:24892114:-1 gene:OB01G41190 transcript:OB01G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTLRKKSASQGMLRTPSCPYPISSAASSSSTSMHGSFRSPTHSRNLVAAAPPPFPTSTGTRSVPRGKKFRTEVDLHGTCSSAKPKKASSPPTPHSFLPEPREPSAPRFGSRQPPPRPCARDDADPHPDGAHASRLELEAARLRQKLADKDRLAAELADRAAALEQALRDSDARLRAVLDDNAKLAKERDSLAHTSKKLARDLAKLETFKRHLMQSLGDDNPPIQETVDIRTCEQSVAKANSWKDGVTHGHHHPVSSLADGSTGIESVNQEVARPFEQKLAVTHIAPRLTSDPAAKTRSAATSPRRYSTAVSPKLAAASATSPRLEGHMAMQPWLPSSKMSSAANSPPRGHSISGRTTRVDGKEFFRQARSRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQSLLSRSLS >OB01G41200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24891912:24892166:1 gene:OB01G41200 transcript:OB01G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGYVPVLVGKGGGAAATRFLLWVGLLNDPCMEVLLELAADEMGYGQEGVLSIPCDADFFRRVVTAIPSASANKANLICCPNC >OB01G41210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24894208:24901544:1 gene:OB01G41210 transcript:OB01G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAGARTPQLWKKYQKVEASRTALREGVKLLQGANDKLMKERSELNKVCNEERLRGDSAEAARISESDARDMLEKEIIELKAQNSALQQSQNVCKNDNELLRISELEDEIRRLKQVLGEERKKSSSEKKIAEEQKGKVLELQKLLKMETNKSEEYKRLSDMERKAANGLRASCEKLRREASEAREKLAVQVKKAEEANKRVQVEKQKAAIEKKFADSEKSLVEKNKNLIEVERKRLAEERSCAERLLAKLEEQKKLNEDLLVSVEVERKNSMDAKSHTNHLSKKLEEERKRSEYLQRKFDDLGALRDTTSFGKHGQQRIDVTTEGANIRLLKEKLKLKKEQLKHVKNVSKLDKAKNALIRRELQRLKQDWIQLLSRFNMLDDHLAADGVEGIHVLTELKQHPENLLPHNPIAAPYFGLQGGIVPFSSSVPRDYTSYQLPRESCTRPISGTSSELEPPVGSSPRTESKRAHRSSCPTSLSDKKFMGSQGKDGQLVSASPDIRRKKSSVAPKLTSKYSNDARKHDDRALPVVSGDSFQKKALFLSGATEVADKKLRGDNKRKRTKMSLKLTDCLPSKHNRLHSEMNAHNATSNGISASNDDRSRVHQGNSIMPVVNEDDMQNHRRKCHVNAEKTPSFSAFSESRNAHAVSKFVSVLSFEEMIKGDCLKLLSLDNGADEERYRRAMERPLSPDLPIILPHTTKAQIHGSSHHLSDRMPNAFEYERDSPASGANATDLETRPNMLGVRGPAIQKLTQNTSKLEPSFNRIDCRDNVKQLCPNDKSNSEVNISCSTKSDDAPTNPSLNCMLHVDQGQTIVASLAVVASNTSSSQPHSTLHLQHSCKEASNGNSSSQMHSSSISDSGQQNVVGGCKTKAVGSTDLNSNIIGLHHGNKKRPMYFVGLIRMKKSSTIRIFRYWETLIAEAREVSEEAFVDSPLFERISAEPLLPLEEKVALIVSLLLWDICRFVTADPVVDGNFSSVFSLTVKSYMETRWAFLKNNQLDFHVSLIEDFLVKREIVVCDKMGHAIPAVNKYSPLDDETGIQVSTKPATVDQFISGCALLASICVKMETMDIVLEVSYKVLLMGKSNVSWTLLALHVIGSLCGDKFLSSNSCNFLMTTIRLVVLLLEATDNSLCLLSSYIQSNGRTGFPTCAHCLFDVDTVSIDEFISSLLDELDFCSQQWNSYSTSNKIIARSNPHLESSGLDINCGEPCYISKQVNLTEDSHLCTGGKDLCYFAEIVSLLELFGSYMSCEWTYNNVVVRLLKILESCTCEEHSAALLILISQLGRFFVDDAGYEQRAVSDLRNKLSVLMKTKFSNSRTIPAQFSAVGALLSLLPLTFDKIVAHSGQFPDLYVLQARQISEWFGQLRKEHQSLACSFFS >OB01G41220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24911701:24918227:1 gene:OB01G41220 transcript:OB01G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAESDGGGGGGASSSSAAGNFDAGQYAFFGKEPLEGLDLSCLEDDGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWPEDVEFPNWVDQDILEDEEFQERQRWWSQSHSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPKSPSFTSFPPPGARSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLSGSPYHMAGLSHGLPYGGSMSFGTPHLPVNNPMQSDWPNPANPYSGEQFNLLPNMLQKQISLPNSPMSSLLFSQQHQRLAQLQVQPPHQNYLNLPPQLFYQHHSPELTGRFDSVSSAPSLRDKRSRSGRGKHSTRFSQPMSDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYLVDYYHQACIAKRGANSRQKATFSPTSMKDLPSKSRSSGDHHAYLQVDALGRVSFSSIRRPRPLLEVDLPSSGDGSHDQKSSLKPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGANKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDTSAAETTVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLASSSGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFVMQSPCSVIGAEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNPTGANGGHATSESVPG >OB01G41230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24920025:24923509:1 gene:OB01G41230 transcript:OB01G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3L4G7] MVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCKEGMITKSSIMLGLGETDEEVKQAMIDLRSIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKLSPASS >OB01G41240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24933115:24938388:1 gene:OB01G41240 transcript:OB01G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARKEQWAVAWLCAAVLGVALAGAGAGAFEEGTAVYIVTMKQAPVFHKRLDLERSGSSRVAAAAGDTPSTSILMKPRPNPAQPVNYGSYLVRLQNSFLKRTLRGERYVKLYSYRYLINGFAVVITPQQAEKLSRRKEVANVMLDFSVRTATTHTPEFLGLPEGAWVQEGGPQCAGQGVVVGLIDTGIDPTHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGDASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYNNYVVLGNNLTITGVGLAPGTDGDSMYTLVAAPHALKNNAASPTEMSLGECQDSSHLDEDLIRGKILVCSYSIRFVLGLSSVKLALDTAKNVSAAGVIFYLDPFVIGFQLNPTPMDMPGLIIPSSDDSKVFLNYYNESLVRDETSNKIVSFGAVAKILGGLKPNYGFSAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAMISGTSMAAPHIAGLAALIKQKFPYFSPAAIGSALSTTTSLSDRQGNPIMAQRTYGNPNSTQSPATPFDMGNGFVNATAALDPGLIFDCSYDDFFSFLCGINGSAPVVMNYTGSSCGASTMAGADLNLPSITIAVLNQSRTITRTVTNVAGDESYTVSYSAPYGVAVSASPAQFFIPGGQRQLVTFVVSATMNSTSASFGNVGFYGDKGHRVMVPFSVISKVVHSS >OB01G41250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24945393:24947968:1 gene:OB01G41250 transcript:OB01G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRFVLALLLLALAACGVPYLTARVSAAPFTYSFPLGAGRKFLRLHFYPANYSSRDAADARFSVSVPAANVTLLSNFSAYQTTTALNFAYIVREFSVNVTTATLDLTFTPEKAHPNAYAFVNGIEVVSSPDLFDISTPNRVTGDGNNQPFPIDPGTSLQTMYRLNVGGQAISPSKDTGGFRSWDDDSPYVFGAAFGVSYPKDDNVTITYPSNVPQYMAPVDVYGTARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQSPITKPNQRVFNIYINNQTAFQGADVIAWAGSNGIGSPVYQDFVVLTVGSGAMDLWVALYPDVQSKPQYYDAILNGMEVFKLPLSNGSLAGLNPVPSVQPSLDGGSVKKSSVGPIVGGVIGGLVFLALGYCCFFVICKRRSRVGKDAGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKSATNNFDESLLLGVGGFGKVYRGEIDGGVTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEESGSIGCGMSDEGTPLVMTGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >OB01G41260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24950174:24950365:1 gene:OB01G41260 transcript:OB01G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSPPESFGAHVHGGAHLASNRSPHHHLNSRLDCPCPSSLTPSSSAPSSILGLQPSKRAAGSSE >OB01G41270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24950206:24955689:1 gene:OB01G41270 transcript:OB01G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSHTWLLTGMAPRAAASTAQAIPRPLESSKVFAGLPHRGRVLFSGLSSRARRGRIRSVKDDSLHFDQSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTAARARAARAPAPMGESTGTPSFGKKPGSRRKGYKEQVASATAGGGAETSGDEGESAVAIESSSDEALEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPEKAMSLGEAKSSEELWWHWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPSRTEAALAKTRRHLFKEERLEAERRRLEEMGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTVNYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDEEEDDADKAVAQPESLEDDDDDGDDGEEAEGKDSRNWSVQKTTGQAQKPKEKLKKDQMSLKEAIDDSENLTDFLMDFEEDE >OB01G41280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24954624:24959923:-1 gene:OB01G41280 transcript:OB01G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAATALRKRQLTVRTTCGNGNGFRVGDGGGGKAAVTPAEPVSPSARLVEDFYIVVVIGIATPVNDPVARAGIAAQLARYPRFRSIQDPHTLFKRVKHGEFQRKRIVHRGLSLDDVKFVKNAMNCTVNDVLVGITYSALSRYYYRKSGDTDTDKDMRLRSILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGMHSDPLDYVRKAKKVVDRKKSSLEVVFTHLSAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNYQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQIKDAALSLGKNHMKA >OB01G41290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24965927:24967900:-1 gene:OB01G41290 transcript:OB01G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLVVEYWSEHGPFKGTRPYTDVNGPAVQPKNVQMAPPSHCRTVNGGAPRNGAIAVETPATSESSRLLDADEFRRLGHQVVDFIADYYAGLGDYPVHPGVAPGFLRRQLPADAPCRPEPEAFAAALRDVRDLLLPGMTHWQSPRHFAHFPASSSTVGALGGALAAGINVVPFTWAASPAATELEMRLREHIRSHVRMAAAFEAMVRADARFEVAAPRRFALVCFRLLLSPEKELAGGEKAANELNRRLLEEVNAASSGPYMSSAMVGGVYMLRCAIGSTLTEERHVREAWNVVQERATSILRKRG >OB01G41300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24970539:24971544:-1 gene:OB01G41300 transcript:OB01G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTEIIPNYSYATEQSHFPSSRKKLRTLFVQNTPLTNLQALCINIPSWTTFRPSPSSIFRIEDVIDELLEML >OB01G41310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24972770:24973060:-1 gene:OB01G41310 transcript:OB01G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSAHEVAVPDHADNVPVDSLFYEAPSIIRFNSVIFACSCPHCVIGSVPNYGQIMLCFPEKFVMLPHVTLTFCVSDCHITSRLTFFYWIRKCMTI >OB01G41320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24974845:24976683:-1 gene:OB01G41320 transcript:OB01G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASVSRRSAAAPPSTPPPAAADISHHSPSEVLRSFKELRSLRIELPSGELGIEDGVLLKWKADFGSTLGSCVILGASSVSSKPPPPPAAPPPTAADISAASPDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMNKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLREGGGVEAAGPTGASWIVDAFEEPYRTAAQVLLKRRTYSLEMNSF >OB01G41330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24978590:24982312:-1 gene:OB01G41330 transcript:OB01G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHGVAGGAPAICLCPREAAVRAALVSRPRYRLRVLASAVLPPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDDVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVINAVRNSVAAGGEVAALWVTHRLEELKYADGAIYMEDGRTIIQGDVSTISRYIKKKQARYFGHFEL >OB01G41340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24986967:24987170:-1 gene:OB01G41340 transcript:OB01G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAKTKTSASAYMPPLVPGHMVKSTSEFLPQKKVMCVYLIDFMAAPAPPRRRGHPAHVVPSHVHG >OB01G41350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24991027:24991509:1 gene:OB01G41350 transcript:OB01G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGHGSMAMAPAPAAPGRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALVAVFALAVLLEFLGSPCVLLLLQEPSSSSSRGSRRRAAAAVVHAVRVGVGYLLMLALMSFNVGVLLAAVAGHAVGFLAFRAGLCGGRVQADGRRNKAELAPAACC >OB01G41360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:24996661:24997296:-1 gene:OB01G41360 transcript:OB01G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGEEYCAAEERVLTVRKTSHFSPGDGFAAYDHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGSFPRRCCRVVVPRAESGGEAVVAEIRRKVDEGARVVMGRDVFVLRVGAGFDAAFAMAIVLVLDQIAGDEDHGDAGEETNRGKIW >OB01G41370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25001619:25004240:-1 gene:OB01G41370 transcript:OB01G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G32605) TAIR;Acc:AT4G32605] MPRRAAPSAAAAAPIILRRALSASPSSSSSSAAAASSSAVNSILLRSLKEHYLEVSKMTPPPKISPPKPYTIVKGALDQPSGPVLRREYGEAGEEISISVARLANIMPPGADNESDDGGAGGGGGVSESISQIFLHVDISRPGNSKSLQFLCGLYPDAVGIHSVCLRSNPAESGTAAMAGKGDDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKCVGTSISGSKSE >OB01G41380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25005287:25005697:1 gene:OB01G41380 transcript:OB01G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSIRTDPWVGVGTTTAAASATSPAAGTAAPRAGWCSLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHPSYPLSIFLRESPLSTIVICIGDVMRGRIVSISWCDCEPLICGVAASLHITIFVRMLVLIS >OB01G41390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25006298:25006609:1 gene:OB01G41390 transcript:OB01G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSVSLGFPTNEPFAFPGLRSDIEALEKGLFGSIGSFLDEAERMTNDFVKSFGAPSIHDRESSPFRGQPAGRWIDEGTAKDAKQDTKQNDYTEFSSKITDV >OB01G41400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25010438:25028115:-1 gene:OB01G41400 transcript:OB01G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDKDEEMLVPDREVVEGPQPMEDSASTAESEQVPETSTSRFTWTIKEFSKLRHRKLYSDVFVVGGYKWRVLVFPGGNNVESLSMYLDVADANELPYGWGKDAQFSLAVINQLDCKSSLRKEAAHHFNMRESDWGFTSFMHLQELTDPGKGYVVNDQCIIEAEVAVRKVVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLFHIPYFRKAVYHMPTTENDSPSGSIPLALQSLFCKLQHGDISVSTKELTKSFGWDSVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRSVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDTWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPIRFTKHSNAYMLVYIRESDKEKIICDLDEKDIPEHLKIRLRKENEEKEYKKKEKAEAHMYTALKVARDSDFAEQIGKHIYFDLVDCDKIRSFRAPKNLTLNQAKDEFSKEFGIPVQSQRFWFWAKRLNRTYRPLRPLTLQEEESSIGQLLEYPNKSFNSELRLFLEVAYGQENHPIALPPKMKDDILIFFKLYDPEKEELRYVGRLFVKASGKPTDIVHKLQEIAGFQSDEDIELYEEVKFDPNVMCDRIDMNDSFLSSQLEDGDIICYQKRYSPEKLDHYRHADIPSFFEYIQNRQVVHFRLLEKPKEDDFTLELSKRFTYDDVVEKVAHHLGLDDPSKLRLTQHHPYTQMPKSHYIKYRCLDHLWDMLRNGNQICDILYYEVLDIPLPLLQGLITLRIAFYHATNNEVSSHFIRLPKGSTVSMLIEHIKSKVELSYSGAEFRIFEVYNNKISKVFQPTDSVNEQNGLLCVEEVPEEEKNAGTQDRLVHVCHFRKDKQSFQQLDYYGEPFFFLIRDGEALSDIKVRIQKKLQVSDEQIAKWKFAYIAFNRLVGDYFQDSDIVLSRFQKDVYGPWEQCLGLEHSNVTLKRSCLSNQNRNSFDKAVKILN >OB01G41410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25032406:25037039:1 gene:OB01G41410 transcript:OB01G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAWWQIMYGYKTPEFWVRLAPGTGVEGLERGPGAAAAPVFNVTLRVDNEATRRPFCAGRASAAVAYAGVQLGHADLPGGFCVPGQALSSVPIVATSDGVGVPGELYERMESQRRRRERVSLEVQVRLDDCFGRLPKMLWCTAVLHGRPKGPFLCNYSRMLKDGEPRPHCSFQTRGLHCRNRALPPPPSKKSRPPPSSELSSHQRKVFRVADHAGVALAGLTADGRVLSWSLRSEGINYAFVYDAPLPVSRFALRLADKAQYFLLLASCRI >OB01G41420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25039072:25048574:-1 gene:OB01G41420 transcript:OB01G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEDLEAAAAAPYHAVEGDPREHEAPGRRRCSRACLLWTLGLLAGVTVLLLLLVSTPASTRPATPTATLPLRGKLLDRRFDAYSVRLAGYEGIDPGRPAAVVSPEFRLTLRTANGACVDRAAVTVLYSGVALGWARVEPRDCAARRWRRELEVVARGQGVGLSERLRCRMASEWRSSGALELDVTVKAFDEFTSPDHAERHVPDRLILCTVTSRMDGKGSDSSACHWFNLLG >OB01G41430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25050150:25053856:-1 gene:OB01G41430 transcript:OB01G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G62710) TAIR;Acc:AT3G62710] MICPQISLACLLPLLWFASMADSAYMKYKDPKQPINTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAMWVNMVNEFQRGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRDPDLIRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGEIPINHTKGVPFIGGKDKVAACAKHFVGDGGTHNGINENNTIIDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWQGIDRITSPRDANYTYSVQASINAGIDMVMVPFNYTYYIDVITSLVNKGIINMSRIDDAVRRILRVKFTMGLFENPLADLSFSDQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKAKSILVAGSHASNLGYQCGGWSIQWNGGSGDITVGTTILDAINSTVADSTHVVYSENPDESFMKGNDFSFAIVVVGELTYAETLGDNAELTIEDPGTDTIRNVCSTVKCVVVIISGRPVVIEPYLPVMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFDFGLTINSSQPGFSGADRLRDRNGRMVYVVLSSLLSAILIHASGIGII >OB01G41440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25057861:25062317:-1 gene:OB01G41440 transcript:OB01G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCMQRRWAPPEYTHRRAGPDHAPLFGATVAVNGAEFSTGDAAARSAKEAHNIAAKAAFDHLSSLPMPPPPPSLQASENQTSYKSQLQTYAQKKTKALPSYQTIREGPVHASRFKSVVTVDGKAFESPEYYHTIKDAESAAAKLALMSLPQEVSSTEQVQVQPLSYKNLLQEVAQKHGISLPAYNTASDGSMQVPIFKSTVVFQGESFEGEPGNTKKQAEMNAAKVAFQHFENRRKNFLSSTVLAGPHLEQGTVNLSAGQQVKIAQPAFSVPQASAATRHSESGAMSHDHHSPGSTNPLPISDSTKCPDEHIQPCELKEEKPAFPEPSTVAEVMDSSPELTQLEDGHSAPFTPIRTASSTGCGCSLLTNRVQVYPRRPDLVLPEGATVLPFSDDVWVAVSLPTLNH >OB01G41450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25064953:25065291:-1 gene:OB01G41450 transcript:OB01G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQRQVSLLQGQLSVLESQLFNLRLAFAASAHPDTPQHFVVLQPACSAAYTPNQVVVNYDELPQAVDFMDAEPAALRGIEPLQLSQPLQREEDESHQDMNLFSDSVGQRQL >OB01G41460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25066962:25069075:-1 gene:OB01G41460 transcript:OB01G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSLRECGEGCPCGPSCGNRRTQRGITVRLRVMRHRKKGWGLHAAEVLRHGRFVCEYAGELLTTEEARKRQRLYDELASVGKLSPALIVIREHLPSGKACLRVNIDATKVGNAARFINHSCDGGNLHPVLVRSSGSLLPRLCFFAARDINEGEELTFSYGDARVRPKGLPCFCGSLCCSGVLPSEET >OB01G41470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25070669:25075137:-1 gene:OB01G41470 transcript:OB01G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKSLETARADRSVWLMKCPTVVSRAWQEAATAAASSSSSSDAAAGANPNPNPVVAKFKMEMAQKGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLACEGKVGHKFDMEPHSDNLANYGKLCRERTEKSMIKNRKLMVLVNDNGMSMRPLPGLQKEKKPLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDADATAS >OB01G41480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25077934:25080364:1 gene:OB01G41480 transcript:OB01G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVEMKKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPVPVLENTYGQGR >OB01G41490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25081100:25082701:-1 gene:OB01G41490 transcript:OB01G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSWLLQTCSPSAALASLAVVTTSLLILGYASSSFLLGAPAYEYDDGTDVVVEAAAAAVPKRGPRYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERTRLAGYARSERAFLEYGNQSTNAEISFSSGQRAKPDAFELFKGAPWPILSRDFIEYCVLAPDNLPRTLLLYFSNSLSPMEFYFQTVIANSGRFRNSTVNHSLRHTVARDGGGYTAVAQDAGQQPPLRYDAIVGSGAAFAGTFSDDDEALLQRVDEEVLGRPLDGVTPGEWCEGDGEEAAGEECSVGGDIDVVRHGAMGRRLATLMADIVGGRTV >OB01G41500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25088753:25095335:1 gene:OB01G41500 transcript:OB01G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLTAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRSGRGGGRATGRGRGRGRAGRGRGVPVIDLDPDQPCEVLPGGAVGGGTAAGPLHIEGIADKVVKMDGGSAEKVGGGEDEGNASPVPDKVQVGQSPQYKVERKLGKGGFGQVYVGRRISGGTERTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQAMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETASGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYPKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKDKWAKNLYIASICYGRTVS >OB01G41510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25110531:25118860:1 gene:OB01G41510 transcript:OB01G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSVEKANGMNEEAESGGTTKEEIISSSEKDIRQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMLERVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLNKFSSGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLENSYVRSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMTIMHLMIKSSMNPTDNDNESGSIPALQRGILEIIPMLRPTTMLSSMWSPLLLELLCYLNSHDTPLQKQSKEMHEQKSDATNGAAHAFFEQNHLNNSSAKMDNAIGCGWGILFIEKLVPIVVNLFLEAPQNERFNASPEVIQCLGRCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCRSGLSSYKISRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTSDETLEMTVLTIFGDSVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLVPLHCSRFSLSCLQMMFSLCCCTKGPSLCATVSETSKVSSSVLTKRCEIILGQFLTDENDLGARRLPSVRIEETICVLQELARLIINRETANSLSMPLYLKEALEKNGSHGRAHLLALLPTFSELVVSRESRVRELVQVLLRLIASELGLQRLT >OB01G41520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25122054:25126378:1 gene:OB01G41520 transcript:OB01G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:J3L4J6] MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQANIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAFYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTEARIIRIARGSGRPIRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMSGLQSLMKQMGSKEMGGMFGGMGGDK >OB01G41530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25126703:25128574:-1 gene:OB01G41530 transcript:OB01G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDAAGALRRFVREAGGCAVVDGGLATELEAHGADLRDELWSARCLLSAPHLIRKVHLDYLDAGANIITSASYQATIQGFQERGLSRERSEALLRRSVHIAQEARAIFAEGSSKGPFATHGIHHHRSAASSARCPVLVAASVGSYGAYLADGSEYTGEYGRSVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELMEEEDIRIPAWLSFTSRDGASAASGDPIAECAAVADSCARVAAVGVNCTAPRLIHGLVLSIRKVTSKPVVVYPNSGETYVPETKEWVESDGGGASETTDFVSCVGRWRRAGAALVGGCCRTSPATVRAISRALREPDGDAASPDDDDDDLPAVAVL >OB01G41540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25130883:25137880:-1 gene:OB01G41540 transcript:OB01G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHFLNPLLSPLCYQKPPSARHLAPRGDPRRRAVAQPPSARRAPLSEPDVGISRFASDVPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDSERVDAKEGAVDEAQALESFRLLCGEADCEALRGFLERVSEGGDSDVSPIILSADADKAHRSEVHDFFKRNFESLLTDTVEHSDGIQRCIRVRLKQGRPERRDVDGRRRNRKGTASSGWRDDMPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKISKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNRKLIGIKVGDFSYVKEGLALGQLMGNRFTIILRSVVAESEDVIKAAIDGLIKSGFINYYGLQRFGSGSVPNHQIGAALLRGEWRNAVQLILDPREGVREHFKEYGDIDTALRNFPRFLITERAILQRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVEKYGISRVVEGDLVYKKESPYEQGVLNVISEDDGLTNSYEMNTCCETLPEEMMNFVKKVDSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAVIYHEMAKKDGINLRESVHGVKDFSITSMKGGYRRVIQRPIDFEWDPITYTDEQTSLVETDLDVMSEARPLKVNELLSDGISSCTSHDSGLTAPLYVSESINGASLLEAESIGSPDLLKKLAVKLAFTLPASSYATMAIRELMKTSTSVAYQKTLNN >OB01G41550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25143063:25149831:1 gene:OB01G41550 transcript:OB01G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Dymeclin (InterPro:I /.../42); Has 395 Blast hits to 389 proteins in 117 species: Archae - 0; Bacteria - 0; Metazoa - 262; Fungi - 21; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G04200) TAIR;Acc:AT1G04200] MFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNCHTKHLAKILIHLVWCLQECTTDSSVSNAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLDIDKDEKGLETFPSEQSVEYFLMRGILNYIGSVDVSPESCYLHHELLNMMLVLMSTQLCSGPSPEPKDVHPFLDAAMLQDSSTVSSVVQKLLLNFVKRPRIPLNGSHPAFSDDGGPGVLQRVGSAAANFVLLPYYTFNYLVSSNAEGASSQLADNSLLVLLILIHYRKCIMVNESIPSSNVYTSDLNTNVKDAPAFHDNPYYKALNNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKVIKVISDQIEADSISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRVALSRGFSFNPGAINLFPVEIHVDDEPSGEQKV >OB01G41560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25148828:25154248:-1 gene:OB01G41560 transcript:OB01G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MMSSTGHVFYGDLRSHERVLVPFSKFIQSCKYYLRYPSGASESSKAQEILKEPTSSEEGCSSSLESCDQVYLAQVSILNAENKERCSLEILKDDIQEPTFVKGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSVSPYLYPMPVYGEASNHSSVSIEEPDYSSYSRARYMKSYSERVTLNSGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMAEMLEHMDAYYLRRILSRLVDKEMNKMVQESSLHRSDVSTVCQPTDEASGSEQLTLHNQITNSNLPDKKDSPLQTLEPSTLQALYELMSLVHNSVEMVSQNEIAEPPCQDASCNPSNERKRIAADGSSLLEKDAVAKIILPLEPLELQSMLLVMVHTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKELFSFQVFQSVLDQYIGVHVNRPK >OB01G41570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25156394:25156753:1 gene:OB01G41570 transcript:OB01G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHDQSYTIWTLCICSKLWLVPHATLVKERARSTCLERVSIHLFFLYLYKSDFVGNLTLYLHVSIYKIRTYLIGLQSDEYNLCDPSYLKFTIGCRIVYSYDAELSLANTLIGQDFAL >OB01G41580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25165662:25168573:1 gene:OB01G41580 transcript:OB01G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEMGNNNVTGQQVSEEEAQGFDRTIDTLIGSPSLNNTNDKGNDGTKDLDKSKLLKDPAIPNGENEQMVSIIRDSSIKNKDLDDKNLGSLQDHSLTQDDDQSSHLPSIREVLVLAWNITKGQVVAISKSNYYSNKFKKSGTDELLNKESETDTTAPFSTTIEDMISNMDSSAFVKKIKLLDETTERCEETNIGNSQSLLKENMEGPLEDEASGMGHDLIGEDTADKLDQGHTGVSTVDNPLMPKQGGSTSSTETITTDYLDADDSDIKEVVIEDEPIGRCNSSYVKPADDTTNLTSKYDRACIPEEKEDISEISQRETVETGIGSHEVINDEKIHELKNQGEDTCGTLNIGEIVSMFQSDTIATDVIEPEKHQLDKRGDDVPEEISDSLTGTEEHNVIERTHTEQEQGAKAAVVKDLADNSNEEESDGTQDVVSLVDVNGKDFTGLDSSLSYHLAIVNEEKGQTEVTEGLFRPSYPLQLIDGFHKRHLKLDSPYNNEETIISTYEVKTTAIHDALATSQFEKPQLILLEEPEAVRFENSGILSSCMQLVENSSKTNVFFPHGSKQEKEYTSTTDVGFTSEPNLEKIMVKVDLPAESNQKKIIANTDKDSREGYMLQTPAQKKDASEESSFSFSNEQHSKVVECISMTSISLMQVKDDADEEHEKSLLLSPREQEGVDFIVPNHSGRNKKPLQSLMTGESAGMRSPLKEQEPVPNNSTMVSSPRSKGKQKPRSSLFASCMCCATATN >OB01G41590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25171199:25180741:1 gene:OB01G41590 transcript:OB01G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MQPARRRQGFLPFACLSAAFLAAALLPGAAGADGGRRGGARASSPSPASPPPSSPPPCSLEPLSPMASRPPRPDGSATRYGGPPAPQIHLSELQFAVASFVWFDWAVTQGTQLVGHSHSLSYVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKDRFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATVWCARMVSFCLFNKLAISLLRMRLVSFYCRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFLSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDHRYLDAGRDILASLQYSAKCPCGYCHISDVESHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLDEHCLYAGAFCNGSAGHGYGTSDSSSNHQNANSVPFDDSRTPSGQYLSSILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNFMDQNSESRGNSDESHVIKSSVQAQSSSVILISHPASSQTEELATSRSEYNANMVATTDSVRADTAGASSRVYPEDLPEDTGSVSEQRENNNEEIASQDHQPKEDS >OB01G41600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25179243:25181050:-1 gene:OB01G41600 transcript:OB01G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATTVVARAVLARPNALGLPQMRARRSERVRCSYSKDGKEASSPAAVKGAGASLLAMAATAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSDLEEDEESGGLSL >OB01G41610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25184636:25186760:1 gene:OB01G41610 transcript:OB01G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVCHPFYFAVQDDTVDEELLMSLSFLLPPPAPEAEAVQQAVQESAFSAYQSAAASASSSAESLRRRFLLYDRSAIPPAHRAAINFIVGAAANYIRHLEGKKEWLRARNEELGLAPPPPARPGAVMVVKVRAESELGPMVDVFEAVLRRLKAMEELQVTAIQSCLCDGGMWMDVAVESKISSREVDKAIRNALRELQEIGPGSCLQIGSKTSFSCQVESGVLLTS >OB01G41620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25190750:25192483:-1 gene:OB01G41620 transcript:OB01G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATRKMRFERVTRELKVTRLFSTLVEELKVIGVCCNDGQLRNAGADVMVPAAHQDRSPVLLLMGGGMGAGKSTVLKQILKGAFWSGAAAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTLSWEPFVQQTIAMARSVHRQRYRMGVGYKVAADGTTTEQYWEPVDGAPEPSGRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFREYCDLVDSASLYSTNTIAGAKLIGWKDSESRLLVDEEEIVLLDRVSRINEEANGVHELYPDGQPTDGAGSVWEELVASPARAAIQRELREAILDSEACFPSP >OB01G41630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25195072:25199784:-1 gene:OB01G41630 transcript:OB01G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGGGGGGGGGESGDNIDATVEDAEKMPSQLRALSDSSVRVFMPSPSRIRNIDAQQCTSVRSHGQDSKCCGGMDVMNEGKESSDNFCVDKLEEDEVGSCPSRCCKDTSQSLGSGFRKEVIPTIAEKQALCVSTVHDERSWAANAWRARLVKAISQKDSVFPNNADNIQSTSALGNIGDAATLPGELTGILGNRNDSGQDQSLQDNHKDGLVARCETASAVNPVARCELISSVNPFARYDSLPACNPRKLEKGKEKIIYDNSNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAQMMFGNKRYKREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTVPVSQKFSAATGEEFPANPLPLQNNNGVPLQSVGFNSLFESLYGHNVMVTSRNTCHQSESSYTANRLTLGFNSSRPISMGRETLDVATGTVPAGRIQMDSDGDRGAFQNQIGIFPLRAGRNLKLLNSGKSCYRSLEEKQNGFHGSSSNAATGDKRDFSESLWVSRLLPKTSMKLMDAMDCDEESDFGAANPKGLGDSSSPKDSNVEKELNNAQFFTGKGSDNETTSSKCAAPQDDNKPSETMASIFAKRLDALRHATTSAVHLAITCDHGMSKGRNHRSSSFVVSYNSHDEHESVQKTHKSSGGEGRIVLWIGDKGKEQLSPGNDKELGENVLSGHEYQNCGGNSDENVVPPKCNLETNTYNEDIDRKRLQSKEGASHSIENQPDDKQMVPYGIVPNDVCDESTVVFGTLQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDRNRLSVTIRNSTCQVDSRFVSNYDFHQDELKAWWSAAKKSGWKLPSKEELNTKLRERELLRF >OB01G41640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25207161:25211299:1 gene:OB01G41640 transcript:OB01G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSVLLEWILMMMLFIDAVYCFFVTRFARLCRLPAPCPFCSRLDHILGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGARSMCERCSDSLAETQESNTDDRSDEPMMDSNQGNSAVLHHDVKRICSCCAQHYTQQRPAMFTRAITELEPAEAVFSPKICTDDSILHQVDKSLDPKDVYHQSDHSTHERDSVLQMTSGSEDEAPYANDGKISHHHETNCMEEDSQEDATAERLVVSSTLLVKSSEMNVLMEPNVADSHDMSSPYVLVDDPDSITGGGQIDAKDVSLGRQTCQHDTLPVVKESGLTDADVPEFPVASSVESPRNLGYTEAYHGASESAIEPYSSESTTLEQHSAVSEHNSTEDDLEGHRPENTVTSSTEFHQRSALIDDDSVNFQDDYVSQVNSSYEVVDEAEDYAKKTEKSCNIITHEPTLEDPPNTATKDLIEKGFLEEAPIAPHAIRPSSEVSQGLNVIEEHPKTSATIGERRPSLSTQISMNEAYKIAIGAKSSLPSPTLTDVILGKDTSSSVNEELRLLLSQLSASRGLEAPWIDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSIVSEMEGESAIERLRRQIDLDRKSIQLLCKELEEERNASAIAANQALAMITRLQDEKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELDNYRIQSNQVSFKEGNTAETLLDESDLEVPVITMPSGINSLLSFEDERAYIANCLMKLEQKLHSYSNNSASIHLSNSDVIEDYLSNKMQVADDDTLQCQESSREKYEPIFLAKEAESSAASMETDLSTLQEEILNLNKRLKTLEGDCNFIEHSINSLRNGKEGVIFIQEIANNLRELRATAVHSK >OB01G41650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25209556:25219958:-1 gene:OB01G41650 transcript:OB01G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDMTVEDFIATNGLGTGGSIDTKDRSVPSSSLYEYRSCENVENGNPSTAPPFWDSDGEDDDPVISGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNHDPKKIKYSDTLHRFWKKEHDWGWKKFMELSKIHEGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRSRLCKLIEDKLRWSSFCAFWLAIDKRTRHRMSRERSDVILKIIVKDFFVEKEVTSTLVMDSLYTGLKALEYQSKNKSKKGIINSVDFEELPVPMVHVDMDMFVLAGDVIALLDRAALEPLPCQPVSPKDDKCSQSRTKDGSGEVNKVSIECEERRLTELGQMILETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLETEMKAKRGGTTEKDKRSKKKQAKQKKNNRKVKDKDREEKSNSNFAERSQDENTIHGKEDSKQAGRISTKIDASEEGASDVSDNLDESTEIWKKHSSMENKSSSCSSESSTMSIVQDKRNNSLDSKDQISRNRGKTQRSRSISNMNSTQDVDDLPSSTTSSDRNSSGCGPAPKLDQETVLLTLKDRLRKLGERLHEKEIEGRKLLQAHLEKKAAAEAATCSSSSLSSNSLEETPEVLKSPDQSSVTTSAADINASPPKSLAVVTNDASKVTPAKPATILSTNSVPTVVPTLSKAEPVLCEGHVSSSAPQIDTPINSSPLQVDKAATLLSGFLLVDQALPVPSKSSAPQVDKVSKAVPAPPKSPAPQVDKVSIAVPTPLKSPATQVEKVAKAIPVRPKSPATQVEKVTKAIPTPKSPAPQVDKVTPLNPVSRQMPPASNSEAREVTVPKKAAIPSISQTPVISRPSSAPLFQAPRSTLPPTSAVQVPSMLSRSLTVAGRSRNEQSPSIPSYAGQTYRNAIVGKTNLDTSSASLDQSTSFGQSVTPSQPLSTYASAASAMVPPVGRDGQLASKQCFMFGQSKSEENWHPWKGDNDANKHMWVDDSPYHETTNSDIRTRAWMDNSYQQVSCSRTDEQSEFGGLHKQFQREVPTNPGPYQLPGPMGEEFPHIDIINDLLEEEQSSGSMAESTFHEYHSFGLPFSSRGNLVNSEVTSAGSSGRLNLTDHYYDEGYPVAYDRLNALYRLREGQFSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGANLNGFSRQMGNYTNLGSGRMNGEYLYHHANGQW >OB01G41660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25229591:25233391:-1 gene:OB01G41660 transcript:OB01G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) TAIR;Acc:AT1G75450] MARCLLFVVFLIIYCLYSTVGLAVEPADEVAMQLGGGGAGLGDGRLSVEPSEAVGRAQRALPVYSPSLGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPSRVRWIRALYSNFTEFTADQERLISLQHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAGTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRDKGMWEVPHPWLNLFVPASRIADFDHGVFRGVLGSRTAGGPILIYPMNKHKWDPRSSVVTPEEDVFYLVAFLRSAVPGAADPAKSLEALERQNREILEFCEEAGIGAKQYLPNHKAQGEWEAHFGARWARFARLKADFDPRAMLATGQGIFDSPPLLADS >OB01G41670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25248113:25249241:-1 gene:OB01G41670 transcript:OB01G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSEGGKGRSLAAPDNLSGAFDQTYISVRDSDMDKILARQDPIKNRVVSHTTMRMDK >OB01G41680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25258017:25266622:-1 gene:OB01G41680 transcript:OB01G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLELPVDYTVDVDKRKAIFSFVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKRLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHAKRPAYSSLERVIQQATVVRQYLQQEVGKNFKGMNAKNPIPVGPWGGLGGTTWDDGVHSTVRQIVITHGTAIDSIKIEYDLKGKSIWSEKHGGDGGTKIDQVKLDYPQEILTSVSGYYGSLGGCVVVRSLTFGSNLSKYGPFGAEQGTPFSLPVAITGKVVGFHGRSGWFLDSIGCHFKKEKSTGPSSNAAPSALRSITRPHDRNGNRYADSDAGYDMVLAVRDRGDSYSVLTSNSPKQQYPNPSQDATLWNKMVALPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGICTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGASGAARAEKVVFDFPSEILTHITGYFGPTMIMGSTVIKSLTFHTTKKSHGPFGDENGTFFSSCLTEGRIVGFHGREGWYIDSIGVHVLEGKVLSQRTDRALTETSPSRHADMLAVAQREIGDEVTYGVVKEPIPVGPGPWGGEGGKPWDDGVYTGVKQIYIMRADFIGSVQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLTCVYGYYNTCPEEGPRVLRSITLVSSRGKYGPFGEEIGTYFSSATTEGKVVGFHGRSGQYLDAIGVHMQHWLGDRNRAAAPSSKYYISKYLF >OB01G41690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25273801:25274351:-1 gene:OB01G41690 transcript:OB01G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKPAGKGTLPPTDFVDLEIGTRTGRPPGLNWDIKITTPDRRTEEECLAVTIYTRKVMHILTVATLGVYVASAFGVYRYSTLKRVGEFGLIFAPFYLLLVAMIQYCGNEANKVVEEINEADAIRSKNIRDHLDKTLLNIHK >OB01G41700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25276799:25277724:-1 gene:OB01G41700 transcript:OB01G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGSESAAVSDCCRAGKQANAFIYRYSPARPDSASLSQPSPSDQADRSPRGKNQIESMESATPAGKGTLPPTDFVDLEIGTRTGRPPIVPTVPDFDFNVSRRSMEEYIFTACAMRTAMYILITVTLGVYAASTYGVYHFSASKSAVECVLIFTPIYLLLVVMFQCWGKEAIEEVYKINMAAPSRSRKASLSPENV >OB01G41710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25278137:25280536:1 gene:OB01G41710 transcript:OB01G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIERKVCAPEKVQPRQITSHGLRSALPPHCALTTSHLAAFAPRLTRSRRAAPCLDNDEMAQGVAEATTYVKVTHSTQGDVARKAQPGRARCSQA >OB01G41720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25282276:25287592:-1 gene:OB01G41720 transcript:OB01G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAGARWPACLELPQPLSSSSFGPTAGCSCSCTAGHRASLATGRRASLAAGRRALAPSRCFGPLVVREKQKKQTNKKRGRRKKIRRRENGKWVPPFYKQLNSFLLNSIPIIVTVVSSGVYSLLRGDLTLAKAFISLSLFPVLCFPLFMLPNLITQVQENIIFLTMLLMVRCRSNVWEISSWLKKEYFCRIHLLIPSFRQFLLRTDNFHGNHSATVGLGREQSEDGFLVVIPTKSKASGSSGPLTALASSTPSTRQAPPPDAPQQAPQPPYYSLAGCMMSCTAAPSTTPQLERPPADDPSNAYGQAASNLLSGSNLDTTINQLMEMGGAVVAAGTGIKSKGLYGIPITADVAVPPHCQGANTTEPSPTREVSLCGIPNASPLNLFPQGGPNDRDGAGGGTFEFLRHNQQVVVLFRSFGKWFITNPQILQPMLQELSKQNPQLVRLIQENHDEFLQLINEPFDGADGLLGVVSWSLVHINQGSALLVVAPLCIPVKCFDV >OB01G41730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25301000:25304289:-1 gene:OB01G41730 transcript:OB01G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFGAKLVGTSCTRHIHFIHGGSGSQAISPTLLPYEPSDDMLSPTVTVILMTLFTWYQIYQEAKENKHKKLPEHYPQVCFKILLSNTYLLVACMLVSIVRKGTDLAAWSFFCALELFLLSLSGALWVCLYPYGFLAAEITFPVSSIISIFLLSLNDKPKLFSRARSKLLRSKLFKSARRVGLFRYNNARCQHVVYSGNPDESLMKGNEFFVVGEQPYAETFGDNAELTIAKRVFHG >OB01G41740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25323240:25323488:-1 gene:OB01G41740 transcript:OB01G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGYFLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFLMIRKQGISGPL >OB01G41750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25327417:25330002:1 gene:OB01G41750 transcript:OB01G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRVVQLPEVSKTTYTGGNARLVYASSSMQGYRSTMEDAVTFESLNDLNETSFFGVYDGHGGCAVAKYCANNLHIRFLQEEDFHVNLPNALRKAFLRVDEMLQNKASRRELSGYGSGSRVYKASCFGCTPCLPGLCYRGPLKEGCTACVVVIRNNQIIVGNAGDSRCVLSRNGQAIALSRDHRLVSTAEYIRIVAAGGNVAIADGLYYVSNGIGVSRAIGIDYGSWLCGVKHLSNFIILEEDFSLKQNKMLPNEEQALICMPEINSEQITLDTEFLVIASDGIWDALSNQAVVDFVNIRLKHGVDPFVICESLLNVAVTHEPPALDNLSVILVKFLHPDAAGASGRRSADI >OB01G41760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25333246:25337113:-1 gene:OB01G41760 transcript:OB01G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16405) TAIR;Acc:AT2G16405] MDPDFLSWVLQPPTPSSSRPDADYAALRRLLLRRKPSSALQHRMEWRCTGRGYVAYRNFLLRRIDGGAASSSAHSTPSNSGRWAPSPAHAALSEADSWSSIRDWRSNSGPLTGNISISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKATVTILKFGRASSDLLAYGASDGSLTVCQVSDPPSVLKKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDIDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKTKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLICSLKLASPVQTIRASFCPLLSLEKGEFIVTGSEDANVYFYDLARPKNSCVNKLQGHGSSVIGVAWNHGENLLASSDSDGTVIVWKRAKN >OB01G41770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25339354:25340801:-1 gene:OB01G41770 transcript:OB01G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWREKMTQVQQSLADKKLEAARLNNETSHEQTKCKMLDTYTQLMLAPSAQLTAEALDERNKALESLRLALFPKFCIYMHNIPYSMTLLPSATTFFATRHYPATHTLYCLIFVTAAMDGDDNIDPIYLYSIDEYLQEQAIMDDLGIHLISEMQSIADGLQGGRVQCGHRRYVDRPREEARQQLMDDYFSPNPVYNEVQFRRRFRMRKTLFLKIVEALSGWSEYFTLRPDALNRLGFSPIHKCIVATRQLAYGGSVDQQDEYLKMGKSTGVECLKIFVKGVIAVYGG >OB01G41780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25343327:25347105:-1 gene:OB01G41780 transcript:OB01G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3L4M2] MAGRRGAGAAVAAWWCAVGLLLGACLAGQTSEYRRRLGSAVDMPLDADVFRAPPGHNAPQQVHITQGNHDGTAMIISWVTTSEPGSSTVLYGTAKDNLNFSANGKHTQYTFYNYTSGYIHHCTVKNLEFDRKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSFDSNITLAHYESNSKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSYRYPTPYKDSGSTAPYWYSVKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGETMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGLCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPAYSAFREPSFGHAILDIKNRTHAYYAWHRNQDGSAMAADSMCHPILAADGRLLRQFPVIDIHTDDSWCCGYST >OB01G41790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25361173:25363874:-1 gene:OB01G41790 transcript:OB01G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPDPIGEGLAMEAGGGAAGPECIVPGQQAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMSNK >OB01G41800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25365714:25373649:1 gene:OB01G41800 transcript:OB01G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT5G16300) TAIR;Acc:AT5G16300] MGLPGDLGLGLGPDPSVHLHRLVGHLVTQTAEPRLVPCLPLNPDRFLDLAASSRGLAAAAVSGGAADAEELFRTKRILEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAGLLLEAAGRYVRAQVVHDVLSRDAAAAARFPLLTHQAQLVEAFRAQIAQRARERLADRRLTVVAHADALAAAASIDAPSLTPSQALLLFLSSRRAWISQSLTALASDLSSYASVLCDVARIVRLTLGHVGQLFVFALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKGHWDQLEATMVLLEPDAVARTCTDWLKECCDEIFGVIAGGQRLVDAIESGEGLGSVQRLVREALDGREGLEGSLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHSGFGSLDDSVDVKKSIEDIVANADPKDPGNFLVYLRKASTGGNVWFSESKIKKGGILAHLKPIADENDFYSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVESHNSGPRLKDLVPYLQENCYTTISGILNGLEAELGKLSDSLRTKKGDNNMLAASVIVERSLFIGRLLFALRYHSSHVPLILGSPRQWIKEAGGAAFMRLSSPSPRYSRVSFDTAMPFTPRRHTFDSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELNKTLQALCIVAHGLWITWLSTELSHLLSYDLNKDDSLSSSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILHNFAWELLQKVIAIYENFLASVESGKSVVSENGVLQILLDLRFIGDVLSGGKSSSTKTTETQRTHDSLPSTIAKTSFRRKQPQLQADSATVEPINKLINKFSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPALSSRAHKPSLQSTSDDSTSRNPWKSYSNGERSTAPEFDDNVSLGGAAPLLKSFVTQVGSKFGENTSRWGSIISDGQVGKLSDILPGPAAGFFSSFTSGVRYDP >OB01G41810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25381619:25387247:1 gene:OB01G41810 transcript:OB01G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDSSSTSSRIPCSDDRCTAALQTGEAVCQTSNSASSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLAIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVTLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQFVNTGQFDVNGGSRRRGAPPYGGLVPTVLVAVALVFGASSSRGR >OB01G41820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25200450:25394428:1 gene:OB01G41820 transcript:OB01G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G29630) TAIR;Acc:AT1G29630] MAEPTVRIASRMGPTWGRASANSRAAPSIRPLASRPPPLFSISKKKTTSRGRGGGGGGGGERGGGDVPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRHIEYCMHRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRGAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERSRELDFNGFTKQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKSHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDIVHLSGIPHGNSEDLDFLGPYPCQPYLYTVAKGIALGNIDPITKEPFEAKPESSAPAFYKVHPIRESVVRSNETNGKKKLDLPVQRNVLTNYFCLASLEAKRKFRAPKVTPKQQMLNGSLSSPRIEGCGTPDSIEDTSLPSNNIQASQCSSENFSSGTPLDDSINTASQCSERVRFDFSWDDSASASPQCTSHDIGSDPSEDPDIEDTKVEVNYLNRRTIPAGSCLEGTLPEISDPFLDSQNTEPSRAAPHCAEKSNVASANRNITVKSSYFKTVNKRLYKNQGEDKVHDEGNRETGNCTLPGDQLRSSGGILKRRKFSYPQNFEDEMFQPTSPNESLPVIDQGCDNDSLDSTDTNAEGKFGCNVSHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLSVRSALRPPEQGFSCTAKKTTRVPPLQCRFSSDATNTTDAPDLSTFAYRPKSTAFCHPDQRKVTHKAADADDGPPDLRSFAYAPTISTTSPLDQSKRTSKAMCSTESPPDLSTFAYKPMQSAARRSDGSRFSDAPLKAARRTSRS >OB01G41830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25396226:25402723:-1 gene:OB01G41830 transcript:OB01G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSSVLAPPSPPSRPSLRRIIKTGHIPAKMSTEKMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTIEINEAKACLHDIILSSKVANGEPVEFPWMVDGAGVPPNAAKLLTNLAQLTKEITAQVKLLSDDEDGEAATNSDSPSHPYDQAKALGKTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKNVYDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVTAAAGEYNACHDPTIVSSSKRKDRGNNGDVKNEGFYRPSSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMNGEESLVCKNALDHLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISTLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPATPSQSLAVRFCKDNGLQGARLQHKSDMVSDMPKRKTKKLQE >OB01G41840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25411576:25413682:-1 gene:OB01G41840 transcript:OB01G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSNGKEVRYPVLLTPTEKQIARNICQAFRQAVQFKVLFSYSLLFLL >OB01G41850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25421125:25425718:-1 gene:OB01G41850 transcript:OB01G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G27060) TAIR;Acc:AT1G27060] MEKAAAVEEEEQEEGAAWAWSWGAGTDGQLGNGGFQDHHLPQPLLFPLRCRGRVSFVAGGGAHAIALTGDGEVFTWGRGTHGQLGHGDMENIPHPKFVKFFENYSITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRVAFGMRHSLVLLKDNSVYGFGSARRGQIGRCARNQKFYNVPKLIDGFPNCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDRPQPVFPSLSSSQVALGWHHALVLSGELYTIGAYRHQKLDPHVSSSEPMQRLNPATSSHDHDDSSSLSTLVKVPCVDGDQVVHIAAGTEHSALVTDKGAVFTWGWGEHGQLGLGDTCDQVAPQRVNIGDKRPCSSASVNVYCGSGFTVVVNSGLAAD >OB01G41860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25427449:25428040:1 gene:OB01G41860 transcript:OB01G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRYGYPYPPHQGYYNGPPVMAPPQYAAPPPRREPSFLEGWCSGIRCMIHLQYK >OB01G41870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25433004:25433908:1 gene:OB01G41870 transcript:OB01G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGDSQKGGGGGGAGEVRRINVVYFLSRGGRTDHPHLFRVNHLHRAGVRLRDVKRWLSELRSKDMPDSFSWSYKKNYKAGYIWQDLMDDDLIIPVSDNEYVLKGCDVRRAPPCNGAPKEKSSSLDQNKLNSQGENDVACDQKQVDVALQVTALNSDEPSPGADGES >OB01G41880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25438650:25438874:1 gene:OB01G41880 transcript:OB01G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKLRILAAAAGIAVVASSLVGVATATEAPAPGPAGGVGAGAASTSGAAADAAPVVAVGTLAAAVVGYFFC >OB01G41890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25445929:25446244:-1 gene:OB01G41890 transcript:OB01G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLLSPLRRLWCHVNAVQQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLPPPTPTTTATPVLG >OB01G41900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25456529:25470117:1 gene:OB01G41900 transcript:OB01G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAAAGVEGNVEFIRARSDKREYRRVVLPNALECLLISDADTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAFTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSEDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKQRGLDIRQELLKFYENYSANLMHLVVYGKENLDCIQSCVDRLFSDIKNTDQRSFKCPGRPLSEEHLQLIVKAIPISEGDYLKISWPVTPDLHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMNLSAGEGSDSAEYSFFSISMRLTDAGHEHMEDIVGLVFKYIILLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDTVSTMRLFPPEEWLVGASLPSKYAPNRINMVLDELSAERVRILWKSKKFKGSTDSVEPWYSTAYSVENITPSTIKEWIQKAPTEKLHIPKPNIFIPKDLSLKEMLEKVKFPVILRKTPLSQLWYKPDTLFSTPKVHIIIDFHCPLSSHSPEAVVSTSLFVDLLEDYLNAYAYDARIAGLFYSIDRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYKNFNFCQPYSQASYYLSLILEDQKWPLFEKLEALSKLDSDTLAKFIPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPNSVFKSMHPSQYLIKRVIMLENELKCYYQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFSLIASQPAFNQLRTVEQLGYIAGLSLRSDCGVCALEVVIQSTVKDPSHLDARVDAFFKMFESKIHELSDKDFKRNVESLIDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELRKEEFIEFFDQYIRVGAPQRKTVSVQVFGGKHLAEFKAAIAEVDPPKTYRITDIFGFKRSRPLYPSLKGGPGRITMD >OB01G41910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25474403:25476455:1 gene:OB01G41910 transcript:OB01G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVAAGVPFLLFVALLSLPPGAAAAFSFTYNFTSSDTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAYFSRPVPLCDPVSRRPASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSARGDGRGHPRRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVEYDGKAKNLTVALSYGDAAPTDALLWYSVDLMEYLPDAVAVGFSATTGEAAELHQVLYWEFTSSIDPKEETVILWVVLGLCGLLLVLVVAGVLWFVTQWRKAGELAGGGIDDEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGGVYRGFLKELGLAVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSLDKHLFGDGLLAARLTWPVRFKILRNVASALLYLHEECPQCVVHRDIKPSNVMLDAAFGAKLGDFGLAKLVEHGSQPRTTVLAGTLGYLAPECVVTGRASRESDVYSFGVVALEIACGRRPTELDEEPSNARLVPWVWELYGKKTILAAADRRLNGKFDLEQMERLMVVGLWCAHPDYAHRPSVRQALNVLKFEAPLPLLPPKMPVPSYFPPPDLAAPVSVAGTSSTECTGVSQCGSSGSNPVGSEINDRRIDP >OB01G41920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25475051:25475677:-1 gene:OB01G41920 transcript:OB01G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTSRKSPRCSWHHPTRRTRWRWRSWLMMRTSAAYSFRPCVEPLDTLLIATANPSSLRNPRYTPPKPPCPSFLSSEKFFVAAARSLYRNRLGPLSTKNSSSASSSYPISSSMPPPASSPAFLHCVTNQSTPATTSTRSKPHNPNTTHRITVSSLGSMLEVNSQYSTWCSSAASPVVAEKPTATASGRYSIRSTEYQRRASVGAASP >OB01G41930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25476874:25486451:-1 gene:OB01G41930 transcript:OB01G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMDFMAFLDDEDWKEQQHEVNQGCFEMPVGSTSSENAVQNNEEIFENKENWSNYMHTDPSHNQMDVMMKCNHGGESYDHSEETLSYRLPENDFSENSRDGNPENQHLPREALNHANTTEEIVPLYEDCTNGYFGQHSMHFDHTQVRVENNFEEMEPHTNTYSACDMFTEQSGLSEVKCDTGAMLGNSEQEGNHFTSMPMFSLDHSAVIPDIPYTEVNIGDVPGSMQNGNSSCLTVQGECLQGEYGEYPQPGYGYFDVADGGSLHDLPQNNQSYEMEQLQQNICESSPMQAGSPDQYCDDTSLSDCYMDDVSSIDSMSSEQNRSEEICFRSESSTDSSPVPSSRNSTTEDADKYFGTPQHLQNSIFVPVNTQNQHTFINSSDSMPPTFHKKYDIPRNGSSSILGTTSRNCFSIDCSRDSDLCIIEGSRNPATGHILPHQGLQHNFQQSMCANPNLPRFGGMRYRPHEERMTLRLALQDISQPKSEANPPDGVLTVPLLRHQKIALAWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPAPCSAVKQEPCEAVTLDDDDDEEDDSTEPHLKKPLLAHLADTCKPEVISTTVKSESPLGNIKVARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKASSKKNKSVSTPESKLPEKPLAKVSWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAIEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPVTGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTCEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSRMSSLEMAKKLPVERQESLLICLQSCSALCSLCTDAPEDAIVTICGHVFCNQCILEHLTGDDSVCPVSNCRVRLNSTSLFSRGTLECAQSGSTCELLSNDSDVDVLQEKQPRFDSSYASSKVRAALDILLSLPQLDFTHTSDDKSKIVHPDMINGNSSSEYIDTKTTEKAIVFSQWTRMLDLLEVHLKSSNVTYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >OB01G41940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25498356:25499424:-1 gene:OB01G41940 transcript:OB01G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPSRSLQSLPSPTSPRPRIAITTSPKSKPLIYQSQKTPPPSRPVPVPLFALVSTAGDGRLARACRWEVEGMGCCFSKKRRKHLAGAAVFSRHCKLEDSDPPPPPLPEEEKVKEVLSETPSAKVRPEAKPVANVAVLEEPEVEKLVPKPRADAEATVSDLGSCMSLATDDRSEAASESSVATSSVAGPERSPGKPARKRPVSVSGELGHARSRRDRAVAAYGAEDDDAVTADGEPSIADAASAGGDGQGNGDGEGKESLDNPLVSLECFIFL >OB01G41950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25498366:25498851:1 gene:OB01G41950 transcript:OB01G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSNDTSGLSNDSFPSPSPLPWPSPPALAASAMLGSPSAVTASSSSACGSGGAGRGATGGGGASCTRRGDGLTGTGGFRWRDAAGLAARRCSGVRFAAGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXDAVGGDGAVAPGPGMTQLSGDGDRALPGRLPR >OB01G41960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25505700:25513869:1 gene:OB01G41960 transcript:OB01G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:J3L4P0] MDPEKRGYRLQEFVAHDAEVRSLVIGKKSSRVFITGSSDRKVNLWAIGKQAPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKTIRFTPDGRWVVTGGEDNVVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEDTGVRSMVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGIWVADISLIRPYALGVLPKANFFAELVHSLDDSPSKPIDTTTKPIPALATTHPKNFYKVKETGTVTESGIRSSHLTPASLDKTKKDKSNVTPRRPDSSFKSSIQSSTAMRRTRAVDSPFTNKRTAERNFAQRDVSLASRTGTANNSSTVRKGHLAESISVKDIYTTPQTVSMPVVMPRDILEDKTAGSISRGIGGRAAVADDFRSPVHSRKLSVDSFAGDSVNAAKSMLTDPDDCSEDLSGLKFSFGLTPYYKKEECNNMDKSDITQMAEKMDRTVSLEHQLQSNDSFESPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVKSDGPSFSAEPSQAYENDLSTVDEVMTPINLMESHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLEIFSSFLPVLAGLLSSKTERHAVVSLELLLDLIKIFGPVIHSTLSAHSAVGVDIQAEQRLQRCSRCFNHLQKIRQILHPLIMRGGQSAQLAQELNLSLQDLVVI >OB01G41970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25515465:25520541:1 gene:OB01G41970 transcript:OB01G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3L4P1] MAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPPEPGFYNDRSATVDIPLDSTKDMKKKEKELQAKEAELNKRERELKRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLAACLFWNIIATTAAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLIHILFCIWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSVVVIQQVYMYFRGSGKAAEMKREAARGAMRSAF >OB01G41980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25523940:25527519:-1 gene:OB01G41980 transcript:OB01G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKFPLLSRSGVLQRMISEYQAPEEGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNALNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHISEADADADEGKGKDPPAEAITDVKARVSELEKECQSMKQEIRRLGRPRRSWRLLTRKCGFGTKVQQAQPAMSGK >OB01G41990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25529910:25530149:1 gene:OB01G41990 transcript:OB01G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHVKSLERGGAQESVCPRGSDPEGYQASFDWRARAVPDPLNMGAVLTGATCPQHPTIAFMAMGGCLSHPEFRPKPKL >OB01G42000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25539793:25543622:-1 gene:OB01G42000 transcript:OB01G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGGGVPQFSEEELRDVSGVRWGEDFVEVTCGCTSHRYGDAVGHLRVYASGDLEVSCECTPGCREDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSLKSANGSHKGRNGRPSHRDEFIHCTECGKERRFRLRSTEECRIYHDALAKPNWTCSDLTTDSRVTCGDEEERASRKVLRGCSRSTSCSGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >OB01G42010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25555946:25563023:1 gene:OB01G42010 transcript:OB01G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSATDYEAECLKLISQFNSLSSSLAGVVTIPRFVEAYRLDCPAALNRLVQSGVPATVELRAAASSSAPASSAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGPVLPPDFEGKVKVSEWLAKLNKMGAGDELTEQQARQLNFDLDSAYKFNLTKGAYIDRMKNVMFCGRVPQKRVKRAIFMAEVVLAGLRLAAKPIFKKLLTEASTRLGVDLTRELDELETTIMPQFELLIEAAEKGNNHRTNLDKWLRELKEALYNTEDLLDEHDYNILKRQVKNKDTLGKDSTRENASSIIDVLKQPLHAASSRLSNLRPENRKLLLQLKELKTILAKAKEFRELLRLPAGSSVQGSIAPTTDVPVVTSLLPNRVFGRDMDRDRIIHLLTEPVVDVSNSPGYLGLAIVAHGGAGKSTLAQYVYNDKRVREHFDVRMWVCISRRLDVHRHTREIIESAENQECPRLDNLDTLQCRLKDILQNSKKFLLVLDDIWFDKSSNEREWDRLLKPLVSQKEGSRVLVTSRRDVLPAALHCKDVVRLGNMDDADFLALFKHYAFSAPEIINPQQHERLEKIAENIAKRLGQSPLAARTVGSQLSRNKDITLWRSALNIENLSEPMEALSWSYNKLDSRLQRFFLYCSLFPKGNKYKIKEMVDLWVAEGLVDSRDQGSKTIEEIGRDYFNEMVSGSFFQQVSERYMGTWYVMHDLLHDLSESLTREDCFRLEDDGVKEIPITVRHLSVRVESMKFHKQSICNLRCLRTVICLDPLTDDGDDLFNQLLKNLKKLRVLNLSFYNSSRLPESIDELKHLRYLNIIKTLISELPRSLCSLYHLQLLQLNKKVKCLPDELYNLRKLRRLEAYDDRIDELLKEDLPQIPYIGKLTLLQHIDAFCVQKKKGYELRQLRDMNELHGDLSIMNLENVAGKDEASESKLRQKTRLTSLRLSWNHADGMDVSHLETLESLMPPSQLENLTIEGYRSGMYPSWLCDGSCFETLESFKLVNCSELGSLPSNTKIFRHCARLALQNLPNMKTLSFLPEGLTSLSINKCPLLLFSTDNDELGHHRESIMRASNLETQIILIGEADCDSSDIRDTLSSEYSSMKQLMALMDADISGNLQTIESGLEIEGDVKSKTLKREEALVKQDIIKAWLSCHEERMRFLYSRKPRLPLIPPSGLSNLNLSSCSITDGALAICLGGLASLSNLSLTEIMTLTTLPSEEVLQHLGNLNFLWISSCWCLRSLGGLRAATSLSEIRLFSCPSLELARGAEFMSMSLLRLCVYSCVISADFFCGDWPRLDYILLCRCRSSASLYVGDLTSLTSFSLYHFPDLCTLEGLSSLQLHHVHLIDVPKLTTECISQFRVQDSLYISSSVMLSCMLSAEGFTVPKFLSLERCKESYVSFEESANFTSVKCLRLCNCEMRSLPGNLNCLSSLKKLDIYDCSNISSLPDLPFSLQHICIWGCELLKENCRAPDGESWPKIAHIRWKEF >OB01G42020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25564550:25569968:-1 gene:OB01G42020 transcript:OB01G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKXXXXXXPAEATLVCTEPPGAGEGEGGPELRWRCDGGALAERALSLDADVLGVEARGKEVVVKAFVAADAARSVSCAAGARRGGGGRKRCRRDYVFEMAAGEDAAAAAWGDMMRRSLDSLGRPKRLFVLVNPFGGKKCAKKIYEAEIKPLFEAAGVNVTMQETQYQGHAREVASSLDLARYDGIVCVSGDGVLVEVVNGILQRIDWEEAIKIPIGVVPAGTGNGMAKSLLHSASETYSVPNAVVAIIRGHRQSLDVCTILQGTKKFFSVLNLTWGLVADIDIESEKCRWMGSARFDVYALVRIMNLRKYYGSIRFVPAPGYEAYGDPVKQFENCTGECQQQNGKPACSYQGPSVEFQGSEWRSLDGPFVSVWISNVPWAAESIMAAPGAKFSDGYMDAAILRDCPKADLLALLMKMSDGTYVKSPYVTYLKVRCFRLSPGQLVENPKRGGIIDVDGEVIARGEGTYGKNQNQGVMGYGPSIQMTVHRALATVYYPK >OB01G42030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25572154:25574875:-1 gene:OB01G42030 transcript:OB01G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSGSQGHNVNGGQKEVQHNSPSILNNGPRHRPLTPMRRCRGVACVAIILSTAFTLIVYIAPITTFLMRLFSVHYSRKATSVLFGMWLSLWPFLFEKINKTNVVFSGESVPPKRRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSTFKDPRDPLWLAVFPEGTDYTEKKCIKSKEYALEHGLPVLENVLLPKTKGFLCCLQELKSSLDAVYDVTIAYKHRLPDFLDNIYGTDPSEVHIHIRIIKVHDIPTSEDELTDWMIERFRQKDQLLSDFFMQGHFPDEGTEGDISTLECLANFVAIVISTGIFLYLTLFSSMWFKVYVVASCVYLTFVTYFSIQPPQLICSPQGENLVKKTL >OB01G42040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25587098:25592463:-1 gene:OB01G42040 transcript:OB01G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIMSIEHSAAASSSAASSTHGVVQRFWQLEQERKPPLPPKRGGGKRRVWAWEARRARWWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCMVDVMHAWNLLLRLRTAVRPEDDGAGEEEAQRRADDGNGGAAPAQVARPVSKKGLVLDLFVILPLMQVIMWVTAPAMIRAGSTTAVMTMLLVSFLFEYLPKIYHAIRFLRRMQNASGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQXXXXXXXXXXXXXXXXXXXXXXXXGGGVGADRLSWARDDTARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSRKQAMQARLRGLEWWMKRKKLPQSFRHRARQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDKVKSLVFPKGEVIVREGDPVQRMLFIVRGHLQSSQVLRNGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEGFGLEAADVKYVTQHFRHTFTNDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDLM >OB01G42050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25587462:25587783:1 gene:OB01G42050 transcript:OB01G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDSSSSPLKLPGPSVQQLVAPFLSTWLLCRWPRTMNSILCTGVQVEELTTSPLGNTRDLTLSQMFSSTRSSMCWNSGT >OB01G42060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25595833:25596291:-1 gene:OB01G42060 transcript:OB01G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRATALLVAFLFVAVAVPVAFPDGGSLSMAHEKAHGAAAVDHYAAPKRETAATPICTGVHGVVAGETCDSIARRFHAGLGRVPFFTFVSLNPNINCRGLFVGQWVCIQGFFPV >OB01G42070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25599056:25599472:-1 gene:OB01G42070 transcript:OB01G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALVMVASLLVAAALADARHTDVKAPAALACNKVHGVQTAETCFSVSQGAGLTQDQFLAFNPNINCAKLFVGQWVCLDATLA >OB01G42080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25600915:25602556:1 gene:OB01G42080 transcript:OB01G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPDAYTYTPIIRGLCDRGRVSEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMDKNCRPNEVTFDMLVRFFCRGGMVERAIQVLEQMSEHACAANTTLCNIVINTICKQGRVDDAFQFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKSCPPNEVTFNTFICILCQKGLIEQATMLIEQMSQHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAEQLDAAAELLAEMLQNDCPPNVVTFNVLVSFFCQKGLMGEAIELVEQMMEHGCTPNLITYNTLLDGITKDCNSEEALELLQGLISNGVSPDIVTYSSIIGALSREDRIEEAIQLFHVVQDLGMRPKAVIYNKILLALCKRSETDHAVDFFAYMVSNGCMPNELTYITLVQGLANEGLLKEAQDLMTELCSRGVLNKNLLEEWGPKLSDQIMHLP >OB01G42090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25601127:25605442:1 gene:OB01G42090 transcript:OB01G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQPRRKRTQQEFFQRFVALLVCGLGQMKKQRMEQVMKENKNVACSTAHFEAVIQQHTEQPDQLIQSRGEEKLDWKNAHGMVQDSQYPSWLCTAHNKQPYIRNLVTERMLDGHLPELVQQSTSYANMLYKIQSKSELLLPSDLCRIVQIPKRQGSAKVPPLLLEVVICIVAGGAGLWVDR >OB01G42100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25603064:25608864:-1 gene:OB01G42100 transcript:OB01G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:J3L4Q4] MKNSLEKNNMLKEFYIPTYIFVLESPAEKVSQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNHSQVFDLLEEAPDKILHKLYGNLERLKRDGDTFAAEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDQKSVLSFLQLVREAKEMKIDSWHIVMKMESPKGSTCDPIAPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYDFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCASLCHPMSRNIAHLAKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWKQPLPEDDGKVLVEISHAGQVKMLATKNCIAKGVHEAPATSTVHPESSSSSDDTDDDFEEERRNFGAALSFRYLDDATKV >OB01G42110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25613211:25615928:-1 gene:OB01G42110 transcript:OB01G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT1G27410) TAIR;Acc:AT1G27410] MDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVNASLLRPLSAGASTSLSLTSPSTGRSVSVVVTAIPALHCPGSLMYLFSGDLGCMLYTGDFRWELSCERARAAKKALLDALAGDTVDVLYLDNTYCHPSLNFPPRPVVAEQIVNIIRAHPDHEVIIGVDTLGKEDLLLHISRALQTKIWVWPQRLQTIHLLGIDDNHEIFTTQTSLTRVRAVPRYSLTIESLDALNTVCPTIGIMPSGIPWLWKNSEGKTKSGVKSPAKSIRCKGLGKGTIEMDYDPLSPPKLFEMYSYSLPYSEHACFAELEDFMQTLRPSTVIGIVSTSFCYVNPRYHFSHLCGDSQYSDKISEKNKGKDNSALMPKKRQNGSKSPKERKIRVVYRSRVTVKRKECCGAKIGKPDEPISVA >OB01G42120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25616479:25620692:-1 gene:OB01G42120 transcript:OB01G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLIGGLGQEANGQRRTDRWKTNRSSRQAEQRTNASPHRRIAIAVDLSDESAYAVRWAVANYLRPGDAVILLHVRPTSVLYGADWGSIAVSVSDDADGEVAPAASAEELQKKREEDFDAFTSTKSQDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGADAEASGPTDELHTVPEDEPVYHDAPEVHKEN >OB01G42130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25622913:25626083:1 gene:OB01G42130 transcript:OB01G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:frataxin homolog [Source:Projected from Arabidopsis thaliana (AT4G03240) TAIR;Acc:AT4G03240] MASRKLVLGLTAARRLRPRVPVLVSSSPLLEASTSTSHAAAAATHAMQGSRAGPWGPPPQFDGVTAAMASRKLVLGLTAARRLRPRVPVLVSSSPLLEASTSTSHAAAAATHAMQGSRVGPWGQFLSTRTLSSTRQVAQPAGDAPGPSSVDHRSILPEDEFHKLADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGELGTYVVNKQTPNRQIWLSSPASGPSRFDWDASTNGWIYRRTGANLVQLLEKEIGELCGSPVELS >OB01G42140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25625441:25625782:-1 gene:OB01G42140 transcript:OB01G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDRGSAAAVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPGAGKITFQSLRRNAATLGLGELRDDELSEMMREGDLDGDGALDQMEFCILMVRLSPELMQDESHRAFQC >OB01G42150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25630358:25636252:1 gene:OB01G42150 transcript:OB01G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L4Q9] MMWTKSSFSITLLIISLWLARCLGRDNISVNESLSDGQTIVSMKNVFVLGFFSPGAPTHRYVGIWHNNPGNRTIVWVGNRNEPLTDTSGVLMFDSNGNLVIVHGGRSLIVGSGQGAKDMKATILDSGNLVLSSMANPSRYIWQSFDSPTDTWLPEMKIGLRTTNQTLISWRSNDEPAVGDYKLGMDPSGLSQFITWWRGNKYWTSGHWNGDMLSFIPELKFFRTIPIFFKCSNSTDDISCTYSANPSDRMTKIVLNSTGSLGIMQFDSLTKSWSLLWRQPVACDFPNLCGAFGICNDSAVPKCSCASGFVPQDTIAYSNGYTREGCTREIELQCFNDEFFVIPNIRAPDNRKKLPVMGPSECKRACLEDCSCIAYAYSQLNGCSLWYGNLTNLQVGYDVDGAGTIFLRLAASDQKMLWMAGVIPSVALLFFCFIYFVIWRRRRQNKGKEKLHAHHSLMALDTNSAFKLWESEETGSQFMLFSFSQIANFTNNFSAQNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLVEFKNEVLLIAKLQHVNLVRLLGCCIQGEEKILMYEYMPNKSLDFFLFEKSRRIVLDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGAKETQANTTRVVGTYGYMAPEYAMEGIFSVKSDVFSFGVLLLEIISGTRNAGSHRRGRSLNLLGHAWELWREGRWSDLVDPTPRDAYPEHRVLRCVQVGLMHVQENAADRPTMNDVISMLTSESMTLPDPKQPAFLSIVLPTEMDVQDGSFSQNAITITDLEGR >OB01G42160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25639169:25640615:-1 gene:OB01G42160 transcript:OB01G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHVQQIDGPDDAPAADSAAHVRRGVRPAAAVAQARLPALVQRHAGVHRRVRRHRQLHPVHRPEPVAGGAPAGKVGRQDAPAGRVPEAPLLRRPRLEPRQAAAQRGRAGVAVAEHTALGVAVARVGRVVPEAAPRAADPHEALHLPRRCVHGEPREQLRVADGVAVVRLVRTRHHREGEILGPEVRHTGELRAVPRPARVDARRAVEEDELRQPSEDELERVGARRGVRRASPRRDVPGDAVLDVNPELHAREQGVRRVVEALPRHTVSRAASVGAQEEIAVVEEPSGGTGGYVPRRRRGRPYGGVLPVDDDNAAIGGEPGDGGSVDDRVSPVGDPHDGLAGDAGVPNAEIRPVVGGATGDEEPELKDATGRDERLGAGDDPGLADGV >OB01G42170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25640445:25643615:1 gene:OB01G42170 transcript:OB01G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVATNATVYAGMTLDQCRQACLRNCSCRAYAAANVSGGISRGCVIWAVDLLDMRQYSGVVQDVYIRLAQSEIDALNAAANSRHPSRSAVIAVVATISGVLLLGALGCCWFWRNKARRNGTAAPGGDGDDVLPFRVRNQQHLALSAGAARDHQRRDEKRECDDKDLGLPLLDLGAIVAATDGFAASNKIGEGGFGPVYRGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIILGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGVFSMKSDVYSFGVLVLEIVTGRRNRGFYEAELDLNLLRYAWLLWKEGRSVDLLDQILGGSFDYSEVLRCIQIALLCVEVQPKNRPLMSSVVMMLGSENATLPEPNEPGVTIGRHTSDTDSSQTLTANGVTITAIECR >OB01G42180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25646761:25650636:1 gene:OB01G42180 transcript:OB01G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L4R2] MRRTRALALLLAATAALLSRPATGDDRIGLTASIIGNQTLESANGIFKLGFFSPPGGKGAYLGIWYASIQSDPTVVWVANRRDPVVNTTGAIVTLSADGRLVIVDGQQNTTVWSSAAPTRGITPGATAQLLDSGNFVVRSDGSGSPGSVAWQSFDYPTDTLLPGMKLGVDLRSGITRNITSWSSSSDPSPGRYTFALVLGGLPEFFLFDNSMTATTKVYASGPWNGQMLTGVPPPGAKSQVGVNFSTTVFSSPEETYYTYSITNRANPSFLTQFVVDGTGQLQRIWSDDGRSWSKTKKSYPADPCDSYASCGAFSYCVYGDGQSRRPCSCLPGFKSRSPQGPFQDSSKGCARTTSLTCGDGDGFWRVNGMKLPDATNATVHAGMALEQCRQECLRSCSCKAYAASNVSGGVSRGCVIWTADLLDMREYTMVVQDLYVRLAQSEIDALNAPARRRRLIKNVVIAVVTIICGILAVAGCCCFWTNKARWKRHTEMEKSSESDDTPFRVRNSTASNPAWGQWFDQNSTGAEDELDLPLFDLEMIFAATNRFAANNQIGEGGFGPVYMGRLEDGHEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDEEERILIYEYMHNKSLDTFIFDEGKRKLLSWNKRFDIILGIARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKVSDFGIARMFEGDQTTAYTKKVIGTFGYMSPEYAMDGVFSMKSDIFSFGVLVLEIVTGRRNRGFYESELNLNLLRYAWMLWKEGRSMDLLDESIGDIFDDMEVLRCIHVALLCVDVEPKNRPLMSSIVMMLSSETATLPQPNEPAVNIGKNTSDTESSYGITDNSVTTTTIEAR >OB01G42190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25652120:25655250:1 gene:OB01G42190 transcript:OB01G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDISVAVEDLGAATKDTVNISAKPTRRYPLLSWTTILALIALVGVYIFSVSFKQNGMLLGLMQTNMIEKQREKLCQDPSIPVTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWIETLLNSHENISSNGEIFSIKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHKEIAEYFNQRGVSAIFLLRRNLLQRYVSVLANAHDSATKQLNGTHKSHVHSKHEAEVLAQFKPEINTTSLIADLKKFDKLAADALVNFKTTRHIILYYEDVVSNKTKLMDVLDFMRLPKRKLSSRHVKIHTKLLRDHIYNWADVNKTLMGTQYESFLND >OB01G42200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25656117:25660323:-1 gene:OB01G42200 transcript:OB01G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLCGYLVLAVLVVLTASCRARDTFAPGRPLATNETLVSGGDANFVLGFFTPPGANNTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPGATLSVSPSGTLVIAAGNSTVVWSFTPATKLASPMARILDNGNLVLADAGGAVAWQGFDYPTDTLLPEMKLGIDYVKGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTGNYGLLQRSTWVEAAGAWNLYWYAPKDQCDAVSPCGTNGVCDTNNVPVCSCLRGFTPKSPEAWALRDGRDGCLRSTPLDCKNGTDGFVAVKHAKGKLDDGQEIAVKTLSKTSVQGLEEFKNEVLLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQVRYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHVSSNHLPHAYMQLADETMNNSFDSDEVLKCITVGLLCVQENPDDRPLMSQVLLMLATTDATTLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGRQHANA >OB01G42210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25671528:25675605:1 gene:OB01G42210 transcript:OB01G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEAKIWQVPEILPEEVLGKMGAPPKLDAPTITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDEVQGGSPYGAGTFAADGSRWPTEVELEHAFHQGKYFAGIAKKLKGSA >OB01G42220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25674845:25675387:-1 gene:OB01G42220 transcript:OB01G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDVCVNRAVERSSGALELLRDAREVLPLVEGVLQLHLRRPPRAVGGEGAGAVRAATLNFVHVKHLGTKGIPDRDEHHAVVSQLEQHLRCSLLTTALSPGAEEDASGLACKALLPPEATGCIKECFHLCSHHAKPCREPK >OB01G42230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25680089:25682249:-1 gene:OB01G42230 transcript:OB01G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSASSSQRSHGTSACSAPAPPLTDRVSCGWTSPAAAVVAESTSSVTFQDPSRSSATHHQPLSDVASSLGDPHMDWTQAFLSGRSDASFHAVLQDDVAASTRSFRAQTTVIDETVMNNPFRDMGVGQGLLLDKASSLASAPYGTVQLQGLSFDSGEGEPVGAAHNITTTFGDYQHSASYDDAAAMQFSQTPRPSTLPAAAQMQLLSGSYQLPFGSAPPPSQLLLQAMQPKPSCSSNANTLMAKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVASLSSPYLKNGNPLQHFQQKGSESSKETGEAKPDLRSRGLCLVPVASTYTVASETVPEFWHPTFGGTFR >OB01G42240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25716791:25719630:1 gene:OB01G42240 transcript:OB01G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKPAPACGYAPGAHAEALEFIEHVTANAGQVQRRVLGEILAQNAPAEYLRRHGIPACPDAVDAFRRLVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSLLYSLLMPVMSQSVPGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPRDPYTAYTSPDEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWARLCHDIRTGELDPEITDRAVRDAVGRVLRADPALADAIEEECARASWEGIIRRLWPRTKYIDVIVTGTMSQYIPTLEFYGGGPPPAGVHHPRDVAYTLIPTMCYYEFLPVNCSNSNAEPSHRDLVDLVDVKVGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRQNVALSVDSDKTDETELHAAVSGSVQHLAPFGASLVEYTSYADAAAIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQGRACDRSIGPLEIRVVAEGTFDKLMDYALSRGASINQYKAPREVAYTLIPTMCYFEFLPVHSGNATTEPDHRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFTFVRRKNVALSIDSDKTDETELHAAVSEAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRTPAGGTPVPASVFEDCCLAVEEGLNSVYRQCRAADRSIGPLEIRVVAEGTFDKLMDYALSRGASINQYKAPRCVRPGPVVDLLDDRVQANYFSPKCPKWSPGNKQWNKSKDLVSNGDV >OB01G42250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25726594:25726962:-1 gene:OB01G42250 transcript:OB01G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding XDALHAAGVGDATGVDLVDFPPLVRRADSHNLPFFDGAFDIVLSDDPMALTGALFPSRFAAEAERTVCHDGAITLAIERHIDLSIVASFFNNSRVMEAWNATLDGSAVSMVIFLRKNGKNTK >OB01G42260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25727809:25728705:1 gene:OB01G42260 transcript:OB01G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHAAATFHDLPPQHKSTMTFNALLSSYAEAGEFDALAAAFKEIPASNPSVVPSVHSYNIILHALCNKPDLSAALDTVALMEKCGVLPDLITFNTLLNGFYNHGRLDGAGKVWEMMKERNIVPDVKSYNAKLRGLVAEGRIEDAVALLETMEKDGPRPDTVSYNELIRAYCKDGRLEEAKNLYEDLAKNACASNRGTYHALMPCVVKAGELDYALKCCYEIFSKKCRVDCFVLQEVVNALVTASRMEDAAKVVELGWKNNYPRRILKMPCAVEGDKVLEETDGEDSTPEEQEEHENP >OB01G42270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25730204:25730512:1 gene:OB01G42270 transcript:OB01G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFCYYSSGADPETISDKNEIFGVSFVSPFILKDYKNFSAMLSFRPSTGGVFCKLVYLISVLSVLVVIYYYLGHSKLVIWRKFYSFSHLLQRIVEGEFIIKEKR >OB01G42280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25736097:25737581:-1 gene:OB01G42280 transcript:OB01G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTPPRSPNLLLDRDGAAPGVTAHRASCEDAVKSGGKGAVGLSCHRCGEQFGKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGAAGSSSSLCASDKCAVCRIIRHGFSARKEGKAGVGVFTTSTSGRAYESIEVPGVGGGGGGDDPAATRRALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKA >OB01G42290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25743885:25744318:1 gene:OB01G42290 transcript:OB01G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDTNNGANDGANDVCAIKKVHSASRGVDAQAGQVYAHELFDNPLDPIKRRLVHEEQTANEGFGQVPRKPTNHSKHTGRDPYDAYSKRRACKGRDKFNHDEIKPYYIDGEEDNDDYYYED >OB01G42300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25750826:25751944:-1 gene:OB01G42300 transcript:OB01G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKAAICIAAAVSLFHVVLAADYTIGNAAGGWGGEYKAWVASQKFAPGDTLTFKYSSYHNVVEVTKDDYEACSATNPVSADSSGSTTIVLTAPGKRYFICGAPGHCQSGMKLEVDVADRPAPETPSPPPSLPPSPRHAKRRPAPAPMPLPPAPAPAWSPAPAPAATQPRHPGHKKHRSRHLPPKPAPAMAPTVQSAEADFPLADFAPMSSSPPPPPMSSDAEAMRHQKWSDVIVGLVALRLVVLAV >OB01G42310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25755079:25758662:-1 gene:OB01G42310 transcript:OB01G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLGSNLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVTGLSLKTATSFSTFMVTGGTLSNVLYTLIILRGHEKGGQPLIDCDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRILEGGSAVDHGTGEALLGQKEGDGRRRQWVDFVVLVAIWLCFFVIHLFIGGDGAKGVFNIKPCGVTYWLITVAQIPIAVAFTACIVHQKRKSHTQNSQESDQAISVKSKLEALPVYVFPIAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTAASTTMFMVLFCASMSMVQFIILGVDGMVTAIAYAITCFVASIVGLVVIEGAIRRSGRLSLIVFMVAAILALSVVVIAFSGAARVWAEYTSGQYMGFKLPC >OB01G42320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25758584:25763596:-1 gene:OB01G42320 transcript:OB01G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPPAGRARPVLTRRRRVWARPRPEHRTRMAPLLPGRVRVERRRRRWWVAVPPHPEPRGGARPQARHRLLVLHGHRRGHIQRAPQPPLHGRPRRPALRGGADRLRHRAALPAVPAPGGEHRGGVQRHVPGVAHHRALRAVPRLLRRQDVPRRAPPPPPPPPPAMPPRTTARRSPCCCRAAPTPSPAMAAPEPATRGSRGRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVMVWLCFFVLHVFIGDKHGKGMIRIKPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVVHDQEDGKTNPERSKMDTLPTLLFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGMKGIAEASVYAGICFVASAVGAVVIEQAIKKSGRVSLIVFLVTGIMALSTVIVTFFGALDVWSQYTTGAYMGFKMPC >OB01G42330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25764885:25770429:-1 gene:OB01G42330 transcript:OB01G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) F [Source:Projected from Arabidopsis thaliana (AT5G54730) TAIR;Acc:AT5G54730] MRNGAQAPRGSGGGGVFSARSLSNYMRIMSSGASTAASSLRSAGASLVNSIASHDEDGIRNQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHADDVRQLESRHDGTVSFMQLLKKPIASMNGEDKFADERPLLALACDGTSTGSLISHDSNGPILNGANGTYHNIGNENLPTVLRFYSLRAHDYIHTIKFRSTVYSIRCSPRVVAVSQANQIHCFDAATLERVYVTLTSPIVSPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLAPPPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKCYSDLIPSSNNAINQRNSGFKANGATTNGHTDSEYSGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSHGSSSEATPNGTCVHLYKLQRGITNAIIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYVMDSSVKHTAHWSQNSTPALSLNQKMLSVSGPPVTLSVVSRIRNGGNLLKGAVHGVAAFATGISSPISGAIASTFHNCKGAVKNPDGSSPCMKYYLLVFSPSGSIIQYVLHRSVEQDPAIDFPLSAISYGSQRETDTRFIIEALQKWDVCHKRNRRDSAESFVYSDFDNGENGKLFQKVAKKGTSVYPSNGTGVEKLKLNGDDNHNFYISESELQTHVVPTPLWSRSGMHFQVMGDGTLEADSTGTISGEIEIEKVQTRNIESRSKNLIPVFESLHASRFQQTRVSTPDSNRYGLLQRQKSGFSEDGRLSCRSSCSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKDPNVNARSELVNNTQSLNTEAQLGFVNNKEDCEDREQLLDL >OB01G42340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25774631:25776379:-1 gene:OB01G42340 transcript:OB01G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L4S8] MALLGVVVRALIVAAAAAVASGSGLPVPGYDGLAVGFYRKTCPQAEELVLAEMREIVAEDRTLGPALLRFMLHDCFVRGCDASIMLISRNQTGERDAVPSYSLRGYEQIERIKSRLEEACPMTVSCADIIVMAARDAVYLSKGPRYPVETGRRDGKVSCDMDANNDLPPPGSNIVDLKIYFSVKNLGWKDLVVLSGSHTIGRAQCGSFAGDRLYNYSGQGKQDPSLNATYAPELRKACVAGDPKDETFVEMDPGSPYTFDLSYYREVYSNKGLFVSDQALLADKWTRDYVARMASAYSTDEYFRDYAEAMTNMGRLEVLTGDNGEIRKVCSAYVD >OB01G42350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25782884:25786388:1 gene:OB01G42350 transcript:OB01G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRREADRGAVPEFVAVDIGGEAESPEAEAELKKVESSFAGKGLERERSGDANPSTTGVLCAYEKQVVPVHVDGSPKEQFHPSTPTASGAKRRRTGRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGRMAGGQADGQ >OB01G42360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25790813:25794288:1 gene:OB01G42360 transcript:OB01G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMACKHLVLVHGACIGGWSYFKVAARLRSAGYRVTAPDLGASGVDPRPLREGPALLGSLPPGEKVVLVGHSLGGVNVALAAELFPEKIAVAVFLCAFMPDHTSRPSHVLERFIDEKWLDWMDTEMKPQDAEGKLPTSMLFGPQIAQEKLMQLCSPEDITLAGSLLRVSSMFVEDLQAQPPDLAIPEGYQRWMIGNTPVDEVKEIDADHVVMLSRPDELARCLADIAESYAA >OB01G42370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25795811:25796250:-1 gene:OB01G42370 transcript:OB01G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCTKKLPCSLVTWLNLSWCSSPASWRLPLTKTRMPPGKDGVGWQSTVQTACRHCSKGSAASFRPISSAPATMWPSKESMEVWP >OB01G42380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25805837:25813198:1 gene:OB01G42380 transcript:OB01G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3L4T2] MRQSDEPLLSSPSPGNAYPCKVLSATLFSLAIAAAFLLLTPTAPDLCANSPDPASCQTIVADAVLASSPHAHRPSRPAQILRAIIATAVLTDHVTCLDGLDADGPLRDSVGAHLEPLKSLASASLAVLNAVGGARDVLAEVVDRFPSWVPARDRALLEAGAGAVQADVVVAQDGSGKYKTIKEAVDAAPDGGKSRYVIYVKKGVYKENLEVGKKKREVMIVGDGMDQTVITGSRNVVDGATTFNSATLALSGDGIILQDLRVENTAGPEKHQAGALRASADRAVINRCSLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPAAAQKNAVTAQGRTDPNQNTGTSVHRCRVVPAPDLAPVAKQFPTFLGRPWKEYSRTVYMLSYLDAHVDPAGWLAWNGDFALKTLFYGEYQNQGPGAGTAARVGWPGDRGITDRGPERRHAVHRGAVHPGRRLAQRHRRHLQRRALILDCCRWIVSLTAAS >OB01G42390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25812226:25812987:-1 gene:OB01G42390 transcript:OB01G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPTVNCMATLRSPVRDAAVPGPADAGGGAGAGALVLVLAVEERLEREVAVPREPPRRVDVRVQVGQHVHRARVLLPRPAQERRELLRHGRQVGRRHDAAPVDGGAGVLVRIGPALRRDRVLLRRRGAPRREHAALEHGRRVAEHEVHRAGDGAVAVELPELVRVQGVLVAVQAAAVDHGAVGARAEGPRLVLLGPRRVLHPEVLEDDPVARQGCTRYASKINLVSIHHLYMMSLLAANTGKIHADCSCTIK >OB01G42400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25813801:25816768:-1 gene:OB01G42400 transcript:OB01G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDAVFRPLKDYFARTFGYVMSCGDYIDMLGHEMNELKSKRDDVKRLVDVAERRGMEATSQVKWWLECVARLEDAAARIEEEYQERLRLPPEQAPGLKATYHLSQRADEMFTEAASLKEKGAFHKVADELVQVRFEEMPSAPVVGMDAVLERLHACVRHGDVGIVGIYGMAGVGKTALLNKYNNDYLVNSPDINVAINIEVGKEFNLDDIQKIIGDRLSVSWENRTPKERAGVLYRVLSKMNFVLLLDDLWEPLNFQMLGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLVYSSTEIQEQAKALAMKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMDVLMPLKNSYDSLPSDKLRLCLLYCSLFPEEFSISKDDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGVLKIASLLVKGDDEDHISMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYEKPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSIHELPSGISSLVELQYLDLYNTNIKSLPEELGALVTLRFLLLSHMPLELIPSGVISCLRMLQVLYMDLSYADWKVDESGNGVEFQELESLRRLKILDITIQSLEALERLSLSYRLAGSTRNLLIKTCASLTKIELPSSRLWKNMNGLKRLWIVSCTNLSEVIIDGSTETDPRCALPDVSLQARGDLLNAEQPILPNLQNIILQALHKVKIIYKSGCVQNITSLYIWYCHGLEELITLSDEEQATVANSSEQAARIFRDITPFPNLKELYLHGLANFRALSSSTCVLRFPLLESLKIVECPKLKKLQPSAGNLNAVQCTREWWDALEWDDAEVRASYEPLFRPLH >OB01G42410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25823143:25824601:1 gene:OB01G42410 transcript:OB01G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGVRVMMQRWVVVVVVACVVASVSTASSASAFVFKAGGTGEWRVPEQASNVSAYNQWAEHNRFSVGDAISFSYQPGNDSVLLVDKNSYDACNVNSPIDRFADGNTVFTFTRSGPFYFISGNKDNCNRNEKLIVVVMGERAANGTAPAQAPSAGTTTSPNSPPSPPPPSGIEISPTPEQSTNAAVHPRAAAGIAGAAIGTLLYALV >OB01G42420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25825961:25831336:1 gene:OB01G42420 transcript:OB01G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQQQSGGNLSSMAPMNGVAGGAATRLMIDRNPASIFTCRYPLMDIDSRLSLHINDVEQTGATVEAGSGSSAGNSNNTHERKKRRLQRLNKEQSEIIEGFFCICGHPDEGQKRHLSQATGLGLDQVKFWFQNKRTQVKTTCWKEDNYKLTVENEILRDENRRIKIAHCYAICLTCRNSAVHNQLAAEMERIKGQTEWLQQEIARSNGANANLAFQLDSSAENLFSGQHDHQMIAELAKNAMHGLIILAEAHVALWLPVPGYSFETLNMTAYQQAYPGGDGANALGFRTEATRADAMVMMDHKSIVDFLMDPYSYRTFFPGVISGAVTNRIYSWPTNDGYNGVIQLMTVEMMFPSPLVPTRKCTFLRYCKVLEEGAVVVVDVSLDNGSGLSKCRKMPSGFLIRSVRPNACKVAAIEHVRVDDTGIHELYQPCLDGLIFGARRWVATMARQSARLRDVYLSKTALQVSTKGRKNLMKLADDLLASYASSVSATGAGTWTVVIGAGTEKDVRVAYRGITEGSSSTNAVLSVSASLRLPLPMRKIFDLLRNLTLRSKWDVLVHGSTVKEEVSIARGVGSDDAVTVLHVKRAPGENKERTMILQNNGYDVSGSFIVYSPIDSKLMDTMVLGPSELPSGASGLSLYPTGFSLLPDGEAARESSGMDLGDVGGTLMTLGFQIPVKLATGNGMYPRSVTSAIRLMTDTIGIMKRTLMEDHSDIYGSGPFNA >OB01G42430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25832587:25834602:-1 gene:OB01G42430 transcript:OB01G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRRRLPLPISTRAFSPSPPSFGPHEIPTVYSFLQPSVFAPRPKPQPPPPPTTPPAPGRAPKSLPVGDAVALEDELLAAVSEDRSDDAWLAFRSLASASLSLSPPAAAALVSHLAAAHHRLGLKRAFAAAVFLLEKSPHAEPVPEAALQAVFTSLAAAGSAAPALALVRALLRCGRRLPPFSTWGSPLVEVTRADTGAFAAFLKVFDEACKQVVAEKSPSSAAAIRPDLSSCNAILGGCCRLLGSVTDAERVLETMSAIGVSPDMDSFGCLAFLYAWRGIPSRVDELDNLLDALGFSKKTFFKNLISGYLKSCSFESVSSVILRAVEERRVGDGSAFDLESYTEVAQFFVDSGRIRELAQLIIKAQETESLQQSLAVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGIQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDSVVDDLRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >OB01G42440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25835820:25837586:-1 gene:OB01G42440 transcript:OB01G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASSGAAGESSSAAGAMGVEKPRFEALAPSEMSGGRPQFRKVPVPQHRFAPLKKAWMEIYTPVYEHMRVDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >OB01G42450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25839300:25843062:-1 gene:OB01G42450 transcript:OB01G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cardiolipin synthase [Source:Projected from Arabidopsis thaliana (AT4G04870) TAIR;Acc:AT4G04870] MALLKTLNPLLRRNRTPIPNLCSLLPLDTFLASSSPAAASPAAAPAPFAAAAHHGVPIRSAGPLFLSSPPWMLSQSATPLTAAAAALRAKLRRARALAGGGAQAVADAVGWEPRRISRVESEVAGAVLSGTGSERFLNLPNLVSIGRMVSGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVVGSYLDPLADKVLIGCVAIAMVEKDLLHPWLVGLVVIRDVFLVGGAVYKRASSLGWKWNSWSDFVNLDAIQREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITILSWTVAFTTIVSTVGYGIKYHQIRPRT >OB01G42460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25844446:25846247:1 gene:OB01G42460 transcript:OB01G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTSAVRRCCSARTLLRGPCTCRCPSGACRQCGVRGKEEASTSSSASAPDKKRSRKKRFWRKKKKAKEYYGDAATADADGEHASRRNDNEAVADLVNDISSKSDVCNVYAAEGILRITHQNIPSRVLKYGQLCNATDSFSPNNLLGEGGFGRVYRGHLQETNEIVAVKQLDKNGFQGNREFLVEVLMLSLLYHPNLVKLLGYCIDCDQRILVYECMQNGSLEDHLLDLSPKAKPLSWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKTSNILLDEDFNGKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLEIITGRRAIDTARPTNEQVLVHWAAPRVKDKKRFMTLADPLLEKKFPVKGLYQALAIASMCLQEDASCRPMISDVVAALSFLAEQKYHPQEDTDQAARKNRDRNSSNPPRTDMVSEIKADDEIKQR >OB01G42470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25850165:25852429:-1 gene:OB01G42470 transcript:OB01G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLRVAALCALLLCAFAAAAVVYAVLLLSVSASPCAFSSMSRTLLAVTGLDSYIVPCADVEASAGELLSSNDGGDAKTITTGGRPIVTDVLCGKPNLPPNALPPYHCCPPVPASEPVDFKLPDPSEPLRTRRPARAAGSGAEEQHMAKYERAIALMKALPRSDPRSFFQQANVHCAYCTGAYRQAGYPGLPVQIHFSWLFFPYHRAYLYFFERIAGKLLGDPGFALPFWSWDVPEGMRMPLAFANASSPLYDPLRNANHVPHKLVDLDFMGAEKNYTDEQQIVHNLRIMYKQMISSASLASLFLGQPYRAGESDKPGAGTMEVYPHNTLHVWSGDISHPNNEDMGDNYSAGRDPLFYVHHANVDRLWEAWRHIRNNSFHVDFTDPDWLDSSFLFYDEEARLVRITVRDILDIEKLRYAYDGVDLPWLNARPPITPNVNLRNGGTSPGFIRFPIYLNMALTTEVRRPHVLHNLPKKMIQDEVLVVEHIETDGTSIVKFDVFVNAREYEKVNPSGREMAGSFVCMKHNDNDDNLISKGVNTTMRLALDEILEDLGAKEDATVTVSLVPRHGKVKIGGLRIDYIVGE >OB01G42480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25855338:25856590:1 gene:OB01G42480 transcript:OB01G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRPKSRLAISLLKTSPPPPPDQILSICRAAALSPDSHLDRVALSLAASKLSSAPDSLRDLASSLIPHHAPHAIALYGQAGLLPDAISTFKSSPSTRSLNALLFACLVSGNHAEAARVFQTFPDAHFVRPNTETFNTIIKSFAESGTTRSFYSVLDEMCKKGVKPTAATFTTAIAGFYKEERFDDVGKVIELMKKHRCGETLPVFNVRVQGLCKIGRSGEAKALLNQMMKKGIKPNWLTYNHLIYGFCKEGDLEEAMRLYKEMAKKGLVGDSRFYFTLVYYLCKGGDFDAAVGVYNDVAARNWVPCFSTMKMLVNGLAGSSRVDEAKGIIEKMKEKFPDRAEAWKEVEEALPQ >OB01G42490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25863852:25866407:-1 gene:OB01G42490 transcript:OB01G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQRRVSPLCVLLICALASATVVVYTVFPASLSPCAYSLSRTLLAVTGLDSYAAFCTDEATTPELLSDGGGRAETLGGGPIVTELLQCGRPKLPAKAIPPYQCCPPVSASEPVKFTLPDASEPLRTRRPAHAAGADHMAKYERAVALMKALPRSDPRSFYQQANVHCAYCTGAYRQVGHPELAVQIHFSWFFFPFHRAYLYFFERIAGKLLGDPGFALPFWSWDVPEGMRMPLAFANVSSPLYDPLRNPRHAPPKLVDLDFSKLGEKNLTDEQQIQHNLRIMYKQMISNAPLASLFYGQPFRAGQADKPGAGTVEIYPHNTMHTWTGDISLHNVENMGVYYSAGRDPIFYPYHSNIDRLWEIWRDTTTVSGRHGHAATVFTDPDWLDSSFLFYDEEARLVRITVRDVLDIDRLRYAYDDDGAGTPWLDARPPPTPDVNRERRGELPESVRFPVLLNATVTTPVRRPSVLRRVTENVDLEEVLVVDGIVTDGTSTVKFDVFVNAREHEKVELGGREMAGSFVCLKHHMNDGENGEGVETTMRLALSEILKDLGAEEDESVAVTLVPRYGNVRIGGLRIEYIVGE >OB01G42500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25873063:25877620:-1 gene:OB01G42500 transcript:OB01G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSLKRLALPAAVLLLLLAAYRPFLPGLLEAPFRAPVLPRDLLPLLPWPVAQPLLRRLALRGAADLLPAFVGVAREPSDGDAPAAGWKGACFYENRAWMEFHNGTEGGLGGGTLHLETNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENANLAFLKKHMGATFEERPKPWVSELNPDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILSWEEWWDFEVTKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWEEALNKRLGTKGLDLPEIIVESEKRGTTFDKLLTIPEQDKWVYTDGQSASCVAYVLMMYKDAGLFDPISNSIEVTEFTIKDAYSLNFFEDNMTRLPVWCNKDDSVELPFCQIKGRYRMELPGYNTMQPYAHMNEKCPSLPPNYNRPENC >OB01G42510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25877267:25877707:1 gene:OB01G42510 transcript:OB01G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTTKAALGPVVELHPRPVLVEAGALPTGGGGVAITWLPRDADEGREEVGSAAQREAAEQRLRHRPGQEGEEVAREDGGAEGRLQEAREEGPVGGEEEEEDSGREGEALEAGGSSHGRRLGLGPASGSVREVSVAASVGLPPRP >OB01G42520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25886309:25886632:-1 gene:OB01G42520 transcript:OB01G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAVESWADGDEWEEEAAASSSSEDDHRERREHAAEVTIRITKRQLHELMEGKAGHGRSRRSTQQLLADIMNSGEVHHHDQHREAHWKPALQSIPEAVES >OB01G42530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25889898:25890218:-1 gene:OB01G42530 transcript:OB01G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKSQRAAASWADDGEWEDEEERQHQLHEMAAAEKMERVEVKIRVTRRQLQELLEKAAGEGKGRPVEKVLAEMISSGKVCYEQEAASRHWRPSLQSIAEADES >OB01G42540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25894364:25894699:-1 gene:OB01G42540 transcript:OB01G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTQHAVSWADDGEWELPEEGTAPTSGAHMTEVTIRITKRQLQELVDKRAAAGGGGALGHHIYRKSRRSAAELLADIMNAGEVYHQHYKVAHWKPALQSIPEAAMES >OB01G42550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25907344:25909814:1 gene:OB01G42550 transcript:OB01G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETEMEEEARENGGVDSVLPMASLISPSGNEVQLSELEGKIIGLYFAANWYPKCEAFTPALTAAYHRLKEHGAGFEVVFVSCDESRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPDGEVIQPDAVELVHRYGDRAFPFTLARVAELEADEQRKFASQTLEKIFSINGKGYVNGSQQQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYINLKGKAQDFEIIYIPMDKEEDGYLQSCSDMPWLALPYDDGASSGALARYFDVREIPMLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEAAKGYPTSLRHTGHRHELSIVSDKSGGGPYICCECEEQGLGWAYQCIACGYEIHLRCGRDMEGGSAGGRD >OB01G42560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25910006:25911114:-1 gene:OB01G42560 transcript:OB01G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGTGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLDKAGIAKKESIKIHGF >OB01G42570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25915636:25929000:1 gene:OB01G42570 transcript:OB01G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHTCRQRLAPLLLLCFWMLIIRAHGSRKLYITYLGDRKHAHPDDVVASHHDTLSSILGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVVSVQRSRRYKTTTTRSWDFLGLNYQKPSELLRSSNYGEDIIIGIIDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSSNCSRKVIGARFYHAGVDEKELGMDYLSPRDANGHGTHTASXXXXXXXXXXXXXXXXXXXXXXGAPRARLAVYKSVWGSGRGAGSGNTATVLAAIDDAIHDGVDVLSLSLVVLDENSFGALHAVQKGITVVYGAGNNGPAPQVVRNTAPWVITVGASKLDRSFPTVITLGDKRQIVGQSMYYEGKNSSESSFALLEYGGLCAKDDLNGTDLTGRIVLCISLETPVTLFQLALENVLDAGASGLIFAQYTTDILGITTACNGTACVLVDLDAANRIGSYIRDASSPVAKIEPARTVTGGVLAPKVAAFSSRGPSIDYPVIIKPDIAAPGSNILAAIKDHYQLGTGTSMATPHVAGVVALLKAIHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCTIKTSVSCNATTLPGYHLNLPSIAVPDLRDQTTVSRTVTNVGEVNAAYYAEIQSPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIQIAVRITIQDFYADVA >OB01G42580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25934472:25937889:1 gene:OB01G42580 transcript:OB01G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLSSQLFSCCLLFSFCLILVRAHGSRRLYIVYLGEKKHDDPTLVTASHHDMLGSIIGSKEEVLASITYSYKHGFSGFAAMLTEDQAEHLAELPEVISITPNQKHELMTTRSWDFLGLNYEPPSELLQRSKYGEDIIIGIIDSGIWPESKSFSDHGYDEVPSRWKGICQLGQAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDHNGHGTHTASTAAGAVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGDAGGIYLPTAAVLAALDDAIHDGVDILSLSLVVDEDSFGALHAVQNGITVVYAGGNSGPRPQVLFNTAPWVITVAASKIDRSFPTVITLGNKQTLVGQSFYYKLENETESRFESLVNGGNCSREALNGTSINGKVVLCTELTFGPIGKYFKDVFAGVFQGGASGLIFALYTTDVLLSTEDCQGKACVLVDIEIGFQVATYIGSESLSTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKKDAYVFNSGTSMATPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDKHGMPMLAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHTIKIRRSVTNVGEVDAVYKSFIESPLGVKMTIEPSVLVFNARKKVNAFKVSITPLWEVQGDYTFGSLTWYNEHHTARIPIAVRITIRDFYADVA >OB01G42590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25941651:25942061:-1 gene:OB01G42590 transcript:OB01G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVCVPCPLMYRADIWLVPRPVLADTHPSIVLVLKLTGWLVVYS >OB01G42600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25943089:25944157:1 gene:OB01G42600 transcript:OB01G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKIEPADSITGKEVPAPKVAIFSSRGPSIEYPTILKPDIAAPGVNILAAKQDGYVFNSGTSMATPHVAGVVALLKAQHPHWSHAALKSAIVTSASTKDEYGMPILAESLPRKVADPFDYGGGHINPNGAADPGLIYDIDPMDYNKFFACKIKKYEICNITTLPAYHLNLPSISIPELRHPIKVQREVTDVGEVDTLYQSSIQSPLGVQIDVEPPTLVFNATKKVNTFKVTMAPLWKVQGDYTFGSLTWYNEHHTKDPDSSPDHNPRFLCGCCVAMQKIT >OB01G42610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25947369:25951399:1 gene:OB01G42610 transcript:OB01G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSSSRSFPAYLLFWLCLLMIRGTYGSRKLYIVYLGEKKHDDPTLITASHHDMLSKVLGSKEEALASIAYSYKHGFSGFAAMLTEDQAENLADLHEVISVTPNKQHELLTTRSWDFLGVNYQPPSKLLQRSNYGDDVIIGLIDTGIWPESRSFSDHGYGPIPARWKGVCQLGQAWGSTNCSRKIIGARYYAAGVDKADLKKNYMSARDMIGHGTHTASTAAGSVVEGVSLHGLARGVARGGAPRARLAVYKVVWDTGNSLQLASAGVLAALDDAIHDGVDILSLSLVADEDSFGALHAVQKGITVVYAGGNSGPRPQVIFNTAPWVITAAASKMDRSFPTTITLGNKQALVGQSLYYKLQDGYKNGFQPLVHGGNCSREALNGTTIKGSIVLCIEETYGPVINFVDGIFGRVLGGGASGLIFGLYTTDMLLSTEDCQGIACVLVDIDIGFQVATYIGSQSMPVAKIEPAQSITGKEVLAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNVLAAKEDGYVFNSGTSMAAPHVAGVIALLKALHPHWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGHINPNGAADPGLVYDIDPMDYNKFFTCKIKKYEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLIFNATKKVNTFKVSMAPLWKVQGDYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >OB01G42620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25948735:25949129:-1 gene:OB01G42620 transcript:OB01G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADIWLIPRPVLADTHPSTVRVLKLTGWLVVYS >OB01G42630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25954843:25956756:1 gene:OB01G42630 transcript:OB01G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSSFLPVCALVLVMLCVASLMGVTEGRRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRCWPRRPCSCSSTSRHD >OB01G42640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25958588:25958997:1 gene:OB01G42640 transcript:OB01G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPQPQSQAAPHRYRRCRMALPCRLQQLTYCVTHFIEKDLKLASSVIIGLLKYWPITNSQKEVMFLSEIEDVLEATSIRLNSINVWWLCLSGLLIVSRVLTFRVKILGTFLGSFCAYTWWTFRM >OB01G42650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25960222:25960797:1 gene:OB01G42650 transcript:OB01G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPFSVLCLLVLLCAASLVDVTEGQRGGGGGGRGGGGVICVDKFFGKCLDYRHDRKRKCD >OB01G42660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25960884:25961117:1 gene:OB01G42660 transcript:OB01G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFLCTCLLNACWSSSLYSRRPPPCLLPDASIVRNEIVQFFFLLTWRLTCEIYNHRVHVSATKLEKDYVSETLLL >OB01G42670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25971881:25972114:1 gene:OB01G42670 transcript:OB01G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRLLPFCAICLLVLLCAASLMDVTEARRGGGGGGRSSGGGGSRGGSSDSPRGLSGGTWAACVTSSLIAAAAVLL >OB01G42680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25974593:25979049:1 gene:OB01G42680 transcript:OB01G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15290) TAIR;Acc:AT3G15290] MATQAGAKEIKAVAVIGAGQMGSGIAQLAAAAGCGVLLLDSDPAALSRAVASISSSLRRLATKGQLSQSACEHSIERIKCVSSVQELRNVDLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISVTRLASATNRPCQVIGVHFFNPPPIMKLIEIIRGSDTSEEVFATVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYGKRSSSIKPRSSL >OB01G42690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25976892:25980012:-1 gene:OB01G42690 transcript:OB01G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMCLLIAAGLKQYDPDGLEAAVIEATIQGVHVIRHDIKKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFFTVLTEPLNFANESFIVRKVRKFETYIVNYWYRKGHRPIKHPLLPDARQIVKFDPYKDPMAPGA >OB01G42700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25982433:25987315:-1 gene:OB01G42700 transcript:OB01G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRLSSSPSSRPRDHVETNGMAASSSSSGAAGGSGSGGGGGGGGSGGGVSQAPRGGAAAKRTADPQPQREGDSDAEFGGGVDGDSESSQSDGDMDEFIVVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRAKTVVPDIAPTGSDDEGREEANAIDATKESSSADDHCPDLRPKRGRKRPASRASPARTIGSSDNGFEETDELIGGKESFTTSPLRGEMLAWGKNGARSQTRHGSVGGSNGRMAKSGRVAKLVDHLRTTDDMDKQFNLHLVLLPLDEQSTPNLEKPYISCRPTLSIRHLVQFIALQLSLQVEELELFMRMDRCIGSVATKDSIGNGKMRISDGLERIGEDKLLLELHPSVTSHHGDLELLYALKAQG >OB01G42710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:25998415:25998600:1 gene:OB01G42710 transcript:OB01G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAPLARTVGGDLLHPGCAHPIMCSFLAYSTQKWLLDRTHVDKRIAGTGSAGLRLTWKATTE >OB01G42720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26001598:26002308:1 gene:OB01G42720 transcript:OB01G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVATAEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRFAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMVECGGSPSSNSTVDTNGGGAQTPMQAMPLPPTLDLDLFHRAAAVTAVTSAGVRFPFKGCPVARAAPLLFFFSKRAAGAAAAAAGYRMVKLASPVTVAAVAQSDSDSSSVVDLAHSPSGVAATKAAAAFDLDLNRPPPVEN >OB01G42730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26017497:26020384:1 gene:OB01G42730 transcript:OB01G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MPRPNKIGGSQMALLSPPSPPPPLAPPRRRFASPALLAVSKRPSFLLSLPHCHCGLLPSTANERASCRRRCRHVAASLGQDEPGVSDTAVAPDGEGDSEPPVSSDGDADDDVAASVEQAEASPEDLENILQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDVERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEIEAPKKKSKPGKSVYAKATDTGIDPEAAAKRLNIDWDSAADLDDEEEGDDEAEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >OB01G42740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26020722:26021393:1 gene:OB01G42740 transcript:OB01G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSEGGSSFREKHASFKKEEEAGGVAVDDEEDDQDGRSHATGRRSRVGSSVTDVSSNSSINYRRTRQDRFAGDGFWCGALCLPLPGLTRRRPMQQQQSMTLSEPGARASTAETRPSGASIKGASMERFKYSSSSSGVVFERAGGEEEEEQEPSAYFDLPLELLRSSNVDTESPVTAAVPFDSRPRTSTCRWSCSGAAMSTRSRQSRRRSSSTADAASARRRS >OB01G42750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26023940:26024407:1 gene:OB01G42750 transcript:OB01G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRLSPEKPRRAPSSPPDLRRAGNGGEARDGVKCTSLCLYLPRLLKKKRPAVLRPATMSAGPKGAQQKAKESAPRVPSRWPSSLPRAVSAGAAGGTSASQLREASASFSFSHWSRSQVHRRVTPHGAALGPFSFPSSPASTSSGRSTPKLARG >OB01G42760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26028639:26030533:-1 gene:OB01G42760 transcript:OB01G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILLSFPVPVELLLRLLLLLVRFRNSARLENLCTPVLGTGNETYAVQRQKTTYSLKSSHQKDLSPGLAMASGSASGKASDATMASGLAMPTALGSGTQNPPRLRASIIAYYGHSQPNN >OB01G42770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26033836:26036536:1 gene:OB01G42770 transcript:OB01G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGGVAQIDGRPVLQPAGNRVAAPEGARPLKKSLQKSLSMPASLDNAAAPPTCTATPENTRASDFARAAAAALLPPPTPASVNAKATRVAGAKVASARAAATAAAMGSLDRSRKPAKKAGGAVLPVVAFAGLEAYEPAGSIAAAQREHAALAQAQRKMRIAHYGRTASFSRVEGKVSATATGTAELVTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDGLLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFDVDAVAKYTEKQMASLSAEFGLDLGTIRGAVNNACRITEVLSPSCDQINHDLSETSHLKQEHISSYFHRRVMCSNLNFSIDSSDRAHSPQLIFCISFLVFNFRLMNRPDRTVPELDNRNFTKKIKQGQ >OB01G42780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26041444:26044265:1 gene:OB01G42780 transcript:OB01G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELRGCHNDVDRMHRCLVDRFDFDEDDIRVLVDRDSSGTQPTGANIRRAMARLVGDARPGDFLFFHYSGHGTRLPAEKGQDDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDKAKEQIGNSTKQNQTQSREREEQSDSGSGFRSFLKGTVRDVFESKGVHLPPSRHSQSHYGGDGQDETYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQEGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEETFKPAIEQEVNSVDEVYAGTKTWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILAEKRGDVSNKDLVIKARELLAKQGYTQQPGLYCSDEHVHVSFIC >OB01G42790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26045628:26046173:-1 gene:OB01G42790 transcript:OB01G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNLRAVVVFFVVQVCLLVVMAASSTAQGRAGAGTACGGGVGAVVLPLPPGLLPGRRRRALIPDLSVSPRPPRRTICSLMLPSNDISGYFRNKVGEDDLCRTYMFFYVKEDKNAGMKWRKFQHVLRMTTPKYVYAKSNFTIFE >OB01G42800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26047827:26048568:1 gene:OB01G42800 transcript:OB01G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGSGWVAMAALAAAQHSGNRGQQVAAGCNRVTDLPPCTVHGAACSIASRQTCATRRATETRRLPVHMGLESSIGNEQEHTTNSSAAEIMATGSTWAVFFGVEDDYPNTTINNPIQLIFLNP >OB01G42810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26048150:26048350:-1 gene:OB01G42810 transcript:OB01G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGNLRVSVALLVAQVCLLAMLQAAPWTVHGGRSVTLLHPAATCCPRFPECCAAASAAMATQPDP >OB01G42820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26051194:26051391:-1 gene:OB01G42820 transcript:OB01G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTANLRVFVVFFVVQVFLLGMLAAPWAVDAGRSAAAVYPFDCCPYIPKCCGGIGATAMATKPKP >OB01G42830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26052672:26052836:-1 gene:OB01G42830 transcript:OB01G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFAIVATARVPRRDAMWVTRRGSVPWATKPSDRTGGALAGVGWEAGRRVKNE >OB01G42840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26052820:26053768:1 gene:OB01G42840 transcript:OB01G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSMGALAAADLEEKRVLMYIVDVQGDKRIYWKLFRNSFEKQTLHPILIVDNLNFHLAIGSFFRLLQLLQFLMDGWDWILAQIQLLHSVLPWKLLRQSFGMDPWEFWNLKNLQWELRQLQRSWQS >OB01G42850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26056899:26063322:-1 gene:OB01G42850 transcript:OB01G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMGTEEDTKPFVSPITSAPQCLRGFSTCQAPPLERVARRAAEHEQQGPCVLNQAATELPEECATGRSRSGESLEEGTSAAAPSRAAARISRRFWSAGEYEAAGGRSSPQPPPRNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKNGAKKIIVDKVVNNRDGSPALLVQDDGGGMNPDSLRSCMSFGFSDKQSGSSIGQYGNGFKTSTMRLGADVIVFSRSMKNSEPTQSIGLLSYTFLTETGQKDVIVPVVDYMCNLSTGEAKPYHRLGPDQFSSNLSILLKWSPFATEEQLMHNFSDIGPHGTKILVFNLWSDDDGDLELDFDTNVGDIRISGAPKPVETTNVTKRMNESHLANQLRYSLRDYVSILYLQLPGYFKIILRGQEVMHHYVASDLRYSQCISYKPQVFGTNEDQVLTTIGFLDGAPTISVHGFSIYHKNRLILPFHRVLSSASSKGRGVAGVLEVDFIKPTHDKQDFEKSQLYQKLITRLKNMTNEYWDLYSHLVGYHKVPRAASGSPASRALVPTMPSTTAKPSEPNPNDHLPPMVPSRPNSCDKPSNAIPIAFAPPASAPAGTNIAATRAPTVCSELDMQIIQGYQTRSPTVAACTDLADTRKRKMETPLQMDGPFKRQSTSDLAGNNSVDSSNEICQYMGERELNEFSYLKLEQNMLRQQCLELESTERDLLLKEHQLRLEIQQAEARYTGLLNEYISVAADGDGDYSSDSAQWLKLFAYQFLYLIFLFTFSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFLWVFLLMLAYHLVFALTVLLLLIVFTPSLSPSSPPSLPFVLILVVVVFVFLAIHVYISALWHLASVISVLEPLCGLAAMKKSKQLLQGRTRTAAALVVSYFAACGVTAVLFRAAVVKRHGEEGSLGLSLPGRVLIGAVLVSVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLDA >OB01G42860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26067493:26068500:1 gene:OB01G42860 transcript:OB01G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTCNLVVVNVMLWSLCRSSDLASARAFFDSIPNKDAASWSTMLACYFSHNHLADGLAFFRTMTITTQVVADHVMLVTVLTGCASAGLLPVYCRAIHGYIVRHEFNFNMHLGTSLIDCYAKTGCLDYASRLFWRVPSRNVTHWTAMICGAAVHLGGELAIQLFEEMSLSGVQPNEMTFTAVLSACRQAGLVDQGKLFFKLMVDKYGLEPTIHHYGCIVDLYAKAGKLEEAYEVIKTMRMEPNIIIWTSLLAACKKFKNFDIAVEGMEKALTMEIPEENGGLYALISDLYAMGGRWEDVIRVRNLMAERNVRKSRGSSTIRVGETQGFTLPTVS >OB01G42870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26071399:26076344:1 gene:OB01G42870 transcript:OB01G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3L4Y1] MARLLLLVLLLVLIGGICGAAASSSSAFLPAAETMRVSYAGKSEFRTVNRKPLGSCLDPSPYLAISVSTGGAPLPDEAFLNVTVSGILRPDRSHWLAMITPSNSSVLGCPLNGVNYIETGDLASLPLLCHYPVKAQYLTSDPGYLRCMSSACPKRRASGSCKVRTCAATLAFHVVTFRTDVEFVLFSGGFATPCVLKRSGAIPFANPAKPLHGHLSSVDSKATSMKLTWVSGDGKPQQVQYGSGKAAASVATTFTHKDMCSIAVLPSPAKDFGWHDPGYIHSAVMTGLQPSQFYTYRYGSDSVGWSDTIKFRTPPAAGSGELSFVIFGDMGKAPLDPSVEHYIQPGSTSVAKAVAAEMQTGKVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPTPDSGGECGVAYESYFPMPAAGKDKPWYSIEQGSVHFIVMSTEHEWSEKSEQYNWMEEDLSSVDRSRTPWVIFIGHRPMYSSSSGILPSVDPNFVASVEPLLLNYKVDLVFFGHVHNYERTCAIYQGNCKGMPKKDAKGVDTYDNSNYTGPVHAIVGAGGFSLDGFPKIGRASWSLSRISEFGYARVHATKTDILVQFVNSNTTAVRDQFRIVKGAR >OB01G42880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26076749:26077072:1 gene:OB01G42880 transcript:OB01G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFPGPIYKIFFSFFSFRCPIQIQRPGSQCMEVTCAQCNSIFISRLIFFVLFYVSSINLLKIFFSSLSDSSLLFFPFSFSLSDSIFFAFLSLKNIFFFFSPISSD >OB01G42890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26083692:26085547:1 gene:OB01G42890 transcript:OB01G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCQDYVMFPADPKIDPSPACCGAVQRANMPCVCSKVTPEVEQLICMDKVVFVVNFCKKPFQPGSNCGSYHVPGSLA >OB01G42900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26086951:26090902:1 gene:OB01G42900 transcript:OB01G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSGLFLIMALVLLAMVATAKSDDCNGGFKELAAECQQYVLYPANPKIPPSDACCGVIQKADVPCLCSKITKETEMVVCMEKVVYVAGYCKRPLQPGSKCGRYTFPSL >OB01G42910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26096604:26101648:1 gene:OB01G42910 transcript:OB01G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:J3L4Y5] MGRAGWPSSIQHRERERERERRRTCSASARLPRRASPRCRSLARPRRGASAVRTHARPPMPPLLLRGGSLLRLYGCGCGLSSANFSSSKLALTRLNLMMAQTRATYSRRAASKKTEIKKDEELVLEKEDVAESKLDIEQIRNDPDQLQSMTVKDLREITRRMGIPIRGNKKDLVSTLMSSLGKEGTSAVEKVGMSEVPSKRKGGASVEVEQKIGSSEVISETPSKRSRTKTKSIKGTTHEQKSRINVKQSKTSVQKETLVVQGAVAKAGLGLGVDQEEPWTMLVHKKAQPSWIPYNPKVMRPPPLSKDTKTLKILSWNVNGLKALVKSRGFSIHQLAQREDFDILCLQETKMQEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFDDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGSYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRKSAGFTNEERESFGTNFLSKGFIDTFRNQHPDVVAYSYWGYRHNARKSNKGWRLDYFLVSESIAERVHDSYILPDISASDHSPLGLILKL >OB01G42920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26102516:26105371:1 gene:OB01G42920 transcript:OB01G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:J3L4Y6] MSSMSGFAASQRGYPPQGHEPWTKLTHREKLPEWLAYNPRTMRPPPLSRDTKCMKILSWNVNGLHDVVTTQGFSAPNLAQRENFDVLCLQETHLEEKDIENFKNLIAGYDNSYWSCSVSRLGYSGTAVISQVKPISVQYGLGIPEHDHEGRVITLEFDGFYLVNAYVPNSGRFLRRLTYRVNNWDPCFSNYLKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNLSSRGFVDTFRKQHPNAVGYTFWKTNG >OB01G42930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26109584:26112114:-1 gene:OB01G42930 transcript:OB01G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRSGYRDRGGGEQGKYWRPQRPHGGGNFSVPLWEKKFCTDACGIPWGKLCETKKLMSLYKNVVVWDDSAALEAFNDAKSRFCAVYHGQPCDIPLPDPNMYIDMVNPDEYIDPELVADLEKSRRSVPKRDNAAPDGWDSFIFTDKPVPATGWGDGETSNTPGRQYSVNWNNQVEQPTEANCMQSSVNWGNNVSQPPQTTVQQSSGNWDMYVKQQGQGSNWETPTMPCSWDMKGDSLDAWKQNYGWGSAAIDSLDNCRDNYYIPDSQGWSYGRWKRRNNEASRRNNRNRDRGGPISSKAMKPKYHADEHNGANNSWRHCRVRNNMHYSYENPGRNQSLAM >OB01G42940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26119661:26121685:-1 gene:OB01G42940 transcript:OB01G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWATACALLLLLCGCSVISGVESIGVNYGMIGDNLPPPDKVVAMYRANNITDIRLFHPDTTVLGALRGSGLGVVLGALNEGALARLASDASFAASWVQSYVTPFAGAVRFRYINAGNEVIPGDDAASVLPAMRNLQSALRAAGLGVPVTTAVATSVLESSYPPSQGAFSEAALPTMAPIVSFLASSGTPLLVNVYPYFAYSADPSSVSLDYALMSPSASAVVTDGGVAYTSMFDAILDAAYAALDKAGGQGLELVVSETGWPSGGGGAGASVENAAAYCNNLVRHFGRGTPRRPGKPVETYIFAMFNENQKPEGVEQHFGLFQPDMSEVYHVDFSA >OB01G42950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26122393:26126274:-1 gene:OB01G42950 transcript:OB01G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:J3L4Y9] MGGAEDGPRGAANGHGNGASVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRDVFGEIGRYWKERIAAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPRGYAK >OB01G42960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26126127:26126834:1 gene:OB01G42960 transcript:OB01G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRSGAGRRRPCPAAAAARPASPPPRPHSRARSPRRAAHPPPLPLLPSSSSSSSPSLVAASKKTEQAQRAQRRTLHNRVLNYGSASQRTNPAIPQQRQKIKKKKNVFFFPQRVTRSESQILVATGRFGRRITVGRRDRGDPASSCAAAGGAPPPGTDGCRLLRLRRSGRVANEARTSQTATGGKARWWWWPGTRCAAQGAVGAEEAGELVGKDGDGVKRKAKPPAPGEVR >OB01G42970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26127750:26130510:-1 gene:OB01G42970 transcript:OB01G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: mucin-related (TAIR:AT2G02880.1); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G62270) TAIR;Acc:AT5G62270] MLALRKTLLPAPPAAAAAVASRIPSLLRRLSSSPGDGQGGDEWGSSWSTGITKDHFDGSDAAVGHRVPSPSAPVSPELAAVRAMDEEDEIIRAVERDNREAKAFVDSWGNRFRETCELLKQVREPGSRGAYLKDSEKQEMYRLHKEDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGKPLDDSVEILLDSCPEFFNSHDREFHVATLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGAQAKRGTGGGWKFVSLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPFK >OB01G42980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26132855:26133529:1 gene:OB01G42980 transcript:OB01G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVHLPIPSHLLFSHPEISHGFDEILKNTTTCTHSHTCNPPGPSVAMHTHTCLHTHIQVLASGENNVEEDLRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPRINTGAEVAVREESSRPTIVDCGIDETGIVSHELEIPGMANTVDVIPSFLSTASLTE >OB01G42990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26135134:26136096:-1 gene:OB01G42990 transcript:OB01G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGFRRLLKLTSEPRSLTNGSSPPEPGRTTTAPCSARPPSYRVSLDKAFTPSIGERHVNVLTSDESFVLRLYYIEASEKRGKCGAWGVR >OB01G43000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26139716:26141287:-1 gene:OB01G43000 transcript:OB01G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISVILDGYIFNPVTTGIGWYLTVLRFPHRAEKLMRGRGRGVNTDRCRSTRGQEAQTTRQAYSCLQQTVSFSYQLLHRINGTPEEVDTSSQPGQIRKAISAKIGDI >OB01G43010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26143878:26144870:1 gene:OB01G43010 transcript:OB01G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRATHWCYACRRPIRIRGQDMTCPNCNDGFIQEISEMGGMLNTYGIFDPSFDERRVRSFGMVEAMSALMRQRMAEMGGNRVLDFHGMRGASSHQRRQPAVRSMLIFGSNTPAHVSSSSEEAGVLRRGRRIGSDRPNFSRFLVGPSLEALFEQLLLHNNHQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFEVGTEAREMPCKHLYHADCIIPWLVQHNSCPVCRHPLPSSSRHSGSTRSSSTHSNEAVSHGVAGSNVDHVARSDDSRNHESPGSLSFLWPFDSPTPDSSSYMYEGGVGAGAGEPTVHDDAGQMTYSEWHYDY >OB01G43020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26146399:26152484:1 gene:OB01G43020 transcript:OB01G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-(cytidine 5'-phospho)-2-C-methyl-D-erithritol kinase [Source:Projected from Arabidopsis thaliana (AT2G26930) TAIR;Acc:AT2G26930] MACSTHLLSQSLYPSHRASTRLPFPARPAAASPSARLGSSPASRRRALGLRVAASAEQGRRQVEVVYDPQAKFNKLADQVDQDVGITRLNLFSPCKINVFLRMTGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKELQEWSGEIGSDIPFFFSQGAAYCTGRGEIVEDIRNPLPANLPMVLVKPPEACSTAEVYKRLRLEHTSQADPLALLKEITENGISQDVCVNDLEPPAFEVLPSLKKLKKRIIAANRGDYDAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDTFVSEAFFLTRNENEWYREPISSKATFSKEDLPPEVASVAD >OB01G43030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26172887:26173240:1 gene:OB01G43030 transcript:OB01G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTRNTRQFYGSSSGGGGGGGAGIGEGRDGRDGGGGGGIGAARGSGVNTGILDEHVLSLVFRSINWDPQAVCTAACVSRRLRAVAERVLWRELCISRAPRMVASLAGAAGGGGAAP >OB01G43040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26182341:26182790:1 gene:OB01G43040 transcript:OB01G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSDLQWRQGWIAVGLPPAPVLAVSAIVTFFLYLTWQMDEYEEQLRRRTRAGLWVLLVLGAAALVLLGSHALVDGGGXXXXXXXXXXXXXXXXXXXGGAAAGARLPQAVVPDVPAAVALQIGFRYRSDCRAALQLSVHTRKRKKKGI >OB01G43050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26184457:26187534:-1 gene:OB01G43050 transcript:OB01G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSQVYQVVEAMAPLYTSAALGYASVRWFRVFSSEQCAGINRFVALYAVPALIFEMVSTNDIYKMNGRLVAADTLQKVALLLGLAAWALWERSRCRERGGTKPWVSSPLQWVVTCCSVAALPNAIVIGVALLSGLYGPVAREFVKQIVIMQFCIWYNVVIFLYEYMAAARRASSAPAPGGSARISPSPPVEAVAAENGAGSTNANGASNIAAERPQELAVNIENTELATAAAPAARDGLPDGTATTTSEEVSAPAPSMKQVVWMAVKKVLQIPNTYASLLGIFWALISFKYGIVMPKIIADSLFTIRTTAVGLGMFSSGTFIARQSRLVPCGYKTASFAMILKFLVGPVAMLLASLAVGIHGTFLRIVVVQAALPLSLTSFVYAEEYKVYPDIMSTGVILAMFISLPVAILYSILLEL >OB01G43060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26191796:26195156:-1 gene:OB01G43060 transcript:OB01G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3L500] MITGSEVYAVVEAMVPLYTAAALGYASVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNDIYKMNGRLVAADTLQKAVLLLGLMAWALWERSRRRAGAKGAAAPSPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKELMKQIVVMQFCIWYNVVIFLYEYMAARRAAAAPAPALDGCAKISPSSPVKAAAAEKGAAPEANGAGNVAADRPQEVAVSIEITEMTASTARDGFPGETAAAAKEASDGADATVEEASAPAPSMKHVVWMAVKKLLQIPNTYASFLGLIWSLIAFKWGFTMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMVIKFLIGPVVMLFASLVIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVQADIMSTGVILGIFISLPVTIVYYILLGL >OB01G43070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26202369:26203451:-1 gene:OB01G43070 transcript:OB01G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKEGGGGGGEGGMSESVIRKVLVSYMYVAGWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLFRVVDLPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSSMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLLVPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGDRKSDNQA >OB01G43080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26211165:26212363:1 gene:OB01G43080 transcript:OB01G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3L502] MRKYRVAGLVAALLVLQALATPSAQAEACRAREGEEKMAHTATDGGPVVGGVEPVGNENDLHLVDLARFAVTEHNKKANALLEFEKLVKVKQQVVAGTLYYFTIEVNEGGAKKLYEAKVWEKPWMDFKELQEFKPVESSANA >OB01G43090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26220132:26222645:-1 gene:OB01G43090 transcript:OB01G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L503] MASHGGFLEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHAQPHGHGAEAPVAAAIDPSGREWFLGSLFVIIATLAWASLFILQAHTLKQYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVIGLYSVLWGKHKETQEQEAAMMELPVASKGNDAEFIATAGDDDDDASDSDCKKANGAKSSSSNEHGASAV >OB01G43100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26227865:26229424:1 gene:OB01G43100 transcript:OB01G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYLTLAMGFSSTSTPLLLLLSVLPLLYFLYLRQDPRKKPHAHGLKSYPVLGILPHFSKNKDRFLEWSTEVLKRSPTHTISFKTVGLTGGVFTANPANVEYTLKTNFGNYPKGELAVSMIEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRSFVVDTVRSEVVDRLLPLLRRAERDGRTLDLQDVLERFAFDNICHVAFDEDPACLAEDSMASPQSAEFMRAFNDAQDALMDRFMSPVKSVWRFKRLFNMEPERRMREALATIHGFAERIVRERRERGEAGLARSDDFLSRFAASGEHSDESLRDVVTNFLIAGRDTTSSALTWFLWLVSGRPDVEDKIVREIRSVRRASGGTGADATFSFDELRDMHYLHAAITESMRRLYPPVAMDTHSCKEDDFLPDGTFVGKGWFVSYFAYAMARVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVFERFSLRYARDGAAHPGLVLCLTLRMKGGLPMQVTTRG >OB01G43110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26228299:26235916:-1 gene:OB01G43110 transcript:OB01G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMAAWMYCMSRSWSKLKVSPLVSPLLADARRTERISRTILSSTSGRPDTSQRNQVNADDVVSRPAMRKLVTTSRRLSSLCSPLAAKRDRKSSLRASPASPLSLRSRTIRSAKPWIVARASRIRLSGSMLNSLLKRHTDLTGDMNRSMSASCASLNARMNSALWGEAMLSSARHAGSSSKATWQMLSNAKRSSTSCKSSVRPSRSARRRSGSSLSTTSDLTVSTTKLLSERLLNS >OB01G43120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26234793:26236331:1 gene:OB01G43120 transcript:OB01G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSTSTPFFILILPFVPLLYFLCLHRHTKKTTRPNGLKYYPVVGTLPHFAKNRGRFLEWSTDVMKRSPTHNMKFEALGLTGSVITANAANVEHVLKTRFSNYPKGELTVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRSFVVDTVRFEVVDRLLPLLERARRGGRTLDVQDVLERFAFDNICHVAFDEDPACLGEDGVVSSRSAEFMRACSDAQNAVTARFMSPVKSLWRVKRLFNLEPERRMREALGTIHGYADRIVRERRARGEARLARGDDFLSRFAMGGEHSDESLRDVVTNFLIAGRDTTSSALTWFLWLVSGRPDVEDRIVREIRAVRRASSSGDTSGETFSFDQLRDMQYIHAAITESMRRLYPPVHLDTHSCKEEDLLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDETGAFRPESPFKYPIFHGGPRMCLGKEMAYIQMKSIVASVFERFSLRHAGGEGHPGFVLWSTLRMEGGLPMQVTTRE >OB01G43130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26239065:26240630:1 gene:OB01G43130 transcript:OB01G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSTSLFILLLCFLPLLYFLYVHQDPRKRPRAHGLKSYPVVGTLPHLIKNKDRFLEWSTGVLKRSPTHTMSFKALGLTGSAITASPANVEHVLKTNFGNYVKGETGIRMLEDFLGHGIFNSNGEQWLWQRKAASYEFNDRSLRNFVLDTVQFEVVERLLPLLERAGRDGRTLDVQDVLERFAFDKICRVVFDEDPACLAVAEQSTGTPQSAEFARAFNDAQKVIMSRFFTPVKSLWRVKRLFNMEPERRMREALATIHGHTERIIRERRERWERGLARGDDFLSRFAAGDRHSDESLRDVVTNFLLAGRETTASALTWFFWLVSGRPDVEGKIAREVRAVRRASSSDGGKSGPAFSLHELRGMHYLHAAITESMRLYPPVSMDTYSCKEDDFLPDGTFVGKGWQVTYSAYAMGRVEDNWGLDCEEFRPERWLDEAGVFRPESPFKYTVFHAGPRMCLGKEIAYVQMKSIVACVFERFSLRYTGGEGHPGLVVSLALRMEGGLPMQVAIRGQEEAASC >OB01G43140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26244743:26250232:1 gene:OB01G43140 transcript:OB01G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTTSSSLFLILLLPFLPLLYFLYLHQDPKKKAHTHGLKSYPVVGTLPHFIKNKDRFLEWSTAVMTRSPTHTIAFKELGLTGGVITANPDNVEHILKTNFDNYPKGELAVSMLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDAVRFEVVDRLLPPLERAGRDGRALGVQDVLERFAFDNICRVAFDEDPACLTEQSMAAPQSAEFMRAFNDAQNATLSRFNSPAKSLWRVKKLFNMEPEKRMREAIATIHGYAERIVRERRERREAGLARSDDFLSRFAAGGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLLSGRPDVQDKIVREVRSVRQSSGSGGTGGAAAATFSFDELRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFVGKGWLVTYCAYAMGRVEDIWGKDCEEYRPERWLDEDGVFRPESPFKYPIFHGGPRMCLGKEMAYIQMKSIVACVFERFSFRFVGGEGRPGLVFSVTLRMEGGLPVQVKKRRDSLS >OB01G43150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26248706:26249473:-1 gene:OB01G43150 transcript:OB01G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGERLAHPPLRLQIEQPLGAPRPLDRRHEPHHDDALRVVEGAQELCPPVHPHALLGEARRVLVERDAADIVEREALQHVLQVQRPAVALRPLEQRKQPVDDLEPDGAHYKVPQRPLAELVVGGPPLPQPLVAVGVEDAMAEEVLDDGHHVLPLGVVLEVGLDDVLDVGWVGSDGAGHEAEALEVEGHGVRGAARHDAGRPLDEAVLVLDEVRQRADDGVGLEAAGTNLLPGAMAAQAEEAEHRKQQEEEQGNR >OB01G43160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26250217:26252500:-1 gene:OB01G43160 transcript:OB01G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34 [Source:Projected from Arabidopsis thaliana (AT1G29070) TAIR;Acc:AT1G29070] MALALLSPMATLSLHSGRISAAAIGGGLRPRKAAPMGASATPFLRSSFVSSSSSSTSSASATALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRSRSRKSLARTHGFRRRMRTTAGRKVLKRRRDKGRKVLCTKTNSPTGKKRMV >OB01G43170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26253413:26254186:1 gene:OB01G43170 transcript:OB01G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYLRSLLCALAEARYLNRTLVVDLSLCLAASYAGGMPEEGKRLAFYIDIEHLQSMVGIVEHKQFWEDWDRWGAQGQLGVRIIEDTRVAPIKFSKARDPLIVRKFGDVEPGNYWYNVCEGEAERMLRPPQGAIRWAPSLMHIVDGIISRMQGDFDSVHVGGDGENLRGRIEENVNGGRQVYVAGEGINILVDVLKLKYSNVHYLDGFEELWETDSKWFLEMKRLNGGVPVEFDGYMRELVDKEVFLKGKKKFEVFG >OB01G43180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26257374:26257574:1 gene:OB01G43180 transcript:OB01G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDFSNPFITLNIITGNIEMFQQFTACRICPSCQIRLVHKIYCFVFLAEILFIKHFDRTSAPDS >OB01G43190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26259443:26260607:-1 gene:OB01G43190 transcript:OB01G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAALAAPIASIAHSSAGRATAAAAALAAASLRSARTSGSPLLAQLGGGAIASFLGFRSLPRGVHAMPNLRRCSSQGAAVVPRSNQMLRSARQQRRLVPRASSSDEPKSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLELVGVDPLQAGNAVQLIIVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLSEADLQVLLEQVEEEKQSLTPVRDQGVTFTRKTEDQTNAS >OB01G43200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26260874:26261958:1 gene:OB01G43200 transcript:OB01G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G56650) TAIR;Acc:AT3G56650] MASSSVFSPLFHALRPSASGCCARSHAVSVDNAAAAPRTAPLAAVVSHRRELLLGAGAALGAAFLKAPLPAEAREVEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNKPNATIEDIGSPERLITSLGPFVTGNTFDSDELIDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGSTVVLFVASANDRQWQSSEKVLKTIVDSFKV >OB01G43210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26265613:26271206:1 gene:OB01G43210 transcript:OB01G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L515] MAMAPTAVPRHVVAVPYPGRGHINPMLAACRLLAAADGELTVTVVVTEEWHALLASAGVPATLPASRVSLATIPNVIPSEHGRGADPAGFFAAVRGKMGEAVGELLDRMVLERRRPDAILADTYLTWGVEAGARRGIPVCSLWTMAATFFWALYHLDLWPPVDDRESEQELSGKSLEQYVPGCSSVRLSDIKLFRSWELPMKLVAEAFVNVRKAQCVLFTSPYELEPSAIDRIAQVVPFPVYPVGPSISGMPLNGDAGKIRDEEHGAWLDAQPENSVLYVSFGSVVSMRPSQLEAVAMALRDSAARFFWVARDSASARGMQRIAGDKGLVVPWCEQLNVLCHRSVGGFLSHCGWNSVLEAVFAGVPLLALPGIWDQVVDGRVVADEWKIGINLWGQKRDADGVVGSDTIRAAAAKLMDPDDGESQEMRRRAARLREACRGAVQDGGSSRRSLNDFVKDLAAGRLNCQ >OB01G43220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26271495:26273680:1 gene:OB01G43220 transcript:OB01G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFERLLDRLRLQEGTEETAAPVAALVADSYVPWVVGVGERRGVPVCFLFPMAAVFLSAYYNFDSLPSWLKEQQPDAGATSDKPDQKIGHYISSLASSSIMLSDLKPLILSERSMKHILASMSSIRRAQHLLFTTMYELEASVIDSLESLLPCPVYPIGPCIPYMTLENNHTKSNGEATKLIDYLTWLDSQPEKSVLYVSLGSFVSVSSSQLDEIALGLTTSEVKFLWIFRDQSTKVRELVGNSNRGMILPWCDQLKVLCHPSVGGFLTHCGFNSTMEAVFAGVPMITLPLFFDQPVDGRLIVEEWKIGVKLRDSTDKDRLIRREEIATAVKRLMASDETEIKAIRRRSLEWKEVSRRAVNKGGSSHRNLTSLMEIICSSKCTKTDS >OB01G43230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26274605:26286119:-1 gene:OB01G43230 transcript:OB01G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGESIQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEETQEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARAAIAKVEKGLQEHDAASSSREKEEIEGLKKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLYNQLMKELAMIKRLEEDGSDLFELEGSDTLGSQFCIIPRFDDITSCPIQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQTDILLNSEKVTIQTMGPINPAAGLERYVDSLMKRTDVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFAANCNISLTGPGDELHASXXXXXXXARAGGEEGSRAARLHSFFASVLSGLFGQGEEEEMATRNQNAAAAPQLQNRDNVAALGKQKAVVAGRPDAKNRRALGDIGNVVNVRLPEGKPLQQAPAARPVTRNFGAQLLKNAQANAAANKVHRPTKSFIFFSTELSQNAIAPAAVARPAQRQARKAPAKPAPEHVIEISSDSDESMRQQSESSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPREVIEDIDKLDGDNQLAVVDYIEDIYKFYKVAENECRPCDYIDSQVEINSKMRAILADWIIEVHHKFELMPETLYLAMYVIDRYLSLQSVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKAILNRLQWNLTVPTPYVFIVRYLKAAASGDTISDKEMEHMAFFFAELGLMQYGLAASLPSKVAASAVYAARLTLKKSPLWTDTLKHHTGFTESQLMDSAKLLVTSHSTAPESKLRVVYKKYSTEQLGGVALRPPAVELCK >OB01G43240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26291673:26294192:-1 gene:OB01G43240 transcript:OB01G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEAMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKFGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLIPEHQVLTNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >OB01G43250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26295719:26299355:1 gene:OB01G43250 transcript:OB01G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3L519] MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEDEEQQEAEDM >OB01G43260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26300118:26303954:-1 gene:OB01G43260 transcript:OB01G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPGFHNAPVSRAVVVAAALFSVAFGFRGRFLGLGLSYENVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMVSVLLQILSLAYLKDPSFNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLHLSDKSFIYLAGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKLPDSTTSIFSRLSWPFLNNSYQRLPVTENDGNIPSNQTRQTEGVHPATEDPMESSIATLVSMGFDRGSAIQALALTNYDVNLASNILLEAQSM >OB01G43270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26309512:26310913:-1 gene:OB01G43270 transcript:OB01G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLLISTIPAFTLMLVAPAATHKHCKLVRELGLVALLLVRELLQHSASAAWCGRKKERERERERVVRIMPSKAKAEPALAAGEGEGTEPNAAALPLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHPPPRRRPTRWPPGTARWSVATSGSPHRCTTASRTGMSGSCSRATTPTSAMTGVLTHSRQGTRHTVVSRRRRRAACSGAGSVRRR >OB01G43280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26318724:26318885:1 gene:OB01G43280 transcript:OB01G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAAEVQDAGDLAYMRARFDRVVGSRDSESLYMLNPDGNNGPELSIFFIRI >OB01G43290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26323502:26324597:-1 gene:OB01G43290 transcript:OB01G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGSSESKKSPVDESRKRRLNDLDFIASTEQRDDPLSSVAADVEAHFQAHWSALDAVARQDPAQAVSAGDWRSPLEVFFRSSSPSLEVTRRRSSSRRSRASCSPCTTPEVLRRFDQAISAHFIS >OB01G43300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26348122:26351569:-1 gene:OB01G43300 transcript:OB01G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEATLDHFKSWRGIHGKLERLSHTLSQLQAFLDDAEAKQLTDASVRGWLAKLKDIAYDLDDLMDSYSAKSMYMKQRQAIFPTKASVRSSSFLSRNLHQHRIKHKSNIILERLDNIAKERDTIGLQMICEMRRYDTSERPQSSSLVDSSTVFGRERDTEEMVRLVLSENGHNSCNFCVIPVVGMGGLGKTTLMQMVYHDDRIREHFDLRIWVYVSESFDERKLTQETLEAADYDQSIASTNMNMLQETLSRALRGKRYLLVLDDVWNEDHDKWLSYRAALISGGLGSKIVVTSRNENVGRIMGGIEPYKLQKLSDEDSWSVLRSHAFRDGDCSAHPELEAIGREIVKKLKGLPLASKALGSLLFCKADEEEWKDILRNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYVFRREKLVKIWLALGFIRQARKKRLEDTGTTYFSELLSRSFFQPYEKNYVMHAMHDLARSISMEDWDQFEYGRRHQNVIKIRHLSFPCKDVRCMHFDQLYGFTKLRALTIVHAYKSRMSQLLHGLFMKLQFLRVLDMHGRGLKETIGNLKQLRFLDLSSTEIKMLPASIVKLYNMQILKLSDCNSLREVPQGITRLINLRHLEASTKLLSRIHGIGSLVCLQELEEFVVCKRLGYNITELNNMDELQGQLSIRGLSNVPNGQDAICAKLKTKEHLRILHLIWDENCESNLSEQQEVLEGLQPHLNLKELMIKGFPGVRFPSWLATSFLPKLQTVHICNCRCTALPALGQLPFLKYLDIAGATEVTQLGSEFTGFGQTKGFQALEELLLEDMRNLSEWVFDVADQLFPQLTELGLIKCPLLKRLPLIPSTLRTLRIHESGLESLPELQSDACPSSLTSLYINDCPNLTSLRVGLLAHRAINLKNLTIAHCEGLVSLPEECFRPFISLRSLHIYECPYLGSWTALEGGLLPTSIEDIHLNSCSPLAIVLLNGLRYLPHLRHFEISDCPDINSFPVEGLPRTPQFLEISCCDDLQCLPPDLHTISSLETLLISNCHGVESLPEEGLPMGLKELYIKQCPLIKQQCQEAAPCHRRHRRVAVVIVAAVVAAVVAVAIIVVIAVVVVAVVDITYGLTAEEAKLEIGGEVATSHVKLAS >OB01G43310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26350983:26351317:1 gene:OB01G43310 transcript:OB01G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKLQELCPFSESTNLTISSVSLSLPNTVELSTRELDCGRSEIPTQEGGRTNTCLCGEYRLPLLHVHTFG >OB01G43320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26354013:26358329:1 gene:OB01G43320 transcript:OB01G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 2 [Source:Projected from Arabidopsis thaliana (AT5G12200) TAIR;Acc:AT5G12200] MAMRCRVLLLLLLIVLGAVAGASSHPANEFCAAAGGGGDGCGGGGGDGRRILIKGGTVVNAHRVEEADVYVEDGVIVAVRPSIPVGDDNVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAGKSAMDYGFHMAITKWNDDVSREMESMVREHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFTIASKYVMSPPIREAGHNKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGQISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPQKSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNVVPGSGRYVRTPPYSYLFDGIEKSDAAYRASLRAPVQRGKAAA >OB01G43330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26358732:26364053:-1 gene:OB01G43330 transcript:OB01G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLGGAAAGAAAASDARGGMSAGLAAPHHASHTNVNNMQHTQVTDFGALAQSAGFRIEDLANLSTNSLFNLKSNAHTIINDPLQFGNYGKSIPPSNITTTAAVTVVDPQTLVPEMGAQLNLATIRTGNVENWGESTMADTSPRTDTSTDPDTDERNQMFEQGQLAAPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKAYIQNLETSRLKLTQLEQELQRARQQGIFISTTGDQSHSVSGNGALAFDMEYARWLEEHNKHINELRAAVNSHASDNDLRSIVDNIMAHYDEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >OB01G43340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26364201:26364410:-1 gene:OB01G43340 transcript:OB01G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPHFTVSSCVVSASLSPFAIGVLLNPPPLTASSYYGRTVQRSYLFLFSAAYYPFAFLVCYCCCRRRRLG >OB01G43350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26376406:26378645:1 gene:OB01G43350 transcript:OB01G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSRDAEPAFGASSDPSTLRTGASMKAGASPSSAPAQNKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSIGVILYILLCGVPPFWAESEHGIFNSILRGQVDFTSDPWPRISASAKDLVRKMLISDPKKRISAYEVLNHPWIKEDGEAPDTPLDNAVMSRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLSKQGTKLTEAEVQQLMEAADADGNGIIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGKIDYNEFVAMMRKGNPEPNPKKRRDVVL >OB01G43360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26395252:26395491:1 gene:OB01G43360 transcript:OB01G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGQHLLGVLAADAALRQPAQQGVVIPPDFASHEEDNLLAVYCMKLHVLPSLLCRSIPSASSIHPPSLAHRTSSCRIPHA >OB01G43370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26418942:26422717:1 gene:OB01G43370 transcript:OB01G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXAAWVWLAVAALAVAVAAANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLDHLQYLELYKNNIQGTIPSELGSLKNLISLDLYKNNISGTIPPTLGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >OB01G43380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26423981:26426716:1 gene:OB01G43380 transcript:OB01G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKYCAEREIPHKQLGKLIVATGVAETAKLDMILKNAKQNGVDDLQMMEGSQAMEMEPELQCLKALLSPRTGIVDSHSLMLSLLADAENLGTTISYNTTVTNGYVGAEGLEVHTCESQELENHSLGSPVSPQLILLPKLLINSAGLSAVPLAKRFHGLNQVCVPHAYYARGCYFTLSQTRSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWIDSGKDVMSCFLNRFDYMVNPTRCSKFYPVIRKYFPNLKDGSLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGIPGLVNLFGIESPGLTSSLAIAEYIVSRYLR >OB01G43390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26426906:26429522:-1 gene:OB01G43390 transcript:OB01G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 14 [Source:Projected from Arabidopsis thaliana (AT3G60360) TAIR;Acc:AT3G60360] MSSLRNAIPRRAHKERAQPEARKKFGLLEKHKDYVVRAKAFHRKEETIRKLKEKASFKNPDEFYFKMINSRTVDGIHKPKPEANKYTEEELMLLKTKDMGYILQGIQSEKKKIERLSSMLHELDNKRPNKHVYFAEDREEAKEIQSRIEQKSNSLGFDNIPSRIRRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSQPVYKWRAQRKR >OB01G43400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26429793:26434042:-1 gene:OB01G43400 transcript:OB01G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLSSCLPSAPLSAADRTWMDEYLLEAKQALGYPLAPSETLGDGDDCPARHFDVLLYLAFQHLDPSCERTRTRHARNGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKRVIPKWIKAASLHNLVFPYDDLDRMIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMSTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCLERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >OB01G43410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26434175:26437237:1 gene:OB01G43410 transcript:OB01G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFCETEKYLPDWWAKSGSRIVFLGPNSKFPWGNFNGPIGWPGPSLHQQLAGATRSSSRSSSPSMANPRRAIALQIPAQTEPLAAAPAAAPAFSLPSSLLYFLKRPASFPFLLSLFVLLTWISLRFHHPSPSAPLHRPSVVHDPQANLVRFPAELYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGARTKFRLENTDVKDKGYGEAMIAADEVANFSSARSTAHALLLQVQDQLHMP >OB01G43420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26438288:26438578:1 gene:OB01G43420 transcript:OB01G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVALTKGEFFFWGGGGGGKRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWWGGGGGGGGQKIM >OB01G43430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26439438:26442304:1 gene:OB01G43430 transcript:OB01G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLTDEQIAEFKEAFSLFDKDGDGSITTRELGTVMRSLGQNPTEAELQDMISEVDADRSGNVDLEEFLGLMARTLNDKESEEELMEAFRVFDKDQNGFISAAELRGVMANVGERLTEEEVGEMVREADVDGDGQINYEEFVKCMMAKRRKKRIDEKREPRRRRRRQAVRRDGGEAWPEVRDPVMAGAYVRASCLVGSKRLISSRNASDRAPESLWIRYIRSMCGCKMAKKIEEK >OB01G43440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26446521:26459112:-1 gene:OB01G43440 transcript:OB01G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVHASVPSGFINIDCGLVDGDSLTDSITNLTYVRDYDSDVGGIHQGVVPKLMNTSMGEQEKTLRSFPDGQRNCYTLPSTSGKKYLIRATFTYGNYDGLNSSENGSLFQFGLHIGVNVWTTVNLTNWGPSDTMWKEVLTVAPDKFISVCLINLGSGTPFISTLEMRPLDVAMYPFLSSSVSASYFTRKRFGEVDDLITRYPIDSYDRFWESAQLYSYPWLNLSTNQALSSVPGNDNFQVPMVILQKATTINSNFSWLNISVRVSNNLKVDSLELLPIFHFAEIENSSTNRTFDIYSDDDQLIPAFSPSYLQMISIYRRDQYLLKSRTILSMRKTNNSKLPPFINAFEAYVLVRMDNLTTNSSEVSSMKSVKMQYNVPLKSWNGDPCSPKEYTWEGVRCNYYDGKKNPRITLVNLSASRISGNISLSFRDMSSLEILDLSHNNLSGIIPYYELDSLKSLNLSYNQLSGSIPDYLFQRYKAGSLDLRLEGNPMCINVSERYCVTPAENMKKKNTATLLTAVLVPIITVLIVLILWMLRCKGKSEDHDDYDMYEEEAPLHIDTRRFTYTELKIITNNFQSIIGKGGFGTVYHGILDNGDEVAVKVLLGTSIALSKDFLPEVQTLSKVHHKNLVTLVGYCQNKKCLALVYDFMPRGNVQEVLRGLEYLHESCTPAIVHRDVKTANILLDENLVAMISDFGLSRSFTPAHTHISTVAAGTVGYLDPEYHATFQLTMKADVYSFGIVLLEIITSQPSVLLDPQPVHLPNWVRQKIATGSIHDAVDSRLMHQYDATSLQSVIDLAMNCVQNASIDRPSMTDVVSKLKECLPAGTSENRLGSESYRQKETMDSDIARQFQLLASGVPIVSSEGASSGTTELSYYSGR >OB01G43450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26447775:26448134:1 gene:OB01G43450 transcript:OB01G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRSIALSKDNRRHIFLTYWFTQGVWAKLRSWINVAILVPRDDEEDLTTWWNRARTVFRFRYRAAFDSLCLLVTWLIWKERNARMFNQVASTVDKLFNDIRTEVMIWREAGIFREGEG >OB01G43460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26464112:26471736:-1 gene:OB01G43460 transcript:OB01G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYGYLTSTGARLGLLAFQMLLAASMIQVHAQSPAGFVSIDCGYTNSSDYVDEKTNLTYDSDERYVEGGKNFSIRAEHMKDAANKQEQTLRSFPDGQRNCYTLPTDSGKKYLIRATFTYGNYDELNSSKNGSPFLFGLHIGVNFWTEVNLTNWNPSNTVWKEAITVAPDTSIHVCLINLESGIPFMSTLDLRPLQDTMYPFVNVSTSVSSFSRLRFGSVDELITRFPTDPYDRFWQSWANYSYPWSNMSNIINVTALPNIDIFGLPPAILGNASTIIDRYSWLNITVRSSHYLTTELELLPVFHFLELGNNGSRRTFDIYNVDEQQPLFSNFTPPAFLSSMFRNWFLHKGRRAYFQLRSTPNSQLPPLINAYEVYSRVQMNVTTASSDVESMKLVKEKYKVTRNWNGDPCSPREYIWEGLNCTYPNGDQNPRIVKIYLSNSGLQGELAISFMNMSSLEILDLSHNNLTGTIPDYRVNSLKVLDLSNNKLHGPIPYSILGRFKAGLLELRLEGNPICSQVRGSYCGNKKTHILLISVIVLVVSILVVLCILWKLCWKGKSKEDNDDNMNEEEIPLHIDIRRFKYTELKTITNNFQSIIGKGGFGMVYHGILENGEEVAVKVLRDTSIALSKDFLPEVQTLSKVHHKNLVTLLGYCQNKKCLALVYYFMPRGNLYEVLRGGLEYLHESCTPAIVHRDVKTANILLDENLVAMIADFGLSRSYSPTHTHISTVAAGTVGYLDPEYNATFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPMHLPNWVRQKIAKGSIHDAVDSRLMHQYDATSLQNVIDLAMNCVESASIDRPSMTDVVIKLKECLPAGTGEKQLKSGSYKQKDAMDADIARQFQLLISGVSTESNEGTSSGVTELSSPSGR >OB01G43470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26466041:26469604:1 gene:OB01G43470 transcript:OB01G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLSPLSFLAYDCIDGFSYNRMPGQFKVCRSNFRSHLVISSSTDPNLNREKELTDVETFTKGYIVSCKGLKSSVDMNGMPDSRFMRQTCMLVSGATVIASFQTVFDGFQFVKFTSVQKLTPMWSPNRNGEPFFDELSSS >OB01G43480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26474466:26480679:-1 gene:OB01G43480 transcript:OB01G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISHSCSPGTKLEWFLPLLILVAATQVHGYSPSGFLSIDCGLTNSSTYNDADTNLTYVSDSGFVESGKSYDILSQYMKEASNEQEKTLRSFPDGQRNCYTLPSRSGKKYLIRTTFSYGNYDGLNSSENGSPFLFGLHIGANFWTMVNLTNWNPTDTIYKEVLTIAPDKFISVCLLNFGSGTPFISTLDMRSMDDAIFPFLNSSVSASFFSRQRFGEVNEYITRYPTDSLDRFWEAAQRYKFPWLNLTTNQKVYSVPGNDNFQVPLAMLQKASTIQSNFSWLNITVRAGANMNGESLQLLPIFHFAELEKTNTTRTFEIYSDDDLVIEAFSLPYLQATSMYRRDKYVNKSRTTFTLRKTNSSGIPPLISAYEVYSLVRIVNLTADSNDVDYMNEIKKYYNLVRNWNGDPCSPIEYSWKGLTCDYADGKQNPRIIRVDLSTSGLIGGLHLSFMKMESLEHFDLRNNQLDGPIANSILQRVKAGQLELRLEGNPICSKVKDRYCGNKNSTPTVLIAVIVPVVFILLLVLVCILWRFCWKGKSGVQEDYSIYEEETPLHIDIRRFTYAQLRLITNNFQSVIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGVVLLEIVTGQPPVFMDPQTIHLPNWVRQKIAKGSIHDVVDKKLLDQYDASHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPASTERQSATSTPRKKNIMDAEIPRQFQLMISGATTTSYEGSSFQSGYTGGVSEISHISGR >OB01G43490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26488308:26494628:-1 gene:OB01G43490 transcript:OB01G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYSCSAGSKMTWILSLLFILAAATQVHGVSLPGFLSIDCGWTNGTSYDDNKNTTLTYVSDKEFVEGGKSYNIMAQYIEGATNEQEKTLRSFPDGQRNCYTLPTSIDKKYLIRATFTYGNYDGLNSSENGSLFLFGLHIGVNFWTMVNLTKWGSSNTIWKEVITIASDTNTSVCLINLGSGTPFISTLDLRKLDDAMFRFLNRSISLSYFTRKRFGSVDDLITRYPHDSVDRFWEAAKRYSFPWLNLTTAQKVNRLPGNDDFQVPSEILQKASTINRNFSWLNISVRASDNIDYRSLELLPIFHFAEIDGNSPNRTFDIYDDDNLLFSNYTPPPFRVDSTYNSSRFLRKKGVLFTLRKTASSDLPPLINAYEVYSLVRMENSTTSSDDVNHMKDIKKYYSLARNWNGDPCSPREYSWQGLSCNYANGNKIPSIISVDLSASGLIGTLHDSFMKMTSLEKLDLSQNNLTGGIPDYQLNSLRVLDLSNNQLDGPISDSVLQRFKAGQLELRLEGNPICSIVKEKYCSNKKNRTPIVLIAVLVPVVFILLVVLVCILWRLCWKGKSGEQEDYSLYEEETPLHIDIRRFTYAELKLITNNFQTIIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDNSLNWEERLHIALDAAQGLEYLHGSCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAYTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTIHLPNWVRQKIAKGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKLCLPISSERQSATSTPRKKNVMDAEIPRQFQLMISGGSTTSYEGSSFQSGYTGGVSEISHISGR >OB01G43500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26497293:26500705:1 gene:OB01G43500 transcript:OB01G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3L544] MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHFHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPADLLEHAKAAAQAALEEMDAD >OB01G43510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26501470:26502294:1 gene:OB01G43510 transcript:OB01G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIANDTVKNKKKWKKNKTSRRPAGQPTQRPVSRSRGSRPRDPSKSNQTRLRAPKPFHQLSQPEASRSGDGGLLPKRRPVPFHAAAVRSKRNPRASASSPFAGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRRRARGPARVLRGRGWLGARLRAGAAARPHRLALRARRRRPPRLPLMCAPAAPPFHPVVDFSTFYPFRGSFFFGWYWFAVVS >OB01G43520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26509909:26512062:1 gene:OB01G43520 transcript:OB01G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGVDVLNRSTTPPLVFVAPAGQFSGAFGAWNNPAIRSFAAFGVPDAGGDQGAGDSQTAAFGDQEIGRGQSAANGTPNIGTSATEPIEATPISAYKSTQPIVISLDDDNNDDEPYTGNQTSTSGRKIKRPSHLSGYNMSDSLGIDGSNGTKKRPKSSSRKAANDNDLSFMPPSSDPREIVEVVLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANTGKRIGAIPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNAKFGDEDDSIAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEERHDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKEKTKTGISCFKYKLQREPGQPDAVAIWKSSQRWVENPTARGGVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLSSMKPFQGCGCLSVCLPGDNNCVCAQHNGGDLPYSSSGLLVCRKLMVYECGESCQCSFNCRNRVSQKGVRIHFEIFRTTNRGWGLRSWDPIRAGSFICEFVGEVVDDTKVNLDGEDDYLFQTVCPGEKTLKWNYGPELIGEPSINISAETFEPLPIKISAKKMGNVARFMNHSCNPNTFWQPVQFDHGEDSYPHIMFFALKHIPPMTELTYDYGDIGCESSSVGSTRAKNCLCGSSNCRGYFS >OB01G43530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26514298:26518529:-1 gene:OB01G43530 transcript:OB01G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT5G16890) TAIR;Acc:AT5G16890] MAGKQLPSLARARPASPRGLLAGASLLLLVLAASYFLLLSPSSPGPASPSSGTGSAADTAFLASLDRFLDSRRPSSVSSAAAPGDLNAAIRAEEEARLYGDPRGAWPAAPAPLRVYVYEMPSKFTYDLLRLFRDSYRETTNLTSNGSPVHRLVEQHSIDYWLWADLIAPESQRLLKNVIRVRQQEEADIFYVPFFTTISYFLLEKQECKALYREALKWITDQPAWQRSEGRDHVIPVHHPWSFKSVRKFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSRRSTLLFFRGRLRRNAGGKIRSKLVTELKDAEGIVIEEGTAGAEGKAAAQNGMRKSLFCLNPAGDTPSSARLFDTIVSGCIPVVISDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLRSIDAKRIREMQTNLLKYSRHFLYSSPARPLGPEDLTWRMIAGKLVNIKLHIRRSQRVVRESRNVCTCECRMGNNTRMF >OB01G43540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26519262:26521567:-1 gene:OB01G43540 transcript:OB01G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPRSRKYTYGKRPSRSTSHVGFWKSTSKNEPVLETQDDGTTKVIGYKGCLTYHELDEKNATMAVKPTAGRELKKLSKKDIAIKTPWKMWEFVCSNSNRPCDVGEDPMRLNDWVLCKVTNKEMCQGASKKTQPVNKKQKLRGVVIKQPLESSWASSSQDTVVQQETPNSSLVVAGGDAAAAVTAVPTPLQMVPPYRSSLHYNTNDSLVIAGGDAAAAEDPLVLQTVPPYAPSLHYNTNGFLVVSGGDASVVKDRMPLQMVSLYAPSLHHNTNGSLVVVRAPPAVAAMAEDPMPLQMVSPYAPSLHYNTNGFVVDYSTEVAQNSIVVDYNIGVDASYITSDSPVTTANGFVDLQNDSLNYQ >OB01G43550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26538615:26541902:1 gene:OB01G43550 transcript:OB01G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:J3L549] MYRVKSESDCEMIHQDQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTAEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQGSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKNCSFMDQVNQTGMLKQSDGVLPGLSDTINGVLPLVDQFSNDSEKLNQAVGFDYLHEANSSSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECVSPRNSGLLEELIHEAQTLRSGKNQQTSVRSSSSSVGTPCNTTVVSPEFDMCQEYWEEQHTGPFLNDCAPFSGNSLTESTPPVSAASPDIFQLSKVSPAQSTSMCSGEQAMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIECRPVLGDGLVFNSSSWSNMPHACEMSEFK >OB01G43560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26544703:26545065:1 gene:OB01G43560 transcript:OB01G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHHGEFVKPGVDPTAKLSPTHCHLGPTNARAHPSARRKRARRRSMRGNFASEVGEAEEKGGSFFRFLLPPPPPPPPPRFPLLLPLPSLRSPSPPTARHRSNQIRLLLSTAAESGSPDR >OB01G43570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26545271:26550585:1 gene:OB01G43570 transcript:OB01G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCISERASEHIELDDNVPLLRRVEHEYNRFDQHEIPAALTPFPSMVNFHQGAVVGKDLSSNQDVYSKDLLSIKDKADGHTSLFKGVLSGLDEKDASFGIFSTSKDINGHGKLEENLDQEIALTDSSTSRMRDISEEKPTEGEAGTSTTACAISVHQRETLEELRINTKDFEDHLLDSSLGSPWARVGSEDGVGSEDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTRPDTISKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKDNDEEKKKEGKEAAFIWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNNLMDIDNNDGGRSIDGLSRFRVQVPHILVPRRNPFDLPYDSEEATIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVTSGEPVKASLRDMFFRRHDSFNIGRTDATQERFSRFKPYFVPETVEGRTSNFQRQFSDRSESKLSSITESDMASSAADQEDHKDLDEKDLPKEYESPALQRQDSDLADVESECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLASTKGKGPEEDYLLKSVGNSNTLHPVADLLIWEDGDGESSHGANSSHNTVGFSDWVSPPRPTGHDSGSQNLHEFLDTEVASSSNTAILRARNPSENNGNDDFISYSNNEMTSDNLVHGSMELPPEFCNETLPVISRDLHPIPEERVVENFSVQEKHEAVIFTDSDAALTGFHVIEEHFEVGCEVSPSSEVVPSCLPSDSIQSPLLETKEISNPFISMASEPNNMDMVNLKEEITAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDVVGDFRVEATRSDQQVPDLDSHVDANGVAESSLISPQTSSDTFSTMKYALDDDLNGTSPEFSWSLGAPHDDPEQTVYNPRRRILGASPFEETNTEIKPPFDEREASLVSVPIEAHLAVGSSQVDAARNEPELTKTDTEMVILEAKSLEDIETAFKQASDGLAESTVDTKTPQVSVVNIDPESIESSKQLDVIDAKSVDDIYVASKEHSSLAVNSSFEENKGKECGDTVKFTSNDELLEGTHIEGNTVGDGTEPEPMGTSSNMDTIEAETIHDIDAVFKKLSDGSAKSIIQAVESENTCEGSDDSEQH >OB01G43580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26562758:26563753:1 gene:OB01G43580 transcript:OB01G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQNAQIDSDARLEEEQPMVPHGRVHPAAATSSSDFSGEMNQSAASSDPSSSPLYSFHFEKPVPPTAQPPPSDALRYHQQQPPPAAGTYVVQMPKDKVFRVPPPENARLFQHYSRRAKRRASCSCVRVCSWLLLALLVLAVVLAVLAAVVYLVFKPRQPDYTLQSLAVSGLVGILGNASSSSSSTAPVTFSPEFDATIRADNPNDKIGVHYEGDGSHVAVSYGGVRLADGAWPAFYQGPKNVTVFVATAKGSGIRFSERFLREIAADGRLRSVPFDVDIKLPVRLQLGGGKTWAVPVSVRCTVAVDRLAADAKVVSKSCNVKVRFLFWKN >OB01G43590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26571185:26572246:1 gene:OB01G43590 transcript:OB01G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPAPEFDQFDCLPDPVVQLILNKLEDVRSLGRCAAVSKRFSALVPLVSDVYLKIDRVLATDGEADDALNLSSAKPQNIISHFFKLMLFTIVKPFHSMHHPNGTGRPLFPLAQHSPVHVLRNFKDVWNLRVELPSGDVGTEEGVLLKWRAEYGSTLRNCVILGGTPVDRKPVGSEHEPPVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIISDHPTLRSLVLADADGQGALYMGMEQLREFRENNLSTSACFNRTQVPACSMKLKYAPYLELPGGLALQGATLVVIKPSNDGSCGGHSSRKETEAFVSSAFDEPFRFAVKALMKRRTYLLEMNGF >OB01G43600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26580062:26580352:-1 gene:OB01G43600 transcript:OB01G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVSVCAAYFLISALLLLHCLLSLQTARVPRDDRASEEGFQSRKLLQNVLITSSENAEVFHAATSVDNKSKKKPDAEATESLKKETPSKANPIQN >OB01G43610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26584693:26585817:-1 gene:OB01G43610 transcript:OB01G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQNPPPHPTSPSRLPAAAAAWTARRVPSRSPWPPWRSPAPRSSPPRRPRTSTPPRASPLRQPAPVACASRRSPPRRRRSFASSTRPRRGLEVGFRRPRSPKAAGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASAAASAAASGLPSHMFEAGAYSFGSRVATLVSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKPPPTLLNAATWAIHMGVSSNLRYQTLNGIEYLLASAAPPSVFKVSVVALRCMNNVLGGMSFVLLARLTGSQKSDAPAASATAAEEKERLIAEGNAVAAATVGAGRDGEGK >OB01G43620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26589667:26592073:-1 gene:OB01G43620 transcript:OB01G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G21480) TAIR;Acc:AT1G21480] MRGPHHAPSCTRAHQAAGLLLVAATFFLTRLLDRGPLSLSLSAPPPRCAGAAALYSPWPQPQRQRDLRIYVYAEDEVDGLRALLRGRDDDVPAATCLKGQWGTQVKIHQLLLRSRFRTLDKDEADLFFVPAYVKCVRMVGALNDKEINQTYVKVIGQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKPDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKFSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGLGLLEYLESIPDERVEEMIARGREMRCLWVYAPDTERCSAMSAIMWELQRKVRGFHQSAETFWLHNRSIVNRDLVEFHEWRTPVPLP >OB01G43630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26594318:26597995:-1 gene:OB01G43630 transcript:OB01G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLQLFSSFPHKYSCSALFSKVQTFSLQQNDYKNKLFSGSLPRGGSPAQAVGPVGSWGARQWRLRPEAGAGGIAAGAAAQRGQMQMQGLGRQGSLCSLTLDEVQSHLGEPLHSMNLDELLRSVFSDGVAAADGATTSQRHEPGSGLLRQGSIAMPPELSKKTVDEVWKGIQAAPKRNAEAGGGRRRRERQPTLGEVTLEDFLVKAGVVTQGSLKDLGDAGNVVDPVGRAAAATGAVDLASGAQWMDQYNQQIASADAHHHGQQSVQGSYMSNRLVPQPLNVGLGPGAILESSYSDGQTSSGMIGGISDSQTPGRKRGMSGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENGRLKRQKELDELLCAVPVPEPKYQLRRTNSADF >OB01G43640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26603016:26606322:1 gene:OB01G43640 transcript:OB01G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKKTAFAAAAAAAAATTPLSPSWSSSASSCIVPACAEGSEKKNKRKHRKRAKNGAGDAAPRRGSSIYRGVTRHRGTGKYEAHLWDKHGWSPDRTKKGRQGAYDTEEAAARTYDLAALKIWGSGHAMNFPIDTYRQERERMQRMTREEYLATLRRKSSGFSRGLSKYRGVAKHHHNGRWEARIGRAEGKKYLYLGTFDTQEEAARAYDLAAIQFRGRSAITNFDARCYMDQLQPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAAAVVSYAAATISSLASGRWWH >OB01G43650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26606363:26612534:1 gene:OB01G43650 transcript:OB01G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLNARESGREQEKARTFGCTTLHATVIYTSELGIGTCTLSDSDVAMASTRKRCHAQGEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >OB01G43660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26612902:26615941:-1 gene:OB01G43660 transcript:OB01G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein [Source:Projected from Arabidopsis thaliana (AT5G04920) TAIR;Acc:AT5G04920] MSAAAGDWLPAADVTAAGRPVLSAGEVERHLVAQADLEPEESPRLAPLRGCLLVLTSHRLIFLHEPSRSARALPLAAVVHPYPPHRKHSHNPLRSIFSSSSSSSSPSSSHHPRVRLQISLPPSRSEVVAVVVTGKGDADVFYGRLLEAVRARAWELVPVAAPAGGSSVAQVAPAEEDLSIKMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLMNSSAQSNSNDDEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLADYIRIPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALQKGISPSDAAFTLGIAPALAKEHLLNAENIGILCRDVSPDGLRFYINIFNEIDPQNIYLSKTHGLYHTWTSVTTASQ >OB01G43670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26617609:26622096:-1 gene:OB01G43670 transcript:OB01G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGLEAYRFSISWSRLIPNGRGAVNQQGLEYYNNIIDELTKRGIQAHVMIYHLDLPQALEDEYGGWLSPRIVEDFTAYADCFREFGDRVLHWTILAEPNVNALGSYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMLLVHATVVRLYREKYQALQKGIVGINVFTLWCYPLTNSTADLHAVQRYKDFTYGWILHPLVYGDYPQVMRKIVGSRLPSFDKVQTELVKGAVDFVGINHYYSLYVNDLPLTEGVRDFIADRSIYTRASKTDPPTGQHAPTESLNDPQGLQLLLQYLKETYADLPIYGMSRKGSSNDSLDDTDRVDYMKGYIGAILDAIRNGVNVRGYFAWSFVDLFELLSGYQTRYGLYRVDFDDDAPPRRARRSARWYSGFLRSKQEPPVLIAQQ >OB01G43680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26628086:26635675:-1 gene:OB01G43680 transcript:OB01G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRRNNHGRKIAGRAARAPQLLCLSSLPPPLMEGARAAAAFLCVLLLFLLAAQDAALGGDDYARGDFPRDFVFGAATSAYQYEGAVAEGGRSPSIWDTFAHAGKMTDKSTGDIAADGYHMYKGDIKLMAETGLEAYRLSISWSRLIANGRGAVNQQGLKYYNNVIDELAKRGIQAHVMLYDLDLPQALEDEYDGWLSPRIVEDFTAYADVCFREFGDRVSHWSTLAEVNIGALGSYDKGVFPPGRCSDPFGRTKCTVGNSTVEPYVAVHNMLLAHATAVRLYREKYQALQKGMVGINMLSLWSYPLTNSTADVHAAQRYKDFNYGWVLNPLVFGDYPQVMKEIVGSRLPSFTQAQTELVKGAIDFFGINHYYSIYANDLPLADGVRDYVADISVGYRAHKTDTQTDENDPTKFPCDPLGLQLTLEYLRGSYGDYPIYIAENGKGSSNDSLDDTDRVDYMKGYIGAILDAIRNGVDVRGYFAWSFVDLFELLAGYQTRYGLYRVDFDDDAPPRRARRSARWYSEFLRSKRGSREPVLIARQ >OB01G43690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26637816:26643950:1 gene:OB01G43690 transcript:OB01G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFSTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGNSSNKPVNDSESVKSSENDMRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSLLYSPGRLRDQMHEDRFANESSGSRFSDFSASSTGDFRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQTDFNGVRRSQRTGSSGSFGSFDGSSTSNKSVDLAALPDAPAEKPVHSAVNRETFTSPVAHSAQVYTSQSNKNSSVSQTPPTRESVHHGKVHMVSVAPLPVSTQPVTSTNQNLFDQSMQHPVTSAAPIDLFAGFNQQTSSASHKTVDLGSHSAPKETLHDVVIQKAVASSPPVQAEALTTSHPVHQDLLSLSTLQEPSISSTPPAIDLFAGFDQQLPPVTIVQQSQPAEPSISSTPPSIDLFAGFDQQLLPITSVQQSQPAVPLVAEEGWAFFLDTPQHTPIPNVQAQVPAAIAALPPSDNLAKGINQSALPTVPPNALMPQSCPLVMDQWSLNAQEVKAPVSKESSQSWNAFGEPSGNTTNDSFTFNTMSQAAPHHFNVPSIPHAEARSPEDWPSSELEKPIPGDITPGINVSPGDMAGPSFHGPLQPQLDVMASQPAKSTNPFDMAFESDVEASGMFMDLTSLQAALPNPHVNSDYSNLTEPWIPHNSSMPYISSGQQGGLSYMAKQVPDSLMLNSTQGSFPPRNPFE >OB01G43700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26644837:26650265:1 gene:OB01G43700 transcript:OB01G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANPFLAMQLFGQAQQLQSLGFLAAAALQQKQPQQQAPFFPQGGFPPNPNQFGAFPGQQSCFNGAGPFRPGGVGAASPRPPRPMMGASGNCYSGGGGRGMGAGAPRPTSNGGGNDRNSSGGKGGERCIPISVSLQQVNYTKIEPDGMPHFASENGERRNMTGQKARFNSGRDCRDGRQFGPSGGRGRGRSFNQGRGRGNNNWRDVKPKFRSSDSPSPASGQRRNDSPASGGQRKRPPIIYDANEVKQWLEARKKNYPSSVNINKLLYVRISEASSTDHLMQKLCKSQPHGEKKEEEALMRRQELKEVLAKQKELGFELPELPPGYLSENEDQGNGRKSNWKNQSRDRRFGNHANNKRSRYDRKDFQSKRPKVWNQTRCDDGAMVKSREPSLLQKLLSPDVKRDRHRLLHTFKFMILNNFFSDYPDKPLEFPSVKVNQNELESNVAAEELDDLMNNGTAEGSNLDLEENDDQKESSSVDGESSLDDNNDEEGDDGNASAESSDKDENEDDDAGGILLFAPGFAKPRKPRRGNRALRGGTVSIFLKFFLHLTSHLRSPRGNRGFLAQVGTAVFVAYRAVTVANRADQNIDAAHGWGSAPDNFFPAPSRCPKIRYDIFGKVFGTKHGLLKYSPFVLK >OB01G43710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26652341:26653912:1 gene:OB01G43710 transcript:OB01G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLRLHTALAAVAVAVALALGMAAAQMSPASAPSPAGGITPACMDALLNMSDCLTYVMNGSTARKPDEPCCPELAGLLDSKPVCLCQLLAGGASSYDISVDYKRALALPGICGLAAPPVSACSLLGVPVPMAPSASPSTGLAPSNEPQMPQKSPNASPSKSSNHAPGRFTAIAALLLAVAAAGMF >OB01G43720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26656065:26660727:-1 gene:OB01G43720 transcript:OB01G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGEGKIKGCGPKLFGAKENKVSKRIDNPNCSTAGKFCPSSSNVASSSPLRALSEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETDANVFQHEPSPSVDSTASRASSSLDASFSDLAKTSSSSTIFQKSYLKSIRKSFMPVQRKRLKACNCPVETAKSSYRDACFGCPKAYAYEIDSSEEDEQEENKEGSNGSVCSGVISAPTARDQLKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTTCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGARKIPEKILEHDKVIWFGDLNYRIALSYADTKKFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIYFAPTYKYSCNSDAYASETATSKKKRRTPAWCDRILWHGDGIMQLSYYRGESKFSDHRPVCGTFIVEAEVLNKKAKMLSSNANMKIGAEELLPKDKNKGKGSLRCINSPKIS >OB01G43730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26663992:26666193:1 gene:OB01G43730 transcript:OB01G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLKPREAATLPRHLLEAHVVALVRQCCPDLRALRAAHARLVRLRLPRLTAVFALSKLLASCGAAASYARNLFDQIPEPTAFCYNSLIRTLSAASGVPAADAVLVYRRLLRAGSPHPNSFTLAFVLKACAAVPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMVGDKNLAAWSAMIGGYSRVGMVNEALRLFREMQSAGVNPDEITMVGVISACAKAGALELGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKGVFDAMVERDTKAWSAMIVGLAIHGLVEDALKLFSRMLEFKVRPNNITFIGVLSACAHSGLVNEGRRHWSTMQELGIKPSMENFGCMVDLFCRSGLLDEAYSFVTSMAIPPNSVIWRTLLVASKNSNRSDIVESASKRLLELEPCNPENYVLLSNLYALNSQWDSVSNMRKKMKEHNVNVVAGCSSIEINGYLHKFVVRDDSHPEIKEIRLLLREIADHVVRAGHKPWTEAVLHDVDEEEKEVALCEHSERLAIAYGLLKTKAPHIIRVAKNLRFCPDCHEVAKIISKSYNREIIVRDRVRFHRFVDGSCSCKDFW >OB01G43740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26667302:26671379:-1 gene:OB01G43740 transcript:OB01G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITCTLLRLEVNDLIGITLHPILVVSGWPLGSLRACDQKGLGLLEVVIAVTSSKRENSLLNLELSLWMGGSLVSSSAKGVSSSSCLCHSTYERAGFEHSSCACSGDGNVEAGIDLGQDDLVVDEIGMAITDVMHVCSDDDDEGTNSGEDSENEDPLSLESDSTNDVVDIDTELVASPIFPSCNTSESSIDKSGYGNSSTNDTLLVSALKGSRAKRGIVTKLSVSWAPDVYDPPVTSGSHTVKGHQRSSRKSHYKYKSTKSTSSRNSSGSKKDRKYSRHSSSSSSSNYKRDRKPSYRNTNVGGGSVGGSSNRRPESSNVYSNSSGSGSRNDIAVPQCSRLSPLVPSETAKYRNVYSSTSGSTTDIAISHHSKVSPLVPSEGQSLSGTVPVPSESASLAGTVPVLKTLEPIRRSSSCCKDQPLSFLSRQIVAAKYKGMFSLWSHNQLAS >OB01G43750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26671217:26673874:-1 gene:OB01G43750 transcript:OB01G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G08710) TAIR;Acc:AT1G08710] MSASDLPDELWARVLELGAASSALGFRDLCALAIACRRLRRLSLHRSLWSALLSRDFPSQSPQPSTSSSSSSSQHHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLAQLEESLQAEGEKLKASAQELENLERVRRASVALNVWQPQVVRGRQKELVQQCTVPVDSRLSDLKMELKVCKQQIATYKNIYNKEKEKLNEYEEALERAMYHPLQSSHKSSIVNDPQAKRKKLK >OB01G43760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26674463:26677999:-1 gene:OB01G43760 transcript:OB01G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:J3L570] MAMASPPACSFLLPPAAAAVSTVPASYGSVSIPRGPRFLTSPARPVPPRRPLVAASSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNIVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRILLRAFGAEIVLTDPNKGLKGALDKAEEIVSRTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILIASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNPGYIPSILDVQLLDEVVKVTTAEAVDVARELALKEGLLVGISSGAATVAAINIAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >OB01G43770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26678485:26682340:-1 gene:OB01G43770 transcript:OB01G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:J3L571] MDLLQGQSVQTTVAIAVAVVAVAAGGAFILLRSRKPKGCLDPENFKKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMKVDDYMSVKGPKGRFRYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDSTKVHLIYANVTHEDILLKEELDNLAKTYPNRFKIYYVLNQPPEVWNGGVGFVSQDMIKVHCPAPAEDIQILRCGPPPMNKAMAAHLDELGYTKEMQFQF >OB01G43780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26690447:26690764:-1 gene:OB01G43780 transcript:OB01G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGHPAPAARSALLAAAGARPVEIGDAHKELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >OB01G43790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26709271:26710867:-1 gene:OB01G43790 transcript:OB01G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMEKAPRRKAQAAPPLVALLVLSCLVLLPLVSSVPLSRSMSLSNHQASVSGLEASVDQPLDGFIMAGRAVRVAPTDNAFFQKEKRSSSASRPFVQRTFSVVAAAEERNLDEVAATARMVIEVNDYPGSGANNRHDPKSPGRA >OB01G43800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26712556:26716929:1 gene:OB01G43800 transcript:OB01G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT5G17790) TAIR;Acc:AT5G17790] MLSRPIPSRYLRPSDGLHRPSLLRRRPPKPDRPRPIPHRGRRWRKTLPFLAVAMGGAYKLLSSLLLTSSPLRLRPSAGAFALFLSPPAAAASRRQLLLSSPAPLRTLSASASASAAAASSDSYVSSSSSSSSSSAASPSLPSHSPFPEWSRLVDRLAAAGYGARTPSSADELALAPECGLSPDAEAAVSCFLSFARDRPDLLRSLPRKDVEVLVANAAPILFKDGEASELRLRQYLGGEGSDVTQSERAETIDIVRYLLSYAYGSPDSCSKDKELTDTAVRNILAEFVSFSGFPQTSSYVESTTGQNTLGQHERFSRPPGQNIEMKRGDWICTRCSFMNFARNVRCLECNEHRPKKMLTGGEWECPQCEFYNYGRNMSCLRCDCKRPGTIPPNPAGAGLGGMAQFLNANNVGKSEIERKLAENDAKAERWLSKVSQLDDSADLSSVAADEDFLEIMPMRKGANKFVVSTRKTPLERRLANAQYSSNNSPQAGSSDSKISKTLDRILGRSTSTSAQNNQSGNGDVNTVSNKTTGYLGGIDHVPFVPLSADQFTKPQNNFSNGQPDAQIGTGADSMENSQMDLMERRDDKKSLDTAEQWSKKVSELGDVKDFPSAISDEEFPEIMPMRKGENRFVISKKKDRTLTSPQYKRRSVLEHADNSNFVPFVPFPPGYFAKKDKHVENPSDTGRVPEDPPSAEKLPETNSSSGNLGNIQNSSQVVSNQTVKNMNDENRNGHYPHQSAGSYGYGENVSYHQPQSQGMDGRSGGTWHANNNQGSFNGSRDRSAYNGDNHSAQPSYMSGYGNNNNGWTSNNNDSNNAWSSTRNYDNGGRSDNNPYYSSSTRSSNSSYNNSGAWSNNSSYNSSSAWSNHSNNSRSDSYSDNSSASSGSSATIPNQTAGYSNYGESSNRGYTGKSLEGSAVRDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEPDKDVN >OB01G43810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26718292:26720316:1 gene:OB01G43810 transcript:OB01G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKSQAKGGAAQRGSKGPKIGGGGGKR >OB01G43820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26721017:26723212:1 gene:OB01G43820 transcript:OB01G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15010) TAIR;Acc:AT5G15010] MLVIAYLNLELCSLIPSYWFLSARRTLISFVLCDASGEDERKVKAPSYFTLSLCFWRNLYEVQRRENNKSQLSSSPPPPAMLRSALARAIPLLSAATTARGFTKPRSPPLLFCGGSRISTSRDGSSRDGGGDGVDGEEDDPFSFPDLQKLPADVARDVDAVVGAVEGFHLDAARARGLLGSCAAAHAAFRWASEQPGYAPGRRECHSMLAILAKHRRFDDARALLDKMRRSSLASPAAVLLLIRRYCAARDVASAVAAFRALPSLGFRAGVSEFHGLLSALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNMVRCVREAKRFWNAMEIKGIEKDVISYGSMISCFSKVGSLDTVMKLFNRMKEAGITPDRKVYNAVVYTLAKGRCVDEAKTLVRSMEEKGVAPDTATFNSLIRPLCKARQIQEAREMLDDMLGRGLSPSVRTFHALFDVARSPIEVFDLLDKMKALQCEPEMDTYIMLIRKFCRWRQHDSVEKLWSAMPANGLTPDRSAYIVLIHGLFLNGRLEEAAKYYGEMKTKGFSPEKKTEEMIQAWLSGRELAKASASVRSRGDLVSLRPHPRK >OB01G43830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26724022:26724189:1 gene:OB01G43830 transcript:OB01G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYGMSQTPYPCTPEDLCYILLDILILPSSGLFCHLSIHIFFIFSHPEIFHMPS >OB01G43840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26724878:26726075:-1 gene:OB01G43840 transcript:OB01G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLAEADRAPGKKGSQKLDEWVLCRLYNKKNNWDKVKLEQQDMAAAVAAPRHHHHHPNGEVMDAVADTMSDSFQTHDSDIDNAPACLRRGGFGDVAQGQPPRNGVVTIKEDNDWFTGLNFDELQPPYMMNLQMQMVNPALAGHDGAGYMQSISSPQMKMWQTILPPF >OB01G43850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26737033:26745981:-1 gene:OB01G43850 transcript:OB01G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRFMRRTTSLAVHAIAVPHARLLVALLVEHQDRRRLLLQIHSQLIAHQVFDRRPTPWHALLKAYSHGPFLQEALQLFRYAQWHAADDTYAFTFALKACAGLGWPRAGAQLHGLVIRKGFEFHTYVHTAVVNVYVVCGCLVDAQIVFEEMPVKNAVSWNVVITGFAAWGEVEYARLLFEQMPCRNVISWSGMIDGYTRACCFVEAVALFRHMMAEGIHPSEITVLAVVPALSNIGKILMGEALHGYCEKEGLVWDVRVGNSLIDLYAKIGSIQNSLRVFDEMLDRRNLVSWTSIISGFAMHGLSSKAVELFADMRRVGIRPNRITFLSVLNACSHGGLVEQGVAFFNSMVYEYNINPEIKHFGCIIDMLGRAGCLCEAEHIIGDLPMEINVTVWRTLLGCCSKYGEVDMGERTMKKILALEREFGGDFVVLSNMLTELGRFSDAETVRKQVDQRNSVKEDVLNDVQALLILNGPNVHGRIKLFFNIVRMEAIKKLHAHCIVSGLYNCHYAMSKVLRFYAILQPDLVIAHKVFDQIEGPTTFYWNIIIRGLAQSNAPADAIAFYKKAQRGGMVPDNLTFPFILKACAGISALKEGEQIHDHIMKLGLLQDIFVSNSLIHLYAACGNICYARSVFDEMSVKDVVSWNSLICGYSQCNRFKDVLALFELMHNEGVKADKVTMVKVVSACTRLGKYSRADCMVRYIEDYCIEVDIYLGNTLIDYYGRRGQLQSAEKVFFDMKDRNIVTMNAMITAYAKGRDLLSARKIFDQIPKKDLISWSSMISGYSQADHFSDALEIFRQMQRAKVKPDAIVIASVLSSCAHLGALDLGKWVHDYVRRNIIKADIVMENSLIYMYMKCGSTKEAFQVFKEMKEKDTLSWNSMIIGLANNGFEVDSLNLFHAMVAEGFRLNEVTFLGVLIACANAKLVEEGLDLFESMRPVYNLEPQMKHYGCIVDLLGRAGQLEKALRFITEMPIAPDPVVWRILLGACNTHGNVAIAEVVTKKLNELEPSNSGNYTLLSNTYASAHRWGDAVNVREWMADADIIRAVSATVTDLKTFPDCPSSHFIDHSFRTLLRSKSIVKEGTLDNLITKLLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLEPSRWPSPTPSESLVVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADNKPLRMVDIFAQLQNASEAYRTYIRDGLAQVEKNAAAGHTPSNLPLFTPPPIAPIPSPKFAPSPVHTKSINSKTDSNEDYAGGDTPFQRQTDTTNLQGRWMPLGNGWKAYCSCWCQFRCSSDSAITEYEWIKLIGTPAHHSKNLSPALVMLSKINSQTFHPWLPCGNRANGYRGRDGRNGKWNPDSTHGRESFIWVASTNEEAKELIDGTT >OB01G43860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26751257:26751637:-1 gene:OB01G43860 transcript:OB01G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRGRRCELETAAAEEGNKSEAVRAQGKGARLLPSPVASMGPSIVVLHSNPTSSSIDSVPQITGPQQLTQEAQILLTTDPVLYSSLTPFILLENAAIVHDSIRRSGKRMHRINHADPPMEAGQH >OB01G43870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26752515:26768436:-1 gene:OB01G43870 transcript:OB01G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MWERAGGGGGGWWGRRRLRRPAMSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAEVANVSGVAKPTRKIRSEQEKELEEEAVPETTGANSSEEAVTEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLVTDVNLAVSVEATQAIGNLAKGLRTHFSGNSRILLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCVTLLDVIEDVRVAVKNKVPLVRSLTLNWVTFCIETSNKATVLKLHKDYVPILMECLNDGTPEVRDASFSALTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTALNSGTVPASNSGVGTSAREVVDSSSMRRSAASMLSGKKPVQAAAVTKKSGTAKPATAKKTDGGPQSKASAAPVVEDVEPAEMSLEEIEEKLNSIVKSETISQLKSTVWKERLEAITMLKQDVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAASAPKRTIRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVNKIVEEAHKRIQPTGTVDLFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEVLKICGQDVVAKNLKDLPSPTLAIVAERLKLSSVHEGFSDSVKMVTTNMSLPSKAGSKNSKHGPNDRGPNVSKAASQRGIPARSSVTMISTQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSVKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKEQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDLAEQSGEVVSRSMAGSIMSRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLEPSRWPSPTPSESLVVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAASNNPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINSKTDSNEDDAGGDTHPFRGQGETDSRLQTADLQTDRYHQSSAGTLDALRERMKSIQAAAVGANFDVVQTRPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >OB01G43880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26785426:26790840:1 gene:OB01G43880 transcript:OB01G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEMQWLLLLFMLLVGLQPSFSQTNPQDVSALQALMKNWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMRLSGINLQGTLSDTIDQLSSLTYLDLSNNPNLGGPLPPSIGNLKQLATLILLGCSFNGNIPQQIGTLRQLTFLALNSNKFTGGIPPTLGLLSNLFWLDLSDNQLSGNIPVSSGSNPGLDQLVNAEHFHFSENQLTGPMDEKLFSEKMRLKHVIFDNNNLTGPIPGSLGRVSSIQIIRLDHNRFIGPVPGSIANLSLLMELSLASNQLNGAVPDLTSANALNYVDLSNNNFASSPAPRWFSTLTSLTTLFMNNDHLTGAIPSTLFSLPQMEQISLAKNAFNGILNMSSNVSLPLRVVNLTNNQIIDAKVDPSYTGSLILAGNPVCFDNINLCTLKQKQQVPYSTNLGSCVAISCSTDQSANPVTSQKCACASPFQGLMIFRAPAFSDVTNPKSFQLLELTLAQNLSLAPGSVAISNVEFSQGEPLTFTVKVFPDSGTSFNHTQVIRISSALVNQTYKAPASFGPYSFIASTYFASPSSKKTSMGKGAIIGIAIAGFLLVVGLILVGIYALRQKKIAKEAAERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKKCTNNFSETHEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGAHLDWKKRLRIALGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGTYIVREIRTAMDQYDQEYYGLESLIDPTIRDSAKMVGFRRFVQLAMECVEESAADRPSMNDVVKELEVIIQNEGAQLLNSASQSAEKFGYEKGRDLYGDHLPMNDDSSSGALDYNSVHSYSVVEPK >OB01G43890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26798704:26800607:-1 gene:OB01G43890 transcript:OB01G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRASAAAVLLVAAAAALLTAVHAEDPYHFFEWKVTYGTKTILGEAQKVILINDMFPGPTINCSSNNNIVVNVFNQLDQPLLFTWHGIQQRKNSWQDGMPGTMCPIQPGTNFTYHWQPKDQIGSFFYFPSIGMQRAAGGYGIITVHSRLLIPVPFDEPAGDYPVLVGDWYTKDHTVLAKNLEAGKSIGRPAGLVINGKNEKDASNPPMYTMEAGKVYRYRVCNVGIKTSLNVRIQSHIIKLVEMEGSHTVQNTYDSLDVHVAQCVSFLVAADQKPGDYLLVASTRFMKETSSITAIIRYNGSNTPASPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIRLSAGRAKVGGKERFAINGVSHVDAETPLKLAEYFNATAGVFEYNLIGDVPPAAGAAVKLAPNVIQTEFRTFIEVVFENPEKSIDSFHINGYAFFAAGMGPGTWTPDCRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNMWERFYLGEQLYVSVISPARSLRDEYNMPENGLRCGKVVGMPMPPSYLPA >OB01G43900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26804383:26807386:-1 gene:OB01G43900 transcript:OB01G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASSSSSPSPSPSFPSLPSRSLIAILPAPPAAPLCPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRAEAVALAGALDEAGVVLLFRDKVYLQPEKIVDLVRKAMPLALTPEDDPRKEELKKLQTQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQRKLIQRQNFNLDRYLELQRRCKDPLEKICGTNQSSNPDIAHLHELSVNK >OB01G43910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26811068:26816389:-1 gene:OB01G43910 transcript:OB01G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNPAAEERVLWPASVLAGIVMCTVVYEITQKVSSHCFKAYDNLSAMKKVEWNNRGFSTFHALVAAVVSFYLVVISDVFQSDIIIDRKSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGQKTHNLYVYNGLALFFGWLAARVILFIYFFTHMYFHFDQVKTIFTLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRKKPIENGKAD >OB01G43920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26819362:26821462:1 gene:OB01G43920 transcript:OB01G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPALIADELPTNVTAQISNATRPKATSTVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVVVIVVTTRVLVLLLKPFRQPRVIAEILAGVVLGPSVMGQVPVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDVNVIRRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLLAGVAFVLACFYVVRPLMWWIIRRFPEGETIGDVHVTLILTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGPLGVVLIEKLEDFVTGLLLPLFFAISGLRTNMTKVRDPITVGLLVLVFIMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVVMTTLVTPVVTTVYRPARRLIGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHTASNQSRSAAGSLPGASDHIFNAFENYEASAGGVSVQALTAVSPYQTMHEDVCILAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASTPCSVGILVDRGLSAATARMATVHHVALLFFGGPDDRE >OB01G43930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26821563:26825781:1 gene:OB01G43930 transcript:OB01G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIVNDMCAWILLALAIAITEVNSTALSSLWVLISGVLFVLFCFYVVRPGMWWLIRRIPEGEGVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGPLGVALIEKLEDFVTGLLLPLFFAISGLRTNISRIRDPITVGLLILVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVHRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSVLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQSRSSSSSTLPPVTEHIFNAFENYERHTGGVSIQTLAAVSPYQSMHDDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINPSIRGFNESLLSTSPCSVSILVDRGLSAATARMAAEHHVALFFFGGPDDREALAYAWRMVEHPGVTLTVVRFVPPEYRVRSFSHSSYRSTASDADSRSTGTYMEGKTELQMDEDYLGEFRSRNLGNEAITYSDQVVANSEETVATIRSMDNSLHELYIVGRRPGEAGSPMTAALEDWMECPELGPIGDMLVSSDFSMAVSVLVIQQYVVAPAPAAAVTAPAGNTDPVRQYVSNANQRPSAAYRTAAGSSTANSRLSGGTDLRVPLHLPWHSSLSPQVTEVSPAAAGRQGYSSPSTLESRLCSTLALALVVALRAPLLGGPQSPPERYVSNMFAASTCFSLGDGETALFWSDRWIGVRSVVALAPLLLPLVPRRIRTQRLVPASLANNAWISNLRGALTVPRRCWTADLLLRRGFDSHSAYPFCAQDSETTNHILIDCVFARQIWLLVLTIAGWASISPSRGAYLQDWWPSSRALLPKHLKASFDSLVLLISWQL >OB01G43940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26832853:26833470:1 gene:OB01G43940 transcript:OB01G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVAPATGVVGGERGEEAAATTLTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDSESGDEVVLMDAAGTPAFTVRRKRQLSLQGEQWLVFAGEAADRAARRSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQRRVPAGGAARRWRAARHGRRGGARPDVREAVSLEELVLVDLPSLLVQSS >OB01G43950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26837007:26841057:1 gene:OB01G43950 transcript:OB01G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVAVVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKNAIEAVKTLRAETKTNDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGAIQILTSHTLQPVPVAIGGPGLHPGVRFRSDIQAPGLANVAATVMNFHGYEAPADYETTLIEVVDN >OB01G43960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26841750:26853661:-1 gene:OB01G43960 transcript:OB01G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKCKGKTLQLNRNTFSDXXXXXXRKLQRREISALPCRAFSASTTRERFRNIQLQEEYDTHDPKEMGALLPYLTKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLSKQRVSRFQSTPSEALGDITALYYDEEREEIYTGNRQGLVHVWSN >OB01G43970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26854354:26854662:-1 gene:OB01G43970 transcript:OB01G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTKPPTTSSYLILDYTFARQIWHPNLFPPATKPSMSSQLEGDYLQWWKDCHILGIKEQRKGLDGLIVCTTWSIWLERNNGIFSHNYFLTLFGSCRGYVV >OB01G43980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26857673:26861217:1 gene:OB01G43980 transcript:OB01G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSLMEALATAVQGGAVGTSIFDMAKYAMLPIAKVFTVCFMGFLMASKYINILQPNGRRLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGSVSGSLIGYVVACIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDNAEEEKLPVKASGDNVVPEVGKSPTITRTSTVPENEPLLSAEGDKNGATSLGSKIMSHVRRVIKFLKDMQLLQPPIIASVFAIVIGVVPVLKNFVLTDEAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESASILFWVHIFAVLSMAGWIILYLNLLF >OB01G43990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26864893:26867808:1 gene:OB01G43990 transcript:OB01G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT2G40815) TAIR;Acc:AT2G40815] MTKTSVEFCIISARGLGHRSTLLKPQWFSVAWIDPNSKYCTKIDASGNSDASWGTKFCISVDEHDTNLQRMELTVEVYRREPIFLREHLQGTAVIQLKEYFDKFAQGNEPSGVEEETTSFQLRRKKSDKPHGFVDISIRICKEGNNCATFSGSQEGLKYPDQVGITLAIEDGPVYNYPPMPSSHSKGYIQDADHYSNSMPMTNATRPGQSPSGNYSYQHPMVPPILPHPTSNPSFFTQQYPTRGQVPQTYINLPPRMGGQNSTPSLGMGLGAGALAAGTMIFGENLLSGQSLNTALDGASLSISNDAPF >OB01G44000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26866933:26868774:-1 gene:OB01G44000 transcript:OB01G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVPLQAILSGTRAGGPRLLLPLHAHLLVSGRLAASPAALTSLVSLYVRAPPALHRAVVPLLLRAASPSPPPLPCFNAALSLPHPLALRVFGHLRLAHCPDAFSFPPLISSAPSASQILALHALSFRCGLAHELFCASALLRACLRFGLADHARRLFGELPHRDVVVWNAMVNGFANLGCFDHAVECFRMMREEGEVEISSFTVTGILSVCTATADLGRGAAFHGLVVKSGFAQEVSVCNALVDLYGKCHKVDDASRVFEGMADMDKDLFSWNSMLSALHYSADYAGTIKLFSRMRCVAVWPNAVTVAAVLPACAQTAALQVGREVHGYIVTSGLDCCGLLDVFACNALVDMYAKSGALDEARRVFDQMQQRDVASWNIMIDGYASHGHGKEALELFRQMTEVERLLPDEITLLGALSACSHSGLVEEGKDFLKRMKDEFCLEPQLEHYACVTDMLGRAGRLDEARKVVEDAGAVGVGAWRTYLAACRMHGDKERAQEAARMLMLTEESESGGWVLLANTYGWEGNFEELEEVREEMKRRGVQKAAPGCSWVEVGGDNSGSGAVMHAFVSGDRRHPEADMIYEMLHSLISWMRDCSHLSSTTPLYSVELP >OB01G44010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26872218:26875541:1 gene:OB01G44010 transcript:OB01G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNTEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAMFSHLGVREHERLPIASPNQMHPLPQVPNFCGNGFNPWNGVLPEKNGIPRGSIGWQGAGHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDILKRQQLRELAMLNSTYREDSPHQNGSASPFSNGSTKLGKQ >OB01G44020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26879939:26881733:1 gene:OB01G44020 transcript:OB01G44020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGEVGCSRNNQQSVRPTVIAIVWEPPHELTEPASASHRSLSRASSSRLRACGAPSPSPRSRGPVPPPAVALPTCLVLERRPRPSSPARPGVLVVAVEPKRRLLLFLLEPQSPPPPPGRQPLRTSVGLRLVEGVIFSAFFPSGFWPLLLSG >OB01G44030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26881900:26884697:1 gene:OB01G44030 transcript:OB01G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 [Source:Projected from Arabidopsis thaliana (AT4G35550) TAIR;Acc:AT4G35550] MEWDKAKVASSAAAGEAVDDRGGGEGLGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKEITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESLTDKKPRSDRPLHQNIAMRDHNTERISEVHHFDTEHDQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >OB01G44040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26887281:26888054:1 gene:OB01G44040 transcript:OB01G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDPIQEVDQSHGTFSRRIHEYFHANKTFESTRTENSLMNRWFTILHDVTLFCGCVSRIEARNQSGSRVDDKIAKACALFKAEDKKHKKFTLMHCWNILKDKPKWMEKGKEVGCAKKTSNKKQKTVANSCIELDPPAAPPASGSDSQLSGRPEGKKKEKQKLRQRSTVEAVDYLMAKKKEADHDKELKKEERCNKVFALQEERIKLEKEKFEFQRQQEEDRILGLNLSTMN >OB01G44050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26891233:26902000:-1 gene:OB01G44050 transcript:OB01G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGGFRRVVIVVVLCIFHVNVVRGQSTDPDEVSALRAIKGRLIDPMNNLKNWNSGDPCTSSWRGVFCDNIPIKNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNISTLRLITLNGNHLSGSLPDEIGYLQNLNRLQIDENEISGPIPKSFANLTNMRHLHMNNNSLSGQIPSELSKLPLLLHLLVDSNNLSGPLPPELAETRSLRILQADNNNFSGSSIPAAYEDIPTLLKLSLRNCNLQGGIPDMSRIPEFGYLDLSWNKLTGSIPTNKLSSNVTTIDLSHNSLNGTIPSSLSGMPNLQFLSIKGNHIDGAVPSTIWSNITFGGNRSLLVYDTLQLYFLLSMDFQDNSLATIPAAFEPPQAVTILLYGNPVCTNSTPARAAGVCQPTSITEAPSGQGPRASINCSPCPTDKSYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFEKNLANLLVLADYQLYIERYIWEIGPRLNMHLKLFPNNTNLFNTSEVVRLRHQLAAWEITLSDVFGPYELLNFTLGSYADDFPTVVSSGLKRGALAGILVGTITASIAASVFSTIFIMRRRSKRRTTSRRSLLSRYSVKVDGVRCFTFDEMAAATNDFNDSAQVGQGGYGKVYKGNLADGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLIGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRVHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVQTISSLILVNTAYQSGDIARVIDERIISSPPECVARLAALAVKCCRDETDSRPSMADVVRELDALRGALPEGEDLLPEYADQSATSSSLTGPLSSSSTTGALFISSGSGGNAKSGIPSGTVAPR >OB01G44060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26910316:26915808:1 gene:OB01G44060 transcript:OB01G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSTQHVLRTLLSRASNLVVRGVLGESEVWGVSELQMEDLLDTEIGKHDYDWLLTPPGSPHAPILEVVEKAPSSIVSKCITTRSSSTTRASRLSAPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVLNANNSSVCSRPTTPSKRTSTLSSSKPSIPASRQIPTRSSTPTKTRPSTPVITRPSTPNKTRPSTPVKRPSVPNSMSTSTIAKTTSAQSSRPSTPTSRPRIMNSSSSTPPAASRPSSSSGRTNTISRTSSSTSTIPSASRPSSRSSTPTRQPIVHSSAGPSPSIGRIFGSKNITSIGRPVTSNGRNSAPSSAPSSRPSSPNPRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSVGRARPGVGLGPKSTPNAEQVHSAPVKKMAVPAITRSKFSDPPSRVSSLPNGHQSKQSEGFAVEGQAAKPLRSITDIDNGFGRTLSRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKGRPARMSDRPHLGLNGDRHFTDNGSMNGHFSVDSYGSLSNDGGSSTGSPDRESIGTKETLRELDLYTTARYEAMLLREDTTNTNWLHNADDKSDQSPVFDHRFEPLPEPFGPL >OB01G44070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26920039:26923964:1 gene:OB01G44070 transcript:OB01G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILIAFLVVSLLFTCLPHAKSADLNSDKQALLAFAASLPHGRKLNWSSSAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTALTSSLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPTSSFLGNAFLCGFPLEPCPGTAPSPSPTSPTPVKTKKNFWKRIRTGVIIAAAAAGGLLLLILIVLLLICIFKRKKHTEPTTASASKGKTIAGGRTENTKEDYSSGIQEAERNKLVFFQGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVGQHQNVVPLRAYYYSKDEKLLVYDYVPSGSLAAVLHGNKAAGRAPLDWETRVKISLGVARGLAHLHAEGSGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMACVAIPPEQRPKMDEVIKKILEIRNSYSGSRTPPEEKQKD >OB01G44080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26927829:26932052:-1 gene:OB01G44080 transcript:OB01G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMLLDFSGFCFGFLAGIVIGYFLFIYFQPTDVKDVEVRPLVEFDSNSLDGILHEIPLWVKNPDCDRIDWVNRFLEMMWPYLNKAICRTAQDFAKPIIAENIEKYKINSIEFETLNLGSLPPTFQGMKAYVTEEQELIMEPSIKWAANPNVTVIVKAYGLKATIQVVDLQVFASPRITLKPLVTTIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQLASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVFDWEQVGKHDKMGMNKILLKELPPEETKVATYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKGDDIEKGVHGTEAVEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGDEKKTKVIKKNRDPRWEDEIEFVCEEPPANDKLHVEVLSKPPKKGLIHRKETLGYTDISLGDVISNKRINETYHLIESKNGRIQIELQWRTS >OB01G44090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26934500:26938049:1 gene:OB01G44090 transcript:OB01G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 11 [Source:Projected from Arabidopsis thaliana (AT3G08690) TAIR;Acc:AT3G08690] MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >OB01G44100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26942823:26944311:1 gene:OB01G44100 transcript:OB01G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPKAVIYYCSKCRTPIRGKNPEPTDEAEYALSQLEILSADTASVFSDEPEMLSRTSSVAYGGGEQPPVRTSSAPYAAFDRGSREMEFNSARTGPRSGVLDGGEQSGDERRGSPMHSRVSELRPSSRRTRRPMSGDMDASRDYGSSYGSDNDVPTSAASYRRRASPLSSQELDSSSMGSSGYQPSGASSSTMGLSSGYETSGAVRSPLTNPAFQRDLLQALDNLRRVIAAVEQPYGVETPLQQAGMPPKSASCNDGAGAYTAVTRRNSRLMRRLESQLVQALPREALRRDASTSSSSSASSSRPGSDRHRARKHHCRAILGGTPFVVCDKCSEILQLPAAVAVDRTARLECGACGHVLSIKLPAAGGSTDRPKKIFSAPQPAIRGREDDDAEEDHALARSSLSGDQRWPAEGPLHRMLGYSTVSSVFRSRRHGEHS >OB01G44110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26946226:26947404:1 gene:OB01G44110 transcript:OB01G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPDGCGVEPDAVTLVGVLSSCAHLGARRIGLDVERDVRERLPGFRANVQLCNALINFHARCGSLPQARQLFDEMPKRSIVSWTALIAGYGMHGHGDVAVSLFEAMVSEGIQPDNVAMVGLLSACSHAGMHSEGRKYFSAMESAYHLRPTLEHYTCMVDLLGRAGHLDEARDLISSMPMLADGAVWGALLGACKIHKDVEMGEEAFERVVNLEPTNVGYYVLMANIYTDTGQLDGVAKVRAMMRQRGLRKEPGCSYVEHRGKVHLFMADDHSHPQAKRIYELVVKLEQMVKEKTGGDGGGGVVEKNGDKTTASGTAVRLVGFHSEKLAVAFGLLNGEGGGEVVVIKNLRVCGDCHSFLKTVSALSNRVFLVRDASRFHRFEGGACSCRDYW >OB01G44120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26947405:26957591:-1 gene:OB01G44120 transcript:OB01G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT2G40730) TAIR;Acc:AT2G40730] MFKFLKEVVAGSGSGLKDFPYTVGEPYASAWGSWTHHRGTSKDDGSAVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWSSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRSKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANTSNSQVSASSSVTSDNQSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNAQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVVQAKQPVSNSSRLNQPKAHKPEDDPLWGPIAAPAPKNAAKSADIKSSASHSDDDDLWGSIAAPPPKSAGKPLKSAAANSDDLWGAIAAPPPSTKARPLSGRGRGTKPAQPKLGAQRIGRTSSTGM >OB01G44130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26963040:26969198:1 gene:OB01G44130 transcript:OB01G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSDSLQVSSRGTHKRVLKDGTGGYANENTMKKVCTGTRTEYTYAPYHDGYQWRKYGQKMIRGNVFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIYANEHTCVAAGASASDYMASSMKIQQIADASLRRPEVDERPPRLDDEARRGGGYAYDMAAAMKEEKDAIVSSLLTVIRGGGHVAGSGTSNDGTAAAAAATLLPASSCRTAAPLSPPPVARSNRRRSCGGGGSVVSPASDDLELLDFTLESVLEPHWVEPLDLAWFKE >OB01G44140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26975107:26981220:1 gene:OB01G44140 transcript:OB01G44140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKREQSFDFEESSSQEAMGSAASASYSPPGGVFGISPTPESSPRDGRKRRKDRPSWVKHTFTPHFDGHLWRKYGQKNIKDSAFPRLYYRCSYREDKQCLASKLVQQENDDDPPLYKVTYTYEHTCNTTPVPTPDVVAEQPAPAPGDAYLLRFGSSQVDREQQQQRGAARRPFMMLSFDSSSHRLHHEQPPAFPPNHQLPAAASSSPSFTAEALPPPAPPPTAMHDVDGGDLFSTWDSFRYGLDDGHGHLGSHVYLPDDCSGGDDNY >OB01G44150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26987949:26989302:1 gene:OB01G44150 transcript:OB01G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQGTIEQLYRELAGGRQLSANLQALLEGPLDSRVQKEAVDVSRELGRVFMVSLYMLKPGSSSSIIPEEVARTTTPETRTDDSICLHTARKLKRTRSEEVPPRNGREEVKRTEVTPSPYKDGFLWRKYGQKNIQDSNYLRLYYKCTFSRERRCAAKKQVQQKDDGEPPMFLVTYLGEHTCQAQAQAVPGTPTTTAASSPPPTRRRAAGGSPAGRGGPSLFSRLLLPHAVGGGSA >OB01G44160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:26996882:27001841:-1 gene:OB01G44160 transcript:OB01G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGNQMEELMLKVKKDELELVVGCSIELALKTIAPEMQLPENTDTLSSRRRVPVEEVRPEKDQQHQLPKVRSYYRCSYYRERRCPAQKHVQQRNGDDVPAMYVVVYIHEHTCQAALGELPDAANSSGGGAAAPGYFPAGETSPSSLRRWGAAQVLVGRPPPQLVDERAAMEERERQVLVSSLARVLQGQQCYDDESHGVVVAAVVHAPVAAPVSASSSELPVRPDHGEELDVKDYDVTDALLWGPFGGTVDSNSYGQHLTSTRCFEV >OB01G44170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27011858:27012807:1 gene:OB01G44170 transcript:OB01G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPTAVVLELMTMGYQSAAYLGELLRAASPTPASEQQELAAEILRCCDRVIARLSAGANGGRSKKRKAVEPAALGVAAGTSSSPDPVTPTKRRARGAEAVREITSGTTADGFIWRKYGQKEINGCRHPRLYYRCAFRAQGCAATRRVQQSQEEPAAFVIAYYGEHTCGGDAAAAAWQEREMPPAVINSGASNTSAAAAADRNMGWRTPALLGVEQRSCGGDAPSETSQGWSPSFSSEVELDVAGFDLDVAGAEAYSSPVWEFLNGSFDWESVINSL >OB01G44180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27012732:27025958:-1 gene:OB01G44180 transcript:OB01G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEDSAGAKRAMAYALCKHLGLDPSTISSTGIEESDIATLFSHIVKSTQDEVKKWVEFSSNFVGKCGEQHVLLSNLNQDLSQKSVLLGNGFKPSVADIVVFATVHFFVGHLGENELQKYPHILRWMDYLQNIVDFGTTVQKINVNKSVFKPPAHPKKADKGDADSSSKKVVSGQKNAGKLDASVDSKKAAVENKAPESKVNPTSAKPSGDKKKTQDKSAGKPNEVVADKAPQKTSEKDSECNVSILNIQVGLIRKAWKHPSADSLLVEEIYLGDGNVRQVVSGLAKYFSPEELVNRSVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKLGERISFEGFDGKPEDVLNPKKKQLDKITPVGSELGDDKENEKGEMKPEEAQEVWKEMLRQFKEEAQRMHVLTLEAYGVYSQKAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKIAAQNSPDSIKDISETFNSLGKLKISEYQDYHVGISFGTFLTVGGFLNFMLTGSTSAIRFGIVLGFALLAMGISSLRSQRAGGRRPRLLLKGQAAIASIIFFRELLIFFHYGWFPNIFVVLLSGAVAGFYIQRIMTGGYKGPSSESTADN >OB01G44190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27032289:27035038:-1 gene:OB01G44190 transcript:OB01G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALERLDLSENRFSGAIPDSIAKCKNLVEADLSRNALTGELPWWVFGLPLQRVSVAGNKLYGWVKVPVDAALALRALDLSSNGFSGGIPPQITTFGGLQYLNLSSNSFSGQLPPGIGGMRLLEVIDVSASHLDGSVPPEIGGAVALRELRVGRNSFTGHIPPQIGNCSSLVALDLSHNNLTGSIPRTVGNLTSLQVVDLSKNKLSGTLPLELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPENFLTDNAGLCSSRKNNSCIAGMPKPIVLNPNSSTNPLSQSTPTAPSNMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECMEDNSLSWMERFDIIIGVARGLTYLHQRGIIHYNLKSSNVLLDSNGEPRIGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >OB01G44200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27035011:27064904:1 gene:OB01G44200 transcript:OB01G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPAAPAPTSPRSTPAPDAARRAHRMTSRASELSPSANSSAKSSAARMITMLVSKLASLPPPAPPLLLSACCASPVLLPLPVPGELGVPMYQLIDLVCAPMS >OB01G44210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27046027:27049955:-1 gene:OB01G44210 transcript:OB01G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08760) TAIR;Acc:AT3G08760] METAAAVPLRSHNGSYLKFCSTAAASLIVQMMGCFTVLRSKKKKSPLVPTKKSADARESTSSRLPDPEAHVPSLQSAPPSFRNKAKIHQSAKKVSYSRARVLSAPSSLVVVDQDGLPYDEFDDQDDSRGKGSSIKGHRFSNPLPLPLPSPEERSFRNFGSFKAINASGPLDASGPLPLPPKKCDGLKNFSYEEVSSACQWFSGDNCVSETLTSTSYKASFSDDFTDLKTIEAIVARLLPSTQSLKEFKTQVNTLASLQHPNLCKLIGFHAREESNVRMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNDFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNASVAAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNIDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIVHQHPAITFSPSPPSRNQHLLSPRSSTSALLPPRTSCGLDDPKVSSIKKLPSPVLRRSGVEGF >OB01G44220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27056470:27064900:-1 gene:OB01G44220 transcript:OB01G44220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTRSMSWYMGTPSSPGTGSGSSTGEAQHALSSSGGAGGGSDASFDTNMVIILAALLFALLFALGLNSLARLVIRTDENDRDNQSYLTGFFPRNGSTSNTDKKILKSLA >OB01G44230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27070094:27071980:1 gene:OB01G44230 transcript:OB01G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMNSDARHIKAYLRGVNDFMAFAFRNSAGYTTWNLHRETSSSYVNTDNGDGVELVEESSEEDDISALLRDLACGLDDRGDFDHNSSVELPKELVDLQKLVEANSQELFPNCKKYTKLRFLIRLLHIKLLGGWTDRSFDLILDLFNDVLPESSTPEGPIDEAHNVPRHEEYDNYVEMQESLPPSRPIVAQSARNITTAPSDIQQPYFANDTHAAIEQSMLPPRLTTARSSINMSIAQTNDILPRFANDTHAGTDESLLPYRPDATHSPIKK >OB01G44240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27083930:27084816:-1 gene:OB01G44240 transcript:OB01G44240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3L5B8] MAPRGATLAVVVVLVAAVVAPPGGVRAAISCSAVYNTLMPCLPYVQAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKSVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAIN >OB01G44250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27098792:27100701:1 gene:OB01G44250 transcript:OB01G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSALLLLASAFCFLARLAAGDYGSCAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGSVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDVQSVSIKGSSSGWQPMSRNWGQNWQSNSYLDGQSLSFQVAVSDGRTVTSNNVVPAGWQFGQTFEGGQF >OB01G44260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27099215:27100176:-1 gene:OB01G44260 transcript:OB01G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSELAAFEGLAELPARRHDVVAGDGAAVADGDLEGEALAVEVGVALPVLAPVARHGLPPAAGALDGHGLHVAGAGHVGHQHQVEVGVAVDGEPDPSLLHARHPAIGDGHDAGAVHADLEEGGLGHVEVGARRVAPAAVVVGERVVGRAEVGGGDGDAARQAPLPVVVAPQLVARPARRAVVEQHRAQRRRVGA >OB01G44270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27103571:27114082:1 gene:OB01G44270 transcript:OB01G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFSNKPHIFNGSDFDYWKKKMESYITSQGYDIWLKVNQPYEIPERIDTPALKLEFENNCKARNIILNGISRSDFDRVSHLATANKIWKALNDFHTGTSNIKELRKDVFKKEYIKFEMKLGESLDDYLARFNKILSDLRSVDASYDVNYSQSEIARHFMNGLDMKVWDVKVTSIQESVDMNALTLDILYTKLKTYEMNILSKRTDLKSTALISSSGSSSESISLAAFAAFTALSDDQLEEIRGGGADTADQAGMMVGGRMASAVAALGLSVVSSVSIVVCNKALMSTLGFVFATTLTSWHLLVTFCSLHVALQMKIFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSVGFYQVTKLAIIPFTVCLESIFFRKTFSRRIQMALAILLFGVGVATVTDLQLNRLGSVLSLFAVLTTCISQIMTNFIQKIFKVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSKILVFIILSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCIILGFGYVLFHDPLNWRNILGILLALLGMISYSYFCLMENQHKGPELSAPFFHTKVKGGETGTLLLVQNGSAKVTDGVVIEGPMWRLNRDLDA >OB01G44280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27115003:27116986:1 gene:OB01G44280 transcript:OB01G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:J3L5C2] VLSASAQTFKRRNTGRNKHGRGHVNYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPQGPRPGGGAPAPGAAPAPNVART >OB01G44290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27118267:27121876:1 gene:OB01G44290 transcript:OB01G44290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELGRWFRRSDRVAGEEFYWPWPASSDADRVLDAALAGSTYPVFREKMEIVASKMEGLAQCVMTVLSDNAKNPKDSALPTEAASILCLTLYNCNKLKTLWNEFGSTDPPNSYALSIHISGRDQEICLRNQCGSTFFSLPAGSMLVTIGKQIQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLHFPDVGRHERRIDPPKTVYFRDQILVALVLLVFFYLFWR >OB01G44300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27128141:27129250:-1 gene:OB01G44300 transcript:OB01G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDMRAARGGAGAGGGSPGGVVTQASVAVSRAGRACRPLPNTPRHGAWLPHRASYYYTPRAGDLLLGSPLHPKGSDTQFPPLQLSPPRRSRRRHRRRSVKLAPSVSGSSAPSPPVCPGCRCGRKPELLVVEAPDTPPCRRDKFVGYIGEEEEEAEFKKPTVAIPACDELDGKVITSATDIIIDLRTEKRPDKVLPPIVTKPARRELDGCDLEEKHVDVVRRAVAKKTTSLLEQSKPRRSASSARRLKTRANTPRIVAKKSKPPAAAARSPAPTTTKPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWANLADIKM >OB01G44310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27135703:27136603:1 gene:OB01G44310 transcript:OB01G44310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHQSSPSFRPSTASPRSVKVHAKADEEKGSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGSLKNLNNIILSCVQFFHIKWYEGRRSTFFFFD >OB01G44320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27136824:27138134:-1 gene:OB01G44320 transcript:OB01G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATEKMKRAPSSLLLRRITDICKVRSVGVAPTVREKLKADGSATGESSEDGALLKVHPHQVSDHESVSECSSVRCEEAFVERLLDAISGLKMNYVKLQQALMPYDPEEIMIADECFTSELQETAGLKDLYVGMNKWRNPMYHCYMTSRIQEQQKLAVELQAGMCKRDSEIVCLRAELDELERKNMELKEKIGQNVLHKEGRFVIGMGVSTDMFMELYEFSSKSIHDFAKLIIRWMKLSLWNLGDLTFPKDNSVVYEKRSHKKYAVEAYFACMMLMGEKEDYLSLDNFDYVMSFRDPFDALVNAPNSCFGRFCRAKYLATVPTIMEDSFFGNLDHRSFVQNGGHPRTPFYQAFVTMSRYVWASLTVARSLNPRAEMFHVKSGTRFRSKHMECVPAKITTEEDNANVGFTVMPGFKIGCTIIRCRVYVSLINSRSF >OB01G44330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27137538:27138092:1 gene:OB01G44330 transcript:OB01G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHILTDLLLQFHVLPFQFIQLRSQTNNFRVSLAHASLQLHGQLLLLLNSGGHITMVHWISPFVHADIQILQPGSFLKLRCKTLISDHNLFRIIWHKSLLKLNIIHFEARNRVQKPLDKRFLAANGGAFRDTLMVGHLMRMDFQECTVFAALPGGAAVGLELLADGRRDADGAHLADVRDAPQQ >OB01G44340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27140056:27140850:1 gene:OB01G44340 transcript:OB01G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAGFSGLEIFLGVAAFLTTICAISWVVRCAVGHREAEPNDVAADADGKSERLLNKEVVIDVPVPAAAAGETSTNGSNDVALCAICKGMLADSGGSAGGCVRVGTSTTRGVSECGSREGRRRARCAALPSSLPRGAKSSARWREPRAYTTAAGPRTAAAVPAAASVWARLPRGVYRNVAPERDDDVPGVPRCRRCCLAERNLRRDGVSRARTPRPPADSFGCCTADSVECGVVTGSQVPPDLCLHVVLLFWKIVRVHAQFLS >OB01G44350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27148299:27150227:-1 gene:OB01G44350 transcript:OB01G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3L5C9] MVGPTGQRGMLLLLQSDEMEAELRELHHDLATLLDLLPVVELGLADDVLDVLALASRQCRRCSPAHEAEQALKASVLSLIQEIEREIVPERERLEEILEEVGINDPASCSEEIESLEQEIGDRASEKWTSSMIALVGLLRYAKCVLFSATPRPSDSNSKADVEVEDGEPPAPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVPNKALKNLISKWCRENGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVRKLSVSFSPDAANRVVHEIRLLSKSGSENRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHIMSSGATWRAKENAAAAVLSLASVHSYRRRLGRNQSVVEKLVHLVRTGPTSTKKDALAALLTLAGERENVGKLVDAGVAEVALSTISKEETAAAVLAALAKRGGAEAIVNIDGAVARLVAEMRRGTDWARENATAALVLLCRRLGAPAVTQVMAVPGVEWAIWELMSIGTERARRKAASLGRICRRGGGAAPPPAYPAERRGPSPGAPPGKARVARPDMPAVGSRLCRRRGARRRLPSRHRGASGHDGFVKTNHKVPRRISSEQIFFPLLPVQSLFRL >OB01G44360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27150198:27150560:1 gene:OB01G44360 transcript:OB01G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSLTGGTHHRPSPSLPPPPPPRAAPRLEIDANAARRNGAGVSTTDRSRARRCSDRSSSPSDGDGGGRNTDDDGPEATAAALGSLAIARSSNHNQKKKNPCFGGVKPNGKKNGGHAAEAPR >OB01G44370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27171677:27172887:1 gene:OB01G44370 transcript:OB01G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGRWRWSCRSMLKLVVALCFLAVAISLCFVCFSWDCSGSGCGCRGRTALLRLDCGTRATAHFGANQQENSSRARRWRRLLAEGPGSYPPRCAAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >OB01G44380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27176814:27177965:1 gene:OB01G44380 transcript:OB01G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTKKTLKRVISLAETFIAIATGILAAAFSSGKDVHLHRHVLAAGGCFLVATYLSALLLIYTKLFLSDCRRLHGWHVWSLQLLCVISGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIIGVLAYHATPTEDSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGSFSNATLQAMGGRRLDLSVSFLASALSVFLVVATCMPLGFRNQGARDKVLSIVRYLKHGVMAVLAVTAVTLGQEFLGGAAALALFPEITVAAMYYAVNLPADEPAALDAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDVYAKALAFTLLTAVVSSLGRVAGPLCDAGRDKSSAAWVAFLSNILPIVEMLVAVPLAAKVMVDFLAVPGNG >OB01G44390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27179223:27179828:1 gene:OB01G44390 transcript:OB01G44390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAAEAARPRSPLRGIMPQSPLRIKQHGKFYERLLAKERSASTNRSFRHYWATEPGSVPFVWESQPGTPKDVSRMVAGAVPAITPPPSYLLRQGSKMGVPAPRRAQGGKAKTGKTRYRFKRIKIGFLAGIFRRLTLGHAWRRSAPSVQVSSSSSRWLFSSVATAAPRQNTELSTPRARPSPWMLRFRGFRSWSRDDGWA >OB01G44400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27188530:27189859:1 gene:OB01G44400 transcript:OB01G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEVGKKIKREPDTSGVVVAAAAATGTATATGAPIEHRVPHRLGLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVSEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRTEIFKHRYRQAGAGVPGLMDDVHAAAVFPSPAPPPIHARDVVSVVEAGGQELTGAPVISAAASPPVYAAGQPSSTTDYGSLNTSEHAAYFG >OB01G44410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27205649:27207893:-1 gene:OB01G44410 transcript:OB01G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFVLTALAGNGICWINTVCYLLCINNFASSSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLKSAASSDVAFLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVALKIRETLTKIRETQRENRIHDLGTDESESVESVVVIDVPAGANTEAAKEEDAVVKKPQEDVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVVVANIPVGSLFFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGSTCAVGTLLCTVLYARSRNFAGRLPVRIPPCLARLANLV >OB01G44420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27215126:27217687:-1 gene:OB01G44420 transcript:OB01G44420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRCTWPVLLPVALLLHAALASSDFPLGANFTAPLVYQQPGLAVTTTGTVLSASPQRPGGAAVTAAIRVVAGTGGLEGLSMCSLVVLLGDVTAWASDHNGVTFLTRGPCRLELTVDGDLRLTDGAGIVGWSSSTAGRRAKVLHLTQTGNLVLLDAKNQSVWQSFDEPTDKLLRGQQIRLPSSLTAPVTTIPSAFYSLELGDHTVTANLHVAHRRYTYWELTDPSPIRSMEFAEMDVLGLKLLDGQRRPVAHVSPAIKAPVSFLALGDEGNLGMYSYDGQDKKFRPSYKALGFCQLPLACGLLGVCSAAGKCNSFSTYGVQPVQLQMHDRSSHICNASAVADQLDMAVMRGIVTVLRPASPPTTNVTMEQCADSCLRNCSCAAALHVAADSGSGRGHLATHHGECSHYELTAGAGGVIGGGHRHSYLVKVPRTRACGHEDDDSAINRGLTKILIFFGTLDAIGMCIFIWLCAYYCIYLHDIPVLEDKDEDDDEGEAGRRRGGVSQNPPANSQPVIELN >OB01G44430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27218948:27219199:-1 gene:OB01G44430 transcript:OB01G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIWFGSGFGFALCAFVRSPQVETGEKVNQGIPAGRDTTSAALPELINQLRPCRLAEAQHGMGAMMNSGTKHQTQVNELWAM >OB01G44440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27218779:27224124:-1 gene:OB01G44440 transcript:OB01G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHNSQNYGSPRYEARSLNERMSSDVETLSLGDMNKIRNVTELLCDMVHALNPADHKAVQDEIIMDLVSQCRSNQQKLMQFVSSTGNEQLLKQALEINDRLQSILSRYDTMASGTHLAVEAPLTENVEAPNEDPAEKPSAPPVEHNDTLEDDDDDEFTRLAQRKNKSVMTSDDTLSSTGDLALVPIDMANSESSSSVASNALVPVDPALVSSIPQAKEQDMIDLLSLTLCSPTDEASTDSSTQGPNGPQQPAVIDGQPNPSAVPQYPSNHQPHPTNQEYIPQNRNYIAPWAQTGEYYPAPPWAAPPSVNTNPFQSATYQQPPSPVGSVSSTATYSAPSASHTPTSTAYVPPSASLPMQWGSASNGLTATQAQMNGHQQPSGSSVAANKPYYIPDNLFSDLIDLKGLSGGNKMGGPSSMGNANGGQPMIGGKK >OB01G44450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27227624:27228916:1 gene:OB01G44450 transcript:OB01G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATAAMKMQQLTKEDEVAVGNKGIAHVGTGPMKTTTQTLVGSGWWKRDHHDDDGDDRQGDRGRDLARGFFNGNTRSRRDRTRSPRPRNKEYNDRSGGRRTHGAGPTEAQHGGGELSGAAGPNSQHAPDPAQQKEIVAMDTGAGDIGHMDHTAVDDDEEATSNAELPPLDTVVVDMTTVIDQATRDVDTLPPKTNDSTPPKLIMQVNELFVSPPKPIISMPPATAGAVVGRRAKPRLLPSSTNTRHSARLVRQPALTAMERCQRVLLRRMGLMQKEDDVTAIQEVLAQYIAMFDGPLPPDVIATLTTVFGIDNEDNNNPTNAIVRVMGEGIADAVEEVEEALA >OB01G44460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27235987:27239824:-1 gene:OB01G44460 transcript:OB01G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKSSIAGGNGGENGAAETPLLSEQYAGVGEPATGASVLGAVFNMSTSVVGAGIMSIPAAMRVLGVAPTVALVAGVALLAHAAVDFMLRYTRAPPSYAALMGDAFGRAGAALLNVFVAVNAIGTLTVYLIIIGDVMSGTTSGGKAHDGVLMEWFGQHWWAGREAVLVAAAVLLLPLVLRKRVDSLRFTSAVSILLAVVFMLISLGIAVYALIRGTAKMPRLLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAAVRISLVLCAVIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLIHFSLRVNVDELLFPGRRPLATDTRRFITLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISLIFPGAIVLRDAHGIAKRKDKVLAATMITLAVITSSIAIASNIMSSINGKVEGGHAADR >OB01G44470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27239295:27240330:-1 gene:OB01G44470 transcript:OB01G44470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPAASTDKSAGAGGVGEPLLPESSGGGHGASVLGAVFNVSTSIIGAGIMSIPAAMRVLGVVPALLLIFAVAALSDSSVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKVLNVCIAFTTTGTLVVYLIIIGDVMSGSVGAGDEHAGVLRELFGPQWWTARELVILATAVVVLLPLVLRRRVDR >OB01G44480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27244420:27245916:1 gene:OB01G44480 transcript:OB01G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHCSDQTSPILTEYHITVPTLHEVHHERRLLDCLRATPSVEWLKNINLCSPLTNFRLPSTSVRRYVHVEVHFIRRINWSSVFTFCKNWLKHPLNIALLAWLLCVAAAGGMLILLLLGLLNRAFPSKPLRHHWIEIDNQILNALFTLMSIYQHPGLIHHLVLLCRWRAEDAAELRKVYCKNGDRRPGERAHMSVVVALLHLTCISQYVVCNLYWAYRRRSRSEFADNFFFVLGVVAPVIAGAYTVYSPLGRDGDAASGEETKQQHTSEAELPETRTLIGDPVWAGGLLDCGEDPAACCLSSLCTFCVFGWNMERLGFGNMYVHTAMFLLLCVAPFWVFNITALNIHDYVLGDAVGAAGIALCFLGLLYGGFWRVQMRKRFALPGSRWCCGSASLRDYAQWLFCWPCALAQEVRTGNLYDVEDGGFYEKAVDGGDADDGAASTSAVELPVSVGVAAGDVKLGLDGEMIAPARPMMETGERQTGAADVAANGCTELKS >OB01G44490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27247022:27247826:1 gene:OB01G44490 transcript:OB01G44490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05365) TAIR;Acc:AT5G05365] MAVVELKVGMHCDRCIKAIKKAIKKIDDMESYQLETEINKVTVTGNVTPDEVVKALQKIGKTATNWGED >OB01G44500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27249334:27249739:1 gene:OB01G44500 transcript:OB01G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCINKVNICCSCMCFCFHGGEQKLLLLAERRKVRSQLFKLRNRETHIHRYTSKLGVLVKYTVEQSISCSTLQNTSHGCGGVEGWDALRQVHQGD >OB01G44510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27258437:27260854:1 gene:OB01G44510 transcript:OB01G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSIETSANSRPGPYSFAGTSFTDLLGGNAVAGGGASRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFASQHFDWRPEVAAQSADQGGKHEQRTSYSDFSFQTAPASEEAARTTTFQPPVPPAPLGNEAYRGHQQQQPWGYQQQPAGMDAGANTASFGAAPFQTTSSEMAPQVQGGGGYSQPQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGTHNHPKPQNTRRSSGSAAAQVLQSGGDMSEHSFGGMSGTAATPENSSASFGDDEIGVGSPRAGNNAGGDEFDDDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALSRPAPPVAAASHQYLPNQPTVSYQSGAAGPQSYALRPDGFGAQGGSFVLGGGAAPLQDGGSSFGGFSGFDDARGSYMSQHHQQQRQHDAMHASRAKEEPGDDMFFQNSLY >OB01G44520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27265145:27265995:-1 gene:OB01G44520 transcript:OB01G44520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPITAGAVLAAHPNHTLTTAWSSAGVGCPTKKLVIVSPDSELKRGRIYFLIPSATLPADRRKKNRPSSSKKSKWPSHQHKSSGATAASTAEQDNYLRELLSSVSSSGDDGKTVTE >OB01G44530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27274270:27278443:-1 gene:OB01G44530 transcript:OB01G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHTPPPTKAAPRSRRRRGGVPWRSLRRRRPPPPGPSPPPPPPRRDFLLLVPSLAATCTVLQSLPFSASAADDEKPAPAAAPAPPPAGEPEAEALSRVYDATVIGEPQAVGKDTRRRVWEKLMAARVVYLGESELVPDRDDRVLELEIVRKLAARCAEAGRSISLALEAFPCNLQEQLDRFMDGRIDGNNLKLCTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVSRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISSTHGSPFGPGSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVVLLDPERQGIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFGDGGSGDSLELVKGLLGSLPDNAFQKGIMGQSWNTNQRFASVLMGGIKLAGVGYISSIGAGVASDVLYAARRVLGPSTSIATARRRTPIWKSAAVYSCFLGTSANLRYQVIAGLVEHRLGEYLMVYYNQPLLASLLSFVSRTINSYWGTQQWIDLARATGLQTSKKELPSPDISSSPDMPLLECGTTEVQNVDDSNSQ >OB01G44540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27280121:27284411:-1 gene:OB01G44540 transcript:OB01G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSDAESSAAAGGGEDHGTLALDSSSAASTDPLLHPPPSPTSTAPSPTATAYHDAFIEEEEDGEVDSAPTPAPRVPAAADEASPEFPQITVSEPKKHAEPATGAAGVIPGSGSYFSYLITTRMADGGLFRVRRRFRDVVALADRIALAHRGLFVPARPDKSIVEGQVMQRHEFVNQRCAALQRYLSRLAAHPTIGRSPDLHAFLTEPSGIPTSAGESPRSDPALSAATSTVVTAPTPPAKAGRDFFGMFKDLKQTVANGLVTVRSPPVEKETDAKFLMHKARFEDFEQYLTTVSQQVEALLKAYDDLRETTAHLGMTFIKLAKFEKEQDTCNSQRKRAADINKFANAIVKGAIYEYLETMTSVHNAFTDRANALLRVQSLSADLFLLHTQAAKLESVSSRGMGQERSRYQKIEELEETIRKTEDEKSNAHKEYELIKESNMNEIIRFNKQKRHGLVEMLKGFVRNQVSHSDQISSIWTKIAEETKGYTDRSS >OB01G44550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27288288:27290550:1 gene:OB01G44550 transcript:OB01G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3L5E9] MASSSSRLLFFLACSVFALLAGAEVHHHEFIVQETPVKRLCNTHKVITVNGQLPGPTLEVREGDTVVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYRYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPATEVPLLLGEWWDADPIQVIREAQRTGAAPNISDAFTINGQPGDLYNCSKQETTAVPVKPGETALLRFINAALNQELFVSIAQHRMTVVGVDASYTKPYTTSVLMIAPGQTTDVLVTMDQAPTRYYIAARAYDTVQNAAFDNTTTTAVIEYDCGCATDFGPSIPPAFPALPAFNDTKTATAFAAGIKSPHEVKVPGPVDENLFFTVGLGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQTTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRALWQPVPATKLYKLKFGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDAKKDAEKFNYVDPPQRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLETLEAPPVDLPMC >OB01G44560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27292660:27293319:-1 gene:OB01G44560 transcript:OB01G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3L5F0] MASAAAPTPQPLLPVTNPAASAPSSGSPLSDAPLATPAFRLFMSRLSDTVRRSLADRRPRTELVDRSAISKPDSLSEATSRLRRNLAYFRVNYAAVVAFSLAASLLAHPFSLLVLLAVLGGWGFLYVFRAADQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAIVALHGAFRVPEDLFLDDPSVGGNGNTTSRLLSFLASPGSGV >OB01G44570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27299917:27303820:1 gene:OB01G44570 transcript:OB01G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRIFGGVGSSSSCAGAALEVDPSASAGPATSSAENRKGIQKRKVASRPLDLGTTVAEKDVVASDSSKYFYVAEKDDVALDKSKYSSPIHRPKSLLVKAIRINNGVMVCKYNTNEEAAEIYLKGFFKCQKKLEFVFTKIVGLDFIVLFHDLHQRLSSMEDTLQALTDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHGLESTSADDILLERFDHLLERCSLRLQDELHHLIDTTDFDASCSYHDTKKSHNDDDSHTLVAQPVSNFDIIVDTLPEGVIFEANRITRRMIAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELETQILRWIPAIRAVFHILIPSERHLCDCIFEGSTSCSDLAFATASQPFLQLLSFGNFIAAAGKNPECLFRIVDMYDALRDILPVLDEAFNPEVSALRECLGSSIKGILMALENLVRRDPSESCPQDGGVHPITRYVMNYLVAACVSRHTLEEVMLLEFGGSDPSTSYPIEPDRPTSSLAVHLAWIVDVLIENLVSKSKVYRHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWTKLIYSKVNQWILEYRRATWGKAVTILEMDKRLCNNVNAMTEKLNRFNNFVEAICQVQSRWVLVDKQQAVDFSILVEELVIPAYTDIIEMLKATRSSGESYMQPEYVRSQIQQLFKSMAR >OB01G44580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27301750:27306465:-1 gene:OB01G44580 transcript:OB01G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTILLLLLFLSSSTTTISTSKRTQQKFSAIFYFGDSVLDTGNNNYIPTLAVANHVPYGRSFPGRRPTGRFSNGRLVPDLLNEKLQLKEFSPPFLETELSNNDIITGVNFASAGSGFEDKTSRLSNTMPMSTQVNLFKEYLLRLRNIVGDKEASRIIENSLMFISSGTNDFTHYYRSLKKKKMGIGEYQDSILRIAQASVKELFNLGGRQFCLAGLPPFGCTPIQITLSGDPDRACVDEQNRDAQAYNSKLEKLLPTLQSSLHGSKIVYLDAYEAFMEILGNPAKYGFTETTRGCCGTGLREIGLFCNGLTPICRNESSFVFYDAVHPTERVYRITTDFILKNAIPQFN >OB01G44590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27309473:27309631:1 gene:OB01G44590 transcript:OB01G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVVVESYVVDVPPGNTKDETRMFVDTIVRCNLQSLARTVERLAPESPRAN >OB01G44600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27316706:27318648:1 gene:OB01G44600 transcript:OB01G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDPASVTPAVDSARGVFHLASPVILHQPQDPEGELLQPAVRGTLNVLRAAKDCGVGRVVMVSSQAAMVPVPEWPAGKVIDDDCWADVDLLKKKQFWYNVSKTLAEKAAWDFATKEGLQLAVINPGLVLGPMLTPSPTASLRLLMQILGGQRIDMDDFYVGIVDVRDVAQSLIVLYENPSAQGRHLCTESIERLIDFHDKLAELYPEFPVSRIQEDKQGWVVRSKAASKKLIDLGVNFIPSDKTIRDSVDCLRNKGYI >OB01G44610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27322764:27325312:1 gene:OB01G44610 transcript:OB01G44610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSSSSRMLPRVLVLSFVLLSLATAPCAARRVTVSVYYETLCPFCSGFVVNDLSRLFRDGLSSVVDLRLVPFGNGRVSPDGSIACQHGEEECQLNEIEACVIRLWPDAGQHFPFINCIEHFALTQKWNAWQSCFQETGLASQPVLDCYNSGYGRQLQLQYAAETNALQPPHQFVPWVIINGRPLGDDYTNVEAYICRAYDGELPEACKGRHLTIAQQTRASRGGKIRKPDRCSCSCDAEEST >OB01G44620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27326565:27332254:1 gene:OB01G44620 transcript:OB01G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPVVVSVFLFLLLSSLFLLFSPAPAALPFFSSPSSHLSSSSSSSSSPSTVAASPPQTPISVPADASPPENPVNASGGSNAGATAGPPHLGLAAPTRDISAYAPRPDRSTPVAAVEVGGSADANGTSRGASAGGDGAAGAAMPSWEACEVGMGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPLGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGATRYIQFIEQIMPNIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVVLTKSICWRTVVKSKNVNKIGVVIYQKPTSNSCYLERKNNEPPLCSGWEGSHSPWYAPLDSCLSLPAVSSSGEGSSWPISWPERLNIKYSTTSDNSSSQFSQEEFDSDTKHWKDLVSEVYFSEFAINWSTVRNVMDMNAGFGGFAASLIHQPLWVMNVVPFDHPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDITEVAAEIDRILRPGKWFVLQDTEQVIGKMDPVLRSLHYKTAIVKQQFLVASKGFWRPDSAGSESR >OB01G44630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27345838:27346776:-1 gene:OB01G44630 transcript:OB01G44630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVARQCKLLPSTSAPLQCLDQFGKTKVHAAGCAFFGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRAQAAGVEPSSSSSAAAAASGGGDAGSGGAAAAPTAQPGGSGATPSTS >OB01G44640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27352740:27354411:1 gene:OB01G44640 transcript:OB01G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Has 114 Blast hits to 112 proteins in 39 species: Archae - 2; Bacteria - 0; Metazoa - 17; Fungi - 6; Plants - 67; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MLRLPTLLPLKPSAPAAGLNPSRGRQPRRRLLASSAPSTPPPRPPNPTPARGAPKAEPGTSHENLGDGTADFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERSPEVLQGKGDILKRREEDEEDEFSNEEGEEGLGEEEEGEQRTVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEGEVEEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWKFLEELEKAAKVYWNSKDRLPPRTVKVDMNIERDLAFALQVEQCPQLLFLRGNKILYREKEIRTADELVQMIAHFYYNAKRPSCVNPEAIASPI >OB01G44650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27357863:27358588:-1 gene:OB01G44650 transcript:OB01G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPSTAVPAANTAAAADKPAAEQLLEVAEDEVVIDFQPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQQHAPPSFPRSRGDRFLVLSSLSAAHLDSASSATSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRPRRQRRWWTWAPTPRRPTHAGGRRWMWRERRAM >OB01G44660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27368630:27368800:1 gene:OB01G44660 transcript:OB01G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRALRQLQRQPQAVQPQGRRRLPHLRWLQREWPPPVPGLLPAGRLIQVQRSQSHDC >OB01G44670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27372276:27372788:1 gene:OB01G44670 transcript:OB01G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGIADELSCLLLFLSLSLLPTLGLLLPLSVPSPMDSLLRAFRASVQYFLLAPSSDETPHPRLPCHSSRLLFSPRGRGKSRSTLLLVLFSSSMASRRVVNLRLWEGEGLLLAPASGDGAYCAGGWLLNPQTAGFALHGKNTMSPQALGDICSTRPLMLMRGKSCTWTG >OB01G44680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27379866:27382500:1 gene:OB01G44680 transcript:OB01G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGFPRELSWGCTVQGLLGMASTVTANSVGTQSGLISKPSHDIASYSGLKAAASISFESRSSFLGQNASLRSSIAPRIVPKAKSGSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDIVVIPAGVPRKPGMTRDDLFNINASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKPELKASIEKGIEFVHKQQAAATSP >OB01G44690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27383051:27384058:1 gene:OB01G44690 transcript:OB01G44690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGIKFLTEFIWAAIVCPLDSNSQLFEKHKSISLYVKRSVLPLNNLQVLEEKEKNKQECPFLLLRRSCSWSPTREESIQLVVLLPAQEPDADGRHDVVPREQRVWYGEEAVAADEPGRQHRREHLRAQLVLAVPQEHDQAAHAHVDGRRRHQQERHGHAGDARDVRRRAPLGVRGHLPQRADGQRQREEAGADGEAMLGQIEAPGAGPXXXGPRRPQQDRPGHAGDARDVRRRAPLGVRGHLPQRADGQRQREEAGADGEAMLGQIEAPGAEHGDAAVDLGHRRLVRLARGHLVEVVEDGEDGRVDDAAEGRADHLAHERGPRRGERQVTRLEV >OB01G44700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27383252:27386407:-1 gene:OB01G44700 transcript:OB01G44700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGDPRDGPSTERAFEGQPVPPWTEQVTLRAVVASVALGVALSGVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLGSPRRPFTRQENAVVQTCVVACASMTYSGGFGSYLLAMDRKTADKTSTGDASGGNVSEPVFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGAATAHLINSFHTPHGARQAKRQVSLVLRSSVASFFWSVFQWFYTGGPNCGFTSFPTFGLSAFNRGFYVNLNGTYIGVGMISPHLINLSMLVGSIVSWGIMWPYIRSKRGSWYDSDLQETSLKSLTGYKVFCAIAMILGDGIFQLVVISLRTIHTLRHHQIAAETIRSFSDIDAMPRPVMSFDDRRRTQVFLREHIPSTFAIGGYVVLATVSTVAIPHMYGQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGIKKGGVVGSLVICGIVSSIVSTASDFMSDFKTGYLTLTSPRATLMSQVIGTALGCVVNPAIFTVFHHFYEMTPSKAYQAPMAKIYRGIAVLGAGGLDLPKHCLAISAGFFALALAVCALREVASHAKWRAASYIPSVTGMAVSFLLVPAASIDMCVGSLIVFLWNRQDKLGSQVFAPVLASGLICGDGLFSIPYALLSRYDIMPPICIRFLGREQNDKLDAFLASRTPAA >OB01G44710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27387347:27390233:-1 gene:OB01G44710 transcript:OB01G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFRLAAYRRVLTMGGPPRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSSSQAGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKSEPAWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADARSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGNGGIYNFVTKRGRCKGRASKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDCQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCLSGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVG >OB01G44720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27391403:27396300:1 gene:OB01G44720 transcript:OB01G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDALKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKSGVRLVRGIVKDVQSNKLILDNGEEVPYGLLVWSTGVGASSFVKSLPFPKSPGGRIGVDEWLRVPSVRDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLARLLNHIMKAGGGHANCEINVDLGPAFVYKHLGSMATVGRYKALVDLRQSKESRGISLAGFVSWFIWRSAYLTRVISWRNRLYVAINWLTTLLFGRDISRI >OB01G44730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27395376:27395570:-1 gene:OB01G44730 transcript:OB01G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPPPPPPPPPPTPTPXXXPCPPPPPPAPPKKKAKERALYCSAFRHLYRNRQLRWLDTANVTAK >OB01G44740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27399589:27401268:-1 gene:OB01G44740 transcript:OB01G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPAPPPELSHPPYSPSHADLSPPPPEVFSLYNPEDPPASEAHLPYVPPPAPVISELPDDLEFGLPPPPPLVRASELLGWDDRPSSSTASSSFLPDTATRYWRLLEQTGNRWLRARRFRSDLPPLSSEAYPAGRDIAVPVPQHEVTNDTVEHNKVAAGGTEVGASEESEEQGRSAATFECNICFDMASDPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSSLDAEKAVEDGKQPGPNIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEAPPESAVQEIVDTAHAQHTSRLSRLASRMRARRLLREADNPNSPDGGSTALDSGLVRNNAPDPSRNGPSSLLPDGIDWLRGLTLLGYEDTERFATAMSDFRRITGSSQYGASASSSNPPNPNSTFDRTHVVAAPSADQASNSSTAAVIQGDAGISESAGEPSNVGSSRSLRRRGRSSALGSLDADGGGLQRNKRRRIN >OB01G44750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27401909:27406916:-1 gene:OB01G44750 transcript:OB01G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G28820) TAIR;Acc:AT4G28820] MAGGSCDVCKEAPSKYKCPACRTPYCSVACFKNHKDKFCLKTVPPEEVSKSTLQGEIPRSSGSLEEGANCSNDKDQVPCLLPDTTCPAQSPKILCSTKALEVEDPSWIVDKNRLRSLVESNEIRDALKDSKLQQMLLKIDGSTEPEKELEKLMEGHAFQQFTNKILDIVSPQQ >OB01G44760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27411308:27413043:-1 gene:OB01G44760 transcript:OB01G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNGGGGGSVFPGPPPPTPSNHHALPSSSGAAGGGGGGTDAALSTILHRLFMSSPAPILRSPMSSRSRAPPSLPPLVSLEPTDGPRPLLDAGDVGYFHLEGHGVPSQLPSSALAELSLVDADAPARRVSNLLTLGFSEEELQEAGGGAEEPSLVFDVDDEGEMDALPAAAEYARRMRDVGMKVVALMFGCPEVGFGKEPFAEGRRKARCLMWVSKVAAGEAAPPAAGKAKAYPYVVGLHCQWEASGQETAPASWVMNDRGEWTAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPVSSPPGAGHGAEVDRLSVTVLITLPLDSVISPLVPVIDAAREEGRDDEEDGAGDDEDGWRFHSFLLEDLAWRIYHERLQFKDPLVRYRI >OB01G44770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27412321:27412615:1 gene:OB01G44770 transcript:OB01G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEPHDVRVRLRLPGGRRRRLASGDLGDPHKHLAFLLPSANGSFPKPTSGHPNMSATTFIPTSRIRRAYSAAAGSASISPSSSTSNTREGSSAPPPASC >OB01G44780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27422748:27427602:1 gene:OB01G44780 transcript:OB01G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGGVFDAFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIERAGSTPRTEETTLKGRHVARVNPPRRDVASAEKAAALPLDVDERPRQVTPTPTEAAKEVPKVEKVSKPKNPKAKKKKTRRQRPAKKTVAAGLLGVPETCDLSKGEWVFDNTSYPVYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSLPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHADNWRGVDYLVFNTYIWWMNTLNMKIMRPGGQSWEEHDEVVRIDAYRRVLTTWASWVNENVDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWNGPIWLGTDWDMFHAAANVSRAAAPRVPITFVDVTTMSERRKDGHTSVHTIRQGQVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLV >OB01G44790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27430585:27431160:-1 gene:OB01G44790 transcript:OB01G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDASPQPQALPAMRWRYGDVDDGNFAVRGRAVPLLVALALVFLCFFAFGLYVRWACHRYGGRGTAPMPRTTSSNPSSSSHAAPPAADHSSASSVTTGLDAATIASLPVTLYRPVASAGDGNAQCSICLGEFEEGEKVRSLPPCGHGFHPGCVDAWLLSRPSCPLCRSWLFPAAAATTKPDAVGSDAV >OB01G44800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27444266:27445284:1 gene:OB01G44800 transcript:OB01G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGGCHADFAAAAFTDAEVALEPNNHHRRGSGDEDVVMTLEMPVPVQAAEPVGYGDGAAAHQLQQALDPAGQLMNGGAHELPPLPCCVFLQETDPSCYSVCNVHGEEAVDLLSLIKKPQNRHPECNTMEVLIITNFANSFFTCPKT >OB01G44810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27449183:27452779:1 gene:OB01G44810 transcript:OB01G44810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) TAIR;Acc:AT3G51780] MMSGGGGRSGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAQATFGELKRVLTQSTGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKSAPAHVELRSEPVIMDESMMRACEAVSRVRTEVDDLSVQVCDLEKSVLAGRKVEDKKFVVLTELLMVQLLKLDGIEAEGEARAQRKAEVRRIQSLVETLDKLKARNANPFSDQNKSVSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >OB01G44820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27453848:27456065:-1 gene:OB01G44820 transcript:OB01G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3L5H6] MASPTRPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGPAVTQGFLVARASVPSTAHYGEDGVLESPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVCAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFTMMILHKRSSLLQKVDDTLAVFHTHAVAGLLGGLLTGLLATAELTAVHTHIPGSRGAFYGGGIAQVGKQIAGALFVVVWNVVATTVILLVVGLVIPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHETIRGTAVVDEPMDHRLAGMGARGVTIQL >OB01G44830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27454596:27456038:1 gene:OB01G44830 transcript:OB01G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRPVIMPCTAPMTEGLPKKMTSRHVQVRRLAAALTLVLSTATDAVMFGAYGAPPLNPAQPSHSSPPPAIMSRMLFGENRSLSLFSLGPTLGGGEAGDAGGEVDDVAAGVVDDAPAVEEAAAPEAEGADGVGEEEPERGERHPRLDVHPPEEGPGEQHQRDGGELELEQHHGRLRVERLRARRLQDAVLAVVRRGRDGRARHEEALRHRRPGLPPEREQPVAERHAEADQHPHDERGRVRVQCHEGGVDGPLLLHDAAVQHHEPRHRLEPDEGRRRQLPSVVPGVQPLRHRRWARHV >OB01G44840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27459061:27460970:-1 gene:OB01G44840 transcript:OB01G44840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3L5H8] MPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPVWAKAGPSLTQDFLVRRAVFPATAHYSSDGVLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGLLGGVLTGLMATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAAGAGRGGTTGQRLAHKGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTGGAAGAGREDTMEQRLTNMGARGVTIQL >OB01G44850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27459805:27461462:1 gene:OB01G44850 transcript:OB01G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGERVVDLHEERGLLVQDHHREPRDAAGEHAHQHRRPRVHQSCAHANTSMSCHSISVSPYINRMTCDFDRMKLRLLPAPGVMQTSPVIIPCTAPMTEGLPKKMTSRQVQVRRLVAALTLVLSTATVAVTLGAYGAPPLNPAQPNHSSPPPAIMSRMLFGENLSLSLFSLGPTLGGGKAGDAGGEVDDVSAGVVDDAPLVEEAAAPEAERADGVGEEQPERGERHPRLDVHPPEQRTGEQHQRDGRELELEQHQRRLRVERLGARRLQHAVAAVVRRGREHRAAHQEVLRQRRAGLGPDRQQPVPERHAEPDEHPHDERGRVGVQRHEGGVDGPLLLHDAAVDHHQPRHRLDADERRRHQLPRGVPFVQPRRHRRQVRHVRAGLRWRRHPGRP >OB01G44860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27463664:27466506:-1 gene:OB01G44860 transcript:OB01G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGAHLLSLPSSAPRSRLLHPGPPLSPAAAAAAASCSAPSFPPRRLVADRSRGNGMMAAAVPAEAAGLLAHDLGSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGLVFLLFWPLFSPGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMVKSMSRSGDHRELLKGPLYYATTITFATSVFWRTSPIAIALICNLCAGDGIADIVGRRLGQEKLPYNPNKSYAGSIAMVLAGFLASIGYMHYFQTFGFIEESWSLAFGFLVVSVTAALVESHPISTHLDDNLTVPLTSFLVGSLVF >OB01G44870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27468301:27470166:1 gene:OB01G44870 transcript:OB01G44870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPMLAAAALLFSLAAIHGDGAVAVALPGGSARDIPAVFAFGDSTLDAGNNNGLVTAVRADHPPYGQDFPGGSPTGRFSDGKIMTDFLVEALGIKGLLPAYHSASGAGLSNADAATGVSFASGGSGLDDATATNAGVATFASQVADFSELVGRMGAAKAGEVVNKSVFLVSAGTNDMIMNYYLLPSKHTLDQYHALLIGKLQFYIQSLYNLGARRILVAGLPPVGCLPLQMTLAALRQPPRPQGCIAEQNTAAERYNAKLRKMLTKFRSTSPGAKAVYADIYTPLKDMVDHPQKYGFAETGKGCCGTGLLEMGPLCTDLMPTCATPSQFMFWDSVHPTQATYKAVADHFLRTNILHFDD >OB01G44880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27470328:27474644:-1 gene:OB01G44880 transcript:OB01G44880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTTQPEQLPTTQSDLPHPHPHPVPASDAVQPDDITADAGDSAQVSEPQVDGPASGTTVELKFCASCSYRGNAMTTKQMLETSFPGIHVVLENYPPPFPKRTLSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGKRLPDAQFGQNLEKSPALSTTRNSQMRQKLCKHLFILSSQDEMRTEMRLSCCSGIYGCLRKQGWLSFPVDYAGQESRSLINKASAQLAIAFQAGANSGTLVHQ >OB01G44890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27478838:27485472:1 gene:OB01G44890 transcript:OB01G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAREDVKSSHFPASAGKKKPHQALNGGAGGGGVGGGGGGAGQKRLPVLGEEGCELIGGIDDKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNNGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGNE >OB01G44900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27494330:27498167:1 gene:OB01G44900 transcript:OB01G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECMQEWPEPVVRVQALAESGLAAIPRCYVKPPCDRPAAHELVAEAAADAGDEDDAGGGHGAPPGDNRIQVVDLGGDEGGLTEAVAAACRDWGFFQVVNHGVRPELMRAAREAWRGFFRLPLPAKQRYSNSPRTYEGYGSRLGVEKNAILDWGDYYFLHLSPEAAKSPAMYWPDNPSICKEVSEEYGGEVVGVCERVMRLLSASLGLEETRFQEAFGGAECGACLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIQILSNSMYKSVEHRVIVNAEEERISLALFYNPRGDIPVAPAPELVTPERPSLYPPMTFDEYRVYVRKNGPKGKAQLEALKGQSVTENCQ >OB01G44910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27509760:27514601:1 gene:OB01G44910 transcript:OB01G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDEVMAFLTDHGFESTASALRDDVLARASAAGDADSDAALDPQLPPLRLPASTSAGGGAAAEPASPGSSNDSASSSAFVSMRSSPSGMLNPYGLWSPPHSDASSSEMEFGTARQYDATDFFFQEGWLYDDHLFHSKPELDNNNGEDKEEDKFVLGVHDGSGSIEMGVLSAGDDHRHEHVGDVGCEGCAEVYTCSSPLCGCCGVGLKNEEGLEVIKDPSSTVYGRYQIIDDQTEILDECGMDGLQLKHPGDAVLECHLPRDSGEGDERSEPSVVEKELQMLSSFGTSADADAFTGPGIVWDIRDNAKVNDSIEKNMKNSSDKHLKEGYSIDPFPESADDDAFEFGDIGPLSSDARNSTVKAEEEDTKTNVDLSLANFHREYEVFELRIVHRKNRTGFEASKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDICLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEAYFTLPRIQSIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKIIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGDVLFPNEPVPMMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPQKSSLQRHLQCADSEFVDFLSYLLQINPRRRPTASEALQHHWLSFAY >OB01G44920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27514380:27514577:-1 gene:OB01G44920 transcript:OB01G44920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISYFHSAVKEEPEAYKELVSTVHVHRKNSSYLHTLMNLNTESRASTPRIALEKRNKKTLQPQR >OB01G44930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27515686:27517323:1 gene:OB01G44930 transcript:OB01G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39980) TAIR;Acc:AT5G39980] MLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLVLFSNLIHLALRAGDAPKALALFSRLRAAGIKPDLKAYNAAIAAYCKSGLLRDAKRLLLHDIPSDGVAPDAESYSPILAALARRGQHLAAVSLFTHMRSVAGVKPDLSVFNIVLNAYGQLDLARDADRLFWSMRRAGVTPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRTAASDGGDRGGSVKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGIPPNAITYSTILSIWVKAGKLDRAGKLFGKLREAGTEIDPVLYQTMVVAYERAGLVSQAKRLLHELKDSEDVPKETSIKILASAGRVEEAAWLFRRAADAGEIRDTSVYRTMVDLFAKNRRHRNVVEVFDEMRKAGSLPDSETIAITMNAHGKLKEFDEAAELYRAMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIIRQIRSPNAFGANEVQTRRSSISGDRFRQDSNAVTENSRL >OB01G44940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27516812:27519985:-1 gene:OB01G44940 transcript:OB01G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPXXGGGVDRVPPPGPAPDPPRHPLLRPAPLLRRLPLPAPLRAGGLRAVAVGGLHATPLRDPGGRGPCSDASTRVPAAPHVSAYESSSLPAIVRTQAVEAGLHCFDMECISTEKDVEGRQKVNHVTVFERPGLHEFLQKTSDFADLILFTAGLEGYARPLVDRIDVHNIFKLRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHNDDQLMEVIFPLLKHLSLQRDVRPALYERFHMPEWFQKHGIPQTDQTV >OB01G44950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27525128:27527695:1 gene:OB01G44950 transcript:OB01G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRVVTLRAGGAPPESVAPFGRVVAASSGAGGDHFGPDDAQLDLSRGAPRFYIMRLEKRPLKFRTITHHASVTQCLGSIGGEDWYLGVAKPSIVDGAHEQGSDGRKPVQSRAGHCYLPPDPAEVCVFRVSGSKFLKLHIGTWHAGPLFKADAVDFYNLELSNTNIVDHTTHDFSKDGVTFVIED >OB01G44960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27528238:27530576:1 gene:OB01G44960 transcript:OB01G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYSSIKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFAVDESRFTQADEVTCVPYFHSRRSWGLFRRRSRLLCRKCGGRIGSAYEEDDPAALSACDADGGPDDLRTTSSGSSGGGSTISSSASSRRNYVIKINALQPSSDDSDAVAFTL >OB01G44970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27529633:27529806:1 gene:OB01G44970 transcript:OB01G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIEVAILSHPAVPECLLCLSSSLHGFFIPLLRKHGLVHLCQLLNINYLLILKLQK >OB01G44980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27528940:27533360:-1 gene:OB01G44980 transcript:OB01G44980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3L5J2] MAHEVAAALVLLVLSVSVAAAALGDQESDRIRELPGQPAKVSFSQYSGYVTVNQAHGRALFYWLGGAGPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLFLNPNSWNKAANLLFLESPAGVGFSYTNKTLDLYVAGDVRTALDTYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEQNKGIQNPTINLKGFLVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKTTCLLESSEHPSPECAKNLNIASTEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSNIYYNRPEVQIAMHANTTGIQYPWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQVYKGLTLVTVTGAGHEVPLHRPREALILFRHFLQNIPMPTQ >OB01G44990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27534988:27544830:-1 gene:OB01G44990 transcript:OB01G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIESLYLLLITNKQSNILEDLDTLRLLSKLVPEYAPSLDEDGVSKAAFELLFAFIEAISLGNKENVTVAQVKQYCEMESNEEKLHKLVMQSKINETKDIMRRRVTEIEKSKTDRGKPDKGGFGPLRTPNSFSDIGIRGGGPGGDPIFGDIDSFTHKAKGRPSAPAPASASASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSAIQSRSSYIPPNDPITVTVEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFVQLQIENQDLPGLSFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELDESSLPLAVNCWPSVSGNDTYVNIEYEASEMFDLHNVVISIPLPALREAPGVRQIDGEWKYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSSFFPIAIGFSASNTFSGLKVHLTVRAIHVTAPRTSGYRAVTAIRALREGSPPKFSQRNRLVTVVAEQLRAVRSGLQEERHRGPKSRPPEKEGGGALLERPPVFDQSQLDTPLPVTQEGGDKGRMRPEGGALDSGSSDSYKVLLIDDARHTEKATEKALPQVVPSVTAEAARQLLHESRQKGAALVIVAVKEHAEFYAQMMVRQRQGLEPESDLAS >OB01G45000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27543575:27544069:1 gene:OB01G45000 transcript:OB01G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKANRSSNAALETPSSSKEGAYSGTFVDSSLRVSRSSRMLLCLFVMRRRYSDSIG >OB01G45010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27548755:27551549:-1 gene:OB01G45010 transcript:OB01G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPSTSTVSGPASIAPPPQPPPPAATHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTVTTAMPKTPVSSKEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQQQLKHQRELEKMKIDEDWDHSHQSKEQVEASLMMKQEAALRRERALAYAFSHQWKNSGRNVAPTFTDQGNPNWGWSWMERWMTSRPWESRMVSDKEPKDHYLTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRAPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVAEKPNGEPADKLMERGRRHSDPPRVDPASLNDVPVSGFSHMNIGACCR >OB01G45020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27560390:27563942:-1 gene:OB01G45020 transcript:OB01G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGGKLKSPACYNHAISEQNAFSQAVFQQYEREEWLLGTPYPDVSPGSHQDGPAGEGGRAGLRRRQAGDQGHPTRTSPQGATKTGRPARGAGPDSAADRPSTKSPTGRSPKVERRMTMSAERELFHFELELQYCGLVEVQLLRHKRRPPTKLSEMESQLSQLQDELKKAKEQLLSTEHSKRRALQEAEDARARAAAASAQLAAAARADRKQDVVEAMATIDELRVKLKASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEITETSAAKAGERCNCSASASASEVAELRSELESTEARHQEERILSTVETQCAYELMDQIKMESDLRHGKLAAALESAKSEVIFLKASLFDKDSELRRALDANKKLQSETTRAGSTDHELKAQLQGALQEIGQLKLELQQYTSGRASTKATDADAAAAAAEAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGSNGKVVDRSESLEGGGGGGKYAGLWDELDDDAAARKNGNVLRRISGMWKK >OB01G45030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27571188:27581995:1 gene:OB01G45030 transcript:OB01G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVLDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKAGVKSSVRPVMQPDIDLEELEAKLGEHENDLLEMNTNSEKLLQTYNELLEFKLVLSKAGGILASSHNHATPVERELEEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKALAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGNQAKAKILKICASFGASCYPVPEEMVKQRQIFREVSAQLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKPQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFRTDKFTNGFQEIVDAYGVARYEEINPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAYTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCSGSQADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKRHKERFQGHTYRFLGTSEMDPDSEPDSARSHHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDNLVVRLVGLVVFAFATAFILLMMESLSAFLHALRLHWVEFMNKFFHGDGYKFMPFSFALLADDEE >OB01G45040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27582263:27583781:1 gene:OB01G45040 transcript:OB01G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVNLFIELLCVLIFAQYIAGSQDLKDDRVTSLHQDPSIYMIVNRSIKTQQSNGQGAYFVFSAGYEMNSESYYGIEVTTDVYGLSVDQEQNSGAMVGIGSKGDDVNAIVVGWHVYPKYYGDAYPHFFVRWMRDSHQQTGCYNLDCPGYVPEPGSSIVPGISINPVSEPGGTKHIIIFKIFKDGAGDWLLHCGLDSEPYLIGRFPASLFTTLRTKADYMRVAGYAVSRTTHLAPMGSGYLPTNPKAASFSNVQLIDQDGLTSKIPRDLPAIENSPSMYSASPIDFEGKFTYGGPLE >OB01G45050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27584841:27586769:-1 gene:OB01G45050 transcript:OB01G45050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLYAMGTLGFEEYVEPLRIYLQKYREVMGDSKLTSKADGSVKKDAIGPQSGASSSSAQGMVGAYTQGMGYMQPQNNFHTLAVFQSFAFRYMYHFTQIYCKYPSFE >OB01G45060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27588791:27590510:-1 gene:OB01G45060 transcript:OB01G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OB01G45070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27591803:27592102:-1 gene:OB01G45070 transcript:OB01G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDGGEGDIVCGGDNDDGVATTVAAALLVLPGPPRTTTTMVARAPLSCSTVYNTLLSCLLYVCAVQRCGADAYLKNVAVGVAGGPYISHVTLIADKKY >OB01G45080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27596711:27601485:1 gene:OB01G45080 transcript:OB01G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT2G20280) TAIR;Acc:AT2G20280] MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVASKGAEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKVKTTTPMTTELFMEWKRKKAEEREAGLAALRAERAKNDRMSGRELFMADSSVFVDDAEAYDVYERQEESEANEEPSKKSQDEGPSSSTSNGKEAEETDDEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >OB01G45090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27603763:27604017:-1 gene:OB01G45090 transcript:OB01G45090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSNPPVKRCLSKTPSRNPLPRRGQVKENMGRQIVAAAAARAPPAAPPLSGNRGGGGGGGAAAGGNKKGIGNPAPVAGAKKK >OB01G45100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27608690:27609691:1 gene:OB01G45100 transcript:OB01G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVNQMMSGKIPSGVFNAMFDYRGVLAQGRGGGRPYRALCRRPPPPPPPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGARRGPMPPPPPPPLTPRPARPRQARRPALLGSHCSPSQYASPSCAPLSP >OB01G45110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27609582:27611205:-1 gene:OB01G45110 transcript:OB01G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGYPYNNGCSSSNKEKRPPLKRGQLKLQIAKTLGNLVLAMLAADNHHQGNLERASSAESAHRSVSDWRLELLDADHILPCHLHPGDDVRVVLVDELEEQIQQRPCQCTGVHVVTGTAGRTMVRRTDSASSGIPEGRDVALDVVEQGEV >OB01G45120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27613905:27623107:-1 gene:OB01G45120 transcript:OB01G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIAAEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKSFLDRQAEDDESSGEDAKVSPSVEDEEACKSAEDGRSKLSDEQKVKQQRPHKIEIWSEIRPSLGHIGEMMSLRVKKKGSSADKENAANELHSANNEESKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNDDANQEEHYPWKEELKYLVSDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMDGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSAEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQSLLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAERDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPNQVNQDSSQEFQPRRISLLAPFSLGWRDKNKVKQSISDESTNAKLNSNTEQMVHTPKKDDETQEDSPQEGEQRVDTPRRDSEHRLETAEIATNNMNGQEEQLEEIKLD >OB01G45130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27636882:27641138:1 gene:OB01G45130 transcript:OB01G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPSRLASGVRQFASKVSMKVPEGVAGMRPGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMSRNGRLARESFGKCIGMGDSKEFAGELFVALARRRNLEPEGGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSKTMDFVHENWKRIWLVALWLAVNVGLFAYKFEQYKRRAAFQVMGYCVCVAKGAAELLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAGATAVHTLAHVTCDFPRLISCPSDKFMARLGSNFGYRQPTYVDLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDNLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLSRLETTVVADSQIEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >OB01G45140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27641262:27642212:-1 gene:OB01G45140 transcript:OB01G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHGKQEAETASVVKVAREPAIIINGVPDLPPDCTPVSQSEPSNAAEPQVDHHFGESLEGRKVRKLFGDKDYVGKVVKYDSESNWYSVAYEDGDQEDLEWREVEEVLLPLDITMPLRTLILDKAGYQSAVPDSRPKVGRPRKIYAITEANTNRSLVPVSQGNNFMGNQMMTGAANEQSNNLLALIPASTSNDASAAGVNTQACVNASSQPRKRGRPRKNAIVPAKPANSQPKRRGRPPKNRNVSGNVQSVESTPNSLAIVPVDDASITADASRRQNSVLLRNAQTIRAEKLAKAERLKRENMIVQGTPPGTQFF >OB01G45150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27644937:27645386:1 gene:OB01G45150 transcript:OB01G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFSSLISDLPGSCATNCAQENRRARSLRSPGRLKRPSSLSPGRTNPSFRLERAGWSSMAVPDSSPEAQVGGAGGGGWRGEARRREAAARLPPTRRHRGRRETRERGGRRSPAGTASRTWAAIRWFFSTKMGGEAAMGCSLPRSISV >OB01G45160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27652698:27653652:-1 gene:OB01G45160 transcript:OB01G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSASSVGSYGSDVSFMHHPAASLSSGAAVPTQIPRVAGGYLDGGNVSGAFPPFGAASSSPPSYSSSLPSSYYNNIQRSISSHSLPHHLQLTDHLGAGGSAFFSSPSSHQLPLPPPLSSSPSSSSGDLFEFTSPCPVRRVFSTGDLQGMNGSSPPRPLPSGESCGQDGGGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEAEADGDDREAFDGSYGYPAAG >OB01G45170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27658909:27661866:-1 gene:OB01G45170 transcript:OB01G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWGASHIRTTQSKWLDNNVQEMETRVKAMIKLIEIDADTFAKKAELYFKNRPELINYVEETFRSYQAIADRYDRVSGELHKANHTIATAFPEQVQLSLQDDNGDGFPKGITGINISRGTNPAPKRTQTHRRISSQMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLTKYLNIERQATEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLECEQKRSYEETTMEIQRVMDAKKKLTIFKSECGYPFDQKDLYQGIEFCSVPTSIEDNDLILKEHKLELHEISQKVKQKFEASSEAYVVHLAGQVDEFVDKVISLEIAVSSQNAQIDRVKNEADELHKRLDSLADDKAALIEDSSKFSERLKQVEEVLQTIQWIEKSVHTENGHIHMQLTEVNDTLNDFVNKLDVHFTDEIVNSSLESKGIASDEGANKPEACYDSSQDDPGNPCKSTDEGLLDSLDCSSETHEEELDETLVLNGLEGKDKIFLKDYASILRNYKDTKKQLLEIEKKNREYHLEAMSEMKELKSSSATKDDEIRALRRMLSCLQTKLNNSLSQHFEKSKESSKANANPSLEDKEVTEIEENMKISQHEEPHDSSLEDKFRVEISRVLEESLNFWLRFSTSYHYMQKFQKSFDKAKAEMDRLTDTKAQEGSDVVPSCQSTRKLESAALEKKFRDLSTDLQVWLEKNVLLRGELENRFSLLCSIQEEISKITTLGQTDDAHFTPFQSAKFQGEVNNMKQENNKVTKELQAGMDHVRGLQVEVGRALLKLRENVELSIGRSNRTQHSFRSLSVKAGVPLRTFLFGNKPKKASLFSCMGPVMPKPVADMRSGLFR >OB01G45180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27671766:27672077:1 gene:OB01G45180 transcript:OB01G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3L5L2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB01G45190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27673694:27681916:1 gene:OB01G45190 transcript:OB01G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family [Source:Projected from Arabidopsis thaliana (AT2G39340) TAIR;Acc:AT2G39340] MAAQGGEAAAGSDAKPTVGTGMEHPNSSPYQPSASDRYLWSSSTGASWNYSVDNSNQTNVYYDPQRDVSVSGSTENVASGAAHVVPSGMGTTNPTDSYAPYANSVQPCYSAAQYPNYYYNYPQTANESSVQQGVDQSSGAAYQPLTSFQNSGSYVDPTSNTYYNAGAHQTAPGYATNNNYYQSSSWTGGSSGDNHAQSYQGYTPADTNAAQSSSSLTNNSYHYQQQYNQWPYYYDQSAPNSGGPAVAVSSNSNANTANISSGYVYPSTQPPPPGTTPWKSDAGVPAVPPPPPQAPGIPVCQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYASQAPAVPGYQNQYANLAPTYQPGTAYYSQLPLSNQADQQKASHWQGPISNVSSVNHVSENSQPTFQGPAISDARRVNKIQIPTNPRIAPIVAMTMPKAEKRNSEADASQKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPASLRTYVGRNLSRCKDDVQRSAVQSILKEMITKATADGILHTKNWDIEPLIPLLENVTSTNLTSSAKDLNPFSFSTSRRSPNRRVKSRWEPVVEEKVANKVEVTSKESAKSNTYNSSEMTKRAGSSWDLGKFLHSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSHGAASSKSRSSAPNKEGPSNIYARRSISMLLNRSNGDGVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLPEFNQCQSQLKRLYAEGIKGCHLEFSAYNLLCVMLHSNNKRDLLSSMASLSKDAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEALKCISKSYRPTLPVKYAAQVLGFMGIDEVCEATKTDGLEECEEWLKAHGAVLSVDSNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVNDFLARAS >OB01G45200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27686468:27688279:1 gene:OB01G45200 transcript:OB01G45200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSGKSTLIDALANRISRDSLKGSVTLNGEALTGNIIKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRSLPATKKRARVQTLIDQLGLRAAENTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVQVLRSIAASGSIVITSIHQPSHRILVLLDRLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESSPTGTTPLVHFNQKWQLMHAARHYPADAPWEPTMSLKEAITTSISRGKLVSGSDVAGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYIFLRETAYGAYRRTSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFTFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAVLAYFLLFSGFFINRDRIPQYWIWFHYLSLVKYPFEGVLQNEFARGGECFVRGTQMFDNSPLAMMPDAVKTRVLASIGSALGVKIGPNTCVMTGHNVLREAAVTQLGKWECLLVTAAWGFFFRLLFYFSLVLGSKNKRR >OB01G45210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27690476:27692125:-1 gene:OB01G45210 transcript:OB01G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVYLLPLLLVVTGATLHPAAAAQAKHESFRDDRRPLIFVGKFRFTRNGSVSIAVTAGSEAGVTAPFLAGFFLLPDEMRLDPTLRLPPFSQGPVSYRRCILTSPYIHRLLTFVALDGAGHYRGTYPITRAGEYSIYFANCAPGARVTMDVHVELHDSNPVDGEEDPVRVVYSVFAVCYGALLIAWLHRTLVRCCLTARPVHDVMSGLLVVLMLHCLTAAEDGRYTRVVVTAQGWNVPCFALRLVKGAMLFPAVALIGAGWSLPEPFVPDRALNVLTAVAPLQTGMAIATTLAGDAPAFVAGGVAWTWSHAFVLAQLACCVAVLMPMGRAIEALRKEADTDDKAARRLGKLALFRQLYLAVVVYLYYTRMAVIILKLLVGTSSGHRWASVAVEEAAAIAFYTFMFCKFSPAEDIPIEEDAEELIPGGV >OB01G45220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27694408:27695751:-1 gene:OB01G45220 transcript:OB01G45220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARVLLLLLAFAGVLLCPAAAEIKQESFKDDSRASILFEKFGFSRRGFVAITISGARTSSKLATQDQSQFGFFLLSDEALFEAIYEQPPPTDLNPNPDPNPGCVLSSPYVKPLFSFADLDSNGNYNKTFPVTHPDEYSLFFANCAPETTVTMEVRTDMYNINPDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAIWLYVTLYRNRLSAHRIHHLMTGLLVARMLYCISAAEDQHYIRTAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDDDEEEAAELALQEEEFEL >OB01G45230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27699922:27700218:-1 gene:OB01G45230 transcript:OB01G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILWESCSCEDFFIGIYAVCGWYLHHTTCFALKAPLLFLRKHCKQYIQTYRRSACVFFVRFSAHAIYYYMVFPLSLKALTLFPSANSCQTGYMTIIH >OB01G45240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27703434:27704528:1 gene:OB01G45240 transcript:OB01G45240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPLQEEDYIDMDLSSPAAAQAVTARASLLCYSTAMAASSQNSREFEFHMSAPVDKWEPMASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNVSPANSCYVSGELNAEHYFHECTSAGIGAEEEEAACEKKTWSRKLKFIRHLNLGLKLKASKAYLKTIFATKGGNTDSKTGTPAANELSHAQFKSWRKNPFGQIRSNRYISSPISNSLALGGKLKEDEFGHRRSFSSVIIRCSSSTSNKTSSASTSSCSSSNSSSSILSSNDSGVGPVLRRSSSASSEMDNPIQGAIAYCKKSQQLASVRKSASDAGFRFMSSSASRIAAESEDSDDIIEICRR >OB01G45250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27707776:27710855:-1 gene:OB01G45250 transcript:OB01G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEDGGGAGTAPVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAAAERGHLEVVMELLRHLDAEGVAAKNRSGYDALHVAAREGHHAVMQEILLHNRLLAKTFGPANTTPLISAATRGHIEVVKLLLEIDDFGLVEMAKDNGKNALHFAARQGHVEIVKALLEKDPQLPRRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEGLPLCEESSEIKDILTQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAIVVQTVSFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGIIMTGVLGTMTYYVVKSKRIRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >OB01G45260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27710334:27716232:1 gene:OB01G45260 transcript:OB01G45260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:J3L5M0] MRHLTMMPFSRRDVQRIVPRPVLRGDALSVQVPKQLHYHLQVPPLRRGDXPRHLPHVPPPLPAPRHRHHLHRRRPGPSSVLCHQTNQLVGQRGGELPAAVADAAFVPYAAIADSSLRALAGQAEGFGRHAIGGLHGDVYHVTTLEDDGDGSLREGCRRREPLWIIFDVSGTIRLSAAGRGASYKTIDGRGQRVVLSGKGLQLRGCEHVIVCNLEVEGVRGHDADAVQIKPGSRHVWIDRCGLRDCADGLLDVTRGSTDVTVSRCRFSAHDKAVLIGASSGHVEDRRIRVTVHHCLFDGTRQRHPRVRFGRVHLYNNYTRNWGIYAVCASVESQIVSQSNIYEAGEKKKKVFMHMKEQAADRDRGSTGLIRSEGDLFLNGAEECTAHDSEAAAEGLWDFKVQDCYQSCSVQPASMALKELLECCTGWQPVPLPEDICLEEKTDPAPATTTA >OB01G45270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27717100:27719466:1 gene:OB01G45270 transcript:OB01G45270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCSEDIKYDEVSHADQLSHHGVYCELPWRQAVHLQMDAAETSGKSSDLYLSWNRRGVQHIDERYQLFNYQVHPLVIHLFLPEYLIRHRHRLRELFHEYLRYELNDIVLSNTHSFGDGKALNSWLPVSEKPENREKKRFLYGVSMGGSVALLLHRKDPAYWDGAVLLAPMCKISDDMRPHPIVVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGNLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGEDIVTDPSVSKLLYEEASSRDKTFKLYPGMWHALTAELPDDVEHVYSDIISWMDERAECTTVVSEMSGTPSA >OB01G45280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27721467:27725383:1 gene:OB01G45280 transcript:OB01G45280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKPSPTHSPAAAHAPASKVPKPARPGPRSWVGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHMPERGPLFSFASRSSASGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLRWEPKVSLREGLPLMVKDFRQRVLDE >OB01G45290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27725279:27725926:-1 gene:OB01G45290 transcript:OB01G45290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3L5M3] SHNRAKMQAQTRARILAQAYTALALLLTMLNTAAAHRPASHKPLVHPHDSDQTMTLYTTAGATPAETVVPSSQHPIFTGHGPIGHHSGGWLHLLTQPGALQPGAAAVVDEQFHGKKEFGQPLAGKLRGVLVTGLGDNGVRIVAGGGPLARDGEEDSLRFFGVHRDDQKESHVAVVGGTGRYDGATGFAVVRAADAHETSRDGSSSGVLSFRVHLK >OB01G45300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27731679:27732883:1 gene:OB01G45300 transcript:OB01G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDSSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHANIKPVITSTVWKELEKENSEFFATYKKCPGEESVQNKSSSSQEAAGSKSSGGDDD >OB01G45310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27737357:27742015:-1 gene:OB01G45310 transcript:OB01G45310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G39450) TAIR;Acc:AT2G39450] MAAAAAVATAAGGGGEEGEELLLLSAVEAGSGEGGGGAASAAEKSWRLNFDGFRPPEVQQERPPRGFHHHCLGVLSQSPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKIARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSDGDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIKGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSAPPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSNMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >OB01G45320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27748217:27748480:-1 gene:OB01G45320 transcript:OB01G45320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAGRHQRRASQSVFVLPENFASLEDVAADGGSVEQRKPAAADASSEQQPARMQAGRHRRAMSMAVASRDLEMITEDIASYKYGA >OB01G45330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27758772:27759352:-1 gene:OB01G45330 transcript:OB01G45330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSMWDMQEIIGSVDTARVLMLLAQQSQNGVVGGGFVSGAQSVVALGGHMRRHRAEAEAATMNDVSKPAPVKACDGGGVCLDLNLTPSENCAKCRNVVGLAAGGQGVHKALAMLDCFL >OB01G45340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27765566:27769648:1 gene:OB01G45340 transcript:OB01G45340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHVPEMDQESCPLVKNIIVLDSEGKRVAVKYYCHDWPSLSSKQAFEKSVFLRTKKTNARTEADIVMFDNCIVVYKFIQDLHFFITGGDGENELILASLLQGFSEAVDYLLRNKVNRRAAFENLELIFLCLDEVVDRGIVLETDAKAILEKVLGHGLDGSGSLAEQKVSNALATATRHFARSIFN >OB01G45350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27767880:27771801:-1 gene:OB01G45350 transcript:OB01G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKRQAERAMPVAAQAMPAPAGGDWWDGFARRLAAGQFSKDCQNFESVFKMSKKTFDYLCSLISGDFTRKTQSFRNFRFGDKAILGVEDQVAVALLRLTTGESLLSIGNRFGMNHSAISNITWKFIEALEERAASHLKWPTPEEMATIKAKFEKIQGLPNCCGAIDTTHILMCSSAQPNSNVWLDGENRNSMVLQAIVDADMRFRDVVSGWPGSLNDSCILRTSGFYRLCEKGARLDGQMELPGEPGGSVVREYILGDASYPLLPWLMTPYQENGLSPAKGEFNKRHAATIMVVQGALANLKERWQVLKGELWRPDKHRLPRIIYVCCLLTNIMIDFEDAARGGMPPSHNHDDGYRQQFSDVADVGAAAQRDLLCQYMSRIGSSLPA >OB01G45360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27778324:27778524:1 gene:OB01G45360 transcript:OB01G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYLYSRTLYYIVIRHSTSILQKIYKHPNPRESGSYYTFLRTNTYNFHVFISTLHLIPMKGEGVRI >OB01G45370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27781118:27782388:1 gene:OB01G45370 transcript:OB01G45370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPFRFVKIYTYSCQIYGQSKRKLLGNSFGFVGDDNHERGSGEQGDGEPTGARQRAALAVVAGADGVDAGGEEGAGGGGGVRVQDVQQAVPAGGRGGARVHECTVCGLEFSMGQALGGHMRRHRGEPGAAVVITDTDSGGTSVPQPPAEAMPDLNYPPLEDAGDGQESSSGRSSEPELLNLLV >OB01G45380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27788048:27790282:-1 gene:OB01G45380 transcript:OB01G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRGRGEQQRLPWREGQQEARSPDMAPPRPPRPRSGPARAAVVYYLSRNGHLEHPHFMEVALASPDGLCLRDVIDRLDALRGKGMARMYAWASKRSYRNGFVWHDLADDDYIYPVAGREYVLKGTERLHAIQLPLLDAAAASSCSSGSQETATSSPPGALASPVMPRTAHYRARPPRHPTLASTTEIPAYRQKIVEDKEYFSGSLVETKRSSPANTSQDLAVLRRSSSYNADSISVSLHGRAQKVEPTTEAVGMHDRCIPRRSRGKKADGGYLVISGNAQYGGKKVGG >OB01G45390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27794856:27798036:1 gene:OB01G45390 transcript:OB01G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRIGDAAPMAYIEFVDRENELREAKPAVPQPPQRAPLDPWAKSRASQQWAGPKISEGSRKEGL >OB01G45400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27798702:27799808:1 gene:OB01G45400 transcript:OB01G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42450) TAIR;Acc:AT5G42450] MGAVREAQGALEDTREPNVVSYTALIAGFLKNGMFGDAARLFRCMPERNAISWNAMIGGSSKAGLNEEAVNLFLDMCREGVRPNESTFPCVLTSVANAGALGVGRSIHASAIKFLGKLDVFVGNSLVSFYAKCGSLDDSVKAFTKIKKKNVVSWNALICGYAQNGRGEEALEAFRRMKAAGLKPDSVTLLGLMFSCNHSGLVDEGYSLFKTAEMEQPGILRPEHYACVVDLFSRAKRFDDAKRFLDNLPFEPGIGFWKSMIGGCQIHWNRELAEGVAKRIHALDPKDTSSYILLSNVYSAAGSWEDVSMVRREIKEKGLKRITGCSWIEVHNQVHVFFNGDCRHPRSDDIHMMLESCLSSEEDEHWLV >OB01G45410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27801176:27803905:-1 gene:OB01G45410 transcript:OB01G45410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3L5N5] MAVAKEIQLWLSPSLQDCIRGKLRWVVPSGGGPPVVNRPRSQHPSGGIDRLLAGGWRSANRDRWEGGKRWRRREVQRGDLMLGQRVQVRDWTKVWTGGRTVLSVLLRKTVTSLQLDFDFDPNHSFINDIFEKLTQEAGRLARYNKKPTSREIQTSVRLVLPGELAKHAVSEGTKAITKFTSS >OB01G45420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27804811:27805047:-1 gene:OB01G45420 transcript:OB01G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPQSTLPCKSSQKTAKFWGHPCSQVNTEYLVPLRMMPICPCLLGGKKQQKQEGKIKSQGKSIIVGFEPTTFRFGI >OB01G45430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27803731:27811688:-1 gene:OB01G45430 transcript:OB01G45430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYSDGRVCISILHPPGDDPTGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWRETRDDFKKKVRRLVRKSQEML >OB01G45440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27814781:27817382:1 gene:OB01G45440 transcript:OB01G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIGGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMEEIEKMVQEAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAINWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGPDMGGATGMDEDVPAGGSGAGPKIEEVD >OB01G45450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27819365:27820912:-1 gene:OB01G45450 transcript:OB01G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGPHSLCTLLSMDPTGHLDDRAVGVMVQPRIGDGAGRAHAVSLSGAPPPDINQPWQTDLCDMLDVSLGPHVYDGEALAVLSSAPKAGNRKAAKRGDSIWGAWFFFTFYFKPLLSDKCKGKVSRDANGVSGFDKTDLRLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPSLASITEADRRRWVELTGRELNFAIPLEACDFGTWRTMPNTEIELDRPHPVMKGNVNQHPKKMLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSIVQPSWLHEFSGVMKKAHGPVTAAKSIYEDDQGYLIMVSLPFVDQQKVKVSWRNNSTHGIVKILCVSTAQAPYVRRHERVFKLADPTAEHCPQGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >OB01G45460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27841155:27845121:-1 gene:OB01G45460 transcript:OB01G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPLSPYESFRRQYMLYLQLAPDHEGRTSRTARGKGLRTPTAEQIQQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQQSFDYFSKLFRRPPPLPVLHRPLVRPFPLTVPPAMPPPPSAPTACNTGGVMYRSPTFMPAAANAGYYPQQQTPVQYTGMEAPPHDKVSVQPPATSMYQLTAPGGEHFAPAAARGVGDRAEEAGGRGGGGAGRETLQLFPLRPTFVLPDHKPRRAGRACAAASLTTPSAPASFSGESESSDRRPNSEAPPFYDFFGVHSGGGR >OB01G45470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27848426:27852074:-1 gene:OB01G45470 transcript:OB01G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:J3L5P1] MAPQPKSGLFVGINRGHVVTKRELPPRPSDRKGKNTKRVNFVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMSGYPRFCFMSSRLCYVWSLGVGFVLEKAPLLYDKLIGLVRRIFSLDMVSDVEMAQQICSTADANTNFVHFMVFGHRPRLGVEVERARGLAFTESHKRNKVFFAGVEQTLITASLLANLSSDFTETKK >OB01G45480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27853150:27853692:-1 gene:OB01G45480 transcript:OB01G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDGWPLGLGAMNARAGVVRSMDLSGSASFSTAFTSSHCASSSLTSSDFDTESAWSLSRRGGGGAGGGMTLASLIGLVDAMESRRRRSTRASRSGKVRALLLSLCLRSHLENVRGAPSLGQFLEMERRASSNAL >OB01G45490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27855069:27863460:-1 gene:OB01G45490 transcript:OB01G45490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYEGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYSDGRVCISILHPPGDDPTGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAVDLCSEFLADVLAQKEWRETRDDFKKKVRRLVRKSQEML >OB01G45500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27856703:27857848:1 gene:OB01G45500 transcript:OB01G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSNAIVVSVILDGQNYREWAFCLETALRGYGLAFHLTDDPPEPTTNNSNAADIKTWKINDGKKIHSVQHNDMTIDEYYSAFERLMGPLLSMVPQCTANECKAHKFIEKFFTYRFVMGVKTDLDSIRTRLLHDSSSLTMSRALSDLIAEETHIQSMATAHHGVLAASNKGSYSRGGLLLSQASIARSKLIDLTSALSIPKKLADFRARRAAQGCGTPLRASVSAATAAAPIGACTSSWALDSGASFHITPDQSQLIDCKLVNDGSSIQTVDGSSNRGCD >OB01G45510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27868937:27870391:1 gene:OB01G45510 transcript:OB01G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARQAFEIDEYVRIADILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVASEFQRDREVIQQMVTFQHIDEKGFNWGMTVKSKSERVLKLLERGPFLEEERERARKIAREIKGFGSFNLSSAQASGQAAAARASMGQCYGRSNSRFEDRWRREDCVDDGDKENLVASTPEPDQAEELHRRHPFHSFAQQPPEAMLLLSQ >OB01G45520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27871038:27873198:-1 gene:OB01G45520 transcript:OB01G45520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQTIQIGSVFYIAGYHSLVVMLEMRESWCSRTPRTATSMSRDLTIIHGDIKASNVLLDAKLCEFGFVHVEFSAAVRTPTIRASPSLQWDPVEARSRMVVFLHKKYVKLT >OB01G45530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27873208:27873399:-1 gene:OB01G45530 transcript:OB01G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSAQECADSVVYYIHRENWKLILYSWIGSNNFVRLFILNLIDLEDVKVAVLDMPHAKILR >OB01G45540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27876037:27876666:-1 gene:OB01G45540 transcript:OB01G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASGVADEFLADERGAGITVFVPTDDAFAGLPATDRLQSLPADRKVTVLRFHVLHSYYPLGSLESIVNPVQPTLATERAEAGQFTLNITRVNGSVAIDTGVVQASITRTVFDQNPVAVFAVSKVLLPKDMFSRDGVDSAATAPPPAAMAPDVADSVQTPPTRLSSPPAPRGGTDSKSSSAFPTARAINRWCILLVFLKLHLFLLPLV >OB01G45550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27879044:27884291:-1 gene:OB01G45550 transcript:OB01G45550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSISLDSVPRIISRPALGSLYRSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNNIYDLPESNGYIYVEANGGLNQQRASICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFLQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEEEKMELDAARERGWRGKFTRPGRVIKPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKAEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRRLAILFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHSKPIQAR >OB01G45560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27890790:27891953:1 gene:OB01G45560 transcript:OB01G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11690) TAIR;Acc:AT4G11690] MLARGVKAGDAALDVTACTALVHGCCRGGDVAEARRVFDVMPLLGLAPNEVTYTALMHGYFIHGHKEKGFSLFEDMRRVGVEPNLYTYNCLIGECCRTGEFERAHNLFDEMSEKGIVRNAVSYNTLIAGLCKHGKLWNAARLLDRMRKEGTRPSIITFNLLVDGYGKAGKMSNALHFFNQMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALSDMKERGLEPTKVTYTILIDSFARENDTDKVFEMLTGMEKAGLEVDVRTYGVLIRALCMEGNMKDAKKLFQSMGEKGVEPSNVIYDMMIYGYGREGSSYKALKFIMEMRQKGLVPNSASYSLTIRILCRDDKCQEAEALIDDMVHAGLQTSESIRQALLDAKARWRGSTDSSFA >OB01G45570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27897560:27901765:1 gene:OB01G45570 transcript:OB01G45570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEVYNARNWKKIAECFPYRTEVQCLHRWQKVLNPELIKGPWTPEEDDQIINLVKEYGPTKWSVIAKALPGRIGKQCRERWHNHLNPDIRKDAWTAEEEVALINAHKMYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDIYNTSNNVAVTKLPVHDKFKDKPKLVAMEGHLDLNKAPNINLKDQHGTARRSNCSGFLSVSTLPTAQPLTSHEASLVDCSAVTLVAQALESDSVRDKCVEIDSIHEKGLEVNSVPDPTGNSGTIQLEAAPAKAEPELSLKNGACSTLGPLCYQIPNIEDVAPASSSLFSDCLTANHTSEHCGDGISSPIGCITPSPTKGKVSSQLSVDSILKSAANSFPDTPSILRRRKRDKSTPVSASEVKISGVSTDNFYTPTGMEPATATPESFKTSSFMSVGSLDGLSTSVRSFDVSPQYRARSKRMAVMKAVEKQLDFSSYGVDTCGSEILNSPCQYSQSTLSITKAPKMKEKELGEHVVQLENLTNNFAHTTNLDVT >OB01G45580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27903179:27906911:1 gene:OB01G45580 transcript:OB01G45580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDIVEVVVSPPFVFLSQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLGESNDFVADKVAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLATNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVDIIKSATVKSSP >OB01G45590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27910414:27911846:1 gene:OB01G45590 transcript:OB01G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT3G55470) TAIR;Acc:AT3G55470] MAGSGVLEVHLVDAKGLAGNDFLGEIGASSVSAAEAKENEADRSSSTDRTRKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAATGQHKLFLRLMDRDTFSRDDLLGEATIDVTDLISLGMEHGTWQMSESKHRVVLADKTYHGEIRVGLTFTAAAKPQDHGKQVGGWAHSFRQ >OB01G45600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27914012:27922018:-1 gene:OB01G45600 transcript:OB01G45600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT5G02250) TAIR;Acc:AT5G02250] MRPSPMAVRGGSTAALAFFRLRPGPLGGAVRPDAPRAGGHCXXXXXGGCWGRQGHSLVDSVLEELRSRRRGRVSAKIGLQGTKELPDNKIDNRTLQKGLLLEFQKDSERFLLAVVERPDGKKNWKVTDQNGILSSIKPQQVTYVIPGIINYNHSRIVEFIEKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKELEEFVQLLKSAKALPLDAKPSKDSWLMEEKTKQRIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINVGYFPVHVNLDLYRYDVRTGYTEEVLSAAEELLVDCPDSDMHIRKDLSTLKVYAIDVDEADELDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGKGCKSVSVSVILQPDGSIAEYSIENSLIKPTYMLTYESATELLYMNLEEEEELRILQEAATLRAQWRRSQGSIDTTMIEPRIKVANPDDPEPSINLYVEDQSNPAMRLVSEMMILCGEAVSAFGSDNNIPLPYRGHPQSNTAVSAFAHLPEGPARSFANINVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGRKYKALILKFIKDRLATLLLLEVGIQATTVVSTGKVGDEVTVIVEATHPRDDILSVTEFTEVSDE >OB01G45610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27933112:27933312:1 gene:OB01G45610 transcript:OB01G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACSTHRVFCDAQAEETARLNAASTTVAAAAHVHHAARSLRRHVQRHNVHRLELPVEVDVRSRFI >OB01G45620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27935233:27937671:1 gene:OB01G45620 transcript:OB01G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGVHDVCTLLPGSKRDAHLPMYPQIAAANGFTAEELDPLLFLSPDAVGVGGDDYLNVVSAAPVSAGSTNSASPPRDVSVYAASAAQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNAVDLLAAEKPFLEILADQSRYSGGSSVESPDGSSVTNSCSSLSPCNCSSASDGLGTVPRTPVLEFPTAAFLQTPQLYGDLIPQGAMVESGGAWQYDPTEFYQLQTNPVSGNLLSQSSSFASSNGSSVTFSEGFESLLSPAGVLPDVGLNDFAVQSQQALQFCRGFQEASKFLPDESKLVIDVDKFCSGNEGGSFLGEVRQDKKLVKVKTETDDVEAAVHRGRKHFYGDDLDVEEGRCSKHSAPGIDTDHLVREMMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGHGKGSSHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLRQIRHHADAKGDGDQRLAHCFANGLEARLAGTGSQIYKTYTMTRLPCTDVLKAYQLYLAACPFKKISHYFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERIEETGRYLSDYAQTFNVPFEFQAIAYRFEAVQIEDLRIEDDEVLIVNCMFKFKNLMDESVVAESPRNMALKTIRKMNPHLFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPKGNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRAGLKQLPLNQDMMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >OB01G45630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27945348:27948762:-1 gene:OB01G45630 transcript:OB01G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3L5Q7] MAMAISSALPSSLLMAAAVMLLIVQAQGITRHYEFNVRVYICIACLSVVIAFLMNLIDRSIDLQVQMANATRLCATKSMVTVNGQCPGPELVAREGDRVVVRVTNNVAHNITLHWHGIRQVRTGWADGPAYVTQCPIQTGQSYVYNFTVTGQRGTLWWHAHISWLRATVYGPIVILPKPGVPYPFPAPHKELPVVFGEWWTADTEEVVNQAVQTGAGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPRKTYMLRLINAALNDELFFSVANHTLTVVEVDAVYVKPFTVETLVISPGQTTNVLLAAKPYYPGANFYMSAAPYSTARPGTFDNTTVAGILEYENPMSASAASFVKGLPLFRPTLPQLNDTDFVTNFTNKLRSLATAQYPAAVPQSVDRRFFFTVGLGTLPCPANMTCQGPNGTQMAAAMNNVSFVLPSRALLQSHFTGLSTGVYAPDFPVAPLSPFNYTGTPPNNTNVATGTKLLVLPYNASVELVMQDTSILGIESHPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSQPDQKLLPPPSDLPKC >OB01G45640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27945863:27958460:1 gene:OB01G45640 transcript:OB01G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHPSAGRHADGVALDGVDEVELGRVVHGVVVAEPLADHEEVEAVQVEGVALGAEDAGALHHQLHRRAVRQHDQPRPVGHVGVVRRRPRVVERRRRHGREVGEVHPAGPAGEVRLQQRRGGEHEGDVVHGRREPAPVGPLARPVDGAGVRAQPHREEEPPVHLLRHVRRVLRRGEAAEL >OB01G45650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27957397:27959971:-1 gene:OB01G45650 transcript:OB01G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3L5Q9] MAISYLLRSSVLAVAVVLMIFSVDVAKADIREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVVRVNNRATYNMSIHWHGIRQLRSGWADGPAYITQCPIQPGGSYVYKFTVTGQRGTLWWHAHISWLRATVYGPIVILPKPGVPYPFPAPHKELPVVFGEWWKADTEAVISQAMQSGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEPGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVDTLLITPGQTSNVLLTAKPSYPGATFYMLAAPYSTAMSGTFDNTTVAGILEYEDPSSRSTAAFNKNLPAFRPTLPRINDTSFVSNYTGKLRSLATAEYPANVPQQVDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASVNNVSFVLPTTALLQSHFAGRSGGVYLSNFPAMPASPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSILGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >OB01G45660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27962711:27968275:-1 gene:OB01G45660 transcript:OB01G45660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSERSSRQRQVSFEPIPSLHRFSVVATGAGKGGDWRFLLANRQFRRQFSDESNKNYEKNSGKEKEKDEGGESDNSDSKKQSSSGDQWNFQEIIKRFKDMIAPLFLFGLFLLSVPASSSEQEINFQEFKNKLLEPGFVDHIVVSNKSVAKVYVRSSPSIDRIQDSDIHHITTSHLPGIESPNSYKYYFNIGSVDSFEEKLQEAQETLGIDPHYYVPVTYAAEAKWFQEVTKFAPTVLIIGLIYLVGKRMLKGFTIGGGPGKGGRSIFNIGKIQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEQLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQQARQCSPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDDKPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTLITMEDFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHADPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIRKISTGAQNDLEKVTKMTYAQVAIYGFSEKVGLLSFPQREDGFEMSRPYSSQTASIIDTEVREWVAKAYETTVELIKKHKDQVAQIAELLLEKEVIHQDDLVRILGERPFKTLEPTNYDRFKQGFEDEGSSNSAEVSDVDGTSSLEQAVPS >OB01G45670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27971385:27975443:-1 gene:OB01G45670 transcript:OB01G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALRAQAAAATTDEVAGAAVWPGELDEHLIGELLGDDDDGALLFPAADQHSSDQRYSLGAVSSEAADAPRRVGVESKYTSKVRSCGGGKMPADGYKWRKYGQKSIKNNPHPRSYYKCTSSRCSAKKHVEKSTDDPEMLIVTYEGSHHHGPHPSSPHLAQPPPSSSSAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTTRRRRGARRAAPCPRGSLVRRRRRLDIGVVRGAR >OB01G45680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27983084:27991013:1 gene:OB01G45680 transcript:OB01G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:J3L5R2] MALAAASANLAGKQSLRVVVIGDPGTGKSSLVASAATERFPDEDVPGVLPPTRLPVDYFADRVPITIVDTSSSPEQKPKLIAECQSADVVVLTYACDRPATLQRLSSFWLPELQSLQLKAPVIVVGCKLDLRDEKQYSLEAMMEPIMGTFQEIETCIECSLFRQIQVREVFYYAQMAVLYPTAPLFDQETQALKTRCRRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPAEIAGVKRAIQDKLAEGVNDNGVTLTGFLFFHTLFITNGQMETTWTVLRKFGYDDELKFRDDLIPAIKRAPDQTLEFTSKTIDFLRGIFNMFDTDNDGALLPAEVEDLFSTAPENPWSSHLYENCAENNVLGGLLFEGFISKWALMTLLHPPSSFANLIYVGYSGDFDSAFTTTRKRKVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLKRQPDAFSVNGRFAANTVELPDGNRKTLVMREIPEGDVGSLLSDKESLAPCDIAVCVYDSCDEFSWQRARDLLVKVAAHGKNTGYEVPCLIVAAKDDHGQSLMALQDSTRVSHGMGIETPVPVSVRLNDLNNIFCRIVNAARQPHLNIPETEAGRTRRQYRQLLNRSLTVVSIGAAVAVVGLASYRLYAARKSASSGE >OB01G45690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:27996490:27998914:1 gene:OB01G45690 transcript:OB01G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQLGQPLPRCDLPPCRARTHDDPYIDPVLISRQVHHFTLASKGNHKSCARQLHAWAIEMGALHGLRNAGSSACRFLAAFAVLLALPTLTAGAVTRHYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVAREGDRLVVKVHNHMSYNVSFHWHGIRQVRNGWADGPSYITQCPIQPGGSYAYDFAVTGQRGTLWWHAHFSWLRVHLYGPLVILPRRGEGYPFPRPYKELPPIMLGEWFNADTEAVINQALQTGGGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVQPGRMYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTTKTLVISPGQTMNVLLTTAPNPGSLAYAMAIAPYTNTQGTFDNTTAAAVLEVALLEAHYQRRYAGVLTANFPTTPPHPFNYTGAPPNSTFVTHGTRVVPLKFNTSVELVLQGTSIQGAESHPLHMHGFNFFVVGQGFGNYDPVSDPAMYNLVDPVERNTVSVPTAGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPSQKMLPPPSDLPQC >OB01G45700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28003766:28004200:1 gene:OB01G45700 transcript:OB01G45700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYWVYHPSNNLPSQKWQEARKISPPPPDNLLLLHQSKEQNKTQSFHFAAEARKATDSFRKLIKTGRETLFQQRKPCINIGKHQVGPFSGRHGPTMHTHPALHYIPNFYALPQERASRLGHSSLSSAEQLHFTLPVILSFALD >OB01G45710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28004289:28004510:1 gene:OB01G45710 transcript:OB01G45710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVAKPCRLQKKRSGGKVAVCVRGGGADGNAGKSRPSFTCRCARLVKEQRARFYIMRRCVTMLVCWHEYQ >OB01G45720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28008145:28011098:1 gene:OB01G45720 transcript:OB01G45720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L5R6] MEGILKTVKRRAKDDATAPSDSLPVVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVATMTCKPEYAYGAAGSPPEIPPCATLIFEVELVSCRPRKGLSVESVSEEKARLEELKKQREIAAAAKEEDKRKREEAKAAAAARVQAKLEAKKGKGKKAK >OB01G45730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28009634:28013591:-1 gene:OB01G45730 transcript:OB01G45730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G09320) TAIR;Acc:AT3G09320] MLYLLCLLIFTFLLLQGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVITSLYSLVLIIGGAVHSLPKNEQLGSDSSRTSIIICGVFLCPLTLALTILLGWHVYLIFHNKTTIEYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNILCWLCPISRNTGNGIRFRTSYDIPLSTPPI >OB01G45740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28017380:28017622:1 gene:OB01G45740 transcript:OB01G45740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRLSIETTPKGKKKRKGDNDTPELLIPFVTNKTALLTQSRTFLCIITITTSLHVGRLLYHPNFVGNMDAGTQHMPPP >OB01G45750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28018092:28018877:-1 gene:OB01G45750 transcript:OB01G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDTSPDGVVTAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPSLPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKSEFLKQTKPVLPALNDPSFAFQEAFDTCFRVPQGRAPPARLPAVTLLFNGAEMTVAGDRLLYKVPGERRGGDGVWCLTFGNSDVVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >OB01G45760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28024356:28024700:-1 gene:OB01G45760 transcript:OB01G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTVRDAGRTCAVCLNDLEPGASALVTPCGHAYHPRCITPWLEVNDTCPLCRAKSGLHAEDGGDAGSACDGLVLCELLDDGRWRYLLGRRVSGRIFAVRNLDQNGKLVRGSLLRR >OB01G45770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28030666:28037677:1 gene:OB01G45770 transcript:OB01G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGGGDEEELEDELDALLGAGGGGAQRRGEDAGERERELNMFRSGSAPPTIEGSLNAISGLLRGGGEAALTVAPIPDAEALNGHGGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVLQEETGHEPTAGRPVFSQNRGFDQEDTRKDVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNLGRRTPTSDHPSRAASRNSFLDNQELLNSAENQYSMHNDILEAQRPVGNVQNVSGLPSMNASTSQTFASVLGSSVSRNAPDSHYVPRVPSPGLPPVGVRINSNEKKLNCSSSFNTASSKAADPDDILAALSNLNMSKDGTLSDSNSISQPKFQREISDHQNISLDPKAVQVNKNQHSLMLEADSDYLGIPPISQPSNPSFADINKNVAGLANIRNSNNTRVDGHTEMQRPSTLSARSYHKSPSSSNASPGGSPAQHQNLDSINSAFLNYGLGGYPLSPGLPSMMMNCMGSSNMPPLFENAAAASAIASLGSDSRNIGSNILSSPTLSLSDVQNLGRTGNQTATGLLSPLSDPFYVQYLKATQYAAQGAANCSDPSLERGFMGSQYGDLTAVQKAYIEALLQQQKQYGMPLGKSTTSNQGYYGNLAFGMGMSYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLGGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSAAQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDLKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRIKVHLSALKKYTYGKHIVARVEKLVAAGERRIGLQSQYPS >OB01G45780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28039092:28042719:1 gene:OB01G45780 transcript:OB01G45780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDGLTRENVASHLQKYRLYIKRTRVAATPPPPPPLPPATCVPWFAAKPPLDTATPPARTSDATANHETMRL >OB01G45790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28048075:28052920:-1 gene:OB01G45790 transcript:OB01G45790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVSWRDVVAAGQRADSPDERGPWTKVEAKDKRRKHSRRSPSSTANNHRAEAFKPIPRWLVGRCFKCLGLGHSKAFCTGARRCFCCWREGHVERHCPDAPRREFSYRREHTKAVTITPTPLVAKPAKEPAPTKKQPAKAAASGTTAMARCGDPERRPTGVRRCSIPWMSGMQEREVFLSSHSLLASVRGNRHPVSPEMLVSTVVRECAVRHRDVRVEVSAPHDFLVTFANPDDCTRVVLYFSGNLSVSGCRIHFCQWSRRAAAGSSEMRYLIKLGIEGLPAHAWEEGAVRIALAGWCCHLVELLPSADARMLEVVAWSTSPGEIPKEVLLAIPDMPPLVAPTDPDDAIAMEMENAASPQPPPSPPKKKSCLDYNLLVHLLEVVDPSPRLEGYDAYFDLVRRDGDDDDSGEDRWARHPRRHFLQCFPGRVDGTGPPRSSVGGSQSCAEVPRLLYRGPSAFTMPAPASAPADLNPAGHPRLAVLARKLHFEAPDSDTTTPNGMQGPLRRPEQEIALREQIFTSVLVHTNGAGNSTVDALGSVPTVPASGLLVFRRRRADAVGRRLPANSVRPIEDNSSAAQHDGPPENGNTTAYNNRPLGALMDRPTTRPIYPLLHRHQIPARSPPSSTRDLATEGMVSHQEETEKTEFLDELQMLGGVCQGRWAIVGDFNMIASAADKNNNRINRRQMAAFRNRINQLEVKELYLFGRRYTWSNEQERPTQVKLDKVLVSMDWEEAFPEAHLQALSSSASDHCPLLLTCGAATPRRCKFKFENFWVKLDGFSQAVQEYWSREVSIDDAFTALYIKMARLARTLKKWGQRRLGEIKLQLQVAHEIIYHLDVAQDCRVLQPRERWLRAAVKGRCLGLAALERTRARQRAKIKEIHDGDASAAFFQLKAKIQQRKLVIHCLQHNNVRATTQQEMLQMARSFFQEALSQPDQERKVLNFSALGFLREDLTELEAEITEDEVWRTIKQLPTNKAPGPDGFTGLFYQCCWDIIKPDIMRAILKFFSGNSQNFGILNSATIILLPKKEAPVSLKDYRPISLIHSFSKLVTKLMASRLARGMGDLVSPTQSAFIKGRSLHENFMFVSGLSRKCHTKKVPMAMLKLDISRAFDSVIWQFLLELLRARGFGRKWCAWVCSLLQTSTTTVTINCEESDPITPARRLRQGDPLSPLLFVLVMDTLQALVKTATTSQLLAGLERSWRSPAISLYADDVIVFFRPTDQEAHGMRIILDLFGASTGL >OB01G45800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28061136:28062565:-1 gene:OB01G45800 transcript:OB01G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKMWLPVDLRLPSGPQAALEILAFEAAAAMSRLLSLHRSLLEQEVSRLRSDTMRSPGVSYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGLDFGGVYTSLKAGEPDARLDPLVAKGLKVKARKMGRLVASTARLCSEMEALDELEAAERKLAFRGWSRLSGPIPAQPVAPPPAGESPGADPFQQDLKTQRLKVKRLKEESLWNQSYEKAVGLMARAACAVFNRICTIFGAFVPGRPPPLPSTTTDSVQARLSKLLNPRAARAKASSGPITRRDGPSRVHPPVMSSSCPIIGLRPSGQKTTVDWRKLLDAPATTVGGAGLDQQYANVIVSAEQLLQMEAEGRQEEANAERAEMYEMLPAKLRAAVRSKLRDWWRDPGPLDAGLAQGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGGPRVYALQTLRWADKEKAEAALVEVLVALSCVCWYEERRRGSVRL >OB01G45810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28061700:28062633:1 gene:OB01G45810 transcript:OB01G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRPVPSRDRSGRRLRPRRPRVEQLGQTGLDAVGGGRRQWRGAAWDECAEDGADAVEHGAGGARHEADGLLVALVPQGLLLEALHLEPLRFEVLLERVGAGRFAGGRRGHRLRRDRPAQATPPTERELPLGGLQLVERLHFGAQPGRGRDEPPHLPGLHLEPLGDERVQPSIRLAGLEARVHPAEVEPAPQPKPRDGGRRRVQRHDQLGAGQPEEERLVRGVEVRHAGRPHRVGPQPRHLLLQQRPVQRQQPRHRRRRLEREDLQRRLRPRRQPQIDGQPHLLHNHEYFSSRRLAAQGMDRCPRSS >OB01G45820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28075900:28077518:1 gene:OB01G45820 transcript:OB01G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSPPTTVSAAAVAAAVSASSAAAAVSSAIDRRRRRMEMRRFRIASDLELATGEDARPGKRQRLARTVSGATGSDGDSVSQRPSCGCTEEFPRYGVTAVCGRRREMEDAVSIRPDFLLDSGKHHFYGVFDGHGCSHVATACQERMHELVAEEHSKAGSGEVAPWKDVMERSFARMDGEVANRASTRSDQEPDCPCEQQTPSRRDHAGSTAVVAVVTPTQVVVANAGDSRAVLSRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVKACFHNNGPPAPAARPVGVPPSAEVEAEDGDASVKGINRAESDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGF >OB01G45830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28076924:28077352:-1 gene:OB01G45830 transcript:OB01G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEHALSDSALFIPFTDASPSSASTSADGGTPTGRAAGAGGPLLWKHAFTTSHAISLVTTSHSPSLARIRHSSSSVRSVTVTSGSDVTYGLSSPMARDMARTPSTRAPSQ >OB01G45840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28082525:28087996:1 gene:OB01G45840 transcript:OB01G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESISNYDMGEQCIKNILSLIKPVEDDRMKRLYAIQELSNSIHKVAALRDAVFKPFGSFVSDLYSKSGDLDISVQLPNGTVSSITKQKKQYVLKELMRSLKSRGVAGQVQFIPYARVPVLQYVSNTSGISCDISINNYAGRIKSKIFKWISSLDARFSDMVLLVKEWAKAQNINDPKTGTLNSYSICLFVLFHFQTCEPAILPPLKDIYEGNIEEDIAETTGYDEEHLDEVCSANIENFQRENKEQRNESSLCHLLATFFRKFASIETLSGDVISTYTGQIKRIEENPSWMARSYSLFIEDPIERPDNAARAVCLRGLERIARAFTAANSKFASLEGASRNDLLEMMCTTAVVSKLVTRDSQEGTANSCTDTPGVSHQHARTMGHLPAGPSGNQNHHGTGGGSTVGSRVHTPPPRAYDTARQPTGGHRNRSQPAGVYQTAGPYQSNGHQQGYTRDLQETGHRNYSHPMAESHQSNGQAQVHATSIQAPRQYQNRSQQPPARSYNHQSSRPTETEGSWRNQQQKPTQYSNHSQQRPARNYSHQSSNPTTTEAGVHRTQQQRQQHTSGRQTNRNAVNTRHAPDAGRPPQNGPRPRESGTRVWRPKEPQTGRTNQNR >OB01G45850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28090561:28094388:1 gene:OB01G45850 transcript:OB01G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRRLTNYDVLEKCIEDILSLTKPVEGDRNKRLYAIQELSDTIYSAGALKGALVKPFGSFVSQLYAKSGDLDVSVELCNALNLPISKKKKQDALRELWRALQRRGIARHTEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLNRRFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQTCEPAIIPPLKEIYEGNIVEDIAKMAYYNEKHLDEVCALNLARIQLQNMGQRNQCSLSRLLTSFFNKFSRIGALSSNVISTYTGRLERIQDNPRWMAKSYSLFVEDPFERPDNAARAVGFFEFQYIVDAFSNASKKFSSDALTDQNELLSLLCTPDIRSKLRGRAMASHYTNTPVDLSPRDVFLGHAELLAAPNQYHDQHRRQPARGTPDTGSRLGGRARANAPLSLSPHDAFLGHAEVELVTLRPNQYDDRHHHQPASGGSRPGHS >OB01G45860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28095013:28100925:-1 gene:OB01G45860 transcript:OB01G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77260) TAIR;Acc:AT1G77260] MKPLGAHGADLLCGPRVVRAAVLAIACAAAFIVGYHWPDSSPRLLFFSSTAASPSLLSTGSPSVVVSPNANLSFDPSLIPTSAAGVSASATAPPASPSANASPPPALPPPPPLPTPPPPLLPPPPPARLGILGEDGAMRDDFDVGGAANDTDLASDEALPQEPTDATPVGGNRVRIGRFPVCPESLREYIPCLDNDEEIRKLPSTERGERFERHCPAKDKALSCLVPAPKGYKIPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWITKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDIAFGSHTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGFFAWAAQPVYKHEEAQQEAWKEMEELTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPGVKPALCDTDDNPDDVWYVNLKACISRLPENGDGSTPYPWPARLMEPPERLQGVEMDAHSSKKELFKAETKFWDDIVEGYIRVFKWRKFKLRNVLDMRAGFGGFAAALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDLKEIVQEIKEIANAMGWRAIIRDTAEGPYASRKVLMCDKPMVR >OB01G45870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28108220:28114184:1 gene:OB01G45870 transcript:OB01G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGGEGGAVVGPGGEEQMEMEEDGAGGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDEKQSAIAAEQVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDETLSAPPCVVTFNTGVKITAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESASYGKDRPAAIKGNKAAEAQISKVMGNCVKAIACGGRHSAVVTDSGAVLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTVCTSIDGDVYSFGGNQFGQLGTGSDQAETVPKLVDASSLENKNARTVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >OB01G45880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28114436:28119291:-1 gene:OB01G45880 transcript:OB01G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSQMNFSGGGGQVGQQQQQQQQLAARAAMLSQGQLGILQAQGNAASAAHYGLQSQMMAQPRQKGIVQGAQFGTPNGAQVLHGMQSMGVNLGMNQIRPNGTIPYNAQQRFAHAQAQIRPQQTSQQGTLSPQKVAGQGLTRTASIAALNSQLPGSSTNGPMVQMSQKQHQAAWLKQMQSLPSPVSPQQFHNQQRLLLIHQLQQSGLSQQQIAQTQQQHPHLNTQMLQQQHILQQLQQQQSPRISASGSQKSMNLTGSQPGTPMSGGTMTGGSASQGAEGTNQLLGKRKIQDLVTQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWNLSVPGYLREDKNPQRHSVKATTVDPPQPECESAGIRSTGNKLIINNSVGNHQTRAPVTEPSPMSTMGPLSKVPRF >OB01G45890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28121741:28122223:1 gene:OB01G45890 transcript:OB01G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHQNCHFNQIQLTIWDPILFQRHLAASVTVARVTFSATLPALFIADNHLKPHSLILIQHQLTLRNSERQSSESCLMEGIIPFIFKAIAQYKEGGSVSLSDMMSDEPSPALYPLLPRDAGGRRHTEEKTQLPCQTSTGSDEVTTCTARQSHLRCSTLRRRA >OB01G45900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28129037:28133170:1 gene:OB01G45900 transcript:OB01G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKNHGGEATIMVTKVDEPSKYGVVVMEEVTGLVEKFIEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADEKLYAMVLPGFWMDVGQPRDYITGLRLYLDSIRKRSADRLAAGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCIVEDGVRLSRCTVMRSVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVVLPHKEIKSSILKPEIVM >OB01G45910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28129066:28135143:-1 gene:OB01G45910 transcript:OB01G45910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3L5T5] MRELAGSPGTWSGLSLRVGQLVFAAASVCATASALGFAAYTAFCYLIASMGLQALWSLGLACLDCYALKFKKDLQSAVLVSLFVVGDWVTAILSFAAACSAAGVVVLFDRDIYACRRDPQLPCGRFELATACAFLSWAFSATSALVMFWLLASL >OB01G45920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28143262:28146684:1 gene:OB01G45920 transcript:OB01G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGAAVYAAVKAGYRHIDCARMYKNEKEVGMALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPESLDETLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKARAIGVSNFSSKKLGDLLAVAHVPPAVDQVECHPGWQQSKLHNFCQSTGVHFSAYSPLGSPGSIWMNSNVLKEPVIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLAKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >OB01G45930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28149474:28153259:1 gene:OB01G45930 transcript:OB01G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQADPGVVGNAVYAAVKAGYRHIDCAKAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDRHAPEDVPEALDETLTDLQLEYLDLYLIHWPFRIKKGSSINPENYIPPDIPSTWGAMEKLYDSGKTRAIGVSNFSSKKLGDLLSVARVPPAVDQVECHPGWQQTKLHNFCLSSGIHLSAYSPLGSPGSSFMNGNVLKEPIIISIAEKLTKTPAQVALRWNIQMGHSVLPKSVNEERIKQNIDVYDWSIPDDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >OB01G45940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28156136:28158707:1 gene:OB01G45940 transcript:OB01G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGPGAVADVVSAAVKAGYRHIDCAPLYKNEQEIGCALKKLFHDGVVKREDLFITSKLWCSSLAPEDVPLAMDSTLKDLQLDYVDLYLIHWPFQIKKGTELSPENFVEPDIPSTWRAMEQLYGSGKARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHSNGVHLSAYAPLGRMKSIAVDSVVPLVAETLGRTPAQVALRWGLQQGQSVLPKSVSEARLKENMDLFGWSIPDELCARFSEIEQVKQIKGDAFVHPESVYKTYEELFDGEI >OB01G45950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28157955:28161221:-1 gene:OB01G45950 transcript:OB01G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3L5T9] MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRRPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRKFSPHLAAKMRPPLRPVIRGRPSTKKSIHICGRPRWVFVLFFSIVSCILWMTSCSLLTVLWALLIGLLATVLHASFRTPNLKARLNTFREEFRAVWRNYSEF >OB01G45960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28170191:28176694:1 gene:OB01G45960 transcript:OB01G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:J3L5U0] MGRGGIGGGAAAAAAAAVVENTDSTRGFVKDVKRIIIKVSEAATRRTVERTSMCQLIGATIFQVKQLNFEGYEVILVTSGAVGVGRQRLKYRKLINSSFADLQNPQTDMDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFLDPSFGNQLRETVDSLLDLKVIPVFNENDAISTRRQPYEDSSGIFWDNDSLARLLAQELKADLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHEKLISFGEKSRVGRGGMQAKVAAAVTAASNGIPAVIASGFAIDSIIKVIRGEKIGTLFHKEASQWDCSREVTAREMAVAARDCSRHLQKLSSEERKKILLDIADALEANEDLIRSENQADLAVAQDLGYDKPLLARMTIKPGKIMSLAGSIRAIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKIITGAIPEAVGKKLIGLVKNKDEIADLLKLDDVIDLVIPRGSNKLVSQIKAETKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEGVVIYGGPVAHDTLKVPKVDSFHHEYNSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDGMAAETFLQQVDSAAVFHNASTRFCDGARFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRELPLQ >OB01G45970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28177863:28179335:-1 gene:OB01G45970 transcript:OB01G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRVPVDSYSYAYGLKACFAASASHVPASALVREIHAHVVRRGYGLHTHVATTLVDCYAKLGIVSYAESVFATMPERNVVSWTAMIGCYAKNERPGDAILLFQEMMASDEDLVPNSITIVSILHACAGVNSLGLGKLLHAYILRRGFDTLVSVLNALMAMYIKCGCLEAGRRIFNSIGHRKDVVSWNTLISGYGMHGFGHEAVQVFEVMTQMGVSPNIITFISVLGACSHAGLVDEGKRLFESMVDYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIRPTPQVWGSLLGACRIHRNVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSQVDVLKDLLEEHALEKLPGCSWIEAKKKLHMFVSVDNKNPQVEELQALIGEFVTQMKNDGYVPDTGVVLYDIEEEEKEKILLGHSEKLAVAFGLINTGKGEIIRISKNLRLCEDCHSVTKFISKYTEREIIVRDVNRFHHFRDGVCSCGDYW >OB01G45980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28183643:28184096:1 gene:OB01G45980 transcript:OB01G45980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSFPRQKQQMCHCHRNCWIVVGSRCSNKEPPDWVRYRQNQTSILKSVPNPERDQISRILEWKEHMLNENRSPEIRLRKGMYLEKEARKRPAYLSTVPG >OB01G45990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28185540:28189669:-1 gene:OB01G45990 transcript:OB01G45990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVPQHSRREKLRFPQDAGDSPPPHGHAPQQQQHGSWPPAPAFYSYASSSSSYSPHSPTLAQAQLVAHGLAPPLPQIPTQNFSLSLSSASSNPPPPQPRRQLGGLAQATGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGGASAHVDRTISDEGLLDADPMDGVDHDAIDHDLGGVDRAAADAGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKCMILNQLRNTSNKVAVKDGLTKDIAVFGLAGGSGGGGLQRGNSASAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAPQHSSQCSGKPSDPSDSHPGQSSSITRNHNTAGSQGFPDELSQMSQSIQGQVSFAYNGLSSQHNIASPHQHQQVGGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >OB01G46000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28211741:28216530:-1 gene:OB01G46000 transcript:OB01G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFFLESKSTIGVEFATRTLQIDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADANIVVMMIGNKSDLNHLRSVPDEDGEAFSEKEGVSFLETSAMEAVNVEKAFHTVLSEIHQIVSKKALAAQESASTTGRSMQGTTINVAEAPTSTKGSCCSS >OB01G46010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28212053:28216391:1 gene:OB01G46010 transcript:OB01G46010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELPQPPLNIVEGLPLCDVVDEERPNRTPVISARDRTVPLLTCGVPYLSFDGLPIYLVRVANSTPMVDLDSRKNSLRVKRERMLDLPTPESPMSTILNR >OB01G46020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28225768:28228854:1 gene:OB01G46020 transcript:OB01G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQHEMPHVEGKKSAAPTIIDNATNIENDSSLRDLLYPPCLEAKGKEDIYAIFYKGQNGAAQAGTADGKSQWTPSKTRTAYPKDNKQSNQYDSVDTSCFGSSVNYGGRDYYGISGTKQSTESNDYKADKKDPSTDSHGDWWQGSFYY >OB01G46030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28227127:28227423:-1 gene:OB01G46030 transcript:OB01G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVEQAAQRRLAPDGSAAAPPPPPAPPPPPPPPAAAAARGGDDFLGSMWGCGGAEDFLGSIRGVERESSGGGSGHVKRLQAAAGEVEVEGERKRDG >OB01G46040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28230399:28231010:-1 gene:OB01G46040 transcript:OB01G46040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKARRSIWLLLVVVVVVAAGLETARGAGECGRVPVDQMALKLAPCAAATQNPRAAVPPSCCAQVRTIGRNPKCLCAVMLSNTARSAGVKPAVAMTIPKRCAIANRPIGYKCGREFLSLLLLLFSVCSPRIQHTPCHEKAMDGTGRALENFDYPI >OB01G46050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28231460:28235541:-1 gene:OB01G46050 transcript:OB01G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGRVPGAEVDNKQHKRRAPSSEMEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCGENSLTSWFCLISVTLQYCISSQFAATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHIVELVPTWQSFPDGTRLEVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFPRRDEKWWLPVPRVPPGGLRDKARKQLQHRRDCANQILKAALAINSNALAEMEVPESYLESLPKNGRATLGDIIHRYITSDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRVATRSANVLGRATSGRSSWGMVKDMMIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNRDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHAEHFPQGDANFRIACNKAAVPPYQVPASGTPFVTAYATPSFSPAQLASPSKKARSPLGAGRRSHSNRELGAKKALAPDLVNPELKGVIISGGTMIDVSTTTEL >OB01G46060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28240934:28241140:1 gene:OB01G46060 transcript:OB01G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF 3339) [Source:Projected from Arabidopsis thaliana (AT5G40960) TAIR;Acc:AT5G40960] MKDWAPAIIASALFALLCPGGILQMPGRHRPVDFMNMKTSFASIVVHAVIYGLLLMLFLVILEAHLYI >OB01G46070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28241793:28242892:-1 gene:OB01G46070 transcript:OB01G46070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESGRKVGVAMDFSASSKKALQWAADNLLRQGDTLVLLHVQHHGRNEAKNALWSHTGSPLIPLEELMETAVRQRYDIPSDGEVFDMLNAVSREKGLSVVLKMYWGDPREKVCEAVGELNLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAK >OB01G46080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28246974:28249342:-1 gene:OB01G46080 transcript:OB01G46080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHWIEEDDRNTAFFQHAARKRKQKSNISSLFINGSFITNLSEIASCFITFFQNLFQTSCADTGDPTIMPENEQQDLILPVTPTQQEILEILKSMKKDAAPGPDGFNVAFYRTSWDCLKQDITKLVQNFYATANIPEGINSTNIVLIPKKVAANNPVDFRPISLCNVSYKILAKSLANQIKDKLNDLINPNQQAFIKGRRPSTNIILAQEIIHSFSLSSYNTNAFLLKLDLSKAFDRLEWSFIANSLKKKGFDDHFIKLVLACISTPCFSVTINGETFGNFRSQRGIRQGCPLSPYLFVLALNSLAETLVNQANLGNIKGSKLSVNGPSIYSIFYADDLIITGEASYQEARTILSILQDFVSSGQMPNWGKSSVAFSKCTAQMTRTLVKSFFPVADISSSTNYLGHPLLISAATKNSAYSFLIDKFKSKLSTLKANKLSHAGRLTLIRSAFASIPVYYMSHILMSNQLIKKLTSIIRKFWWKGSLTGDDTDGICFRSWQYICKPKNEGGLGVRDLWAINKALLIQSAWNFLSRPNELISTVLKAKYFPDRPFWLCSHQGPKSIFWSSIIKIKPFLSSSCHWQLARGDISIWNQPWVSIWSNIHNHIHLHSLTSRLPSRVSELWTQDKHWIFSYISEFLDDRAIREIARVQYLPHDQPDRLCWSPNSSGECSTKSAYKQVFNHLHPAGTPVPSAKLTLLNMVGKNKFTPPKIKTFFWKLVSKALPTRSAIHLRIPDFPPQCCRCNQLENEVHIFFLCPFAKLVWMASSFHLNIFILPSVENIEIFSTLC >OB01G46090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28264244:28265849:1 gene:OB01G46090 transcript:OB01G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCTPGGAEELWLPDEFLDDDFFTVEEKAAVAAKSESDEEDGLDGLLAGDGGKGTASKVESTLCGLAASGEDSPNGGASQVSSPPSSPLEQPPTDPWDLLSEAAGQVARLRMASIPVPHKPHADTGHGHFVPPARKPLAPSQAQKTAAFQYAPNSMLTQRQVQVAHFHLLKQRQLLKQQREQQLAAAAAAAWGTHRTGVGMLHGMNSSGWPQLQKPQQQATSGAGMRAVFLAPPGGKPERTGTGVFIPRQAGASAEPKKKPSCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHEALVSRSNAMLASQKRVQHHLHAAAAATAAAPLTTALAAAREVNLPQEWTY >OB01G46100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28278408:28284083:1 gene:OB01G46100 transcript:OB01G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIAREATKLWRKVSAETSVELQLLFEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPHNALEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKLLAWLMAIIQSILIIASRKHYSVDVIVAWYTVNLVVFFVDNKLPEMPDRTNGLSLLPVTTKDKDGRTKEEIHKLEKDSKMEEFHKLLNGNTVDSTDRRQRVQMNGKHGEDINHTLSDATPNGT >OB01G46110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28284540:28289473:-1 gene:OB01G46110 transcript:OB01G46110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGRSGERQKEEMVCLARVMVNNGTGLAEESSDEDKGSQRFSDAEEHSWRSHSRQDSAALEDFISTCASVRSGAGDAEGAGRKSCVSECSLDDVDLEAGLAEITKGSPDTVERNCRICHLGLESAAAESGSGMSLGCSCKDDLSCAHKQCAETWFKIRGNRICEICGSTACNVVGLGDAEFIEQWNESSNTAASQAPSSEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >OB01G46120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28293313:28294856:-1 gene:OB01G46120 transcript:OB01G46120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAVSPPPPPPNQPAADSAHSSPASMTDETHGAGVHPDDSQPHRSSSSSSSPPVAGEARVPARSQPQPHHPSPPPSGEDEDDVAAAGQIAAGDAAGAATEERVKGPWSSDEDTLLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAVHGNKWAAIAKLLVGRTDNAIKNHWNSTLRRRYCTGGRCTQGGVVERAIPERPRSVSEEPWPLGNLSSLNVREAMEAPAQTVSESYVGALQTADQNCRTEVVDPPYLARPVAKVGAFKPYNVGPGQSSQKEKLGFATRFDSNLQAFKPENGVGKFVYPTSFAADVPNKCGHGCCTSHGQLRENSLLGPEFNEFEDHPPISDSSFASLVSEISSIAWMKSGLQSSDTNNIVQSFPPA >OB01G46130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28300055:28303442:1 gene:OB01G46130 transcript:OB01G46130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3L5V7] MSCSWMILVSAVLVSVASVAQADVLEHTFSVATLSLPRICEPGNTSVTAVNGRVPGPKVEAREGDTVVIHVINDSPYNVTVHWHGVFQRGTPWADGPAMVTQCPIRPGRRYTYRFTVAGQEGTLWWHAHSSYMRATVYGALVIRPRRGAVDYPFRKPDGEKTVLLGEWWNGDTVALESRSFSTGVPMPNADAYTINGMPGDLYHCPERTNRIAKFKVRRDKTYLLRIINAALNTAFFFKVAGHTFTVVAADASYMDPYETDVIVIAPGQTIDALMTTDASPGRYHMAISSYQSAIPFPPMPAGFNGNASTAVVEYVDAAATANAASPVLPVMPVPNDTDTAHGFYTSLKALDRPGRRTVPLTVDTRMLVTVGLGFSSCQPIQTQCNRSAPVVLANMNNVSFALPATVSMLEAHYRNTPDGVYTRDFPDQPPLVFDYTSRGLLGNTPLASTGSPSTKVKTLRYNATVEMVLQNTALVGLESHPMHLHGFNFFVVAQGFGNYDGVEDGAGKLNLVNPQERNKGAEVAVPTGGWAVIRFVANNPGMWAMHCHLDSHFGIGLAMVFEVESGPTAETAVPPPPPDLPQC >OB01G46140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28305559:28310222:1 gene:OB01G46140 transcript:OB01G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3L5V8] MVIPWFSSMMRLLLFLFALILARSVADAATANYTFTVESMRVSQLCNSTEIIAVNGQLPGPTIEVNEGDEVAVNVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNASYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDVESDAVSSGQLPRESDAFTVNGLTGELYQCANETFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMSVTDDTTATAIVRYNTTSTKSTATPLMPAMPKSSDSATANAFYFGLRGPASPGAPAVPSKVDVNMTIEMGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPTQMSLLEAQFNRTPGVYTADFPDAAPPSGAPMIEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNTSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPIGLGMVFAVDNGTTPGSFLPPPPADLPKC >OB01G46150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28312445:28317456:1 gene:OB01G46150 transcript:OB01G46150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3L5V9] MAAAAMLVPVMLALCTAAANAAVVEHTFKLGGTKITQACMDSVIYTANGQLPGPSIEVSEGDTLVVHAVNDSPYPLSLHWHGIYQLRSGWNDGANKITQCPIQPSSNFTYRFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGSSGYPFPTPYEEIPILLGEWWNKNVDEVEKDGNMTGKGPKISDALTINGMPGDQYPCQGSAMYKVEVEYGKMYLLRIINAAVNVELFFKVAGHTFIVVAADASYTKPYATDVIVIAPGQTVDALMNTSVSPGRYYMAAHVFDSKTVAIPFDMSIAKGVIRYKGVPDYAPAAMPTLPPHNDVVTAGRFYWSLTGLARPSDPAVRTTVNHNMVVTFGLDQAPCAPYQTSCSGFALVAAMNRNSFQFPEKVSLLEALYKGVPGVYSEDFPDFPPPVQGFRKATTVKKVKYNDVVEVVLQSEEYSSALGTENHPIHLHGFDFFLLAQGLGRFDPSMKSKYNLVDPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPSPNLLPPPPVDYPKCYG >OB01G46160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28319011:28319706:1 gene:OB01G46160 transcript:OB01G46160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G37970) TAIR;Acc:AT2G37970] MGIVLGKITVEMPKHEVVHTGAGYEVRKSPPCVAAEVTYDPAEMKGDRDGGFTVLANYIGALGRPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPESIAMTAPVITKAGEPEPVAMTAPVITADEGGKAGGKMIMQFLLPSKYSKAEEAPRPTDERVVLREVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFAVKGPFVLARYNPPFTLPPLRTNEIMIPV >OB01G46170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28329217:28331535:1 gene:OB01G46170 transcript:OB01G46170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLFLPPLFPKPAILAAPIHCSILRGRHIRCSPLPPPTGPAVPESPDPAPRRGRRKSPSPSPGKAKATRRRTKKDTQDSDSEGEEELPKRAGRRTRKSKQGAKEEEEEVRAGSPGKGDTNSEASDGEAEAVVSDSEDGEDLPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNSERVQTRAIRFDDDAATATARMKVVFRDRGDGSGGTKLVAETVKSSAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMQSTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRDNPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTTCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >OB01G46180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28332191:28336540:-1 gene:OB01G46180 transcript:OB01G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSVAASSSSSPVLSAAHRRRLNDVERDTVDADDDDDGGGHRGHGGAGVAGVRALFSARRISKRASVVDQAWLRNAVACLLGLTIVAVLVLSMHRVTGAGGRRLVQRMGLGDGEVMGWTEENVTAVARRSPDIPIWMMPDSDGYSKCIERPKKHDRMNSTTAGYIIVNANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVENFKKTLEGDISIVDSLPPVYKGLKPYMRAPTSWAKASYYRAFSRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRFNKEIEELSTTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHKEADDLREMRLNVRHWKEKEINGRERRLQGGCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNTYTHYSLATADELEPFKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRFEGFQKTINPDRKKLVGLIDKLDEGTLTWNEFQSEVKMHHKNRLGGPYQRLSGRSPRQEEYFYANPLPGCFCKRMQMIK >OB01G46190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28341467:28345043:-1 gene:OB01G46190 transcript:OB01G46190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3L5W3] MDTPNQGCRLLGARRSLHGLLGGGAVADVLLWRRKEVAGWSLAAAAASWVLFYCLPGYTLLSFVSTVLMIVLAVLFVWAKAARLLNRPPPPVPLINISEESMSKAAAAVGDILNKALQGFENIAQGKDSGLFYKMASVLLVISIVGRVADLITLVYACLFLALTIPALVDKYEENITKFVKQASTNIQACAQAWKAYKSGIKS >OB01G46200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28346712:28351655:-1 gene:OB01G46200 transcript:OB01G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein [Source:Projected from Arabidopsis thaliana (AT3G54130) TAIR;Acc:AT3G54130] MEDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLAALAADLDQRERQVMSEGAAGAATIAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPAAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARKITASCNQVQTPTQQAEISLVADQSEEMTEMDMIAAQQEEADLNAAIAASLMDTGVPFASYSSAHEESRSQDAFASESASGEMSKDSNLEQPGANKSEPSEPHSDNVEESASGGDTKQNTPSLEGKESIKED >OB01G46210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28352895:28353605:1 gene:OB01G46210 transcript:OB01G46210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEDDALYAPCLVTLYLLSPVTVFLLRFVSAPYGKLSRPGWGPSVPAALAWFLMESPTLWLPPFVLSAAATFHPLRLLRLRSAPAPVPILVAALAFGFNLLNAYVQAHSWALHAATTAGAVARRLVGLALFAWGMRTNIAADKELLRLKEAGKGYQIPRGGLFDVITCPNYFGEAVEWLGYALVAWTPAAWGFFLYACANLGPRARDHRRWYVGKFGDKYPASRKAFVPYVY >OB01G46220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28355549:28361752:-1 gene:OB01G46220 transcript:OB01G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:J3L5W6] MPEGKGAACSAAEKVKPAASPAAEDPAAIAGNISYHAQYSPHFSPLAFGPEQAFYSTAESVRDHLVQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALAGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQHIAKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEISPNGSRKWAGGEVLSALACDVPIPGYKTKNAISLRLWDAKATAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSAALQDIIFRFKERKSDRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDVTNKTIAYTNHTVLPEALEKWSQTVMRKLLPRHMEIIEEIDKRFKELVISTRKEMEGKLDSMRILDNSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYVSIWPKKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHSEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEEKQKVTPRTIMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEDNFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQFIRSGAFGSYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAKVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVP >OB01G46230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28368955:28371949:-1 gene:OB01G46230 transcript:OB01G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARHREFSTTQGRESTSFNHEFQSDPGFSWTSTYAARSPKLSKKGKSSKSFWEVVDPITRACTNCFAPRQSKIQEGHVKPSNDGHDISISSIVSRISSTSSTSTNTSNQRGDDIQKKSWQEQFSFQEICVATSNFSEQHKIGLGNFGTVYRGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIRTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLDFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRQQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECIAQDRAARPAMRRCAEVLWSIRRDFQHEQQRAAAVTAAGARAKRHDGSTYGPSITSLKE >OB01G46240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28353575:28375966:-1 gene:OB01G46240 transcript:OB01G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAPPGQLLPLIRFLLPPSPPPSVSGRRRRPTPALCRALPPPAWLPHGRPPQAPPLPFALSRRLYRPPSLAPALPGASSGGGAEAQTRAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGTLVDYYGGKRVMAYGVALWSLATFLSPWAASRSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPQTERSSAVGIAMAGFQLGNTVGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGESAQISAHELDYITRGQKLVKTQAGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFLGPGVALLGLNAAKSPIIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYLSSTLFWNIFATGERVDFDGTG >OB01G46250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28376339:28376692:1 gene:OB01G46250 transcript:OB01G46250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAATGHKGETDQVDLKPVIKDEPGAGLITIAVTSQTFADAYFAIKPGVRLRRVMDLYCGKYSLDPRTVKFIDDEGRFVRPEQPAEEAGLEDGGSISLAIDQQGGAGAGAGICVD >OB01G46260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28382555:28389608:-1 gene:OB01G46260 transcript:OB01G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPRTRKGSRTSQQFLQTREGGEVDGDLQTTGIKPSGRINKSMQIVDHPPSQAFTDCTLDDRDRVRNGRVSAALPSASSATGSAPSHLQPQPLRPFIPDDFLEEDIPVVMEQDEILPVVEPVIVVDTSGEIETEPTAVREAKAMKDHMDFESSQPKKLPPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPYKPSFLRRRRLRGEVGSELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPISRLPPIMSVLEDTPATIVERSDGQIPVEDDADVDPPGGSLQTRDYYLDSSTRRRRSLERSSSMRRPSFEVTEPKPAPTIANGKESPLGGSEFYHLHHAEDLLDRGFSSNSLIEDISANLEAALSGPGSAKKPRRGGKTGSPGGVIHRMASGRRSGGPSDIADRSFSEAWPDLRVRGYNPKMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGSARWRDEHVLERNRSARYSPPGRVDNGMLRFYAWTTACCVSPTPMRSGGGSAWRGGGLPGKAGRPLTSQSFARSLLRMY >OB01G46270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28392624:28397700:-1 gene:OB01G46270 transcript:OB01G46270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEIPDWTEPPSDELTRYKNDPNSSKMLGIRIWPPEGEVLQTDNTVVGQGRIESCKCPYPGSFFCRQHHTDVARDQLRSELGQAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVLDQKSFWAVASKHFATKTRIDLVRYYLNVFLMRRVLSQCRLNLLEIDSDEDEAEEEEDEDQSESTSASNRIQDVQNVKIIP >OB01G46280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28406707:28408527:1 gene:OB01G46280 transcript:OB01G46280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPDAALDAFRALPSILGCNPGIRSHNALLDAYVRARRFSEADLFFASLSHGAFGRRLAPNLQTYNIILRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKHNRLDDALDLLDEMPRYRVQPDVVCYNALLGECFKAGEFKKTMRVWEQLVKDTGASPSLTTYNVMLDGLCKLRRFREVGEVWNRMVANNLQPDVITYGILIHGLCQSGDVDGAARVYSEIIKTGLVIDGAMYNSLVKGFCQAGRVQEAWKFWDCAGFAGLRNLTTYNIMMKGLFDSGMVDEAIELWELLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSSKQLDVFSYSSMINGLCTVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCHAYRTSDAVRIYSKMAGNGCAPTLVTYNTLIDGLCKAEKYQEASSVAREMVANGFTPDITTYGSLIRGLFSDKKIDAALSIWNQLLYKGLRADVMMHNILIHGLCSAGKVDEALHIFSDMKERKNCSPNLVTYNTLMDGLYETGYIDKAAALWTSISEDGLEPDIISYNTRIKGLCSCDKIHEGIQLLDEVLSQGIIPTVITWNILVRAVIKYGPIQV >OB01G46290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28409203:28410222:-1 gene:OB01G46290 transcript:OB01G46290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHTVRTSLPSLHRSSPYRRALLNRKRSSICACKSEDDGSDASSSLGGDKRQQEVLAKIAMLQAQKVRITNFLDERSAYLTKFAKDADSEFDLIGQNAMKELDEVGDQIMERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELTVKPTPKLEAQKVKEIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALVLIFIGLVAQVIYEQDISSPEAEKKGGEEK >OB01G46300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28425652:28429877:1 gene:OB01G46300 transcript:OB01G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYETARAEPASNNKQRPIFEETAAGSSLSGGDPMGGEVPEPRRLNRALSFDDWVPDEALHLVMGYVDDPRDREAASRVCPRWHRIDALTRKHVTVAFCYATHPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAGPWIDELAAPLECLKALHLRRMTVTDANIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECPITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQAANALQDFAGGAFYEVEELTKYEKVKFPPRLCLLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDDGLGYIGQFSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHITEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >OB01G46310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28432852:28433837:1 gene:OB01G46310 transcript:OB01G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEDELNGANNAEWTDENTRIVCELFAFEVRDGKRPSTHLNKDLPGCLKFKLRGLQNEHLLQEIFEDPRNTGNDHWNPARGDLPESSSQPPPTINVNDIEEVNGVDNDDSGDEDFTPPAKRAKRARGKEVKKPKTSGGNWFYEQMTRFVDNQEKTAATVESFVRREDTSGCAIKDVMALVKECGVIFGTNEHFIATEIFTKKSEREMFMTLDNAEERLAWLKMKHEAKLEK >OB01G46320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28440778:28441163:-1 gene:OB01G46320 transcript:OB01G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQRDAGRNPRALPFAFAFILCFTLVFFYPSPPWEMATVAITRYGCVEWHRCYCRFVLCSSDFCSCEVEESAGTGGGALLSSNCSAIGHGRESESIIDAIALLGRHVRPRLPANIQILQMDDIIEE >OB01G46330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28442549:28444246:-1 gene:OB01G46330 transcript:OB01G46330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAFREVLPLPYFPGQPYWYLQERRGAGADAWTAEENKVFEKALAQIDRDAPNRWELVAEMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSLSPGSGFTLQDWDGSDGGFRRGCCLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLSSGGKDKRRSSIHDITTVNLPEDDATNPSPSPPSVLTTASDQLGAIVEAKPAQPPSLGTQRHFMSPLPGALGVSHPYGSVKLEPSASFLGGGTEPGLDEAILLQMQCGQL >OB01G46340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28454287:28454835:-1 gene:OB01G46340 transcript:OB01G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNPPEFLIRGTIAARRGAPPFYMAAGGSNGPVIAPPSPPPRPGRIIKTGHVPAKMSAGGKASRPPPPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGKG >OB01G46350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28456325:28457299:1 gene:OB01G46350 transcript:OB01G46350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT1G07310) TAIR;Acc:AT1G07310] MASPSPSSSSPLHPQHQHQHPLPPHPPQPQYQSPPPPMPPPPAAPLKAVDLEVTVVSGKHLKNVNWRRGDLRAYVIAYLDPSRRVSTRPDDVGGCKPAWNERIVLPLPPHLSAHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFSTNPNPSPDSPASSLITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPAYPAPPQYGSEQYYRSGGYYSAPPPPPQYEYTAGPSAPVEYSRQYDPRAGSGSGRYGVGTGVAVGAVAGALGGLAIDEGVKYKEEKSAERVEDKVAPAGRDDDSRGYREY >OB01G46360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28473022:28474479:1 gene:OB01G46360 transcript:OB01G46360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAIVGAASAGSPIVTKQLVGVDAPASGITVVSRQEVRPDVASAAGDLTLSISDLPMLSCHYIQKGLFFPAPGAPMASLVSLLVSSLSRALAIFPALAGRLVTLPDDRVVIRCNDAGVEFRHAVAPTLSLDDFIVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGTVFIGIVANHAVVDGTSFWHFFNTWANLCRGASPKLPDFRRNFFGESTAVLRFPGGVGPAVTFDADAPLRERIFHFSADAVRELKATANRRPSGGEDAEVYGKMAHDPKNPEGLSVISSFQSLCAQIWLSVTRARHRLAADATATFRMAVNCRHRVRPTVSPVYFGNAIQSAVTTATVAELASNDLRWAAARLNASLAAYDDGAIRRAASAWQAAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARAGDGSVDIEVCLALAPDPMAALLRDSEFMQFVS >OB01G46370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28482940:28483344:1 gene:OB01G46370 transcript:OB01G46370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRITPVTTGGKPMISLQASRSSRMETSSDRRPRQARRACASRLLRSARWTATRFYRRARASVSRAFRPASTKASPASAASRSPECTPARNSSRRHSLAPVVADDSHKSEAVEECIRFMNSSSRKYR >OB01G46380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28487708:28487953:1 gene:OB01G46380 transcript:OB01G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNQPCLFDEIELCSEYVIYMCNSGYTLQFCVGFGYILDFQQIGHGKFSVVFKVLKITEGCLYCVKTDGCLYCVKWSIRQL >OB01G46390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28496517:28497231:-1 gene:OB01G46390 transcript:OB01G46390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRTGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDSRATAPEQADADLVLQPPESKREARSYGHHHRLLTCYVRDVVETEAMWERPTREVETLELFPLKSYDLEVDKVRYVRGGGGGEQCREISFFDVAAGRDPPLELRLCSFGL >OB01G46400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28506442:28508205:1 gene:OB01G46400 transcript:OB01G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 86, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G58860) TAIR;Acc:AT5G58860] MAIDGAVLQLHPYAMAATAVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIADNLRATGDAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRVRFDNYPKGPMWQASFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWANRSIKYRLWRILDDHCDAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKALGVGSERSLRESLAVVDHHMTETIAARKATPSDDLLSRFMKKRDSNGKAFPEDVLQWIALNFVLAGRDTSSVALSWFFWNIMQRRDVEQKVVLEIASVLRETRGDGTARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSPDGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRNTVELVPGHKVEQKMSLTLFMKNGLRVHVKPRDLAGYLEPTEPAPTQGPFVIPTTTAAAA >OB01G46410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28512775:28516090:-1 gene:OB01G46410 transcript:OB01G46410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRFKPVEECSSDGRSQQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGRRYIITASPRIMVEHFAKTFLGADMVVGTELEVGKNGKATGFMVKPGVLVGDHKRQAVVKELRDEVPDVGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLLSPLILHDGRIVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPRELTCSGGKTPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >OB01G46420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28523442:28524692:1 gene:OB01G46420 transcript:OB01G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYTADGLHQYGKNTTPLCPQRWIFISRSLTEISARRFIDDGINRVIYDGQRLHQPSITMVVTSYTTYGIQPKVDDPTSTAFSDICKMTQWHPLTYAKTLPMASSDISKDGHRNGYEVLPCTAPKVKRRIMAWHSAHARKKKENMILNSRKSQPPRHQ >OB01G46430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28547578:28549218:1 gene:OB01G46430 transcript:OB01G46430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVTSSPCAAASSSSCSPVSPSSSAAATSPRLGALGGVRLSRGQSSLASWSVVGLTRRRGVPQMARRALSASIDSVGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQQQQQQGRWDERLIDCAGESARGAVGRAFSSMVLIIRELQSFTLQMRQSLFYEDLQGVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGDSVAAAATLPPPQPPTATVAMIDTHHTEQSHALLRFAPVSLKTFSTGRTASVGGNSGGGGKVRPVAGATGDGRSDEWSNRQSGAVLPQDASQATPVGAGAEEAVSDAMAVEETEDELVIWKRIADEATRMQASVRAEALMDPDTLGQLVAPVEAKLDTEDMADFAATEERYERAVSEEPDNSLLLSNFAQFLYTVQRNHDRAEHYFKRAVRAEPADAEALGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYAHFLWNTGGEDTCYPLD >OB01G46440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28549753:28549977:-1 gene:OB01G46440 transcript:OB01G46440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTAATAPLVPRSHPLPKATLYSTGCFPIFQNVLEQEILLAHRFLFIKEKIVLLRTAVGGGKKVPLIRLHNYR >OB01G46450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28559693:28565484:1 gene:OB01G46450 transcript:OB01G46450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLKDELTAAAGNSFINQDLVMAGAGMPTRYFASSSHGTGAHYQSSSSAPITMAVPDVGFLIAGIGMAPSSFVLPEGALGAGFSNMGAVPVGVVVPRQQHTRVGGSNGNPGPFKGAWTRQEDEVLKQMVILHGDRKWSTIAKSLPGRIGKQCRERWTNHLRPDIKKDVWTEEDDAMLIEAHKTYGNRWSVIARCLPGRSENAVKNHWNATKRSLKSKRRTKKNKSEQAQLAAMNLNMSLAPNLNASYNEQQDGYYLPFDVPQGNIHYGAPPVQQQGISVGHDLHSSCLSLYHPSLPGSHPIGVELGGYYSEAGPSSGSVSGVDPDDIDVIQMASRQFLVPSEAEVTLDLTRFNLEQPAKQHKGIPSAPCCKRVAQAIHVGESPPWRRQRQKSCSCKCRSVFARAQTRPVVVPCLPGSIRVRSCRAFCRARPPCLGPAQKSTAQFPALTPPAAAAPGSGELQPFPTRLNATVSLRVTSGEVPRLTAESYTEQNRRWDKHMRVYEKVNHNHRLHGNRYHTGTSWT >OB01G46460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28565354:28565707:-1 gene:OB01G46460 transcript:OB01G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVSLCCCFYVLQCYCDGRLVNEWTSGWEQRWGRPGGAVAAAEVESLATVGTTFMTHDLREKTAAAKPPTPAFMSMMFRYGTGCHGACGCGSPSRTPSCACPTADSAPCMTRRSA >OB01G46470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28567813:28569402:-1 gene:OB01G46470 transcript:OB01G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTSQPVYITTHPLTFEGKLKIETSTKRSITTGAKFKPGADHIEIEFHWSAEMATPKLSAVSTVRPDDKQRAASSSAAAVSVAPLIRVQDDTAIEEYEQYLRLPELARLWKDRCCPEWADEGLVKPALQALEITFRFVSVALSDRGGYASRRELARRLEALAAREVELVATLCEGERCAPLAELSASEGVLPRERSASEVWQLPGSATAVVCQVSEASLLPRLAAWDKSETVAAKIKYAIESQMQGCVFTLGLGEPNLAGKPVLEYDRVVKPHELHALKAKATPEAKTGYRNREKEAGGVCTIHQILESWLCAASQLITRLNERIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASFCFRSRALLHVANATRDIKKRVPWVLGVEVDPNGGPRVQEAAMKLFHSRRRGEGEEAGKLELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAESSTNRALFLPEEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMAGDSASSRRS >OB01G46480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28580118:28583430:1 gene:OB01G46480 transcript:OB01G46480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAIATPRSTKRRLTSPRCAAGSPDASQFTSPHKSPNAGTVGTPKLLSASPRSSRKRLYGDFVAAEKPKWNPRDPGQMQAVKEALHVATVPSSGLVCRDDEQRRVLEFCKGCVEQERAGSLYVCGCPGTGKTLSINNVKESVARWVDEIAMETPDALSINCTSLANTHEIFSKILAKLQTRKKATGKLSALQQLQTMFSHKELAPRRMLLVVVDEMDYLITRDRGVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRMKVFEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEIFEARLQESPDQEFGLVTFDHMDIALSKAFKSAVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQVCKTPGFMKLGQSKEDKLRRVMLQIDSSDITFAFKGNRFFQKCLEQPKF >OB01G46490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28590161:28590523:-1 gene:OB01G46490 transcript:OB01G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTRCAGTSIITKKKKKGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGVPTVVEIVLRAAVVHQKQEPQNWCGFECLDSRVSASFFQVPNDGAGEETGYEAA >OB01G46500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28613450:28620296:1 gene:OB01G46500 transcript:OB01G46500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADGNGKEEEVGVMGVSAGDGADEHGGGGGKFNMKNLLWHGGSVWDAWFSCASNQVKFPASSAMPRHAARFTDSITLVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQVEGVTHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFALLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHVLTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAVAQSPVPLPHH >OB01G46510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28621086:28627356:-1 gene:OB01G46510 transcript:OB01G46510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural constituent of nuclear pore [Source:Projected from Arabidopsis thaliana (AT2G45000) TAIR;Acc:AT2G45000] MATSFSFGSSAASGSTGSSPFSFSTGTAPSAFSFSQPAAASSPAPSPAPAFGSSLFSSSAVASPAPTFGSSLFGSSPASAAAPATSSSPSPFGFGSTGFSFGQSPAAASSAAAAAPSIFGASSTPAASTTPSIFGAASSSASTLGLFGATSSPATTPGLFGASSTATTSGLFGGTSSAATTPSPFGATSAAATTTSLFGAAASTASAANLFSGAATGFGVGSSASGTTTTTAAASTPSFGFGLNIGAAASSTTNASASSPAIGFGAGTGSALFGSTTSAPLFSTSTAPSPATTATTTPSFGFSSSPATTSSAPSFGFTPSSASTTTASTATSLFSSASSSPALSFTKSTSAAPTAPASAPSTGFSLATSQAAPAPSLFSNTSAASSSSANSLSFPFGSSASAPAFASVSATSTPTPSSTTASPATSGSLFSVPAPASSSGGFSFGVAPSSSSAAATTATTTTVASASTSATMTATAPSTTTAAFPSFSPQRTTPASASTPTQTQSLLSFGVSTTAASTSATSTSTSQTTSSAVQASSTGPTTTAITPAVSQAPNLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAIAEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADNMTPFDVAVRILDNQLRSLMWIDEKANEFSTRIQRLPNNSAAAERDSGMPRFWLS >OB01G46520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28625942:28627372:1 gene:OB01G46520 transcript:OB01G46520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVDALATVVVVAVVAAADDDDGATPKLKPPLEEAGAGTENNDPDVAGEAVVDDGVGVLVAETEANAGAEAEEPNGKLRELADELDAALVLENNEGAGAACEVAKEKPVDGADAGAVGAAEVLLVKDNAGEEDAEENKEVAVLAVVVLADDGVKPKEGADEVVAGEEENPKDGVVVAVVAGDGAVLVLKSGAEVVDPNSAEPVPAPNPIAGEEAEALVVLDAAAPMFNPNPKDGVEAAAVVVVVPDADEPTPNPVAAPEKRFAAEAVEAAAPNRLVVVAAADVAPKGLGVVAAEEVPPNKPEVVAVDEAPNKPGVVAGEEVAPNKPRVDAEDEAAPKMLGVVEAAGVEDAPKMDGAAAAADEAAAGDWPNEKPVDPKPNGDGDEDVAGAAAEAGEDPKREEPKVGAGEATAEDEKREEPKAGAGEGAGEEAAAGWENEKADGAVPVEKEKGEEPVDPDAAEEPKEKEVAMAAPA >OB01G46530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28631442:28631888:1 gene:OB01G46530 transcript:OB01G46530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGASSADADTTGGVEFWRSPERSGCLTKQGEYIKTWRRRWFVLKQGRLFWFKDSVVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPTETMYFIADSEKEKEEWINSIGRSIVQHSCSVTDAEVVDYDSGRPAASVAAGDE >OB01G46540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28634650:28638372:1 gene:OB01G46540 transcript:OB01G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNCLGVVWMRMPQFGDTPAHRTARVKESESLSWHARPPARSPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGSPAPAAARRRTLLVASLGEPLTAQSLSSLGEGAAVHETPACSGSAIPSRKPSESVDRSVQVDDQEAASTETLPPPYDVPTKIVHVKFVLQKQCAFGQRFLVVGEDPALGLWNPAKAAVLDWSEDHVWTVKKELPADTSIEFKFLLQDPSGHVDWQHGPNRILHVTDTPNTLVVCEDWDKANNQQVSDEIGGTGGIFSGSGDVSLEDELQLGEEINKGVTTLAVDGAKSALVADSYREMMESEEAIQPQLALDKHRRIPDELSGKANMTAQNGNHIATYAADYAGSNGDDAILHKEGEPVQNRLWLDSIFANDMAWATKSLHQLLRTLGFQIGTRKT >OB01G46550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28637293:28646039:-1 gene:OB01G46550 transcript:OB01G46550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl-terminal domain (ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) TAIR;Acc:AT5G01270] MATPRAQPQPAAGGGAGGPPGGVTMRMFHGDVFLGEADVFPMKQGGEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIDMLSRRMDMEDDPVRIAGMAAEIKRYIEDKDLLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQELFFRPVIRLPERNAIITRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISLHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDAAPIPEGMSGAEVEKRLNGLSYPRDQKQIPSSTRLSDDEGVAIRGISGGTNIQANGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSGGKNLQFSVEVLFSNEKIGIGIGKTRDEAQVQAAEKALQNLESNYLSYMLPVAGVLNKDVNKPPGSGNGFLEDVTLSDDISIEEPSGSSLKEQDHSNALDRLSSVINLIRELCLEDQHVVFRDQARESGSALNGEYHFQAELGGQILGRGIGSNRDFAKLQAAEEALKTLKTTTDPQIKKHLRPTQQLNFPFCPTRR >OB01G46560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28649466:28650668:-1 gene:OB01G46560 transcript:OB01G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:J3L600] MLPVPSHSPAAATHQLLPTNALRTRPAAPRCPRAAGAAPAAESLLSRGLRAEPVPLAGXXXXXGHEAGRRALEEMVRLSRAWGIRALTAFAFSNENWSRPKVEVDFLMRLFERVIHDSVAEFLREGIRLRVIGDSSRLPDSLQKIAREAEEATRNNSQLDLTLAISYSGRRDIVQACQRLAQKVQSTVLRAEDIDEALFASELETSCAADELLYPDLLIRTSGELRLSNFLLWQSAYSELFFTNTLWPDFGEDDYLEALCSFQSRDRRFGVRKL >OB01G46570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28653900:28658468:-1 gene:OB01G46570 transcript:OB01G46570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTARTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSYEVMKDLLPDGVIYQFAPLDCPDAIESFMGYWKPNLILLMESELWPNLILSAAEKGIAVALLNARISMKSFNRWSLFPGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHTPPQVIHFAGDLKYAVGDIDAGEKEITEIEDLQQQFCNRPIWMAASIHKGEDEIILRVHDELIKTYPTLLLILVPRHPQDSKNVSITLQKQKVNFALRSTREVLSPNTSVYVVDTLGELRMLYRVTPIAVIGGSFLPGLAGHNISEASAVGCAVLTGPHVGHFYHMLVEMWQINPLAVKQVTGEFELLEALKQLLGDSKALEACQRAAKDAFSIMSDGVVTRVWNLVRTFTTGSQMDTWDTCFSSKYERCTHGIWNIFGFSTFKTSI >OB01G46580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28658711:28660405:-1 gene:OB01G46580 transcript:OB01G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G08230) TAIR;Acc:AT1G08230] MKAIYLIANPGGTIKLYVFVAIFGVFMMILAQLPSFHSLRHVNLVSLMLCLAYSFCAVAACIYLGRSSGAPEKDYSIAGANTHDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVDGRAIIPEALLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPRAGQYAARNVVPRVISRTAAVALGTIIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGVVFWLNTTIAVVFSALAVVASVAAVRQIVLDANSYKLFANV >OB01G46590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28662039:28667090:-1 gene:OB01G46590 transcript:OB01G46590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPIWEDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMAADILEQVSGIRPYRQTEA >OB01G46600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28674793:28680588:1 gene:OB01G46600 transcript:OB01G46600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSSSGAGRRGRLGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGEPVAIASASNSGQVSLPRPTEAPSDLESGPPARPNSALPPPPAATPAKAEQQPQPQPPPPPPPPARTRRRDSDGGRPNGQAASAPLPQLLEEEDGAPERPAHAKYELRDNPGVFPMAVYGFQHYISMLGSIILIPLVMVPAMGGSPDDMASVVSTVLLVSGVTTLLHTFCGTRLPLVQGPSFVYLAPALAIINSPEFFKLNDNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMTLFLRLINPVVISPTVAAVGLSFFSYGFTKVGSCIEMGILQILMVVMFALYLRKIKLFGYRVFLIYAVPLALGITWAVAFVLTATGVYSYRGCDANTPTSNNVSAYCRKHALRMKSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCVASVIASIDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTVLAGLWGTGVGSATVTENVHTIAVTKMGNRRAVGFGAIVLVLLSFIGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVIGLALFLSLSIPSYFQQYGLQPYANSSVPTYFQPYIVASHGPIHTGSTGVNYVLNTLLSLNMVVAFLVALVLDNTVPGGRQERGVYVWSEAEAARRESAFMKDYELPFKIGHAFRWVKCIGL >OB01G46610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28679317:28679592:-1 gene:OB01G46610 transcript:OB01G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKNEAIVSFVNGETLLEPYLSFGTQLKHLSSTKLANHKLQAIYNFRFSKFDYFPRICLVFTSSLLLQLYNIVFVNVPDTSTRHLPTNHY >OB01G46620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28680579:28681531:-1 gene:OB01G46620 transcript:OB01G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCSWVHILCEKMLDISSFEPCTQLAVDRQQHRTESRPVPPCPARPFHVKVIVSLVIGTGRWRGPVSQASRVPQWSQNWATCGYGGTNKSASHDVQQGTRVEYGLSGREMAP >OB01G46630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28681593:28684273:-1 gene:OB01G46630 transcript:OB01G46630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDFLRARLLSERSVSRAAKERADQLAKKVADLEEQVRAVTAQRRQAERAATEVLAILESQGFGGHLSDVLDSGSDHDGEDDDDDDPREARSDGDTAGSRGEEKTAAPAQGEAEDALSGTARPGGLSWKGRSVSPRKARQLKQKHRRSYFYLLSSDPSPKYRMGQSCRKNKRKELSNGRSTPPEEESGDVAELAGSQKGQQDGSDCTDYGQPDVDGVVGGDDRSSADVGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQFNENRSSTKGHNKMCQIENGWKQSKEHARIADKAVHCNEEAKSSVKNLVSASNNRSAGRLSNGSLSESPQNTSGQQSAADQRDAHEEHQNCHAQSQGSSNGVGATTGKNKDQGNESPDGCSSYCAIKALSDGSPSTSDTALNSKVSDWSPSRFHDHGDNQLFARPDQQPRSNVDIESVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDDDHTEEDGYSPVDEEFNSARDELCSSSPSRDQEILALPAPEDYHERDDWPVNDATISVPSEEQVSSSPHRQEILALPAPGDACHSEIVDDIKIPICTAGLFRLPTDSFPKDEMLSSCSKYGSELNLGPTAAPRKNAFASNADARITVAPSVIRDDHGFSAKQCYDPHDSVLLSVPTSGRCSTRSSDFTIGIPGLAEDYRKGRSLSDADLFMQRGCDYTVSNKWML >OB01G46640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28685155:28687092:-1 gene:OB01G46640 transcript:OB01G46640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTIRDLDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >OB01G46650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28689771:28693409:1 gene:OB01G46650 transcript:OB01G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELRARRFETAARVRRTASLSLSNRKEFATPHNGAVNSLQVDLTESRYLLSGASDGSIAIFDVQNATEYEAGLIAKHRSILLVDKQHENGHKFAVSMAIWYPVDTGLFMTASFDQYVKVWDTNSAQVVMDFKMPGKVYSVAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSASSEWILMSGGCDGAIRFWDIRRAGCFRVLDQSRSQLGRRPPFLEGTSEKDPMNSLQPSPSSKIYSAQQSTGKSKQLHSSHKSKIPRHGHVQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMHLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQNSKPLQLAVTEDPSLVFVPCMASIKAYSLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGMRQRHFVVDEDNWSD >OB01G46660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28697589:28704244:-1 gene:OB01G46660 transcript:OB01G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGRGIIQVWCLLAPSEEDHQPSIALNKNNSSNPPRPRGRPRKTSTTSDDHLEPSLKRPRGRPRKYPLTIAKVKDSSQNDRSQDFGLTDPLVSSAVNLCDAVVACAMPTVKSVEPTPRRGRGRPRKKPVEKKGLSGTELTEDMSTALICTEPKRKRGRPRKYPAPINSKHLPGTDNELGNDTVSLPGSIDCSLGPTETTGSGANLTPVAVDAAFPVPSSSSAICDRKSGQRGRQQPEKEVSHGAPCWETITNDPNSMPSGHPDVGSMLPTYILSNSSSKSESGGTRVRGQPRNKLFPSTTSCLFATGMKTPKQASILTNSDNLIVLAKSDGDVISDDMGICLAKCKDESCAKRGRGRPKKKQILTRCTSTECNDEEQKITIPESSYNAALVGNCKKESCPRKSRLKDKKKSAFDEHSSLAFSVEAQKMNISSASIMSSSCLALIGSCVNEDTSNEVGLIGYKSGPVGCDIMKMREINTFESAQANQAVSVPFENGAPIIEGLEDTEVTPLKESTKVNNMICSAEKIISPVPKDVSLPRVVLCLAHNGKVAWDIKWKPPLANQSEQKSRLGFLAVLLGNGSIEVIPLTVDWSPSHDMILAGCHDGTVALWKFSTNLSFEGSKPFMCVTAESAPIRTVSWAPSMSEENVNTFVTAGEDGLKFWDLRDPYRPLWELTTAPRAVLSLQWLKDARGIVISLEDGTLKFLSLSRTANDVSVTGRPFAGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVFFELTPRFWEKEPGRNRVPYFLCGSLTEVGTTIKIDITSQNSPLSNVPLGGKRAAKTCKDVGKLLTYSEYNCTINSDVKYGQQDVPDKGKETDAAVLAPSMQENIGASTSRGSESPESFEVFPPKAVALHRLRWNMNKGSERWLCYGGAAGIVRCQRI >OB01G46670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28708460:28708675:-1 gene:OB01G46670 transcript:OB01G46670.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIELALRPKIQQDDKPKFRRITDYRKPQIDSRRSHGPREGEKISETHNSNAGNSGFGNPSPSPSPSPSPPPL >OB01G46680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28713572:28715143:-1 gene:OB01G46680 transcript:OB01G46680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAETLHGAVEALAGSLQPHVATAFFVFSACTVALAVLLAVVRLRPPWWCDCAVCEAYLTGSWAGEFDNLCDWYAHLLRSSPAQTVHLHVLRNVLTANPVTVDHVLRARFDNYPKGAPFSAILADFLGRGIFNVDGDSWLFQRKLAAAELASPALRAFAARVVSSELRCRLIPLLHSASREGNGKVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSTLVEAFDTASNLSARRATVPMQIIWRLKRLLNVGDEKKLRDAVRLVDALAAEVIRQRRKLGGAASGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVAAAIRDEVNRVTGDGNRPIAATFEKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLTDGTVVAKGTRVTYHAYAMGRMESVWGPDCAEFRPDRWLRDGRFVPESPYRYPVFQAGVRVCVGKELALMEMKAVIVAVVRSFDIEAIARSSRRPKFAPGLTAPFAGGLPVRVRRRRARVSGHNPPI >OB01G46690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28730574:28734864:-1 gene:OB01G46690 transcript:OB01G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) TAIR;Acc:AT3G10070] MRPPYTHLASPITMSSSPATAAASSSSASAPAATSAPPAIPRGVVALGLPAHPRAPQTPVGYTGFVPPPTLAAQFGSMHRGPDQPPPSSTQLRQPSPGIQNIGTVGSINTSQVRPGAISSMPQQTRPNFQSSTAPSPSDNQMQSSQKTPMHALARPPSIASPSPSTASQQAPPNVSAPLRPPQQRPPHPRPYHAPAISHPQNALLTQQQHQQQKLPRHQHVQQKQQQQQNQAQHSLQQSQQAATLRNQQQISQQQAARTPVSMDQKLDSPATLQTNVQSGDMALVDVDTGGSGNRLLSKRSIHELLAQIDPSEKLDPEVEDILIDIAEDFVESVATFACSLAKHRKSSTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNTKSSAAQAAANQKNQTPKPPATGSP >OB01G46700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28735554:28738295:1 gene:OB01G46700 transcript:OB01G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27590) TAIR;Acc:AT2G27590] MGKSGKKTESHRHQHGRGRRASRFRGGGDEDGDEDGDELPSSAYDAPPSHHEDSDGDDTDEAAAEDEHEEDVDGGDQDLREAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVALHLQEDFCGTALLSTEWLRTDARRTAVGLDLDLESLEWCLGNNLSKIGADGYSRLLLFHGNVLQPNQARLVKQKISDIMQNLHVNTDNNSLTSDICDQLNPASPKGTANSTMSDVVLPARDIICAFNYSCCCLHRRKDLVLYFKHALNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSGGNAKEYNANRDVKYEELQHFNQGDAWNAYVVGVANI >OB01G46710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28739030:28739337:1 gene:OB01G46710 transcript:OB01G46710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEADGKPIRMVKDIRQELVKLQGATINGHLSIAPTDAELKPGRSFWAISTSIFSCFNTQQAAVHNITKDIIALSSSRSLQLLDTDPV >OB01G46720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28743407:28744315:-1 gene:OB01G46720 transcript:OB01G46720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHDGRDDDAGVLEEGDYLRLLGEVAVEGVLRLVVVEVQLRLLVAVVMVLRVGRLPEPEHAHPVAHSHGDHDGLHPGRVMEHGGIAGAAPLLGRAPRLADEAAERIVLDDAGTEDVQAGIGNRDGSGVEGAGGGVAGGDVEEVRGLADVEQDGDAVAVGVAEAVGTARAEVEDVAVDEGEVGLGADIRGEAGDAGVVEAENGGVGVDEGTVAGAEEDATVADDGAGGDTAGEGEEGREIAEDAGVVAAEAVPGAERAAEGWVEEELLARERVEEGVVVVIRVVAAPVDGELVVAEVKPVAS >OB01G46730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28743409:28744392:1 gene:OB01G46730 transcript:OB01G46730.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRRQASPPPPPVPRLPARPPPGSRPRPPPPPAPAPGAPPRPIPPPRAPLLAPPPPPRPRRPPQSPFPPLPPRPYPLLRRRRQQWHPPPRQPRCPHRLPRRRFPPQQRPHLRLRRECRRQDQPLLHQQQRPPPLRAPSQLLLPPLRRRRPHPALHLPGRALPRRRLLQRLLPLPPRRFHLGYRSPPGRPLCPHRQVLFAPPLRPRDGARGRAVELHPRCRHVPLLVRDAGHHGRRGSVRQGERVRVREGGRREAPLPQQPEGGAGPPRLRGGVRLLPRPRREASGSPLPQGRRHRRPARRVLPLERVLAMCSTLCHFFSVGVVLSGSL >OB01G46740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28746926:28747846:1 gene:OB01G46740 transcript:OB01G46740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAGDLEVPDSQVTVDVQATAVVQKELHGVASAVVESELDVAVAVCEKVVYVDVHMPFCISMPPSFLELT >OB01G46750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28758792:28760299:1 gene:OB01G46750 transcript:OB01G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSKLVLITAAALLGWAYKAARPPPPAILGGPGGPPVTSPRVQLEDGRHLAYREAGVARETAKYKIIFFHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGDKFYVVGTSMGGYVVWSCLHYIPHRLAGAALVVPAVNYWWPMPAAVSASAYSKLDVGDRRTFWIAHRMPWLFYAWLKQKWFRISPVIEGKPEAFTEKDREILTEIQRKEQETGQVDRGKATQQGAYHSLCRDATILFGAWEFEPTAMEDPFPNGEGVVSIWQGREDKIVRVEVQRYVAEKLPWVRYHEHPEGGHLFMGADGVGDMVIRELLLGEEPRDL >OB01G46760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28767906:28769645:1 gene:OB01G46760 transcript:OB01G46760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNMKAADDQEVTSQERDQSGGTKADGEEQVAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQAATGGCKGAMEETKAAGVVRGSFSGQAPMAAGMVHGPVNPMQQGQAGPMMFPMAPANTIYPVMGDGMGYPGGYNGMAVVPPPPPAQGAIAALSPGSSDGMSAMTHADMMNCIGNGMIIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLRDAEKTILLTKKQMLVEKMMEQSKEKMNAKKGGSQLRRCGSCVW >OB01G46770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28771204:28773866:1 gene:OB01G46770 transcript:OB01G46770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSAAYFLHRLDMTVLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPMWSWILFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSIECLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRRSLEEWAKHLLSPSKRSGESDVSSVILSDYTRKRH >OB01G46780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28773077:28781529:-1 gene:OB01G46780 transcript:OB01G46780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEESSWRMAASAHERAIPLNQAFAYGVQAHASASVAATPPASFLDFQPAATAATYFGELEEALIHGANAGVVDPGMIRADVHTKSAATAGYLAARPPTLEIFPSWPMRQPQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRATSEQTQPGQEVMMVTTDDYSYKPGLAAASPSFQQHQHQLQQQQLHGGGDHDKRKHGSTRKDGKLVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGVFLGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDSNLGLVVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSELLKILIHQLDPLTEQQMLGIYNLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGAESVSPTGTELQPMHHQPQQNQYSGF >OB01G46790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28788396:28789649:-1 gene:OB01G46790 transcript:OB01G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67190) TAIR;Acc:AT1G67190] MEHLPVEVIGNILAHLSAARDVMVASAVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQYLSIHIDSTHEFSAAPVISWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLNLLVAACPKMESLALDFLEVVTSDSQSTMELTSHTLKSLFAKSVGVDKIILDADNLEVLNLNALNLDLFELIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSILELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >OB01G46800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28790534:28793593:1 gene:OB01G46800 transcript:OB01G46800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:J3L624] MSKLQSDALREAISQITNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGMDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >OB01G46810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28801138:28802697:1 gene:OB01G46810 transcript:OB01G46810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRVFSAMPLLIMVVALAELAAGARTGGIAIYWGQNGNEGTLAQTCATGNYKFVNVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMLSIGGGVGNYGLSSRDDARQVAAYLWNNYLGGTSPSRPLGDAVMDGIDFDIESGGGLYWDDLARYLKAYSRQGRSKPPVYLTAAPQCPFPDASLGAALRTGLFDYVWVQFYNNPPCQYSAANGVGNLASAWKQWTSIPARQVFLGLPAAPQAAGSGFVETSDLVSKVLPVVKSSPKYGGIMLWSRYYDGLTGYSDKVKSSV >OB01G46820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28802421:28802633:-1 gene:OB01G46820 transcript:OB01G46820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPYLGELFTTGSTFDTRSLVSTNPLPAACGAAGSPRNTCLAGIDVHCFHALARLPTPLAALYWHGGLL >OB01G46830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28805976:28806461:1 gene:OB01G46830 transcript:OB01G46830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin 4 [Source:Projected from Arabidopsis thaliana (AT5G10000) TAIR;Acc:AT5G10000] MATVPAPAAACFAPAASGIRCRALAPVTKNAAPRLADPPKLLRRRPGGLLTSGGARISSRIRAAAVHKVKLVGPDGAESELEVPDDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLSDEQIEQGYVLTCIAYPKSDCVIYTHKEEEVQ >OB01G46840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28816856:28817065:1 gene:OB01G46840 transcript:OB01G46840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNGQRDLYFSRTNCGGGSLDKPGALVSLTMSLLLLIGQSRHFERTESTGSILNEVAVTVFEQRQAHD >OB01G46850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28820155:28821762:-1 gene:OB01G46850 transcript:OB01G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESFVCGVAGAGAGDRKVSCETVIAGESGDASPPRMPPPDPDFPPESITIPIGDEVAFSELNPIYDRDDSTKGSTNPKSAAGASNGPIPAKSRSNSTRIAGAPAAERQGGRRGGTSVAQGVLHWQGGGGRHRHATKKVALDEDRDGDDEKQPGIAAMRRFKSGRRTASWVEEAIAAAEAAGEEEEEEEEQQQQDDAKTQDEKAERHDTEQWAPRLGWTRVAVMQTVDSLWG >OB01G46860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28824509:28824889:-1 gene:OB01G46860 transcript:OB01G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGDAEPLQQQQAAADADAGVPYGGGVMAKLEEQWRKTKEHAETYPYVWGSYILVYGGLAAYLTWRWRKLRRTEDRVRVLQARLRQLAAAEESRAASIPTPSPPPQQPPLAGPGKPTSGP >OB01G46870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28829495:28833502:1 gene:OB01G46870 transcript:OB01G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGKKAGKGAKVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFHEVLVNLSVRLKALQDHTIEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFAFFMTGLLPQEAIQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >OB01G46880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28832372:28834184:-1 gene:OB01G46880 transcript:OB01G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYSINGLRTSTLPFEVTGTERSTQMLRLGFDREETTRLLDACKQNGVKLCSAMAAATLLAARQAKQLKSDDQQETYSIAILINCRKFLEPALDDHNVGFFHSAITNTHTVHGGEGLWELAKRCQDSYASAKNSKKHLTDISDLNFLMCRAIENPQLTTATALRTAVVSVFEEPVVYELSDLQGKAGVEEFVCGATVHGVGPSIGLFDSIRDGQLELACMYPCPLHSRKQMQEIMNKVKQILHEGSIGDDENFEDCT >OB01G46890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28835597:28838406:-1 gene:OB01G46890 transcript:OB01G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLQASSSSLRSRLLSSPAAWSPWRLVLSSSVHSDAFRQIETLAFDEIQLPPEKPPTATAFVLHGLLGSGRNWRSFSRALASELRDRSPTDEWRMVLVDLRNHGRSAGIKGLSPPHDMSSAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGEYGESTDLPKQLWVLDSVPGQVETDNSDGEVERVLQTLASLPSSLPSRKWVVDHMLNLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAEHSDRWHPDDVQRLKALSRRESDPDAGKVSLHVLPRSGHWVHVDNPKGLLEIMAPNFLSSAKI >OB01G46900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28838758:28839544:1 gene:OB01G46900 transcript:OB01G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQLLVHRLSCACSSGTLLKSNSTGRLPTSSCKARCWSSSDALPGPKARVRMAVAIKPPRPRAAAAAAGKDRIVRAGDDDDGVSLGTVKLPANIDIARFETLLFQWANSLCQGANLPLPVPLRVDKVEGGVRLGFMAVEDGATQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLQALQKSIQIAQV >OB01G46910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28839439:28847586:-1 gene:OB01G46910 transcript:OB01G46910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQDRGWRDSKGWRSWPCTNCRPELVVFLPPDGRRMGRKLSHPGTDQSRKPVFRHTALRTWRRSLPLSVRPAPSSTEKVVIGESRARARATISCGGSISSSSSSSSSALSICASTDNRLNCGAGTFTGADCGMCGANADAAAAAGRGMVGIMRGGATVAEEAVDDEFDEDDGGGARGRRRSGRASRMPSGGRRRRGSGVGFAARTEERMRGRRDGCGRSGDPAPEANRSSSSSSKAADPCWSPAAAEGRKEPRKRSELGELLWRGRSMECLAAALMSDLPDPVVGGGEPAARERVTSAATNACRCGVGLGHVWRFNSAPMSNVRSAPGALSQERSQSQSPRSPPAAAAAGMPFLSISVTDPVKMGTGVQAYISYRVITKTNLPEFEGQEKIVIRRYSDFEWLHDRLAEKYKGVFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDFRIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAETQKQAFRLVKRHRELGQSLADFGKAIKLLGACDGGSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKDYKEINLDKLKFVTPDKISELEAEVRELQADSEEATKRFEHIAAVMNEELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRGVLPKLEACSTS >OB01G46920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28845886:28846551:1 gene:OB01G46920 transcript:OB01G46920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF584 [Source:Projected from Arabidopsis thaliana (AT3G15040) TAIR;Acc:AT3G15040] MDRPRHKSSPSSERFLGSFLPSAAAGDQHGSAAFELDEDDLFASGAGSPERPQPSRRPLILSSVRAANPTPLPRLRRPPEGILDALPERRRPLAPPPSSSSNSSSTASSATVAPPRMIPTIPRPAAAAASALAPHIPQSAPVNVPAPQFRRLSVEAQMDKAEDDDDDDEEMLPPHEMVARARARDSPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGFLD >OB01G46930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28860372:28861827:1 gene:OB01G46930 transcript:OB01G46930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRRAPGYRFYPTEEELICFYLRHKLDSLRGDIERVIPVFDVYSVDPYQLSEAARRQRRRRRGGTVVLLLPAAGAGGAGRAAEHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGWLSPKLTDEF >OB01G46940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28872617:28873120:1 gene:OB01G46940 transcript:OB01G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTAAAAPASPAARSAAAAYPGPKTSTGVDRKHLKPSPPFFLLSANFCSGSFSISRFVSALPRQSGEANKFLSAGRWGPPASHPDSNRLSACVKLTSSVPPCVRRLFLEGLDRYGRGDWRNISRFAVRTRTPTQVASHAQKYFIRQANAGARDSKRKSIHDITTP >OB01G46950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28874339:28877185:-1 gene:OB01G46950 transcript:OB01G46950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTNERRRRRRLGGRGSDRLAFITGQAQSLPSDPSPDSSLCDASPQLSDRLPSEYRIGDDKFSYKIQSGKSEPCDTFCEIQPAGGAHLGNHKEKGPSNEGDLQKHQTGSVIPEIQQVNEMPLQRHSEETLRKDSDVRSASVQPTREMKTRPSNVPSNQSNQSDNTTWSVETLKENLNFTPHEITQAISATEYNRFLASIIIAFLVVLSNWGLDIGGTITKVLVGTRPLLFLIITDITIILAMLMENKDPNARGRPAGGNLGSADSLGQMLEIGLLLQKAMGALLMDLSVCAVIMICFL >OB01G46960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28874748:28875245:1 gene:OB01G46960 transcript:OB01G46960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSNNPISSIWPKLSADPRLPPAGLPLALGSLFSINIAKIMVMSVMIRNRSGRVPTNTLVIVPPMSSPQFERTTRKAMMMEAKNRLYSVADMAWVISCGVKFRFSFRVSTDQVVLSDWLDWFEGTLLGLVFISLVGCTLADLTSLSFLRVSSLCLCNGISFTC >OB01G46970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28892594:28893319:-1 gene:OB01G46970 transcript:OB01G46970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDSSVIVQEELRCDEQDARAGSAMPTMTSTNAANMAACVSLPLARRYHPSISSSQLQSPTPCATTISSMDTRKSTYGSSDSNATATPPMKASPPAPADLCSRMELVADALGSKRSRSEERRPRTMASSAVTVSAPSPPAADASDAVERLSEQSCRAEEAVKEDSAESRKMEAMVLVPGGGGAAWKDCVLGFLQDGQTQGGAVAGAGGGGGGEARRPWPCCGRESSRPAFRLPLLWVSR >OB01G46980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28892614:28893347:1 gene:OB01G46980 transcript:OB01G46980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRLALSSLFHNKARDASPPPPPPAPATAPPWVWPSCKNPRTQSFHAAPPPPGTRTIASIFLDSAESSFTASSARQDCSDSLSTASEASAAGGDGADTVTADDAIVRGLRSSDRLLFDPSASATSSILEHKSAGAGGDAFIGGVAVAFESEDPYVDFRVSMEEMVVAHGVGDWSWLEEMLGWYLRANGKDTHAAILAAFVDVIVGIADPALASCSSHRSSSCTITEESSLDIAETAKLTV >OB01G46990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28906665:28907339:-1 gene:OB01G46990 transcript:OB01G46990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRRGSFTPRQPPVVEIGCTCRRPKLFSIFSSSSSSSFRRSGGKPKSPNASSTSTTTTAFTATTVGAGTATSTDSSWGPASFTTNSLFEEPAAQQEQEQAETRRRRRQQRRRRRRAASSFARGVEGGHDEQQLQEQAPYRRVAKESVAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >OB01G47000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28912947:28913390:1 gene:OB01G47000 transcript:OB01G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDTRMVLALAVTCGVFVALLSLLVVVLLRRWWRRREAVASSRGFVLFGICFNDKQNQQLRVVRPSMERNRRWPSRERHPSETGGDQEPDQCELERWKRMFGGPARSLSTIDEGTEKGTTPITTPATSPDRRDARSLQMTSVAVQS >OB01G47010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28915021:28915933:-1 gene:OB01G47010 transcript:OB01G47010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREHKVDHLDQRFHGPPVPVLPPVEQPGAASRRVADPYALCCRAFRVLTIVAIAVGVVALVLWLVSLPSALKAYVDAAELTRFDLGNDGKQDQLRIRNPNRDQAVLYRRLEAVALYGGERFGYVDFPRMRQGRKSTMVIRPSFRGQGVLTGASAATAFRRDKERGFFNIIVKLHTRVRLKAMIFVDSVEYRPDMDCYIRVPDPSNATAVALGFTATRCHVDGFL >OB01G47020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28917046:28917957:-1 gene:OB01G47020 transcript:OB01G47020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGKPQPPLNDAYYGPAIPPPQAAAYYGATAPPPPRRSGPHRLFCCLFKVIAVAVIALGAASIVLWLIFRPTAVKAYADTATLSRFSLNSTGGRRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPPTPPGAAAAASSSTTSPSACACATRTASASATGTSRRMRPTTATGSDSNPCSRSTSIRRATPSSTWR >OB01G47030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28920164:28920792:-1 gene:OB01G47030 transcript:OB01G47030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGQELHVEEHGGGAPPPYPQDQRRPPSAFRVLVRAFIAACTVVVFVALLVWLIYRPRTIQVAVDEATLSRFALNTRASPRPVLSFNLTAGLTIRNPSRRTAVYYDVLWAEGFYRAERFGRAALPRRSDAVRAVLVGSSDAGAWDAGAFGGDNQTGVFPVDLWVAGAVRYKYGGLMTTSATMLSARCPLALKLMAASSRVECTVISF >OB01G47040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28921250:28927038:-1 gene:OB01G47040 transcript:OB01G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPFADVAHPIHSLEKPITSSRSSQKSMSGSQCSSISGSDIDSIQSSERNTSGPSTSSNNTNDTEVAEATDHVPVKKSSRSDDIKSTKEAVGPELERQDSSKSIHVDQRPRNEIKQPKTIKKILTTLREESKLRKNNSPVRATRLKLSPSSREQLSDDSKHSSDISSSRSSEVTSRESAKVTCEPVKRMQPSPHLKHLSPIVEHSPKAKIKEDEPLQPDPAKKAMEEADATVAKAKNRTPPSFTRRHCIPPRRQLGAESPLDTETKRAHNKVIKERAKSPCRPIHGPDSDLIEPPGFPIAPPSPLGGVQMKAGNSRAKSAPPRAVAIKEDSNACSSSTIGYAESIQQSEPSKPDASTQLASSCKCSIPDTAIQKHDLIATTSSELNTTSFQKSMATNDDVCDNKALGPSSGMSEQVCIFKDNVPCSKIAPSTSTVTVQNDEDKFTVQELLSSVADIAPFVSTKNYALDKGSPPIQSLERSSSPHLNPPIEDVIHVIRHSSFRVCGEQAVAENAEVGVQSSDAGKLLNVVREELDTRSIPSNNLMPHRLPDSAAPKPNVSENNTVSPKTCSDAVKFPTTPEVNSTTTDINNGFKEEATPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSIKGMMNDEASRSSM >OB01G47050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28926941:28927690:-1 gene:OB01G47050 transcript:OB01G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISRSFPHPQWRFKKPKAHTPEQNRESQRRGKSNRIAQRRRRAATTRSSSSSSENSQPRCGGAEASSASTTPWGSAGTGAPRPAVERRSRRPPILANVGGESSEIAYGVEAELLRVGDVGIGYWRLKFCKCDLLP >OB01G47060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28938215:28943515:-1 gene:OB01G47060 transcript:OB01G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:J3L650] MADLLELQGRHGKSRVRVSRVWRRPAAGGGHVIVEWSVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKLGVEKHITEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVFQIPSKPFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFLPVNLGNKENPGLVKFADDVYLPTDEPHGTIEATVSRPKSKL >OB01G47070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28952887:28954398:-1 gene:OB01G47070 transcript:OB01G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVRLTSTSKNTLSNLVGKLCSRHHHGLRMDASSDSMHVVMLPWLAFGHILPFAELAKRVARQGHRVTLFSTPRNTRRLIDVPPALAGRIRVVDIPLPRVERLPEHAEATIDLPSDDLRPYMRRAYDDAFPRELSQLLQQEPGPTRPDWVLADYAAYWAPAAAARHGVPCAFLSLFGAAALCFSGPAEALMGRGPYAKTEPAHLTVVPDYVPFPTTVAFRGYEARELFKPGLIPDDSGVSEGYRFGQSIEGCQLVAIRTSRELEPEWLELLGELYQKPVIPVGMFPPAPSRDVSGHEETLRWLDRQAPNSVVYAAFGSEVKLVAEQLQRIALGLEASGLPFIWAFRTPADAADAAGLPDGFEERVNGRGVICRGWVPQVTFLAHGSVGGFLTHAGWNSIAEGLANGVRLVLLPLVFEQGLNARHLAEKKIAVEVARDEDDGSFAAKDIAAALRRVMVEEEGEEFGVKVKELSKVIGDDEVNDRYVQDFLRRLSEYKIQRQG >OB01G47080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28957976:28958218:1 gene:OB01G47080 transcript:OB01G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRSAVLICSNPSQTLIHTPMPPPKSTKPSVTASPDLIKAQTAEISISARVKKDRRWLWLQPPQKRRRLPPNTIVSRNT >OB01G47090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28959029:28964712:1 gene:OB01G47090 transcript:OB01G47090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPLRDSLRSLCADVGWSYAVFWRATRAADSPQLQLVWGDGHCEREAGAPAISGFEAMDLLLKEKAAALRGGAGRGGGGGGGEGHAPEGSAGHGQDRVDELVHKAMAQQLHVVGKGVIGQAAVTGLHRWILHDSLDECEEEDEVLLEMKDQFCAGIQTVAVIPVLPQGVIQLGSTKMVMEEASFVDHVRSLFQQLGSSIAVVPHGSFVQDSVMKTPFHKLPGVPTSSLLENLAGSGNTYNDDMINHQFGHQISPASTIQSFNSVQHFYTGPTFCHSVTTASHCRGFRPDHGNTFTLNSQSENNKSAVLPKNNVSHSETSNDAFSHAFNPLNEPNVSISVRRECISIEQHGSCRNGNMKITKGRTASSCTGETNILNKVDDLQSQDCQTSNATSVNTKFQTMSIVDNTKLQDGSYTIPDAAFVDSTQYPSCFQSLLGNLHGSSTTNSNVIHADTSHNAVPGENNFCPLGDRNATNSSGVPELLVSPIPLGLTGGNDLFDVLQLQQKPSGSNDSEPNDRESMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHRQNVDSSASCSSSVASFDRPLHSDCHPYTKGLSSGQMFCNFTSVSPGTIKSEVPAEGLRQSSPSIDKSEGCSQTQQSYRSHIRLWVENNHNVGSDSLSTGQASDSLSAGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPSSAKCSIDALLEKTIKHMLFLQNVAKHADKLKESGESKIVSQETQEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLSPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENISLPPNGFFPSSIPATGFSNCL >OB01G47100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28965265:28966440:-1 gene:OB01G47100 transcript:OB01G47100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPSPPLQSRTQAVQLVRTLADAVVPAWVIGLDTELLAELVGVVRDRISTRATKASLHALAALCPYGRHRVKIVGAGAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHAVGVAVVGKKVLRVSEAASERAVRVLRSVARHAATPAVLQEMAQGGVVGKLCLALRSEQCGVKTKEKAHEVLKLHSRVWRASPCLSPNFLALYPS >OB01G47110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28965806:28966580:1 gene:OB01G47110 transcript:OB01G47110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTPTNSARSSVSRPITHAGTTASARVLTSCTACKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKTTTRASRRVQLCVGLVAVFNLRRGEFAWWRSHALASHAPHHMLTLRFV >OB01G47120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28976415:28977065:-1 gene:OB01G47120 transcript:OB01G47120.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRFPGPVRPDMVLEGMVGNPANPGQAMPDVPAAADGSTIDLAMLYAKFLNHPPTDAVVGGAVTPESAGHVDDAFDTFSASSDLSPGILAPAQFDPSQDGFGEWSSPASGNDPTSATTATTTMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVGGGGSPSSGGSIVPSLSTWEEPKYDSLDSFPDDAMSLHEGMISAPDHDWSVDCQGLEALYMP >OB01G47130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28979637:28980432:1 gene:OB01G47130 transcript:OB01G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTIGMHWEDNTVLDLNLAWRSALEAASAYRPTSSCILEGRSARYLETLQHLFMECLVTKEIWNVVSPSINYTRARDDQRVGRALLECWTLYDSQQTKKLFKAVRRYGPSRTEECSNENSATFNTSSENT >OB01G47140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:28998294:28998674:1 gene:OB01G47140 transcript:OB01G47140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQQQQARRLLSNGAAAAAADPSPGPAGHGVEGFANAAATARPAPFSSLDATVITVLSLLLCVLVVGLVLHALARCAFRVTRRVCYGQEPPGDQYHGERCAAPARKKVGGAIREKIPALVWPAGG >OB01G47150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29001890:29006112:1 gene:OB01G47150 transcript:OB01G47150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >OB01G47160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29004308:29005450:-1 gene:OB01G47160 transcript:OB01G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPDSSYSALEIHICDKSTKRSQDGTTNPYTVLPLWRRNYLDLHTTRGKCSDFLAHTITNTREHCRPPTKYNVTIQIFSNINITLHDGVVCGFMDTRSFHTNKRWLEEHLWAPEPFCSNGDDLAVRQLIALLNRGATSSSLKLLFIIKGNIGELLLHVSDNFSLCSRGEGVPPLCEDLHKVIGKVTPSKIEPKNSMREGKSFVYWDSVTYTIARDQSYQLFDHWRIMKERPE >OB01G47170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29007599:29007890:1 gene:OB01G47170 transcript:OB01G47170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKQTTRNFTGGKAPMKQLTTKVARKSAPATGRVKKPHRFRSGTITLGRSANFKADLRFQSSVVTARQEAA >OB01G47180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29008630:29008926:1 gene:OB01G47180 transcript:OB01G47180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSSSGAGGSSSTAPVGGGSGGAEAVVLANATDVSHFGFFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEGNNPSSRAVSALRGFLAF >OB01G47190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29015533:29018427:1 gene:OB01G47190 transcript:OB01G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRFVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVDPAMRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENATLEEVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPEIKVPKKGKIYSVNEGNAKNWDEPTAKYVEKCKYPTDGSSPKSLRYVGSMVADVHRTFLYGGIFLYPADKKSPNGKLRVLYEVFPMSYLMEQAGGQSFTGKGRALDIVPTKIHERSPIFLGSYDDVEEIKALYAAHAK >OB01G47200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29018410:29019823:-1 gene:OB01G47200 transcript:OB01G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGEGAKPKRPAPRLNERILSSPSRRSVAAHPWHDLDIGADAPAMFNVVVEISKGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAHYIAQSCSSSFSAPEHVIISFSVTTDRVFKSNSKITAD >OB01G47210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29022064:29022596:-1 gene:OB01G47210 transcript:OB01G47210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G09830) TAIR;Acc:AT5G09830] MGVTKEDVEAAITSSLSPSHLAVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPTQAQPQQPPPEPEPEPAADKAQA >OB01G47220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29023006:29023224:-1 gene:OB01G47220 transcript:OB01G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLNLPQFRTYSHEIVLTTTSKASNIKIPNTCGKHGGVIFWFIMHICKQKQCMNKTFIYVFLVISKFKK >OB01G47230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29023414:29025860:-1 gene:OB01G47230 transcript:OB01G47230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAATEDEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >OB01G47240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29031780:29032094:-1 gene:OB01G47240 transcript:OB01G47240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWMDGWMDRWVGATCTVEPMPPERIAVFFSLPNRTAALLPTEGFDSNILGDTEEDLYISIDLIKKKWKLKCFRSGLELCVVHGQKKTYNLGSASDEYLYFLK >OB01G47250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29033706:29036185:1 gene:OB01G47250 transcript:OB01G47250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3L669] MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELHDSPALVPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLSAKRHRKTTCTEYTISMVSGNISRSSRTYIGKLRSNFLGTKFIIYDTQPPYNGAVIPHVGRTSKRFNSTKVSPKVPSVTYNIAQVSYELNVLGTRGPRRMRCIMHSVPASSVEPGGIVPGQPEQIIPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFNSARFSDINGSIMGGDDNVEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OB01G47260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29039476:29047098:1 gene:OB01G47260 transcript:OB01G47260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAAMLAPRGLRKASXXXXXXXXXXXXXXXXXXVVRRPAAMLAPRSLRKASIPPSLVSDPSPGSLQPTRLAVHVNPAGSSCSAYLAFGCRVYKIEVSMEGEILSKGKESLLIPINAEVISSSVVDRCPHRSEIQSVVLAEGEGDGCLILGTVDSYGHLIVSRLDIVADDIDRNSYSVPPRDCGVGEGSWAGLCFSPMHQSTVAVARELCKCIDIYDQDIHVRSLRTLWYPSSLSFAQCMPQVNESGSMLVIAEGSQLSIWDLRMSNNGGCVQRISGPIGGIIYAVCSSPSGLIAAGGTDRTAAIYDPRRWSALSRWVGCSKYEITGLSFSSIDESSIYVQGVDYEITCGLWKENERAFSFRGDSNWLGFSKCANTDVVAGWCESGSVFVADVRQDCLSVIG >OB01G47270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29047124:29047591:-1 gene:OB01G47270 transcript:OB01G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSPSHTASDLARFAAAGRAGGGSTGLGSMNVEEILRGIYADMPTPALPVGGDRPMSPIPGADIAAAPRTAEEVWKEITGGSGGAVAGASGVAMAPAAPAVVAAGRGARPRGGGXXXXXXXXXXXXXXXXXXXXXXXXXXRRRCHRR >OB01G47280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29051818:29061962:1 gene:OB01G47280 transcript:OB01G47280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43620) TAIR;Acc:AT1G43620] MGSSGEAVVEWEXXXXXXXXXXXXXXXASSSFAEGMGEFVLRSMDARFSGSAGADGFPSSQQLGFGHSKSTTAGSGYCKGQEHVFVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDVKRSALIASELSEIDAFGSLTRDTVEATSGLSKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYVRLATHANFRTFVKSAGIDFYPLGGDPRILAQYMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARIPQSATYRLSYLILDLVIWWGTRGFINEFRKKLNLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQELSQWLQQGPKPIYIGFGSMPLGDEKRVTSLILDALRETGQRGIISRGWGDLGSFSEVPIDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTVVPFFGDQFFWGERIHAQGVGPAPIPIADLTAEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPPSADVKEEQIDFFQWFSQALEKCCFPFNP >OB01G47290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29076023:29080613:-1 gene:OB01G47290 transcript:OB01G47290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L673] MAQPQRVYEAWKGNNRFFFGGRLIFGPDAKSLLFSVALIVVPVVIFCAFVARHLRHQFPAHNAGYAILAVAIVLAIYVLLLLFITAAQDPGIVPRASHPPEEEFQYDNLSLADTPGRLVFPRVKDVMVNGVPVKVKYCETCMIFRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVSSASLLCIYVFAMSALYIKFLMDEDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRSNVYDRGCLNNFLEVFYTKVPPSKHRFREPIKEEVQAPPANRGREGEDEMVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGTDRNSRDETISDSKLICKTDAQVPTSEDKVQHPIRGQRSRSWDLVSEGITASVTASEPALSSRREAL >OB01G47300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29087986:29097889:-1 gene:OB01G47300 transcript:OB01G47300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:J3L674] MMGKQRLSSMFTSSVFKKPGSDRSKGSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPAPTPIVHIKAENAAVNTETAFRSDNDFEAYGVSDSGNDTDMVELKPDVEMEPKLDTTAGSSAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKTEDNRNGDMASATAGWMKICGDGESAGGERATAASCNADVDESSEFELNDGALPFYILDAYEEPFGANSGTLYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSVFPRDTISRLERNSSTDSSPSLRGTLHELASGLKSEIADKLSDFNVLNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPTDLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSISKFVACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIISEKHNVHEIVSASVICCHRVKIDSPMRCEDWQKRGMLSHFTVMRKLEGSIFPIGLSKEAFDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLTETQLKKERKEVSPHDIPPMFQSSGTLLKLVEYGETDACLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHGKKFIVPDKFSRSKEFNSTKRKMNADTEVANPDEADPSIDDEGHQVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSSDGNLPNLPASKMTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDVIESIHSSLVQVQEQMRGGQTELDKYIITKSLTKAPEDYPDAKNQPHVQVALRLKQNGYSGCSAGDTVPYIICSQQGSESTHSGGIAQRARHPEELKRDPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTDSSNQDTSSMLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLITGSSIGNASDTNEEKDTNINFWRRMRCPRCPDDTDESRVSPAVLANQMKRQADNFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDTERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLDKLDQKSRLPFEKEFAALSQTINLALMEIQKIRDRCAFGWVQLRDLAISI >OB01G47310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29089139:29089492:1 gene:OB01G47310 transcript:OB01G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTWLASTAGETLDSSVSSGHLGHRIRRQKLILVSFSSFVSDAFPMLEPVIRLDTGGQSKVVEQLGHDNRSGSQPRYLSSSSSITDKSMLDVS >OB01G47320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29100799:29102765:1 gene:OB01G47320 transcript:OB01G47320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLAFVAVAVGLCVAAASAETATYIVHMDKSAMPSGGNGSTSLESWYAATLRAAAPGASMIYVYRNAMSGFAARLSTEQHERLSRSPGFLSSYLDTPVTRRDTTHTPEFLGVSSAGGLWETSSYGDGVIVGVVDTGVWPESGSYHDDGLPPVPARWKGYCESGTRFDGAKACNRKLIGARKFSAGLAAALPNIKIAVNSPRDTDGHGTHPSSTAAGSPVPGASYFGYAPGVARGMAPRARVAVYKVLFDEGGYTTDIVAAIDQAITDGVDVLSISLGLNGRPLYADPVAIGAFAAMQHGIFVSTSAGNDGPDLEVLHNGAPWALTVAAGTVDREFSGIVELGDGTTVIGESLYAGSPVITQSTPLVYLGSCNRSAAIKQNRDKIVLCDAQASYEALQIAVLLVQNANAAGGLFLTNDPFRLLFEQFTFPGALLSPRDGPAILRYIERSGAPTAKITFRATLLNTKPAPEAAAYSSRGPARSCPTVLKPDILAPGSLVFASWAESVPVVGNLTSPFNIISGTSMSTPHAAGVAALLKAVHPDWSPAAIRSAMMTTATALDNSGRGLKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTRASSTTPARGTTSSSCAPSATT >OB01G47330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29103858:29110060:-1 gene:OB01G47330 transcript:OB01G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MLQLRLQPPRFMPLPRRRVAGGHHRRRRAAVPAPALALRSQWRIPDVDADAGQERVRPWLARGRGAIADAANAAREKGKQKEEAEGRKKRRKEVLEEQALVAVPEITVERRVGRGWLSLDAVVAIEQFARLNGLTGRKVQRVFEALAPEHVHNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALLGSEKGLSLDLWTTYLGELLKIHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEQNTAWPGHLTLTNKALYFEAIGLTATNKPLRLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISLYRFIREYGPRDDDPAIHHVYGAHKGKKRAVSSAASSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTQAVKFWGGPLVTNSKAAGQRASQWRRPSEDSSSAHAHIFDIDGSVYLRKWMTSPSWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSESFNKLKRWENPRSTACFLAVAYTIVFRNMLSYVFPFTMMMMALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNVSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVVAFFILDQFTRELDFRREMVMAFVNFMRERWESIHAAPVVVLPYEDGSENNRTLPAKSTQQTQSGSVQPSDTYMDSRNGTYTLDI >OB01G47340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29111456:29114333:1 gene:OB01G47340 transcript:OB01G47340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHEDVVLASFLVDLRARLSHPDAAPSTNPATDRGNGMEQRDQGNVPPALSIVGTTKIPPFEFKVLEVCLEHACKSLESQTRSLEKEAYPALDKLGSKVSTLNLDHVRNLKSRMVDLSGRVQKVRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSNKYASVDHDDDREEEGHDDETESGRESSIYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMARISNMHFWETTLGTVAACITVYLLAIYAGKKSKILQ >OB01G47350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29114459:29128308:-1 gene:OB01G47350 transcript:OB01G47350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77600) TAIR;Acc:AT1G77600] MPRSPEQVVSEVGKRLAQPRLGKDALVKLLKQAESALSELSQSSSLQEALHPLSKSLVQTTLLNHKDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFISVFADLAETSSPYLPRRILILENVAALRCSVIMLDIGCQDLVLDMVKVFFSAVKQGVQQSLCQAMLSILTQILNEKVTQPLLDVILRNLVKEEKGASHKLAVEIIQNCAEKLEPILRTFLSSCIFNKDAPVNEIRKSHHKIIVEIFQCAPNMLFAVVPHLTHELLSDQVDIRLEAVHLIGRLLVLSNLRFAQEYQLIFMEFLKRFSDKSAEVRIAAVDAAKACYMAVSSGNEAKDILTSLERRLLDFDDKVRIRAVAALCDLAKSNLGSFPSEVSVRKNVMLKLLDLYRDYCKKCSKGTATVNTHYEQIPAKLIILCFNKDSEIFRPQNMELIFAEDLFPSSLSPKERANHWVEFFSYFKSEHIKALHIIFSQKRRLQLEMQEYLSLRAKKEEPSDEIQKKICASFRKMSAAFADSSNVEEYFKNLHQLKDNNIFKDLAELRNEGSSFATIRSIRDLFLKRIGNKHPLYNFCKVLSVKCSHSIFNREMICAILEALFSRRIELTNHVEASCDLLLLVSKVFPSFFQGSEDYLMKLFSEESILINEKTLQMLSHLVKSGCHLSIDFSGDIYPLLEQKCIEGTRAESKYAVAAIASLIQSPSEEKFSRLCEKVIVALDDNYNIPTLLQSLGLIVEHSPSMYTLYDKQIINFVQDILCSTEIYCLKTLVKSCLPRSTVRDRIDHLLKILLDIILEEFKPISQCENDRPYLKLAAGKSVLQLAARWDSHISPKLFRSAVLMARDSSYTVRKSFICKLHGHLREHTIPVKYTCAFALASTDCSRDVRTESTRYLNEVLKEQRRLFVHQNTSKQSIVDHPAPLVVMLRALVEIDDTGRSELGHNTSSVPILLGIFRAIQKAEDLTEADDLAECGITHKLHILSRIGLLIVKELDKHYKISDSPRQILLPSSYFRLSGSVNKTDRILGAHGPCINLDDKKCSDTAKKVSTEFAPDREVCSSLSNIARQNASCNDKGKRHKRLDQTTNHSLEKEKVSSCGSAGMKVSSPASLSLAKDTDSINQNHPESRSSTGETRASETDRNYSNCRETVMKDTGKVLVGSRIRLWSARDMCYICGTVETYDQSNGFHKIIYENGDKELVRLERQKWEFINDNFSTVEDIPSCHPRCCSFKKDRGKGLSDTQNQKQEMLLPGSSIICNPDEDFGDIDDNFVERPFSNNKTVAGLKKNSKRALDLSNAQSSSGLTAFNTGDNVRRTRARKVQL >OB01G47360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29129779:29130352:1 gene:OB01G47360 transcript:OB01G47360.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSLQGYTCILISRYREIRVSPCSRATEVERMARLLFKNVSADSQSEIILVTDEGSLDAESDPAAIAVYESRRLLKLPMLPLRVCYMPPTS >OB01G47370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29129531:29132555:-1 gene:OB01G47370 transcript:OB01G47370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant nuclear matrix 1 (InterPro:IPR010604); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G17620) TAIR;Acc:AT5G17620] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQLNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIGELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETEFKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLAILSTSVAREQGETL >OB01G47380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29133462:29136285:1 gene:OB01G47380 transcript:OB01G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHEELFGGSWVGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSVFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVVCHILITYKLIIDAHKFANGIELPLALFGMAGMNLLNIFLGFDLVKAYTRERNQQIHQD >OB01G47390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29138178:29140435:1 gene:OB01G47390 transcript:OB01G47390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDPPTGLDKAVIAPGKGFRAALGLSEDGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPINEIEPLVIFNVLFFFVAAINPGTGKFVIGEDEE >OB01G47400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29151496:29156591:1 gene:OB01G47400 transcript:OB01G47400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVREIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECRQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDTGSDEEEEKEKEERPEENEEEEDEYDKRVKEVHASGELRMSSLRI >OB01G47410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29157303:29162024:-1 gene:OB01G47410 transcript:OB01G47410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTVTSFSLAIWQWMKVTVLQEKVRSCCGANSVDCKTAAETFRSNREHGSDFIDSVDWNLASCSRMLVFAIPVVLVRYIDQLRRRNANSVRGRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIVLIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDDNYVLQEGDEVLVIAEDDDTYAPAPLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVKARERDEIVIGYRLANTDQAIINPEQKSETRKWSLDDVFVVIAKAGNATYFLKTTVMRSNPVLYSSTFEFD >OB01G47420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29161923:29162935:1 gene:OB01G47420 transcript:OB01G47420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLASLTGTRYGERSNRGARCGGGGGESGLLSSSSTGRFTTEARLFSSSRCGIETACGGAAGPLGLASPPSLSAISKLELELNLNAPAPAPPSSSAALA >OB01G47430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29168775:29175811:1 gene:OB01G47430 transcript:OB01G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MSKLFGDESCRTLDMAAEAGGDPRAWLAADETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAIQCILPKEWKGTYFGGLGKAVIYLDLDCRFDVLRLAQVLRNRIAECCGSTNPRNEEFAEDAAVDSSFENTLFSDCMKRFLYARCYNSSDFIAALQNMHSQSQAKSEVLGVGIYFLMIDSIGSFYWMDRDSQPITESKGRTLSRQSMTEMVVQKLRRFFQLQPVLLMVTKAPIYGEGFTTGNDFQRGTSKQTSEDSTIRCTGPEEEKNISCREFMPSVWQSFVTHRIKLQDLGQEAELFSGPGNKELPLHTSEWVQPSLNTKDRFSITDNPRAELDVAFHPVGAFDSMLPKNKQPTAENDLASLILLMEKPANFQCCNMEAKTSAEMRFT >OB01G47440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29178197:29178935:1 gene:OB01G47440 transcript:OB01G47440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGPFNMSAAYSPSGMAVPPWLNKGDNAWQMVSATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHRLLPFWGKARPALGQKFLLAQAVLPQTTQFFRAGIYIVPQNMIALCPCVNRILASLFPSSAISL >OB01G47450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29180657:29192713:1 gene:OB01G47450 transcript:OB01G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVYFQCVFAAITLILLAGSLLGRMNIRAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGVLSGSIPWFTMMVVHKRSPLLQQVADNLGVSPPPAVAGFLGGAATGLFAEPVLCSLFLPVSNSRGAFYRGRGGAQFGKQVAGALFVVAWNVAVTSVICLVVRTVVPLRMPDEELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNNDTHHNKAPSGVTQNKLEGFRRGEKRFRAEHQIGYETMVSGDALARQPTFADTVPGHLWEATYLHIQKYVKHLAYSMATKGCNTVVSNHRGLGGVSITSDYLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANILVKYLGEEGENTPVAGAASICSPWDLLVGDRFISRKLVQRFYDKALAFGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCIVAKYETVDTYYRRCSSASYVGTVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGSLWWVGAVSEFLFALLDSKCMHQQKAQDHILHSSLESSIDKSPYVNVMDGMVAPVTDDGPSDDVSPFNQTVDDIKQDDEGITLQNEHTKEVDDKNIAEVNAMPSQSPEQFAEQQGEEHYVNKFHDTIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLTAKWLRR >OB01G47460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29182612:29183106:-1 gene:OB01G47460 transcript:OB01G47460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVVVGVPAVLGGVVLLAVAPERVGLLAVDGVVADGELLVGHPERHDGADDEADDAGDRHVPGDDEERAGHLLPELGAAAAAVEGAAGVADGEEEGAQHRLREEPRGGAAEEARDGGGGGDPQVVGHLLQQRRPLVNHHHREPRDAAGQHPHHDRRPPLHQT >OB01G47470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29199696:29201264:1 gene:OB01G47470 transcript:OB01G47470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MDSTLRSSETSLKTLPSMANNAARNTDPDQQGVRFNSMDQPCFARPGQSFPAFPPLFGVQSSSSLYLPDDIEAKTGNQFESNPSLNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAGGSSEVQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPLSSNPALRHLSNTLGAPMILGTSCNQQPRSNDEAAIPDITKNPDYEELMNSLNTTQGDGDETMKCQNPCGGEGSEPIPMDDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCMICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKDSSSEPAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDVKVTGASEQPQGSEAMNSMASSASGYNFPSSSSEDIPNLDMKMADDPRYFSPLSFDPFFSGLDDFTRPGFDIPENSFSFLPSGSSSFGQQNGDS >OB01G47480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29202502:29205879:1 gene:OB01G47480 transcript:OB01G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) TAIR;Acc:AT2G22250] MAAASTSAPSFSPPTKPASSPNSVCFAASARASGKRPAAGRARMAVVRAEAVDATISPTVSALRPSKTMAITDQATALKQAGVPVIGLAAGEPDFDTPAVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATSVILPTSISENFLLRPELLASKLNEKSRLLILCSPSNPTGSVYPKELLEEIAEIVKKYPKLLVLSDEIYEHIIYQPAEHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRISYAAALSTLQTAMDKIKEAVALIKPRVAA >OB01G47490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29206453:29208091:-1 gene:OB01G47490 transcript:OB01G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAFSLFGNLRFCKVIVFALRGKDWSIVHVPIEPVVRFSRSNAQVYVGNEEYALPVCQGLFFVWNKSDFSWIVTMSLACLVCHGMSSPSHSFRSYSVSSSEEENRCGAVVACLTRRVMPAGTANTVGTSKVTPFPLMATGQGTEGAPRLQRSRAVSRDLVRDWNFEEIAVGN >OB01G47500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29217772:29220093:1 gene:OB01G47500 transcript:OB01G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTVTDALLLPHSEGAVAGAVDFRGRAASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGGGGGGCSYTSKSLACSPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDPKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMVFALVMFLVGTRNYRYYASTRSSPFARLARAFVSLIRGSKDDALAVVDDDGDRREELSAVLPLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGESFKVPPAALQTFISITIIAFIPVYDRLFVPVARRFTRLSSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGLVDQPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFANNLNRAHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRASHDGCAVM >OB01G47510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29225021:29226898:1 gene:OB01G47510 transcript:OB01G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRSKLLPPLLESAMADTVAGAVDYRGRLASRASTGGWKSSAFVMAMEIAERFAYKGVAANLITYLTGPLGQPMAGAAASIDAWKGVSQMLPLPLACVADGWLGRYRAIVLASVIFILSMGTLSMSSAFPVSRSGHVAVFYVALYMVALGEGAHKPCAQAFAADQFDEKDPKECVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGVGFGIPCIVIVVSLTVFLLGTRSYRFYTTKAASPVSRVGKAFLTLIRSWRSNRRTNPASGGKRDGDGDGGDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFKVPPAALQTFISVSIVAFIPVYDRLFVPLARRGTAAVHGEAVGHHHAAEGGRRARALPRRRHPVRPRGDEAPPRRRRRRPGGQPQGAAPDGPVVDGPAVRAHRRRRRVRHGRPAGVLLRPGPRRRAQPRPRAVPQHLRRRPPPQQPPHLGDRQGDGEARGQLVRQQPQPRAPRLLLLAPRRPLRRGARRLLAPLAGVRLQEEGRRRCRVQR >OB01G47520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29227299:29235036:1 gene:OB01G47520 transcript:OB01G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRERYAIGGLPQAQAEPSTGLKPAGGRGGWPAALFLIAVGFLERTGLYGVQGNLIMYFTGPLGMSTAAAAAGVNAWAGTAYVMPLLGAMAADSWIGRGNIFAFSLSFVLLAFALHGIDVALAAALYDIVIVRFHAKDYENIITSNLIIQKGVTLLSLNLGLGMLTVSSMLPPVHDQPAPCQDDAAVVCSSPAAPAGRVAFFYVGLYLLALAQGFHGPCAEAIGADQFPESSSARVASRSSFFNWFHFSMAWGYAVSSAGMSYVQDNVGWPVGFGACWAVMLVSLSVFLLGTGTYRPEEPRRGARTFAETAKGWTAVVLRRGDAMDDTTSLLTPSPSGRGADKGIVAKLLPIWMTSVVYAVIIAQMTTFFTKQGSTMDRRIDIGTGGAGFGFVVPAAALQCFVSVAVMATIPYALVGVANMLALVGLEELFYDQVPGELRSVGLAACTSIMGAGSYVSSVLVSAIDWATRSRGESWFSDNLNRAHIDYFYCFLVGISALESLGTLTISSLTQATDPHPVSFHDATTACSSPPPPSPARLVFFNAALYLLALAQGFHNPCSLAFGADQFAPSDPSARASRSSYFNWYHFFNSCGYALSNTVLSYVEDSVSWTVGFAACLAMTAVYLPVFLLGTPAYRAEQPVDGGLLAQLADKYRAWTARVFPRKEAICTERLLAKEEVEVEDGNGFVVKLLPIWVTSIVFATVVSQQSTLFTKQGSTMDRRIGARGGGGFVVPPAALQLAVSVTMLTLLPIYDRALVPLARRFTGHPAGITTLQRIGAGMAYVLVGLARAFGDIGLDEFFYDQVPGGLRSVGLAMSLSVRGVGSYASGVLVSGIDWATTRGGGESWFSDNLNRAHLDYFYGILAAVAALEVAVFLYVAKRYVYRYKGEP >OB01G47530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29236813:29237326:1 gene:OB01G47530 transcript:OB01G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEPLLLSQAASAVDHLGRPASRRTSGRWPAALLIIGVEISERFAFTGISGNLITYLTGPLGQSTASAAAAINAWNGVAMLLPLLGAAVADSWLGRYRTIVASSVLYVTVSSSNSTPP >OB01G47540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29237483:29239876:1 gene:OB01G47540 transcript:OB01G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSPVLVQHQPADSRDHADSTVNASSTMDIHVHMAFFYLSLYMVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGTYGGNVITVSILNYVQDNISWQLGFGIPCIVMSFSLAVFWLGTKTYRFYPLRSNTNIFGQVGKSLVARIRWWCTSWCSKSSDDPHRARASSSSNGDQDDAEKACFPDEAVAVLRLFPIGATCLIYAIVFAQWMTLFTKQASTLDRWIGKLQIPAAALQSLISVSVVVSVPIYDRILVPLTRRYSKNPHGITTLQRIGIGLVISVILMVVAALVETRRLMIARDFGLVGNHEATIPMSFWWVVPQFILVGLADMFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNQGHLDYFYWLLAVLSVLGLASYLRFSQVYVHKKKGISVQ >OB01G47550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29242117:29248644:1 gene:OB01G47550 transcript:OB01G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEAQPLLPEPEPTMLAVDRLGRPASRGSSGGWPAALFIIGAEVAERFAYTGIVGVLIIYLTGPLRQPTAAAAGGGNGGPVRPPLLASAIADSWLGRYRTIVFASLLYILGLGMITVSSVLAPEEQVKHGGSMESPAESSNLAAHVAFFYLSLYVVAFAQGGHKPCSQAFGADQFDESDPDELASRSSFFNWWFFASYGGNTMTVSILNYVQESISWQLGFAIPCIAMVVALAIFLIGTRTYRFYPPRGKGNVFGQVGKLVAARFRQRIASSGSKSPDSSHELLPSSSEGDANSSSSNCVPPEAAELVKLFPIWASSLIYAAVMAQCITFFTKQASSLDRRVGSLVIPAASIQSVGNASIMVFLPIYDRIFVLIARKYTKNPCGITTLQRIGVGLVISIIMMIVAALVEMRRLKIARDFGLVDKPEVVIPMSFLWIIPQYILCGLSDIFAVVGLQEFFYGEVPDSLRSLGVALFLSIIGVANFISSFLVYAIDKVTSSFEDSWFSDNRNRGHLDYFYLLLTVLNALGLACYLYFAQMYQHRKKGPSDSAQHLPMAYKMKGVFKGLKVISQIFVVKEHQMEIGYPTDVKHVAHIGWDSPTGSAAASPSWMNDMKGSADFSSLNNFGPSTGSSWTSQDFDQPQDISPFGIYVENAGKETNLHPDIPKPPRKSRRKKSKNNSPTASARSSRSKSKSSFSSAADTVGNSSMQTEARTV >OB01G47560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29249725:29257765:-1 gene:OB01G47560 transcript:OB01G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G75660) TAIR;Acc:AT1G75660] MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKHQSQTCDSNIITPGTEFMDVLSIALQYYIHCRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKPKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYLEYEFRMPNPPFPIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDASTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVKDDLIVPVARFQGSRLASGPVPSPYEQNGTDKNKGGKNSRAQKAARVSSSGSSIAAAIVEAENDLEAQERENKEDLKTMLKDALREKSDVFNSENPEEDKVKLGQPGWRERYYEEKFGARTPRQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGQLNISFELGSPFKPFDQLMGVFPAASSHALPVKYRQLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSIMFNMLFVNGSHPLSPYIYSLNSKFGHLPNKERNAIKEKIDPSSSGGMNGYISLCNGDPCPPVFRSPVDGLEDIMDNQVICTIYKLPDSHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDSGRRPYDNNNRRPYENSNRQNPAGAISGHQLGEAAHRLVVNSLNVRGGAQYNAPSMPYQTIMNGMHYPNGIPPTIEQPAPGWHVPGDQPNGQVPAYALSSGHRQNDRSGPSQYGRDNHGRQYPYARDHHDSRGRVPPYHQSGGSPYPSHSAPSAGPGRYAQPPTYAGGYGRGYQPAPYGRGQQWQQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPTGHGRY >OB01G47570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29259209:29262556:-1 gene:OB01G47570 transcript:OB01G47570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIARKGRLPEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATIGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLNFPEYFSDDARDLIDKLLDVDPSKRPGAEPDGYVSLKKHPFFRGIDWKNIRKFRAPKLAMEANANEDEDSQDLSWLSHMGSAQDNQHVNPVGNNGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVANSSHFRICTPKKVTSFEDAKERAWQWKKAIEDLQQCQKN >OB01G47580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29262953:29263237:-1 gene:OB01G47580 transcript:OB01G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding SITPYSPLLSSPLRDATTQQRRRRGEGERSRRKEEKFSLSLSLSHPSKPNPFVRRGQHERLRPSQSNRFPHLLRWRRCAPLSHPSKPNPMVPPVD >OB01G47590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29266759:29266944:1 gene:OB01G47590 transcript:OB01G47590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVHRSKRTNCKLFLLDLKNDDIARKQIGKCIPPMQQELAKCKGFFIVLKKYLKLPRILV >OB01G47600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29267942:29269588:-1 gene:OB01G47600 transcript:OB01G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L6A4] MAAAAAASSTTAASSSGLIRCATGAPAPGHHQVRCSAKPTPLSLRRRAGCPAPVQAFPDQRVTPFDYDGEGDGDGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGAGIAAAGDDGAIKLERGLGLVGDVFGDPARLGNPPGRAAIGHVRFSPAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFSTSSDTEVIQHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRANGAIVFASETCALDLIDATYEREVEPGEVVVVDRRDMSISSPCLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRNAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRTIGCDSLAFLSLDKLHSIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >OB01G47610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29268938:29269549:1 gene:OB01G47610 transcript:OB01G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLRVRRGAKDGTLRLQLIAQRLVVDEVAVVRHRELPEPVPGEERLHVAQRGGGAGRGKPHVADGCPARGVPETRGVAEHVPDEPQPPLQLDSAIIPGRGDAGALLPAVLQLLQAEVAERRGVRVPDDAEHAALLAGVVVPIPIPLPVVVERRDALVGEGLHRRGAAGSSSQRQGGWLGGTPDLVVARCRRSGGAADEAGG >OB01G47620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29278032:29279994:1 gene:OB01G47620 transcript:OB01G47620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3L6A6] MAPDATDAAGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLMEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAIQPDFLCYATFAVAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVISATCIVIQLIYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTVVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEESLPCDIITVVRQDYFV >OB01G47630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29280877:29295991:-1 gene:OB01G47630 transcript:OB01G47630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVVRGHERGAVKEERKTVAKEAAAKTIQEEEQQQQRQQPASSVPETRASGFAIDAGEMPWDGVPQLARLPSQKSGMGVAKASAAKASALLMLSVSEVSSILGRASTVGLVKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKITILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKRFSSERTPQHHLKEEAESVMQQLVTSVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVPQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIYTAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSVPPNTRDTLYQSLPPSVKSSLRSKVNSFVVNEELTAAQIKAEMEKTLRWLVPIANNTTKSDVNCKPTGQMDLTRIETLYHADKDKTEAHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHRITLSPNKASGNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKSRHSKQSRLSKSSSHSPSSGNMKELLSIRRLLPVIDFEIDRSKAMDVIDRVDNLKSTVTEDRKWGSPEICRQGFSLLYNSFVDWTGAPRYQMLPLETDLNTLPMIPNLPEKVFPADAKSNEGSRYGNGLVNQNLSRKCEALAVSGLAECGDEIDVIAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSQEKQTAPAILRGPFDHSEGSLDSPSSSSFSTSPYLDQNISKSRKASHGTRESLYWGARENKQKVKGSDPVKKTTHVGGKSRCDVQESEKSRRVGNNGFRKVCFWQFHNFNMLLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILKCYEELELTSESYLLESEVTLTDLDESPELSLENFPSKQNEVLTEISKDEPATLDSMLECSQSGSPQATNSLVEPGHVDNSPASSATKGDVIVDSLVMCQSGTSQISRTIADVISSKLAAIHHVSQAIKSLRWNRQLQNTQDGCVDNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPRSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNIDGEQFISSMSNRSLSLEDGDVRANLVLDEADYFKNTKCFNYDVSAGQLPPNYLFWAKAWMLLGDVYAEYHRFCGQQAPVLPEQKPDGELRMSNEVAMEIKRLKRKLGKDKQNCDTCSLINCSCQSDRANSGSSASSSSSETSTLYGRKKNKKTSGRNFHPPSRENKENTGTQDSMGDSEIKQQNVNDVCLENRPVPNVDIDSNNHTMENQVRNNGVPNKSEDVSSVRSGGIFKFLGGPKTGDIEYNLHSAIHCYNAAQGVIFAFPLLSAEKSTILKKKGWAFNELGRHRLESRNLARAEIAFADAIRAFQEVADHTNVILINCNLGHGRRALAEELVSRIDEFQKYDFPQDAYLQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQCAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHCKQEAAFGHFQLACYQRDLCLRFLDLVDKEVKQKNEDKYRQKARWYGSLAEKNWQRSLEFYGPKTHPTMFLNILMAQSSLSVNLSNSFHSNVMLDNALMQLLDGRHLVEANEEYSNDVDLDIKPKFWSQLQSLLKNMLAAALPGGSTGRPAASVGQVNTSNIRDTAKLKEMYRLSLKSTSLGQLHALQKIWAS >OB01G47640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29296301:29296507:-1 gene:OB01G47640 transcript:OB01G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVRLSPPLLRCRLLLCSARWSGGALGYSALFRFGSTPSRRRLLPANLPIPPSLSRARTPDSPVHPSIH >OB01G47650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29302925:29316471:-1 gene:OB01G47650 transcript:OB01G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIIPRVASFLDAPPLLSTHVAALRLVGPLLAARTGPNPGPRLRPTPWLRCGPGAGARRRGLSSLASARRGDDAEDEEQRIAGGGGSRPVLDSSQRSRGDAAMGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNDKMVEFLQNSVGIIHKNHAESITLFIKESIDEELKGTDTPKLSKDKRLTFCVEGNISVGKTTFLQRIANETFELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVSLDYLQGLHEKHESWLLPSKGQGSGVLSVSQVPVHMEGSLPPDIRDRVFYLQGDHMHSSIQKVPALVLDCENDIDFNKDIEAKRQYARQVAEFFEFVKKKKENSSAESSDSEKSSNKQIMLPHRGDLWVPEGSHLPESALNSLDFRRAMSSFLSA >OB01G47660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29328110:29328289:1 gene:OB01G47660 transcript:OB01G47660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFNEIGRHSAVLVLRSYPPSSEWYCPCVRQVKTTRFYPQTRTNFSRSLAQPRDTYS >OB01G47670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29329935:29331113:1 gene:OB01G47670 transcript:OB01G47670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDEDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPVSAGSSAXXXXXXXXXXVHTAPSSNVSFARAATSPPSEDGHSSSGGSTDAPRCPDLNIDLDLDLSMGLPCSPPKTPAAASSTSTSHHHHQQKQPICLCYHLGVRDGEVCSCKTASPAGPRALQYLRPLEEGQYI >OB01G47680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29347920:29350886:1 gene:OB01G47680 transcript:OB01G47680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQPEAAAATEVAVTEKAPAEAVAEKKAEEATPAVEAEAQEEKKDEEEVAAAAAGDDGGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKAEAAAEETKTEEAKAEEPAKAEEPAKEEPKAEVAAATAEATTEEPKAEASSEEPKAEAASDEPKAEEPVKEEPKAEAAPAAVEEAKPAEQEPEEKTVVVTEEAATKTVEAIEETVVPAAAPAASASEEAAAPAPEPEAQAAVPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIESLLDADLGLPELDNVVFYRGADREGHPVCYNVYGEFQDKDMYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFLFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKASSKETVEIPASENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTINNAASKKKKLLYRSKVKSTSESI >OB01G47690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29357453:29359453:-1 gene:OB01G47690 transcript:OB01G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MAAVPLPPPAASMAQPLAAVAVAVARLRPFRLCATPHASVSSSPSPSTSAVSSPPPPARHSRKQLVGRDGAPAKPAAKPRVFFLDVNPICFRGSQRSLSAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVVDVLRECNVPVVRVDGYEADDVVATLTEQVLQKDYRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYIAQYKCDPTADLSLRCFIGDEADGVPGIQNLVPGFGRKTAVKLLKKHGSLENLLRTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDVNVQLDDRWLSTRDSRNDSSVLSDFILKFNEGQKINSR >OB01G47700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29365777:29369490:1 gene:OB01G47700 transcript:OB01G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRMRSRRGASGAEEEEEEEEETGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPSPSLPPAKRQATAERGADLEARRGAVRAWGNQTLAEADPDVHALMELERERQVRGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALVAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMEFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKKLRRRTGSFSQGDGNDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVSTPEYKAYIIQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFELIADFLIRATQIASNLLKEHGKKQNDFLRGLQNNKDIVELRNQVENFALQFAMPGFDV >OB01G47710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29373701:29373977:1 gene:OB01G47710 transcript:OB01G47710.1 gene_biotype:protein_coding transcript_biotype:protein_coding PREQATSIIHGDDGGGDVIDQWLHRSESSFLPSTMSSKKSSSWQTRPSVPVRSTSSHALSSSTLSLTPPSSHCLRGPAATE >OB01G47720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29373794:29374857:-1 gene:OB01G47720 transcript:OB01G47720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLAAVERYCTAASCAAAAMDDWLQDPSPLSTRQVLRAISASRREAMAAEEENRALSEARIAPLSLQLDERLLLRAADARLSGFNGFRGLLYALHNASSLLLLLLASGACEDGGVKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >OB01G47730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29385150:29385344:1 gene:OB01G47730 transcript:OB01G47730.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPVSGENIPPDRPIQLLPTNQTPIKNGSPYSIQKNPIQTHPKMPKMLGDPTCATAESTLPALPP >OB01G47740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29387477:29388559:-1 gene:OB01G47740 transcript:OB01G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLCMALAAGCSSMHGSWDGGWDGRAVGMLLETESKDFFIHLSYRMFER >OB01G47750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29392998:29393348:1 gene:OB01G47750 transcript:OB01G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEHFLRQLSASNGGAAAQHLQRRGLEGEEEHCGGRRRGSRRWSSRRRAGKGAEYAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPGRRPPWPGGSG >OB01G47760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29393348:29395485:1 gene:OB01G47760 transcript:OB01G47760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDDTSGAKHAMMWALTHVANKGDFLTLLHVLPHAGGGGGETPSLANSLGTLCKACRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSHLCWLSCFLRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >OB01G47770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29397205:29402408:-1 gene:OB01G47770 transcript:OB01G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSSSAPAPPLDPEAIAAVADAAPPEEMTLVAKWKGQDYTVQVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLMECEDSGLISSIPFKPGVKINMIGTVEDEIFVDQEDDPDILDDYEIGQNEATAIKDKDVYKQKLKRRASQYKIKILNPCRKGKKLLVLDIDYTLFDHRSSAENPNELMRPYLHEFLSAVYAEYDIMIWSATSMKWVEMKMDQLGVLGNPNYKITALMDHLAMITVQSENQSEKKTFDCKPLGVIWAQCPEYYNETNTIMFDDLRRNFVMNPQNGLVIKPYKRTHSNRKTDHELVKLTQYLLTIAELEDFSKLDHNAWESFTENAKRRRHR >OB01G47780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29406948:29407961:-1 gene:OB01G47780 transcript:OB01G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMRRRRRPEMMRERWSYVTLELAPAAAAVAASNGSSKSSSIRKEEEEPAAPTIAAVEVVDTVYCCTCTSTIRRRRWYRLRAASGEVEGRAEGCARRARGLAVACDLGRVSGDDESDRTMLRPGPLIRRWTVFRLLDEWHARFRDMSPPCCAPYGS >OB01G47790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29407795:29413470:1 gene:OB01G47790 transcript:OB01G47790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAGSSSSFRMLLLLLLPLLAATAAAAGANSSVTYDHRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCIETYVFWNGHEPAPGQYYFEDRYDLVRFARIVKDAGLYMILRIGPFVAGEWTFGGLPAWLHYVPGTVFRTNNEPFKSHMKRFTTHIVHMMKKEQFFASQGGHIILAQVENEYGSMEPTYGAGAKPYVMWAASMALAQNTGVPWIMCEQYDAPDPVINTCNSFYCDQFKPNSPTKPKIWTENWPGWFQTFGESNPHRPPEDVAFAVARFFGKGGTVQNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLRRLPKWAHLKDLHKSIKLCENTLLYGNSSFLSLGPQQEADVYTDHSGGCVAFLSNLDSEMDKVVTFQNRSYDLPSWSVSILPDCKNVVFNTAKVRSQTMMMDMMPANLQVSKRNGWSIFREKIGIWDKSDFVRNGFVDHINSTKDTTDYLWYTTSFDVDGSHLVGGNHVLHIDSKGHAVQAFLNNEFIGNAYGNGSKSNFTVEMAINLRPGKNELSLLSMTIGSQYAGPFYEWVGAGITSVKISGMGNGIVNLSSNNWAYKIGLEGEHYNLFKPDQGKNVRWKPQSEPPKNQPLTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWLRTSPVSDGCTSSCNYRGTFSPNKCRTGCGQPTQRWYHVPQSWFRPSGNTLVIFEEKGGDPTNITFSRRTVASVCSFVSEHYPSIDLESWDKSTPNDSRDSAKVQLTCPKGKNISSVKFASFGNPSGTCRSYQQGSCHHPNSLSVVEKACLKLNGCTVSLSDKGFGEDLCPGITKTLAIEADCS >OB01G47800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29415466:29417239:-1 gene:OB01G47800 transcript:OB01G47800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVAKWKGQDYTVQVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLMECEDSGLISSIPFKPGVKINMIGTVEDEIFMDQEDDPVILDDYEIGQNDATAIKDKDVYKQKLKRRASQYKSEAPLSAGPLDLHEVGKISCVVKAASSFSILILEILWFQLLIDQSHNSVEDYSCSGVAGLFPLWFGTD >OB01G47810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29407769:29425385:1 gene:OB01G47810 transcript:OB01G47810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGAAASSSFRMLLLLLLPLLATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAPGQYYFEERFDLVRFARIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVNMMKKEQFFASQGGHIILAQVENEYGGMEQTYGAGAKPYVMWAANMALAQNTGVPWIMCEQYDAPDPVINTCNSFYCDQFKPNSPTKPKIWTENWPGWFQTFGESNPHRPPEDVAFAVARFFGKGGTVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLCENTLLYGNSSFLSLGPQQEADVYTDHSGGCVAFLSNVDSEKDKVVTFQSRSYDLPSWSVSILPDCKNVVFNTAKVRSQTMMMDMMPANLHVSKLDGWSIFREKIGIWGKSDFVQNGLVDHINTTKDTTDYLWYTTSFGVDGSHLVGGNHVLHIDSKGHAVQAFLNNEFIGNAYGNGSNSNFTVEMAINLRAGKNELSLLSMTVGLQNAGPLYEWVGAGITSVKISGMGNGIVNLSSNNWAYKIGLEGEHYNLFKPDQGKNVRWKPQSEPPKNQPLTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCNYRGTFSPNKCRTGCGQPTQRWYHVPRSWFRTSGNTLVIFEEKGGDPTKITFSRRTVSSVCSFVSEHYPSIDLESWDKSTPNDSRDSAKVQLTCPKGKNISSVKFASFGNPSGTCRSYQQGSCHHPNSLSVVEKACLKLNGCTVSLSDKGFGEDLCPGITKTLAIEADCS >OB01G47820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29436511:29437954:1 gene:OB01G47820 transcript:OB01G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPPLERKLECTLEELCLGCKKEVKFTRDVVTKNGSIVKKEVSQMVLVKPGWKKGHRVTFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSRRKVSCSFQDEVICPGYEKVIKGEGMPIADQRGARGDLRVKFEIAFPKQLTDEQREGLADILKGCAWD >OB01G47830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29439257:29440299:-1 gene:OB01G47830 transcript:OB01G47830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMTDEQLFWRATMSPASAGGEYPFQRVPKVAFMFLAGRGVLPLAPLWERFFRGHEGLFSVYVHAPPGVVINVSDDSPFYGRQIPSQETKWGSITLMDAEKRLLANALLDFSNERFVLLSESCIPVQSFPTVYDYLTGSRHSFVEVYYHKDKTCRGRYSHRMEPDITLPQWRKGSQWFELSRGLAVSVLADTRYYPLFRQHCLPSCYPDEHYLPTLVTMLHGAANSNRTVTYVDWSRGGAHPVTYAAGDVTPDLIQSLRRSETPCMYNSRPTTACFLFARKFSPDALEPLLNMSSTVMQY >OB01G47840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29444258:29448807:1 gene:OB01G47840 transcript:OB01G47840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3L6C8] MAVVGANVCPIESLDYEIVENDAYKQDWRSRGRIQVFHYQILKWVLALLVGLIIGLIGFFSNIAVENIAGFKLLLMSNLMLQSRYNLAFLWHISCNAVLAAAAAALCAYIGPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIFGSIFGVSAGFVMGKEGPMVHTGACVASLLGQGGSSKYGLTWNWLRYFKNDLDRRDLVTCGAAAGVTGAFRSPVGGVLFALEEATSWWRNALLWRTFSTTAVAAIVLRSLIEYCRSGNCGLFGKGGLIMFDVSSQVTSSSSSPAMDLPSVVLLAILGGLLGALFNLLLDRLLRVYSRINEKGAPCKIVLTVAVSLVTSCCSFGLPWLTACTPCPPEMAAIGRCPTIGRSGNFKNFRCPPGHYNGMASLFLNTNDDAIRNLFSGGTGGEFGVSTLVAFFAAVYSLSLVTYGVAVPAGLFIPMILSGASFGRLLGRLLCALTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLISKMVADCFNRGVYDQLMRMKGLPYLEAHGEPCMRSLVAGDVVSGPLITFSSVERVGVVVDTLRRTGHNGFPVIEDDPFGPAPELCGLVLRSHLLVLLQAKAFTAHPVKTGAAEVFRKLAPFDFAKPGSGKGLAVDDLDITEEEMAMYVDLHPIANRSPYTVVENMSLAKAAALFRELGLRHMCVVPRTPGMQPVVGILTRHDFMPEYIRGLFLNIMDP >OB01G47850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29448141:29448827:-1 gene:OB01G47850 transcript:OB01G47850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPTHGSMMLRNSPRMYSGMKSCRVRIPTTGCICKNIESLRCKKCKNGGRVELVGSSLTPGVLGTTHMWRRPSSRKRAAALASDMFSTTVYGDRLAIGWRSTYMAISSSVMSRSSTARPLPEPGLAKSNGASLRNTSAAPVLTGWAVKALAWSSTRRWERSTRPHSSGAGPNGSSSITGNPLWPVRRSVSTTTPTRSTLEKVMSGPETTSPATRLRMHGSPCASR >OB01G47860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29452833:29453918:-1 gene:OB01G47860 transcript:OB01G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDVLTEILVRLPYKSLARFQCVSTSWRCLISDDYLRRRLPLITSGVLYQEDRGGGGGGGRGDDDGRRQAYTYACASAGGDGGVAEADMGFFPGHETSTIIDGCNGLLLYYASHPTAFHVVNPTTRRWARLPAPRRKTLLSVLAFDPCASPHYKVVCFTGWLPRGASVEVFGSEDGAWREHELDFGLNTDAMSATMHYFDGAIHVLAYSGHVVRIDLGTMACAVTALPAPVSCRARAGHCRGRLRYASSDGSRLRFWELKNAATSEWVLKHELGVKDLVAGEASGPCQGVTFLFMAFHPDKEVVYLWTPWKLVAFDMEKRRVEEEWALGPEKEKEGAHLIQIWLFPFSRHLANSLA >OB01G47870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29457664:29460483:-1 gene:OB01G47870 transcript:OB01G47870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLKRKAAAAAAQEQGAGVASTAAGRRRRLFSVSLVVACWVVLLLLNPLVGHGDGQRDGGSSTLSAETTLSEGSVSSDIQREDEENHVLLGDSCGKLDENHVLSEETLLQEDQLCSGDDAQSDDMDALTKDIHLDQGESLPRAEDDAVVHPGAEVEGEDAPKPARLSRVVPPGLDEFKTRAIAERGKGVSSGQTGNVIHRREPNGKLYNYASAAKGAKILEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDNWETLGKFTVANAKVAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKLEPDDKMKEPVDQQTPFKEPTEGKESSHEPLDEDEFELEEDKINGDSSRNGVHDQISETRTLQAGRVPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDTKDALLEKMKLELKTLESSKDDIAKEIEGILSWKLLASSQLNQLLLDNVIIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNICRLYDFEKFDRVKSGWLVLLLSSCIIASILVIQ >OB01G47880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29461832:29465952:1 gene:OB01G47880 transcript:OB01G47880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPARTVYIGNLDEKVTERVLYEVLVQAGRVVDLYIPCDRETSCPKGYAFAEYETEEIAQYAVRLFSGLVRLYGKPLKFAISGQDKPTSNGKETSNPVMPKLNPVPLPKKHQFVHHSDMPTLHKPAYPMVNGGIIDYCFSNSYPYSHPQAVSVGPVHSNGGFSNGTYDCNTHAFGSVVNARYGDSVLNFAGHGAPMQPMLYPSY >OB01G47890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29466304:29467086:1 gene:OB01G47890 transcript:OB01G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRSKSREEAMKVETPRVQQAAAGEGGGVFFCVAVTSRGRTDRMSYFQAEGDGDDAEEVARATAALCLDHAPEHHHWHHHTVVGRRTFAFLAGDDGRTYFAVADPTPGSAETXXXXXXXXXXXXXXXXXXXXXVRARAAGLRRRRRHDELPLAGRRRERRHRQRQGRRRRRGGPRRPRARAAGDGRRRAVANTAVVVALQQGRDRRGVGAVPRPVRRVDGSVQRLQLCPAMSGTAFATVITYTHSLLQFTRCVHCPSW >OB01G47900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29483221:29490167:1 gene:OB01G47900 transcript:OB01G47900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQATHPYRLIPSHFAVSAAPEQPLA >OB01G47910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29491430:29494114:-1 gene:OB01G47910 transcript:OB01G47910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion binding [Source:Projected from Arabidopsis thaliana (AT1G71310) TAIR;Acc:AT1G71310] MAPGALARLLVGRAAPTPLLARPFAAKARASRRPQEPDFPSEDEDDSAGGEVAAPTEGISKPLAEVLRELGKRVPESLVKTRVDDGFAIKYIPWHIVNKILNVHAPEWSGEVRSIVYSSDGKSVSVVYRVTLHGTDAEIYREATGTASVDDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >OB01G47920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29502060:29514571:1 gene:OB01G47920 transcript:OB01G47920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPQRKRRANSDVDADIDLDLVAAAANVRSPVSDRRLVVYDHPTALVAAGVPGEPMDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRATLDALEERLSKSEDERTACQDKLNYVEQELAATKGRESALQERLLKEVGDFHERYRDQIKKIGELEAQLQKEIDSRIAAESLSTSAKESVKELEGNLQRLSEKSEREKKALKKELSYLQDDTKLSVSKLNAEVERMRLKAQNSEDEAKLLNEQLDDLKKQLDESVREKNEMEHKLLNCSVLSDQRTPSDDKKLIKLLQEELRNHEKEVDEARRLKSTHTNAELLKEKLLEEQGRRERVEKELSKLQEIEAKAQKLELELATCTRLLSNIPDVSSFGDIPQKISDLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESSTREVKRLELLLAVVSEERDRLRKDHNMESDLSRMEKVVRELEDTIRDQRELINQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQTDVGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIPQQALTTPERQPQPEKKPPRTRAPLPGKAVVALCVASFLVGLLLSGRAPMLLLPTGSSPPVSNYKTPSGCEMKRAKLGESNPTDIMNDVSRTHHAIQSLDKAVSSLEIELAVERARSSAAGAGAAVSSMVPQKAFVVIGINTAFSSKKRRDSLRDTWVPRGEKLRRLEKEKGVVIRFVIGRSCAAGDGALDRAVDAEEAETNDFLRLDHVEGYHELSSKTRIYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMKHIHKACGEGQGAIWSVAT >OB01G47930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29520020:29520409:-1 gene:OB01G47930 transcript:OB01G47930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGSSRAYLARNPLTASRNVPAAPPSPAGGNPSKSLASTRVLALFSGRLFTTISPSPAVGSPQICLPPSPPSPSSSNQIGTRVRVCGGGGYEIKKEGGDGVDSFEGKPGRVRRVLQHRLSETNPGPT >OB01G47940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29520246:29523297:1 gene:OB01G47940 transcript:OB01G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSRPLKRARTRVEARDFEGFPPAGDGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGDEEGGGAAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNSQVCGRRHSCCLRCGTPTVAGESRCLLCNFDMEGEELEECGYLHLDDNTHLLHAVVHANGYGHLLRVNGREGGSKCLTGRDIMSFWDRLCKVLHTRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFGLSSDTYQEAVDMLSSIQLSLYFSHRQPIRTPLQNTIALYWALADRQLVTVRDLFRFIMHLLHQARKNEISKPTTDEHKEVASNVLCKWTKDDVDRAEAAMLKVLRAVQTGQWVSWRALRGASSKAVDSQELLDSSLRGLGGKLMDDGHIIAVRCNAETSAIEYRLEDYSSQSPVNTTVFGPSVDHLLHDLKFLYNALLNPEIMLSSQPEVIAASSHSAAAKILDCKQFIKHYDEHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSNQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMMACDVCGVWQHTRCSGISDLDDVPEKFICRKCASPRRGKGHGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGHMATVG >OB01G47950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29520522:29522050:-1 gene:OB01G47950 transcript:OB01G47950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKRSRTVTSWRSASAQYRAIVFCRGVRMGCRCEKYSDSCILESISTASWNTIIVTTAHCIRHGESSHFYTSTTRDRGSPAAEAAAMAPAAHLAVVVLDDEMVPLPADRDCDVNEMRRLYIKSNSPTILAKANAVTEENEKIQDKLLLETDKTPNAHFSPLFAKKSELFYVVKSWELHSKTAEKPSQIIYQRHYLEKIKVK >OB01G47960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29532311:29532733:-1 gene:OB01G47960 transcript:OB01G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYCNLVSSPRVASGAVEESKWEGVAVGAAALVRNLSSASQRFRAVERSRSTSGGGGGLQAVVRRAFSMRRQPSSFTDGYWRIHDDMDGDAAGEHQEHAAYEEAEQKRVGEQNHATSKKDRTTKKKGRIFKACRKLLGF >OB01G47970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29539226:29540018:-1 gene:OB01G47970 transcript:OB01G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKMAMRRNMEMRMAVAANPKEMASIDVPKSLSVVDASPPASAAAATGDGSPGQGLDLCPNPLMAACLRFLAARNQDVSSLHTIIKNHMREQVGELCTLVNRHTNFISASSLGKLKKQRERVEKQQEGGTEEKHSPNSSFRSAKHAHCMQKRGCTHLEEFRFKHRALLQLSTSLNLNAETSSRLALHQLMESWGLAAVCDLLTNAKNGSTHRKISAGLLSS >OB01G47980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29539750:29540699:1 gene:OB01G47980 transcript:OB01G47980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYMVCREETSWLRAAKKRRQAAMSGFGQRSRPWPGEPSPVAAAADAGGEASTTLRDFGTSMDAISFGFAATAILISMFLLMAIFEHLIKPHVFPPPAAPAPPPPRRRHGLSPGKLRSPPMVETVLQAADLSVLMPGQRYPTYLAQPSPLPLPPPCPREGVRWPPHDDDDHHHHSYMPP >OB01G47990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29549515:29557008:1 gene:OB01G47990 transcript:OB01G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQLQIYLCFILLFLKFRISTSLPIETDALLDIKSHLEDPQNYLKNWDDSHSPCQFYGVTCDQNSGGVIGISLSNASLSGTISSSFSLLRQLRTLELGANSISGTVPAALANCTNLQVLNLSTNSLTGQLPDLSTLIKLQVLDLSTNEFNGPFPLWVGKLSGLTELGLGENNFDEGDVPESIGSLTNLTWLFLGQCNLRGELPASIFDLVSLGTLDFSRNQIIGVFPKAISNLRNLWKIELYQNNLTGEIPSELSGLTLLSEFDVSQNQLSGILPKEIGNLKRLKIFHIYRNNFSGVLPKGLGDLQFLESFSTYENQFSGDFPANLGRFSPLNAIDISENYFSGEFPRFLCQNHKLQYLLALDNNFLGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPKAVIIDVANNKFVGSISSDIGLSATLNQLYVHNNIFSGELPMELGELSQLQKLVAFNNKFSGQIPAKIGSLKQLSFLHLEQNALQGSIPPDIGMCNSLVDLNLADNYLTGIIPDTLASLFTLNSLNLSHNMISGEIPEGLQSLKLSYVDFSSNNLSGPVPPQLLMVAGDDAFSENSGLCIAGVSEGWRQTATNLRYCPWNDNHQNFSRRRIFVVLIIVTSLVVLLSGLACLRYENYKLEQFQSKGDIESADDSDSKWVLESFHPPELDPEEICKLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDARALRAEITTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYNAIRREFKAGRPELDWEKRYRIAVGAAKGIMYLHHDCSPAIIHRDIKSTNILLDKEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKATEKSDVYSFGVVLLELITGRSPTDQQFDGELDLVSWVSSHLANENPAAVLDPKVSNHASEDMTKVLAVAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >OB01G48000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29554931:29559409:-1 gene:OB01G48000 transcript:OB01G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNAAPEDVESGEHERKGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCFHRNGAGGGAGACENTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFISLGLSVAEWVSHGGHLSGEIQGATAASSSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGATTIFYISVGCAGYAAFGSDAPGNILTASGFGPFWLVDIANMCLILHLIGAYQVYAQPIFATMERWISSRWPEAKFIHSAYTVSVPLIQRGSVTVAPYKLVLRTVIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGRRWYLLQGLSMACLMISVAVGIGSVTDIVDSLKAATPFKTVS >OB01G48010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29568687:29574435:-1 gene:OB01G48010 transcript:OB01G48010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERKEAVVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIVLVGCAYVTYYTAILLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGLAQYAILWGAMVGYTITTATSIMSVVRTNCHHYKGPGASCGASGTMYMVLFGLAEVVLSQCPSLEGVTLISVVAAGMPFTYSSVGLFLSAAKLASHHAAHGTLVGVKVGAGGVTASTKAWHFCQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTVFYVSLGCIGYAAFGNAAPGNILTGFLEPFWLPPPPPPPPLGRSVPGVRAAGVRVLREVAGEPVAGVGVHPPGVRGAAGRQARRPVHALQAGAAHGVRGLHDGGVAGAAVLQRRARAARRHRVLAAHGVLPGDHVHGAGQGAARQPQVGGAAGAQRRRARRVAARGGGLGGRHGAAPAPRHHIPDPALKSEPLRDGCMQAMVLHVVLLLAAVMLYRVLCAPVHLLLLYFGRVVLQTATSTSLPVLPIFHLNFNLMY >OB01G48020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29575209:29579008:-1 gene:OB01G48020 transcript:OB01G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQAKPNPAAGHARATTPTPTPTPTRQEQRSGPETEGRRGRGAAAEAMDTFFLSHGSPTLSIDDTIPARQFFKSWLPAGGGGAEPPRAILVVSGHWETATPTVNVIRGNNDTIHDFYGFPKPMYQLKYPAPGAPDVAMKTKQLLEQNGFGPVKEDHSRGLDHGAWVPLMLMYPEANVPVCQLSLQAGRDGAYHYELGKALAPLRGDGVLILGSGSATHNLRRMGPDGTPVPRWAAEFDGWMQEALLGGRHDDVKRCEEKAPHGRVAHPSPDHLYPLHVALGAAGEAAKAELIHHSWTNATLSYASYRFTTAADS >OB01G48030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29581421:29581981:-1 gene:OB01G48030 transcript:OB01G48030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYPEANVPVCQLSLQTLAATARTTTSSAGRWRRFATTASVLILGSGPERHAQPQLHGAAPVPQWASEFDETGCRRPSALLGGRHDDVKLYGEKAPHGRMARAPVAGPLLPAPRRARRRRGGGQGGADPTRRSPTRRSPTRRTASPRPPRRRQEQIPPIKTRRSSPHANVWIYHCLHDRHSTPEQ >OB01G48040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29584337:29585017:1 gene:OB01G48040 transcript:OB01G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3L6E8] MSATNTKATSLALLLVLITSGSVHQLLRCQLQLAVAAASPSPSPSSRRKIISKDVHDKTVSFTLYQQETMNRTGYVVVPGVDAAAPAAAGVRDAGEPSEDRWPFGSMYVFRDNLTVRADSSSRVAGVAEGSSISTSFDGEDGRRSLSLAKITVRHRGYRGSVSVLGGTRNITQPSVYPVVGGTGDFAYAVGYVRSSPVRSRGPSTQTYKMELRLYWPPHAYYAPVP >OB01G48050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29588574:29590609:1 gene:OB01G48050 transcript:OB01G48050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSISIFIWIKSTTDYYCSFLMKISKGGTKMRNKQRKSSSLNFDAGCRSSLSFIVWSLVGVALVVCFFSIVRQADTRQNHIYFRHLSATRELEEIEEEHFRMPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAIHALFFPDERTAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGPTYQAHPKATHRVDIIGVSCYSSKDLWTWTNEGVVLPGEPNNANHDLHKSKVLERPKVIYNDRSRQYVMWMHIDDANYTKASVGVAVSNSPTGPFSYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILLRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSDLRDSRYVWLPLFIGGLADEPLDYSFGFPSWSRVSIYWHRKWRLPESWKRYT >OB01G48060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29591102:29593180:-1 gene:OB01G48060 transcript:OB01G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G56570) TAIR;Acc:AT3G56570] MYERARGAESPWDAYLRLIPDREPVPLIWPADEAERLLAGTELDKIVKQDRQFICEDWKECIEPLILSGELEVGPDDFSLENYFSAKSLLSSRSFRIDSYHGSGMVPLADLFNHKTGGEHVHFTSAIETSDSDSEDGEDPSNTSSDEQSTIENSADIPSAGGDEDLEMIVVRGVNAGEEVFNTYGTMGNAALLHRYGFTEIDNPYDIVNIDLALVTKWCSTKYSRRYSKARVSLWHNLGYSSCTGQDADYFEISYDGEPQLELLILLYVISLKSDAYDKLASVAHDLIGDDEVDSISSVVKVVRVTSHKQHPEINGLEKLSDVKKLLLKENVCSALASLADMRESLYGSDTLEDDRQKLQACSSVNERNLYHSLVLRVSERTILHKLKKYSSSWSRTKKRKHL >OB01G48070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29599208:29600930:1 gene:OB01G48070 transcript:OB01G48070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHERAMKHVREIGEERKRSSLKRRLMMRLRKDGYDASLCRSSWVATSEHPGGDYEYIDVLVAGHDVDTSSRLIVDVDFRSQFQLARPAAWLWARRPPVFGGPPERLRQAVALLCVAAQRSLRESGLHVPPWRRPGYVQAKWLPCXXRCRRGVRRWPRGGAVVGGEGDETAWWWWPP >OB01G48080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29623822:29628605:1 gene:OB01G48080 transcript:OB01G48080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L6F2] MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVNVGWIWEKTIPDPRYVSSVGFQWEDIYKTVENINGGDQKLKVGLLNFNSTEIGSWTQLLPESDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRGTQFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKGMAITATRLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDDTISDHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGEAKNSTNENPVPATPTASLSSS >OB01G48090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29632281:29635582:-1 gene:OB01G48090 transcript:OB01G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3L6F3] MSPPAPAPLRLVPFLIFLLLIHLPSSLSSRHHHHPPSPSKPTPSSYAAPLAVLLACNATRFQPVCVSTLSNASADASNSDLLAATLAALKARIPPAVSTARSVLAGSSNVNLSNAATNCLTFLSLSAHRLSPPPSPSSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAYLDDTIAVNSNYISMLAALQRYGDDTSRWAPPQTERDGYWPPAAVGSADEDAFGVPKGLPPNVTVCGAGCHYKTVGEAVAAAADYGDGWFVVHVKEGVYKETVNVPWEKTNVVVVGDGMGRTVITGDLNADTSGVSTFNTATVGVLADGFMARDLTISNTAGPDAHQAVAFRSSGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVSGTVDFVFGNSATVLHDTALTVVPRQLHPEKGENDAVTAQGRTDPAQPTGIVLRGCLVNGSDDYMAMYRQNPGVHHVYLGRPWKEYSRTVYVGCTLSEIVQPRGWMAWNGDFALKTLYYGEYESAGPGGAGAGGSRIGWSSQVPKDHVGVYSVASFIQGDKWIPKVQ >OB01G48100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29635711:29640908:-1 gene:OB01G48100 transcript:OB01G48100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGPRPYTDPTVNDLVLRDPGSCARVTGLGAPWPDPANLLDEFESGTGDLAAGRLCDGRSGAGGGEMVTGSFSRSTSARLTARGGVGSPRVSAAAAAAHRKWWAPSGPSFECAALAFFLSAVALVLSCALYLYVFRYLGRSHVVAEFAGENLESCDVFDGSWVPDGRYPLYNSSECPFAERGFNCLANGRKDTGYLKWRGKPRGCDLPRFSARDVLERLRGKRIVFVGDSMSRTQWESFICMLMAGVEDPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRLGPKRVRAILKLDRMDNISRKWENADVLIFNSGHWWTPGKLFDMGCYFEAGGLLKLGTSINSAFKMALETWASWVKKKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPMAEAKGDDRSEFGDILAGVVVNTSVPATILNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDAWNELVISHLLTNGWRTLAG >OB01G48110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29647907:29648540:-1 gene:OB01G48110 transcript:OB01G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQVRARTSSPCTLARRAVLPCDTAVARSWKLVYTNPRYGFVRWVRTMPEPMRYWTVFSTMRMSTRPERYMYLPRMSPWRVSSAVQVRVQTAQGQALAPVSSRTPSSVLIMVWYVGSASSVIMSPTSTTKYSSGSPAARARSSRTWSSNISDDESGKKSVGCQHSSTGLSSGSSDVA >OB01G48120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29647989:29648967:1 gene:OB01G48120 transcript:OB01G48120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein [Source:Projected from Arabidopsis thaliana (AT1G43800) TAIR;Acc:AT1G43800] MFEDQVRELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEETRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKVHGDDVLARTCGTIAADEKRHETAYGRIVEQLLHLDPDGAMLAIADMMHKRITMPAHLMHDGRDLNLFDHFAAVAQRLGVYTARDYADIVEFLVKRWKLETLEGGLSGEGRRARDFVCGLAQRMRRAAERAEDRAKKDEQRKVKFSWIFDREVIV >OB01G48130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29649406:29651179:-1 gene:OB01G48130 transcript:OB01G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21470) TAIR;Acc:AT3G21470] MAANRARQLHGLYLTRGCRDPDKWADLAKDYASNAFLREAAIIYTKHLPQRTHHLPLLIVLLKATASRPDPGLGRALHAEAVKSANARDLLVGTTLVSAYCKCGLLADARRLFDGMPDRNPVTCNAMLSGYAAAGDMKGDVAEARRWFEATPPGMRTVVTWTVLVNGYVAAGDMETARELFDKMPARNAFVWSSMVTGYFKGGDADEAQAVFDRIPVRNLVNWNALIAGYAKIGCCEKALEAFQSMLDDRIKPDEFTMAGMLSACAQLGSLEQARKVHKFIIEHRIRKNQFVLNGLVDMFAKCGDLAFARKIFDNMQWRNTECWNSMISALASHGQIAEAIQLFSEMECSAQKPNEITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAARVEHYGCLVDLLGRAGRLREAYEIVKKMLAEPNEVIWGSLLGACRVHGDADMSELVSSEISRLHSRRVSTNDAEYILMSNIMASSKRWEQAERMRRKMALHGVGKTPGCSSVEFDIPEHKYA >OB01G48140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29651649:29655076:-1 gene:OB01G48140 transcript:OB01G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTVQVVCFLYHIIRGGLTASPALILAPKSILLQCEKEFGHWASDLNVIVYQGDKDSRKYIQVHEMYSSEGKPLFDALVTSYEFVQIDKAVLQKFKWSSIVIDEAHRMKKLDCNLAACLKRYCSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPKTDGLFSPIESERDLTMDEKIARIHDILKPRMLRRMKSDVLTDSMPIKKWVQVPCALTDSQRELYINILEKNYTKLNSAILNGFEVGQQASQDVFHSLVASSGKLQLLHKLLPRLKERGNRVLIFSQMIRMLDILEVFLCSLGYKYARIGQTPLSARQESIKEYNNNASETFIFLMSTRAGGLGFDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCTVEEKILQKSKQKLAIENMLMNSSQKPSADELQSILLYGAKTIVDRKKVSATSIHYDDEAIENLLKLDPSSGEKCSSDNNGYLGSIVSFAHGVEDEVPSSPKVDDLCVLKPATPKVDLGRGKRKRKVVNYADEVENEDSDDMYAPEGSSTSESSSSDDDETDRAMQLQIVPVPDS >OB01G48150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29666519:29667773:-1 gene:OB01G48150 transcript:OB01G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGGTVASHGRWCLEGRRDGFPETGGRYEVGEVVPDVWAVVPREPPATETTAEELIAKAQHLKHILDRFIACRPTGKARTNQVVTAALHRLVKESAAMYSELTGVMSVLIDRFAMLETPACVRVHSIFTSVATLLDELDDFYSWCRFAAICPSSEIPDVEHVAQKKLDLMDNRSQRRKGKGLHVAQKKLDLMDKFIRDRQAAPAPSSPHAPIASNGGIDATKPLPAPEVKGAEPAGALVVVDDHMADFLHLDEETTPLSAEEQERNPARSLFGGDPLTPAKWEAFDDDPSDDWETARVQSASRFATQQLSAMQPPHCATTVLALPPPPGATASQATDPFAASLAVPPPTYVQMMDMQARQRLLANEQMMWQQFERQQLAAWNYSTPF >OB01G48160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29679886:29683569:1 gene:OB01G48160 transcript:OB01G48160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT1G21460) TAIR;Acc:AT1G21460] MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERKTRLKMMGLLGIVTSIFTMVVLVSLLALHGQGRKLFCGLAATIFSICMYASPLSIMRLVVKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIAIPNGCGSFLGLMQLILYAIYRNHKGAPAAGKAGAAAGADDEVEDAKKAAAAVEMADAKTNKVADTVADAAADDDGKPAEEDKVASQV >OB01G48170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29686208:29693018:1 gene:OB01G48170 transcript:OB01G48170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWGPSSSRRRRHRFLTHAHRDHLVGAAAPGEGPRAVYATRLTMALALRHFPQLEREEFVEMEVGKTVVVDDPAGGFSVTAYDANHCPGAVMFLFEGQFGSILHTGDCRFTSDCVQNLPLKYIAKKGKENVCRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPDAPFVYLACDLLGHEDILVEVSRTFGSKIYVDKRRSLDCFRALSLVAPEIITDDPSCRFQVVGFQHLYDKACTEIEKARTSLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVMDECGVWHVCFSIHSSRDELEQALQLLHPQWVISTTPPCFAMELSYVKKNCFKTRLTADDPLWKIFGYPLQKSASSSLRDSDKHTNEDQSIFVEDDHDHSTSHCGEECTNFDVSTLELKFVPSLPVQEPDITLFGRARFGLEEIDIMKEELCNQWVTVEEARAHSPSYLISDSSGDGEKCPDSGIDFAMEQVSKSQQDYSESEDEDPSCQPVASPRHLERRSIQYLPIGECSLSPVVEEPEKSDAVVESESINHAESSNICMVRSGETTDCQRDILCVVGSSKCLNASLKRLYRSKNVPVPRPLPSLVGLLKSAKRVKVQRSTD >OB01G48180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29687716:29692024:-1 gene:OB01G48180 transcript:OB01G48180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) TAIR;Acc:AT1G21450] MSFVRRADPSTTYADNLYIHKFGPPNSNFAARRYASDTQLFRYGPEPYNSDNSFYNQQASPIPYMVTADGHSPASADIQSNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDEIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNAVLPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSEYNVDEAQAIITDLRQMVSIQGDPSQRIAAYMVEGLAARIVASGTGIYKALTCKEAPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRLHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGVSFEFRAVGANIGDVTPAMLDCRSGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQIRFRETYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSSVIGGIRSLLKSYCDRYKFEEDNGGLHFGWGEKTLIVSSAWR >OB01G48190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29700045:29701984:-1 gene:OB01G48190 transcript:OB01G48190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLMSSEFDDSFNALMVSGGGESGQSQNGGTGTSLLGWKDLPMELLLRILSMAGDDRMVIVASGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFVKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALEAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVKSKGGRSCRDAARKSDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRPGRAILSNHAY >OB01G48200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29709746:29709919:1 gene:OB01G48200 transcript:OB01G48200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFNQLSGERVPTKTLSLRQPSPMHTKVKRIIHKIKKNKSRQEKSLKIMKQPNIEN >OB01G48210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29710722:29712481:-1 gene:OB01G48210 transcript:OB01G48210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTPSMSAMSLSMIDGNESLSNGKCIEGNISHYDLSERPVLCTGCGLKSVLYIKESMKFPFSEIQAATSDFSNENLLGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDASLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNSSIHTRILGQTGFLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRRVLDERGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGDVVRLIESENEHIRDLSRQFIPHFTK >OB01G48220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29718433:29723120:1 gene:OB01G48220 transcript:OB01G48220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3L6G6] MATEASTSAAAGARGGSWVEGMSADNIKGLVLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIVSAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVAEVWDLATEPVFLFYAAIVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYGAEGIPLRSAAEGLPLRSPRAAE >OB01G48230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29723709:29724386:1 gene:OB01G48230 transcript:OB01G48230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEECKVLIEQPSNAAGDPRQEDDREEEEDDDDDSSSLILVANLILSGTARLNVLLPTATILTFAIFAPLLTDDGKCTHLNRVLTGAFMLLCAASCVFFTLTDSFRSPTTGRLRYGIATPAGIRTFCVVARRRKAAPRDPERYRLRRSDLFHAALALVAFVTFAASHHDIVLCYYPGVPRKVVNTVPLVVGFVVSLLFVLFPSKRRGIGYPFLLRTDLVYLRR >OB01G48240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29724869:29725481:-1 gene:OB01G48240 transcript:OB01G48240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases, acting on NADH or NADPH, quinone or similar compound as acceptor [Source:Projected from Arabidopsis thaliana (AT5G58260) TAIR;Acc:AT5G58260] MWSAAARTVSPLPAASPTQQRRGVGSLPSSSARVGTGRPSTAAARAGLRDFVGGDLVRPDLGRWLEDVEKHKSLAIYPPHEGGYEGRYLSRLSYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVCVMEAKVSSSPSIHLTILSY >OB01G48250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29728899:29730704:-1 gene:OB01G48250 transcript:OB01G48250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGRYYYPASAAAMDGVELGRAAAGSKLVDDDGRPKRNGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMVLFSLVTYYTSALLADCYRSGDQTSGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMMAIGRANCFHKKGHANPCNVSSVPYMAIFGVAEVLFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGFKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSEATVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAQRWPESPYITREVEVRLSPSRRYKLSLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTQWVCLQMLSVGCLVISIAAAAGSIAGIMSDLKVYRPF >OB01G48260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29734718:29735038:1 gene:OB01G48260 transcript:OB01G48260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAFLKRIYSRNPSHLTASPCPPPRRRXXXXXXXXXXXXXXXXXXPPTRRPRHAGHPTRRHPSTIPRRRRLVDCSGGSRPPRSAVAAPALLAVVGTTALSLLALK >OB01G48270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29742343:29743413:1 gene:OB01G48270 transcript:OB01G48270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRPNVGSVLFSMGELAKATCGFAERNLIGRGGFGVVYRGALDDGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCIADDDADEGKQMFLVYDYMPNGSLDHYIFQDGGEGGRRPALSWTQRRGVILDVARGLEYLHHGVKPGIYHRDIKATNILLDADMRARVADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVMSGRRALDLSDPSGVVLITDWAWTLVKAGRAPEVIAEALREREGPAGVHAMERFVLVGILCAHVTVACRPTMPEALRMLEGDMDMPDLPERPQPYGQRMAFDEGEGNFSVSSVLGGPFMDFGDMLR >OB01G48280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29743894:29746222:-1 gene:OB01G48280 transcript:OB01G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGIVNVNDKLMDHWERHVRNDKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >OB01G48290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29747072:29755937:1 gene:OB01G48290 transcript:OB01G48290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGMCDGGVDPRFDEADSPSRPLLLAISLDKGTATLKSSTIVVNLYRGCLDPKGKGQWRQVFSVELKSGETTIVSWKKLLREAGHAATSPPPAAPALAASEPAFPALPGQPGAVHPPENDSKDPAQPNRFSAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIEAGTSANAAPKKRRRKDSSSGHIENNQVAPVDYSSIGNMPGKSAARSGPHVGKKLTNSNLGYGEYYHEDNRVVKNITGAPGVHKRKSMDFPMGSDTVASTKISSKDMPHASSELKDLEKHKVAAVQPTDFTHRSKTVEAYDYAYSAYRDRETSMQLDFQQKRAYTGENRDPTNKIHRKEKHGMGEFSGMATTGVVYSAQVMPITSREGSGTKPKGTRLERAIRDLEKIAAEYRPPAIDMNELDLNGQVTVKRRLPPEVKQKLAKVARLSANQGKIQEHALMDRLMGIVGHIVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIKSRVAAKAKVNEHQGGTSDDFQIANDDKRSLKAKSVMDSTLEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAIYRSKERRKALYNQQKVRSEEKLKRRRLAAAAKLRDGYPVVMQSALVQQVTQPPMTKPVTSYPVTDHGQNQGSKGFDRVREISANPDDANRNAGEMMKKKKRKPESDLVDTQANAVKAPPQPPVEKHKAPKRADEAGGPVLCLPFYDQQPS >OB01G48300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29770612:29771375:1 gene:OB01G48300 transcript:OB01G48300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQLVVFDSQRLYKDASVEAEAMYDCTRALIELSKSTPGRFCKVCNEVEMPNKRFLICGHSLCPYKFYHIWCLKPKQIVSDEQLGNENWYCPSCLSRVCKLDRDDEHIILCDGCDEGYHLYCLTPPLTTVPEGQWHCSSCAVQGIEAKMRLYELKILRLHRKDATMFETCGFAEHEAANVLMLMKNSNTDVEMVVSPMS >OB01G48310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29795932:29798649:1 gene:OB01G48310 transcript:OB01G48310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGIDVGAGGGAVCDLRKEPKIPEPFVWPNGDARPASAGELDMPVVDVGVLRNGDAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAALDGASEFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDRGDTPVVADYFSSTLGPDFEPMGRVYQKYCEEMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGDWRPVSPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPPSAATPRHYPDFTWADLMRFPQRHYRADTRTLDAFTRWLAPPPPDAAAAVTPQLEAAS >OB01G48320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29799734:29804484:-1 gene:OB01G48320 transcript:OB01G48320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSSSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEISSNKKAAVKEQPAKANYGASEEVASGNTAEGSEKFGDTDNNDNAVSEESNNTVPSEEERFTDNTVEKSVEKTPPKEKEESKDTFDDANGKTEQSSAKEGGDAESGSGQSESEERKDDESTTVTDSEQSDGERKDDQEEKVDGNDSQDTTDQPQIEEKVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFSTQDAESKNEKEAQASSESSGDETTYNWKLCNTNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCVVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPNIAWGQRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLSEDVEIWNAMSALTKSMCWKMVNKTKDKLNQVGMAIYQKPMDNSCYEKRPENSPPLCKESDDADAAWNVPLQACMHKLPTGQSVRGSKWPETWPQRLEKTPYWVDDSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPIDSPDTLPIVYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAETINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >OB01G48330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29805209:29805448:-1 gene:OB01G48330 transcript:OB01G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHTPLAVHSPLLRSVLDCFPPLLPTFPHCSRGASCAVRHRLYNAARPPSASPQRRLVSTSVSSSSHSDAREIDPPPGH >OB01G48340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29811749:29813603:-1 gene:OB01G48340 transcript:OB01G48340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPSAAAAMASGAIERKPMVGVSSAVLSPEFACEVQSQPKISEWERTFATVGPVNPAASILDPAGSGFGGIGGGGAPPEPTQDRRVGAHVRWRRRPGRLDAGPARPRRRRPAPPGHPHVLGQAILDGGDDDDDPAIHQQPHWQRWCH >OB01G48350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29821605:29826216:1 gene:OB01G48350 transcript:OB01G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3L6H9] MDSVSLSLLDSISNFRLLSSSNASKTELVKKYCQTIDGILDHMEMALNRTFPQITPDDELSKVLEEFGATINEASELVGDWNQMMSKIYFVIQVESIITKMQICVSELCQIVNSLMPIESTYMENLECDSCEKISDVIMEASRALVGQVMPKSDELGKIQTSLSLSTNQELLMEFVALVKVKTKSNHEGSKELDDINDIIELVNHMLDILVQEKQAHSIDGVPIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQTLGHTKLIPNFTVKQLIENWCEIHGITLPDPIKLLSLSFPVSLNITDVSTSANKSGSPEHSQLISASHPKAECELDDGHHDNLIDENSDSDDRVSSFEDTDDSEPDSLKLSTQTTAAKKSLLDEKTGSSEGLKQLTEDGFQVSNEKHHLERNGRSHSSNHHPLDCQNVMAHTSGDSNASEVTQDDPVTTYSKVEPDVLPRLGGVRPRSQPIWWRQSEKTVSRIGLPSSTDSKSEISGTDAKVRNLIEELKSDSADVQRSATEELHVLSKHSLDNRIAISNCGAIPFLVSLLHSADPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALYNLSIFHEHKARIVHAGAVEHLVELMDPAAGMVDKAVAVLANLATVHEGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSSRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVKVGRG >OB01G48360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29826069:29836180:-1 gene:OB01G48360 transcript:OB01G48360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCKQKADDIAEELCFVCKDRGNLRVCDSKNCLKAYHPRCVGKEDNFLNSDDQFICELHKCASCKGNSDYHCLCCPISSVCCECLGKAEFVQLRKQSQKGLCTICLKLVLFVEKNSEANSDGEMVEFKHMEDYLVLFKDYWEAIKVNEGLALIDLQEANVGLRRSLNCKQGRDSEKPPEEGCRADENPLSVNDILVGVKFQPSEAKVSLKRKRSNKKTYVGWGSKELMEFLSCIGKDTTKPLDQFVLTGVVKEYIQQRNLFNDRKRKSVTCDNQLHSLFRKRKVKSNMIHSLLELHLAENAASDDEFLDSENDEGPSMKKKPPNCLKAETSERDSKRNRNCFAALNQNNLKLIYLRRTLVMDLLGQDMFEQKVVGSLVRVKNDFKNYSYRMSKKPYQLGVVTGIRKSSQKYKIKDKDTDILLCVSNMWDEIKISMLSEEDIEEDECNDLLMLAKKEVFKRPTIADLEEKAASIHVDIVNHWIDREIMRLEKEIERAHEKGWRQEMHDLINKKQLLSTQAERQRRLAEVPEAIQEIEERKEDEFVFAASKHLEETKGSAVQVADYSKIERAKSQEGAKEQLTGSLELATEKPPEDASMQVADSLEIVKEEASEDASGQVSDFLEVVKEETPEDASGQVADPMEVVTEETPEGLGASELVDTTCEVALEAPGKALCNGGTPGPGLRNQIPSAQDGVTAQRVDVRNGHGGDTPRHLNGGKSVVVIDLDSDDEDEDLHLVQREPRAARSTPVAAAIDVIMAPTLGAPGAMNGASAPTLLPHATTRPRNGTTAPIGRAPALAALHALQCMNPPGEHEPMWNYIDPQGVARGPFTMKHLRQWHRDRFFPPDFRVWRIGQTQLDSILLTEAMGLRFSS >OB01G48370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29840483:29842795:-1 gene:OB01G48370 transcript:OB01G48370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAADLNDWELLLASPTAAVAEPSDGGGEGGDDDAGAIKYDYFDLGSDVKYPERVSFSKEDGEVGEGEGEEEEEEGAASGNASSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRCARRGARGRCPSPSRCSASPFWGGACTACGGRARPWRASGSSSTKRRHPSSRPRHHA >OB01G48380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29841457:29841729:1 gene:OB01G48380 transcript:OB01G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFFIILMYCPATRMTTQITNRKSSLCKSVWMMTTRLLARSAERMSTRLLTTRSYIFLICFKLYSHNVHRVICLKKNLITYALKELPVA >OB01G48390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29845081:29846624:1 gene:OB01G48390 transcript:OB01G48390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGKGLVAYNAAISRCARAGLHRRALELFAEMRARGLRADEYTLPPVLNSAALLRVPPAGALHALLLRDGLALHLHVSNALVDAYAKLSRVGAALATGSLRDARTVFDAMLTRCAITWTALIVGYAQNGRGRESLAVYADMVRSGCRPDYVTFVGLLFACSHAGLVDAGKAHFQSMQSEHGVAPGPDHYACMVDLLGRAGRLDEAVDLLDGSPAAELDATVWKALLAACRTHRNAALAERAAAMVWRLDPADAVPYVMLSNLYSRARRWGDVARVRALMRSRGISKEPGCSWVLVAGVTHVFHADDGDHRRAAEIHRKVEEMTARIRARGHVPDTEWALQDEAPEGREEGLAHHSERLAVAFGLLAVPAGAPIRVYKNLRVCGDCHAAIKTVAEVYGREIILRDSNCFHHMKDGACSCGDYW >OB01G48400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29846600:29849635:-1 gene:OB01G48400 transcript:OB01G48400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G22850) TAIR;Acc:AT1G22850] MKGRRLKRGREKKTERVGEEEEEEEDAEGEEGDGGAAITGTLLAGALLVGFVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSITGTIIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAATYVTRLAKDAVKEMDE >OB01G48410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29853199:29853690:1 gene:OB01G48410 transcript:OB01G48410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPTPTPTPSPSSLRRRPRPLRLCPSPAPLRLRLPALALSDAALPAPPRGPTSPEPLRLRPSLRRLSRRRPCPPPLRPPPLDGWGVDGGGAAGVDCGAVEAAGARRLRRRRGRHGARRARWRGPRRGEAALVPRSEYICPFARLVCDKTTANGIKSVKISF >OB01G48420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29853866:29856076:1 gene:OB01G48420 transcript:OB01G48420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSEAPAGDAAAGEKIFRTKCAYCHSVDKGAGHKHGPNLNGLFGRQAGTAPGFSYPSGDKIVAVVWEENNLYDYLLNPKKVRYAISHVILCRCCSLPVEEFIIKSGDQSNSQALLSVELIVVVNFVAVANDILQRAFELPRCGPKSNIIDPLELLSYTPAKMGFNGLKQPQDRADLIAYLKKATV >OB01G48430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29859630:29860823:-1 gene:OB01G48430 transcript:OB01G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATITLFAIFALLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILVTIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFIGPKFVKEENDTHGRRKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPHPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGHREIAEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGLSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >OB01G48440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29865265:29869301:-1 gene:OB01G48440 transcript:OB01G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVNQTFFAWSQGEPNERDGSQGVSVSQKIDHGSISFGRFELESLSWEKWSVFTDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAAQQQNQQTELILEYSGDGSDSSQTGEDMQAAELETPTGSGTIVDDYVEQAEHETTSDQGLTCYDDHEEENFNAESSSSNVSSSAVGLQQSNQDAREDVHTDDSTDKINSAKQNAISGHDLGTTFEDVRVPKRIIEKDSRLRYAPKIIPKSVKTSADGPLNRKSVSKRPDSLKPGMSMNQKAKPDNDRLLRRPNVAPQKMSDSAERNRITAKRTLGVTGVRRPSSASSQRTSARERHHIAGESVKKPADVSTPRRPSTAERHPATTERGRKQADVATPRRPSTSERRAVNRESAEKHAATRASVLKMDVRTPSKTRPTAAQPKGATTTVGIVKKAGTPNASKRINMGTKSDIREPEGPPKVGRHSVRSKSTDLQVASKQKSSSVNLPPRKLLNSSIGEPALETFTRPKKKDVALQSRASTSKRALPLHTGNVKARSSNPPPPPPPPRRPSRMTMNRPNGNGSNSSIVGQKPKYDTYSS >OB01G48450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29876621:29877051:-1 gene:OB01G48450 transcript:OB01G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPVKDVVIISGNCKRCHSAVCNGEDMKLLHLLLYSCSVMNTIPFLINHQCYKITSLLSIP >OB01G48460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29878950:29879986:-1 gene:OB01G48460 transcript:OB01G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDYTSRLSTLELTFGYIVETLEAAISVHVIDGLWRDGFHGVFTAHTPSLIDNRVLLLDSRYDIVPVNADRMTKLSRNVVSVESVGNLTVFVLLLDVVTR >OB01G48470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29880581:29883701:1 gene:OB01G48470 transcript:OB01G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10030) TAIR;Acc:AT4G10030] MENVCHGQLISTNINSRSFLGSPCRCAQMAVANTSIAYQPDVDRHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSTSIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSMDGARGLGGNKSPTKQSIRVTIVFKLLMEF >OB01G48480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29885343:29887732:1 gene:OB01G48480 transcript:OB01G48480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L6J2] MASNPPLVLVIVFLISALLLAPAHGGSNLGRGESLSVERASDILLSSNGVFAFGFYNLSSTVFTLSVWFAASADRTVAWTANRDRPVHGMGSKVTLRKDGSLVLTDYDGTAVWQTNSSSSSAAAEMTDAGNLVVRGEDGGVLWQSFDLSTPEVVPPTSYYALRFDDRYLLSLAYDGPDISNIYWPDPDASSWINGRISYNASRRGVLDDAGRFLASDNTTFVASDLGAAGVRRRLTLDHDGNLRLYSLRDADGSWSVSWMAFPQPCGIHGLCGWNGLCVYTPRPACSCPPSYVPADSGDRGRGCRPTFNFTCGGEGRPAMDFATLPQTDFWGSDLNLVSSISVDACKTTCLELCNCVAFEYKDDVGDCYLKSALFNGKTYPGYPGTVYLKLPARLVATDAPSYNSAIPDAAPVLACDAASSPADVTTRVLSFPAASGDDSGGASWRYYYGFLSAFFAVELCFIAFGWWFTARSRPAPSEQWAAEEGYRVVTDHFRRFTYGELRKATKGFKDVIGHGRYGSVYRGVLAAGDDRAVAVKKLKPTSPQQQREDEFETEVSVIGRINHMNLVRIRGVCSERHRRLLVYEYVENGSLATWLFGARETLSWQRRYNIAIRVAKGLAYLHHECLDWIIHCDIKPENILLDEGFEPKISDFGLAKSHQRSDHDDPYSFRIRGTRGYMAPEWVSSLPITEKVDVYSYGIVLLELVRGARMADLVVNSVDDAETSVRQLVWKIKERLKNGDRPWVISFVDPKLSGSFVYSQVALMLEVATLCLEKERSQRPSMNDVLQKFFVSDK >OB01G48490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29894304:29894810:1 gene:OB01G48490 transcript:OB01G48490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGCGGGGGEEERCRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGSDSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPRRRTRVTSSPSLEPARRPRGTACTLLARLPAGAPEPAAASSSLSVLRKLAWMTWRFLM >OB01G48500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29897745:29899612:-1 gene:OB01G48500 transcript:OB01G48500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPSNLSYWRDVRASFVIPKVQTIDANATALDGTVHCLPRKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLRSGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLFFGGPVDMSVFLMRTTDGRPIKGFEEVSPGVCFGFRTDLEKASGFLKNGVLKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDALARDPSCLWTEVLKLMGGQYAELSQKPKEDGS >OB01G48510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29907870:29911685:1 gene:OB01G48510 transcript:OB01G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIIFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLMGESIGNMTAKELKSLENRLEKGISRIRSKKHELLFAEIEYMQKREADLHNENMFLRAKVAEAEQAHEQQQEHAADQDHDEMVAPAAGGGSSSGTELEALPATLDTREYYPPAPPVSMLAAAAAQYSSDHQTALHLGYFKVDSGKGLL >OB01G48520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29916316:29916639:-1 gene:OB01G48520 transcript:OB01G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNITSRSSELRRNLHRRHRISNPPLSFRGRIPQRTEGVRGRMDRRRRVLQGRGRGNPIIHG >OB01G48530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29915818:29920090:1 gene:OB01G48530 transcript:OB01G48530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSPLQYSPSPVHSSPHPLSSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNYVDPERIEHGSPLRLPGLPVNGQPMDLEGWSGMQTENMGVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDARLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >OB01G48540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29925059:29930187:-1 gene:OB01G48540 transcript:OB01G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT5G49840) TAIR;Acc:AT5G49840] MISERPPDDFPMPPLPTPPLPAMPHVPSRQRRPGRRRVREEGGGGGGGGGGGGGGSSGDSSGGEGTSAAPKREWWGGASLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSANSGWLDTANDDQNTIEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNNVKLHFTDGALRMVAQKSMARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVLDEEAIGSIDRPGCGAKILRGDGALEEYITTTNTKNSPETNEGLAGELEDAYMMTRFVSL >OB01G48550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29941297:29946233:1 gene:OB01G48550 transcript:OB01G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHVRKQMNSKRSDHYGNPCNMDFMAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCATRPQ >OB01G48560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29952863:29956472:1 gene:OB01G48560 transcript:OB01G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MGASMPKQYLPLLGLPIALHSLKTFCQMKEVKEVVVVCDPGYKDVFEDSIENVQIPIKFALPGKERQDSVFNGLQEIDGGSELVCVHDSARPLVSSEDVKKVLEDAAVHGAAVLGVPVKATIKEADNDSFVVKTLDRKTLWEMQTPQVMKPNLLKDGFELVKRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDLLLAERLMNEK >OB01G48570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29955053:29958310:-1 gene:OB01G48570 transcript:OB01G48570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G33330) TAIR;Acc:AT1G33330] MARALLRVARCYCYSPFPRAPPLLLHRGASSPRLRFLSLSSPSSAAPTASSDGPGDKGRCDDYLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHKNRASALSRLRTLIALKVRKPIILEEYTPPVELLQILPLKSTIRGKDVGPQIGPNNQKFAPGMQALLDLLFAAEGSVSEAAKILGLSTGAVSRLILSDDSLRTAANELRAAKGLKPLK >OB01G48580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29959649:29964424:-1 gene:OB01G48580 transcript:OB01G48580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFNMINNLPTIFEVVTGVAKKQTKEKTPNSSSKNNKPSSKVQSKGEARSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >OB01G48590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29965715:29971498:1 gene:OB01G48590 transcript:OB01G48590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQGGRHGRQVDELMSRNPDVSSGWCSAVLKYLKYEDTHEWVKVEGVGWQEHRMLLLLATVWAGVLFGSCEMGGGGPPLRLSRDGKTEGNFTRASVESMKQIYIQVQDKGWYRQYRGVGQHQDDNVLSKNPMIRSKYDLCKRKQPILKIGLHGPPVLGGDITIFPNEVDVVTVCKPASIPVIDYYTKKGIVTNLHAEKPPKKVIVELQKTMSCSAYSPA >OB01G48600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29986512:29988953:1 gene:OB01G48600 transcript:OB01G48600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRGRAAGGEAEAAAAVMSRYENSGHVVAAGGGGNKAAAGEEDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHICTARSAQSRGRGATTQDNKQGSSSTSTPTPPPTPSKLHLLNGECTSPPAIVTDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGGGSLQQQSSMASAAQTAAVDQQLQQDVAAALYHQYSKNTNSTFASTYSLLNLVSAASMGSSAAAIDELSSLVGHDQPSYLNPAGSYSQFIPLPTTPSSQPTALGTMSLAAISDKIWDWNNPTPEAGSRDYSAAGFK >OB01G48610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29995917:29996078:-1 gene:OB01G48610 transcript:OB01G48610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLLIGQACELCSCILSSTVPVGVDDPCRLFRFSSFLLFKFQIRKKNALNS >OB01G48620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:29996241:30001859:-1 gene:OB01G48620 transcript:OB01G48620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine biosynthesis 4 [Source:Projected from Arabidopsis thaliana (AT1G74260) TAIR;Acc:AT1G74260] MSGEPGQLCGITVWWIRHSPSLDNFKGEMPVSNLLRLQGFPSNVGNRNGFIAARSSGLRRSRTTQHCFHQHHLCWPGVRRASLPNVRLLPAPGALVSRSVDRSLVHKSDTASEAGVIQLYRIPYLQESETFELLRQAQAKVSASIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEVSRSPHSVIVEVGPRMTFSTAFSTNAVSICKSLSLTEVTRLERSRRYLLCLDPGYGQLDESQLNDFAALVHDRMTECVYSNKLTSFHSDVAPEPVRVVPVIERGSEALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKASPDNNSVIGFKDNSSAIKGYPANHLRPTLPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGYTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGETNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDAILVKPESRSLLESICARERVSMAVIGTINGCGKIVLVDSAAVEHAKLNGLPPATPVEDLELEKVLGDMPQKTFEFKRVSIVSDPLDIAPGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVIAQTYTDLTGGACAIGEQPLKGLLDPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSKDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISTNVIGQVTASPDIDLVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKLRTSPSWSLSFTPKFTDGNLLAASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKTSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTLGIWSAHGEGRTFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKSGPSPWLRMFQNAREWCS >OB01G48630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30005881:30009906:1 gene:OB01G48630 transcript:OB01G48630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3L6K7] MAVEAAAAALEFTPTWIVAAVCSLIVFISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVFQGILQKTCVPPKWTSYLLPCRKMEDKAEQLGPSQAHFVAAGVLGRFGRRLLADGGAGAGHCQNKGEVPLLSLEALHQLHIFIFILAITHVIFSVLTMLLGGAKIHQWKHWENVIQKDVAENAPTGFTHVHQFEFIKERFKGFGKDSAILSWMHSFVKQFYGSVTKSDYTTMRLGFIKTHCPGNPKFDFHKYMVRALEADFRKVVGISWYLWIFVMVFLLLNIHGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLAVNPSDEHFWFGRPKVVLFLIHFILFQNAFEIAFFFWILTSYGINSCIMDHIPFILPRLIIGVIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQGLVGWAQKAKKRKGLKEGSSGSTAGATNGSSHSQPSSLLAMVRRAAATEEEGSSNGGDMRTDQ >OB01G48640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30010427:30013830:-1 gene:OB01G48640 transcript:OB01G48640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;ATP binding;catalytics [Source:Projected from Arabidopsis thaliana (AT3G51270) TAIR;Acc:AT3G51270] MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDAAKYDGYRLTYLGYDFLAIKTLVNRGVFTSVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKTKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMFFDRDVGCIYKFFKKRFHLSSDKCEEQDGSDIDDDENGRPSFLSVQKTAGSLDKELAASGFTRKEQAEMDNYIDQNDEEESSDDDSTSDQNNEDGDDVAVKISSLKIVEQDSAEVPDCTLAARDSDEPETLAGENETSTSRSGENLMNPSPSSHGDANEPIESGGNDDNDDDSSDDIDGEDDALAKQLNKQRKRAIAAVHGRRRPLTSRNAYKYKGKGTMNSKIERQACKW >OB01G48650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30015917:30016078:1 gene:OB01G48650 transcript:OB01G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFRVSEPESWFLIAPEAAVARQIHRPVMDQRRSAGHRPRRRQTAADEEEEE >OB01G48660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30025933:30026112:1 gene:OB01G48660 transcript:OB01G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAPAAAVAAAGAPGVPARRPGGHGVRPARRAEQRRRLVVLVEKEIIFLLLLSLPVSLG >OB01G48670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30032507:30032710:1 gene:OB01G48670 transcript:OB01G48670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQPRRGAGRCCRNGKREEGLESSRQHKRNKKKHEKIRDDDHVCSSQILAGFALPHRGSRDYFKKNYE >OB01G48680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30037207:30037536:-1 gene:OB01G48680 transcript:OB01G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRSRTYSRCSSDVSGMRFGRGEQRAEEEEGGDPWAQKPSWAAAAGGGAGEREMTAHRRHRSLEELAGEVGASPQWRQGGLSRGRSARIFCCINGM >OB01G48690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30039295:30039992:-1 gene:OB01G48690 transcript:OB01G48690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTQIKLIVNGLNDTVSCCYSSTVEWRSLLRTSHLLGTKGIYYTMGTYDNMHPDEVINILEQDTVQKLLKLATGIGNRTVILNTTRTHIHVRADARTEATSMQ >OB01G48700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30042922:30048096:1 gene:OB01G48700 transcript:OB01G48700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:J3L6L4] MPPKSKAAAAAAAASAAQPVAVEDLFAALHRHIEAGEFPQAVKVADQVLAVAPGDEDAVRCKVVAHIKSDATEKALAAIRAAERLPIDLSYYKAYCFYRQNKLQEALELLRGQEETSAVLQLESQIYYRLGRMNDCMNSYEKLQKFKVESMDLKINIIAALVAAGRASEVQATMKAQKVDLTTRALRDARSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMGEDYGDDEIEYELAPVSAQLAYVQQLQGQTQEAMETYTKMTSQNLADPSSLAVATTNLISLKGTKDAADSLRKLGRLIEKSTAPNKLQLIESLEFKLSPRQKEALYSAHVLLLLHANRIDQAHELVSGLLGMFQDSTFPVLLQAAVHVREKKIQKAEEILSRYAEKHPENSKGVLLALAQIAASANHLQIAADSLSKITDIQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDSKLDVFMREAATFKLNHGHDEAACQLYEELVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGIDVESLEKTSGARPFEVPKPMNEDVTDEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVTRDKHDTSATSSANASSSSKANQATKAATAASDQPKGSNKSRKKKSRS >OB01G48710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30048674:30049075:-1 gene:OB01G48710 transcript:OB01G48710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCKQCPAAFPTGVALGGHMRKHLNRPLVVNRKKQKPPQDGSDLRLSLAPPGQGAAPAPAPEPVPAIAAAASPPAQAQPEQPKEEVAEHGAEPASETMPTPAPAPAPEPTPTNRRGRTVRIFGVDVEMPPLG >OB01G48720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30049652:30050105:-1 gene:OB01G48720 transcript:OB01G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSSGSGRRRREEEEIEEGEIRRCGGAGGDGWYDSGSESEDEEGRFVFQPRRGEEVEEEGEHAVCKRRRVEDVIAEIQEVLVALPSPTPSSGSEATGSDDGAGGAAAAAPSDAAPQVFLCNLCGREFGSRKAVYGHKRVHQAEKEKE >OB01G48730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30055534:30056232:-1 gene:OB01G48730 transcript:OB01G48730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLCGIGRPKKQNQYVGPTQSATHTHKNYHGIVCHLLEVNQGPKVRELPQGYLGKMLVYKCCKIKMKLGDAMFDLNLGEECRMPQHVVAINTLEKRCCLLGEIENRHVVVTLNVDWMLSDSRG >OB01G48740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30066042:30066964:1 gene:OB01G48740 transcript:OB01G48740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASAAAAAAANAATCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKYELSKYQAAAAAAAAAAASPPGRRXXXXXXXXXXXXXXXXXXXXXXXXSAALASVGGGAAACFGPDQSFSAVQMLSRSYEGEPMARFGGGNGGYEFGYSTSMAGAGHMSSGLGTLGGGPFLKSGIAGSDERPGAGQ >OB01G48750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30066054:30067004:-1 gene:OB01G48750 transcript:OB01G48750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMTAQSSARSATGRRQAARRCRRCRTSRMARRRACRARTTCARRRPSTSSNRTRSRRYRRRTSPWAPPRSSWTASARRRTTDPGRSMPRRLLRRRRAPPXXXXXXXXXXXXXXXXXXXXXXXXXAGPGEKPPRPLPPPPPPESRNIRTYMRDVCHDRSNGGCTEVRARARDLAHLIFGELVLGAGEVLLELAEVALEDGDDGDAAVDGVAEPHVGLVGERVHGVLALVGVELVQQLGHVARAEDAVHVHELLRVVRREVGREHAVGLALAAQELARRARRARAARRRVRRRRRRRRRRGYGDHRPRRRRHGRR >OB01G48760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30076314:30079430:-1 gene:OB01G48760 transcript:OB01G48760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25480) TAIR;Acc:AT3G25480] MPLAGMALPLLRISNSLLPNPLRPSRNPCRKHPSRPNAAKIPVVVEQREVSDAAVAGRRGALFLPVAFAASSWPLASLAAEADGKVSLESVVVAIDDFTNRNPFFVAGVVFVWLVVIPLVQEYFKKYKAVSAIDAFRRLRDEPGAQLLDIRRGKSVRFMASPNLRLVEKSAVQVEFDEDDEGGFIKEVLPRFPDPANTVVCILDNFDGNSMKVAELLFKNGFKEAYAIKGGLRGPDGWQAIQENYLPPSVHVFPRKKSKQSGDSDISTDATDDKLDMNGKLLATANSSVVNTSNAAEDGNEKPNGSTSAMKHASRSLSPYPNYPDLKPPSSPTPSKPKS >OB01G48770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30081107:30084428:1 gene:OB01G48770 transcript:OB01G48770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSARRQRREELDVVGGIAEHVEGARDGRRVDVDEALERLHHHPHGRPRALGVLRAPARHLARPLHLGHVGAAAEAGVHGALEVAGEQEARRRRREEIHRAVLPLPHVPPSGDDLEQHHPVAEHVGLGRDRRAGDPLRRQVADRPAHCRQHAAEVAAHQLGEPEVGDLGGERVVEEDVLRLDVAVDDAVPAFLVQVVEPPRDAQCDLIPRLPAQDAAMARAGAEEVPVQRAVRHVLVHEQPPRPLGAEAEQPDQVDVLDGADGAHLRAELLLPLSNTLQLLHRHRRPVRRQRALEHRPEGAAAELLGEVLGHELQVTVRERHQGALHSPQRLRPSSSPNEDRDHDGERHRGNYSGDDDPDDQFLGAPAAGELGRGQADRNSVAAVTGAGHVAEADEVAVPERAATVVGVRGAGAVGEARELAVMSARGGRPGGAHAAGRQVHVGHREEPVALRLPLRRLGA >OB01G48780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30081119:30087951:-1 gene:OB01G48780 transcript:OB01G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L6M2] MAAARGGRPWWWSSSSSSSSCAPLLLVLLSCLAPPSRAADTVAVGRPLSGGQVLVSKAGKFALGFFQPDNSSQQWYVGIWYNKIPDHTKVWVANRRAPLSDPDTSQLSIASDGNMVLLDRARSPVWSTNVTGIAANSTVAVILDTGNLVLADASNTSAVLWQSFDHFGDTWLPGGKLGRNKRTGEVTRLVAWRSYTDPAPGMFSLELDPGGASQYVMSWNGSRQYWSSGNWTGDMFSSVPEMMASSADPLSLYTFNYVDAENESYFFYDVKGEVVLTRFVVDVTGQIKFMTWVDSAAQWVLFWSEPKAQGDVHSLCGPFGVCADSGQPACSCLRGFHVRRPQQWLQGDHTAGCARSTALQCGGGAQPAERKTKSDRFFTMPDVNLPAGGVSAAGAAASSAHDCELACLANCSCSAYSYNGSCSLWHGDLISLRDMTGAGNGGYRISIRLAASEFSGSGSTKKLIIGVVVAGVVAAVTLAVVVTVLVRRRRRTKALRRVEGSLMAFTYRDLQLVTKNFSEKLGGGAFGSVFKGSLPADGTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTEGTRRLLMYEHMPNGSLDRHLFGSSSGHGGVLSWETRYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLNDAFAAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWISGTAITTKADVFSYGMMLFEVISGRRNVGQGQDSADAEGARPTMGMVVQALEGLVDVNAPPIPRSFNVLGDASNYVKFFSALPSG >OB01G48790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30093274:30094396:1 gene:OB01G48790 transcript:OB01G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKIEGVRQGEKQFRAEGQRDCWSTSTGSMVHWTTIYEYMLNGVFGSSGVSLGWSTRQLGGDGQGELQRLFGSEFDGDLNLGELERACKIACWCVQDSESSRPTMGEIVQILEELVDVETPPVPRYLQVLAQGENPCEISSQMSRSGD >OB01G48800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30096693:30099917:1 gene:OB01G48800 transcript:OB01G48800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48390) TAIR;Acc:AT5G48390] MKISELSPESRQPPPPHAGLIADLSKAVTDVESSAASATSPEKLAADLRRVLTNLASAASTSSFTEAFTVQVWGLGPRLWNAVVDRANSTALAGSPDALAVEAEIRQAAPELLRLAGIPDSIPSAAVKVASFFHRSGLAWLDLGRVDLASACFEKATPLVSAAATEEDRGVLLDINLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLSAKHSNPAVEASSLFTEALDLCEKVASPSGASPRTPPYGGAIPKTPNLEGLKRRCLRFLALERLEAQDYEGVLRCIRVSRASLGLEEEHPSIGVMAMRAWIGSGNVAEADKELEKLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGTGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCCHLEFGTSGTEHFHAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLGICHIALQHLDRALEFINEAYKVVEPNIKCAFLKVKIHLQKREEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMACKSGRVAVASLTFLLGLYSAEKPMPMPEVAVVRNLIELLSREPGTEAEILKYSRRAKQRMSDIGVESFFGSGIVGGRELNWFADICWNMGLRASKDKKYNFSAEFFELAAEFFGSSNAECDENRSKVCKALVMAVTTMLNAEELNNSPLSNSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFFYLHTFNSYQLLGRMGTTAHPQQLQLVKNFASSKACTPAHLLALGVTASKGALPNMLAAEFSLKACITTGLASQSPNYSVISCALRKLACLAGLQDLNGSKSDTAYDVFQQAYQIVVGLKDGEYPLEEGQWLVATAWNMSCLPVRLHQAKVARKWMKMGLDLARHLEGMKEHITSMQTTFEAFERMSGGEPDECSQEDKAPKTNMAGMSQPVLV >OB01G48810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30100422:30105487:-1 gene:OB01G48810 transcript:OB01G48810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:J3L6M5] MAQALSLSLLLSILAIGSCVAIEVTDHIDLWPMPTSVSHGTQRLYVSKGITMTMVGSTYSDEKEILKDAFQRTVDLIKLNHVVDGANPSSFVLTSVNVVVHSSEDELKFGVDESYNLSIPTAGNPLHAQIEARTVFGALHALQTFSQLCYFDFTSRLIEIISAPWTISDTPRFPYRGLLIDTSRHYLPVTVIKKVINTMTYSKLNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAIDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDTCKEPLDVSNNFSFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKKWLDDNHMNVTDAYRYFVLRSQKIAISHGYDVINWEETFNNFGDKLDRRTVVHNWLDEDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKDIDNPDQQRLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKIALDPRLVTPRLARFRCLLNQRGVAAAPLAGYGRTAPYEPGPCVRQ >OB01G48820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30111268:30113047:1 gene:OB01G48820 transcript:OB01G48820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMLGLLVHLHAALLFVPEPAGGASLTISNSRDVVVDGLRSVSSELFHVVVLQSRGVTVRRVTVDAPADSPNTDGIHVHKSTDVAVYDAAIPTGDDCVSVGPGNSNLWIERVACGPGHGISIGSLGKQQGMAVEAVENVTVKTTWFTGTTNGLRIKTWGSSKRGYVRGVTFADATMSAVANPIIIDQHYCPDGGCAAGQSSGIKITGVEYAGVRGSSSTPVAVSFDCSRTNPCSGITLRDVKLTYRQKSLKLADAASSCRYAQGTASGLVVPPSCL >OB01G48830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30114628:30117016:1 gene:OB01G48830 transcript:OB01G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G65560) TAIR;Acc:AT1G65560] MEEEGPAAAAPVASNRKVVLRGYISRAPREDDMVLVDGGTVALRVPGGAPPAVLVRNLYLSCDPYMRGRMRDFQGSYVPPFKPGSVIEGFGVARVVDSTHPGFSAGDIVSGMTGWEEYTLIDKPERLSTIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGQMLDAVLLNMRVHGRIAVCGMVSQHGLTDPVGIHNLYCLVPKRIRMQGFLQSDYIHMFPKFLNDMGKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSQE >OB01G48840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30121813:30126658:-1 gene:OB01G48840 transcript:OB01G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRRDKYSIVLVFQRRLPVHSATHPPCYWPHGRRRARWRRPSPPSSTVSRRRSSCCSSPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASAAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVYLEGGAWCNTMESCSMRKRGVYGSSKFMKAAEFNGILSNDQQVNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMEKGLATAKQAILSGCSAGGLAALLHCNDFHARFSKEVSAKCLPDAGFFLDTASFCPSEDLSGERHMWSVFNGTVHLQNVSEVLPKDCLAKKDRTECFFPAELVKSITAPTFILNSAYDSWQVRNVVAPDGSFPGQSWSSCKTDIRNCSSAQIQVLNGFRNKFVDDIEVVNVNKDWGLFIDSCFIHCQTPYNISWSSQASPVLGGKTVAKAVGDWYFERSSEVKEIDCEYPCNPTCSSQLPT >OB01G48850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30129722:30131945:-1 gene:OB01G48850 transcript:OB01G48850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:J3L6M9] MTQPRVLHEMPASCSELRPPAVPRGRRLGQAAAAAVAPFLLILLLAAVSGSTAADVVNLTLLTGAQERGAVCLDGSSPGYHLQRGFGSGEHSWLVYLEGGGWCDDIESCSTRKTTANGSSKLMEAAVFEGILNNDKQVNSDFYNWNKVFVRYCDGASFSGNTEAQDQDGSTLHFRGLRIWEAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHSRFPKEVSAKCLPDAGFFLDIEDLSGERRMWSVFNGTVHLQNVSEVLPKDCLAKKDRTECFLPTELVKSIRAPMFIVNSAYDSWQVRNSLAPDGSYPGQSWSNCTENIQYCNSTQMQVLNG >OB01G48860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30136720:30139684:1 gene:OB01G48860 transcript:OB01G48860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKESGELRRQTSLESPRTGRAATRFLFGRQSSMDPNRRRGRSQSPVGLGEELTVPDNLDATMQLLFLACHGDAAGVEALLRGGVDVNSINLDGRTALHIASCEGHPDVVRVLLGWKANIDARDRWGSTAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDIPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYCDQEAINSFRNELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGKLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGKVKLIDHESMLDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEESGSTIRYDGMRPSLKNKLKGYPPDFKALIEECWETQGIARPTFSEIIIRLDKIYAHCMKQGNWKDSLKIWSVSQRFKNFRAQHTQKKRVHIA >OB01G48870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30149081:30152603:1 gene:OB01G48870 transcript:OB01G48870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLDGTVIDGSFDIRLECSSLTGSKAVADHSRYSPPQCSNAPDPPPLPGTSHGTPRTSSNTKACRCVPEEVQDFWDKLFFEAYQYDLRILTDDGNEIMSHSCVVGIKSPVLRAMLEEAKVQDGIRQILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYILHQLVLSHVFSVPPLKSVCINQLEKSFLSPENVVDILQLARLCDAPRLSLVCTRMIIRDFKTITQTEGWKVMRQANPSLEQEQLESLVEEDTKRQERARRLEEKKVYLQLHEAMGALVHICRDGCRTIGPRDQTLKGSQAICRFPACKGIELLLRHFSACKTRVPGGCASCKRIWQLLELHSRMCSAPETCQVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKGTISSISERRKILSLKNNAMF >OB01G48880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30152180:30153351:-1 gene:OB01G48880 transcript:OB01G48880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTEDGDGRAVVGNPLLVQCGGGAQSAVAGYPLPKEPPPLRSSTRRGRTYRRRRPSALASCRVFAMAFLVVMALALVTEFFLVVFHDTFLSPFSVLLFLPITALLIAACYAGGLALIVCFDDGQNESNRPMQHSPV >OB01G48890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30153698:30154793:-1 gene:OB01G48890 transcript:OB01G48890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRWCPLLVVLCFVSSSSLLVRSQTSSDSCAAALSVGSLLPFNTTGLKCLQAWPSQDFVLRFGKDASGSNVWNFVLSAPDTGGYIAVGFSPSGKMVGSSAVAGWVPAGKAGTARQYYLGGMSSSSCPPDQGKLTLSRGPAAPAIVSKSSRLYLAFQFSGQPLTKVIYAVGPKGTLPDSNGLLAVHLAMATGSISLSGGAAGTPATGSSGEGDEGSEGSEGGEGKGKSDHSGAAGESGSDGKATTTTTASATSSSAGVPRAQWTKCGQFVQILTYFAFFSVKILF >OB01G48900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30156992:30160732:1 gene:OB01G48900 transcript:OB01G48900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRSRLLPLLFAILSAAAAAPWYAAAADERAVREVSGAPEGVVWAVQLSDLHFSVHHPERAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEVEWIEYRRTIKDVIESSKLPRSIFYDLRGNHDSFGVPSPGGVYDFYQKYSINAKLSRQGRVQSITLESSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQSLSQWDTDFNKAQVTKIAFGHFPMSFSALTESGKSMKDVFLKHSLAAYLCGHLHTRFGKNLKRYYHRATEESPLSEHYYQFNMHQGYEIHGDKESCSKEAVHADEEFWEWEMGDWRKSRSMRVLAIDDGYVSYTDIDFSLGSKSIIILPTFPLDSRFMQRASAAHDFKCQVMGASTFDTVRALVFSRHELVSVSVKIYDSRPGHLDVVFDSEMKRVNNSESRGDMYLIPWNWRAFADPSPNRYWLQIEVMDITGDTSVSQLRPFSVNGFASKVSWTWKEFIVMGIQWAVVYHPALWCVFALIFSLLLVPRASVLLFKDRFTYKYLCPNGNKWILLKHLVGGFFWLFVELSRVIIVWSLLLVYMIYLLVFPWLFGHPITEDSNLTSMTFRGWVLGQSDSGNEVFHAGTPDVLVIVLPHLCFVILPTILILAAMAAERTAYREHYLSRSGKKKDDYYQKSRRQKEHDNFWNSRWIRKFLFVLCMAVLWKHWKHCRALVKAYVMNPVIHSPVHFFFIPGLIAFAVYKTSSL >OB01G48910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30163232:30165560:-1 gene:OB01G48910 transcript:OB01G48910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGXXXXXXXXXXXXXRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNAELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRKIVQDPSSLQDQKRLEAAIKFANACGAITTTKKGAIPSLPSEVEVLQLMESA >OB01G48920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30170801:30175153:1 gene:OB01G48920 transcript:OB01G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:selenoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G05720) TAIR;Acc:AT1G05720] MGRSVCVAAAVALALMCCSGVCLGGERLGARECEELGFTGLALCSDCNALAEFVKDQELVEDCRKCCTEDSDDSISKHTFSGAVIEVCMRKLVFYPEVVGFLEEDKDDFPYVETRYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPAKSDS >OB01G48930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30172291:30176801:-1 gene:OB01G48930 transcript:OB01G48930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVLKHSSPLSPGLVLGVGVLDAKKGRAFWLVLETGEREGAREMARTEKVAGDGCSGGGGGGEGQVEVEVGLGVGVDGKGMTECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSDEMDMDLRQSWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSSNSSGATCCRTIILILMLLLLVRHVVVFVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYAFQHRRRRQV >OB01G48940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30173710:30173970:1 gene:OB01G48940 transcript:OB01G48940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLHLASEHFSSVQNARWPYQVKVAKLVSKERRKMLVRAKFGSHGYQTKQVNAALCQCQCKEQLNHVFHYIQCYCSWWLVLATAY >OB01G48950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30178905:30181075:-1 gene:OB01G48950 transcript:OB01G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLRLVPRCRSHFQAIDPVHAHVKNCNACKCQIPTHGLLVSSARRSRPVLPVSAVGSGRDSSVAEGERKSDLSLQNVKTSVVSRDDEEINVRVDLPGKVTQKVFDEALTSLARDAPPVPGFRKSKGGRTSNIPSSILLQMLGKSRVTKFVLQEILSITVGDFVKKENLKVNPEIKTTQSEEELESSFIPGSAFSFNVTLQLEKPESGEASEKSESDEASEKSESDEASEESS >OB01G48960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30184361:30189858:-1 gene:OB01G48960 transcript:OB01G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 4 [Source:Projected from Arabidopsis thaliana (AT1G65260) TAIR;Acc:AT1G65260] MTTKLRQDNSVDANREMDMEKAYVSLKIIQVRQSNVNRFKCNGIRSNLIDRLSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLREQLDQQKGVVENLISNTRILESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKELSGSASKGVLPPGRTAVSNSGAARPFRDIEIENELNELRNKANEY >OB01G48970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30190728:30192174:1 gene:OB01G48970 transcript:OB01G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMAPSVLLVVLCFVSSSSLLVRSQTSTDSCAAALSVGGLPFNTTGLDCFQAWTTQDFVLRFGKDASGSSVWNFVLSAPDSGGYISVGFSPNGRMVGSSAVAGWMTSSGAGTAAQYYLGGTSSRSCPSGQGKLALSRAAGPTIVSQGSRLYLAFQFSGQPLTDLVYAVGPSGSLPGSNGLLPQHQDMTSGTITLPAGTSGGGGGGSTSSGGGGGGTYASDDGKEGGEGKGKSNSKHSGDGDGDEGKGGTRSSASSSAASGGGGGLNSKRRHGVLAVISWGAMIPAGVAMARFLKRFDPLWFYAHAIVQGLGFVVGAVAIVAGFRLDDEDEVAAHKAIGIAVLVCACLQVMAGLAWPIKGSKVPKYWNWYHHYVGRAAVVLGVGNIFYGLSLAKEGQEWSYVYGIFVGVCAVAYLVLEEWRRRH >OB01G48980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30199638:30200857:1 gene:OB01G48980 transcript:OB01G48980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSSCSVNHGAPAVAVILAVVWCCLSSVARSQSSDSCSGSLAGVGKLIPFDTSNLTCLDAWSSENFVVRYASSGGTWSFVLSAPDKGGYVAVGFSPDGAMVGSSAVAGWSSGNGVGGVVKQYKLGGTSSGSCPPDQGSLTLVAKNTLVVAQSSRIYVAFQFTAPQPTPYLIYAVGPSNTKPSSGNYLAQHRTYTSAAVNYVAGTTSSAGGGFDTKKWHGAMAGLGWGVLMPVGIALARYFKKHDPFWFYAHISVQGVGFVLGVAGIVAGFKLSDDAPGADTHKALGITILVFGCLQVLAFLARPDKSSKVRRYWNWYHHYVGRAAVACAAVNIFIGLHIAHEGNATRVAYGIFLAVLALIAVFLEVKLWRRSR >OB01G48990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30203624:30204445:1 gene:OB01G48990 transcript:OB01G48990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) TAIR;Acc:AT3G59520] MGKPLIYEILEKPASSSVIGICSLIWFFIQKRSIGYGDVGLSYEAAMEGGQYWRIITSALSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHVMIQKFRVEYFRRVTAVGYSCVVFGWMTILATKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLVHGMNNYWAITMLGWIALVFVLSLKRTGSMELRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >OB01G49000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30205144:30210028:-1 gene:OB01G49000 transcript:OB01G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGGRGRXXXNFPCYFGCLSLCHAILCFEYSDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPITWDDDDDGIWKPRMIPNPEYKGLWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYKKRYRDHWDDDYHDEL >OB01G49010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30214044:30217464:1 gene:OB01G49010 transcript:OB01G49010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTTSPRDKPTNLVAQYSQQQQHRGSGARDKKRWGFGRSRQHAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMLRDAARRAGSQDGGIWDDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIERRHETEAAVVLPRRQHAEHVGRQAALAVSHRETAVTAGVGLL >OB01G49020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30219499:30224805:1 gene:OB01G49020 transcript:OB01G49020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNLLGVSYPEAANNVDAEPRDDLGASQMQVDGPVALNRAADLEPVDAMAIDDAPAQASSQPALEKQSPPALMDTIVEVQKQLKRKRASSGPALAAADKDALVAGCRQELDGLLEYYREVSGHMMQFEAGKLSTNAAVGCLLEESNLGLSKLVEEICEKLKGMEGVSAASVRSSVLLIGQRMMYGQSSPDADVLEDESEMALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYSTLSVLEASGAEAQVNDIRKVSLKLSKVLNLEGIRSLVERLAQKSNIERGAKNIGSTAKESMQEMVKSNNDTGITENVDNSELQKNVSTNEKEIQKAQKQIEKELKQKEKEDAQMRKLQKKQLREQKRREKEEAERKKQHKRQEDEALKEQKRREKEEAESRKQQKKSQEEVEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDTEKLDKSGGKDLAVQTIDPCTTNKEVVSLVTSRIDSSFSQKENWSLEDLRRLQIGGWQKLSNHNRSSRWGIRNKPKKEAFKELKLQKSSDDMLEDVLSTPNEDPSHNLSQENESDKLANDVDMLPVAELHCHGTNHANPMPIRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDEEEDSFVVPDGYLSDNEGIQIESLLDDKDEEATSSPPDQCTEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLSNEKDELLTAGDLKGTAKIEQLCLQVLSMRICPGGATIDAPVIDSSSASAEETNQLNVKSSPAAASAIPDTDLTEIVKVIRSCRDGINKLVDSLHQKFPSVSKSQLNKKVREISEFVDNRWQVKKEVLSKLGLSSSPANSKKPKSIATYFSKRCLPPEEASNALESSPELRQKSKTIQTVNGGTDVPQINLLPSSQ >OB01G49030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30225518:30226009:-1 gene:OB01G49030 transcript:OB01G49030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGSHTHKAFLLCNYVLLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLSELQSYVRDEDGAVILKMVGGLGTAIFLLEWAALALAFSLRLEDDDDDAADNKNWASYHV >OB01G49040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30225779:30226273:1 gene:OB01G49040 transcript:OB01G49040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVPLRRGGRRREGARAARGGEDGGEGVEEEEEEAARGWEEAERERQEDAARRRPEEHVVAQQERLVRVAPPSRRHRALAAARETESAGEGAGESESANARARGDCGAVGWRRRRRRGGATYAARLRRRRWRGGLWPLGEKGEATASPSRRRWGHWRVGPGWQ >OB01G49050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30229104:30234334:-1 gene:OB01G49050 transcript:OB01G49050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59780) TAIR;Acc:AT3G59780] MLQLSCHPTLVLPFTLNITILKITRYRCVLVLAAVARFVDSVGKKVFQAEDALTEGYDKLRLSAYEALGAWRKSVDGAIGGLTASVDATKKQAAGGVTDASGALQDKVAGAGAVAADVLRKAIVAAEDSLGSAATSVGYYYGSAKSALPPNVKDLLNSSEEKASLVLKPIGGALQQVYVIVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGLSYWLFAYGGYSGDLSPESTLELLRNDDKAVLIDVRSEDLRVKDGIPDLRRAARSKYATAASPEIKGSVKKQLKGGRDVDDALLAVVIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEDIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLGLGLTIYLRFSTYEGSEDLQQDLKLLLSPVRVGAEAFSWAAKKLETNSVGLATSPSTTAVQDRVLQAAAKHESQPPDADEPPSTKAADGLVSEA >OB01G49060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30235668:30238621:1 gene:OB01G49060 transcript:OB01G49060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVKTQKTHAYFKRFQVKFKRRRQGKTDYRARIRLTNQDKNKYNTPKYRFVVRFTNKDITAQIVYATIAGDIVMAAAYSHELPRYGLEAGLTNYAAAYCTGLLLARRVLKIRGLDQEYEGNVEATGEDYYVEPADERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKNLDADIHRKYIFGGHVADYMRSMAEEEPEKYQAHFSEYLKRGIDADGMEALYKKVHAAIRADPTMAKSTKKPPTTHKRYNLKKLTYEQRKARLVERLNALNSSAGADDDEEEDDE >OB01G49070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30241953:30244705:-1 gene:OB01G49070 transcript:OB01G49070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRATGQLVALKKTRLEMDEEGIPPTALREISLLRLLSQSVYVVRLLSVEQATKNGKPVLYLVFEFLDTDLKKFVDAFRKGPNPRPLPTNVIKNFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPNEEQWPGVTDLRDWHEFPQWKPQSLERSVPSLEPEGVDLLSKMLQYDPANRISAKSAMEHPYFDSLDKSQF >OB01G49080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30247699:30250351:1 gene:OB01G49080 transcript:OB01G49080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFFQGSKDGASVNTDAVKARRFLLDKVESLIRSVIKSGGGYEARLWLCSTVSSIHLLDLYDQRDLFLNLLGMKNSKRDVAARLLRMIFEKKPQKAGSILAKKCQMLEEFFQGNPKRIMQWFGHFAVTGESTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFCDLDVLRTVENFLEYVPDFWSSKELSDSVKDGEILQIDTEYFVDQYVYLMYEENSRDVWQLVEEFFMDEPFSSLSQHLLIHLDEQRLLKFLRALGKLVNPNSQCKEMAFPCCWLEVLLSVYGDHISLDELVLLNCAIAKGRQLWRLMSDEEQEEEQGQLKELLKGTNQLTDADHFALMKGLVDSKLPDTLKWIGIQSWVVFCELSNECKSADSCEHLFTCNKIEYRKADDYSLVQNDGNSIAPDSDDEDLIRGSHKRRKRDRKRKRRRYDSDGDDLDQLLELGTSNRRSIESHHGSWYLSTDGFSASWDMADIPDHLSSHYLRTWLKFACFR >OB01G49090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30251606:30254189:-1 gene:OB01G49090 transcript:OB01G49090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRHMLAICALLLLGAAAARSEPLQTFTIHGLWPDYDDGTWPACCRHTNFDMDKILPLKPTLDQYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSSPVVNDELEYFSTALDLYFKYNVTEMLASGGIHISNGEQYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKPRDCLTTTSTNGNLSKTKHCPRYITLPTYDPLVLSNSTREIIAQVESEVYGYLYTS >OB01G49100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30257682:30258507:1 gene:OB01G49100 transcript:OB01G49100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLACSRTAIPHSCTAARAHLLQQTRGEITNRCRQSIIGNLASESARTLHTLTDREELERIAPDSTAARQSAASGITSRLFSILLLPPHGRIFLACVPGSLYYSDQ >OB01G49110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30255168:30259370:-1 gene:OB01G49110 transcript:OB01G49110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTPVPERFAESTRAQAPVSHGGHRADATAVTLGLSAGSEMCDEGRWRVSLPEDLDAIGRRGDGLWPQYSYGGWPSCCRPTTFDDNKISRLKTILEEYWPSLYCGSFSTCFGGKRPFWVHEWETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIYPRGGRKYLVSHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQLRDCVVEGENSVNRRSHCPRYVTLPSYKPHAISDSTEGISNQVNVEHQSFE >OB01G49120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30259130:30262004:1 gene:OB01G49120 transcript:OB01G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQISVVLQGKDKPTYAPHVENGDMCIVLNAKDIGVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALLRAQKKEQDRAAVASAKDEENAKNAKSETTA >OB01G49130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30262366:30264051:1 gene:OB01G49130 transcript:OB01G49130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02650) TAIR;Acc:AT3G02650] MWRRSGARAPPPPPPPPPPPPSPLRALTRAPPPRAFSRFLSSSPEPLPDSSSAAAGPASSDPAEAGEDGLSSDPAEAGEDNLASLWEEDVAGDGDGIFAADASSDPADAVVDEVMVERVRAVVESTTEDQIPSALAGMVVDFTEPLLSAILVSASESCSGKKLLLLFKSAGKNNPAAKSLGNLEIVVDKIADSDEIDKMNVYSLWDLVKEMGTVPGSVNTRVLNKLLEMFWKLGKSKAALEVFGMFNELGCARDGDSYYLVIQAAGKKSMVDAAWRVCEEMIGSGCFPGDEKVGDIVTLFCKKKKVKEAHSVYVAAKEKKVQTPTSALSFLVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCKTNNVEDAKKVLMRMVNVGPAPGSAVFNFAITALSKNGEMEEAKGLMRVMENQGIRPDIYTYSVIMSGYTKGGMMDEAHALLRDAKKIHSRLSRVTYHTLIRGYCKMEQFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLVAAVKELEMEELSNASQEA >OB01G49140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30266831:30272220:1 gene:OB01G49140 transcript:OB01G49140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) TAIR;Acc:AT5G36890] MTCGPSLSKGRQLWAVGPAGVPTPHVGSYSGRSAYFFSLHAAEHHPHSTTPSNPPALPEPRTPPPPADRRGMGSTGRDAEEVARADFPDGFVFGVATSAYQIEGARREGGKGDNIWDVFTENKEHILDGSSGEVAVDHYHRYKEDIELMASLGFRAYRFSISWPRIFPDGLGKNVNEQGVAFYNDLINFMIEKGIEPYATLYHWDLPHNLQQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGHFAPGGCEGDTSRCYLAAHNQILAHAAAVDVYRRKFKDVQGGDVGLVVDCEWAEPFSEKTEDHVAAERRIDFQLGWYLDPIYFGDYPASMRHRLGNDLPTFSEKDKEFIKNKIDFVGINHYTSRFIAHHQDPEDIYFYRVQQVERIEKWNTGEKIGERAASEWLFIVPWGLRKLLNYVAKRYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASARWFSHFLKGEEAENKADMN >OB01G49150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30275424:30278054:-1 gene:OB01G49150 transcript:OB01G49150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3L6Q9] MVWDQLKSSSFQVNEEMIETLFICNPANSVPPKEPTATRRPVLPTPKADNKVLDPKKSQNIAILLRALNVTKEQVCEALCEGNTENFGAELLETLLKMAPTKEEEIRLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKSFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRTEGSRLSASNQSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVAKLAGGIEKITEVLRLNEELTSREGAWRFHDAMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >OB01G49160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30290440:30300317:-1 gene:OB01G49160 transcript:OB01G49160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) TAIR;Acc:AT5G16270] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEDAFQGDFDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGNSSHIGLDLEEELMLNKDHSIQLEADDGIIIQGRSAVHATDMEVDNQNKDESAEGYNMEDRPSSHNKLNLLSADGLVGNSVPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPTSPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTTENANVLVGDEATELGLTKPVQIESSGAVQEIDSLSQQCATGELPPQSEISNLEATMDKAVMNTDNLAASGEAIGARETAKDMPLVENSLELSADIPIEPVIKNQTQFNDGSVDVQEGSQHAPPVIIASADSQVNVETSTQEIASNDRPNDLSTLEFPEREKMLSAPDVEFYQANDLGQVTAEKGTTESDGSNKVGSLTSRKRHLEDSLPAPESATTEKLSSRSRGKRTIDDIPDDDDLLASILVGRRTPGLRLGSTPILSKASSLKRPRLASKTTTPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGSLEDEIFLEPIFSCMCKELNDLQFRTYETVAHTTVHNMEIHGRLDISQTMAVDSNDVGTSGAKDSGNHQDHLVLPDGIESDAMQPEGTNAADARVDFDSHMPSDIQVNNVEGVTEQLIENEKETAVVTANMSDSAQVDSLDKECLQDVPTDLQRSTNTDTPLFVLDDMPGSATRILDGPDVVLDSSDPVSAQAMDDMKGELNGIVHDNVNAFENKDMPGSEITGLEFTQNASGFLQPTEDENVLSAMGENSGLQENNAGSVMDLDNMGHDFALKECSDFGSAIQGVDTDFLNYDDEVDGDEANDDEPNPDEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDRLLSGKTRKEASRMFFETLVLTTKDFISVDQPNPFDFVSVKPGPKLLKSDF >OB01G49170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30303362:30303613:1 gene:OB01G49170 transcript:OB01G49170.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLKWWFHVIFAAWWSSIGLGVTSSQDPPFQSLLVDARLAARLGIIGLTALVILYCHLLLAPDAKTTLYTMLTLAMVLHLSFWI >OB01G49180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30310032:30310196:-1 gene:OB01G49180 transcript:OB01G49180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLFEGEQGEDAGDPSVAYGDSTTGSSPKTSSVDKLYIVVIRYRLNNYFYLL >OB01G49190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30309670:30311497:1 gene:OB01G49190 transcript:OB01G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGNLESWLLNYLAQGIKLFTRDTGHCRRDTGVTRCCKRYREEAFGVAAVLVEAQGDMINNIETHVSNATDHIQQGVTALQNARKLQKNSRKWMCYAIILLLIIVVIIVVAVIPPWKKGA >OB01G49200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30317568:30318529:1 gene:OB01G49200 transcript:OB01G49200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMEADSLNLKAKPEVRCLVERTNKEEFLILASDGWDKVSNEVVCKIARNCLNGCAAAMFSDSVPGSSATDAAALLAKLAVARGSMDNISVVVVKLRRLKTRAA >OB01G49210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30319670:30321217:-1 gene:OB01G49210 transcript:OB01G49210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVPPSRARVMRARRQLDDAAEAALLEDLLIRRMEEGLTAAAAGDGGDGGDAHPPSTCFCDEICDCDVSQRALRYFLRGLMTTVSVVMIAGLLYLRHTTDDPDVHDPGKLAMLIILFLGLMVFGFCFTTENAMQRLPD >OB01G49220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30329559:30330220:-1 gene:OB01G49220 transcript:OB01G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVALKDCDDSLIWELEGNKGFSSRSLYRFITFRGMSDKRIIRLWAAPIPPKVKHFWLLLRDRIQSAANLKARNWDGSEFCKLCGQLETCQHIIFQCPLAKFMWCLVRDVFDWQLAPNDLEMFFYLVVDRRTDKQSRVVLMLLGACCWSVWLIRNDMVFREKLVSSPCIIIYRVVSFLSQWRVLAKEDEEDQLETMKTKLLDGVKNLRPRSRGRSDA >OB01G49230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30338590:30338868:1 gene:OB01G49230 transcript:OB01G49230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRSWSKSREERSPTRSHRVPREYSATAAALIQQLAAAGCYCQIYSEATVASNLRPHAAERETATGALATRRLACIWRRSEEEEDDDDV >OB01G49240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30338681:30345667:1 gene:OB01G49240 transcript:OB01G49240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05960) TAIR;Acc:AT1G05960] MGVMSRRGLPACSSLCYFCPSLRARSRQPVKRYKKIISEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEFLEQRFYKELRHENFILAKVVPCIYRKLLCSCKDHIPLLATSTLSVVRTLLDQRMSDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQINQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLNGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQTLMDKSGQNGHLLLSFTIKHIDHKSVAKKPTKQISILKVASLLAKHAKLKVSVTIASATSDLIKHLRKCMHCAVETPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTVQIAASIHKSLYNQKAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSENIMDGVRTKSRIHEPLQNNVKSETVVSSENGYTYTEPNTRNNPEGSPCLNEYRFTSFKDENLKFMKLNSNQLVLLLSSIWSQAPLEDNSPANYEAMCHTYNIALLCSMTKSSNHAALVRCFQLAFSLRKMSLNKENGLQPSRRRCLYTMASAMLIFSSKVGDIPQTIHLVKAAVPEKMVDPHLCLIDDTRLVITSRQSSNGGMIYGSEEDENDAHNFLSSVNKNDTQLKEIVISHFKEKFGNLSEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSTYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSTAENGQETNESSARSEPEMQSMRKNRMRRSDSASSESDRSFRLPPASPYDKFMKAAGR >OB01G49250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30346472:30348985:1 gene:OB01G49250 transcript:OB01G49250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLVKKNAARIAETPRVVWVNRFSCLRSSMASRAPIAIQDENLPIFRGIGGKKAGAAAAARAVGRQERKALGDLSKARKAPPASAGGGPPAAAAAAASGSKNLVKPSYLSDEEWMKCCEWAKDGIEAASFTGNDMQKLLSDKREERIRKKVEKAMRTMKLSMDNLYDIDVHSEACMVDPEDKTKLDLDTEFLPPKPYLSSRLGEHEANYVLSDMEFEHETFANCNLDLKLKDEYGT >OB01G49260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30349231:30351662:1 gene:OB01G49260 transcript:OB01G49260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3L6S0] MEKNSACLPPSKGNMITILSIDGGGVKGIIPAVMLLFLESKLQELDGKDARIANYFDVIAGTSTGGLIAGMLAAPSPGNAKQPCCEAKDIVPFYLKHSPYIFPHMTGTFGWFFNILGIIKMVIGPKYDGKYLHKLINDLLGDTRMKETLTNVVIPTFDVKCVKPIIFSTFKARHKPLKNARLADVCIGTSAAPTFLPAHYFETVDRTGASQSFNIIDGGMAANNPTLVAMGEITKQIKRKSEEFPETEPLDYRKYLVISLGTGLPENDIMFDARNVAKWGVFRWIGGEDTMPLLNMFFHASSDMADTLVADLFSAIGCSHQLLRVQDHNIPIGAISNDLSTEDHLQQLVKIGENLLNKPLSKEECEKNNIEPLPNLHGHVTYADMLTRFAKLLSEERKLRMQNMKLDAGPSTRET >OB01G49270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30357436:30360114:1 gene:OB01G49270 transcript:OB01G49270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTTAYFSSQPQLPSTPAPTATAAATAKPSTIGGRPARRHRRRSSSSFVMLEAAAAGGGGAVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPALELCYSMTRRFLDEQRAPGQEPAPPATPPLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >OB01G49280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30364078:30369188:1 gene:OB01G49280 transcript:OB01G49280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVPVPVAAAAAGAKADATRRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRVKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTIFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFFGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHSLTINFVLAISVVIISMHQYLSNQIKDDVPSSKIEMPDSHEHRSKESVVVNVSDSIASEAKHRHGTDERQPLLPV >OB01G49290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30370603:30373304:-1 gene:OB01G49290 transcript:OB01G49290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRSWICCNCVVDESDQLENGHAKVLANNVDGVTKGLKDSATEKTQPQNPALTINIPVLSLDELVEKTDDFGSKALIGEGSYGRVYYAVLHSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENLVDMLGYCMEGNLRMVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTSKPAPTAPPPALDG >OB01G49300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30376602:30380468:-1 gene:OB01G49300 transcript:OB01G49300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGGEVRTALDVGSGVASFGDYLLNYGILTMSIDRRNRHKAQVQLALERGLPAMIAALGVQRLPYPTRSFDMVHCAGCFVPGNSHDELCMLEIDRLLRPGGYWVLSMPPISWKTPYDDLSPTAKDMQGEQSALEDIAKKLCWSKVSENGTTVVWRKPINHIQCEQDGEILRSPPFCTGEDADNAWYVNISMCLTRLPRVELAGGAVEKWPQRLTAVPPRIANGETKGMPIQTYKLDSLNWNKRVDFYQTYLNLSHGSYRNIMDMNAGFGGFAAAMSAYPVWVMNVVPANLTNNTLGIIYERGLIGTYMDWCESFSTYPRTYDVIHANGVFSLYMDKCGIPYILLEMDRILRPGGAAIIRDTPDVVHKVKDAADRLHWHSQIVDTENGALDPEKLLIVDNSLPFPDHPS >OB01G49310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30378519:30378821:1 gene:OB01G49310 transcript:OB01G49310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIICTWVGRERLTPDIEIRDLRQELSFMLSVKVETDLICNIPVHVRPYQATLIDDTKSIVCQICRNYIHNPDRICGHSCSETTKASIHVHDISVTPMR >OB01G49320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30387738:30392013:-1 gene:OB01G49320 transcript:OB01G49320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MGISSLVGVVSGTFLVIGYVSLCRTYLIVLCWSLSMAAGVTFNWIKTPLDTRRFHDFSSLSFRCRNTFGSIQPCWMATDQEPSFSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFVDMPDENYSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDNHRVKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGDCNGNGYSSDWRDEYKREPAPAAGTNGLIDDDKSSFDFKNVGSSTDSTIYKLEIMMVELFSIYGKQLMIDPQDFQDAEPDILANSGSEIINRIKENDDQCAMALRSLCHRKKGLTVEDASLISIDSLGIDVRAFSGLEARTVRFSFNSQALSERSAEKKIRRMLFPRRKNVKASTEDEC >OB01G49330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30393029:30393376:1 gene:OB01G49330 transcript:OB01G49330.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPFFDFLPCPACPFLHYSLSLFSSLLLPLFLSLSWTRRAARVTVRGAFWATCSSHSPLSGRIMQATVVQFPDLLLPPSCSSIFILQILLSISHLFFLYGKMCSATSSSIQIKESL >OB01G49340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30396005:30398469:-1 gene:OB01G49340 transcript:OB01G49340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMRGQPKGIPWLVLAMLIATSAMLRAGATSLSPPSVSLTPMYAPPIIKVIGKVYCYRCFNEAHPEESHGKVHLKGAMVKVTCQANDQALVGFGYTESNGKYSVSIKGLPLSTTYGADSCKVELHSAPGGSDCNVPIELNLSGLSVYSKSNEEVVLQANQPMAFASQKTFAFCSKPHIQPPMLPYNLPPTPYQYPSPPFNYKFPPLPNQFSPPPFNKFPPPSYQYPSPPQSVYHSPPPYQYTPPNSYQAPPTSYNYPPPSYGYKSPIPPTNKYLPPPYYFNSPPPQHQYSPPANSYVSPPLPHQYPPPPYKSPLVPPPYYYNPPPANHYSPPPYNYGSSPPTNQYSPPLLPNTPKFLPPKVPHQISPPVPATSGQPMFHYSPPPPQHAGMSSTAPSMNSYQSPPPVNQLS >OB01G49350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30400735:30404657:-1 gene:OB01G49350 transcript:OB01G49350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQELPPSQANSALISAATTGDASAAADVCFNIPQDWSTRGSELSALVAEPKLEDFLGGISFSEQHHGKGGVIPSSAAACYANSGSSVGYLYPSSSSLQFADSVMVASSAVVHDGVSGGIDGQGGMRLICGTTAAEEKGKLARVGKVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSGALPAMQHAGGGHGLGSIDNASLEHSTGSNSVVYNGDAGGYMMPMSAASAAATVGVSHDQHAAQGGHGDGGKHVQMGYDNYLVGADAYGGAGRMPSWTTTPASAPAATSSSDMTGVCHGGAQLFSVWNDT >OB01G49360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30417095:30423062:1 gene:OB01G49360 transcript:OB01G49360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDFSAGRSSKQCLSGHGQDNSNWKYNLLISFIVIMWVATIVQCSTGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEEDDICIVAKLLGDLMAYRASGTGHLELIAGFSLLQKSKLSPVVSKGQVEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCAWLNRQGVLTPWTRARRPILEGDNWWRGHAAAFLKYANVPPEVLIKGRVSQKKREVAYFVIVLHDLKTLVIAIRGTETPEDFITDGLCGECSLTEDDLDGLINSDQWSPQVKDAVLSSLPHHGHAGMVESARELYNKIEGHPIHQDKPEAVPVGYLSSLLGVGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIADACSPFVTSIIHNDEFSARLSMNSVIRLRVAAMRALSKDTLPNSAEVGKLVSGIMRTRGNDRNVVDQCESTGALQTVDGVKLSRIHGNNLLHTIRGGVFLFAQAISCLVNTPKYRVSSAAAINYELGRSRTSIVGDGGKCMAASCSILDVPHFGEPSNAHGNGKSSEYNFDECSIEYRPPHSNDGTGLSIAPYDLHTISLPEGQSPEVYLPGLVIHLVPVKNDTSSFQKTPVTHHKSRRNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQKVIETRKRDTLIHDSSTRDDTV >OB01G49370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30434395:30434862:-1 gene:OB01G49370 transcript:OB01G49370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFTPKSCSTAESMAIPMGRRPLGGRAAALEGEGGAAADVDDDDVIDDPSRRGAATWPNGDHARRWGEGGAARRGRARCFADLIPARYVTCVERRGAARRIVAVGVKRVEGMDGRMDGWMDAGRLATLMLAVLWSTTDLRVSVPVFFGHLIG >OB01G49380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30436989:30437207:-1 gene:OB01G49380 transcript:OB01G49380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADHRRGKKTQEEEEDEDEEDEDPPNDAWGGAGGAAYKGRERRACGSLHAVPISRQIRSLASHSVRPLTS >OB01G49390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30438538:30438708:1 gene:OB01G49390 transcript:OB01G49390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRRRRHRPGPGRRSRRARQGDGLRGPAARRRIAVARRGHDTNGRLGADTWANLSS >OB01G49400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30454675:30456066:1 gene:OB01G49400 transcript:OB01G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSTSLELPCTMLPATATTRRHARLGTVRCTAAAATASAVATSLSPAAERSAWAPVTRTSTSRAAPASTSGSVVARVWRKIQGSGDWDGMLEPLHPLLRGEVARYGELVGACYAALEEDPSSARYMNCKYGKRRMLEDAGVAGAPGYEVTRYIYSSSDAAVPGVEASTSGRASWVGYVAVSTDETTRRLGRRDVLVSLRGTITPAEWMANLKSSLVPAHLGPRGDDEDDVKVESGFLSVYTSADKTCRFGGAGSCREQLLREVSRLIDTCSKANPGEDISVTLAGHSMGGALALLLAYDLAGQGLNRAAPPTRAAPVPVFSYGGPRVGNAAFKARCDELGIKVLRVANARDPVTKVPGVFLNEATTRSGPLAAMSGACYTHVGQELALDFINLSDLGSVHDLGSYVACLKEEAADCGEVATTTNADAGVLAMAMELVGGRQWQWKDAAMAVGGLVQSTGLI >OB01G49410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30467513:30468220:-1 gene:OB01G49410 transcript:OB01G49410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQCTWESMLLKLETMSSPWSPPTLAFLLLLGGENMMELVSSQELMEKFLERKDDDCAPWPAPPSLELLQPPPVTMLSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPAGDDALPDVGYDAAHLRYLRQVLPREAPLRAQPPVRHRVAAGVAPDPSRRSHACNASQHFSFFATWSSVSE >OB01G49420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30467542:30470100:1 gene:OB01G49420 transcript:OB01G49420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDTNSIMFSPPSSSKKARVGGDHGDDMVSSFSNIDSQFGLSKSSLEMSSMDDFMQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVNYIKELQGQVEKLKHDQANCTCLGKQNC >OB01G49430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30469628:30471061:-1 gene:OB01G49430 transcript:OB01G49430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSCCPKMCFCWAMLSFLCMHVLYDSLLVNYRGREMPLQVADEFVRRRGDTEWMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYNALQPSLVRTQSRLLLFEEPSPTVKLQERNTDNRRLRYLRLFSRTVSSSSAT >OB01G49440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30474715:30478357:-1 gene:OB01G49440 transcript:OB01G49440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3L6T8] MDAMEADEGPLLAIDAKLHAGMCRAFQPAVSKLLVIFPDIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARSQLQESLRRVEGIVTEEIGCKIVEIVAELEEVVFTLDQSEKEAGDEVVNLLQRNRKVNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKSRSDEDKRKEYVVSYLYNLMRKYSKFFRSETGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAFSDSEATGCVSLESFDSTNVKSVKVVPVDNVRKEEPANSESGTLDDSSCYDFDMNEGYRNLLLMLHERTNPHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAIQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVVDLLEQMISNPRLSAPATALYLNLSCLPDAKNIIGSSQAVSFLVDRLFSHEARDTKGGSCKHDALYTLYNLSNHQASVPSLLSAGIVEALHSLLTESPESEGLGWTEKALAVLISLAATQPGRKEIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGRGKEKSQKLLKLFREQRQRDGAQPAPQQAQQQQHQQQVGETGNGTMVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLYQC >OB01G49450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30492259:30498684:-1 gene:OB01G49450 transcript:OB01G49450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRASLRRAIAAAAVSCPESYKQGVCGSTFHCRYFSSKAKKKVKPSGTDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGHREVPVVSTGSFSLDLALGIGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKNGGYCAFVDAEHALDPALAESIGVNTNNLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVTTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKSYLNENESVAKELEANLRKLMETEAPKRQEDEGDFLSDMPDESLTSETSSEEELATAMEA >OB01G49460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30499420:30499596:1 gene:OB01G49460 transcript:OB01G49460.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFVNKNILQTMLLTLNMRALMRPIANVMGIINYPFQHRRWKFVFNGANGHLLRQIWVF >OB01G49470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30500475:30502292:1 gene:OB01G49470 transcript:OB01G49470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGFDLSCSLTKEEDSDPKLSPFLCKLFKEWDDRKARGLFHHDISSCETKILHGEHNFVATLIEGRDQKKRPTQFGMNQVIQPFDGAKFNFTKVSLEEVIFTLEEAQDDSEKYFGNVPHALAASPSAILINVSPIGYCHVLLIPRVQDCLPQRVDKESFLLAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKTPIEKLATLENDVSIFQLVQYPVSGFAFEGGSCLEDLSDVVSRVSIFMQDNNRPFNVLISESAKRVFLLPQCYAEKQALGKASPQFLDMRINPAVWELSGHLVLKRKEDYDEASEATMSRFLVEASLSESEFQELKSCILEFLSTAAPEE >OB01G49480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30507156:30511565:1 gene:OB01G49480 transcript:OB01G49480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADNREKVAREAAEAGGEPPPPAVTLIPIPLFHVFGFMMALRSVAMGETAVLMERYDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFAAVFPNVEIVQGYGLTESSGAVAATVGPEESKMYGSVGKLASHLQAKIVDPSTGEELGPGQRGELWVRGPIVMKGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPEIVDAAVIPYPDEEAGQLPMAFIVRQPNSNITKEQVMDYVAKHVAPYKKVRRVAFVPTITKSPAGKILRRELVQQALSMGASKL >OB01G49490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30509069:30510144:-1 gene:OB01G49490 transcript:OB01G49490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFVIFEFGCLTMKAIGSCPASSSGYGITAASTISGWDCRICSSSAGVTWYPLYFINSFNRSTMYRKPSSLK >OB01G49500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30514199:30517452:1 gene:OB01G49500 transcript:OB01G49500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVESAAYGSAGKLAPRVEAKIIDTATGEALGPGRRGELWIRGPVVMKGYVGDAEVTAATITPDGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGTNLTEQQVMNCVAKHVAPYKKVRRVAFVTAIPKSPAGKILRRELAQQAVASRL >OB01G49510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30518384:30521940:1 gene:OB01G49510 transcript:OB01G49510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) TAIR;Acc:AT1G24340] MPSIAGAGGGGLFSVHRAYSSLAAGGGGRGDDATPHLPVVIVGAGPVGLVLSFLLAKFGIKCAVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIEKSQPPVDLWRKFVYCTSLSGSILGSVDHMKQEDFDKVISPISVAHFSQHKLVDLLLKKLEDIGFQACLPDEIGSSTQDLVLENKILMGHECSSIKVTDSGVLIGASFNKAGRMQERKIRCGLVLGTDGARSTVRELAGIHMKGQRDLQKLVSVHFLSRELGKYLSRERPGMLFFIFNPDAIGVLVAHDLEHGEFIFQIPFYPPQQMFEDFSTKVCEEIIVKLVGWEPTDVHVLDIKPWAMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLVQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPSTLGLDPTVANSVHQVINSTLGSVIPRKLQKTVLEGLFSIGRAQLSDYILNEKNPLGSSRLARLRSILDEGKSLQLQFPAEDLGFRYELGALDAEDCAETTYEAEKQKHSKRSREYVPSAKAGSRLPHMPMRALSTSNEAVFSTLDLVTGDKPEFALIIAPLKESYELARALLKIVDEFKISAKICVIWPRGSVDAKVKGSRSQLAPWTNYVDVEEIPRAPVNSWWEMCQITRKSVILVRPDEHIAWRTESDRVGDADSEVRRVFSKVVCITRPI >OB01G49520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30522129:30524405:-1 gene:OB01G49520 transcript:OB01G49520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGGDGAASASPDAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGSALFATAAIFASVVMYDASGIRLHAGKQAEVLNQIVCELPAEHPLSETRPLRELLGHTPTQVVAGALLGCMLATAGQMFLAVTGAV >OB01G49530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30524452:30524739:1 gene:OB01G49530 transcript:OB01G49530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPASPHPPLYIYISPSSPKKLPPLLSPPRQWRLLNRRISFASRTLTPSPSLSLASLEKNYVIKKGNFLFSLSTSWAWRNRNWRPGRRICRGGRRK >OB01G49540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30525708:30529718:-1 gene:OB01G49540 transcript:OB01G49540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAQGGGEGNVDKVEDAPDDLALDRYKMFLKQYKEWVEANRDRLEEESYKFDQDYYPGRRKRGKDYKEDMLELPFFYPGQICYGQVTSVHLYQGAFVDIGCVHDGWVPIKGNDWYWIRHHIKPGMKVYLELLAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPSKDIEREPLVSDHPFVETLWQWHNAEQMILDHEEENPEKFKDITYESTVGTSVVTEENRIEHTEGHFKGNPLKKEVVNIDIKELDLDAARAERQMIRKLQKEAEERGEEYKIGKLQRNKEMDEYDLMQWRRSFEERETLIRDICCRKAFGLPVDEPGRYDVDETEVYGKDYYDPSKPMYRYDYWGEPKNTEKTKLEREVELHNQQIIGDAKKWCEMSYDDYVQQKVRMEAAEARERQRKALEPQEEEEEYDDGMDLDLKKMMDPQAPHNRYYITK >OB01G49550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30531299:30537380:-1 gene:OB01G49550 transcript:OB01G49550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAVLDYVTYVRVASLAILLVWILAEFVRLNKRHRGGHDDMVSSQRKGAALLSAHIIVLCNASISFMHICFSVLVFWKGQIVSLDLIFGSVSWLLVTLFLLYCKHEDAGAVYNWPAVLLSWWFFSFLSESLLTLLHLLHLFNSATIVNFTSLPLCTFICFLAVIMRPSKASKQEVQNQPLLVREDSDESSTDKFSNSGWWSCLTFQWLNPVFEKGQKVRLELDHIPTVPQSDTAEQSYALLQETLHKQKPEPMPLRRAIVCSVWTPLVANAVFAGLNTIASYMGPFLITYLVELLSDKNPDKGHGHGYMLACLFFASKTVESLSQRQWYFGARRIGFRVRAALMVSIYRKSLLMKNSSIASGKIVNFLDVDVEKVGEFFWYIHGIWLLPLQISLALAILYRSLGAMASLSAVLATVLVMVSNTPLAKSQENLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYFNNLLKLRDTERGWLRRYLYTCSAIAFLFWASPTLVSVVTFGVCILVEMPLSAGTVLSAVATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKDDHQGKPSCYDNNTGTKDLSMVGAMEIEPGVYGWENDNSLKRTKFTLKIDRKVNIRKGQKVAVCGPVGSGKSSLLYSIMGEIPRINGAETTVFGSRAYVAQSAWIQTGTIQDNVLFGKDMDRSFYEEVLHGCALDRDLELWANGDMTMVGERGMNLSGGQKQRIQLARALYSDSDVYLLDDPFSAVDAHTGAHLFKECLLRLMSSKTVIYVTHQLEFLRDADLVLVMKDGTIAQAGKYDDLVSDRDGELSKQMSAHNQSLIQVTPAKAHGMTKNKQCKRRQTELTEIESDHNVIGRECEEERESGRVKWDIYRKFVSSAHNGALIPVILACQVLFQGLQICSNYWIAWAAEKQEVSREKMIGIFVMLSAGSSVFILGRAVVLSTIAIETAHQLFLGMTRSIFRAPINFFDSTPSSRILNRASTDQSTVDTDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFILFLIIIAISTWYQSYYISSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGQKFLRKSLVLIDDYSRITFHNSATVEWLCIRINFLFNLVFFVMLLILVSLPRNTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNIPSEAPLVIEDCRPRETWPWCGTIQIDALQVRYKPDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPFEGRIFIDGVDISLLGVHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHLDTEIWEVLQKCRLEEIVREDSRLLDAPVVEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETNNCTVITIAHRIPTVIDSDLVLVLGEGKILEFDSPENLLGDESSAFSKLVMEFVGRSS >OB01G49560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30537688:30538137:1 gene:OB01G49560 transcript:OB01G49560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPRTKVPQLKHRENVVTRAGAFIQMQNMQNVLGCRQKAWQTGNPIQFAHRDQISLRHRGGTDAGSAALERHLGGGGNCQQCGVQACMCPPCVRLHWVLWLYDRLHDHSTTEVGDAKRTACE >OB01G49570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30544516:30551571:1 gene:OB01G49570 transcript:OB01G49570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT1G67690) TAIR;Acc:AT1G67690] MSPRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTSQVNLSASDIKRLADRVIAKSKETYDAVGAVPLDKVSFSNVIAPLAELDAQLFPLVQACVLPRMVSPSDDVRRSSAEAEKRLDFHFLQCRQREDVYCVIKAFMQKGERIGLEATRFVQCMVREFERNGAKLTQSKKTEMDKLKSHIDDLSLKYIQSLNDSTKFLLLSEEELAGMPLEFLKELENTDGKRKVLLTSYHVTPILEHCKVGSTRNMIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYSDYAIEPRMPRTSRKVLEFLEEMSEQLNDQANRELSILKDLKMKEEGDAQFGVEDLLYYMKRAEELKVDLDIGEIKQYFPVDLVISGMLKTFQDLFALRFEEIKDAETWHDTVRLFSVWDASSSDLLGYFFLDTFSREGKYSHTCVMALQNGCLCSNGTRKVPVAVLLSQCPKECDRNSTLLRFPEVVRIFHEFSHVVHHISNRATFSRFSALQVEGDFAEIPSLLLENWCYENISLKMMSGFHQDITKSITSEACQSLKRRRDMFAGLKLKQEILLCLVDQIIHTSENVDIDDLIKDLHPKVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHVGLRFRNKVLAPGGSKNPVDIITDYLGREPSLQAFIQSKTRNIL >OB01G49580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30552063:30554827:-1 gene:OB01G49580 transcript:OB01G49580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQRVAKLCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDGKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFSLDHPAANPLAPDRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >OB01G49590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30564398:30568492:1 gene:OB01G49590 transcript:OB01G49590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSQHKCGIIVELGPKSWDRKAAEPFHLSLVSSDLSCATSLSASAPSSSLQLVSRFSETAEIIMEAVEESNGRHGDDAAGRRASRKDRRNAWASGFILVNNFLQYTAYFGVSTNLVNYLKYRLHEGSKSAANSVTNWQGTGSITPLVAAYLADAFLGRYWTILLFMVISILGYGVLTASAAAALQGAVFYAGLYLLALGSGVLVVMAPFGAGQFDEADEEERRRQSSFFNWFYLSINFGSLVGGTVLVWVQTSVGWGIGYGIPAIFSVLSVAVFLAGTAAYRRGQPPGGSPLTRVAQVVVAAARKYDVEVPSDASLLHECDVVDGMSAIQGSRRLVHTAQFRFLDKAAVETAGDKAGPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMSTTFILQGDTLDPRVGRFKVPAAVLSVFDTLSVMLWVPLSDRVIVPAARRVTGHDRGFTQLARMGVGLVILTVAMLTAGALEVARRRVIARHGLYGDVGDGSYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSSTSFALGNYASSAIVVVVARVTARGGRPGWIPDDINRGHLDDFFWLLAALCVANFAAYLLIARWYTYKKTVH >OB01G49600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30571637:30573079:1 gene:OB01G49600 transcript:OB01G49600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEPCRSALNSISYCSPQQSHHLNPTQQDASVICSANQELDYYYRFYDVDEAAFDGNEVELVSRVSKLGRVDYLSSPYQPSWPTAAAHAAGSSSETSRVRKKRFWDVLQSCKQKVEAQEAMEAPAAAFLDGEGDGVGAGDGGDGGGGGGGGGGADGMRLVQLLVACAEAFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMASKAREWLDDNAAAGGYTVAEEKGCLVLGWKGKPVIAASCWKC >OB01G49610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30579318:30584075:-1 gene:OB01G49610 transcript:OB01G49610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRISDRLRPWSRSAQFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWELQHEIGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIHVVRDVVEKQFGKSFDDMFEYFDVEPVGSASIAQVHRARLRLSKTDVAVKVQHPGAEKLMMVDIRNMQAFALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMDRIREFLFVTNKKPPVIVPRVIPEMVSREVLIMEFIEGTPIMNLGSEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDGFFHADPHPGNILICKNTEVALLDYGQVKAMPDDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLKAARSRRPRSFIRRLFWRDSDNCT >OB01G49620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30592107:30594435:1 gene:OB01G49620 transcript:OB01G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSEVFLHIATRCLDWVVSVTIELYFCSSQVEAEFADGSTFHLSAEFLRVHSPAADSKIRSVSGEKVLFGRRHVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSNKFSLMRNYIRTLRKHGLSRDPRRRK >OB01G49630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30595803:30595961:1 gene:OB01G49630 transcript:OB01G49630.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDRGQCTRLRSCQSVTKTKGVYARLNNTKFSGGKMRVSTISNKASHFWTIKG >OB01G49640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30595802:30604276:-1 gene:OB01G49640 transcript:OB01G49640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:J3L6V8] MHIKEVCLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSCSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPAEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVDEINKLLDEEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAEKVRDGALNDVKQIRAKIVELDENTEKLKSEIQEMDKSISTLVAEKEAKLGGEMKTLSEKVDKLSHALIKETSVMNNQEETIRSEEKGAEKILKNIEDIKRSIIERDAAVNSAENGAADMKRRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKEKKAQLVSKRDEATAAENELKARQKDLETINASMRSVNYEEGQMEALQKDRSIELDAIQKLKDKVRALSGELANVHFSYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDSETTGKQLLQNGDLKRRVTIIPLNKIQTGTIPERVRQAARRLVGAENVTLALELVGYVEEVKNAMTYVFGSTFVCRNMEAAKEVAFNREIGSTSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHELAKAEADLASHEKSLSVIEQKIATLLPLHKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEEELQESKQELKAKQVQYEKSVSTVSELEKTIKTYGSEREGRLKSLERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVTNELAMLEEQLATSKAQIATMTETLDRHQSKVASIKQDYDQAESELNIGRSKLKECDSQINCMAKDQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKNGTDYDFASCEPHKAREEFENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKTKIKTVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >OB01G49650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30606669:30610685:-1 gene:OB01G49650 transcript:OB01G49650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAALLLLWPATASAEGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKARQPGGVTRLLSCGPDQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLKHSVEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIEDLRALAPQWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIEPLILHYGLPFKVGNWSFSKLEHHEDGIAYDCNRLFPPPPFPREVEMLESDPNVKRGLYLSIECINTLNEGLVLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKNWNGQLVENRKTVQHVPSLKEDNKRPKIHTLFSTECTPYFDWQTVGLMHSLRVSRQPGNITRLLSCSDEDLKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWISHVQTDAEFIVILDADMIMRGPITPWEYGAKLGSPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRRDILIYPGYVPVPEVNYKVFHYGLRFGVGNWSFDKADWRNADVVNTCWAKFPEPPDPSTIMKEDQGTWERDLLSIECGRALNKALYWHHKRRSCPRPSPVDGISSKLETVTTSSNFEGGNAKNSNANRLKSHIDLAKMKAVKRVAAIVSPVHRARRLARSSRIWIVAVWAASVAAFLLVISMFFTDRRRSVSRSRVSRSQKAHI >OB01G49660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30613149:30616959:-1 gene:OB01G49660 transcript:OB01G49660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 11 [Source:Projected from Arabidopsis thaliana (AT1G67710) TAIR;Acc:AT1G67710] MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNSFEGLDEKSLFMRSDSDTMRKRKDMDKDHADQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPNIGNGYPHASQNIQTQTKMLDSQLEDTKSTLPLPAPDKKRTLVSDVADSQNVTSASSLGGVLSFKSLPVNQDRKPSETMILECQTWSGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYTPPPLISMSCSMEGGARDFSDVKPAIMDCIKSLSPAMRCTVDSVSVQLSDSVVTSTDGDLKFSSVDGSPSNKDCCLDQTNSQGTLRPSPESSIIGGTELASLPDDLPNYPLHGVSFENIGLSSIDLLHYSDAMILSGLQNNWYDELEFSSDMMDYPSIDLFV >OB01G49670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30619740:30619892:1 gene:OB01G49670 transcript:OB01G49670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSILLCMLVVWCPWWGGGNLVTRNERIPSAKKEICRSNPGHSRQFFTTV >OB01G49680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30636711:30636992:1 gene:OB01G49680 transcript:OB01G49680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATPVVGGQFFSWTVFLKLYSLTASILSLNFEGFHLFLFPLLKKYFFSLGSCKYSLYGEIGVLLHRWFIRSHFCCLAMVIVIAVGLFLGLRRG >OB01G49690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30641915:30643779:1 gene:OB01G49690 transcript:OB01G49690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPGKAAPAAAADYKARFANAEHVILQWNRSPLSDTGIWDAAAACTNERLLDAVDEILDLAEAQPFPASSADAARLDGALGVAMSRMMDEFLRLRVWNALSHELRYAIDKLSVAVSANALWIAFPSTGARSSSASTVGRASGGSPTSSAPGDVAVLLDGEFLDELELLCPASLPVLDEIALRVIRAGYTKVLVQKFKNSPCDVLDRFLSIFQVECSRRTTEAVIKRWSLATKLVGKALVVMQRQLYAQSSPGAFDALKDEYFLAITKNRVLNLLKFADDFTSITSHEKLVYILGMYEALSDAAPGLLLMFTGVHKELVSERTQEILTRLASSIRAMVASLLAKVRDDVSTTKKNNAARGGGVGVHPLTRYAMDCIEPLAPHRDALDLILASGGGGVTSLSDLASRVVGCLDRVLLLEEKPVLPCGGGGDDDGAAVVSRHHLFVANNAGFVLQRGRPLLGNEWAAQREDLGARHVASYAEARWAPVVASLETAGRKPANAAAKFSAAFDEAYESQARCEVPDPTLRDALRKAASETVVPAYGVYLKKHPKLEKKVRYTAGELDQRLSELFEGEAAERNK >OB01G49700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30646405:30648319:1 gene:OB01G49700 transcript:OB01G49700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGAGKAVGDIAAASSKTQAERRLAVAEQAILGWRRACSPGVWDSDATCTNESLLSAVDEIILLAEIHAFPMASAARRSMEGALGVAVSCLVGEFLRLRVWDASQMEIRLGLRFAAGKFPVPAATSGVSLSLAFPTTGSISTASTIDELYASGGSQSSAADAVTVLLDGDFFDELDLMPASLSVLHQIALRIIRGGFTKELLHAFTNAPCDVLDRFMSILQLECPFEIDHVSFEDAEWWTAEDMIKRWILTIKLVAKVLAVMQSQLNAQSCGAFDRFKNDYFMAMAKNSIFVLLRFANGFTSTEAPDKLVYVLEMYEALSNAAPGLLLLFTEQRVELISRQVEVVLAKLARALRIMVGGLITRIRTADRSQTTGSAACGVGVHPLTRYAMTCVELLAPHGPALDVILSNGGAAEGVNSLGGLVAVLVTSLERNLEEISPEISSDDGDAVAASRHLFLATNASFVARRAVDAGVESLLGEGWAARRGSLISRYVASYVEACWAPVVACLETSGWKPAKAAVAKFIAAFDKAYESQMRREVPDPALRDALRKAASEVVVPAYSVYLQKHPELVKNVRYTAGELGQLLSELFEGEAAES >OB01G49710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30649311:30652268:-1 gene:OB01G49710 transcript:OB01G49710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIRVIKVEQEEGIADSHGEEKDEKGDGHEEKAEKVRRRRKRVYDPQRKKACVDCTKRCIRVHGRASSDEKSRPTPTLPSFFKIMVGYFSENMDIPLPFARTISDLTGSNVYLEDTYGLRWRVRLCLQNGVLSFGHGWKNFVLDHDISVGEFLVFRQIARSVFTVQIFALSACERIHLCERNNRQSRKRKPRRKTGHSANQMVKASNKCVDKRRKKQRTEQRCDLGKSQPDMPVHVSIDSGSELKCSESSVKELGVAADESHEAVKVAARETDSCAIECNATVMSDDQESARAHQDMPMQLYCELGLQDGNGETRNCENSSILENAELRTPLAMMDLNEVSIDDIFLSADIYEFDSDFCSPEAFSVELNTQVLANNGRGSGDCFGMPETSTCLENKQMSDVPRTRADAGSVALHGIDINALPRNELSETAGQDSTCPDIDAAPDDCKKDKDIMPSEGNQVAQKADSSARKDIIQDGPRQIAAEIMPSIPKTCGITDVRENSLQLGNNSKGRERGSTKSCVVLGVAANNKKFCITVPPTDQTWLELPRRLPVLPRTKKQARKILILKDPCMRLWPVLYQCTPKFNGFIAGWADISRENNLHEGDTCEFELCSNSELSFQVLVPDLQ >OB01G49720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30661518:30664957:1 gene:OB01G49720 transcript:OB01G49720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCKEKGWSKEASGGGRKLYADDEDDEREDECGICLEACTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTRDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >OB01G49730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30665973:30668629:-1 gene:OB01G49730 transcript:OB01G49730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3L6W7] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENIEENRRSLRELLFTTPGALQYLSGVILFEETLYQKTKDGTPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIVCQENGLVPIVEPEILVDGPHDIDRCAQVTEQVLAACYKALNEHHVLLEGSLLKPNMVTPGSESKKVSPTVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNRLDTKKPWSLSFSFGRALQQSTLKAWGGKVENLEKAQKAFITRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >OB01G49740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30671256:30676217:-1 gene:OB01G49740 transcript:OB01G49740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19880) TAIR;Acc:AT2G19880] MAAMEAAADAVLAAAIRAFCGPVAVFIQIQGCLICLTLGLGWAVAAFVRKKEIRRMRRRIVDGNSFAFLCDDIGELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGFSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVVAALRAPYSEIVKDASKSSCGLKLVCCLLICTLTELVSMWNLTKVEIQLCNMLSPQGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKINKIDRENKLKYTDLGGKHLYGKRTYPSKTSLLGYLSRTLAQWHQPKKYDV >OB01G49750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30682154:30682396:-1 gene:OB01G49750 transcript:OB01G49750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMLMYNQPLTDDMTPLVHVRDIFRAQVFLAERSESPAAAAGERCICRGANTTVARPARFLAGKFPQYDVKTASSLLSL >OB01G49760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30682501:30682866:1 gene:OB01G49760 transcript:OB01G49760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERREEERRGRAATRRCRGGGGEASVGGAGQALLLLLLEVERRAAAGRRGDEGDQGASTAASGMRGRVATGEMARRRRGGAGEEERARLCCYAPRVGGGAEGGGYLFFQLKMHHQLFEP >OB01G49770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30683192:30691610:-1 gene:OB01G49770 transcript:OB01G49770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELSEKFKNIKKILKSHVFSDFSSLGTGKETEDATLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGATSTRNKESASDDENEDTGRNKIVSDIRKKYEKKLAVPNDETEHQDKDKQKDLSIPGAGFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTNILSSSMQVFLVIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGLIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMINPQFADKVDISEVQDEFSALITKALMTLVHGLETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTVAASYSKFVNREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHSPSIKHPTVAPTVAPPVPTASVHIAPVATPAVSVTPSMATLTGALSNREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >OB01G49780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30692332:30693499:-1 gene:OB01G49780 transcript:OB01G49780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCIDACLFIALASLGFSAAREIMPRTKLVLKLIENEKKRKATYKNRRDGLVQKVSQFSTLCGVDAFLVCFGPAAAGGEVTTWPPDRGEVLKRIASLRALPPGKIKQVQNTCTRLREDLTAQQRALLKVQQQQSGADEVLTLWDYRFDDLSLDGLNALHDTLCETLERVHRRMAALRGVHDDGASSSTFALAAPAPHAVALPDNDAFDFPFALSNPSAVAGALCYYPLPDTLLLPQPVPCQTPCFAYQMPPPCLAYQMPPPALAAAPLEFDQSIMSGTTFMDSNPYATTNIVHGGSTATTAVLDDHGQFLAAGAGYEDDLLAHDFAFAAGSGYDLEPRVSTAEVWPMNTLNDPVDGIAFQQQNNSRELLPGRSSGSNFQDVSDLAI >OB01G49790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30695451:30701267:-1 gene:OB01G49790 transcript:OB01G49790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT5G63200) TAIR;Acc:AT5G63200] MLADLNVDPPESDGEDQPPTPNPNVNPPAAASIAATATPVVAIDSSTRSSTNEGGLVKSVIATKEPDNVECEDADQHCQGASVPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAIGAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDIFDEELETGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLATVAPDYLDSLANLGVAHIQSGNLEMAAKCFQELVLKDQNHPAALVNYAALLLCKYGSFAAGSGGNVSAGSYLHQKNGLSVAKECLLAAVKAEPKAASVWVNLANAYYMAGEHKNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAVYDTEQNNLSDIEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPDCSYVWSNLGIALQLSDDSSCETVYKRALALSSSQQSYAILSNLGILYRQHGRYELARRMLLRSLELCPGYAPANNNLGLLSVAEGRYEDAISCFEKSLQSDPLLDAAKSNLAKVLALSKKQ >OB01G49800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30705753:30706718:1 gene:OB01G49800 transcript:OB01G49800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSIAGAGVVGADICAMVAEHEKIEWEVRPGGMLVQKRRAPEEEDGSMSSGADTILVRVSTGWQWHDVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKARKLRSTTLAQLMGVPCHSFIEV >OB01G49810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30709664:30715252:1 gene:OB01G49810 transcript:OB01G49810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVWSEVAGEDPPPPLPPSEGGESSGALSTPAPTDADDGDKPPPRTSKPGRKRLFLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLSFGRAPLEASAANRIEREISTQLVDLPAASTAGNISVSVTVDSAGGCFSSGKAASYWRCGAVTTVDLVRGDEVFDELLHSALGGGGADGMRVYTVVFVDSDDGMGLSIVIGKHRHAWVVGKVDEGEVVSIIGKVFVKYFLNGGVEEGEAGIVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFEKIGERMLIPVIEALRPIANINIESQVLYHTPKSSYSYYDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVIIWNPLGCSLNSKPHGALDKMSSQELMETIEIFIGQLRQLFGLKSSYHEQSMDGATKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAANLAQRNASIGISDSSAVSATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVIKELKRYKVERGKYSAFLASQASTS >OB01G49820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30715694:30722433:1 gene:OB01G49820 transcript:OB01G49820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPPPAPAAELVPPWLKSLPLAPVFRPTAAEFADPVAYIHKIEAAAAPYGICKVVPPLPPPPKKAALSSLSRSFAALHPDDPSPSFPTRHQQLGLCPRRPRPALKPVWRSSHRYTLPQFESKAAAARKSLLARLNVPASKQLTPLDHEVLFWRASADRPIVVEYGSDMPGSGFSPCAAQPPAAAARAGEHVGETAWNMCGVARSPGSLLQFMREDVPGVTTPMLYVGMMFSWFAWHVEDHELHSLNYMHLGAGKTWYGVPRDAALAFEEVVREHGYSGEVNPLETFATLGRKTTVMSPEVLVDMGIPCCRLVQNAGEFVVTFPGSYHCGFSHGFNCGEASNIATPEWLRIAKEAAIRRASVNCPPMVSHYQLLYELALSMRFREPSSGEMGTRSSRLKDKKKCEGEQLVKRMFIQNVIEDNKLLSHLLNDGSSCIILPSNAYGGPVFSALHSKYQSKLNSGISHDLCNMEEAPEASGCLSLNRNGDTRHCISSDMRNMEGDKGDGLLDQGLLSCVTCGILSFSCVAVLKPRDCTARYLMSADSNSINNQLCISGGSTLADAIINERNGVISRPGSERCCNKKMSDDAEIDRNSALDLLAFAYGGQSDSEEDPLKKILQVAHNSDQLLRGIIESQPKSSSNVGCFGTKLSSSSTESKEIPSSQNARCIGSSVISNGPKGVRTRNKYQLKMVLSEGFQAKDMFSVKEKKVQPEPSSSKGSVKETVDGGTKNDAGYTISVSEHRGSTEDMYSAKDKKVQSESSNLDGTAKETVDVSGTENDARCNSTTISVSEHRGSTPMINSLATSIVKPDKDSSRMHVFCLEHAIEVEKQLHAIGGSHIILLCHPEYPKIEVEARLLAEEMGVRYDWKGIHFKEANMEDRKKIQEVLQDEEAIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVIYRAFGCNSQNDLPVKLNTCERKQSHQKKIAVAGRWCGKVWTSKQVHPYLAHRVESQEGEEADRICYYRVDEKHKAEPIGNSSRTEASKRKSSSLTDGTESSNRREEIPGEETNTKRPKHSEEDNLRALESAAEVVAPSPAGTVLRISSRIANRAKKLKSKMAEDDGPSNHPKSNIEEKSSHASGQKSNIQEENANSASHLRATPPKQKTEVEAKKQTKIPKAPKQAVEYPCDVDGCSMSFHTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLTCPWEGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRKTGHSVKKKKKKAKS >OB01G49830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30724412:30725521:1 gene:OB01G49830 transcript:OB01G49830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSSWRILVAVALLAMAAVELCAAIPFDERDLESDEALWDLYERWQEHHRVRRHHGERHSRFGTFKANVRYIHEHNSRGDRPYRLRLNRFGDMGTEEFRATFAGSRPNDLRRDGFEAPPLPGFMYEGARDLPRAVDWRRKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEYIKHSGGITTEAAYPYRAANGTSDAVRARRTPLVVIDGHQNVPANSEAALVKAVANQPVSVAIDAGDQSFQFYSDGVFTGDCGTDLDHGVAVVGYGQTDDGMEYWIVKNSWGTAWGEGGYIRMQRDSGFDGGLCGIAMEASFPVKFSPNRATPRRALGAKDSQ >OB01G49840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30741704:30744521:1 gene:OB01G49840 transcript:OB01G49840.1 gene_biotype:protein_coding transcript_biotype:protein_coding XQLYAAAASSPTWPPPSSWDWPHDDNRGLVLGQAVWAHFAAASTVPDDGASRGSLVVLNSLPGVSLFELREVFQAFGDVKDVRESAQRPSHKFVEFFDTRHAANALAELNGRELFGRRLIIEYTRPSLPGTRRRGHVSHQPLAPTPPRLQAAWRPAAPAPVQAQPSSSGSGKAREGVVLLRRSSGKGSSGSQSKGGNAGHEQKRKGGKSAATACSAAASASSSSSSTATAPSKQGQKGGGGGRGGSWRGQKSGWEARFLFKEPDASAAGDASSETQEPATCKDTRTTVMIRNIPNKYSQKLLLNMLDNHCILSNQQIEASCEDEGSDGQPFSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLDALKEHFKNSKFPCDSDEYLPVVFSPPRDGKLLTEP >OB01G49850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30759027:30760976:-1 gene:OB01G49850 transcript:OB01G49850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYVPNDRALWEQELAKKRSQYAAFKEEFLCNPMEIARRQELEGQGSDSAGNINNGLLHRLEVTKEEHPLSLGKTSAWNQFFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLIIFAKLNAGIRYVQ >OB01G49860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30766543:30771049:1 gene:OB01G49860 transcript:OB01G49860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPRVAAASAAAAAADVEVVTSSGRRRIPAHSSVLASASPVLESILQRRLKKDAAAAAGGGGGKVGRAVVRIRGVPAAAAAAFVRLLYAGSSGDEEEIDEKSAVQLLVLAHAYRVPWLKRRCEEAIGSRLTAETVVDTMQLAGLCDAPQLHLRCTKLLAKEFKAVEKTEAWRFLQENDPWLELDILQLLHDADLRRRRWRRKRAEQGVYVELSEAMGCLTHICEEGCTEVGPVAPPRQLHGVPAHVAAAPPPLRALRPPRRPLQHPSLHVSYTRKEEQKAAAKAGDDDDKWGLLVKKVKVARAMSSLGKRRQMSCSQC >OB01G49870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30768636:30769013:-1 gene:OB01G49870 transcript:OB01G49870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQGSFSWRKRHASVFSTALNSLASSLVHRRCSCGASHSPASCIVSTTVSAVSRDPIASSHRRFSHGTRYACASTSNCTALFSSISSSSPLHINQKPIDKISDLIVYTYIYIYIYMNLLASI >OB01G49880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30771809:30773601:-1 gene:OB01G49880 transcript:OB01G49880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTFGAFLFWQSMDKVHVWIALHQDEKKERMERELEIKRMQAELMAQAKESES >OB01G49890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30773856:30777423:-1 gene:OB01G49890 transcript:OB01G49890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVALGGGGRRKAXXXXXXXXXXXXXXXXXXQARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFSSFVCDLQARVLASSSDQAAEFTDMDGESSAVTSPFPALISSKANGVGMANMNANVVGGMTHSNSMPTLTATKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQENSETSSRVEVDDPSQLEDERDEDYRSEAEVSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGIFGMNIGISLYTDPTNDDEKRASNMRFWETTLGTIAGCTIMYIVAMGWGKRSGLLQ >OB01G49900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30783258:30783410:-1 gene:OB01G49900 transcript:OB01G49900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAFSFCLACESMQWTTSEMQLTISQNVRPHISLISTSNNKQNCGIPLLPS >OB01G49910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30789182:30794039:1 gene:OB01G49910 transcript:OB01G49910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLHTEAATGQEGKAGSSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGITCLLFVGAAAWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFINLLLGNMGFILLGGRALKAIHGEFSGGHSPARLQWFIAATGVVYFAFAYFVPTISAMRNWLATSAALTIAYDVALLAILIKDGKSNRQKDYNVHGTQAEKVFCAFGAIAAILVCNTSGLLPEIQSTLRKPVVRNMRRGLLLQYTVGAAVYYGISVAGYWAYGAAVSEYLPSQLSGPSWATVLINATAFLQSIVSQHLFTVPVHEALDTQMQRLEEGMFSRYNLGRRLLARGLVFGANAFVTALFPFMGDFVNLFGSFVLFPLTFIFPSMLVLKIKGECEGRWSRVWHWGIIVLSSLLSVATTAAAVRLIAHNASVYHFFADM >OB01G49920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30793943:30798259:-1 gene:OB01G49920 transcript:OB01G49920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSAAQQPARAGGASKPRLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHYISNVPNPYEQAISIIGQTLSKFDEDNMIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALDQYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMSKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSLKSPERVPLPPPTGSHGASSYGSKSFSKPTTYPQSSTSSYPHYESAQSATPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQTLGTCPICRTPISTRIKLY >OB01G49930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30798438:30798626:-1 gene:OB01G49930 transcript:OB01G49930.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRERLRCVLTLVVVVVVARGRRLGSATATAAAAFAPEVDIRGRGLGDLVDRLDLVLFFVLRG >OB01G49940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30810424:30811950:1 gene:OB01G49940 transcript:OB01G49940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G36240) TAIR;Acc:AT2G36240] MAASRRLARKLPSLISKHQRLITPEVDVQEDAESPASSSIPLDPSLPILPLAVSHLSPPSPLPALPSAHASTPEALLRILRHARHHPRLAALDLHLLLAAASDSPAFRPDHRLTSLLAARLADSRRLPSLRRLLELVLSRPCPCTDDSIFACPELLPTFRKAILAFAASGDIPAASDALASLRRAADSPLPAEFYNIILHALARLRRHEDTIRFYGEMTSTHRVPPDVYTFNILINSSCRAEGVDAAMRWFQEMRRCCCQPTNVSFNTLMRGFFREGRYKEGIKVAREMLQLGFGLSVASMEILIHGLCHGGEALIAAEFFVEFLVDGVVPEGFDCLNLVQSLCRARRLEKAVELVELILERNMLSCLSVPAGVTVLESVVKEGKLDEACRLMARMVAEGIVPDTISCNYIFEALCEARRTVDANRLRLQAKEKGFKADAFTYSILVQGFGRQGIRKEGKAVLDEMLDSGFVPNITAYNRLLDGLHMGRDRRSLQLQEKCSRHGDAAS >OB01G49950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30816489:30817049:-1 gene:OB01G49950 transcript:OB01G49950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCMACCILFWLLVVAVGVAVLVVFLVYHPKPPRLRVTSATLNTGHIDSDARELSADLTVLAAISNPNAKLHIVLRYMQLGLYFEGGMIAAQAGGAAPLHEGPRGTVLRTVRLVASNVTMAPPAVFVWQNATTSGGGPVVLELAGRFHTQLNVGRWLRYRFWAKPRCTLWLDPPPGGALRRSQC >OB01G49960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30819597:30820847:-1 gene:OB01G49960 transcript:OB01G49960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPAAAAAGGGEPRSRARASGTAPPARHREEIAHGVRHAVAPGDGGGAGTSAAAAAGDRSYSRHAISHEHQRVQFKEGQTGVHDGRRHAQYGPEQPPVHGDGGAEAPAGSKPGQPYYMSPWQKRSRQPVRPPIQEPHVLTHEKRPAMPAVPGGTTRQENTLTNQKTIERTSTIRDDDDVGGGGVPHGGLPPAGVWPEGRPSPSRPRTKSPSLFHPTKKKRQPVAFCFTACCILFWLLVIAVGTAVLVIFLIYHPQSPKMQVTSATLNAGYVDELGGPAGGGPRALNADLTVLAAIYNPNTKLHVVLRYMQLDLYFEGSMIATQAVWPAPLHEGPRGNVLRSVHLVVSEVTMTQEDVAVWQRATGKGGPVVLQLAGRFRAQLIFGRWFRFNYWVSPQCTLWLDPPPSGALRRARC >OB01G49970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30820728:30821085:1 gene:OB01G49970 transcript:OB01G49970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAVGNLFAVTRRRGSAGRTRTAPRLATARRRRCRLLAHVSASRASERASERARERREERRIGTVRGSWLSSTSLSNSPSILLDDDDDDEEEEEEARSENFGGKGRQGRYPWICD >OB01G49980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30821901:30825561:1 gene:OB01G49980 transcript:OB01G49980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMEGSMGFWGGVVKPGETVKCDPPGEFYYHISQIALEPGELNENVQVFVQVDGKRTLLGALSAEHCPQFAIDLVFEKEFELLHTSKTYSIFFSGYQAAPARRSDSPIEEGDESDEEVPLAIPLYPSSNDDRIKEHSPSKLTALKAAAAASPIPEALVEEREKHGKSKADDDDSEEENGDGSGEGESGDDEDIIDGLNSSDDDDGESSDEEETPSKNLEGKTRPVETPLKTPPQKKAKLATPIIDSKAGTGTSKKGGYVHVATPHPAKQAKKTHANIDMPTHSSGYVHFATPYPVKQAKKTPANHDMSKHSSGYACKSCNKTFNSTMGLEAHSKAKHTAT >OB01G49990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30826344:30836557:-1 gene:OB01G49990 transcript:OB01G49990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLESFAQEDEAGKQKWQKSECQDFTPRRYQLDVYEVAMRRNTIVMLDTGSGKTMIAVMLIKEFGKINRANNAGNVIIFLAPTVQLVVQQCEVIKIHTDLDVEQYHGAKGVDQWTGPRWQEQLSKYQVMVMTPQVLLQALRSAFLILDTVSLLIFDECHHATGNHPYSRIMKEFYHRSECKPSVFGMTASPVIRKGVSSHLDCEGQFCELENLLDAKIYTVSDREEIELCVPSAKEMNRYYDPKMVCFEDLSEELVVLCSKYDALITELQNKPNCLYKDADDITKESRRRLSNSVAKICYCLNDVGLICASEATKICIERGQEKGWLKGVVDATDQQTDASGSHLFAENSALHMKFFEEALHLFDKRLQQGIDTLLDSENGCIEAAKTGYISPKLCELIQIFHSFSSSHHVRCLIFVDRKITARVIDRTIKKIGRLTHFTVSFLTGGRSSVDALTPRMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPDCSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAILRSETSMVEIDSSRESEYLLPGFVHNEEINEYSVGTTGAKVTADSSISIVYRYCQELPKDKCYSPKPTFQFTQHDDGCVCTLKLPSSAALQLLVGPKAKNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDTVPETLSKTKGQSSSAGIGTTKRKELHGTQRIHAWSGDWVSKRTVVKLQCYKMNFVCDQVGQIYSDFFLLIDATLPNEVASLEIDLYLHDKMVKTLISSCGLLELDAQQVKQAKLFQGLLFNGLFGKLFTRSKTPNALREFIFNKEDAFLWNTASLYLLLPANPSFDSNVYINWSVIDAAASAVKFMRRIYSENKTELLGIFDCDQNVGDLIHLANKSCKVDSLKNKVVLAVHTGKIYTALDITDLSADSTFDGSSDKKECKFQTFAEYFKKKYGIVLRHPSQPLLLLKPSHNPHNLLSSKFRDEGNAVEKINNGTPVVNKASNRVHMPPELLIPLDLPVDILRSFYLFPALMYRIESLLLASQLRSEIAYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVTCHLFLKFPDKHEGQLSSRRCHMICNNALYKLGVERNVQGYIRDAAFDPRRWLAPGQLSIRPSPCECPVKSEAVTQDIHIIDDKTVVLGKACDKGHRWMCSKTIPDCVEAIIGAYYVGGGLRAAMAVLKWLGVESEIEEHLIVQAMLSSSVHTYLPKDDVFEMLEAKLGYCFSVKGLLIEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYFFNNHKDTNEGELTDLRSASVNNENFARVAVKHNFHHFLQHSSGFLLDKITEYVNSLGNSSMDNIELSSNGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFKPLLSPIVTPENLELPPFRELIEWCGKHGYFIGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHAASLLLKDLEEKGLIIPKNASKTEQFEKHCGSANHCNNLLVNAMDTPTPPNTTKQKNPTDLRNISDPMLGKALHVTVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPRCSVCSSPGGSSQKATPQAFAFASTVTLHIPNANVISQTGDGRADKKSSQDSAALFLLYELQRRGTLQLQERINDTCTFSRRQQEKAELEAMRSMPCIWLPHRSRLLQPQP >OB01G50000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30841151:30841345:1 gene:OB01G50000 transcript:OB01G50000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISLYIGLTDETISFFKKKIFDQFVFLPAVSYAGHYHCRQRPVLSFFMILIGKLKTKQAPKC >OB01G50010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30842616:30845126:1 gene:OB01G50010 transcript:OB01G50010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAPDLADFMNDWFFGTVGTRLSGGSAYDLTGESGGKKPAPAEKQQQGRSGGSASKQTQEWLEEAKRMVGAGSPGRKGVGSPSRQVPRFASAAAXXXXXXPPPRRDPMSRSARSPRQPGGIGDEILQRASISSSSTSSPPRADPFASAPSSPAHSLPPTPPSSRRKSRFHDPSAPDSSSTSTYRRTASSTSTSPTSASNPRLPHHRRHASASSAPAVDGLDLNSFLRRQRAAVAELGSASRPPTRSTKIVLSDASKSVSSIAAAICYAWMLASKADAQAAVPVVNMRRGRMERCRQAAWLLYHVGVDASALLFADEVDMDRLMMDKRVSLVVVGQDVLKPNDKMGSVCTILTNNYCEDAYSLLQSLDIKKLLCCLITMIILLSST >OB01G50020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30846935:30847870:-1 gene:OB01G50020 transcript:OB01G50020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSSGEKLPDIPSSGTAATRSGQSAVTLVYRAEISGHSRLVTVTWCRNLLTHGLSVSIEGSAGNGKDKIGREYGEAADGAGAGGKSCSACKVEMQPWHFWRKYGAKQFQVDGNAIDVVWDLRSARFSDEPEPLSDYYVAVVSGEEVVLLLGNLKKDAFRRTGSRPSLQDAVLVCKKEHVFSKKRFLTKARFHDKGKPHDISIECSSSNLTGGMDVDMVIKIDGCVSVLVRHLQWKFRGNECISINKLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSPETSSEFNADGYSDFCLFLYAWKVE >OB01G50030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30848716:30849084:-1 gene:OB01G50030 transcript:OB01G50030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDDKKFTGLSTEQPRCSRTSASSLLFKVLLARVGTPVFIELEPLPFKKIRALIQRSLVSIDHSFGLTETQPHFLSFHTQNGQILLLAAVHVSAINLFQTHRVVYASRPLQTTVHSEFNV >OB01G50040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30853967:30858488:1 gene:OB01G50040 transcript:OB01G50040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGILGRSGVPRSNEGMRLIFSAVIGVMLGYLFGISFPTVYITKLHFPSSIISYIEDRNSGITTQTLLNHAWTFANSKKRNSSESNSDEIPKIYVPTNPKGAESLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYPQKANIDAAVKKFSENFTIMLFHYDDRTTEWDEFEWSKKAIHVSVRKQTKWWFAKRFLHPDIVARYDYIFIWDEDLDVQHFNAEAYIELVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKVTEERPGWCTDPYLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGMAENGRAPWEGVRARCRKEWGMFQTRLADAENAYYLQKGIIPPNSTA >OB01G50050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30859165:30860292:-1 gene:OB01G50050 transcript:OB01G50050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSVNENSCYGVAGGGGGVQKKKSFREEVYEEDDVQVLYPTHNGGAVKKQTYKEEKEDEVYEEGGGGYARQHGGGAGGQKHAYQQEVFDKYDAGGYARHSGGGVQKQYSSYHEQEGYVAGGRARQHTGGGVQKQYSSYHEQEGYVAGGRARQHTGGGVQKQYSSYHEQEEYAAGEYARHNGGGVQKQHSYHEEEECHAGGAVKKHTYKKQEVINGAHGGEYNRHSTVAAVAGGGHRYGGAAATYGSGNACNKQQAYYHQSSYGCEEEDGSNDDDSDDDDECEDDDSDDDDDCPPSRQGSVVHGYQAYQHEEKHRAGRNHGGAGTRHYHAYECREEHGGAQRYEKYESSTQVGYAAAGRRGCVRAKVRPGNIMV >OB01G50060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30866326:30866652:-1 gene:OB01G50060 transcript:OB01G50060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEVDYCAAEMSRPHAGGGEHYAVRKESYEEVDAGRVDRHRHGGAGHLGGSHSDGGHLGYSGSRHGVHMGGHEAGYEEAHLVDGERRHGHGGRQYDSCTGQYYG >OB01G50070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30870263:30870562:-1 gene:OB01G50070 transcript:OB01G50070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVTPAGGYLHPGAGGRHGGVQQHVVKESFQEVDRSGSYGHGRRHNHSSHGSDYLVVRETKVEEDINTCTGEVHERKQSFLYRSD >OB01G50080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30873207:30875399:-1 gene:OB01G50080 transcript:OB01G50080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT1G07840) TAIR;Acc:AT1G07840] MDSGGAASPAGASGDGTGGGGGGDAVFRSDDAPKLLSALKEMKEGLDLVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDVVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKMPNAEAKTKDHPKDDEDLLKYRPNPDMMDTKIDPAGQDNDGLYRPPKIMPVTMDDDEDKRHKKDSRRDKALLRMATESSYVKEIIDDAADRPEELKETAGDESREFTRYIRQRELQEKQEEDLFTRAPLTKRDKQTERRMRKQLHGLRGLTDGFDLGMNMLVDDDSGKDVGSSEPHFKSGGRRKHQKGKKRKRN >OB01G50090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30876580:30880106:-1 gene:OB01G50090 transcript:OB01G50090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MSDTDVAQYRRHRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPVVLILAPTRELAVQIQEESAKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRPY >OB01G50100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30884553:30890617:1 gene:OB01G50100 transcript:OB01G50100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MAGGLAPLATLLLLLLLVAAPLASAVRPVSDAHRSAAAELFAASADGSFGDLETTYEAVRTFQILGVEKGKSLTGKACELAAEKLAPSSSSPAKDLFHAVRISGVVGCSVDSSVYDDVATRLKTVIKDTNSLLELYYSVGGLLSIKEQGHNVALSGADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLADVEVDPSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKNVDATEYKGPITTAASVVRGVTSFATVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIESLSFLENNRVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSTAPPLRVNLVQVLGSDSKVVIAETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYATGGRNTETIFVTGLIKVDKAEIGLSDNDAGTVESVQKIDLQKDTSVSLSANYLQKLRLSFQLSTPLGNTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIVLDFLGLVEKLYYLSGRYDLELAVGDAAMENSFIQALGHLELDLPEAPEKATKPPAHAVDPFSKFGPKEEISHIFRAPEKRPPKELSLAFTGLTLLPFVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYALFWVKLDLFTTLKYLSFIGVFLVFVGHRALSYLSSTSTKQKTA >OB01G50110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30890733:30895166:-1 gene:OB01G50110 transcript:OB01G50110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MWALVAADRWVVFVGFASLIGAALAEIAIPHLLAASIFSAQNGGAGVYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLVLSWPLGMCTMLICATLSTIMLVHGRYQKRAAKFVQEFTASANNVAQEVISLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVIAVLIGGISIMAGKLNAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSSEGRRLQKLEGQIQYANVSFSYPSRPTVPILGRLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDDVLLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSQEEVECAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKSVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHSELIKQDGLYSRLAKRQNDALD >OB01G50120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30902259:30906809:-1 gene:OB01G50120 transcript:OB01G50120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT3G24650) TAIR;Acc:AT3G24650] MDASAGSSAPHSQGNAGRQGGGGGRGKAPAAEIRGAEARDDFLFADDTFPSLPDFPCLSSPTSSSFSSSSSSNSSSAFTTAAGGGGGGDGFDELADIDQLLDLASLSSVPWEAEPLFPDDVGMMIEDAISGQPHQVDCTGEAKAMLEAAGAEDAGGDACMGIEAADDLPAFFMEWLTSNREYISADDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRTAMDDAAXXGTGQLPSPGANPGYEFPSGGGQEMGSAAATSWMPYQAFTPPAAYGGEAMYPGGAGPYPFQQSCSTSSVVVNSQPFSPPAAAAPAADMHASSGGNMAWPQQFAPFPGSSTGSYTMPSVVPQPFTTGFVGQYSGGHAMCSQRLAGVEPSATKEARKKRMARQRRLSCLQQQRSQQINLSQIQISGHPQEPSPRAAHSAPVTPSSGGCRSWPGIWPPAGQVIQNPLSNKPNNNPSTSKQQKPSPEKPKPAVSQPAAAVGAQQESPQRSAASEKRQAATKTDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGISIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDMKSGKYLIRGVKVRRATQLEQGNNNSSGAVGKHKHGSPEKPGVSNTKAAGAVPGGDDDNDDSVDTAAAGKPDGGCKGGGKSPHGGARRSRQEAAAAASMSQMAPAMADALKLLII >OB01G50130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30912672:30919348:1 gene:OB01G50130 transcript:OB01G50130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER [Source:Projected from Arabidopsis thaliana (AT3G23980) TAIR;Acc:AT3G23980] MASAQASSSSAAAAAASSSRKKDLQTGKKLLAEYRRKKNKVAKKAAETADQAAAPVPDTLENPPPNAASTNSGDKSVPDVDADRASTSSLPSAIYENGPTISSRGEEFLSNGLAPVNTPVSVNNPATMHDVSSDGGSKFYGNLSFSDLVNGHNEDWRGDAARKREEHSSDKDVALKSKLSAFGNSNSSIPHSSSDIFPSQGRDPVLSEVNDTEQSSSYSSGNLFGKSEGKYNQDYSSSNDIFGRFRATSKDSSQVDDLAYGSSRDGSSFNSSWHTSNSTPASFDKQDPFMSTGYPTTYNRSRPSFLDSIGVQRAPTTEAPYAEPPKASKLFGNSNSESSFFRPPNQQSTGSNDVDGSLKSGRQEYNNEKGSYGISIPTDSFHSKDERNMQHSTQMFQNFTTHGKEDDFAALEQLIEDLTKEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKSLRLRSNELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIERTSADKRDASTATEDLDAGESSSSETLTSTVDTIEDAGTSVSQSNNVVDFTSFEEVSSSIPYDQLRMIDNISSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTQKLELLTSQRMANENVLAKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >OB01G50140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30920323:30924141:-1 gene:OB01G50140 transcript:OB01G50140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MQYLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFAVECRDATDRAGWGRKDPRPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKINGSSQGSEVYKPLQDPLISRCVEITGMNEENVSVRDKLAFAAKGVLSKVLPKPRRAWLPTGCLKLLDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHRNYLEAQGDADVFFPTDFWLLEKIDHDCSGFSKEQKNPGAFKPVKKRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >OB01G50150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30925309:30926592:1 gene:OB01G50150 transcript:OB01G50150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKVPMKLLGEPKKRASTYARRKEGLLKKARELAELCNIPVAVVCAGPDGGAPTVWATGDDFDGIVGRYLALPAEKRARHTHVDYLRDQLDKEKAKLAALERDVPDELTTPGALLDGMSYDELQRLLASIDASLKATAERREALELLADDGDVGDGGRRDADAPLVPSIGSSSVDVHGYQHQAHALGNGGLLEPVPLNSFHPYNAGATMTQPMYNDAPYMAGHGVDMISGYQMQMQMPSNGSNNHDQLAWGPFQPCNATIVHPEYDHLQCWDNNNAGGYQMQPATAATAGWHTPVTITQNIHGEPCNAILPSAGDPYMDTGGNGNGIGIGIDTTVADYHIPNTGDNFMDEPVQLLAIDSDKRYTYTTAPGGDEAQFSMDDLLQCSDATQNSSSLNQLHYLADLADGFDFDFPSNLDDPLDLYWEE >OB01G50160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30928419:30929518:1 gene:OB01G50160 transcript:OB01G50160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGSPDEKRSASLEALNAKAKVLGARHNVPVAMVYPGDNGEQQYWPSREWVEKIYRRYRKLPEKDRREYSRSVTQNQAADLARELLRSIDASLAAVSDRIRELQPPPQDVGEVEQQLITPEQPGSFMVESQDHGSVGSDAHDMDRENAMPPNAVAPMEEESAAPGEDVVMTDQVPA >OB01G50170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30930078:30931782:-1 gene:OB01G50170 transcript:OB01G50170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGCSSMISCSGGRCGRRRRVLVAATPTAGGGVGDWRRRFSKKFRPISSVPKTEKGAYRAVVPALCDAGMLDKATHVLYSMLWRVSRRGCDADVVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSSGSRRSLRVPMLAGLSIEDAQEVIDKTLVIRGGRTVASFEVMFIDLYDEGRLNEAENLFEEMGKKGFKPTLCMYEAKIASLCREGRLDEAVKVLEEELPKNDLVPTVATYNSLMRGLCNMMQSTRALRYLGRMDKQLGCVARKETFSILVNVRLVISAVPKGIFVLLFLQFQKEFL >OB01G50180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30931757:30933346:1 gene:OB01G50180 transcript:OB01G50180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQPDSFMVESQDHGSGESDTQDMDRENAVPPNAAAPMEEESAVPGEDVVMRDQVPKLIWFRASCNFDYYK >OB01G50190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30933748:30937671:1 gene:OB01G50190 transcript:OB01G50190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEAESSAAGEQRRVPFARGGPVFVPFMVGPVSTVPEFMSSALRELQLLKDELGDPGDEFDEELCVDELRVLSEEELVERALREAMECWDSAALSQLEDQMLDAGMSAGSTLGDGAITSSSSGERESSGSPNKDMPIVPHVPQGNNGKTGGGRGQTKGRKGKNATVTLDPSVETERPGPSVDMAVVACVPQQGTEGKTGGMKGKPRGRKRKGVNTTSNSLGENVSVPPVKDLEFVSHDPASTEGHTKCVKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEDDKRAARLHSFSGDSVLVKGSKPSSEKVDVAKSLRYTNTTWKNKTFKAEEHRPVVYPEVLVCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFTDKLMNVAKQHVRSGYFLIEDTFYNDTRHSTVDYSKPILDWLKNSSNEAEEKWDTITSGVLKKRQKDLLLGLNISNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIYHATKMTVDDKWTQNNPCYFCAKCYYLLHYKEDNSLLYPHTVYDYLQE >OB01G50200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30942466:30944218:1 gene:OB01G50200 transcript:OB01G50200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHGPASSPAISTCRPAACGRFPALLGGGAASQRRSLMVTSGSETRAVIPVKSSSSDTATVGAEAEAVAVTGKVTEVNKDTFWPIVKAAGEKIVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKIDELIQAIKTVKLS >OB01G50210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30945288:30947039:-1 gene:OB01G50210 transcript:OB01G50210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQKAQYIKSPRPSYKLLRHNGSAIPFPAPPPSTTAAGRPPHMAAQHGGSGNGNGNPRLHRLSRVLASSDQPPPPAAALHAHLVRAHAATPSAVVRSLLNRAIRRLSKPHPRAALRLLLLMPRLPVSPDHFSLPFALNAAASLQLLPLGASLHALALRLALLPGRLPVANALVDLYAKCNDLPAAHAALADIKAPDAVSFNSLLCAHARLASVPDAETLFAAMPSRTQVSWNAMVVVYVNAGDVSSARRMFDQMPTRDSTSWSVLIVGYCKCGSMRNAREVFDGMPAKNLVAWTAMINGYAQSGLPEASFAMFREMEAAGIEPDAATMVGVISAASQIGSMELAGWVGTYVDKKRIERNEKVLTALVDMHAKCGNVDEALSAFREIAQPDAYPYTALISGLAAHGHAKLALQVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLEHWEAMVKYYGMARRADHYACVVDMLGRAGRLQEAFEMVQTMPMGPHPGALGALLSACKTHGNVEIAEVVANKLFELEPRNTGNYIMLSSIYAEKEQWEEAERIRSLMKMKLPFKQPGSSWVENRQSERGRFPVRS >OB01G50220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30950031:30950540:1 gene:OB01G50220 transcript:OB01G50220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVRVGELFGGVDWWIHRSMEGGPKWLHVSRCQLAPSHVVLLCSYGAKQLFIPGRGLDRSQHGDITVPDPFVHFHIAIVRIEAAPMWHITVHCFHRFDRRFTLPLQKLPACEKETVYGSRVQEHLQTWSHLDQLAILIFFSFYIYKLNLKFIVNFSLLYRFIFSVSF >OB01G50230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30950619:30950990:-1 gene:OB01G50230 transcript:OB01G50230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGVSCSCRKECFGGVVSVVKSPCRLPMPASNGIARRAGQGLDQPATAPGLARGYARNASLRPCVLAICMTILTRLLAILSPLAIGASLTCLAKRALALCGEVWIGVVDWTICHASSACVD >OB01G50240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30951512:30952165:-1 gene:OB01G50240 transcript:OB01G50240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWVVLVVVVVVVVAAATAGCDAAAPAPAADAAHKVLQAHGLPRGLLPAGIARFQHDEGSGRFEAALGEACTAQSEVGLRYNATVSGVISYGRIASPSGASAQDLFLWFPVRGIRVDVPSSGVIYFDVGVVFKHFPLAVFEAPPPCTPDPLLLLLTPHQVIPKNLPLQNQSPSGSPPVHPQAHTALNSSSRRTRARARQVREDGSATGGGAASQ >OB01G50250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30959781:30965452:1 gene:OB01G50250 transcript:OB01G50250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEVGVGGVAVAGKRGKKPQGWKCMPFIIATETFDKVASIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDTCLGRFKTLAYGCFASLLGMLGMTLSASVPALKPLVCNKTTQLRGDCNSPSPLQLSVLYLSLGLLVIGGGAIRPCSLPFGVDQFDKTNEESRKGLNSYYNWYYGTSTAALVLSMTVIIYIQNNVSWPIGFGIPTFLMLLAIIILFLGTNLYVHVPPEGSIFAGIAQVLVASFKKRKLKLPCPHDINQQELTLYNPPSRGNRMFRLPLTSQFRFLNRAAIIRNGDINADGSARNSWELCNIQQIEEVKCLIRIVPIYVSGVLCFVALAQQFTYIILQTFTMDCHFGMHFEIPAGSVVSISLIALTIFIPIYDRILVPIARRFTGVESGITLLQRQGIGLVISSISMVVAGLVEHKRRNSALSNGGISPMSVMWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTAMVNIMRRVTTRDGQSSWLTDDINLGKLDYFFYFIALMGVLNLIYFLICSHYYQYKVMSLHTEESIKIPTKEEATEIAIDTDAPSK >OB01G50260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30968007:30970316:-1 gene:OB01G50260 transcript:OB01G50260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSGLRLAPLSRQRPGRSLSAAAAPPLDEDESPHPPPPTPPSNSKLFVAGLSWAADERSLTDAFSSFGAVTEDGVHGRCSMKQLKCSGRSGRPQPRQTLDETPVRDVVACSAAIYRHAKSGLFGDAVKLFVGMMRAGVSPNSFTLVSALLAAAGMGDMVLSECIHGWAMKSRLESNPFVRTALLDSYAKCGCPMKSWALFGEMRDPGIVTWNALISGLVQNDLFEEALLVFKRLLFSFGPVHNVVTMISIAQAYAGCGDLGSCESAHAYSIKIGLDSNVSATNSILGMYLSFGSLAIGRDIFQKITVSDVVSWTMMMGFLLGEAQAIEVIRLFVQMRSSGIVPDRVAMVTVAQACANLGDGRIGKLVHNQIVIRGFSGELPAVNSLITMYAKCEDLSSARMLFDGTMEKSLVSWTAMVSAYVENGYALEGMHLFAKMRHEDCFMIDSVTLVTLLLACYEIAYFELCIQLHVYCYKSGLCLYKPVLNTLIAVYGKCGYATLARKMFDEMISRNIVSWNTMILSYGINGQGEKAVALFNEMDKSNEDRDSVTYLNTLLACSHSGLVDDGMLIFRRMIDEKGINPCPEHVGCIVDMLARAGRLDEAAEVASLTQNKIGSDAWKALMGGGHLHGDMELTKVAAEKVLALESFDYGHVVLLSNAYASSGKYTAAESVRSCYTKRNKKKTLGLSSIGIMPYSRR >OB01G50270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30971494:30973164:-1 gene:OB01G50270 transcript:OB01G50270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDKEKEHEQTASGRNPDVTNDVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPERPDLVLPIPFVPDEKGYAFALKDGSTYSFRFNFIVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKFVDDDGKCYLEMSYYFEIRKEWPATQ >OB01G50280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30979077:30980600:1 gene:OB01G50280 transcript:OB01G50280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPVRWPRVLTPAPLAGAIRRQKDPHEAVRIYGSAPRRYPPSSYRHNDAVHSSLLAAASGSALLPSLLRRILPSSPSADSLLAASIPHLPPASAVSIFRSSLPSSPAPSWSQSFSALLRHLLSDGSLLEASRIFSDFAGRPEVSVASEDLTSLITGLCRVRRPDLALQVLDEMSNQCLTPEKDAYRAVLPALCDAGMLDEATHVLYSMLWRVSQRGCDADVVVYRALLVALCAAGRGEQAELVLDKVLRKGLRSSGSRRSLRVPMLAGLSLEDAQEVINKTLVIRGGRTVASFEVMFIDLYDEGRLNEAENLFEEMGKKGFKPTLCMYEAKIASLCREGRLDEAVKVLEEELPKNDLVPTVATYNSLMRGLCNMMQSTRALRYLGRMDKQLGCVARKETFSILVNGLCSESKFVDAAQVMERMVKGHHRPDRSEFNNVIEGLCSVGRTYDALLWLEEMIEHGETPDVHVWSSLVSTALGGALTTAAKQEERFSQIHSLTTPKPLV >OB01G50290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30981961:30982479:-1 gene:OB01G50290 transcript:OB01G50290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIYFRYRKLPEKDRKEYPRSVTQNQADLARELLRSIDDSLAAVSDRIRELQPPQDVDGAAQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRDAFEAVWREEGRPVPPSNPESMNRWAFFEDERPVVYLSKW >OB01G50300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30990652:30992350:-1 gene:OB01G50300 transcript:OB01G50300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNLWEEMPVTQYFEPATFFFTAQSEKDEEDLFKVPQFPTKPYNYKKCVVRVKGEKENANAVRVRKGGRKQQYLNEQQKWKPKAAVDEDLYKISPKLICRVKKKKLLRNLLGGCLGVNCIA >OB01G50310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:30999188:30999502:1 gene:OB01G50310 transcript:OB01G50310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRKASGAVVLVALLVLAAGAAGLSMCGVDQSAVDACRSYCTVGSTEGAPSRACCDAVAGADFRCLCRRKAMLRSYGNIDADRATLIPSKCGVAGASTSCK >OB01G50320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31003242:31004638:1 gene:OB01G50320 transcript:OB01G50320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLLCFLLISHALCNQGYDGSIPGTNYGEQYPHQALPEERIHFQESIKVLNKERLHKHARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >OB01G50330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31008253:31010010:-1 gene:OB01G50330 transcript:OB01G50330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPHVLAALLSRLRACGSAAHALQCHALLLTSGHLAASPARLSNLLLLALASVSASAHADAVFSHLAEEASRHAFPWNTLIRLHSPASSPRRALLYFSRMRRAGVAPDAYTFPAVLKACGCSPGCRVGVLVHGEAVRRGLDAGLFTRNALISFYCRIGDCRSGRKVFDGGVRDLVSWNSMVAGYVLCGEADLAQDMFEKMPQRDAFSWATMIDGYGKLAGGVDRARELFDQMPHRDLVCWNSMIDGYARHGRMDEARVLFEKMPERNVISWSVVIDGYVRFGEPKEALELFQKMLRCGIRPDRIAAVGAAAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYMKCGRLDLAKLIFESMPEKSVVTWNVMIVGLGTHGYGVDAIKLFHQMESERAPMDDLTVLAVLTSCTHAGLVSEGLGIFYRMGKDLELDPKVEHYGALIDLLGRAGRLDQARNTIETMPMEPTPELWGSLLASCRSHRCVELAEVSVERLANLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMASEGMKKNIGRSAIEVDGQIHEFVNGGSLHPHKEEIYLALWNLSNIAAST >OB01G50340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31010668:31014272:1 gene:OB01G50340 transcript:OB01G50340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAASSPRRERGLALLVSVLLLLLASRAPAAAGQDSEFEDGTSPKFPGCDNPFQKVKVTYWVDGAEMNSMTGITARFGKTLPVTGSDGEKRKAVVPTPKTSCAKSSSQLANSIAVAERGECTFLEKASTAESGGAAALLLINDENDLQKMVCTQNDTAPDIAIPVVMVSQSAGRKILSGMEGGAKVELLMYAPEKPTFDGAIPLLWLMAVGTTACASVWSFVVVGDEDKNAPSLGGEEDSESEIVELKTKTAIVFIVTASLVLMFLFFFKSAWSAWILVGLFCLSGLQGLHYVASTLIVRVCERCREAKVNLPLLGNVTVITLVILPLALIFVVVWTLHQNSPFAWVGQDLMGICMMILVLQVVHMPNIKVASALLVSAFMYDIFWVFISPLIFKKSVMITVARGSDDGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDKSHGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMKSGQPALLYLVPSTLGVIVLLGARRGELSQLWNAKV >OB01G50350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31015641:31019370:1 gene:OB01G50350 transcript:OB01G50350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGKKAPAGAGGIRRWLSTVVLSVLALVLTLGVISLSVGSSLPAASLHEYLFVKANGSTKLSPELTDGNKNDTAVAVPLAEDASQGGQEVLVEHDVQSGTVNSSETGEINTKVADPAATDDTTSVPDEGNLAVSSDSSDNPQKSNEGSCDLYHGRWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENFRWKPEQCILPRFDGRKFLELMRGKTIAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFLPSFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRNAGKMRINNVDAFGISVETSLTAVAANPNFTGIAIVRTYSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDAMYGKQVSGFTKAVQNSGQQGSRLKLMDITEPFALRSDGHPGPYRSTDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEVARS >OB01G50360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31025912:31026715:-1 gene:OB01G50360 transcript:OB01G50360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDGGSASSGSEHEASACLSGLVQAFLETEGAAAGEEGAGAAGNGGEGYDSDDGDGPGRAAAAAESVRELLDPPAEEDPFRIRLAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARPLQEVDGHLAVGRRSNADAQRRRDGR >OB01G50370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31037681:31038121:1 gene:OB01G50370 transcript:OB01G50370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3L731] MAAPRATVLLLLLVMVAVASASGGGTRELRGGAAGRKVGGRTEVMDVEGDREVQELGRFSVEEHNRRRDCCGDVRLEFSRVVAAQRQVVSGLMYYLRVAAAEEGAAAADQNGGGDHHARVYDAVVVVKPWLESRTLLTFAPAADSS >OB01G50380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31039584:31040015:1 gene:OB01G50380 transcript:OB01G50380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3L732] MASKLYAVAVAVLLSSARLAAAGADDEQWPAAGRGRKVGGTTAVEDVEGNREVQELGLFCVVEHNRRGSPTARGHRLVFSRVVAAQTQVVAGIKYYLRIAARNGLREGDDDEEKVFDAVVVVKAWVPSREMLSFAPAAEQPGY >OB01G50390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31040026:31049855:-1 gene:OB01G50390 transcript:OB01G50390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRGGGGGGAAAAAGEDHGAVHCHPPLTPRQSQQLRAVVESLRLDPLEVDEGARLEIARERYRAGDYRAALEHCNAVYRANPRLLENLRLLAAVYYQLREFDMCIAKNEEAVAIQPNFPECFNSIANAWSEKGNVDLAIQFYLHAIQVRPTFADAWTNLANAYTRKGNLSQATECFHQALALNPHLADAYCNLGDVLKAQGLYKEAYSRYLDALNIKPTFANAWNNIAGLLTQWGDFNKAATYYKEAIKCNPAFYDAHMNLGNLYKVIGMRQDAIVCYQNATRARPENAVAYGNLGNAYHEQGQLDLAILNYRQAIHCNSSYVEAYNNLGNALKDAGRSEEAIDCYQTCLALQPSHPQALTNLGNVYMERNMTDIAASFYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRVTEAIQDYFHAITIRPTMAEAHANLAAAYKDTGLLEAAIISYKQAVQLRQDFPEATCNLLHTLQCVCDWDDRMGKFVEVEGIIRQQIKMSFLPSVQPFHAIAYPIDSTLALEISRAYAAHYSLVASRFGLPTFTHSPPVPTSNDGRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALRPAPIQVSYMGFPGTTGADYIDYMVTDEFVSPLNFSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPLCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLQKMATRVAGSLCLATGIGEEMVVNSLEEYEEKAVSLAENPWKLEALRNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRNPRHFKVLEDDAQFPIER >OB01G50400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31056007:31058973:-1 gene:OB01G50400 transcript:OB01G50400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQLPPATAPSCFLNLNWDQSMDAAGGHLDPALSSMVSSPAASNSTAAPDGLALHGISPQTHYGGTPLSSPPKLNLSMMGQFHHYPPQVGGAGAGAGGLPILENLMPMGPLDQFLADPGFAERAARLSGFDARGGGGGYGGAGPAQFGLPDAGPAGASKDMELGNTRDESSVSDPAPGGAEIPPKGASDGNARKRKASGKGKGKDSPMSTSAAKEDSGGKRCKSTEESNAAAEENSGKGKAAQSNSENGGGKKQGKDSSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDPAFCRTMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNGSLQTVHMKMEL >OB01G50410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31059137:31059604:-1 gene:OB01G50410 transcript:OB01G50410.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHFLTSSLSPCSHLLLAVEVNSAPLPPPPPPLLHPSPALLSLASSFFHGRKGWGDDAHEAIHFDPCHPGPIYILVGTHLQSLLAAAAAAVRSLPLPLPLLLPPLISLLLPAKLLLCFRCFFSTPLLFPHFCLLCHAHEKLLPLPSRTLSPGSPPS >OB01G50420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31079962:31082319:-1 gene:OB01G50420 transcript:OB01G50420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L736] MGKRRQQRLLRLAVAVVAAALVLSASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGALTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSGDPSNSEL >OB01G50430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31085747:31086993:-1 gene:OB01G50430 transcript:OB01G50430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWDKYGGHSGSGQHYAGSDGNSPYGVGSGAGRGPYEAAGGNRRSSSPSSGDKKEKKEYQSYTSHNNGSDDDKDKDRNKHKGSSSSNNKATPIPYNSGSWPVPPQQEGSGTTPVYIRAEEVKVIYNTPPGTGSAPYSSSSQFEREGDGRRGGGGGGSTGSRSGSGGGGGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSESGGGGGSGFFGPAFHAVGGYIDRKFGLDRD >OB01G50440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31091778:31092527:-1 gene:OB01G50440 transcript:OB01G50440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWGKNKSSSSGRSSSSNNYYARSDSSSHAAGGGGDDQYGRSPWTLQAEEYPRYTSDDDNKRSDDDRSSDDDDGDRDRNRRKNKKKSSSNSSYGNGGGYGGSSYGNGGGYGGSNWGAPQRQQEGSGSAPTYINIYMPPPGSSSQNEGYGGERRRDGGAGNGGGGGGNGLFAPTFQAVGGYMDRRFGF >OB01G50450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31094968:31095600:-1 gene:OB01G50450 transcript:OB01G50450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGWNSGRSFSGSSYSNPSADTYGSGGQQQQYDAAAGKTSVKRQTPAPPSRLKKTSSKNDDGLAVVGYAASGGGGGGYGTTANQNGTKYGAAGYGGYTNGGGYTNAGSVTLYGVGTPYYGNINGGAAGGGYTGGRPGAAVPAGYWAPQDGGRSPGGLYISTKEVHVHGVPHGTYDGEQRRRSGGFFRPAFEAVGHFFDKRFGFHSSD >OB01G50460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31099990:31107441:-1 gene:OB01G50460 transcript:OB01G50460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein [Source:Projected from Arabidopsis thaliana (AT1G31730) TAIR;Acc:AT1G31730] MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYMVLGDIFRKGDTASNIGNAILYECICCISSIFPNSKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPECQSLVDELSASHSTDLQQRAYELQALLGLDKQAVESVMPADASCEDIEIDRNLSFLNGYVQQAFENGAAPYIPESERSGVVSVGNYRAQDQQETSAHALRFEAYELPKPSLPLAPSQASISTPTTDLVPVPEPSYYKEDHQMSRSHPSGDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSSQQATNGGTTSEVGGSISSQARESSYGSKKQQGTEISAEKQRLAASLFGKVDRKAQAARKTTKESTSTEKVATANATPQPAKEQVIPSAPPPDLLDLGEPVSSSHPSADPFTQLEGLLGTSSASETSASGTSKTPDLMSIFSDDVQTGATSGSTEPSLGVNVVASKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >OB01G50470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31108200:31113777:-1 gene:OB01G50470 transcript:OB01G50470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G41020) TAIR;Acc:AT2G41020] MDNPHEQPLPPGVGAWPPPPSVHPAQFHPSPQPYATPCDTGPDNGNNHNKASYSGAHPNLPFHNMDTGSALSNQTGHDVSDHNGSSANIESTVQEAVLREQDIETQQVIQNQRQANATSEPAQYGEDILSSRRDPNALKEHLLKMTAEHRADMANKRGKPLHADNGNVEIGNGYGVPGGGAYSNLSSGPMNKPKDGADRAKGADHLPEFLRQRLRARGILKDETTNNSGMIKQSVDSPVGQSKAAQELPPGWSEARDPTTGASYFYNQSTGTSQWDRPGASMNTMQHQVPPSLPENWEEALDESTGQKYYYNIKTQATQWEPPTAVNPSVVPHAPTNAAVEMAAQSTDIWNPQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPYQQYPSYPNNTSHSSGTNTSKNPGNLAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRRNAEVASHGKKRGMAPITKRGDGSDGLGEAD >OB01G50480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31117990:31118145:-1 gene:OB01G50480 transcript:OB01G50480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICCRFRKCILLIKESAYFSVIVRNIYQLNCGKDMLMYIHVAARRVVVDR >OB01G50490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31121352:31124911:1 gene:OB01G50490 transcript:OB01G50490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANGSACCGGAKKGPGYATPLEAMEKGPREKLLYVTCVYNGTGINKPDYLGTVDVDPNSPTYSQVIHRLPVTHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVIDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELRQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTVDGSWSHEVAISIKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSEVVYVTDDDNEEQYNVPQVKGHRLRGGPQMIQLSLDGKRVYVTNSLFSKWDEQFYGPDLVKKGSHMLQIDVDTEKGGLSINPDFFVDFGDEPDGPSLAHEMRYPGGDCTSDIWI >OB01G50500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31124428:31124757:-1 gene:OB01G50500 transcript:OB01G50500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NESWSECSGCYGNLLPSKLHINHSRETILVTVNEQDESTPACMQILRSYIHISEVQSPPGYLISWAKEGPSGSSPKSTKKSGLIESPPFSVSTSICNMWEPFLTRSGP >OB01G50510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31126290:31126693:1 gene:OB01G50510 transcript:OB01G50510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMVKLLITGLSAAIGYASVVVFKGAAVLVVLLLRLVQLPGCVGCLLLGAVRAGVEEAVAAALAAAVDALAGXXXXXXXXXXQAARGRPEALIAAAGEVAAFALEVARQTASNSTATFLDAALFVVRHARA >OB01G50520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31128058:31129603:1 gene:OB01G50520 transcript:OB01G50520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGGLLRHSALAGSSPAMFNAARLMSTKLFVGGLSWGTNDDSLRDAFTSFGDVTEARVITDRETGRSRGFGFVNFVNGDEAKSAMEAMDGKELGGRNIRVNFANERPPGNRGGGGYGGGGYGNQGGYGDGNQGYGGQF >OB01G50530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31130693:31131333:1 gene:OB01G50530 transcript:OB01G50530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHHHHHRLHLHLDPRHHHHIHIRFCPHRRHVAHPPPAPVHHTTAVAPWEGRQQQQEPEPLHAAGANDGPRAEAANEGEAALHREQGEEDIFLGEEEEEEPVFVLTDEWAEFFAKSEAKRRLAKQQQQKKNKGRKK >OB01G50540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31131235:31131450:-1 gene:OB01G50540 transcript:OB01G50540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNIPFLSLKNSGDEMNKHLEFYYTSEGVQFRAPRPVCLLLATLVLLLLLLLGCTIESRVTPSVQNCRCL >OB01G50550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31132032:31148408:-1 gene:OB01G50550 transcript:OB01G50550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKSQSAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEARREEVGSVLADIIAHLGQDVTISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTISIIKSLIGHFDLDPNRVFDIVLDCFELYPDSTIFYQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFESFVMRRIDEASKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIVEERAPEIEKNQKLGLLLGFLSVHDWDHAQILFDRLARLNPIEHVEICDGLFRIIESSISSAYDIIFQTYYKMHRNTGAHMMETSPSSSQSSVVDLPKEFFQMLSACGPYLHRDTQLFQKVCRVLKAYYLSSKEPNRTAGVVSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIIQQVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDSGIELVVLEELIQQMANVHYTENMTEEQVDAMAGSETLRLQASLFGSTRNSKVLSKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAINPTTYVQLIPPMEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILQLEMSHKPIMWSDLLSTIRKTLPTKAWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESQKHQQHIASVLQRLDREKVKWLSSSPDALKINMEFLQRCIFPRCVFSMQDAVYCALFVQTLHSLETPCFNTVNHIDVFICKTLQPMICSCTEYEAGRLGRFLHETLKMAYHWKSDESLYERECGNKPGFAVYIRFPNSQRVSYGQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLELKQGPAKVLPGNQSTDPLTAKDQSVRAKSIEGRHERSEGAMKSDAQQKKNVLSSNGSENQISSSSAQGKASGVIRGSDEPPKLLSDEGVKVSKPSAESETRVSQKRVSHNAGKTSKHDLIKEDTKSGKSTSRGVTQQASSVPVDKEVSSQTADGVHDTTANSLAASNGNLHMAPRKVSASSQKSTLLVTHTGGAANPSGESTYLIDSNMKQQKRFVPAEEQDRGTKRRKGESESRDGDFSEHADKDKNLDSRLVDKFRPMDHEKSTSEEQIISRPEKLKEKVDDKYDRDPREKADRTERRRGEDTIERPTDKSLERRERSIERVQERGTDRAPDKGREDRNKEERSKAKHAEPSIDRAHPSDERAERFRGQSLPPPPPLPASFVPQSVGANRRDEDTDRRGGSTRHSQRSSPRRDDKEKWHLEENTTSLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKGSIVKEESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQLFETRERDRKGAISQHRASHPDDLPRMHGKDSNKSSRREADQVHEREWEEEKRPRAETKRKHRK >OB01G50560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31160484:31161303:1 gene:OB01G50560 transcript:OB01G50560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSATGSSITYYPPSGSRTLRMRATPSCDLDDYEDDLPEHVYKPVPAPPQPRGRGRAWSRRNGRQAPPVVQEPEWEPPAPQEPAVVQQEVHNEVVYLVGCPPDVMVATGDGPGGRTVVGYQARRVSESPGAGGNDADNAGRILCSCHGASFTPAEFLLHAGATDVSYPLRRIRTFPWLGGEVAPSVYGYGRRRR >OB01G50570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31169083:31171417:1 gene:OB01G50570 transcript:OB01G50570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICDPMKLASSPTTRSSSTSSSSSHSSKKDHRMQFGIPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPADDENDDTSVSSQVTSKHKHQQGSGSSANARISHDANPLVDLRTNNEQGVSTPEIYESPRQSSCQGQRDAGSIQPLDINFPPSAPKTIFLPLPLKQMLSDENNADTSKPTNQSRDNAFPSGIIWHRNCEAHSREAPVAPLQDDCNSEGQSSSSPVELLEYRNEDQFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYSRWSSPRTSTMQNGTLRKQHTLVPVRKSHSQYTALPQRSHDYLSPTVSSAMKKRNSMEQQQPIKPRRSIVQSSPKWMF >OB01G50580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31174514:31174732:1 gene:OB01G50580 transcript:OB01G50580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGTASAKPESSVMASEYLHCSSGQHSLLRNMACLQCMCLCSSLLLVLLFTNRNSMYMYEANQTVWRQKV >OB01G50590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31186777:31191182:-1 gene:OB01G50590 transcript:OB01G50590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASSAPAVVTVTASAAAPAPPPPPPPPPPPPSQLTATAATESPSPDPAAFYGEGMWQQMTMSGSGAMQPGPYPERPEEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPSEKECAYYLKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATTGPPSYAGTLASWAFPRGSYIPSPRWQNPSNYAPVIVPQGLVQVPSWNSYPGQMLPVSSSESRLQSPGAQQTYGTSQQADASAGNQGMLSPYRSSSYPGPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPTPDCVLSPVGLPLRPGEELCKFYSRYGICKFGANCKFDHPSMAPPMGVYAYGSASANVPMVRRLMQSPSASAYTS >OB01G50600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31201988:31205872:1 gene:OB01G50600 transcript:OB01G50600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFWLFILLVSFIPISALSQSRDINTLFTLRDAITEGKGFLRNWFDSETPPCSWSGITCIGHTVVAIDLSSVPLYAPFPLCIGAFHSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSYNELTGPLPISLYNLKMLKEMVLDYNSLSGQLNPAIAQLQHLTKLSISMNSISGSLPPELGSLENLELMDIKMNAFNGSIPATFGNLSRLLYFDASQNNLTGSIFPGISSLTNLLTLDLSSNSFMGTIPREIGQLESLELLILGKNDFAGSIPEEIGSLKQLKLLHLEECQFTGTIPWAISGLRSLTELDISDNNFDAELPPSMGDLGNLTQLIAKNSGISGNIPKELANCKKLTVINLSFNALTGPIPEEFADLEAIVSFFVEGNKLLGHVPDWIWKWQNARSIRLGQNKFSGPLPMLLLHHLVSFSAESNLLSGSIPSDICKANSLHSLLLHHNSLTGTIDETFKGCTNLTELNLLDNHIHGEVPEYLAELPLVTLELSQNKFAGMLPAKLWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGNIPLALFNCRKLATLDLSYNNLTGHIPSAISHLTLLDSLILSSNQLSGSIPTEICVGFENEAHPDSEFLQHHGLLDLSYNRLTGQIPTSIKTCVMVMVLNLQGNLLNGTIPADLGKLTNLTSINLSFNDLVGPMLPWSEPLAQLQGLILSNNHLDGSIPVEIGQILPKIAVLDLSSNVLTGTLPQSLLCNNYLNHLDVSNNHLSGNIQFSCPEGKESSSTLLFFNSSSNYLSGSLDESVSNLTQLSTLDLHNNSLTGRLPSALSALSSLNYLDLSSNNLYGAIPCGICNIFGLAFANFSGNQIDMYSLGDCAAGGICSTNGTDHKALHPYHRIRRAATICAFTFVIIIVLVLLAVYLRQKVVRSRSLAFEPASKAKATVEPTSSDELLGRKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRKVAIKRLHGGHQYQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLNENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQSELFDPCLPVSSVWREQMLRVLAIARDCTADEPFKRPTMLEVVKGLKMTQSMECGPLVVTISRDM >OB01G50610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31213138:31215453:-1 gene:OB01G50610 transcript:OB01G50610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGKEQRRMDRFIVIPFSSTCRNGSSVEVVEGGAGGKNGKKPQGGGGGAGEVVGGGGGAGENKPKGESLVARLLRGFKNLSQIFAVYEDDDEEEEEEREMVIGLPTDVKHVAHIGWDGSTNTTSSLRSWNRAAPPAPSSSSSASTSYALPTPTPAAPAPQQQPPLPAFSMRQFELAMAAQAAATGTTGA >OB01G50620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31219619:31220602:-1 gene:OB01G50620 transcript:OB01G50620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPEMRRTMTLSEQLSTPDPAIRDFLKIPHDDGGEGGGGEGGSPTAAAESGGGGGGVSGMINWKPLRDRLRLRRAAGAWTSPSPKPAAANXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRPQERRRRRRRRRRGPRGGDGARVLRLHGAAQGRRLHPLRPHLLPPLLPRALGQPRQLPPLQRLHPRNPRHLLIILQTFPHHPSLQDSSDAEHDHGSS >OB01G50630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31225703:31229107:-1 gene:OB01G50630 transcript:OB01G50630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:x-ray induced transcript 1 [Source:Projected from Arabidopsis thaliana (AT5G48720) TAIR;Acc:AT5G48720] MEFGGGIDDQSEMWGWQSQEYDLQKDLLAADAADPSSCLWSETSHNAGDAWSMFDEQTPIKHCTDIDFQFCDIGDIVVKDFDEGKETLQAKRRRMLQFCPDNVEMDCAMAADGLSESLQVNLGFSGHQCLLNCDGTEELPEEWLVDCSQQDNESCCPPEEISSPAAALEEANLSVHENSSSQEQSNTVQKTTMQSRPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDITLHDINQRIHAPPPYKIKHKVDEEQLSYQTSAISGKPVVHKTRIHTEGGKGSITITRTRG >OB01G50640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31229558:31229746:-1 gene:OB01G50640 transcript:OB01G50640.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYTPKYIEHSYHHKSDPQKTINLDDFCVSIPVFGLPVLLFYIKVLWQFGRLLCFLPSVTSLQ >OB01G50650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31232955:31235277:-1 gene:OB01G50650 transcript:OB01G50650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:J3L759] MSSAGGEDEKKPAAGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLHA >OB01G50660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31235981:31238052:-1 gene:OB01G50660 transcript:OB01G50660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:J3L760] MSAAGEEDKKPAGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGCLPA >OB01G50670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31242292:31244166:1 gene:OB01G50670 transcript:OB01G50670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRGAGHVSSAVTWRALLAFFAARGLPTGAGPAAPVAVHGNPAPPPPPPPPDLTTDARSKRKFGLDAGRNPKKSKHSEDGALSTTGDELLSDEITIGLKRRLKLEDASPAKKIKLVERTTETQQPVKFSCSFINGHGKRLRDEDLITSLSCKRAR >OB01G50680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31244418:31247329:-1 gene:OB01G50680 transcript:OB01G50680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAASSSSSSSTSAAAVAVAPAGASSSGSPAASSSRNPHRQRKGFRLRMLRRRRGREPPEPERAAGDRGGAVQEGLALPLGMSFAAVLAQVINTKNISGQTLHPDFLSKICTSAVKESLTNIYGDSSNSFMRNFEKSFSSTFRTLHLVNEIPVNEKSHIPECSYKHDDSVAVDSLSSSDSQNLTNEIEQDLVNTVQSQLVLFASDNQQLTHLRRSRSSPESHKRLINAIEKSANEQAHSNELKKIEIGLTIRNLQLKQSKLALSSYSHMLEKIKLSFGFQKASFQGEKFKTQMQETRDAQILRTLIDFLVSAVIVMSVCFGYGTYVYSYQRITDITSACSATSKGPKSWWMPNSVSNFSSGFLFIRCHVIAVTRLCFGILMILAISRLALQRSATTGSSMPITFNLILLGIICGFAGRFCTNTLGGDGNTWLMYWEVLCSIHLLGNLFPSLIYQVLHGPISVSHREQEVVWLPYWVRRCLFYGAVGLIIPALTGLLPFASLSDWKDHFVEEIKSFVIGDEIEA >OB01G50690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31257921:31261344:1 gene:OB01G50690 transcript:OB01G50690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3L763] KSSAPESMEDHQLTTTVTAAAAGCGGAPVSEPVVAAEDGNGNGNAAGDGDGHWAPTATALLGGPRRTGLHLFVLNARRALRLDELGVEVLRIAVPASLALTADPLASLVDTAFIGRIGPVEIAAVGVSIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGFAGEEDDDDGKVAKAHCDSAAVAADLEKQQVADADPAKASDGEDSTPARSTDDKKAAAAAATGGKGKRRFVPSVTSALIVGALLGLLQAVFLVAAGKPLLRIMGIKPGSPMLIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLANIALDPILIFSCRLGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFIGCGFLLLARVVAVTFCVTMAASLAARHGTTAMAAFQICAQVWLATSLLADGLAVAGQAMIASAFARRDHYKVAVTTARVLQLAVVLGAGLTAVVAAGMWFGAGVFTSDAAVLATIRKGVPFVAGTQTINTLAFVFDGINFGASDYAFAAYSMVGVAAVTIPCLILLSSHGGFVGIWVALTIYMSVRAFASTWRMGAARGPWTFLRR >OB01G50700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31261352:31261549:1 gene:OB01G50700 transcript:OB01G50700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIILDASRIYKQIYSWLRTSCSSTYVWPVGSSSSFHALNKHRILYFIYIYPVPCVQYYITANWH >OB01G50710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31266251:31267348:-1 gene:OB01G50710 transcript:OB01G50710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGMNPLDVGIATPDFVTSAEDTIHLNANENNEDSWRSLVLVMEYRYPDHPN >OB01G50720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31273962:31274408:1 gene:OB01G50720 transcript:OB01G50720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRVSPPSPRPRSSRSPLPLPNPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXA >OB01G50730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31274477:31274656:1 gene:OB01G50730 transcript:OB01G50730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECEMRGKAASMVLTWPEGARPAEFGVMRRVAAELGVDPAKAAAGRVSGRAELDALYT >OB01G50740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31275643:31287987:-1 gene:OB01G50740 transcript:OB01G50740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose phosphate synthase 3F [Source:Projected from Arabidopsis thaliana (AT1G04920) TAIR;Acc:AT1G04920] MGTWRRYWTAAARREEEEEEEMPLDDGDIEERPQLRGRRLRGRRRXPRGLHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGIQRISARRKEQEQVRRETTEDLAEDLSEGEKGDTVGELMPQDTPKKKFQRNFSDLTFCWSDENKEKKLYIVLISLHGLVRGDNMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLSSGSIDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVGNGKFVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKQEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSSVVVPDDISDGDGDPKDDTVGFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQADVPEIYRLTAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITNALNNGLLVDPHDQHAIADALLKLVADKNLWQECRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLKDTPADAAAEEEEALEDSMDVHDLSLRLSIDGERGSSMNDPPSSDPQDSVQRIMNKIKRSSGPSPPQAASADADGAKIPTETTAGAVNKYPHLRRRRRLFVIAVDCYGDDGSASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMPLPETLKLLQLGKIPPTDFDALICGSGSEVYYPGTAQCVDAEGKLRPDQDYLLHINHRWSHDGAKQTIAKLAQDGSSTNVEPDVESCNPHCVSFFIKDPKKVRTIDEMRERMRMRGLRCHLMYCRNATRLQVVPLLASRSQALRYLFVRWGMSVGNMYLIVGEHGDTDHEEMLSGLHKTVIIRGVTDKGSEALVRSSGSYPREDVVPSDSPLITCTQGDLKADAIMRALKEVSKASSGM >OB01G50750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31293859:31296742:1 gene:OB01G50750 transcript:OB01G50750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPQALMTNTKHNILTIRRNNGISYTYLPRLPKWVPARLDQARWLRCNSMSSPGFARRIPKRRRTNKLPAHLNLDLNSLPAEGADDRVSPSGSAPVAHTQTSVVAVAGTSQLLVPAVVPAQQIGMSSCPIIVDDIDDDVVITSSSFPQARQQAPRTEPVVTIEDDSETTPGQAGDTVDEHVGILLSLTLGRYPRHDHLSTSNISTNTVIHIMETPSDALPEPEKPVAKEPKFNCPVCMNELVEATSTICGHIFCKLCIKASIQAQKKCPTCRRKLTMNNFHRVYLPLAE >OB01G50760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31305192:31306836:1 gene:OB01G50760 transcript:OB01G50760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMVMSSQAASVSDGLPQGPASNDSPHKRFSGVAPPAALIFFVLVFVGGAIVTLDHKENLSILQLHPMVVTEEETRAPASEVRAAGAGEREELSGAGEREELAGSSICENQSRPSGSEPLPKGIVQDKSNFEMESLGGNPERRSVAGRPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGAVDGWRDLRWSDRAIHVAAIDQTKWWFGKRFLHPDMVADYDYIFLWDEDIEVDGFDPIRYLKVVKREGLEISQPALDRRSQIHHRLTLRSRKGQVHRRFYKTRGGGRCDGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCARGDRRLNVGIVDSEYVLHRGIPTLGDGGGKAAPSTKAATDRLAVRQRSYTELQIFNRRWKAAAAEDVCWTDPYPS >OB01G50770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31307376:31312380:1 gene:OB01G50770 transcript:OB01G50770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12830) TAIR;Acc:AT4G12830] MAPPLAAPRFHAAALPLRPQKVPRWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYADGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAKSQSSDDVFRWFCVEVGSSSNPYVLFIHGFPSQAYSYRNVLPIVSDKYHAIAFDWLGFGFSDKPQPNYGFDYTLDEYTSSLESLINVIAPDKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPSITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALISSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSNDSWKTKTTVCWGLRDRWLNYDGVEDFCGSLNHNILELPMAGHHVQEDRGEELGNLVKRILSG >OB01G50780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31312454:31317634:-1 gene:OB01G50780 transcript:OB01G50780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G16530) TAIR;Acc:AT5G16530] MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFEVNYRAVAADVISKAVIVAVIGVWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDAAADPAKVDVEATASAAAELPVTPVSGAGAGGKPSLWALVKVVAHKLARNPNTYASFVGITWACVANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGPSFAALGLVLKFALGPAAMAIGSIAVGLRGDIIMGKKYRSSSIYTRIEPLNTIRKHGTRTGIAVIVRDTHHHFRSVSYPWSRLVFNEAVQLLFSYKEVFVIVALPYFDSFLPKPKDR >OB01G50790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31321202:31325487:-1 gene:OB01G50790 transcript:OB01G50790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALRPHDVTLCLSPPLAARRRQRRAAAGVRVYAVASGTVSTKIRSFRLILFAFSPFRLAGFRNGVRIVRFILSCVALSVEAAHGRRISPPPQIRRKDCSFAVQSSFHRVESKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNLLSHLKPVEKCWQPQDFLPDPASEGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVGRWKISDLTGLSSEGNKAQDYLCTLAARIRRLDERAQGRAKKAGTMPFSWVYGREVQL >OB01G50800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31328014:31332294:-1 gene:OB01G50800 transcript:OB01G50800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G65320) TAIR;Acc:AT1G65320] MAADPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGEVVQPNPGLLREVDPGTRLIDALDLMKQGVKRFLVRKNGTWRGLSKRFSVLYNGKWLKNMEATSPTSASSSTQLSSPTSSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCYVEASVPAMKAIQKVPSDPSAVAVVEITPDGTRKIIGDISAYKLWKCDYVAAAWALANLSAGQFVIGADDNESTPISAIPEAPISSSAVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSTYRGRSAPLMCKSTSTLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTDIFNAVTRSASPATS >OB01G50810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31342644:31345149:1 gene:OB01G50810 transcript:OB01G50810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDLGLPKKLFLASPNKEKKKAVDGKGAAPGGGGSVDLQRKVEGEQPPAALVFKSPGSGSKDEMFFDSRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMSNVFVPDNVHNSKSPEPSPTGRRKLAELLQEAMQNGSEESTTNASVPVISKNKKQQLQTVSAAVKPVSESSSACSTEPTPIREAKNRKEKAWYTGRCCLPAFVHSLALDERRQKMAPGPCAV >OB01G50820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31345553:31348030:-1 gene:OB01G50820 transcript:OB01G50820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transcription factor, enhancer of /.../w 2 (InterPro:IPR018783); Has 288 Blast hits to 288 proteins in 94 species: Archae - 0; Bacteria - 0; Metazoa - 197; Fungi - 20; Plants - 51; Viruses - 0; Other Eukaryotes - 20 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G27100) TAIR;Acc:AT3G27100] MRASINRPPTPNQEEEPQKDLSLREIINVKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIRSFLMSSSSLR >OB01G50830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31350351:31356064:1 gene:OB01G50830 transcript:OB01G50830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27180) TAIR;Acc:AT3G27180] MPPPAGLLPWPAPSATRLATPTRTRPPPRTRVRPPPPPAPAPPTKPPAPAPPPPPRLEPVARSPAAATATLPPITTSALSPSSATCLECVHFNSCSGCTHEVDLDKPAVLQEVENFFNEHGVGDFTFSRGRLREWRCRAKLAIRGTPESPLIGLYQEGTHTVADIPECRAHHPSINATIKLLRQGISELNIQPFDEDAGTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDEHSQNSEKLSLLSEFLWRNGGAKGTVHLIHSIWANFQTTASNIIFGHKWRHLIGEKDLWERYGGVDISLDPYSFGQANTLSFNALLHKLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLKGSSVVIVDPPRKGLHPSVICALQKVALSERKSYKAKSSPTKVNDEKRPWILRAREAAVQVDSTPLEESSGTWPETLIYISCGWDSFKKDCKSLMSSKAWHLQDAHAFNFFPGTDSIEVLAIFKRESEADQKKRKKAKKKKAK >OB01G50840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31356185:31364423:-1 gene:OB01G50840 transcript:OB01G50840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVFSSSSSAPASTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPAEAEADEWGEFLHLPGRRFYDFRDIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRTHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTATAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAIELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLATVARREGVDADKPQASDKTQKPRALLGRTGVNGVVTDHIQGLRPAAEAERPGSSGSGSTSFWQTIFSSSDDRTHSSAKDNSSNKSYTASTSHLEHALSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPEEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDSDATGLPRAHGLSSSFHDGSSPYSTPKQPRSRKSSHSGEQPPFNSDASGNGF >OB01G50850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31367126:31369145:1 gene:OB01G50850 transcript:OB01G50850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQQNGLNQLVSFLLGASAAAVLIFLFSSAGGGRGAWSTDVSSWANGTVAATAPPAAKEGNLTSTAAHVEVKASNQTAAAAAEEEEEEKELEKLLAAVADEHRTIIMTSVNEAWAAPGSLLDLFLESFRAGEGIARFVDHLLIVALDDGAFRRCRAVHPHCYRLTVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFERMSMAAHMVTSSDFFIGDAYNPANFPNTGFLFVRSSRRAVGAMEAWRAARASFPGKHEQQVLNEIKRELVARRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLAAKLHDLRNLLDEWRAYRRMPADDERRRRGPVRWKVPGICIH >OB01G50860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31373195:31373431:-1 gene:OB01G50860 transcript:OB01G50860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFVRPSAHFYTRYTHSLVAPRPSHAPRQACFQAGCREDGPVRLARVSKCHADGPLPESCASSLARLGTWDRSKDD >OB01G50870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31373983:31376975:1 gene:OB01G50870 transcript:OB01G50870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGNLRRFLVFLLEMWVAATLVVLLLCVLAGTGRSPEIPPAAAACNCTQIGTAPSPVSDEVAAASRNSNESNFADLAQLLPKVATEDRTVIITSVNEAFARPGSLLDLFRESFLAGDRIARLLDHVLVVAVDPAAFDHCKAAHPHCYHLEVNSMDLRSANNFMSKAYVELVWTKLSLQQRVLELGYNFLFTDVDILWFRDPFRHIGVFADMTTSCDVFNGNGDDLDRNWPNTGFYYVKSTNRTVEMLRRWRAARARYPPNHEQNIFNYIKHELAGGLGVRVRFLDTAVFGGFCQLFRNDMRRACTMHANCCVGLGNKLHDLRSALDQWKNYTSAVTPEEKKKNGFGWRVPAKCGTPDKKQ >OB01G50880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31379329:31380942:1 gene:OB01G50880 transcript:OB01G50880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGSGGVTMNRSHVVPFLVGAALPTLLLFLLASDRVGEQLAIVSNSWANHNNALAPAQELHREKFPGLPELLPKVAMEDRTVIITSVNEAWARPGSLLDLFRDSFKNGGGIAHLLDHVLVVAVDPAGFRRCKAVHPHCFLLEVSSAANLSSANRFMTSGYVELVWAKLSLQQRVLELGYSFLFTDADVMWFRDPFRHIKLYADMAVSCDRFSGDADDMAGNAPNTGFYYVKSTNRTVAMLRQWQAARSRFPPDNDQGIFNAIKRELAGGELNVRIVFLDTALFGGFCQYRNDDVDSVCTMHANCCVGLENKVHDLRNVLADWKNYTGLPPPEKKTARFSWTVPAKCRTSFR >OB01G50890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31382518:31382739:-1 gene:OB01G50890 transcript:OB01G50890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDATWDGGMMEWRKKPLLCCVVHSVSSRFVRSLSGSSSCSSEYVNSCTIKVKIRVKFKKYRQFDKVVTKLYI >OB01G50900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31382783:31384151:-1 gene:OB01G50900 transcript:OB01G50900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSKNGLSPVVVFLLGAASATVLIVFLFTSTARPAWSTPEIAPTTRTRQDQKAAAAVPCAPRAKGTTNTTARTNQGEKEEEDDEFARLVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHLVQHIVVVAMDEGALRRCRAVHPHCHLLLPEVAGLDLSGAKSYMTKDYLDLVWSKLKLQQRVLELGYNLLFTDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATARNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAADGLGVRIRFIDTAAVSGFCQLGRDLNRIATVHTTCCIGLENKLHDLRNVIRDWRRYAARPRWEQRAGNIGWTFEGGRCIH >OB01G50910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31386491:31387211:-1 gene:OB01G50910 transcript:OB01G50910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAKRFMSKEYLELVWTKLSLQQRVLEFGYNFLFTDCDMVLFRDPFRHISLYADMTVSSDDYSAARAPLDNPLNTGLYYMKATNRSVEMLRYWQAARPRFPGAHDQAVFGHIKHELAGKLQARIQPLDTLHFGGFCEYHDDLASAVTMHADCCVGLDTKVHDLRDIAADWKNYTSLSPEQRKKGGFKWTYPTRCRNSVGWRKPVHP >OB01G50920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31388362:31388795:-1 gene:OB01G50920 transcript:OB01G50920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWGGGGGGMTINRNHVVPFLAGAALPTLLLFFLASDRVSEQLAIVSSWGTGGGSSAAHDLTGGDVDGAAPAPQSLPTE >OB01G50930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31390195:31390389:-1 gene:OB01G50930 transcript:OB01G50930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANCCVGLENKVHDLRNVLADWKNYTSLPPPEKKTAKFSWLSLQSARRRYSDCISTAANKPVN >OB01G50940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31390389:31390733:-1 gene:OB01G50940 transcript:OB01G50940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSPLGEGETGVNEARGGVLVVSPSVPPFRSIGVISILRLRVTVSNNQPGCAAVLRHWQAASQFPPDNDQGIFNAIERELAGGELNVKIVFLDTALSVRFCQYHDDVVDRCA >OB01G50950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31390913:31400486:1 gene:OB01G50950 transcript:OB01G50950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPRSLLLLGISSASLLLAVAFVAYTGVWRGRADGEAETPLRRVVRSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGLMWIGAKNGQYFLRHVCQDSDELNKYGWTDHNGRDYGRQVLVDHGFLLTTSFLKEKGDGSGYGGDWAVRLDAKNEGSSLSEDQESTTHMFFYIADEAGNSITMGSHVPSSRGHVLLASGSHEEIGDWEVYLRSEDNLEIHKAGFKSVSMHNLSELVQQALATNAMQSGNLNLPDMAEDSSNIIVSQVSMKRSAKVDIVFLSGAASENPMIAERINRLTGPVLSTRLESKQKDFEKRYDQIFNVNNKINPKDLSVGVAALSNLLGGIGYFYGQSRIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVVWRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQHPSNGNPPTLFLALRDLASGIHANQFSDLESEKISTFLKRAYVRLNSWFQWFNSTQTGKSEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYYKMSNQLSDFGLLNKMHLDDKTGAYFDYGNHTEKVRLRWFEVRDNDVMRRELLRETLQPPQLQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISNNSILWTDYGLRSLSRASSIYMKRNTEHDPPYWRGAIWINMNYMILSGLNHYANEDGPYKDRAKELYDELRSNLIRNIVKNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMGESYPTLHR >OB01G50960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31401876:31404267:1 gene:OB01G50960 transcript:OB01G50960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G67410) TAIR;Acc:AT1G67410] MYDLPRRFHVGMMDASATGFPAWPPSAGGIRRQHSVEYWMMVSLQGGAGGDGLVEEEGREAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPETEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASILIVADFGRYTKELASLRKDVVAPYVHVVDSFLKDEPPDPFEARPTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFEDSLATGEGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDHLLNQLRQIPKTKWVEMWSKLKNVSHHYEFQNPPVKGDAVNMIWRQVRHKLPAVNLAIHRNRRLKIPDWWG >OB01G50970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31407640:31409598:1 gene:OB01G50970 transcript:OB01G50970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLSLMSDLFPPPPPTAVREAAVVEEEEDGEEGPDGDARDEERDAEVEEAARVVERWDSPAEGDRVVFDSAEDAGEYLNAAACLVRATGARAEAALQAAMARLEDEFRHLLIRGMSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHAGEGDEAGGAGGRTSVSEEEISPYLISPDTVGSLRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEEHRICGQIFAADAQAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELKGLFSGEARDFIKEEAEGILVRLGDAVRGTVAEFANAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYSRSLNQLLVDWDTELENGGDNVNMTPLGHCVLILITHLQTKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTKVLACLRDDGLPQTMGSSSALKTALKERFKNFNLAFEELYKTQTTWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSTRYIKYNPDDLENQVSDFFEGRRPNA >OB01G50980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31411100:31413510:-1 gene:OB01G50980 transcript:OB01G50980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRDVRTTKEEQWREEGAAVEESPLGSARWSPEAEIGMRVEDIWDSLDQPQLSHRDRLNSCFDAIPVASFPHTFDGAQVVEIPSDATLAEAVDILSRHRIISAPVRNVEAPDDASWIDRYIGVVEFAGIAVWLLHQSEAAAARADNLPDHPSAEGAVAEAFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLAECAGLHWFEDWGAKSLAELGLPMTRPSRLVKVRHDEPALKAFRLMRKRGVGGIPVIDHAGKPIGSIMIKDVKHLLAASDANRDYRTLTAQEFIANARQSSGERQMNIVTCKREESIKDIIFKLDAEKRQRIYVVEEQGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >OB01G50990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31413978:31418060:1 gene:OB01G50990 transcript:OB01G50990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFIFEMACQNSKAAYEQNPLDAENLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDSDKANEFFEKATHCFQKAVEVEPANDLYRKSLDLSSKAPELHMEIHRQMASQASQAASSTSNTRQSRKKKDSDFWYDVFGWVILGVGMVVWVGLAKSNAPPAAPR >OB01G51000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31416538:31417654:-1 gene:OB01G51000 transcript:OB01G51000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRITHPKTSYQKSLSFFFLDCCKPPRISLDKGKVGDQAKNSKKYRRTAIIPKEHIPTQNRLRMQLVKPEMPFAYESPYVVLVPEII >OB01G51010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31427704:31427877:-1 gene:OB01G51010 transcript:OB01G51010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKREKKGKECKGRTRSSCMPWNKAEKREAICSSCSYDCYSLFLIYTFLSLLFKL >OB01G51020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31432227:31433239:-1 gene:OB01G51020 transcript:OB01G51020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKASPASLAVKAASWSEPVQRFLKRCADAGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGGGGRHRRQRRRRRRASPLLPRAVRPKRNPATRVPAVLRLRRGQLLLPRVPGPGLEARPQGAVCAHGPVAPRRRRGPVTPPP >OB01G51030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31446686:31448453:-1 gene:OB01G51030 transcript:OB01G51030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAKKRLHFAALRLLLTSTRKTVCCLRTAEGLSLRMFCTVIMSFKVKNLNWPISISENEIWGHMLMLLLLVSYKDSYPILPPENGEKPELKQFS >OB01G51040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31451504:31452145:1 gene:OB01G51040 transcript:OB01G51040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLCDAAKPRRYDLTMSRRTRRPAAAAAATQMKMAMATQHQHQDQARVTQLKELLQCPKLTTSRQPEDTEEHKAPLQQTEDAEEETPREKWPQEEGARHDQQQQWLQRDDSSRRLSLQELIDDEPINGAKDDHNTAASGSQDDSSAATAAAADAGVEGVAEAEAAAKQPEQVAGKKKKKKKMMGMMRRYVRVRSIKPKSTAPERNVAPPMC >OB01G51050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31461078:31462238:-1 gene:OB01G51050 transcript:OB01G51050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGEQERSSPSPAASPEFEFWMVGKNPAPFQSPELLTADELFSDGIVLPLRTLQQAPESADGDGGGVEASALAEEVEVEAGQRLAESGPAPTPDLPAVTFKWKDIFKATGGGGESKDRKKMERRGRTGLTVTGIRLGRASPVWQLRRNKLQQQGAAAEQKQSTNDTGAATKKKPTTDAATTTQEVDGDDNATPTQSVSTATAPAPATTGCRNNASCSEAGGEEVNPPQGLGAASGPYSPRRCTDRLTMSFVRSLVHIFVHTIRA >OB01G51060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31469333:31469536:-1 gene:OB01G51060 transcript:OB01G51060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSDQSTLRYMTGFLFFLNSSTNACSLMHLSVVCQLYTYMDSLSSEKLNQSNLCALVCIGPYIPDTL >OB01G51070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31485815:31486513:-1 gene:OB01G51070 transcript:OB01G51070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGHVPAALLAEALLLAGDGDDGRLGVRLADLVPPVVVADALGQVGGAPLHRARRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPARRGAELKVERAASATAAAAARADHRAEVPRRHLGVPIHGKIRRTRRARRQLLRT >OB01G51080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31487064:31489726:1 gene:OB01G51080 transcript:OB01G51080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGACICPPVLLLPRDTIVFCPHDDAAAAAAADGQNTTELGLAGGPCVAVAFVAHLTRATNSSPDIHLNAKTNLAVPSVPHVFHPGKLHGQLLDLTDLDTCGWFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPSSLFANHHGAARFTSYQQIFSTASMSQEAKWPVSAVKTEADVFQEPYYHGLHLNGGAAASIFHGKGRKHHFPFLTTDHGDAAAAAPFGCQPFTITPSSAESRSSSSSRHSNGGKMFAAHDGACLVFPVYGEYHPTYDSQLHY >OB01G51090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31491257:31491502:-1 gene:OB01G51090 transcript:OB01G51090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGGVKGKVVTTYSKYPAVSVTQAYAYQPNYPSSIDVAATANASSYGGGGNVDERAAAYILAVRERFMREWM >OB01G51100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31514472:31516782:1 gene:OB01G51100 transcript:OB01G51100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSEMQKIDPGKDCILILNATHALKDENAGIQSRLREITSWSVQNNADESDANQLEKLEKLLTNALRDTKSKKMLAKQNGEGSRSGTNSSGSRGQEEGSA >OB01G51110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31522986:31523324:1 gene:OB01G51110 transcript:OB01G51110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVYREAAMAGSGGGGDGEHYSRLIRELCALLVAVIDTGGVDAAGRVDGADAVRVRDVRHRVPPHALGRWRRAAVRPRRRRLRPLRRGLRQVGRRRAWSRLFSSGDLCIG >OB01G51120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31528320:31528544:1 gene:OB01G51120 transcript:OB01G51120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASTDWGPIIVAVILFVVLSPGLLFQLPARARVVELGNMATSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >OB01G51130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31532340:31536067:1 gene:OB01G51130 transcript:OB01G51130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGVPHSSRRSLSSSSGSRRRVGGPAENGHDGASAGRRSSASISRASPSMTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGNAIAAAVEGVEKHWRAPVPGPNNFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSTGTGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLRLHLDYAGVGRRKRGGGGSSDFDYTGKDAWASAYSAVAAGAALVAGLGVMAYLKRAGA >OB01G51140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31541771:31547929:1 gene:OB01G51140 transcript:OB01G51140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQGFDTHRIHQEVKSRWLKPKEVLQILQNHDRFIITNKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVEALNCYYAHADKNSTVQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNNSSTSNQNGSASRGEAQSSPGLTSEIFAPCLNSCSPGSAEEVSSQIVAINNETNNGSQPDWVQNCNQAALRKLKIQLSLEDKEDHDVDAEDIPSNSESITVVGVQNEEPGTCRNLVDIFNELEFNEDNRPKETGLPFSSTIDVLKNSESWLEEDQLEAILQSASMTMGENQWFNIREISPEWSYCSESTKVIIAGDFLCDPSHGSWAIQFGDAKVPVEIVQQGVIRCHTPCLEAGKVTMYLIDGNEKACSEAREFEFHNKPTKSMVCENRKLCSEAEAFEFHQRPTESNNERLLLFNYVQLLFDGHVSEQFLKFGLPLPNVECRLQVSPSEIMKGTTEQLNHDTTVNCIMEVLLNNKLEEWLSSKYERNSEGNHFLPRQYHGIIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLAAGASAGALSDPTAEDPAAKTPSSVAFAHGFKGLSAFLSEAELTTHLHSLESKENGASGGGISRVVDRLSDTFDHVQGGSDNQLALKDSLGAVRNAVQAAGRIQTAFRMFSFRKKQQAAHQNRDSHILSIRKVGAASRGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKDFLRSVGILEKVMLRWYRKGVGLRGFHSEAMPIDEEEEVDIVNVFRKQRVETAISEAVSRVSSIIDSPVAKQQYRRMLEMYQQKKDDNEK >OB01G51150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31548304:31554242:-1 gene:OB01G51150 transcript:OB01G51150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G27320) TAIR;Acc:AT1G27320] MEEMSGGRWRAAGKVRVVGMEEGGKGAAFLGLDRVGLVLRMLPVPEKVTARARGLRGSRLLAHLRGWRVVRERWWWMLHLWIAFWTLVSFSLFLAMNSQAVDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKNPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHAEREQFERHQGWSIKKMYSSKSPGPGDAAVAEIQETAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVISTYTVYKSELPANARPQERIQAAIGYLGGIFDVEALVHKLLEQLASKESIMVNVYDTTNESRISMYGNDTGSGMCIVSMLNFGDPSRKHEMHCRFGEKPPWPWLAITSSVGTLVIALLTGHIFKVTVQRIAKVEDDFLKMSDLKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKTLVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVAEEVMSCLEVETGTQNTNTLSGYPVANRRRSWENIRLFDRELHSSEMSFAPIPSDSISLVISVEDTGVGIPFDAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPNVGSTFTFTAVLMRAHCKGNEVKSSEFKGINALVVDHRPVRAKVTQYHLQRLGIKTELIADLNQFISKLKCGSLTVKLVLIDKETCLNEPHCMPLLVNKLRSKEKPDSPKLFLLGSPASSLKGGLDTSSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNFVNLKVAAGALNKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATKRIRVMEKELNERIERGEAPPECASIQRWRTPILAMTADVIQATYEECLKSGMDGYVSKPFEGEQLYSEVARFFQSHDQVE >OB01G51160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31554660:31554974:1 gene:OB01G51160 transcript:OB01G51160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSLSSGDWGRGGRGESNGTNHNSERGQHASGSLSLSLCPLCLSLCPLCLTGLWAPCICLDHEIITFSPANLILIPTSPLWQQPADQKHRPFPLINMNQKSY >OB01G51170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31569732:31570307:1 gene:OB01G51170 transcript:OB01G51170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMNSLNSEVVMHSTGSCKDAVVITIITKERWRCEDGDGDREEEKSLVIAAGERGKGPSTHLTALLHIFALLLSLAFLSCVVNRDKPPKDEGERDERGLDHLGQEAFSISEFSSYPLPPTCMPPPIKKARERYRRKKICKKKERKSIICVCVCVSVSALDSKGCNDRKGPNKLSPTLQRYLTAATACLSL >OB01G51180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31585078:31588395:1 gene:OB01G51180 transcript:OB01G51180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTECNRDDAIRSKEIAESKFKENDLAGAKKFALKAKTFFESLEGIDHMISALDTHIRAQTKIGGENDWYGILDVSAFDDDDKIKKQYKRLALQTHPDKNRFSGAEGAFNLISDAWSVLSDKNKKRSYDQKRSGGSSGVYQNSFKSNANGTPGFTLSRSKRRKMSSYDHTSDETIYKYDLVQVLDSYNPSTGISVMPIVKVPGFVSVFWPLLDPMKSGIIPKEEMLRFSHQVPFHVLTGEEAKNSPKGCYELDPGSTPKELLQAVPQS >OB01G51190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31589079:31592637:-1 gene:OB01G51190 transcript:OB01G51190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G27340) TAIR;Acc:AT1G27340] MGEVAALRQLVGEVQELWDLYGAHSHPLPRWYLLDFEHGSIKDDNCRARTGYNSELLKIMEANQSPPRKRSRRDRNREKAPNSNSTEEMKEEIWSEFPRDLFETVIARLPVAAIFRFRTVCRKWNSMLGSDIFSQQFSEVPNRLPWFYTITHENANNNVAMYDPSLKKWHHPSVPLAPAKIVIPVAAAGGLVCLLDLSHRNFYICNPLTQSLKEIPRRSVQAWSRVAVGMVMNGATSNEGYKVMWLGNDGNYELYDSTKNVWSWPGTFPPGIKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQFVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLNKEWQKVPDCMLPCSRKKQWIACGTAFSPCPSALA >OB01G51200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31601770:31603942:1 gene:OB01G51200 transcript:OB01G51200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein large subunit 27 [Source:Projected from Arabidopsis thaliana (AT5G40950) TAIR;Acc:AT5G40950] MAFALVGAFEGLSLSSTRHSSFLRVGGVGVGVGVAVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERRKARAEGNVEVQLVLAAADESPEVNADC >OB01G51210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31609131:31609700:1 gene:OB01G51210 transcript:OB01G51210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMSMVKIRAMSKCSNCRRQQQMQMLLPTMAACMVATSVLCLLVTSPVWAPRLCSLMAFFFLTTLPDLAMAFLLSPKCLFVVGNLIVAFLVGESRLSPKAEPASLVNDIHEEHVKRNAATGAKAVAAIDHNAMVGELGQGEEEEEEEEEEEDDDDEEEEELHQRVEDFIARVRRQRRMEDKSFFDTDR >OB01G51220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31610607:31610819:1 gene:OB01G51220 transcript:OB01G51220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAQAFDPDKKEIDKKKFHDTLTRNAECLGTIIYSPLPAYMHNCICNVSPCLATKKKKISSLTVWDYHQ >OB01G51230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31611742:31616717:-1 gene:OB01G51230 transcript:OB01G51230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPRGAAKLVPVEAEPPTQEEIDEAIKTIAQHAEGGSDADEDDEGNGDMEVDGEAEVEEVDEVAQAKAAARALAKGSVDDVADELKELNMDNYDDEEEGIEIFSSGQGDLYYASNDLDPYLNKNDDDDDDDEEIEDMTIKPTDLMIVCAYNEDDVNSLQVNLLEETEDGDLNMFVHHEVPLADFPLCTAWMDFNLKGGDKGNFVAVGTMDPAIEIWDLDIVDEVQPHLVLGGHSKKKKKVKGKKAKKYKKGSHRSSVLGLAWNKEVRNVLASASADQTVKIWDVAVGKCAVTLEHHDDKVQSVAWSQQSPEILLSGSFDKTVAMNDMNDGGQSCHKWSVEADVESLVWDPHNEHSFVVSLENGMVQAFDKRTASSNSNSGRPTFTLHAHEKAVSSITFSPSTPNFLATGSTDKMVKLWDLSNNQPSCIASLNPKLGAVFSLSFSDDNPFLLAGGGSKGKLKVWNTLTEPAVANKFGK >OB01G51240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31627944:31628966:1 gene:OB01G51240 transcript:OB01G51240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPPPPPLNKTEPTTTATTVTATNQHQHQHQQQLDPKQYQQEEQRRRLQIEVQEQQDGGAGKEQQVVGERRVQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGHIGFAPMFAGHAAAAMPGLELGLSQDGHIGVLAAQSLSQFYHQVGGAGASGQLQHPHQHHQHQQQQEDGEDDRDDGESDEESGQ >OB01G51250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31628064:31628744:-1 gene:OB01G51250 transcript:OB01G51250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEHGGEPDVAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRLLQQPLHGLPVGLVAQLPRQLEDPRRAQRRHPDPPPPPVHLGVAVLVGAPLRPQPLHPPLPHHLLLLPRSPILLLLHLNLQPPPLLLLLVL >OB01G51260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31630369:31632240:-1 gene:OB01G51260 transcript:OB01G51260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLGCRYTMRRAIRYSGGLAIKIATFTCPPVTADAPFPVATIPSFHSRGEATGISKRLNPFQVLNEKRIEQSPTAAVKKEHETEKQSFIRDQEQDSADVLPVTADHVPEDAKVPHAVHQVLWQLGKIQQLSLTLDT >OB01G51270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31634112:31640935:-1 gene:OB01G51270 transcript:OB01G51270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERKLDRFAALGKDVLSLGIEDDRAAAAAIGFVDESKDQQHLENSIPLSPQWLYAKPTDSKILAPHGSLLDPSEKEVKMLEGAADKKERRRNAFDADSSLRWLEEERETSLPGRRERKKEVDRDMETRKNDRRSDNVPVRDGSDSRAPSSERWNDGSTRVSGNEGRRDGKWSSRWGPDDKEKDSRSEKKIDAEKDESHPEKQSFTTGRLLPETDSRDKWRPRHRQESHSGGTATYRAAPGFGLEKGRAKESNVGFSAGRGRANPNPVPSFTRPSSAGPIGAPATRGKCAASAISRFRYPRGKLLDIYRQQKTLPSFDDVHCKLEEVPSVILSSPAKPLAFIAPDADEEAGREDIMKGKVISSEVVNTTGMQRDRKKEIEGFVSGIDGKKDKGSVAFSGLLQEESATLISEKDAFYDGGVISAGNITVENTPKKESGLSGIREDMKFNEVNSSTDLDLGAKLPNDSSSLFLESPFGHIQQPPVLYQNSEIDTKASGQASYPEDLTLYYLDPQGGMQGPFLGADIISWYEDGYFGLDLPVRLANAPDESPFRPLFEVMPHLGQKPQPLPPVSHGESSESPDSVHNSFDEKIPASGSFGKNDLTSKQDPESYAVDLKRGEHEAPVQSHMSWPPSLETEKNTLNVDVRQQHIPETVSQDAEEILYTARPNSSTGQSLRDLESDRSDFQLAIRDPHAAVGEAKLPKHDVPRESELSPLGLLWSELEGMHPKQPLSSNVLGMNDRRNPKPTAPKDIPPVNMRHGQLGRMNEAPVVRDEWPANFGRLDNVTETNISGRLAQLETDLNHLSMDEQMLLQQIRREQLQQDQLIPRNNLEFPGPFPGQVFDSLHQHRQSMNQPVPEVEHLLRVQFELEQQQRRQQLQQEQHQRQLQQRQAQLLQQQQQQQQQQQQQHLIFEQILQQQLQASNFGPTNMVDQVLLREHVLNDLHHQPHHFQRQHDAAIEQLIQAKFGQGLQREHHNDLMDVLSRSNQRQMLPLEQQILLGLHHDQLQSQQLANALRQHAGREEERHLSGGWPMDESGHFIHSGTSPNQGHASRLGHFDLLQSLQRSSSMEQHEHLERSLSLHERLHRGGQGMHSLERSGSMPGGGPPLPNMDVVNSLARHHGLGQLEVHGDLYSLGQMPMVPSAVHPQQHRLQEQLSGSHMGRLERHWSDANGQLQNTLMEPSRINQLQMEAQKQRRNVEMNLAADNPHAWASLRNNERNTEQDLSDMLHQKLVLQSQQSLGFPDIPVPASFGRKDPSLFAQPAADNPLRPPVDRLSFDDPLAERSLFAKAGQTGQEGSANLDSLTNSIESSGKLSLRSSSGSMLDIQRGEFSGIMGGGASGNQLVGHTNEVPRGKRQGSSASLVADDTNFAEDAGSNWPDTTMSKGGTHSLLKRPASQNSAAAQAVSTDLSSTIRLKKAGHASSDDHKLESGVTLAAQPVDIPVSSNKETGSFISPSGTSVDGPSFREMVKSTKKPTLQQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIHRLDD >OB01G51280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31642834:31645309:-1 gene:OB01G51280 transcript:OB01G51280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYPPSRPLYKAGRRRLHRSSSFLRLLPCAATLLAHRHYRHGSEERHQGPDPVRKKAVNPLFEKWPKQFGIRGALQAKRDLHCFVKCPKVQCGTKYTHSSYGSHRPYWARMSQSDNNTKYQTKDKAAKKEMLLKEAQKEAEGKTVEVKKTVAVKQTSMTKLMRPGKNRVAISLAQSHMARPKLRKSFSLRKVAQRMT >OB01G51290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31649262:31655260:1 gene:OB01G51290 transcript:OB01G51290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPWAVLLVPSQSLRGSGSKLKDIPNVQFKLSKRKVDENLQSLHVLMYGRKSNVHFLKRNISQFSGFVWTDNQEKQRARIKEKLDKFNKEKLLDFCEILDIYVSRATTKKEEVSAKLLEFLESPCITREVVLTDDKKGKKRGRRSKGNGQTTAEGASEVKDLHIILYWWKTVDPGGFCKEHVGVERTRAVREQYYGGVVILNDREKRRKSRKQSAESAKENDDEDDEGPAGSEDVSMGEEDDEDSEAKDNAGSDEEPDEPPAKKKPTDDKQTKKAKENDASGKKASTKPAKGVTKPSQDTEDEPEAELESKRAGKKVSKSSKESDVTVDKASKKVTKSKKDEGKEGQNNNSGVSNNKARKKDIAKTTTKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEENAEEDADKNTKDENSKEDGDEK >OB01G51300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31656575:31659129:1 gene:OB01G51300 transcript:OB01G51300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVVDAGARSRRRGGAGSEARGAVAGGAGGGDQGWALLPLQPRPEHRRHRADRLLLRRRRPRPPPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFVAAVADCAMADVGDITRPDPGVLGELVYSVPGAKNVLEMPLLAAQVTKFKCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGVSLSVPPALDRAVLRARDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTAPPRCRRGRWRCSSRAPRRAAACACCSGCRRGPWPSSSGSWRR >OB01G51310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31662359:31663358:-1 gene:OB01G51310 transcript:OB01G51310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARTKGVRKSLISRTLERCRSGLGGGGGGRGAAAPVAGCFSVYVGAXXXXXXXXXXXXXXXXXXXXLDDAEREYGYAAQGPLALPSCAVDAFLDVLWQMEHDRHDDDLSIDGGDEAAAAASPICGLRTCGSKGRAAGYRMLSPARMSRPSPMAATPTRIKR >OB01G51320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31663629:31663841:1 gene:OB01G51320 transcript:OB01G51320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWGESYLSLCINKGGRRGEDGGRCLINFALVKWTHHACVLVYITRIRVRERKKMVRIHCSAAEDHCSYE >OB01G51330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31672868:31676169:-1 gene:OB01G51330 transcript:OB01G51330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIWEERNIFGSHGQSLKEDYTRRFKELKSKSRKPNGELLEKVISCYKHMVNAHVDDDTLMRKCQISLDFVDNLNNEYEHNSILDSSNGSGFVEELQQQQSILRDSIEQLKTSELLRGNLISCLREALHEQEFKMEQVRSHIKEAHSRYKKADDLCQKLGIPVARQEPPNHGLKNSGLSETPGSFAPEPANTSSFEKGQSSAVMYSQENGREHEIPNGILSSQATRDNIEQKIEEHSANKRLKLQNDVYVSQPQSPPPPPPPPPLPSDAFQQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTGSFLPVPTAPFAGLPAGPMTAVPYNSYAVFSPLNYPMVSIPPPFPNAPNTPPGFQGLAGPFYGPPFPAPPPPPPPPMNRK >OB01G51340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31680810:31688488:-1 gene:OB01G51340 transcript:OB01G51340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLAKVAELVAWEAAVLLEVGDDVRLLGDRLEWLHAFIRDADRRRRRRADEFVAVWVRQTRDVAFEAEDALAAFLHRAARRRAPPPLPSCVAWPALCAGQVALRHGLSGRIRQITKRLEGISANRAAFNIEHTPSPAWAAPSSSATTTLASWDDLEECTVGLDMYSKMLKEQLLDDAVAGRALVSIVGKSSIGKTTLARKVYESSEIRNHFDILTWTVLPSNSRPADVLRDINSQATFQLWRSSSQQRVEDGCSGGDGAAAACRAAGRDVSNSLFQNLTGRRYLVVVDGSIAASDWNSLRASLPDEGNGSRVVLVADMAVLEVVGYPGPATYDPIELERLNPENTYEELPAEWDEVMAQLSAAREPSSKNDSSRRIMSLAFDDLPHHLKSCFLYFAAMRESAEVDAQRLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVEKDEFDVVLKVVVHDRLHAFAQEEAQEASFIESHDSTDVLAPATVRRLAIQNSSERYVHLGNALPKLRSVVCDLVRNGGGARCIQSTDLGFLDASKFLRVIDIHGLELKKLPNEIGSMIHIRYLGLHCGQLEKLPPSVSNLVNLQSLILKGTNNAQVLDVTTAFWRIPTLRHVVAPFALPKVLGDLHSLQTLHGVQPLCWDTRGGINPMGRSTNLRSLELRGLVAKHAGALTAALESLDLLVHLVLRGESLPSAVFTIPCLRQLQRLQLVGPMDSPDGPNTGDGEAAGGMVRYIRPNLTRLSMWNTMVGQKFVDMLSELPSLSELSLMALAYDGDRLELRDGGFRSLQKLKLALPELEEWTVRAGAMASLASLTLLRCAKMRMLPEALAGIPELKEVVLFRMPRMVERIKKRGGEDHHKIKHVLVIQTLRWKFSGSLWHWIVLGIVGEDKSMSEFTGDGEACSLFSGAKLQAWLSGLSEPWIRLFGVGTFGFSFLWIWEILKAQARLHNMNAGFNPQILAQKLLKLNNSRQSIESILPKSLWQYTFCIQLMTHHTLLWSIDSILNSKKDSGRYVNEFWRVIPAALNDVFVNGDDFGRNVVQRLLATYCLEDPCCLPERPWCPGSSSAINLNF >OB01G51350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31690492:31692365:1 gene:OB01G51350 transcript:OB01G51350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVADLGALQRLPRIVGYGNAADLALTGRRITAMEAKEMGLVSRVFDSKQELDAGVAKIAKEISEKSAWAVMGTKAILLRSRDITVEQGLEHVATWNAAMLRSNDLEEAIKAFLEKRKPVFSKL >OB01G51360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31692951:31699306:-1 gene:OB01G51360 transcript:OB01G51360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L7D0] MLIAVSEVFILQGRFIFGPDARSLYVTMFLIIAPVSIFCAFVAKELMNNFSYGLGLPVMIAAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGLDGNAEVGSNQTPPTRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRNTEQITIWKAMAKTPASIALLIYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFSAIPASKNNFRAKVPIPQDQGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRNASPDLSRDALAVGGLDEQGSSVMQPGRTSWGVEAGR >OB01G51370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31699993:31702094:1 gene:OB01G51370 transcript:OB01G51370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFRPTDEELLLHYLRRKVLGCPLPADVIPVADLARLRPWDLPGDGDGERYFFHLPATSCWRRGGGGSRAAGDGVWRASGKETLVVAPRCKRPVGAKRTLVFFHRGGARSDWAMHEYRLLPAATNPPPPHHMSGRTPEPSGWGGCRLFKRPTPAHRRSPPRIRAATARRRSADDMPSSPSSCVTEARENGGEEEEESSSYSAASNFP >OB01G51380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31710020:31710809:1 gene:OB01G51380 transcript:OB01G51380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAAGHNSETSTTGVLVTRHQNDGQPEPTSAAVAAARRRGCKVAPEPKEEDGAAAAFLLAMPGSPSFRLYCQKSSAVDALVADADGGDSDADTCATRFVAETPQPIKNDGRGKSTMQLSKPEASRWLRFRCLVAAAVCSLFSRHGTAASASSHPPPAAKSHHR >OB01G51390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31710817:31716151:-1 gene:OB01G51390 transcript:OB01G51390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50860) TAIR;Acc:AT5G50860] MGCILGKLAAAPGSSLFFPAAAAAGGGTEAGEVQLSPPKPEEIAEVKKDESGWPLWLSAAAGDALAGWAPRCADAFHKLEKIGSGTYSNVYKAREAESGRVVALKKVRVDGAGEAESARFMAREIALLRHLGDHPNIVRLDGLVTSRLATAPSLYLVFDYMDHDLTGLAAAALAAGSRLSLPQVKCYMKQLLTGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPDNKEQPMTTQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKIFKLCGTPPEDYWDKMKFPHSSFRSYERCIAEKFKDVPPSALSLLETLLAIDPDMRGTATDALSSEFFRTEPYACEPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTATRKSMSQNPGRRVFTPDVNNKPQTKPNIPRLVTSTSTTKLERFPPPHLDASIGFSLDSSADATAEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKNMQKSKPSLKMPPSTVLIGAFKPYSLGHPMEVRRKNRDQFRTKSRNVVGAVK >OB01G51400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31722377:31724977:-1 gene:OB01G51400 transcript:OB01G51400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) TAIR;Acc:AT5G50870] MVDVSRVQKELTECNRDREVSGVSIALHDGSTINHLTGTIAGPLGTPYEGGTFVIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFSATARYWTEAFAKSTSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >OB01G51410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31729252:31729765:1 gene:OB01G51410 transcript:OB01G51410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGEQVDDAAADGTAGRRQLAAAAAEISISASSSGDQLLEKLKRELPIANKRSLVRFFQKRKESLF >OB01G51420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31733955:31737012:1 gene:OB01G51420 transcript:OB01G51420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCCCLCTDDFEEYAHPNNPVYRQCVCLRNFFHNVFGGYTATFQRLESRPSNPAQGAAPLASTNSSTNITDSSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVNSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >OB01G51430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31735310:31738117:-1 gene:OB01G51430 transcript:OB01G51430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G13420) TAIR;Acc:AT5G13420] MACVAVRDLSLDDGEAGATRSAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIANGVRGVTSNPSIFQKAISSSNAYDDQFKQLILAGKDAENAYWELVIKDIQDACKLFEPIYNQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDGVGKQLELEGVDSFKKSFDSLLVSLEEKGNALKKTVSL >OB01G51440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31737649:31738528:1 gene:OB01G51440 transcript:OB01G51440.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGYSTDTVGNKGQQIGHRTAEVVVPGGLTLEIIKIMERCPLRWGTISFLGRSHCTDDGAPGAAGDGDAEPARGGG >OB01G51450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31743715:31744881:-1 gene:OB01G51450 transcript:OB01G51450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDVHAGKSGGVLEEDPVGKLKVYVYEMPRKYNLNLLAKDSRCLHHMFAAEIFMHQFLLSSAVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVHYVATTWPYWNRTGGADHFFLAPHDFGACFHYQEERAIERGVLPVLRRATLVQTFGQRNHPCLQDGSITIPPYANPHKMEAHLISPATPRSIFVYFRGLFYDMGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQISVFVAEEDVPRLDSILASIPLDDVIRKQRLLASPAMKQAVLFHQPARPGDAFHQILNGLARKLPHRKDVFLEPGDSGVDWNQGLESDLKPW >OB01G51460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31743724:31744905:1 gene:OB01G51460 transcript:OB01G51460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEVALEALVPVDATVAGLEEDVLAVRQLAREAVEYLVEGVAGPRRLVEQHGLLHGRAGQQALLADDVVERDGGEDGVEPGHVLLRDEHADLLPGDGVGEGEHDVVGDDDGDAAEHHRLHQAGAPGRPPKRAEAEDGALHVLVVRRRVLRRDVEQRVVLEVLPHGGARAAGVVPALGVVAHVVEEAPEVDEDGARRGRADEVRLHLVRVGVGRDGDGAVLEARVVALPERLHQRGPPEHGEDAALDGALLLVVEAGAEVVRREEEVVGAAGAVPVGPGGGDVVDCAAHDARRPEREREALRREVAGGVRRRVEPVGLLRVERPDGAGEEELVHEDLGGEHVVQAPAVLRQQVQVVLPGHLVHVHLQLPHRVLLQHAAALPCMHIIPHPFLERIQ >OB01G51470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31754444:31754641:-1 gene:OB01G51470 transcript:OB01G51470.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVASLCGLGWGWVCCSQGHRFPAHTLFETGRRYDSIGTGRMVLVIFSSELIQLRLNIIENKTGSG >OB01G51480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31754442:31757487:-1 gene:OB01G51480 transcript:OB01G51480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRRAGRGARLLAATWEVASGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHDKSVYLSPGQKLLNWTQGPVGDLKPW >OB01G51490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31761503:31764784:-1 gene:OB01G51490 transcript:OB01G51490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWILAIAILAAACFLSLGAEAQVAQQQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATQWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPADTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPVGDLKPW >OB01G51500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31767307:31768242:-1 gene:OB01G51500 transcript:OB01G51500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSELKPSACLVELGDTSVDVAPKASCNKENIHTFKDKLKGLDINALPADDAGDDAKDEALSYESVRCLKKARGCPPQTGPEVPEHQKSGGVKTAPEVIHPIHNQTFYLTAEQHKIKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKV >OB01G51510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31768376:31768792:-1 gene:OB01G51510 transcript:OB01G51510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSFSLPVLPPVLLPCAHETLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRLACASQGGRGLLSPVRQPGRRNLLALRQPVVARVPASGARPSSLVRPPPPVPGLLLPCG >OB01G51520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31773279:31778274:1 gene:OB01G51520 transcript:OB01G51520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGGDAGESEWLKVAELRAMAESQEPHAKEVDNLTLRRFLRARDHNVEKASAMLVKAIRWRKEAVPGGSVPEEKVRRDLDDDKVYMGGADRTGRPILLAFPAKHFSAKRDMPQFKSYVVYLFDKICSRIPRGQEKFVCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYMFMKAWKLIYPFIDNVTRDKFVFVDDKSLQEVLHQEIDESQIPDTLGGKLEPVSLRNYERTLPA >OB01G51530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31783791:31793667:1 gene:OB01G51530 transcript:OB01G51530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G13960) TAIR;Acc:AT5G13960] MEFGLCVRVCVWVGFSRSFSGMEVMDSVAVMEVSPVPEPPFIDAATPALALRRSARCLNRARRPSYVEQVEPKETGGRRRGGKRKRDEDKQETAAQEALKPAGKGPKVEASSERKPTPAIAAEPIPRAGFAAPAAEEDVLGNGKSAKLRVKETLRAFNSHYLHLVQEEQKRAQAALQEIEANGGQKRPSKRPDLKAITKMQENNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKGEYANLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLQRGNLALKNSKDNCNPIRVIRGHTSKNSYTGKVYTYDGLYKVVDDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVSDDISGGQENLPVPATNLVDDPPVPPTGFVYSKSLKIPKGIKLPSNCNGCDCEGDCASNKNCSCAQRNGSDLPYVSYKNIGRLVEPKAVVFECGANCSCNLNCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDMHLPSLHVENDSEPPAPEYCIDAGSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNIVKLPCFCGAPDCRKRLY >OB01G51540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31795340:31796119:1 gene:OB01G51540 transcript:OB01G51540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPISARKYEPPATSSQRECSDAATASAKKVGEASDKNSTSQHDGGCGGGGLKDSEATYGGGGGAEEGTTTATATAATASIGRQGIDSEDTYGGGGGAEEGTTTATATAATASIGRQVIEALERGNRMLGDQLEAQRAMWDMERDQRAALLAAVNKLASAVCRIADKL >OB01G51550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31798946:31802419:-1 gene:OB01G51550 transcript:OB01G51550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEGYGGSRCKRITRESDAAKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNAGLLDYMVDFGKKEVTVRGTVVHTKKKKRKQHKMKHEESNKGVAANWEKKSASQTDDSAKTLAWFLRCYSS >OB01G51560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31806699:31808987:-1 gene:OB01G51560 transcript:OB01G51560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGAEAAQREAIAARMRREDYGGARTLLLETLQTNPRLDGAFEMLSVLEVLCAAAETRGRPFPGRGVDWYRVLQVLPRDDAARIDARYRSIVRQVEPVRDDLPGAEAALRLVNDAYDVLSDPAERARYDSMVAHAELWCDDILQTKGVCGTDGSTPDDPNPKLGKLSNLDAEDDAVAGVANNVPPYGQYTDRSCLDAVNCSNAASSSRTKRTDPCFLVGDDDDDDGFQLPDENHVDKKQKSVCENDVHCVSSPDEDFRRLSDPLDSREDQLCSSTQYDIHNFENDRGILNFAAGQIWAAYDWEKFPRRYARINKILADKEQLYVSWFKPSPQSHEENRWLSASLPFVCGIFVAEECQISATCPTMFCHQISSDNWNHHLKVYPQQGEVWAIYSDWDIGWCSDPVMRKKSPFYVVEIITSYLKGLGCTVTKLVNVDGYKSVFRRCLRSEREQLLQVQIHNLLMFSHRIPSFTFTCDVGTVFELEHSAVPQNLQHENTSASVAPLSPLQGLHDVSNGFHEAAVTHLPEPSTSKIDLGKPQQGMTNYNNKLSPEHFVEGQIWAVYDGWDRMPRSYVRVIRAVSHTAVFVLKLEPHPMLNEDIRWVEDGLPVACGVFRTGTETTYKEMSEFSHLVECDWSAKRSFYRIFPKKGEIWAMYKNWKITFSSTDIDKYEPCMVEILSDYSDETGVNVCRLCRVKGFLSFFQRVIVEDFHLTRLISRSEMLSFSHRVPAFVVIEIKDRDIPKGSWHLEPNALPLRNIV >OB01G51570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31811631:31815280:1 gene:OB01G51570 transcript:OB01G51570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MEEGGGMMASKNPTKTLAILLLVLVFFLLSLCSLAASQPLHSEPMSTAEYSPPPSPPPPQSKIPHAQAGGAARLRRIVLGVLFGSLTGFLLALAFLYAIRVAILHAKYAPAIIKGPVSFTPQISPKNLQSALPSAQPLTHGPNGKYYKLVLDNDVTVAVKRLEAASRPEASPSMPNVSKSDMRRVQRQLELLARVRHQNVMALKAYVREADRLSLAYDFVPGGSLEDMMKRVRSQQVNLNWDARNRIAIGVAKGLRYLHFECNPRILHSNLKPSNVMLDEGFEPSLADCGVSRLIASGSADPELANSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPADQFFSGETGRGGLARWLRHMQQSGDAKDALDSSILGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVAMLIQLHSF >OB01G51580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31822941:31829451:1 gene:OB01G51580 transcript:OB01G51580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3L7F2] MGRGKWGEKKHETGCSFGLPVPWTSTSLATKYLCTSTVLAEQDTDEVYAQIMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESAKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDTMWPDSSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRSRPNAPPASPESPILTKEAATKVDIDSAQAQRSQNNMVLQGQEQMTLRNNLTDSNDPDAAAPKPMMWSPSPNGAKPHPLSFQQRPPMDSWMQLGRRENDFKDPRSGSQSFGDSSGFFVQNFDEAPNRLSSFKNQFQDQGSARHFSDPYFFVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYNSRDQPQNFRFEQNSSSWLNQSFSRPEQPRVIRPHASIAPVELEKTGEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMIQTPSSLNQLQSAQTDCIPEVSVSTAGTATENEKSAQQAQQSSKDVQSKSQGASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFEGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNTPRKEDSTENEKSHLPVLHKSDN >OB01G51590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31832919:31837261:-1 gene:OB01G51590 transcript:OB01G51590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAAPTPPAIAGASRHAGGGGGGAACVGVLGRGGWRLEVLAGTSSARCRGKRRGSVAVDAVAAAAAAGALGGIGAEGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNMIKDLHIKTVDELGLPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQCDAFDIGFITTDDFGNADILEATYPAIAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRDLIQQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSRVNVQMISQGASKVVLAKTVFILDTAVSMSWSPDTYCCCRLGQVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVDEGALHMS >OB01G51600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31834925:31835433:1 gene:OB01G51600 transcript:OB01G51600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMAQWLHSDRYLDHRSVHHQHLSIPTISIKSLPPRPKVVTAPDFQPCKNPVTIGIAGSRIQSPCSLFAIAG >OB01G51610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31841341:31843525:-1 gene:OB01G51610 transcript:OB01G51610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKMDELAGGGSGGVGGGGGGGGGGVGGGSDWSYLAADALAAASFTAFPFHHRDVLSAPGSASLLLNMDAAAAAMFDFQAAFPTSSSSVPPPAFHDFASSNPFDDAPPPFLAPSSLGAGQKGVGFLAPPSAGFGGGMGWDDEDEIEQSVDASSMGVSASLENAAPVATGGGGGGGGNGGGKRRRGCRPRTSWRSVGAGRSSTTASTCCALWCPRSARWIELLSLAMQLNT >OB01G51620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31850696:31851044:-1 gene:OB01G51620 transcript:OB01G51620.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGEEDEASVRAVESDPSAGVHAAAGVAGAELTAQRVPRGPPQGRQPVEPRLGSGGEVAASAAVAAGARSGGERGAEAEVESRRRRRRREAGARRLGLSGFRRERRESGEARRRRE >OB01G51630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31853631:31858583:1 gene:OB01G51630 transcript:OB01G51630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEISFTSMEDILKLNEELMRHIFQEVGDINLPNPFPRLTYAEAMNRYGTDRPDLRFDWQLKDVSDVFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKFMDNGELEGIGPLVSSLKPEKKEQLLEHLGAKTGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMDDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >OB01G51640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31859278:31867435:-1 gene:OB01G51640 transcript:OB01G51640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSAAAAAGDDDDDDDYGNDHPPPAPARFGAARPAHGSNGVSLLGRTARSPSPALGRTIVEPPPTVRSTSAGRPAIASRPTTTVVPPIKTNTTLRTPSPIPPVSVESPVDRSRQKRFDTGHLNSRESTPKREASALQDELDILQEENESVLEKLRLAEEKCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVTTLKQELDCAKEEVATAMDQLKEAETETKALRSMTQRMVLTQEEMEEVVLKRCWLSRYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGQKAKEEPRKQGEDDTQRRNKLVRDMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKFLEAFDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQDSSAIENQ >OB01G51650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31876386:31880421:1 gene:OB01G51650 transcript:OB01G51650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G03760) TAIR;Acc:AT1G03760] MAAAARKGKGTVTPLGAVFSPAETRRAVARVAGAVADRRAELSRLQGFVADNAALVSLVQRLPDELSHDVMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATIADLEAEAKFFESTAAEASEGLVEIREEYDEDTEINSSTSEVSSSASGMSDKDREHARIMARLDELEMEEKDAGSTSEEDEEDDEDDEEDAGPSEDDEEDEEERNILRDKYDHHNASLGASFSGSDGNDWSHESAQLKSALKKPGGREILKSASFTPSSSTPHSVFPGQTSIINSEIQLPVKKAVSFQDDNKHTVDPSKSLPLPRGPKHSSSILEVSSDNTKSHDRKIISSGQKAFTGSIIEHDDNIATLQPSKSDSLQNPASSSSRPVSRFKMQKGGR >OB01G51660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31881323:31881556:-1 gene:OB01G51660 transcript:OB01G51660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVDLNQVELTCRGQLLPPFLLVKNVRDSIWCSSLGPSWEEEDDDDDLVELPRRSPAATTDHVMALFYSASRSSYH >OB01G51670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31886519:31888235:-1 gene:OB01G51670 transcript:OB01G51670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSRPLHHDSSSKRSGGNSSCPQYCIATQLEASSGAAPAGRLRHHQPPAARYPPRQVAMADDDAAGTSSRSGEDDDKDWLQLGLAAAPPSSSGDNDDATAPAAAPSSTPTELDVFANNKQHKGRPPLFPLPIFRSYHQYGHGGRCRPTAPAPAPSFFPFARPLRSSGDPAVRVISPPRRAEAAAAGLWLTLQAAPDQVREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQY >OB01G51680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31896221:31899920:-1 gene:OB01G51680 transcript:OB01G51680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPYVTAVTTLFSFGLIFAFGHLRDSFRGLLIRFLLAGDDDSPAGGNPKGYAPICVGKEDFYIRRFFRRIQDCFGRPIASKPDAWFDVVERYSTDSNKTLHRTTRTSKCLNLASFNYLGFAAADEYCTPRVIQSLKKYSASTCSSRVDGGNTLLHIELEEMVARFVRKPSAILLAMGYATNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFQHNNAAHLETLLREQIASGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKAYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVVLGEDGTDRGIKKIAQIRDNSNFFRSELQRMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLRQNVAVVPVGFPATPLLLGRIRICISASHTREDLIKGLEVISKVGDLVGIKYFPVEQEETTSIEKQKKLR >OB01G51690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31904196:31908221:-1 gene:OB01G51690 transcript:OB01G51690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPLVTAVTTVFSYGLIFAFGHLRDFFRFVFSAAGDGDGSPASSKGYAPICGGPEDFYARRFIRRIQDCFWRPIASKPDAWFDVVERYSNDNNKTLIRTTKTSKCLNLGSYNYLGFAAADEYCTPRVLESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAIIFGMGYVTNSAIIPALIEKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLRKQIAGGQPRRNRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYLYLDEAHSIGAVGKTGRGVCELLGVEPANVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQLVISAIKVILGEDGSNRGAKKLFQIRENSNFFRSQLQKMGFQVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLKHEKTTSVEELKKIQ >OB01G51700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31904003:31913966:-1 gene:OB01G51700 transcript:OB01G51700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFVRRILDAGKSSNLKVGPAGRRGSGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHCTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHIELEELVARFVGKPAAIVFGMGYVTNSAIIPALVGKGSLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKVYTYLDEAHSIGAVGKTGRGVCELLGVDPTDVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEHEKTTSVEKTKKLQ >OB01G51710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31916177:31920148:1 gene:OB01G51710 transcript:OB01G51710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEADAGRKEKEVVWLERESVIPIMKPKLIMKLAYLIEHQYDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSQEEIDTLEQNFLTYFFQVMEKSNFNILSDDEVELAHSGQYLLNLPIKVEETKLDNKLLSKYFKEHHHDKLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRRFLEKTRLQKLFSRKKSARPKADSKKNDDLVGEEEDKELYVERIRLETMKLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVAAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELVMKARQN >OB01G51720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31921884:31925174:-1 gene:OB01G51720 transcript:OB01G51720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26700) TAIR;Acc:AT3G26700] MSAILAASLAGAAGFLALVGVAIFLIVLFLRHRRRASDSSESSSSGPAQPELQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQEDGMQMLVYEYVPNGSVSTHLHGSSHAPGVKLEFKQRLSIAHGAAKGLSHLHSLTPPAIHMNFKTANVLVDEDFIPKVADAGIRGLLDRLGGAGPSSRTSNDPFLDPWMRESINFSVQSDVYSFGVFLVELLSGQRALSDQSIIRWVQNYQQSSDISAIADNRMASGYTSGSMREFLRLTSWCVNPTIEHRPSMSLVEAEINRIREQEMRLTTIMPESTPTVTLGSQLFRTSG >OB01G51730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31926359:31926679:-1 gene:OB01G51730 transcript:OB01G51730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVSGYLHSCIDHEHWHFVVHSFFSAMDHPAYSLFFMLTIFTNWDSVRFQYCICYEFRTQKSNAKLLNHKKWYIYKLPLTHNEANFYAYSLKRLMVQLSKRCAAH >OB01G51740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31931953:31932225:-1 gene:OB01G51740 transcript:OB01G51740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTLDTEKRKTSLSWDGGNREEEQGDLAAKPTHLVAISLPALLLPAHVVLFFLDLACTVSFHPLMDLIYSRSRSCACIALICTSVALV >OB01G51750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31932550:31932870:-1 gene:OB01G51750 transcript:OB01G51750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWQTALKFTGVISAQQVHGLFGQSEKVVTISKMSESVYNRSNNLIPYSYRWR >OB01G51760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31931675:31934855:-1 gene:OB01G51760 transcript:OB01G51760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSATDGGAGSCTCTSGDGEEGQGGGAAAAGAAAAGGHAPPRRPXXXXXXXXXXXXXXXXXXXXYEVARQLARHGPHVVLTSRGAARGRDAAEQIRAEGGPAVTVSVESRQLDVAGAPSVESFAAWVERAHGGIHVLVNNAGVNFNRGADNSVEFAEQVIETNYFGTKRMIEAMMPLMKTSPHGGRVVNVSSRLGRVNGRRNRIGDASLRERLLDDDHLSEELIDEMVMEFLEQTKQDNWSSNEWPQMYTDYSVSKLAVNSYTRLLARRLSNRPEGQKIYVNCFCPGWVKTAMTGWEGNISAEEGADTGVWLALLQQDQSTIGKFFAERREISF >OB01G51770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31939283:31940558:1 gene:OB01G51770 transcript:OB01G51770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal P17.1 protein [Source:Projected from Arabidopsis thaliana (AT3G44020) TAIR;Acc:AT3G44020] MASASLLCPAASSCRSASFRHRDSSSSPLFHLPPSSFPSRSRRRHPTPSSSPRPRSIVTPAAYGYGGDVMRPLDTQTLLIGAAVVSAVSLSLVLGLKGDPMPCDRCAGNGGTKCVFCNDGKMKVENGVVDCRVCKGAGLILCKKCSGSGYSRRL >OB01G51780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31946448:31946876:1 gene:OB01G51780 transcript:OB01G51780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWSLCVVFLNFLVFLPRSVCFFGWLVQQREGKSKAPEDLFDGGEEXXXXXXXXXXXXXXXPIQMAPARSAACCPPALDPAAGAGDEPHVPKGTQNPQSCTFFAGRSPSTIISSSPCPSVLNLGEVYITSTVLLTADREVN >OB01G51790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31953070:31953306:1 gene:OB01G51790 transcript:OB01G51790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQSKKTRPTCTKDKTLTHTYISFGNSTTNCSIFSVEPAYVPPVYNSLMRDTENDFHELQAYQIRDCTNIYFNGVRS >OB01G51800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31957071:31962555:-1 gene:OB01G51800 transcript:OB01G51800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMYPQHVLDRCEDMQLVQCTPVVLKEGELQKIQSAAPQKAVNLVICIN >OB01G51810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31957382:31962374:1 gene:OB01G51810 transcript:OB01G51810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3L7H5] MAEPLHTSSNGGAEGGANTGFASEKTLPSTKRLQRYDSLHMEAGKIPGGQSHLAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTSGINDTNDILGVMSLIIYTVALLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKQNMENSPKFKIMLFLVTILATSMVIGDGVLTPCISVLSAVGGIKQSAKSLNQGQIAGIAIAILIVLFLVQRFGTDKVGYTFAPIILTWFIFIAGIGVYNLFKHDTGVLKSFNPKYIVDYFKRNGKQGWISLGGVILCITGTEAMFADLGHFDVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPGPLYWPTFVVAAPPAIIASQAMISGAFAIIAQSQILGCFPRVRVTHTSTKFHGQVYIPEINYLLMVLCVVVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMAMIWKTSLLWIALFPVIFGGVELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYKYELKNKVSSNYVSELALRRNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPGEFESLVIENLKQFIHEESFYSQSSHSLEGEPIQELGDVVNPATEAQDSMLSRNNAYQHTADPRNGCMDVIQSIHNEMGNGVVHLLGETNVVAESNADLLKKIIVDYVYNFIRKNFRQPEKIICVPHNRLLRVGMTYEI >OB01G51820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31966464:31967130:-1 gene:OB01G51820 transcript:OB01G51820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSAPETARRMWGYLRAVFFMVRKGLLSSKRRLLLSMQLVVRIKRRNRAVARSVANLLSHHHGGGAGRRREYEFSCSNSPVMAGGAFSYGGGGGSLSRRRLAYFPCLGADQDVEEPQLYAXXXXXXAAPSSPGLLLQEALAPGDECTPARSPLLGTTGGYSVRVSNYSSEEDEDDGYYSHAGGGGAVDDEAEEFIRRFYEQLRRQNHVALLPYVTETAA >OB01G51830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31968311:31973366:-1 gene:OB01G51830 transcript:OB01G51830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWNFLSGFYVPYPSREGAAGAAALALAGVGAGDGDAGWITRSLKYAHARKCACKDLLFRVCFVSAIASALFTNDTTCVVLVEFILKVVRQNSLPSQPFLIVLDSFEPIHGVLLARQGGSRWREKGERERGTPLTCGHYTFFLLFILAKMSRQAEEDEIEQCRSHSLLLSENCEKSDGAHSDANFALIVIQIKHRPEAKSGENARRLLMATTSEADPGVLQMDPGLRKEGNGDTWMPWTTLLGS >OB01G51840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31974568:31979169:1 gene:OB01G51840 transcript:OB01G51840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAVSLPLLLSFFFFLLLLLLLLHGAAPVLGFTRRDFPSDFVFGSATSSYQYEGAVHEDGKSPSNWDIFTHEGKMPDRSTADVSADGYHKYKDDVKLMVETNLEAYRLSISWSRLIPNGRGAVNPKGLQYYNNIIDELVKNGIEVHVMIYQLDLPQVLEDEYGGWLSPRILEDFKEYADVCFREFGDRVSHWITIDEPNVASFGSYDNGQLAPGRCSDPFGVRKCTIGNSSVEPYIAVHNMLLAHASVTRLYREKYQVAGKGVIGISVYTFWTYPLTNSTLDFEATKRCQDFMFNWILRPLVFGDYPQVMKEIVGSRLPSFTKSQSELVKGSLDFIGMNHYCSLYVNDQPLGKGARDFMTDMSVTYRGSKTDPPPPGKSAPTSVGSDPQGLGFMVQYLQETYGNFPIYILENGYGSSNDTLHDTDRIDYLKSYIGSILTAIRNGANVKGYFAWSFVDVFEYLSGYGQSYGFYRVDFADEARPRRARLSARWFSGFLRNREMMDDVEAELAMAAESRAQQ >OB01G51850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31982339:31982809:1 gene:OB01G51850 transcript:OB01G51850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLESCFHLAQLAHPLLAAAAASNGGGGAGAANVVNISSVAGFIAYPTLSVYSATKGAMNQLTRSLAAEWARDGIRVNCVAPGVIRSDIIGSSGVTLDPATARAMAEREMARVAMGRIGEPEEVASLVAFLCMPAASYITGQVIVVDGGRTITA >OB01G51860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31984500:31985509:1 gene:OB01G51860 transcript:OB01G51860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRARRWSLAGKTALVTGGTKGIGRAVVEELAGFGVRVHTCSRDDAGLQECLRQWNSGGAAGEGVVLAAPVTASLTRSLAAEWARDGIRVNAVAPGGTRSDICSSSGLTLDEETVRRLADAGGMDRVPMRRLGEPEEIAAAVAFLCMPAASYITGQVICVDGGRTIAQNHESYLDILASSSASSRK >OB01G51870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31985638:31986985:1 gene:OB01G51870 transcript:OB01G51870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRRSEAATPYTREIHVKTTRFEMEATPRAHGEPCLAVRRRHVNDEGGGGTAQCAICMEELSSSAAAGADASDDDVTNLPCCSHAFHRGCILRWFDKAPTCPFCRRDMMQYLTATYRLYHMLQHYIPNKKF >OB01G51880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31986963:31991602:-1 gene:OB01G51880 transcript:OB01G51880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G27730) TAIR;Acc:AT5G27730] MDGGGQEVARRHGAVAEEDPDRHRRDHAGDEDDVEKAPPRRGTRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRSRAVRRVVLRTLKLLFWGVLLQGGYSHAPDDLSYGVDMKSVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKSPNYGKIFTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHKPAWRRHRDCTDDSPHEGPFKTDAPAWCYAPFEPEGILSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLVLGLTLHFSHAIPLNKQLYTLSYICVTAGAAGIVFCMLYFLVDMLNLRYPFALLQWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGVLHRARLYWKL >OB01G51890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31993258:31994448:-1 gene:OB01G51890 transcript:OB01G51890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15830) TAIR;Acc:AT4G15830] MALRALDNTMPAATPERPKKLPKLSTAAAVPAASPQGKTKKNDENSAPKATAAGEQAVEYVRSEDLQPVAHPKAKVAGLVAGLDSKDWVSACEALNDARRLAIHHASLLNPILGKVLLAIVKTMKSPRSAVCKTSIMACTDVFNSFGNLLSSASDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLKKLKSYVHHANLRVRAKAAVAMSHCASRMDMETMKEFGMSALLQVAAELLSDRLPEAREAARGMVNSMHAAFSREAAAPAGGEGGEARPSRRSPSPRSSRSRSRGSHRP >OB01G51900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:31994902:31998993:-1 gene:OB01G51900 transcript:OB01G51900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05510) TAIR;Acc:AT3G05510] MAAGGGVPWGDRARVVGAQIRSRFRVGVAPVDRRWLWRRXXXXXASEAVRQWTERVRALWQRDKGTDRSSSSLPGTSQAAAAGKPSSAALRFYRKKVGKDVDGVEDSVIIRSLQALAVPLIGNACHVFMHGFNSVQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCATDRCFTNPILSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNSGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLVMDADSLPVVIPFIHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLIIHNSDETQHISRGILYDKATERIGQRLQKLKAEVDRLAAEQKSELQHYHARDTMSYGTQLWQQVDWEAFGIGSSMLLSPEPSDVQEPPKQAKPALLLEPEQAKPELHLEPEQAMPELQLQQGIPATPPNAAISSNFSVPLWFRHHTDPSELVGFAARGMLKNGRFMEEGYRQWQQQATMNMICGSQTNSPKRAQCLT >OB01G51910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32000023:32002932:-1 gene:OB01G51910 transcript:OB01G51910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSFLLPSPPADDAAAAATAYAVVVLNQRLPRFAPLIWDRAQLRVCADGGANRVFDGMPELLPGDDPEEVRMRYKPDVIKGDMDSIRPEVKEYYSNLGTEIVDKSHDQDTTDLHKCVSFITKKPPGSEKSMLSILVLGALGGRFDHEMGNINVLYRFSNVRIILLSDDCSIFLLPKTHSHEIHIVRSIEGPHCGLIPMGAPSARTTTTGLRWNLDNTSMSYGGLISTSNIVEEETVRVTSDSDLIWTISLRN >OB01G51920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32006505:32007258:-1 gene:OB01G51920 transcript:OB01G51920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASSPEWHSGGGGLPGKKQAVCYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRDVFFMDTAGNTLIGIQAKSFGMLKRWEARRHHDGDSSLGEMPTTPWFSVQRGGRRRGRGGAMATVTLHGGGGGGGGGRAYRIDGCPRKSEYRISGAGEVVAEMARKRTASGVVLGEDVLTLTVGPDADHLLVLGLVVVCGLMNRAM >OB01G51930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32011793:32012790:1 gene:OB01G51930 transcript:OB01G51930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPRIQPLSAHLQCPCANPADSDDRQGKQQQQQLYTVWMKSLVFSGRGGTVYGQDGRAAYRVDNYACRRRREVFVMDSAGRTLLKLLKKNFGVFKTWQGYSRCSNGGAASGEPWFRVQKEHRILKKKGGQCSVRAVAEIAMSGEVYRIIDGMSHKSDYRIIGAGDEVLAEIKRKQTEAGVVLGEDVLSLTVGPTADRLLVVGLVVVCGLLDRCI >OB01G51940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32014387:32018772:-1 gene:OB01G51940 transcript:OB01G51940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3L7I8] MVPPAANGAGGDVVLELSPSGDDSWKHLQQQEEGDGDGDDGSPRRTSSFGQAYKARHRQPQMFTVWQTLLLGYQSLGIVYGDLGTSPLYVFPSVVLPDADAADLVGILSLIIWTLTLMSLVKYALIVLKADDHGEGGTFALYSLLRQHVNFRGNIPVPLTRLASDVHLKFHSKRRDRPSWLHLFLENSTKAQVTLTFIVLVGTCMLIGDGALTPAISVLSAVQGIQSRSSHIKQEHVVVLSSLILVVLFLVQRFGTGRVSSSFSPIMLVWFASIAATGVYNVAVYYPPVLKAFSPHYIYLYFAKNGRAGWEQLGAVILCITGAEAMFADMGHFNKSSIQVAFSTVVYPSLILAYSGQAAYLVKHPGDMSTAFYSSVPRPLFWPMFVVATLAAIVASQSLVSASFSIIRQSIALGCFPRATVRHTSDEHEGQVYCPEINYFLMLVCLLITVGFQGGPEIGRAFGVAVIWVMLLTTMLMTVVMIVIWEVNGALAGAFFVFYLAIEGTYMSSLMTKVPQGGWVPFAITAFFLAITLSWTYGRKKKREYEARNAVGEEELAGIVSRSARVPGVCFFCTDLMDGVPPIVRHYAANTGSLRQLLLFVTFRTLPVTTVLAGERFLVAREPGRAGVYRCIVQYGYMDERDMVGDDFVRAAIAALGEVAESAEEAAAMELAPASGVSYVLGRTVLSMRRARRNWFRRFVINEVYRFLQKNFRSNVSTLRIDHAKTLQVGMIYEI >OB01G51950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32020427:32026445:1 gene:OB01G51950 transcript:OB01G51950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G15840) TAIR;Acc:AT4G15840] MGATYRLHRLIISRSAYFRNMLQGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLSKITLPDVEVSGNENLSLTSSSKGKSPMNEAGEEQLMGSELQKLKLHDNTESITAHNTIDIPDMNAEGSRRKVNDFSTGGPSGESTSYQFNEDIWLSGDQTRNHLSKTSSSNGLVPTEWGKPNAPLWGGRVVGRWQVRCVRGSSSLSSDEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAANDGLWLQMLLCHRVEAIVADTCRNCCLTSNSCACKQAHVSSHHHYRQEHDRGSASGTVGNMYLADSHGEGNGVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVYSRVPYGLGSRNGQQSFANDESEPRVDFNGDISGDGLTALVNLTQEISISPHQAESIYETGMQVRYSGAASVSTPGGSSLQMQESKEHELGSNWETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGALRIAAVVQLV >OB01G51960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32026924:32027940:1 gene:OB01G51960 transcript:OB01G51960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLASLSGTEGVICKAKSKLFRGTCRGNRNCAMICLHEDYTGGYCSKGFFPKCMCTKRCGGGGGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPRGWGVRCQPLAGVGAQVMVLWFEIGRRKRKVFLLMCEI >OB01G51970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32027437:32028040:-1 gene:OB01G51970 transcript:OB01G51970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSFLWKNHRGEELLETRGNKKTNTFIRSKFSIFHTSIKIPSFSFSLSQTKEPSLGLQRRRAAGTAPPSPGGXXXXXXXXXXXXXAAAAAPLGAHALGEEALGAVAAGVVLVEADHGAVPVAPARPTEQLALCLANHTFCAW >OB01G51980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32034532:32036476:-1 gene:OB01G51980 transcript:OB01G51980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQALVLKVSIHCEGCKKKVKKVLQSVPGVFRCDIDGRSNKVTVTASRNIDANILVAKLRKSNKQAEPWPEEPKQQQQQQPAESQSQETKNQNDESSKASDQPAEKPGPDSAEGGGAEPGNPQPSPEPKKSADETPKPAQEVQETSSGNGDESDEAKAAETAQQPSDPKGKAKQHQEQRPIDARVTMEYGGGGRYVNHTPQPVHVMSYSVARPTASAAYYTAPPAPVAPTPAPAMATPMPMARPSPSSQGYIDEEYSPSYYNRPSPYEPYNYPQPSPYRYQHYQQSSADDYYYYGAPQQRSAFSPPRDAYGEMFNDENANSCSVM >OB01G51990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32037300:32037506:1 gene:OB01G51990 transcript:OB01G51990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKMLVIVHTSKSLISYGFIWRLELISWGKREDDIQPHLLSYAYAYKPKFKFLILNLEWILGVLSS >OB01G52000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32040075:32040344:1 gene:OB01G52000 transcript:OB01G52000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDKYSLMSHSKYLCKHRRIVVSSVAADSGSEDRRGWLSFFSFLQSSLIFLLLVFFPLSSPLFLWGSSVYEASSLNVGSIFAYASKI >OB01G52010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32043110:32043469:-1 gene:OB01G52010 transcript:OB01G52010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPPAAASNAAGGIRRKALLHTPSGEVVASYASLERKLAALGWERYYSGAAAAAGGAMAAPMLQFHKRSSGDLISLPKDFAQFGSVHMYDIVVKNRDAFRVIDV >OB01G52020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32052561:32055459:-1 gene:OB01G52020 transcript:OB01G52020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREGKGKVKVYGMALSGNVVRVATALNEKGIDFDIVPVDLRTAAHKHPDFLALNPFGQIPVLQDGDEVLYESRAINRYIATKYKAEGADLLPAAASTAXXXXXXXXXXXXXXXXXXXXXXXXXXXAASTAKLEVWLEVESNHFYPAVSQLVYQLLVKPLLGGAPDTAVVDKQAGELAKVLDVYDAHLAANRYLAGDHFTLADVNHMGYLFFLGKTPKAELLTSRPHVKAWWDDISGRPAWKKTAAAIPIPPPA >OB01G52030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32058405:32061984:-1 gene:OB01G52030 transcript:OB01G52030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62770) TAIR;Acc:AT3G62770] MLFRCNILALVGGGDSPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPQ >OB01G52040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32065232:32066249:-1 gene:OB01G52040 transcript:OB01G52040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKDVNLLSKMEVYAVVSLSGDPRSRQRIPTDRAGGRNPTWNATLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGVPDGAVPAKFVAYQVRKLSSGKPQGVLNFSYKLGEATQSGAYSGAAAPSAYSQAPPPAAYPPYAAAGAQAVKPKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGGFDF >OB01G52050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32075360:32079451:1 gene:OB01G52050 transcript:OB01G52050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIQIISYDLLQVQLEPTTTWGVLRRDVYGPSKYTGLLQASKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSKTEDHLHLSPYLSYVSGAIAGCAATVGSYPFDLLRTILASQGEPKVYPDMRSAFIDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMAWNRYRYSHLHSGSENDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGYGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >OB01G52060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32081166:32089550:1 gene:OB01G52060 transcript:OB01G52060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02560) TAIR;Acc:AT4G02560] MELVPLKPAAGALVESSGGGAAFGDSIPATVAAQQEMLHAQVDQLRRLVVAQCRLTGVNPLAQEMAAGALCIKIGKRPRDLLNPKAVKSMQSLFAVKDTIGKKETREISASCGVTVTQVREFFASQRTQVRKFVRLSREKALRLQSSKAPDNLCYISSEQAPVDIEAHAQVIEPLRTLEPLDVQQSSLQHVMVPQISSQLPVVPPSYAIPVAPTDIMQVTVQLTDAKTNSDSIQKETKQDGVSTGVESEDKKFLESIFALMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLGEAVNEEQTTVILVIFKLLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKNLICKQRINEILRDESWKSEVGITEGILALTEDASESRMPEAKKTPLLLTASADESNKKSSLQTKSKERRKVLLVEHPNRKAVGKNVNPARSTSTNSSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVTDKPHTMEIQKSSGLVDSNAPLVPRSPLTSIIKQSVDPSPSTSKQSILSPPDKPEVPVSSKLNIATQENIIEKFDSKRVLWQIPPDVWIDPAWSLGTGENSKEFEVQTQRNRREKETFYTSLKDIPLNPKDPWDVEMDFDDSLTPEIPIDQPPDADAMETNSVGTAPPNIEVPVADKQVGSTSLISPVVAAGANGAASEPDLELLAVLLKNPQLVFALTSNQGGTLPSEQTVALLDMLKQTGLGLSELVNGLANNSGVQKEPESGPETIPTSLPSPTPPKDLAARDGWRSEFPSQMRTSNLQRTHLPNRANVPPIASTVQQSFSNVVSSLPSQPYASASALPAQIQTNILALAQSSISVNPSTQHVAPMNNLLSRATVHQHTQSYALASDPIAVAVHQQPAVNKLAHEVQNISHPSTTHSSVPEPHASHTSHTWQPSAATVATTGRNATPDRWSTRTTSAFNVAPSNPVTYPKQNAYSNHSTKGTTYNAYGSTPVTSHSLLPGQGRDRNGYTHTTEYQTTARDALRRNSRSPELGAGAVYGGSQSYVPEPSRQWSYGQQSYNPEPSRQWSSGQQSYNSAEPSRQWSSQQQQQQQGYNNPAEPSRQWSSSQQQGYNPEPSRLWNSGRQSQNPEAPRQWNQHGKQERYNPTDGRNSYDQRWRR >OB01G52070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32091276:32094124:1 gene:OB01G52070 transcript:OB01G52070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G05410) TAIR;Acc:AT3G05410] GLSPQLGRSLRLDVLYPVAGFNRCLDSGNGFEFIYPASWVGDQTLLYRGVKKAELQRSLDPPPLTNGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPVNNVKYYRLEFRVESPSFRRRNVAVCCAKDGKLYTLNAQAPESAWKAVRNEFLAMADSFSLVTDV >OB01G52080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32098966:32101255:-1 gene:OB01G52080 transcript:OB01G52080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGKHLIHVHGLGHGAWCWYKVATMLRSGGHRVTALDLAASGAHPARVDEVGSFEEYSRPLLDAVAAAPAGERLVLVGHSFGGLSLALAMERFPDKIAAAVFVAAAMPCVGKHIGFTQELMMERSPKDLLMDSKVMPISNKQGSGTAILLGPNFLAERGYALSPAEDLTLAKSLVRPANWFLDDEMIKDAKLLTSSNYGSVKRVYLVAMEDFGVAAQRDMIALSPGTDVEEIAGADHTVMCSRPRELADLLAKISGKYD >OB01G52090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32104274:32106456:-1 gene:OB01G52090 transcript:OB01G52090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSKKKKKHFVLVHGLCHGAWCWYRVAAALRSEGHRLTALDLAAAGVHPARVDEVGSFEEYSRPLLDAVAAAAPGGGGGAPPPPPPLSLALAMERFPEKIAAAVFDAAAMPCVGKPMGVTTEEFMRRTAPEGLLMDCEMVAINNRQGAGVAINMGPNFLAHKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDAGLLTNGNYGSVKKVYVVAMADGSSTEEMQRWMVDMSPGTDVEEIAGADHAVMSSKPRELCDVLNKIANKYE >OB01G52100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32106487:32108124:-1 gene:OB01G52100 transcript:OB01G52100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGDGDSGRKHFVLVHGLGHGAWCWYRVVTMLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLNLALAMERFPRKVAAAVFLAACMPCAGKHMAITLEEFMRRITPEFFMDSKSIVLNTNQEPRTAILLGPKLMAEKLYDQSPKEDLTLATMLVRPGTQFIDDPIMKDETLLTDGNYGSVKRAFVVAMDDASSNQDMQRWIIDLSPGVEVEEIAGADHMAMCSKPRELCDVLLRIAAKYH >OB01G52110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32107399:32108358:1 gene:OB01G52110 transcript:OB01G52110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENGIMQRSETESDQALSNGEGAKHGSDQPHLFERDGHVLAGARHAGGEEHGGGDLAREPLHGEREVEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQHGHHPVPAPRAVAEAVHEDEVLPAAVAIAADFHSESFLHPGISELASRRSRITKQRNNFYEGIWKLCILIHSQDSRTNSFWDWDRGSTMLCALLDIAARQPFSFGLQSRC >OB01G52120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32111313:32114961:-1 gene:OB01G52120 transcript:OB01G52120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFEMHHQQQQQSCYSKLLLGSPLVEIANVDDGELQRFAGATSEPPPAGSPAVKKKKRSLPGTPENINAMMKKIMIAHTSSVQPYLIGANHQVIGVIEMRDSFVTHRAFCDALAQENNKLAQPMNMATVTSALQGQAAHHLVLPSQPDDAVAEDDDAAAAGYGIDADVKSPHLKMFPDDNTTVAVGNPLLPALSMAGCMLSSLGGRAATPFSPFFHGGKPGMERPGDAALGFPPPAPGSAAIMSATALLQKAAELGATTSASCYGGVGFPTVGIGSAVVGGGGLDRLPALGHNLGPFDVVPAATTTTTTQLVGFDLGGMLPGQLYGGGGAMTRAIGSLMHGEQHVGMMDRRRRGEGVRVVDYMGVDDDDDQRSFDGVGLFGPRIGPWA >OB01G52130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32123693:32124226:-1 gene:OB01G52130 transcript:OB01G52130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLNFSGFSQLGRVQPMQSLPRASSSSTSASRDGAGDGGVTGQDNLLPIANVGRIMKDGLPPQAKISKRAKETIQECATEFISFVTGEASERCRRERRKTVNGDDICHAMRALGLDHYADAMHRYLQRYRESEELAASLNNTNNAGGSRPAGAIQIDVRAELSIFRGNQQQGRNA >OB01G52140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32132730:32133218:-1 gene:OB01G52140 transcript:OB01G52140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear factor Y, subunit B4 [Source:Projected from Arabidopsis thaliana (AT1G09030) TAIR;Acc:AT1G09030] MAEQQQGHGGEAGAAAEQEIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYRELEGDRAAAAASSRAAGPDHAPSSSAAAATGHFMFNAMDRTDHTTTNSRQF >OB01G52150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32148794:32152629:1 gene:OB01G52150 transcript:OB01G52150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHIRFEDGWKILEQGIVKCSKILEDCPCARPTVAEYMSYYDCSYRMAVQKDQYCQEMYNGYKITLEDCVRAMVLPRLMHKRNECFLRELVKMWSNYCVMVKYTTGFFAYLDRCFVVQRRLPSLEDTAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMDLLMGIMRGICRSEVKTILKSAVVQDTYFYYSRKSMEWIVQYPLQDYLAKVQETMEKETKRLIHYLCISEEESSDLCLKAVSASLMQTYESYTKEKRICGQDLLQTYKQTVEEELLARCSRLTIDNSRGNNSLRHMK >OB01G52160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32155413:32156635:-1 gene:OB01G52160 transcript:OB01G52160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQKVAREFFMLPLEEKEKYPMAPGGIQGYGHAFVFSEEQKLDWCNMLALAIEPATIRRPWLWPKTPASLSETLEKYSGKIRALCLRLLDHIAETLGLAPGRFNGMFGGETVQAVRMNFSPPCPRPELVLGLSPQSDGSAVTVLQQDAAFAGLQVLRGGAWVPVQPVPGALVVTIG >OB01G52170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32162303:32163581:-1 gene:OB01G52170 transcript:OB01G52170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAAVCAEKASETIKIGQVDDVQELHTVPERYIRDGDDRPNGGAEVCALEIPVIDVGELRRGGEEELDKLRLACKQWGFFQVVNHGVEEEVMEEMEKAAREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSEDQKLDWCNMLALGVEPAFIRRPNLWPTTPANFSGTLETYSVEIRELCLRLLDHIAAALGLAPGRFNGMFGEAVQAVRMNFSPPCPRPELVLGLSPHSDGSAVTVLQQDAAFAGLQVLRDGAWVPVQPVPGALVVNIGDTLEVLTNGRDKRGEHRAGARVEHRAVASAEHDRLSVVTFYAPAYDVELGPMPEFVAGGEPCRYRRYNHGEYSRHYVTSRLEGKKTLEFAKI >OB01G52180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32168540:32173803:1 gene:OB01G52180 transcript:OB01G52180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3L7L2] MDAEAGDPGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGGLHRYQDEQTVFGVLSLIFWTFTLIPLLKYVVIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSTYYRSGFAPRNGSLPWLRSFMEKHKKTRTMLLLIVLCGASMVIGDGILTPAISVLSSMSGLQVEATGLQDSSVVLLSCILLVGLFALQHRGTQKVAFVFAPIVIIWLFCIGGIGLYNIIHWNPRIYQALSPYYIVKFFRTTGKDGWIALGGILLSMTGSEAMFADLGHFTSSSVRLAFVTIIYPCLILQYMGQAAFLSRNIVHMPTGFYDSIPGPIFWPVFVVATLAAIVGSQAVISATFSIVKQCHSMGCFPRVKVVHTSRWIHGQIYIPEINWILMVLCVAVTVAFRDITLIGNAYGIACMTVMLVTTFLMALIVIFVWQKNIIFALSFFLLFGSVEVVYLSSSLMKVPQGGWVPLVLAFVFMSVMYIWHYGTRRKYQFDLQNKVSMRYVLSLGPSLGIVRVPGIGLIYTELVTGVPSIFTHFVTNLPAFHEVLVFLCVKSVPLPYVPEDERYLVGRIGPREYRMYRCIVRYGYKDVQRDDENFENMLVMSIAKFIMMEAEDASSSASYDIANEGRMAVITANDDYGAPLAVRDLDGLADSVTTRSSKSESLRSLQSSYEQESPSVSRRQVRFELPEEDDMDSQVKDELSALVEAKHAGVAYIMGHSYIKARKHSSFLKTFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYQV >OB01G52190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32174560:32181923:-1 gene:OB01G52190 transcript:OB01G52190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT4G03200) TAIR;Acc:AT4G03200] MAASAAFAPVFSALPPQPLPMLSTLLRRLDAASTPPLRALAAMSSSGGASSFSSSSSGHGGRAPNRLAAEHSPYLLQHAHNPVDWYPWGEEAFEKARRKDVPIFLSIGYSTCHWCHVMEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIEQLRDALSAKASSQDMPNDLAVVSVDKCVEKLAGSFDPKFGGYGSAPKFPRPVENCVMLYKFRKHLESQQVSESQNIMKMVTHTLECMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGNEYYSSVVRDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTSEEIEDTLGDNAELFKNHYYVKSSGNCDLSGMSDPHNEFKGKNVLIERKQASLMASKCGKSVDEYAHILGDCRHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASRILKSEPTGTRFCFPVTGCNPEEYLGVAEKAARFIKEKLYDASSNRLNHSYRNGPAKAPGFLDDYAFLISGLLDLYEYGGKIEWLMWAAQLQIIQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYRHNVEHLLAVFQKRLRELGIALPLMCCAADMLSVPSRKQVVLVGKKESAEFQQMVAAAFSTYDPNRTVIQIDTRDTEEMGFWESNNANFAQMARSSPPGKPAVAHVCQDFKCSPPVSSPDALRELLNKTVAAATSSAAA >OB01G52200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32184352:32187917:1 gene:OB01G52200 transcript:OB01G52200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSIPSSDAKLNIIELQRYKMAAGRGISKLLKRAFRPSGSSLLSSSWINHEEASGFTELRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYSNGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >OB01G52210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32188308:32190557:-1 gene:OB01G52210 transcript:OB01G52210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGIAAAVATLLLAAAAVAAAWWWRRARRSRTSDTGSSETTPPALVEWGRCGRTLSAPEYQGARQFSLEELAHATKNFGEANLVGAGSFGPVYKGLLLDGTVVAVKRRVASPRQDFVDEVKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYADTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGGGAPSSCSSSIYQDPEVHSLSQLSESSDVYSFAVFLLELITGKEAASLISSEQREPLAHWMEAHFSSNELTDPRLGGSFTSEGMKELVGLTSQCLSTSARRRPRMRLIAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >OB01G52220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32195255:32197264:-1 gene:OB01G52220 transcript:OB01G52220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREKWYLMGLQLRVGHLPASLLTKLILTYDKMVFDFVPLHCVAKLVEFWDEKSNKTIVVECGKGIPGYEQASRLSGKQVLPRWFSTGISNGSSAQKEGATTKVTAFSPLEASIAKPRSGPLTAESWKVKRTELLTQATYYMIPTLLLVSKNSISTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVLIYFKILLIIMAKETIVNFDLF >OB01G52230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32197439:32197732:-1 gene:OB01G52230 transcript:OB01G52230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSKALALALSRADAALSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSRCFGSDQCAACLGGGGL >OB01G52240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32200660:32200974:1 gene:OB01G52240 transcript:OB01G52240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLSSQRAVVIFAASNCFMCHAVKTLFSDLGVSWAVHEVDKDPNGKDVEGALARMVGRTPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >OB01G52250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32208238:32213146:1 gene:OB01G52250 transcript:OB01G52250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLCFGSAQDGEAKKPVADAKDPRKDGSADRGVSRVGSDKSRSHGGLDSKKDVVIHREGNNQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNLAGWNDDRRSVRSPNHHSPDLRREAARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETSRTADRQRAIAEAKMWGENSRERKQPNGHGSFDSTNE >OB01G52260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32211048:32215737:-1 gene:OB01G52260 transcript:OB01G52260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLRTALLVCLILVCSGREFTIQGHPPSAIYNPKLAKTLVEYASAIYTADLTQLFTWTCNRCGDLIEGFEMMEIVVDVENCLQAYVGFASDINAVIVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTIRDGVVNGIQKTRKLFGDVPIMVTGHSMGGAMASFCALDLVVNYGLDGVQLMTFGQPRIGNAAFASFFKKYLPHAIRVTHGHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLESLVYSVEQICDDSGEDPSCCRSVSGNSVQDHIYYLGVSMHAEAWSSCRIVMDYSRLRYQMDINGNIVLSKHPGLSGDLEHSDQ >OB01G52270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32221086:32221259:-1 gene:OB01G52270 transcript:OB01G52270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILLIRGLIEIYGNDLENLGLSATVDFVLLLGLKKPWELETGVSITKSEISLCHQI >OB01G52280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32221431:32221586:-1 gene:OB01G52280 transcript:OB01G52280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCCSQSDDDPEFNLLGLLVAIVLALLLLMLCTPPRRRRCVVYPCGPCY >OB01G52290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32226373:32231560:-1 gene:OB01G52290 transcript:OB01G52290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSFFSKETGRYIEESKLRVVLVSASHPFEEQPTNGIPNTEAAVEVPLKEVLNVRNEVPVTEREVHPPLETDPVIIPAPHYSVKEAPILREVPVHISPVRETPILREVPAPVSPVRETPIPREAPAPVSPVKETAILREVPTPLKETPVILTESPPPPRDTPSVTVESIHPLEQNLASLKESPLEETLPKAAVILSEQGAVNVQSRQLSHVTEDVQNLKSKLNNLELKLEEAGKMIIKLREESRTTTQERDKLQQEMVFLRKKGTPRSQVGFPLLFVVYVALLGTSLGYLLRL >OB01G52300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32238331:32239579:-1 gene:OB01G52300 transcript:OB01G52300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYKIALALSLMAAPLLCALAAGGDQHRPVLTRLAKDPSTSLYTASVGNGGPGPQLVLDLAGSLVWTTCMPPYRLIPCGGSVSDRLRYTALLKNPKNTAYYIGVTGVAVNNVQVPLPPGALSLSARQGTGGGALSTATPYTALRSDIYRPVRDAFAEATSGFARAPATKPFDLCYQKSALPSTRIGLYTASIDLTLAGGQNWTIVGSSAVVEVSQDAACLAFVDMGTTATPAVDHSPAVIIGGHQMEDNLVVFDLEKWQFGYSGLLLGTMTRCGNFDFSMGSH >OB01G52310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32241721:32242146:-1 gene:OB01G52310 transcript:OB01G52310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEGLRRNPVPFLKNPKNGAYYLRVTGIAVNQQAVPIPPRALDLDVRRGTGGVTLSTVDPYTALRPDIYRALLSAFDAATSGIPRVTPPAKPFEMCYQASALGTTRLGFAVANIDLGLDGGPRTAATTGRCPAAARWCK >OB01G52320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32245008:32245271:-1 gene:OB01G52320 transcript:OB01G52320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGSNFTLLGANTMVQVDEETLCFAFVEMGPTPAMDESPAVIIGGFQLQDNLLVFDLEKGTMGSTGLLYWMRTTCSNFNFAWGTP >OB01G52330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32245271:32245462:-1 gene:OB01G52330 transcript:OB01G52330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVAPYTALRPDVYRALIQALDVELRLIPRMPSSWPFERGFDASRRRWGGRAWGLRCRTST >OB01G52340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32259449:32260022:1 gene:OB01G52340 transcript:OB01G52340.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFAITADAVAVNTLPPKFPSRISSPFSALYAISSKEIALNEVLPVTIARDAIQYSLEWTSWNLYTRFITTIRLTVLCSFQILSLM >OB01G52350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32261425:32262856:1 gene:OB01G52350 transcript:OB01G52350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCNANPRRMARALGLAGKIAGRECLQENIPYVNVNSLWKCTEKSPLLHCSGCSRHVHPGCLTPPWTGILTDDWSCCTCNKLEGEENEQDAHVADFSQRVPDWSWNNCRSFGERLSPQAGLATEAVWECEELKRQGFLSIDRLQIYALSL >OB01G52360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32264045:32265268:-1 gene:OB01G52360 transcript:OB01G52360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARAETLLLLVAISLLSVLPWHTLASGGGGGKPLVAAVTKDGSTSLYTVAVKDGRPLALDLSGLLVWSTCDASHSTIAATQNVAKKFALCLPSVAVFGGGPFVLIFPYWRPDITARLSYTALRRSPELGAGGYYITAKGITVNHQVHQQLALPNHGPLVVQLSSTIPYTELRPDVYGPFIKAWDSITEWPKKVTPPVAPFELCYDSRTLSSNRLGYAVPEIDINLEDGATWHIFGGNSLVQVDDSTACFAFVEMKPEKVGYGNDAPAVVIGGYQMEHNLVVFDEEKQQLGFSGLLFGLQTTCNNFNFTVAA >OB01G52370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32281273:32282378:1 gene:OB01G52370 transcript:OB01G52370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGESEVEVAAGGLPPPEQAAESEPRLLLVEHHQVVLHLEPSDHHRRRRRAALLPLHLQQRQARRAVAHLRHRVAAEHRPVLAALQHQVDLRHGVAQPRRPQLPRVVAQRERRRRRGDALPAGGRLVERVDDRAVHVRAEHRVGDPRAQLDDERLLAVELHLLLVHGDLGGRDEVSGEILVLVERGFAGERRRHVGTPRREEEERAAAEDGDALAVAGEAERELVGDLLRARDLRLQSEPGAREPRDADGAGGELRQEGLRRAGGDGGAREVGRRGLAGEGVERVGGALAPEGVLLVGVAVAVVWAVRRVVAVRVHAEMVVALHRRVGGVARRPDERAGEVDDERVAVLDRHGVERCRRVLGDGRDER >OB01G52380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32281273:32282465:-1 gene:OB01G52380 transcript:OB01G52380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVVSLCVVLALSPCALASGDGNGNGKPLVTAITKDAATSLYTVPIKDGHPLVIDLSGALVWTTCDASHPTMECHHHFCMHAHSYHPPDCPHNGYGHADEEDPFRCKCTAHPFNPFSGESATADLTRTAVTSCAPESLLAKLPAGTVGVAGLARTRLALQAQIARAQKVANKFALCLPSDGEGIAIFGGGPLFLLPPGRPDVTAALAGETPLHKNKDLPGYFITATKIAVNQEQVQLYSQEPLVVELCSRIPYTVLRPDVYRAVIDAFDKATAGRKRVTPAAAPFALCYDSRELGSTRLGYAVPQIDLVLEGGKNWTVFGGNSMAQVSDGTACLALLEMKGEKGSPPPPAVVIGGFQMENNLMVFDEEKARLGFSGLLWGRQTTCSNFNFTLAA >OB01G52390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32284294:32285462:-1 gene:OB01G52390 transcript:OB01G52390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGNLAAAATLTLLVLLVAPASCAAAAARRDPVVVPVTKDPATKLYTIPVRFYDNLVVDLAGPLVWSTCADDHLPASLSCQDPTCRLANAYRAPTCKGVAIFGGGPIYLGEGLPDFTSTLEYTPLVTKKDDPAYYITANAIALDDARVPVPRGALAAGGVALHTSIPYGLLRPDVFRPFVQAFEKGLNRSDAKVAAVAPFALCYRSAMLWNTRTGYFVPAVRLMLAGGNNYTMTGTNSMVDVNSKTACLAFVEMKGVKPGDAAGSPAVILGGFQMENIVLQFDVEKQRLGLARLPYYTSCSNFNFTKTH >OB01G52400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32288069:32289277:-1 gene:OB01G52400 transcript:OB01G52400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLQAYFLVFFLCILVQVQASSPAIQALVAPITKDAKTSLYTLSIANRNYLLDLSGPLLWSPCSSTHPTVPCSSEECAAVSGAQKHCSNGGRACTVRLRNPVTGERAAGDLTLADIVANATDGKTPTSEVTVRGVVSSCAPDSLLRLLPAAAAGDAGLGRGGVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGGGPYNLMPPTPFDASTILSYTDLVRSPTNPSAYTIQLRGIAVNQEAVLLPPGALARGGVTLDTAAPYTVLRRDVYRPFIAAFAKATSGIPRMPRARPFELCFNRSALGFTRVGYAVAPIDLMTLGGGGRNWTVFGSNSLAQVAGDTACLAFVDGGRAARSAVTVGAFQMENNLLLFDEAASRLGFSGTLFFIRTTCGNFNFARH >OB01G52410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32296553:32298976:-1 gene:OB01G52410 transcript:OB01G52410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKRSVRLLAVRQRRLVFPIDRSWLAVVNILCRESFVLFPILLRTTFGTDCHELEYLPEDFGNICKLAVLDLSGCYKIKVLLETFCQLMGLKDLNLSDCHGLQQLHESFGDLSEIQSLNLTSCSKLRTLPHSLFKIHKLKHLNLSYCISLIYLPSSFDSISKMTSLIELNIATGQQRVLDKVQSIREHLNLPRAIKHDVHEMENVGSSSIVELGRLHCHELIVHWEHGGIRNVDKDKLVPENLVPPRTLESFGLYGYMCKDFPTWVSGISSYLPYLMCLRLANLAKCDHLPAFGQLPNLRNFCMEDIPSVRKIGREFYGDEGNCKKLKVIRLERMDNLEEWWTTRSGEEDKEFLIPNLHLLQVTDYPKLSFLPYPPRKQGFGSLVSSTLPFSVFIRNCNFSTGRWGRLEHLATLEIFYAKGCSGSRTLLDIIKCFLSLRVLHLISQEDMEILPEWLGQLVSLDEINIDNCRNLTSLPKSIQNLPALRELWLRERKGLEILPEGLGQLASLKKLLIMDCPKLTFLPESMMNLTGLEELWLGGFNSLPEWIGQLSCLKEINIFDSPNMTCLLESMQNLNNLKKLYIWNCPRLIERCRQEDANKISHIPRVILDGKRVIPGQAIEGPEVAIFVPRNGDLRSTMINGEDHD >OB01G52420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32310272:32313526:-1 gene:OB01G52420 transcript:OB01G52420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGEIIARSVVNRVGSKLGELAVEEATLLWGFKDDVDGMKEKMRDLEALMQDADDKARRRGGKGGGAVELRWLAKLKSAAYDVEDLKLFLSWYNPLLQKMIIAHNMKNLRQKIVAIEEEGKNLNLVRHEPLPERSRGNETFAVNDDMDIGMLGRDDEKEKIINLLLNTEAKEDISIIPIVGLGGVGKTTLAQAVFANKRVNVFDARIWVHVSMEFDLLKIGKTIIRGANQSVNLDNCNLQFVLKKELTNRRYLIVLDDLWEEYGENLENLKQMLQHGSKGSKIIATTRSGSVVQILNTGYLANQRKICPVPEVDRINLYVLSPDDCWKVMKQRVFGPDDGQSGLEEIGKQIAGRCGGLPLVANALGQISKSSSVSPVHVKAPRKLTMHDLVHDLATIIAADEVLVMDANKFTTWEKANKHYCRHAQLVNYQKKSEVFKHIPIKVRTLCFRGCPKMQLPRKAFSQAKYIRVLDLSGYSAEEQSTPSSLVLPSSICRLTLLGYLDASGFPIISLPKPFHTLQNMQTLILSNCSLEILPTNIGSLTKICYLDLSRNSNLNRLPPSVMNLVELFPQLIRMS >OB01G52430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32314313:32321221:-1 gene:OB01G52430 transcript:OB01G52430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGMLDSVVNRVAGKLWDLVVEEASLLRSFEEDVDDMMETMADLEAVLQDADDRARQGGRDGARVKWWLTKLKSVAYDVEDVLDELDAAQRIKNHQSKLKLFFSRNNQLLQKMTIAHNLKNLKEKIEKTDRKGKRLNLVRHELARGGANKETFAVIDDGVVLVGRDVEKEKVISLLLNTEAKEDISIIPIVGLGGLGKSTLAKAVYEDKRVVNFFTLNIWVQVKEFDLLTIGKDIIKGANSNIDLSNCNMRFVQDNLKKELADRRYLIVLDDLWEENGDKLESLKAMLKLGGKGSKIIATTRSERVVQTLCTGDLANQRKIYPVAEPDRVKLNGLSPDDCWKLMKRRAFGPDDEPGALEEIGLQIAEKCGGLPLVANALGQVMSEDTSTEAWEHIRDRKIVLDSVLHQRETLETLMLSYYYMKLEFKKCFTYLAAFPKGFVIDSDHLIQQWSALGYIQERNDGQSCIKYLLDMSFLEISKSSLIGVRPVHTRAPKQLTMHGLVHDLATIIAADEFLVMDATVPSTWKKDNMNYCRHAQLMNYQERSKVFKDLPSKVRTIHFRQCTEMQLPRKVFSRSKFIRILDLSGCSTEGQSTPSSTELPSSIRHLMLLAYLDISGLPIAKFPRFFHRLQNMQTLIMSNCSLKTLPANIGSLHKLCFLDLSGNNCLTRLPTSFENLLNLSFLQLSGCAKLKELPESIDNLRCLQQLDMSGCCAFQKLPDKFGSLSKLSFINLSCCSKLRKLPDCLNLESLEHLNLSNCHELENLPEDIGNLYKLEVLDMSDCYKIQVLPETFCQLKHLKDLNLSDCHGLKELPEYFGHLSEIRSLNLTSCSKLRKLPQSLCKLHKLKHLDLSYCIRLEDLPSTFGNLQLQVLDLTGCFGLQDLPDSISNMTSLNVFNVGTGSTYVFHKAQSISECLNLLAIEHDVHKMENVGFSSIVELERLRCHKLIVRHLENVESLEDASRAKLCDMLELLELQLYWGLGGTRNVDKDKSVLENLVPPRALQIFILDGYMPKDFPNWVSGISSYLPCLMHLRLRNLATCNYLPAFGQLPNLRHFTMNNIPNIRKIGKEFYGEEGNCKKLRVIWLERMDNLEEWWTTRSGEEDEEFLIPNLHYLHVVDCPKLSFLPHPPRSINWTLDGSDHVLPERGFGNLVSSTLPFSVTINNCNFSTDNWGRLQHLATLENFYVKGCSGLRTLPDIIKCFLNLRVLDLRSQEDLELLPEWLGQLASLDNIIIFDCPKLTSLPKSIQNLTALKELWLQGCKGLEILPEGLGLLISLKKLGIIHCPNLSLLPKSMKNLTTLIELRLVGCEGLEILPELFGHLTSLKRICVLGCPSLTDLPKSMMNLTGLEEIWLGGFNSLPEWIGQLSCLKEINIFDSPNMMHLPKSMQNLDALKQLYIWNCPRLIERCRQEDANKISHIPRVILDDKIFILGQAIEGPERESE >OB01G52440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32327253:32332553:-1 gene:OB01G52440 transcript:OB01G52440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEMIVSSVANRVAGRLADLVVEEGTLLWRFKDDVDDMKEKMRDLEAVMQDADDKARQRGKDGAAERRWLTKLKSVAYDIEDVLDEFDAAELIKKHQPKVKLFFSRNNLLLQKMTIAHNMKNLREKMVKIETDGKKLNLVLHEPLVDGSRGNETFAVDDVMDIGMLERDAETEKIISLLLNTKAKEDISIIPIVGLGGLGKTTLAQAVFADKRVNAFDMRIWVYVSKEFDLLKIGKAIIRGANKSINLDNCNLQFVQDNMRKELANRRYLIVLDDLWEDYGENLEKLKQMLQHGDKGSKIIVTTRSRSVVQVLHTGYLTNERKVCPVPQPDHINLGVLSPDTCWRVMKRRIFGPDDEQSGLEEIGRQIACKCGGLPLVANALGQVMSEHRTIEAWTNIRDRKIALDFKADHQRDTLERLMLSYYYMKREFKMCFTYLAAFSKGFIMDTDRLIQQWRALGYIEARASGERCIKYLLGMSFLQISKSLLVSPVHTKAPRKLIMHDLVHDLVTIIAAHEFLIMNATVPNTWNKANKKRYCRHTQLVNHKKQSKVFKDLPSKVRTIHFRECNVMQLPPKAFSEAKYIRILDLSCCSFEGQSTNSIVLPSSTRQLLLLAYLDVSGLSLASLPKFFHTLQNMQTLILSNCSLETLPANIGNLHKLCFLDLSGNSGLTKLPTSFKNLLSLSFLQLSGCANLKELPESIDNLKCLQQLDMSGCCALQKLPDKFGSLPKLSFVNLSSCSKLTKLPDCLNLDSLEHLNLSNCHELESLPEGIGNLYKLEVLDMSDCYRVQVLPETFCQLKHLKDLNLSDCHGLRQLPESFGDLSEIQSLNLTSCSKLRTLPHSLCKIHKLKHLNLSYCIMLEYLPSSFSNLQLQVLDLTDCYNLHDLPDRISKMTSLTELNIAAGSEYVFDKAQSIREHLNLPGTIEHDVHEIENVGSSSIVELGRLHCHELIVGQLPNLRHVTMEDMHYVRKIGKEFYGEEGNCKKLRVIWLVRMDNLEECWTTRSGEEDKEFLIPNLHYLQVIDCPKLSFLPYPPRSMNWKLDGSDQVLPERGFDSLVSSTLPFLVSIENCNFSPDRWGRLQHLATLENFYLQGCSGLRTLPDIIKCFLSLRRLDLRSLEDLEILPEWLGQLVSIDEINIIDCPNLTSLPKSIQNLTALRELWLQRCKGLEILPEGLGLLASLKKLLIMDCPKLTFLPESMMNLTGLEELWLGGFNSLPEWIGQLSCLKEINIFDSPNMMCLPESTQNLNNLKELYIWNCLRLIERCREEDANKISHISHIPRVILDGKRFIPGQAIEGPEV >OB01G52450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32334385:32335789:1 gene:OB01G52450 transcript:OB01G52450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQEHSYVLARIAMAVLLLVQCTAQAASDQKPLVSRITQDFNTSLYTVSIKNGAPLVVDLAGSLVWFALCLPVFAAFGDTPVYLPNYNPSGPFDYTKRIQQTPFLTNPRTPGYYLPVKGISVSWRGPSVPVSLPAGALDLDVRTGRGGVVLSTVTPNAVMRPDVFRAFAKAFDTVVVRDSGGRMARGAPGHRRFELCYGGAGGTSFTFPMMKWTGIDAPAITLQLGAGATGNWTILNSNYLREWSCVGVVEMGPEGMPVDGEPAVVLGGVQLENVLLVFDLDKRTLGFSRLLEWDLTSCYSATMFRYKLPTTQP >OB01G52460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32338348:32339671:1 gene:OB01G52460 transcript:OB01G52460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRVKGVHPRATVPLLLLLSCMAVTGDQQPASYRLPLIVPLVMDTNTSLYTIKIKNDGPLVVDLAGTLVWSTCPSAATHSTVPCQSGTFGKKFALCLPGFAAFGDTPVYISREGASLVNYTDAFPYTPILTNPRSPGYYLPVKGISVWWSEPKVTASLPAGALDLDARTGRGGVVLSTTTPYAIMRPDVFRAFAQAFDAAIEKGKYYWTNVKRVPAVEPFKLCYDGAFPFLKRPPTYDVPTIYLELAGAKADWPLFNENYMVRTSQGMCVGILEMEPGVGMPVDGEPAMVLGLKQLDMNMLVFDLDKMLLWFSGELSFRVSGCRLPAF >OB01G52470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32349316:32350513:1 gene:OB01G52470 transcript:OB01G52470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDQQPAYKLPLIVPLVRDTNTSLYTIKIKNDGPLVVDLAGTLVWSTCPSAATHSTVPCQSGTCGTVNQEQPRRCRYVDGGWFWSGREPGTRCALLQLQLQQRSFGNKFALCLPGFASFGDTPVYIGIQDRGFLNYTDAIPYTPILTNPRSSGYYLPVKAISVWWNAPNVTASLPAGALDLDVRTGRGGVVLSTTTPYAIMRPDVFRAFSQAFDAAIKKQRKYSWTNVRRVPAVEPFNLCYDGGFPFLKRPTTYDVPTIYLELAGATASWPLFTENYMVRTSRGMCVGILEMEPGVGMPVDGEPAMVLGLKQLDMNMLVFDLDKMLLWFSGELSFRLGGCRLPAH >OB01G52480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32356402:32359161:1 gene:OB01G52480 transcript:OB01G52480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19220) TAIR;Acc:AT4G19220] MLRRIPGLLAPVNPTTTFPSIAAAAAALVQTACPSGPFIRVLPARSHHAPAGAHHLLDGTPCRAGSIVRALGAARGASLEADGVAGLHCAALKSGAVLDPPVRTSVLSAYARARDVRSALQVFDEAAAPDLIMWNAAIGALTFNCRYSDAVDLFRRMVGVLEVFDSTSMVIMLSGASRARSLEHGIALHGMALKRCLQTDLTLWNALIDMYAKCGDFYSSEAVFQRMPYGDTASWNSMISGSLFNGLAEVSACYFKEMIRSGFQADEVSLSAVLSACCHLENLFSFGESVHGSLIKLGYEDTASCSVTNSLITFYSEFGVPDSAEEVFVRTSNKNLVTWNAMIKGMIENERVKEAMCMFQEMISKNQPDVATLVTMISACGDQGILPEGKELHGYIIRKGHLFEESSVGNSLLHLYMKCNDPYTAHVLFRTMPIRDLVSWNTMISGYSRNGSLGEEAKAMFNALLSEGLRCTLTTVIAVIPSCSCPQDLNFGKSIHSFILKYGFLSEVSAANSLIHMYICCGDSLAAFSLLKNITPLSDIISWNTAIVCCVQNGLYRDALEAFKFMHSTLTLNPDSITIVSILSVCGSLKLQSLGKSMHCIALKHLIAFNLRVNNALLTMYFRFADTESAELIFSSLGDRNLCTWNCMISGFAQNNEGWRALQFYKKMEDFEPNEISIVGIICACTQLGDLRQGKIIHGYALRFGLQTNAFISASLVDMYSKCGRLDISIKIFESSAEKSIACWNSMISAFGFHGLGLKSIEIFWMMNNSGMRATRSTFIALLSACSHSGLIDEGWKYYHLMTQHLGIVPTPEHNVCIVDMLGRAGRLQEAHGFVESLPSKQAHGVWGALLSACSKKFELKMGESIAKYLLCLEPEHSGYYVTMSNLYACQDVWSGAVQVRDILQDKGLMKPRGHSIIG >OB01G52490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32358290:32361292:1 gene:OB01G52490 transcript:OB01G52490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:UniProtKB/TrEMBL;Acc:J3L7P3] MAAVMKALAVATPVSARTQPRRCAAGSSGGASQSLQSSFGGVSLQCRHTKPALHRRRCSSRPSMQTIPDVRLTKSRDGTNGVAIFSFDQPSVFDSSAELGDITGFYMIDDEGVLQSVDVSAKFVNGKPALIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKK >OB01G52500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32366570:32372456:1 gene:OB01G52500 transcript:OB01G52500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGDKPTAAAEGGGEVAVTAGGGCGGAGGGAGAAEEESVKLFVGQVPKHMTEAELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSREEADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSTMHSASPMQQSSLFGALQMGYMPPYNGYSYQPPGTYGLMQYPLSPMQNQATFTNMVQPVNQGNSIRGVSPELSPNSVPRSFNAMQLSSPYPPVPGVQYAGSYPGGLMSSRPFGNSFSSIKVPSVNANSPASPSPSSNAGGQIEGPPGANLFIYHIPQDYGDQDLSSAFQRFGRVLSAKVYVDKATGSSKCFRVPVCVCVRD >OB01G52510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32377320:32378848:-1 gene:OB01G52510 transcript:OB01G52510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSTRFSYRRLKKLPPAPDLDYGGHGGAVGRRRVTWRRRRRPRLRISGLTRALRRKAAAVGGAVRASVTKVLRRLREGSPYVGDLFAGNYMFMQVPPSPTMAMAAAGGPDKGVAALPSYYRTVAGKNALRGATTAPWRHSPAVLYKA >OB01G52520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32380021:32383109:-1 gene:OB01G52520 transcript:OB01G52520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVRQSTLQLTESFFGNSSSFEVIKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTVEPPTVVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSGDGYDAPSPAPMHHHGHHHHHHHHHGHEDNRHSAPSPAPAPVHSPVHEPRYGAPPPSGCPYGTDKPKSKAHVMPAPEPTANDHFAPPVALPPHSLSPRNPSVHSRSPIPSPPVLPESPLPTVSFAHAHPPSEHESRRVPAGMSSLAPAPHSSNTPWSQRRSSYWVLVPLVCILLSLLL >OB01G52530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32391981:32394456:1 gene:OB01G52530 transcript:OB01G52530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVETQEELLRKHLEEQKIEGDEPTLEDDDDDEDDDDDEDDKDDDAEEAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKPEPSAAAQDDEEVDETGVEPKDIELVMTQATVSRSRAVKALKAADGDIVTAIMELTN >OB01G52540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32395294:32397552:1 gene:OB01G52540 transcript:OB01G52540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALQNKEISGGGGGTREKTRGNSRARDKTISKQGERYLSRGGSCTAKVKQIDRKMHRDQLIGSAFIAFGITLLAGFFYAAVLSKMLPPYENRFLSAIQNDRYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >OB01G52550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32398037:32403967:1 gene:OB01G52550 transcript:OB01G52550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3L7P9] MSFLRMKSTEFLKRFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAVRKTEYPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLMLLAFCAVISIAIGLATEGWPSGMYDGVGIMLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPGHVSAANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVGKANAPGGLLRWRMVDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAAKTVSNAKVFDQLTSSLSETFSKVLLEGVFHCSGSEVVRAKDGKHTVMGTPTETAILEFGLEVEKRANIEHAGAAKLKVEPFNSVKKTMAVVIASPNAGGSPRAFLKGASEVVLRRCSLVLDGSGNVEKLTEAKAKQVSSAIDAFACEALRTLCLAYQDVDGGGDIPSDGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIARECGILTDEGIAIEGPEFRQKDPDEMREIIPKIQVMARSLPLDKHTLVTNLRGMFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDSFITKVMWRNIAGQSIYQLVVLGVLLLRGKSLLQIDGPRADALLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAAFQVIMVELLGTFANTVHLSGRLWLASVLIGSAGLVVGAILKCIPVDSGSDSSDRRDGYQPIPAGPNAV >OB01G52560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32404878:32409132:-1 gene:OB01G52560 transcript:OB01G52560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLASPAHFRSLLLSAGLELVPLSLALFPLHTALANSSPSSFPQSAAASVHGGSAAKRRSEALGTRLSIHHLGQARINFTLLTLFTYYNMDIMTSARGCARLAYVNSQEPGDESQINAIDVVDGLLVEDDIETSQKISNDQITKAKSASTLGSVIAPWLAKRAECSFSLKRAGIFDWADIPTADDCRTSIVSMENTGDRANDQVKHVDSQRCCGYESGSRARPILECIDEESVHCLKKPEPFSGTDDLYQEYDIGPNTQMAAEAMEALFNASTVCYDVKGTEGSAVINMTTGTKVDMACAVHSPIQKRKVTCLRQRSGVATEYKQIKVADAVRENGESPFSYAKRPSMSKTRKYPKQMAGKAKGNIKSGIIQRDIDHEVSEVVTRSGTDDLHIPLSLGTDALIHPKRRRTSMFTSGSSKIEFTESIKLTATRAKTTEVKQLSTAKRVSVSDRDTSSGMRMSQHSSLSDHEASAASSYFNPLGETSIVRLGKRSIPEKKGHGSNLMHSVPLRELNGAGPQARMLASKNTLKRVLKSPGSRELASLFRNEVTPVLQSSRRRRHMSTVRVLLSQSMDSETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVIPAWLECCREAKCFIDEKGYILRDIKKEKELGFSMPVSLSQACNKPLLEGRRVLITPNAKPSKEILKSLVVAAHGKLLERNAMSKMKNISLVGAFVISCEQDYKICAPFIKNGFEVFESELVLNGIITQKLEFERYRLFHDKTV >OB01G52570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32415182:32417611:-1 gene:OB01G52570 transcript:OB01G52570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGGEPPAAVAAASPHPAPASIGPQPRPPSPSTSVRAAPQPPAPSQNISSTSSGNISLPTPSLDLPDVADLFSSNPLPSGGSTATVDSTSRKRESNGSAFLDPRSKFPRVQSAQTRDTRIAAGNTLVPPQLRGRSNVVTEDMSKLFVARRKE >OB01G52580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32422177:32423547:1 gene:OB01G52580 transcript:OB01G52580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHETDKNIEIWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPGTSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEVLSNVKFIQEKKLIGKYFEEISQDTGKYVFSVEDTMSALEMGAVETLIVWENLDVNRYVLKNSVTGETTVKHLSKAQETDQSNFRDKATSAELEVVNNTLLLEWFAENYRQFGCTLEFVTNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDEFDEDYE >OB01G52590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32425827:32430281:1 gene:OB01G52590 transcript:OB01G52590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:J3L7Q3] MVGSVHANGSANGGSGSGAEERLDELRRLLGKPDGDLLKIVGIGAGAWGSVFAALLQDAYGHFREKVQVRIWGRAGXXXXXPPAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILRDGLCLNMVETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISRYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSDKWRKPLAKFLRQPHFVVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >OB01G52600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32431121:32444874:1 gene:OB01G52600 transcript:OB01G52600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MAALEQISSTKMFGGRNLRFRHQSATLGCPMTFSLFVPASPASNLPVLYWLSGLTCNDENFIIKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPIANPINCPWGQKAFSNYLGPSKSDWEEYDATCLIKKCNKISTPILIEQGEDDKFLAEQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLNSN >OB01G52610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32471527:32471982:-1 gene:OB01G52610 transcript:OB01G52610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGHNLSTKTEKNIRPSASEQQILNAVPWQFHGEYYWFCLQLQAYYSNRSSDLNSEVLTWATLLQSQAKESPGNCRLVRKLRGKVRSVFSEAAIGQSLIRVGVSRSHGMRGRLSASKRTGASEYQHLVHMLLNPGPDNHLSLSLFCMIFQ >OB01G52620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32472530:32474978:1 gene:OB01G52620 transcript:OB01G52620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMKPSIVHCIKLLMLLVLGGVTMHVPDEDVVASLGALRLDGHFSFDDAQGAARDFGNRCSLLPAAVLHPASVSDIAATVRRVFLLGRHSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAGATAVRVHGGPSPHVEVPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSHEENSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEMLITAEKTFDYIEGFVIINRTGILNNWRSSFKPQDPVQASQFQSDGRVLYCLEMTKNFNHDEAGIMEQEVGALLSRLRYISSTLFYTDVTYLEFLDRVHTSELKLRAQGLWEVPHPWLNLLIPRSTIHKFAREVFGKILKDSNNGPILLYPVNRTKWDNRTSVVIPDEEIFYLVGFLSSAPSASGHGSVQHAMNLNNQIVDFCEKNGIGMKQYLAPYTTQQQWKAHFGARWETFERRKHTYDPLAILAPGQRIFTKASLPMSL >OB01G52630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32479291:32485072:-1 gene:OB01G52630 transcript:OB01G52630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3L7Q7] MVVEMHAGLASDGGSKLKMLLTFVDALPDGNEEGIYYSIDLGGTNFRVLRVEVGAGSVIVNQKVEQQPIPEELTKGTTKDLFNFVAMALKNFLEDEDDQDGKRALGFTFSFPVRQISVSSGSLIRWTKGFSIGDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVLHRMAQESDVFGDAADSLSSPFILSTPFLAAIREDDSPDLSEVTRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRARGQLRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGPI >OB01G52640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32510685:32510870:1 gene:OB01G52640 transcript:OB01G52640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKAIMEENEDFEQEEDDDDDDGVYEEEEEAARPAPVEKEGVLVAAAPRTRNRPKREVQ >OB01G52650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32513136:32514708:1 gene:OB01G52650 transcript:OB01G52650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKMAKHRAAASIFTLALVLGVFAATPTVVQSIGVCYGVIGDNLPSPSDVVQLYKSKGIDSMRIYFPRSDILQALSGSNIAVTMDVGNERLGALASDPSAASSFVQDNIQAFPGVNFRYIAVGNEVSGGDTQSILPAMQNLNGALSAAGLGNIKVSTSVSQGVIAGFPPSAGTFSASHMPPITQYLASTGAPLLANAYPYFSYVGNQAQIDINYALFTSPGTVVQDGGNAYQNLFDAIVDTFYSALESAGAGSVPIVVSESGWPAGGGTAATASNAQTYNHNLINHVGQGTPKRPGNIETYIFAMFNENQKGGAETEKHFGLFNADQSPAYPINF >OB01G52660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32519140:32520330:1 gene:OB01G52660 transcript:OB01G52660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGFAPLLAVALLLAAFPAAVQSIGVCYGVNGNNLPAASDVVKLYKSKGIDSMRIYFPRSDILQALGGSNIALTMDVGNEKLSALASDPSAASSFVRNNIQAFPGVNFRYIAVGNEVSGGDTQSILPAMQNLNSALSAAGLGNIKVSTSVRFDVITDSYPPSNGYFKDDYMVDIAQFLAATGAPLLINVYPYFAYVGDTKDISLNYATFQPGTTVTDDGNGLTYTSLFDAMVDAVHAALDDAGTPDVRVVVSESGWPSAGGLGASARNAQTYNQNLINHVKGGTPRRPGEALETYVFAMFNENQKTGDETERHFGLFNPSKLPSYKITFH >OB01G52670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32531030:32531980:1 gene:OB01G52670 transcript:OB01G52670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHELIDHRSTAAVRSIGVCNGMKGNNLPSPAEVVQLYQSNGIAAMRIYSPVVGAATLRALAGTGIDVVLDEPGLDRLLTLDAASAWVQANITPYRGVNIKYIAVGNEVSVNTTKILPAMENLHKALSTAGFDKIKVSTAVKMDVLGTSSPPSGGKFRDPAVMGPIAKFLASNGSPLLANVYPYFAYTSNIDLNFALFQPTSATFQDSGHTYTNLFDAMVDAIYAALDKAGAPAVPVVVSESGWPSAGGEFASVSNAQKYNQGLIDHVGKGTPRRPGAVEAYIFAMFNENQKEGKETERHFGLFNPDKSPAYTIKF >OB01G52680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32532877:32535174:-1 gene:OB01G52680 transcript:OB01G52680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) TAIR;Acc:AT3G10150] MRCCRRIAAAGALLAALLSALAVRSSLAERRRPARSSLAGRRRPLRFASGGFKVALFADLHYGENAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRRRGIPWSTVFGNHDDMAFEWPPEWFSPAGVPPVQCPPPTSMSISDCSFRGTPRHELMTGEIIHNGLSYSSNGPKDLWPAVSNYVLQVLSQKKDDPVLLMYFLDSGGGSYPEVISSAQVQWFQSQSQFLNPNGRIPEIIFWHIPSTAYSKVAPKAKSEIRKPCVGSINKEDVAPQEAEWGMMDALAKRASVKAVFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIQISEQPYSIQSWIRMENGTKHSDITLS >OB01G52690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32537000:32540536:-1 gene:OB01G52690 transcript:OB01G52690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPAASMLDMALLLSVFASILAGAAAVGVCYGMSGDNLPPPSKVVEMLRENGFTTVRLYAPDSAALAVLGGTGIRVVVGAPNYDLHALAYGGTAAAAAWVRQNIQAYPTVTFRFLVVGNEVAGEDTRLLVPAMENVHAALAAAGLGHIKVTTSISQATIGVHIPPSAGEFTDEAKAFMRYVVPFLERTHAPLLANLYPYFIYSYNPGAMDLSFALFTSPGTVVQDGEYGYQNQFDASVDALYTAVAKLGGEHVRVVVSETGWPTAGGVAASVENARTFNQNLVRHVRRGTPRRPGKKVETYVFAMFNENLKEPGVEQNWGLFFPNTDNVYPISFHARV >OB01G52700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32541940:32543120:-1 gene:OB01G52700 transcript:OB01G52700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQGVASMLTVALIIGTFASVPTAVQSIGVCYGVLGNNLPSRNEVVQLYRSKGINGMRIYYPDKEALNALRNSGIGLILDVGDALNYLAGSAANAEAWVRDNVRPYYPAVNIKYIAVGNEVEGGATNSILPAMRNVNSALASSGLGAIKASTAVKSDVITNSYPPSAGVFRDNYMRDIAGYLASTGAPLLANIYPYFAYRGNPRDISLNYATFRPGTTVRDPNSGLTYTNLFDAMMDSTYAALEKAGAGSVRIVVSESGWPSAEGFGASMDNARAYNQGLIDHVGRGTPKRPGQLEAYIFAMFNENQKTGDATERNFGLFYPNKSPVYPIRF >OB01G52710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32544858:32546110:-1 gene:OB01G52710 transcript:OB01G52710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRGFTLVLAVALLLGVFISIPVGVQSVGVCYGMIGNNLPSKSDVVQLYKSNGITDMRIYLPDVEAIGALRGSNIGLIVGVANENLIDLAANPASAVSWVAANVKPFFPAVNIKYIAVGNEITGEPTQSILPAMKNLNAALAAAGIAGIKVSTAVRLDAVANTFPPSAGVFAQPYMAAVAQFLASTGAPLLANVYPYFAYIANTKDISLGYATFQPGTRVPDPSTGLVYTNLFDAMVDAVYAALAKAGAASVRVVVSESGWPSAGGDAATTENARTYVQNLINHAKQGTPRRPGAIETYVFAMFNENEKPGQPTEQNFGLFYPSKAPVYPINFH >OB01G52720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32547915:32558197:-1 gene:OB01G52720 transcript:OB01G52720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPLLPAAFRFRIGEMADIVGFYQKVVPCQPQLDFETDPPLDSVKVLEKESTLNVAVSQLASDFDRESNLSLGRFSRTRRIPVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPCVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIYRNSEDVQSRLMTRALRKIQHTLGRSETIIIFVNQVRTKLSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEAQATGIGISVQIIKNKLAPAILKEASIDIRFGKGICYESEILELASSLGVIVKDDCGYWINGEYLADKKEAEKFLRENAAVADEICDTTRSQFFERVRITAVTAPSAEARLRAGSAGARYRGFSGLRGKENPGAVCIFVKRRRGPRRRSRRRPFFYDHLFRSRRRLREKPKLKLDGLVPNGRHIGRDALVAVLGCLYSGRLRSAPPEAAVCLDDGVDGCTHDACRPAIDVVAESSYDASGFRISGLVSLFQVSRLSSQHETKSCSVRLSDNVTHRIEATGGHILPATTDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISSGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGLYEILRDGSTSWYLIQNARSSSRGDVVQLYKSNGITAMHIYSADREALDALRGSGIDLALDVGDQNQVRQLAANADSWVKDNVHGYYPDVKIKYIVVGNELTGTGDVGSILPAMQNVQAALAGSVKVSTAIKMDTLAASSPPSAGVFSNPSVMEPIVKFLADNGAPLLANVYPYFAYRDSQPGHRPQLRALFQPSSTTVSDPNGLSYTNLFDAVRAAVEQVVSGGSSVDIVVSESGWPSADGEGATVENARAYNQNLINHVGQGTPKKPGRLETYVFAMFNENRKLRDATEKKFGLFNPDKSPVYPITFS >OB01G52730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32558972:32561753:-1 gene:OB01G52730 transcript:OB01G52730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMARSVQLAVTAGDQAAADPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYTVLAIYAVILIMHGIINSLPIEWLSLFGHVGALWNAAGIFVLTILIPAVAKNRPSLEFVFTHLNTDNGMGIHDKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPMGIITSVVLATVFGWIYLLALTSVVTDIPYLLSPGNDAGGYAIAQALYTAFPRRYGSGAGGIACLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNRHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATVGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVAVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPVINVDMHC >OB01G52740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32562471:32565372:-1 gene:OB01G52740 transcript:OB01G52740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLATTAGDQAAADPDSLRLHQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMSLGWLLVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGASGGGYMASKYVVLVICAVMLILHGIINSLPIQWLSFFGQIGAIWNAAGVFVLVILIPSVAKDRPSVEFVFTHLNTDNGMGIHDKAYIFAVGLLMSQFSVLGYDTSAHMVEETKNADRSGPVGIITSVVCATVFGWIYLLALTSAVTDIPYLLSPDNDAGGYAIAQALYTAFHRRYGSGAGGIACLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNRHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATVGMYIAYALPVFFRVTTARRSFVPGPFHLGKYGVAVGWVGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFKGPITNTSDGPV >OB01G52750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32566700:32572769:-1 gene:OB01G52750 transcript:OB01G52750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVVVAMDAATDADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVMTGVTTTYNTGLRYGGPVSMTLGWLVVSAFNGCIALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQLLQVIVLLATGGANGGGYMASKYVVLAIYCAILISHGLINSLPIQWLSWFGRLGAFWNVAGVAHHRLGIDAFSVFRDSSSDHDSTISLICSSLWTKQLIPGVFALTILIPSVAKERASPEFIFTHFNTENGMGIHDKAYILAVGLLMSQYSLVGYDTSAHMVEETKNADWNGPIGIITSVALSTMFGWIYLVALASVMVDIPYLLSPDNDAGGYAVAQALYTSFHRRYGTGVGALACLGVIAAAIFLCGSACVTSNSRMAYAFSRDGAMPLSRVWHRVNGREVPLNVVWLSVSVAFAMALTSLGSQVAFQAMVSIATLGLCISCALPVFFRVTTARRSFVPGPFHLGRYGLAVGWAAVLWVATVTVLFAAGGVPGGQGDVQLHAGGRRRRAAAQPRRVGAPCPLLWAATASVDFALAQLVQVIVLLATGGAHGGGYMASKYVVLAVDGAMLITHGAINRQQPPDPVPLLVRPVRSFLECCRASIEYIFTHFNTENGMGIRDQAYILLIGLLMSQYSMAGYDTSAHMTEETKNADWNGPIGIVTSVALSTVFGWIYMVSLSSTATDIPYLLSPDNDAGGNAVAQALYAAFHRRYGTGLGGILFLGIVAVAVFLCGVACVTSNSSSCMLVTNAVWLSAVVAFIMALTSLGSQVAFQAMVPIATIGLCISYAPPIFFRVTTARRSFVPAPFRLGRYGIAVGWAAVLCVATVTVLFSLPVAYPVAEETFNYTPAAVGAWALRARFWFRGPITNTDDGP >OB01G52760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32575057:32577781:-1 gene:OB01G52760 transcript:OB01G52760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQLLQVIVLLATGGANGGGYMASKYVVLAIYCAILISHGLINSLPIQWLSWFGHLGVFWNVAGVFALTILIPAVAKERASPEFIFTHFNTENGMGIHEKVYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVALSTMFGWIYLVALTSVMADIPYLLSSDNDAGGYAVAQALYTSFHQRYGTGVGALACLGVIAVAIFLCGSASVTSNSRMGYAFSRDGAMPLSRVWHRVNRHEVPLNVVWLSVAMAFVMALTSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARRSFVPGRSTSGETVNYHPVAVGGVLLLSLAAWVLHARFWFQGPITNIDA >OB01G52770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32578619:32582582:-1 gene:OB01G52770 transcript:OB01G52770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSLADDAKNVLECEHVLGNRTLEVKIATPKEEMKSHGSKKATRIFVARIPQSVDESMFRRHFEAFGEITDLYMPKEHGSKGHRGIGFITFQTAESVDNIMQESHELDGTTVVVDRATPKDEDARYPPSRTSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQEANTEDLRHYFGKFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGHEVAIDTAAPLEGDSGGGYMEPMDLYGAYGPMRTYGRFCGGLDYDYGYGSSGSSRSRTDWRYRPY >OB01G52780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32586585:32587871:1 gene:OB01G52780 transcript:OB01G52780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51930) TAIR;Acc:AT3G51930] MAAMPLPDQDRAAMSTPLLPRSAFVSSTSFSSFSSVASFSSTSSSFSDPAVPSDVVEALPMSRDSFKALAVLRDHQGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAASGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGKIFRHASYVQTRRNHRRLWIEHADSISCLAVHDGVVYSGSWDKTLKVWRISDLRCLESIRAHDDAINAVAAESGIIYSASAAGHVKAWEKGKAAHFLQGILISRDGVSWNALVVSSDAGARRVYAAGSDGHVVGWDRVGRGSRWSLSCDVKAHDMAVLCLCVAGDLVCTGSADKTIGLWRRQQTSDGAAAAAALVRVGVIRGHEGPVKCIQASWCRVSNGCMVYSGGLDKSIRVWWVPNGWKANEQRQQDKDTKDQKNCVFLR >OB01G52790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32589498:32590070:1 gene:OB01G52790 transcript:OB01G52790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETMNLILSGGREEDDFYEDGDDDDHGVAVVVGFEPTEDELMLHFLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWMHSSTKNGQSVTDLGVVVRWCRVNFCFYARGDAGQRSTGWVMAEYEITDPRCYRRADDGEEDDFWVLCHVRKSSRFPAKISPAKPRRRKPAAAGEAARA >OB01G52800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32592481:32594255:1 gene:OB01G52800 transcript:OB01G52800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGMNGDNLPPASEVVQLYKSNNIGAMRIYSPDKAALAALRGSGIAVIIDVGDSGAVGNLARNPSAAADWVRDNVQAYWPDVIIRYIAVGNELGPGDMGLILPAMQNVQNALASAGLSNAIRVSTAVKMDVFADTFPPSRGVFRPDLQQFMAPIARFLADAMAPLLVNVYPYFAYRDNPRDISLGYATFQPGTTVRDDGNGLTYTNLFSAMVDAAYAALEKAGAPGVRVVVSESGWPSAGGFAASVENARNHNQGVNDNVKNGTPKRPGQLETYVFAMFNENRKPGDETERHFGLFNPDKTPVYPITFPN >OB01G52810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32594417:32598072:-1 gene:OB01G52810 transcript:OB01G52810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNEKCTLSQGDDKSQGDKTLRYSEPGLPEHIWHHILSLMPLRDAARAACVSRAFLCNWRCHPNLMFTMETLRLKRKPHRKTCAFAIKVDHILKNHSGIGVKRLKLGTCDYCNFNINASSINSWLQVAITPGIEEITFASNYEGRYIFPLSLLSDGHGSSIQYLDLRSCTLRPTAALGCLTSLTKLRLCDVHITDDELMSLLSKSFALVELELTRCSEIICLNIPCLLERFSRLTVFECSNLKMVKSNAPNLTTLSFTGNPVEFTLSQVKELQMDCSDVSNFICYAITKLPYSFPNLISLTLCSVNEGVNTPTVAAKFLHLKHLKIYLEADEALPPEYDYMSLVSFLDASPVLETFILSVQQEDIKHGRVSEVASDMRQMPEHKHSNLKNVMILGFCTAKSMVELTCHILDNATSLKRITLDTVCDFSDECKISRCYITSARRTGDCTPLSNKMILEAERGTMAIERYILGKVPSTVELTVRGPCTHCHVTK >OB01G52820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32601440:32603626:1 gene:OB01G52820 transcript:OB01G52820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNGVCYGVLGDNLPSRGDVVQLLKSEGIGAMRIYYPDKEALDALRGSGIAVTIDVGDSGAVFNLANNPAAAADWVRDNVVAYWPGVIVRYVVIGNELPAGHMGLVLPAMKNVHKALMSAGLSNSIKVSTAVKMDVVSNTFPPSNGVFRPDLLQFMAPVARFLANTVSPLLVNVYPYLSYRDNPRDISLSYATFQPGATVRDDGNGLTYTNLFSAMVDSVYAALEKAGAPNVRIVVSESGWPSAGGFAASVENARNHNQGVIDNVMNGTPKRPGPLESYVFAMFNENQKTGHDETVRHFGLFNSDKTPAYAITHYPRPPVQSSIGVCYGMLGNDLPSRSEVVQMYISKGISGMRIYNPDREALDALRNSGIGLILDAGGFDAVSYLAASPSNAAAWVRDNVRPYYPAVSIKYIAVGNEVVGGTTESILPAMRNVNDALAAAGLGGIKVSTAVKSDVIANSYPPSAGVFAYPYMKGVAQFLASTGAPLLANVYPYFAYTGNPREISLNYATFRPGTTVRDDGSGLTYTNLFDAMVDCIYAALEKAGAGNVRVVVSESGWPSAEGVGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGASTERHFGLFYPNKSPVYQIAFPN >OB01G52830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32605370:32605627:-1 gene:OB01G52830 transcript:OB01G52830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSKILWQRSLERRPKLYVICSYLLISLARSGAGDSSTSPNSPRISSSHGLVLRRRRKDTRRRSTTLSPSCRRKGHRNAWASCW >OB01G52840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32605369:32608022:1 gene:OB01G52840 transcript:OB01G52840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICEAKGGKRATCKAECHDWRVQPRMLPPWLERLLCSCGNRCMVFGSMKQKILGQRFFACSTIVDDGFMNG >OB01G52850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32611705:32614423:-1 gene:OB01G52850 transcript:OB01G52850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDESPTAAAERKIFSTVDRILVNIKVLIGDIWSNLKKLPKQSDHSGDTGVDEDGDAVALRHIEVNIHAEQIGAGVKRFQDAISTKHGAEEESTPSLAEQEDEPTHRPSGLHVRRLLDALDGQLLQCLLCLAIFPPNEVIKKRILIHWWIGEGIVKSADAGKECFDDLFDRGLVQPALLRGHCRRIHYFRVRPVVHNQLVDAARSFGFFGFMGQGNGNVDDPHRLFLQEGQSFDQNTRGTNNEFLSVFNLNMEYVNMHIATSRITRAMQLGRWKSSVKHHIELVEDDLLKNVVACKNLRYLSLRGISLIESIPEAIGTLAELLVLDLRACHNLEKLPGSIGSLQKLEYLDVSECFLLEEMPKEMGELSRLQVLKGFLVGSSRRKSSPCRLSDLATKMHNLRKLSITTGRQSLLYDEDELCQLANCRSLQSLTITWGGETSTETLLSLPSSLTKLDLRRAPMTNLLDMVHPSTSLGLKRLYIRGGKLRTLGQDSGWNVETLRARFLNDLECEWSELHCMFRELRFVEMWRCARLSFWPCDERGIWEKGSPSLAGRSIGVCYGVRGNNLPPWREVVHLYASNNIPAMRIFYPHHDVLEALRGTCITISLDVEVQFLPSLASEPSFAAAWVKANVQAFYPAVSFKYITVGNQVSSREMSYILPAMQNIYAALSAVGLDHIKVSTSVRRDVLGVSYPPSDGAFSSAMEQYMAPMVQFLAKIGAPLMASVFPYFTYVHNQADIDIDYALFTSPGTVVQDGVYSYQNLFDATVDALYSAMEKVGCSTVRVVVSDSGWPSAGAPAATKGNARTYIQNLINHVGKGTPKRPVPTETYIFAMFNENEKTGGEIERNFGLFEPDKSPVYPIIFS >OB01G52860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32613204:32613886:1 gene:OB01G52860 transcript:OB01G52860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLRLCIFVARSERRHGLLFLLELPTRNPLRTCSLDSSPISLGISSSKKHSDTSRYSSFCSEPIDPGSFSKLWHALRSSTSSSASVPMASGMDSMREMPLRLRYLRFLHATTFFRRSSSTSSMWCFTELFHRPSCIALEQPVRVIDIAVALTHKPKETEAPSGVHKLVVHHGAHSEVVYTTAVASE >OB01G52870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32618730:32621608:-1 gene:OB01G52870 transcript:OB01G52870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMDICFLVSPLVSTPLVVQHESARGTAEQHRRLFHLLLDVRHIVTEAEGGARKAGVDDWLGAVLRAVQEADDLMDELQLRVVLRSAQQVRKIFSIYGNRLVSRWRMRTSLRSVIRKLEKLVGEGSGLGFPAGHRAHASSGDAIGQLHLQACTVLTEDQGHDQFVGREQDTEMIVEELLKRRDRRELTVLPIVGAGGVGKTAVAQMVYQDNRTVDHFELRLWVRASVVDGSSTLAKKIVDSLIGGQRGLPGDDIDALGQCLKQLLQGRRYLLVLDDVHRSDCHEWPVLQGHLACGEAGSAVIVTSRDDKHIATVVGTLSAHQVTALSDEDMWRVMKDRALSLGAKESPELVDAGMEIARRCGGIPFAAKVIGGLLSFKMGLTGWIDVRNSIACANESPENISSSVIRLSYSHLPPTVKQWFVFCALFPKDFEVDREMLIQLWMANDFVLSSGREEEKGTWVFNYLVQMCFLEGVEKLSWPEWAGVKCRAQSMFHEFARSIGENVLTLLSNPNKSMEETRNFRLRGYGAPAGNISSVRTLLCLEGGCAAYSWNSIFSQPNSLRALGLHCIQSMHASIDPRHMRHLRYLDLSKSSITSLPKDIVKLCFLQTIRLSQCPYICQLPQDMSSITGLRHLYIDGCPRIENMPPNMRKLKNLLILTTYVVGNDDSNGINQLEHMNLTAQLELYNLKNVKSVENALMAKLHSKQKLTQLTLCWGMFRDGEVNQDCAKDLLEALRPNENLEVLKIWRFPDVTLSTWLASNTVLPNLEKLILVACKQCTTLPEVGQLPKLKLLHLERMESLKHIYGAVPSTVHSGGHGGVLTEEFPRLEKLILINLRNLQGLKDGTELEKELAFPQLVELTIINCPALQKIPSTPVLKHLTVEGENHKNLITRAFSSNVSSTFSTAALSCNLY >OB01G52880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32626669:32634943:1 gene:OB01G52880 transcript:OB01G52880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLGVRLRENEAVAQCLLEKWRSMEEKPGGLKENLAHTLAKSYRSVCAAKEPIRTLKDLYEIKGVGKWVIRQLKGSFPESSPDLSPPESNTAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSYMKKQELIDATEASGLSRSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVSKSGNPAKYMITEEGKFTALDCLSRSGLDDHSPPLVVNSVHQTSLGPSRAIGEPSTSVANPVAKTSPEMTYLTSQESLNYKSQVRTADNCAEEIILSDSDSEESYTENYSLIGSEEFTERVAPPILKASNSGGLDISKRTTPNNRFSDCSASISPLSSQGTFELQSSSTLGTTEINMLDKDTVCMDNSILAMPPRRSSDNFLEDYEVVLVLDDRENFGDRLKPVADNIRLQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKIQKLSLKKCGLKKLIYLVEGDPNPLDSSERIKTACFTTEILEGFDVQRTTGYADTVRTYGYLTRSIIEYYSTNFSTGSNTSRVCPTYDEFKKKCDDLKKVTVSDLFALQLMQVPQVTEEAALAVIGFYPTIFTLAQAYSMLDGDTRAQEEMLKNKSTLINAGASRNIFKLIWGEG >OB01G52890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32637717:32637965:1 gene:OB01G52890 transcript:OB01G52890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKDSCSLAHVEITSTSEIVREDSATSLWKMAGENAKRSLALSRKYSITLLHSALLTTSYENYLTGFSFKLGNSSTSPW >OB01G52900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32650518:32651657:1 gene:OB01G52900 transcript:OB01G52900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGAALRRAGTLPHSSPPQLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPRSSPTPAEVAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTSVHEHKDLLSQTAMALTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAICSSLQLHCLLASDDDATAGAATDKDRRSPESGLSPSTSRADAFLGALWGLSPKAMAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTVGCSGRRSRTSWRATAPSGGSGXXXXXXXXXXXXXXGCDGFKVREEKGNFFLCWQDRALFSVSAWRGRRFD >OB01G52910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32652975:32655251:1 gene:OB01G52910 transcript:OB01G52910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G10210) TAIR;Acc:AT3G10210] MALAQGTGDFSVVVLGSDFAVDAGAALLNPADREEWHDCLPDLSAPADGDDFSDLEDLQVVRVHGTDRAGRRIVRVVGRFFPVPHFCDISDPRYTGMLGSEFETGTGSSNQVLFPSSLPTLTWLQMAAPVIGGERLKRYVLHKLRTELPDGPFCILYMHSTVQSDDNNPGMSILRGVYEDLPHECKERLQVLYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKVLEHRPLTDYGIEPDPLHLADMPAVGYSLGRLL >OB01G52920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32654776:32657598:-1 gene:OB01G52920 transcript:OB01G52920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:UniProtKB/TrEMBL;Acc:J3L7T6] MAAAPPPSQPVPAPAAAGFRLGFIGPGNLAESIARGVVASGVLPAAAIRTAPHRRPERAAAFASIGAHIMETNAQVVDESDVIVISVKPQIVREVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRIIRVMPNTPSAVGQAASVMCLGDMATDNDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNQTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >OB01G52930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32659976:32661232:-1 gene:OB01G52930 transcript:OB01G52930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNGSLAAHSESRDGGIAVDLFPFLRVYNDGRVREFVRHKKMGASSDERSRNGVVTKDVVVDGETGVSVRLFLPIDAAQAAAEDGRRLPLVVYIHGGGFCTGSASAEMFHNYADSLAAHAVAVVVSVDYRLAPEHPIPAAYDDARAALRWAAASSGGLSDDAWVGSYADRTCMFLAGESSGANIVHNVALRAGTARNAGDIDIEGMILLQPYFWGTERLPFEKPCAWRTKPKLLPERVDNLCPYVTAGAAGNDDPRINPPAKDIALLPCRRALVAVATEDVLRDRGRRHHELLRDGAGWGDRAQLVVSRRKDHCFHLLPEYSSDDETKELMDHVTKFIAEGKTTPPISMPMEVEGVGRKTTARTVPSRGGARCCAAQIAPTVPRRSGFGVRPLSNKVQKYHLPAAALGRSVLKSYF >OB01G52940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32661871:32664594:-1 gene:OB01G52940 transcript:OB01G52940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLRNANEPDTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLINYLKEGSDMNKILMATAISKMFLSEQMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGACLNLSSSLRNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSDRILLHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHTNAKRARAKIRKNGGVQLLLPFLTEKNVDIKIAAMNFIFNLSKDASQELTEQIRDTHLKIFVKIISSTTSGNEKTAAIGILSNLPVTDNKITEFLTEANLLPLLISLLETNITTSLTPQRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSVALRKTKLPRWLCVAPSAETYCFVHNCQCTVKSTFCLVKAGAISPLIQILEDDDREADGAVLEALATLMQDEIWENGSKVIEKASGVHALLRIAEAGNSTSQEKAIWMLERIFRLEAHREHYGEIAQALLIDLAQKGDPVLKPMIGKILAHLELLQTQSSYF >OB01G52950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32670453:32670953:-1 gene:OB01G52950 transcript:OB01G52950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQSYAGHTPLITPSTPLTNPPHKPIPFTAGHTDDPVRRRPHPRSCPLPPAAPGAPKPTLAISRPPRCKSHPRHAIPVIHLSQLCQSSTPPLLYVILRSPRPTTLGRHLDQSPKYELPFSYATGLPISYFCMVFNCLYLLVMFTNCLILLSSSSCMVLKGSSQH >OB01G52960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32670874:32672105:-1 gene:OB01G52960 transcript:OB01G52960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSNSQPPPGYPTAAGAAEQGGKKSRRGSTKSRGEKGFIEGCIAALCCCWICEMCCD >OB01G52970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32681266:32684105:-1 gene:OB01G52970 transcript:OB01G52970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRSPFLSHHHPHHPAGIEAPTAELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAQTAVNAAPIYGESPAGGGGVDNARGTNPSMVANKLRLCLELVQSAAMVMSREDAQTAVNAAPIYGESPPGGGGVYNASGTNSSMVNLSLDNRMVYLNLGMDAQFGKMNDGSDGDDGGSRGHGGPSSLFSPHGFP >OB01G52980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32683572:32684351:1 gene:OB01G52980 transcript:OB01G52980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKMQLEWRRQELLELGHGRGWLVNYLGEESELLDAEGAGGGEGVEGEVDGGGAVGVPAAALAAARPGLTLLRHQRHLPAVQELQKQQEHLVADGADRYHGAGCSXXXXXXXXXXXXXXXXXXAEELAEEEAARGEDAAVGVHEAALHAERDVAEGLPVDEQVEVVHGQRLERFLHGLLPLTIGGAEQEQPLAAESDGRPAGETTKRERDRERGSLRGRSSGSSCCCFLGSAPAASLGLLQPCAASPDLSFDLLFCL >OB01G52990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32713968:32714162:1 gene:OB01G52990 transcript:OB01G52990.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHLFILQIEQLKLIVNPINHHCSGAYLLLIIDGITFLLAPVPPFPFRDKRVFAVSVVRHFTCALL >OB01G53000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32717333:32725192:-1 gene:OB01G53000 transcript:OB01G53000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNHRLLLPSSSASAAAAFSTSSRTYARRTTKPKPAPPAAGEAAGEGEGEGEGTGPGWQREKLKGELPRPSTIAFQPRVANAVQLIGTVGVPVQLQRMPDGRFSAVSGLVQQRHADYPNFWIPIIFQDDLAQVAASHLQEKDLIHVSGQLTGDIPPTKLMDGQADIQVLAQTLSFVGSKTVETDSMVDEEEGFLKVVEVEKKVETKNIIPKYPPSTVSGYRNKGDKLNKLWNDVLANPQDWTDNRAGKKNGSKHAKYPDFKNIVSKEGLWLDSAPKAVLEKLDGLVFSGGFSTGINYRPFGGGKGNGTNWGKKTQDASSMSKEKLQGELWQDLVDNPGKWWDNRSDKPSQKYPDFKHKENGTPLWIGSQTPKWAIEALPPAKPTKIPFKGDRKQETLLS >OB01G53010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32735940:32736539:1 gene:OB01G53010 transcript:OB01G53010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSLARKLSLPSPKRTWSGGRSNREGAGGGAKRAMSRSEAASFASASSSSSSEDTLLMARSTPRSVLPAEISRRELEAVLRRLGHEAPSDDELDAVAAIAAEAEGEGAGEEDELREAFKVFDADGDGRITAEELRGVMVAILGGDAEGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMAATAAAAATDGPRPSW >OB01G53020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32742878:32745941:-1 gene:OB01G53020 transcript:OB01G53020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochromobilin:ferredoxin oxidoreductase, chloroplast / phytochromobilin synthase (HY2) [Source:Projected from Arabidopsis thaliana (AT3G09150) TAIR;Acc:AT3G09150] MSFGVGGGGGSLGAGLPYQKFVSFALEETRLRTTLTPHPSQDKFKFIKSSDDNTVFNALSFSAPKIRLLRSLTIEKKNSFQVLDFAAFSEPEYDLPIFCANVFTTTAQSIVVLDLNPLYDTTVHKDYKDKYYKNIMPLVQKYSELLPWGGKITSESLRFFSPIVIWTIFESTEHNHHVLHSAFMDYYKVWLEFIDQAIKENNQVTVAQNREQQHKYLTWRTEKDPGYPLLKKLIGESRAEDLVKEFLFEGVNLLGTKSFLDYFPEYTSDDGSINKKRSMIGKSFETRPWNANGELIGNAES >OB01G53030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32749734:32750054:-1 gene:OB01G53030 transcript:OB01G53030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEADADGDGFISLDEFAALNATANGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKVMMAGGGTFAKIA >OB01G53040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32763677:32764653:1 gene:OB01G53040 transcript:OB01G53040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASEPVNLIGAFGSPFVHRAEVALRLKGVPYELVLEDVASKSELLLTHNPVHATVPVLLHGDRSVCESLLIVDEAFDGPPLLPAHPFDRAMARFWAHFLDQKCLKPLRPALFAEGEEQKRSMEETGRAWQWRRDTSEVTGSSAATPSASPT >OB01G53050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32766551:32767770:1 gene:OB01G53050 transcript:OB01G53050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVKLISAFASAYGHRAEVALRLKGVQYELILEDLRNKSSLLLDHNPVHKLVPVLLHGDRSLSESLVILEYIDETFHGGRPLLPADPYDRAMARFWAQFIDQKFGRFNFWIPMVQMEGGLQEEFAQEAKGNLALLEEQLKGKRFFGGDAIGFLDMAACLLAHWLGVFEEVCGVTLATDEEFPALCQWRRSYADDEAVKPCLPEKDELVAYYRAIKDVIKAAGRQEK >OB01G53060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32767905:32768075:-1 gene:OB01G53060 transcript:OB01G53060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHKYYGNPGRRYLPASGRFSTIEGNLHDIQSDSYAVDLFLARTWSKHAQSIVRS >OB01G53070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32769100:32770690:1 gene:OB01G53070 transcript:OB01G53070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPVKLIGAFGSPFVHRAEVALRIKGVAYEFIHENLDDKSDLLLAKNPIHKKVPVLLHGDRAICESLVIVEYVDEAFAGPPILPADPYGRAMARFWAHFIDHKSSRPSWLALWLDDGEEQKGFLKETKENLALLEAQLEGKRFFAGDSIGYLDIVASGLAHWIGVVEEVTGVSLVGDEYPALRRWSKEYTSDETVKQCLPSREHLTTIFAGKKEKLKTAARMMLQQ >OB01G53080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32771943:32774188:1 gene:OB01G53080 transcript:OB01G53080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPVKLIGAFGSPFVHRVEAALRLKGVDYELINEDLENKSDLLLTSNPVHHKVPVLLHGDLAICESLVIVEYVDEAFDGGPSLLPADPYDRAMARFWAQFIDHKCGKPLLLALWLDGGEEQERFLKETKENLSLLEAQLEGKRFFAGDSVGYLDVAAGGMAHWISVLEEVTGVSVMGGGDDEYPALRRWAKEYTSIDAVKLSLPDREDLVAFYTRNKDKYKMMFRALLQQ >OB01G53090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32771943:32775066:1 gene:OB01G53090 transcript:OB01G53090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAVKVIGTFDSPYSHRAEAALRLKGVPYELILEDLRNKSDLLLTHNPIHKKAPAPPPGAHHAVCESLVVVEYVDEAFPAGPPLLPADPHLRATARFWARFIDDKCTKPFWLALWTEGEAQEGFKREIKENLALMEGQLRGKRFFGGDAIGYLDVAASGYAYWLEVFEEVAGVSLVTADEYPDLCRWAKEYASDGRVKGCLPDRAKLLAHFTAMKEVFMATARSMAPK >OB01G53100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32778464:32779927:1 gene:OB01G53100 transcript:OB01G53100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVVRKKPKDSVGEVDHVRGGGGAGDPGSRGGGGGGGGVVRAAVLPNYMRATSSSGARAGREAAGTTAAPPRKREAVGAKVAFAGAPYVSRATCSSAMRGLGLGLGLPGGGEAHVCPYSYCSFKCHAPVVPLRSLVASRRRLIKTQQSMKLKGASPFRKAGNGGGGADGLFVEIYSGATAAAPAASSDCSDLSTEDMAAAVREGEYAGFDHRSRGDGDEDKAKDSDGSVDGSCGSSDVISGGSVDLFVTKSRGCKQEIDGEKWIYMDQEAEDFGACKSDISEELDAKYEDGGGDASHESSVDDISSAFGGMNFKDVCSDHTGAASSQRKRWNIARGRTSEQGKQMRPFNPRAPNFLPVEPDPEAEKVDLRHQMMGDRKNAEEWMVDYALRRTVHKLARAQKRKVEMLVQAFETVLPPVGNDKKSVQHVDKTSFTLAKSLQTSS >OB01G53110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32781443:32785886:-1 gene:OB01G53110 transcript:OB01G53110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNKLFQELMMNQHGSWNFSSLLQIPPLVLQISWRTFFVEDAFTIFLDFPPCQFFMEGQQTHNSICELVLEILDHEENEQKLNLCLIHFIPSQQKRSMSTDDEVTEEEYQMETAKHLARRARRAEYAGGLRRRRAGGWSRRRRRVLGDNADGLQPRLESAQLDPVATAVTYTSLSLLSIPLFVLHLDRFHLSSAGLFFNMADSEELSASLGELRVEASSSKDSEVSRLGNAVEHVAITDDEIWDDGSDSPGHGSTLDREWAHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHAGYKWGLVRGITSVLASLPDSLKEKLLPDVQCRGQLQDLNDSVQKISAEDALQMFHESILRSSHSSEEPHVTLNRATNSSRLQSLSKDLVLLLQECPDMKVSEELARDP >OB01G53120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32783872:32785835:1 gene:OB01G53120 transcript:OB01G53120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRACAAAAPSLPTYWCLELLHECFPELALPPLLPTSEHSPLRSPPLQNIFLLLAYAMMAICVANSLLCSEMAAGAPEGYRHRIELRALEAWLESVGVVPKDPTPPPAPAAGASASQPSCILSASCSPREVLGRLHLYFAGEFREGQNIPWRKIKKYGEGIFNKERSPADLKDKWRNLKKRAKIP >OB01G53130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32786717:32789281:-1 gene:OB01G53130 transcript:OB01G53130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted pyridoxal phosphate-dependent enzyme, YBL036C type [Source:Projected from Arabidopsis thaliana (AT4G26860) TAIR;Acc:AT4G26860] MIRQLYDAGHRYFGENYVQEFVSKAPQLPQDIRWHFIGHLQSNKVKPLLAAVPNLHMVEGVDNEKIANHLDRAVSSLGRDPLKILVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKVALVNCKLEVCKAIDIPTEQFELSMGMSGDFEQAIEMGSTSVRIGSTIFGPREYPNKKTNQ >OB01G53140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32788980:32789234:1 gene:OB01G53140 transcript:OB01G53140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLKLHDANCYRTKRRKDSTIPNSGFTLLLCKWPMKCHRISWGSCNNGRSNRTPVKPFPNGEFRSTSRNQIRTCGALETNSWT >OB01G53150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32794081:32797922:1 gene:OB01G53150 transcript:OB01G53150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT3G54510) TAIR;Acc:AT3G54510] MDAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYLPRRMAAGDRGGSAGGLPLGHGRLTPSFRWIRAAFRLSEDDVLRRHGLDALVVVRLFKFGIKCFTVCSIVGLFILAPINYSSEDLPHSTRSNSMELFTVSNVARGSNRLWVHFSCLCFISFYVVYLLHKEYKEMSSRRIAHLKSHRKRPDQFTILVRGIPLCPDHGSYGCCADHFFSKHYRTYQSYQIVHDIGNIEALHKLATSLEKKIKRKKETRRCNFLKWIWFKLTLEGIDIHKLEEKLKDVHQSIRLLQCENMLKGKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPTDAIWKNLAIPFCRIAVYKLGVLVTAFLLIVFFTIPVTAVQGIVQFEKIEKWFPPARAVHLIPGLNSVVTGYLPSLILNGFIYLIPFAMLGMASFEGCIAKCQKEIKACNMVFYFLLGNVFFLSVLSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWQFFKAHSIGHSDQPYLYGFPYYRVVPIVSLAVLIGMVYAVVAPLLLPILVIYFFLGYAVYINQMEDVYEITYDTCGQYWSNIHHYIFLSVILMQITMLGLFGLKSKPGASFATIPLLVLTILFNEYCKVRFLPTFLHRPVQVAKENDDLNEAEGMREADLDHAISAYKPPWMRPTLTPTNFSLDSSSVQPLIRSV >OB01G53160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32798266:32804945:-1 gene:OB01G53160 transcript:OB01G53160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MRKPVQRRTVDYTSTVVRYVQARMWQRDARDRHTLQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQIQGYGDQHPAFSGRAMGGFQMSEPSTTRGPFNTGLSRNEGTIPGIGVAMPLDGSDHGEQRPSIPGLPPGQPPLPPGPHPSLLAAGQQQQYQQMQQQHPQFPQQLTSRPPPPNMPQLQPPAHMLPHAQGSRPPLPQLPSMPGPSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGLNQPHQGPVPPSNMPPMGGFPNGMGNIQGASPMGGMYNRPQGQMAPQAQPQMTPIPGLSSYQVGMGNVGLPPPPPQHPPSRGSAPQ >OB01G53170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32807089:32815137:1 gene:OB01G53170 transcript:OB01G53170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHNPVHQHQFVDSPKPQRNTRKICGMATKMDANDNRNHPGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFIPENCIKPRQDCSTEERSDFIRKKYELQQFLSNTQLTCYSQKSGKHRHQMQHNSSNRHGLGHAFRNSWRRKEHEHKSLKKPVEMGMVEFVGLIKVNVIRGTNLAVRDMMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERLMLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVSAAKAYETSLVSDTAQLNRWLAKDGIRIPRDSVISIVDGKVKQEVTVRLQNVERGQLEMELECVPLTQYRRAQTPPKTLAAMDAAVLEALILELHAIEAVKFGSFVLKSGITSPIYLDLRALVSHPRLLSSIASLLHSIPATRPYDLLCGVPYTALPIASVLSVDRSVPMLLRRKEVKAHGTAKSIEGSFRAGDTVLIIEDLVTSGASVLETAAPLREQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLTVLLKHGKVSEEKAAEVRQFLDANRKVTVPGAAVKPKVVRKGFAERAGLATNPMGKRLFEVMEVKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPYSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >OB01G53180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32821920:32826086:-1 gene:OB01G53180 transcript:OB01G53180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLAVAAAAVVLVIWLWRCRSTPSLNRPDTRGNHRRQANPILGNLVAFLANGHRFLDWSTGLLAAAPASTMQVQGPLGGLGSYCGVATADPDVVEHMLCANFHSYVKGERIRTAFADLLGDGLFLANGRLWSLQRKLASYSFSPRLLRHFAGRVLLDQLRRRLLPFLAAAADEGRVLDLQDVLRRFTFDNLCSVAFGVDDGSPSSSSSRLEAGGDSRNDAFFAAFDDAVDISFGRILRPTMVWKAMKLLDVGSERRLRRAIGVVNEYVTTIMESKLRRGEASEESDLLSRFTAAMEEDDGNELGAMFDSPAAKRRFLRDVVKTFVLAGKDTTSSALTWLFWFLAANPECERRVYEEVTALRGANECNDDDDDDAAGDESERYEELKQMHYLHAAITETMRLSPPVPMASRVAASNDVLPDGTVVRAGWFADYSSYAMGRMPRLWGQDCLEFRPERWLDDGGQFVAAGAARDPGMKVVAAAVVRRFAVGVVPAAAATAAPEHEMAVTLRMKGGLHGDRFAVPFGDLLGRGLFVADGRLWSLQRKLASYSFSSRSLRRFSARVLRAHLHRRLVPLLAAAAAAGSGEAVDLQDVFKRFGFDNICNVAFGVESSTLLEGGDPRHAAFFAAFDDAVEISVARVFQPTTLVWKAMKLANVGSERRMRDAIRVIDEYVMAIVASEERLHGEGDHKHEQHLLSRFAASMEEEGGELGAMFESPEAKRRFLRDVVVSFVMAGKDSTSSALTWLFWLLAANPRCERRVYEEVSRYGDDRRADAGGDGDGYDELKRMQYLHAAISEAMRLYPPVPIDSRVAAAADVLPDGTTVQAGWFADYSAHAMGRMPRLWGQDCLEFRPERWLNDGGEFVPVDAAMYPVFHAGPRACLGREMAYVQMKAVAAAVIRRFEVEPVQAPASMEAPPACEVTTTLRMKGGLLVRIRKRDDAVAHQHVT >OB01G53190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32822383:32823117:1 gene:OB01G53190 transcript:OB01G53190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRYCIRFSSSYPSPSPPASARRSSPYRDTSSYTRRSQRGLAARSQKSHVRADDVESFPAITKLTTTSRRNRRFASGDSNIAPSSPPSSSMDAANRDRRCCSCLWSPSPWRRSSDATIAMTYSSMTLMASRIRRSLPTLASFIAFQTNVVGWNTRATEISTASSNAAKNAACRGSPPSSSVELSTPNATLQMLSKPKRLNTSCKSTASPEPAAAAAASKGTSRRWRCARRTRAEKRRSDREEK >OB01G53200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32831999:32834545:-1 gene:OB01G53200 transcript:OB01G53200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:J3L7W4] MDAKIGRLVGAVGAFFSGGDNVPLCDRDIIARCERDVAEAATEEHKSESIMRLSWALVHSRNKDDVNRGIAMLQGTQYNNFSSIGSKEKLYLLAVGHYRNSDYPRSRQLLKHCLEIQPGWGQALSLKKIVEDKIAKDGVIGIGIATTAVGLLVGIAAAVARKN >OB01G53210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32842865:32843623:1 gene:OB01G53210 transcript:OB01G53210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLATFVVVFLALAATSLAGDPDMLQDICVADYKSLQGPLRLNGFPCKRPENVTANDFFFDGLMNPGNTGNAVGSVVTPANVENLPGLNTMGVSVARIDYAPWGVNPPHTHPRATEIIFVLEGSLDVGFITTSNKLFARTVCRGEVFVFPRGLVHFQKNNGNSPAAVISAFNSQLPGTQSIAETLFGAAPPVPSDTLARAFQIDAGMVEFIKSKFPPKY >OB01G53220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32846738:32847507:1 gene:OB01G53220 transcript:OB01G53220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKFPTVLVACFAVLLSLAAPLLAGDPDMLQDLCVADFKSLEGPLRVNGFPCKPEANVTADDFFFPGLGKPADVYSGNPMGSAVTAATVEKLPGLNTLGVSMARVDYAPWGGVNPPHSHPRATEILFVAEGLLEVGFVTAANRLFTRTVPRGGVFVFPRGLVHFERSVGEKPAVAISAFNGQLPGTQTVADALFGASPAGATDVLARAFQTDGGVVDNIKSKFQHK >OB01G53230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32848158:32853348:1 gene:OB01G53230 transcript:OB01G53230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G54460) TAIR;Acc:AT3G54460] MDEDEVAAAAAARRKLGGYLRAVVSVPCDGGEGSLAPLSPCSLFSCGEVSLAPVHDGGATTTPTPRSKWRACGGGGGGSVVRQLRALVSQRCVEVEGRVLRVVTRRRGGDGEVEARAVVLFDVYLPVSVWSGWQFPRSRAAAAAAIFKHVSCNWDARNDLLAFNWTSPDNPHCDDQYIWTCTDCHVLGCEVHQMPSVLNNEKSFDLHEIFKTLPSVRVERRMQITRITPDEDALGLGIWSVPDDILYKVLVRLKPRDLIRVAAACHHLRTLSASIMPCMKLKLFPHQEAAVEWMLKREQNLQALAHPLYKGFCTMDGFPFYVNVTSGEISTGNAPTVHDFCGGMFCDEPGLGKTVTALSLILKTHGTLAVPPPGMNVMWCMHKPDKKYGYYELSASNSCKKNIFLSGSKMLGKDVIREDPCSSESSHNGDSVRSTRSSRKRGRLVNPDITTVLSHPSGKSPMSSPTVAHPIPATHVLKITKNLKHVRKNLMDTYNDGSVGNKRKRDATSELSETWVQCDACRKWRRLLDGTVLDSNTAWFCSMNPDSAHQKCSNPEESWDLKRKITYLPGFYRKGAPPGNEQNASFFANILKENADLIDSETMKALLWLAKLSPKKHLEMEAVGLTRPVLDARANTGKGARPYYKIFQAFGLLRKVEKGITRWYYPSMLDDLAFDSAALGFALEKPLDLVRLYLSRATLIVVPANLIDHWTMQIQRHVSSDTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVSHLHPMLKFLHEEVYGQNYQLWDTGIHRPFEAQMEEGRSRLLQLLQRTMISARKSDLKNIPPCIKKITFLGFSEGHAKSYNELAVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSCCVAGHIKVAEAGQDIQETMDALMQQGLDPSSEEYQSIRYALLNGASCFRCRDWCRLPVITPCRHLLCLDCVALDSEKCTLPGCGKHYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVEKLRGLRAENIKHGCSSNRNNGACLSSQSSCQDHNKGRLPHPMPDKVIIFSQFLEHIHVIEQQLTISGITYAGMYSPMPLGSKRSSLMKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSNACRQMVNKGTSGTDNEGARPHRSLHDFAESSYLAQLSFVKGSDAAGNIVANTSKILS >OB01G53240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32855353:32862300:-1 gene:OB01G53240 transcript:OB01G53240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSEHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHATMVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGAFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTVLVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKESRLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLQKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVESLKDKQVRSVVCGTNFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPSKPYRVCDSCYSKLTKGLETDANSTKRGTVVQGTNDTNEDELETRSNAQLTRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPAPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIASRATSPVSRRTSPPRSTTPTPTLGGLTSPRVVANDAKPTNDSLSHEVLNLRSQVESLTRKSHLLEVELERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVAKNSKLPPLSGIPMPNEISSMATESLGSPSSSVEQTLSGPNGLLASNGPGSVRNKAGHPEVGKNGSRLSEAESCHEAEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRTRVYHHYNVRMVDKSSIDNEIASR >OB01G53250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32882464:32886336:1 gene:OB01G53250 transcript:OB01G53250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDESDDSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQQPDKTPPPHKPEEAAAASAAEATQTDGIISDCSSCSSSSKRKAAAAMEQEGLSSSPERTKPRLSSTSSGLAVET >OB01G53260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32889256:32897189:1 gene:OB01G53260 transcript:OB01G53260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT3G54440) TAIR;Acc:AT3G54440] MAVASASALFSAKNLPHRPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVSYLNSDSAVWNDDAVCGALESAAFWSKGLPYVHTLSGYWKFLLASSPESVPEKFYDAHFNDSNWEALPVPSNWQMHGFDRPIYTNVTYPFTMNPPFVPNDNPTGCYRTVFHIPKEWKGRRILLHFEAADSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSDKKNILAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDQEHVSTLSIEATLYDNYGPPDGLCSDLSAASVANLKRKPASRPKHCYGFHGYVLGGKIENPKLWSSEHPNLYTLVIVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHPRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIIWSLGNESSYGPNHSAMSGWIRGRDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILNIAKEPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDMDGSKFWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMVDNTLKIENVHFFETTEALDFSWLLHGDGCDLGSGSLNVPSLAPQSTHLINMESSPWFTLWNTCALKEIFLSINVKLRYQTQWAKDGHILASAQICLPQKNGFVPHVIALSRSSLVSERAGDTVIISKNNAWEIKVNSISGTIDSWQVNNIELMSKGIYPCFWRAPTDNDNGGSLTKSYASRWREAFLDNISFYSSKFSLKELPDQTVEISTVYYGLPGKLPKPDEAALSDESESVLFRVHMRGRIYDSGDVILDYEVNPKNDLPPLPRVGVVFNADKSLSHAKWYGRGPFECYPDRKAAAHVGVYESSVDDLHVPYIVPGECGGRADVRWVALQNADGFGLFASASGEPPPMQMSASYYGTAELDRATHNHKLVKGDDIELHLDHKHMGLGGDDSWSPCVHEQYLLQPARYAFSVRLCPLLPSSSCNDIYHSQLPC >OB01G53270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32897527:32897715:-1 gene:OB01G53270 transcript:OB01G53270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKKTTQDSNLVRKMRWDHMPPTVSTRLPAATATATAAQRCSQGSGVSGCAGAAGAAEVY >OB01G53280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32897782:32902737:1 gene:OB01G53280 transcript:OB01G53280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family [Source:Projected from Arabidopsis thaliana (AT3G55850) TAIR;Acc:AT3G55850] MSARSAFLAAGVAIAAAAILLLPPASRLSRNLGEVVADMILANATIYTADPSRPFAEAMAVRAGRVLRVGGYDSVKELKGRHTLELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVRSKGDFINRVKEAVRDKHYWQWVFGGGWNNDAWGGDYPTAAWLDDISPDNPVWLSRMDGHMGIANSLAMRMAGIDKNTINPVGGTIIKTTEGEPTGLLVDAAMKLVFDVIPEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGMSEKQVWQDFTDVYEWAHSVDKMIMRVCLFFPMPTWSRVSDLIHERGRMLSQWIHLGGVKTFLDGSLGSSSALFYEHYTDDPRSYGLQLVDMDDLLNTTLELDKSGLQVAIHAIGDKANDMLLDMYDKVVDFNGMKDHRFRIEHAQHLAPGAAQRFGKHGIIASVQPDHILDDAGSAGKKIGIERADRSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIQTAVSRKPVGWEVPWIPAECLSLDDSLKAHTISAAYACFLDHVLGSISEGKYADFVILPCTSWDEFASDIPSQVLATYVNGKQAYP >OB01G53290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32904811:32905489:1 gene:OB01G53290 transcript:OB01G53290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCMHLPATAAVSVKLVYWGGQTRLLADDDGGVCTVAGDVTAELPGDHVVCAADSFYVGLPIPVMSSGEELMAGRTYFVLPAERFASFKVLTAAVLASLSPAPSKKVSIAGPGKCPFEYVKGEGGAALIRVLPEFIEKVISCDDNSGGARRGMSTNKCRGVAAPLTATRELCSTPELKRHYAMLVGRRNQPWSPRLETIAECNKTSKLLRTPARLLSSR >OB01G53300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32905228:32910404:-1 gene:OB01G53300 transcript:OB01G53300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFDDPFASSMSSLEADIFSGGGQLPSPSWPDLDLDDDFHELSAPAANAAMSSGYCSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTMNNPSCKQGLLGSQMSESALSTAPIVSATCIDDMEIMVQISFLSNVAGSILPLSKCIKVLENEGLHLISSSTSSAFGNRTFYSLHLQRSEGTINQECPAFCERLEKVVRNKAQLRARLII >OB01G53310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32913023:32913193:-1 gene:OB01G53310 transcript:OB01G53310.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCSSAHQAATQRNGSGPSLIWASLALISTYILARFAILTPTTKAAISLVKSEHRIY >OB01G53320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32913411:32915558:1 gene:OB01G53320 transcript:OB01G53320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRRLWGEWEIRVLLLSSLSLQVFLLFTGGLRKRNVAAWLHFMLWLAYLLADSIAIYALGNLSQNQKLCSNGSPHGAGDMHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALALYVYWPPPPPAGLLAPAILMFVSGVVKYAERTWALKSASMSSLRSSMLTRPDPGPNYAKSMEEYHSSKEAGLHAEIVIVPERPPDDNINVQEEHMEYGELVVKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRIFTLATPVISLLIFSGTDKASYKPVDVAVSYVLLGGAIFLEIYGILLMVISPWSFADLRKKDKCLPMASRVFRAVKYFLPEGRPRWSNQMAQYNLIHYCLKDKPTWLTRKLEKLEWDYNVRVKTIWDSFWYTHHIDVSMVLKQLIFKKLKEKANSTADPMSYRRVEVEFDESIILWHIATDLIFYDEQQQDDDGDTRADKSKLELWSSCCFCSCSDHAPSANESHLNDVSHLPAASREISNYMLFLLVMRPFMLTASIGQIRFGDTCAETKNFFLRGGEVQTPRQGAAALTKVKTEINPREVKGDRSKSVLFDACRLAEQLRRLERQKRWRLVAGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >OB01G53330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32916780:32917952:-1 gene:OB01G53330 transcript:OB01G53330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRRKRAAAAAAICHASWTSTCTTRAPSTTSASTQPRTCTPSSRSPPARTTRRRSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTAEVVILEPASPVDYARIEFPDLNAVKENDDMAVQYLPFLHLGDARPPEAMEDDYEMATSPREEKTVSSDGSKNASTSTTSAVSDDNRVISSSEATHKTQPDADDATAPMSCRSPETPTSNNSGKSSSAKEKGTAEDMFKSPLRDIDMEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGDNGVVVQKEEKPEADVKQQVKKDGGRVFYGSRAFF >OB01G53340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32921570:32921740:-1 gene:OB01G53340 transcript:OB01G53340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGASRRQNVGNRQRYTHNLELRILRQVIISQKVTLGLCSAFSRLSAVHLRGSIV >OB01G53350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32925284:32927863:-1 gene:OB01G53350 transcript:OB01G53350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIHVAAICGSLRKASYNAGLLRAAAEVCEESVPGLRVEHVDISGLPLLNTDLEIDGGFPPAVEAFRDKVRQADCFLFGSPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVKAFEQPLKFDSDGNLIDTDIQERIKQVLLSLQAFTLRLQKKD >OB01G53360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32936680:32938796:1 gene:OB01G53360 transcript:OB01G53360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTAPEAKAKAAVLRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDISGLPLLNTDLEVDGGFPPAVEAFRAKVRAADCFLFANPEYNYSISGPLKNALDWGSRPPNCWADRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPELFIRAHQPPKKFDSDGNLIDPEIREELKNVLLSLQAFALRLQCKASASEHAAMSSSSDKGD >OB01G53370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32940546:32940989:1 gene:OB01G53370 transcript:OB01G53370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRPPSHVLLLPGRPPGGPRAARLWWTAAASCCHSPLALWQKPMHRRQQCGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGRRRRRWLVVHVDVEVERERAGRLSPMTRHGLSIALLASAGSGTNC >OB01G53380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32944310:32946486:1 gene:OB01G53380 transcript:OB01G53380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASASSSSSPSSPSAEFALLPTTTLPIGKAAAVGGGGDRAAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPLCPQCKHPFEFLSVHRSLDGCIHDYMFEESVCLLLRAAWFEPLIVEPHEEALDEEELYHIYQYGDDDEDDLDEEAYYMSRSPSVRIGNRRWGDNGYVRGGRKEARPVSRPSLNGVDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLRKAPEATPEVEPQLNE >OB01G53390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32957301:32958854:-1 gene:OB01G53390 transcript:OB01G53390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGNPNPSASAFPYLKKPLPMLDTGGGSSGSGAATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAGSGSASSSPATATTAAAASASKKPRLLTSQTTTSHTSTSNATTPRSYDTTSSHQDPSFRESLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVSINGHVFKGFLYDQGVDDGRLAATSNDDSTAGVPNISELHLGGASVSGPGSNAMREGGSSMVPSDLYGGGSGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGR >OB01G53400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32958066:32958393:1 gene:OB01G53400 transcript:OB01G53400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNCGCVLMNVQWDQRRVTLMAGGGVVAAGVVALEVEVCDVVVWDVRRRGFLEAEAAAAVVAVAGEDEAEPEPASCWRSRRRAAGTQVLLTWVEQSKPRLLQHVRQR >OB01G53410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32979693:32981039:-1 gene:OB01G53410 transcript:OB01G53410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRALPLLLVFSSTFYTAATAVSSSTLLLARSRSATPNAGAPLSAWAASVAAQSAADTARVASMLTPAPAPAPVGKGKPAKPPKNKGNSPVPIAPGRKILSIPNYVARAGLGTPAQTLLVAIDPSNDAAWVPCSACAGCVSSPSFSPTQSSTYRTVPCGSPQCAQVPSPSCPAGVGSSCGFNLTYASSTFQAVLGQDSLALENNVVVSYTFGCLRVVTGNSVPPQGLIGFGRGPLSFLSQTKGTYGSVFSYCLPNYRSSNFSGTLKLGPVGQPKKIKTTPLLYNPHRPSLYYVNMVGIRVGSKVVPVPQSALAFNPVTGSGTIIDAGTMFTRLAAPVYAAVRDAFRSRVRTPVAAPLGGFDTCYNVSVSVPTVTFMFAGAVTVTLPEENVMIHSSSGGIACLAMAAAPSDGVNAALNVLASMQQQNQRVLFDVANGRVGFSRELCTA >OB01G53420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32984335:32989154:-1 gene:OB01G53420 transcript:OB01G53420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLCGGGRRRRRLGLVAAVLTLLVVARQSVAASPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGTEWNPTNASDPSSGRVYFGEGAEYVDPDPGHSFQEIRQQIFGSDDASGPAKMDGFVQQARSLGDNMTAAVMNGFSPDSVSVYRELVREFAVFDRWFASVPSSTQPNRLFVHSGTSGGATSNNPELLAKGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGALPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNHTLLLITYDEHGGFFDHVATPVKGVPSPDGIVGPPPYNFTFDRLGVRVPTIAISPWIDKGTVVHGPNGSPTSTSEYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQTRTEPRTDCPEQLPMPTRIRLTEANEEAKLSEFQQELVQLASVLNGDHQLSGLQDMIRDRMNVREGIAYMRGAVKSFFEAGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >OB01G53430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32994614:32995066:-1 gene:OB01G53430 transcript:OB01G53430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAASSPCEPSALFAAFDQDGDGKISAAELRVCMKATLGEEVSGEEAEQLVWSVDADGDGLLCEAEFVRLVQAAEAAEEEEQRSSGLREAFGMYEMEGEGCITPTSLKRMLRRLGSEQDIDDCRAMICRFDLNGDGVLSFDEFKIMMNA >OB01G53440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32997714:32998181:-1 gene:OB01G53440 transcript:OB01G53440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQVSSVFASFDQDGDGKVSATELRLCAKAALGENMSDDADEVQALMASADTDGDGLLDEEEFARLVREMEVDDEEDDGDDKCRCLREAFGMYEMEGRGCITPLSLKLMLSKLGMRLDIAECQSMMCRFDMNGDGVLTFDEFKTMMMARCSDET >OB01G53450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:32999719:33000153:1 gene:OB01G53450 transcript:OB01G53450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEFRRVFTAFDRDADGKISAAELRLCMKAALGEDMSAEEAEALVSSADADGDGLLDEEEFTRLVQLGMDEEERCRGLREAFRMYEMEGEERITPVSLKRMLSKLGSHQDIEECQAMICRFDLNGDGVISFEEFKIMMEA >OB01G53460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33001646:33003153:1 gene:OB01G53460 transcript:OB01G53460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENPEVKKDEDQIQTALVSEKDESQTAEKGQKDQSQAVVKSEKVDTEKLIQFMEERYDKYVANVQSFDDFYHAIVELIEKFCEERGQVQYKIPTRDALEQAYKKHHTAEGQLKREEFIKIGKEVIRRDSFTLGKATVDFITYLFGAPLCALAAKRILPSLGWLSDDVVIPLATSGSVYYLIRTKQL >OB01G53470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33001767:33003098:-1 gene:OB01G53470 transcript:OB01G53470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSESQPRLGRILFAASAHSGAPNSASLVGILWSPNLLDKLNDGVVEIIEGLYIGNIFIIAFFHELNKLLCINLLTLHDSLTLILLALLRCLTLVLLAHQSSLNLIFIFEIEMSLLPFKSLCLINVEMRRLPKKQKAHFFFLLKNEWTFRQATRSRNRQ >OB01G53480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33006111:33014538:1 gene:OB01G53480 transcript:OB01G53480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIATAAVVAMGTGNRVLYKLALVPLRDYPFFLAQFATFGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALAAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEVIFLNAAKKLKGGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFQQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSGVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSFPQAQKTPGNPSAAGIPS >OB01G53490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33014363:33014590:-1 gene:OB01G53490 transcript:OB01G53490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACIYHLLAVQPLHSSIQAVGHIIYSSLLTYHWKHNTTLAHQKDEHRRVEQPVSHRPEEAVQQHQDGQGRHGNVD >OB01G53500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33031091:33031288:-1 gene:OB01G53500 transcript:OB01G53500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMVMLLLSTAAVLLLLLPLLLPPLPPPPSLLLLVPVVLLLALLSLAFLPNRDVYGQQPTDQLF >OB01G53510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33050768:33052249:-1 gene:OB01G53510 transcript:OB01G53510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPDVKSHGGGAEDGGGGKKWPGFVQFFFVLSVVLCVLLYAPRLFVLTSTYGIDFFAQPPPPRTSSRVVGQADNAGVGDVVVLDNQLPSPCSSLPGDTICCDRSDFNTDVCFMAGDVRLDPASLSLLLFGKQPPAPNATLEERVRPYTRKWETFIMGKIEEVRLRMAHPAEEDGHRCDVRHDAPLLVMTAGGYTGNLFHAFSDGFVPAWLTVQHLRRRVVLGVLSYNPWWAGTFSEIISGLTDYHVVDLLHDKRTHCFPGAIVGTRFHGILAVDPTRLRDNKTIIDFHDLLADVYETGEPQQEPAAARRPRLGIVSRRGTRVIENQAAVAQLGRSVGFDVDILETANGLPLSASYAAVSACDVLVGVHGADLTKFLFLRPGAALVQVAPLGVPPIARGCYAEASARMGLHYEQYDAEGHESSLSRRYGLRDAVVSDPEAAKRDKGWGFVARVYLGGQNVTLDVARFRETLSRMHAHALRVRSSHLQGALD >OB01G53520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33059495:33062389:1 gene:OB01G53520 transcript:OB01G53520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMFVLMLIDSISSTLASHLWNPVATRLRYLIEMEENIGKLDNTVKDLEVRKNEIQIRLKNSEGKQETCNPEVTEWLEKVAAMEIEVHDIKNVQKKRKQSFNYWSKYEIGMQAAKKLKEAEMLHEKGAFKQVSYEIPSYFMQEVPTVPSTKGTDCNLREVLQYLKDEKVGIIGIWGMGGIGKTTLLRKINNHFLGVIKENYEFDLVIYVVASTASGIEQLQADIAERIGLFLKPGSSFEIRASFLLSFLRGKKFLLLLDDLWGYLDLSEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKTVFMECLDQEKAWQLFKEKATEEVINSDVRIGRLAKEVAKECGGLPLALATIGRAMSTKRTWHEWTLALSYLKKSRIHEIPNMGNASYIYTRLKLSYDYLQDKQIKECFLCCSLWPEDYSIWKAELIDCWMGMGLIEYDTIEEAYNKGHSIIEYLKNACLLETGYLEDSEVRVHDIIRDMALWISSGCSDQSMNWIVQAGVGIHNIANRDIKKWRSARMISLMCNYISELPQAINCPNLQYLSLQQNFRLKVIPPSLFVSLLSVTYLDLSWVPIEILPEEIGTLVELQYLKLKQTHIKILPLAIGQLTKLKCLHLNYMDFLEKIPYGVFSNLSMLQVLNLYGSRYAGCEEELHSRNHMDHDEFRIEELSCLSRELKALGITVKKVSTLKKLLDIHGIHMRLLGLYKLNGEASLTLTIPESVLVLNIMDCSELKEFSIINKPQRYHDHLVRLEFLTFWDLPRLEKISMGHLQNLRFLNVGKANQLMDLSCILKLVYLEQLDVSCCNKMKQLVHIKNNINMEVRDEMPIQGFQRLRILQLNSLPSLENFCNCRLEFPSLEYFDVFACPKLKKLPLWHEMVKLKCIRGEKMWWDNLKWDNQSISLSLFPFFKASETRLASFRPELDTDVISSPKAFFTKRQPHLRSSVRYTTYLKSIFEDEELISP >OB01G53530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33069558:33075049:1 gene:OB01G53530 transcript:OB01G53530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPQKELASSEAIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERLNHDIVTSHESENGSISTVSSTVSSIESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKASAQAEAAEWRRKYELEMAHKQQSKIKGYGSCTNSELEKLASQLTLEMPASDQSGCCGNHGICSHEVLQDESPGPNPRSSQKMVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVRVLCAEMVRWLKEHKNINVVVEPRVSKELLTEDSYYNFIQTWDDDEEIKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHLIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >OB01G53540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33076448:33079319:1 gene:OB01G53540 transcript:OB01G53540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G28040) TAIR;Acc:AT3G28040] MPRGLDRLAALQSLSLARNNLSGELPPGLSLLKSLRSLDLSYNSFSGALPDDVPMLASLRYLDLTGNAFSGPLPSSFPPTVRFLMLSGNQFSGPLPEGLSKSSFLLHLNLSGNQLSGSPDFTGALWPLSRLRALDLSRNQFSGPVTAGIANLHNLKTIDLSGNRFFGAVPNDIGMCPHLSSVDISSNAFDGQLPDSIGHLSSLVHLSASGNRFSGDVPAWLGDLAALQQLDFSDNALTGRLPESLGKLKDLRYLSLSKNQLSGAIPDAMSGCTKLAELHLRANNLSGSIPDALFDVGLETLDMSSNALSGVLPSGSTKLAETLQWLDLSVNQLTGGIPAEMALFMNLRYLNLSRNDLRTQLPPELGLLRNLTVLDLRSSGLYGTMPTDLCEAGSLAVLQLDGNSLAGPIPDNIGNCSSLYLLSLGHNSLTGPIPVGISELKKLEILRLEYNNLSGEIPQQLGGIESLLAVNISHNRLIGRLPASGVFQSLDASALEGNLGICSPLVTEPCRMDVPKPLVLDPNEYPHGGDGDNNLETNGRGPASPRKRRFLSVSAMVAICAAVFIILGVIVITLLNISARRRSGDSLPEKELESIVSSSTKSSKLATGKMVTFGPGNSLRSEDFVGGADALLSKATEIGRGALGTVYRASVGEGRVVAIKKLATASIVQSRDDFDREVRILGKARHPNLLPLKGYYWTPQLQLLISDYAPHGSVEARLHHGALPPLTWPERFRVVAGVARALAHLHQSFRPPMIHYNVKPSNILLDEQCNPMVADFGLARLLPKLDKHMISSRFQGGMGYVAPELACQSLRINEKCDIYGFGVLVLELVTGRRAVEYSDDDVVILIDQVRVLLDHGGNNVLECVDPALGEFPEEEVLPVLKLGMVCTSQIPSNRPSMVEVVQILQVIKAPVAASPSATRMEAFV >OB01G53550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33082900:33087556:1 gene:OB01G53550 transcript:OB01G53550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPMAASPSSSLSSSSSSSWSSLHHLRLLQLPNHASSRLSVLPFPRELPLSLPLRLRIPRPLLPALPLALARGEGGGGGGDNDDDDGNNGGGGGGDGDGDGDDGNAPDNRKAALFVLAQMGRKLETLPSDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFIKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIANFFHNCPDNAFQIALAGRSFSLLQRLGAILRNGAKLFAVGTSASLIGTGVTNALIKARKAVDKEFDDEAEDIPIVSTSVAYGIYMAVSSNLRYQILAGVIEQRMLEPLLHNHKILLSALCFAVRTGNTFLGSLLWVDYARWIGVQKVQEES >OB01G53560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33089509:33091158:1 gene:OB01G53560 transcript:OB01G53560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHARSVDPTLPAASSPEGDAGAPPLQPQAPAAGRPPSMARAPAGATHPAPVNWRIPRGAGIARGGGAPFPATASLTSKILSAAMLPPPDGCIGRLESVAIPPGMCNPMVVFLGPLFSFMEQRQGMEATKLTYVHNLIRRAHLNEDIPQKVDAYLRLIDTREPEIRQRYDPSECWVTPEQLVEMMVLDGLFIIEVLIDHWLGKINKASASPEVKAEKVVIHRETPENDEPDSQSPLLPTMVPQALREDFTPLKVRWEPHALRYDLVVVPNQIPFFVLEELFKMTEVPELGEGQKQPAKLREIVLDYLVGNGGGGVLADYEGPVYHILHLVHLHLTFSKEETRVSALQTGPPVPRPTLLDRTLDKLKKKRMDLHASCKRTFSRSSILPVGWKEWKLIPPLRELVRVGVKLKRVETYRFAQVKFNNGKLDIPAFAWRPYHIRLLTNLVGLEMSGWWPRQNRFFCSYVMFLSELLMKKEDAELLFKMGIVQGSNISGDFEKTLICPILMLADVSHGSKYERCFSHLIDDMNDCYQRWSKVGALRTTQQQGS >OB01G53570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33095679:33096983:-1 gene:OB01G53570 transcript:OB01G53570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGNNGYRDPHLDKVLTTMNSTTIEKLNRNFIGDGKPLIQKVPAFLRSIEKADRLFDPDIVAIGPIHHGNDNLKDMEEIKKMAAMEFCCSSLKFSSFYHSVRKVAAHARACYAHDLSGVGDDEFTDMMFYDGCFLLQFMTMVAQDRGPPAHWKVPRLSENMVRRISRDVLLVENQIPWVVLESLISLKHVHVDRYIAAAISDFDVQWAKPQVDFEGADKYQPFHLLDLVRHRQLGPLASQEVDEAPRPMLNICSAMELAEVGIQLTASKTARFADIGVGKSLLMGKLWLPPVFLGELTMCWLTNMAAFEMLQGGTSDYGVSSYVQVMAVLMNCADDVRELRTKRILYPVLSDQQTLDFFKSICRYLPYGQQYKRVLQQLSDYHHHRPVRVTLHKFVYTNFKYILTAGSAFGFLIPILKAILSLKQSAPPHAF >OB01G53580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33103321:33104043:-1 gene:OB01G53580 transcript:OB01G53580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIKGHPLHPKCPLVINKSGKRFVCNACKVAGSEMYIYSCAGGCDFNLHGQCATCPEKLSFWGHPLHELVLRRTSPDAGKGLLEARPDIHAMITSTMPKGVLPVGCEMCMCGIEGMHYACPASCGLLLHPVCAMLPKLVRSVAHEEHEMALFCSAPTMCVACRMPAIWAYRCLPCLAFYHLNCLPENDGMFVSPYKGCPPNPIFVPPPMMPIVSAGARAIFNEANPFGVIHSYRNRGL >OB01G53590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33108647:33108991:1 gene:OB01G53590 transcript:OB01G53590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRCPPHRRSLPSTPLLARCFREKKRGEEEEKGRKRRKKIRCD >OB01G53600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33112751:33113614:1 gene:OB01G53600 transcript:OB01G53600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIEGHRLHASCTLVLNGNGGAFTCAACKRGSSQAYRYSCDGCRFDFHGQCVTSPDSISFWGHPHHPLKLVRSGPDLAGIIKSGRGRPDLAEALMASSPAADGRIPVYCVICTNEIEGMHYQCTPCGVFLHPVCVQLPATVPSSGAHPEHELTLVCSFPTMCRACGAPCIWAYRCCLCLINLHANCIPESHYLLGCPYDTKDEPNGIPNRMRQFGKVIPPSMKPPPPLKALVNQDNPLVPVAPPGFVDPFAMPRRGPSTTPSICPDPWGGAFPFSGEYGRSTFTQ >OB01G53610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33118292:33120575:1 gene:OB01G53610 transcript:OB01G53610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMVDTAAFYTLLCGPLLFVTLVVKLKKATPPRQNGGVNLPPGPWGLPVIGSIHCLLGSLPHHVTMRNLALRYGPVMLLRLGHVQTLVLSSPEAAREAMKTHDVAFATRAVTPTASILTYGARDIVFAPFGKHQRELRKLCTLELLSPKRVSSFRHVREEEAAGLVRSVAAAAAASSSPAAVNVSELVKITANNIIMRVMIGDRCPQQEEYLEALDKAMDLLAGFNLVDLFPGSRLARLLGGRTLRATKRVHEKFHRISDTIIQGHGNKCDYDVGTRHKCEDTLDVLLRFQRDGGLGITLTKEIVSAVLFDLFAAGSETTSTTVIWAMSELMRNPHVMERAQSEIRRVLDGKTKVCEVDIEDQLHYLHLVIRETLRLHPPVPFVIPRLCSKPNSKITGYDIPLGTVVLVNVSAIGRDEKIWKDAYEFRPERFKDDIVDFSGTDFRFIPGGAGRRMCPGLTFGVSNIEIVLASLLYHFDWKLPGETGGCELDMSETQGVTARRRTDLLLKATRVYV >OB01G53620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33121832:33133450:1 gene:OB01G53620 transcript:OB01G53620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRAKVRRCAQESVEKLFAHLKDCGCGKKVSNAAMGMFENHISSVKSNANLNSVASEGKEMEAANMLGAMVVLAPFLSKKAMKTVFSEVCQLLSPCFNPLTRHVLKLMETLLDHLKAEDVESDLVDLIPLLLAYLHYDEKKPDDTIVAALKLMKNCLVKLVGRPNLWMEALPSAFEAVSGYLIQDRKCSEDIAGLLQDCIDSHIDRNIILTGSQLCKRDYESLSDVAAVKSICSSVNNMLCACASPPNTILKTALVLFLKLGESSYVFMKHIILTLSQIAMKIDNDPEQKNVEECIGAALIALGPDKILSLIQIVFDEDRLTCSNTWLLPILEKYIHGASVQQFLECIAPLAESLQKASNRVKSARKCKDLKSWSDQLWNLLPAFCRYPVDLCQNFGSLSKLLLEMLKCERYLYKPAVKALQQLVDGTRRLSSSDQNREIYVDLSTLFSSNIINLNCPCFERSSKKDARKNMKVLVSHSVDLLSTITDYFLDSSPEKRSDLKDALRCLAQLSGSTDICNLFLSLVKKFGLEDNQLEQENTECQTNEVDNKDEEGTDMDEEKDKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNKKPCEGKALFALSIILKEHSEYSLARLDEIMMLLHGIKAEDNEVLEGQLLCYQYLLFHMIKVNEESTSKKAFLILNELIVALKSKKVSRKLAYDVLLAISNSLRSCDSNSEDSDLQRLFTMVMGYLSSPSPHIVSGAIAALSLLIYNDANFCLEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLDLQEDIMSGILPWSSVTKHHFKGKVVLILEILIRKCGLDAINLVTPEKYKSFVTTIEEGRKGNHNPADGPESEEQHATKRRKRSDSNVESGQEETHTRPPSKSLPVGKKEFFIKGAKNARSPGVKSQRSRPSGRNGDGTNFKSKSEAQPRNEQSTKGDKSHGYNKRTRTGKFDKTQNRGGKASARPSRFKKPKTAATT >OB01G53630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33134045:33137348:1 gene:OB01G53630 transcript:OB01G53630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMARETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGSNQGGYNPNRSGQGGGYGNGPYPQQGRGPPPYPGGGMGGAGGPRGGGGSGYGVGGPNYQQGGPYGASGPGRGPNYQGGSRNQQQYGGNWQ >OB01G53640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33138100:33140804:-1 gene:OB01G53640 transcript:OB01G53640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor protein, chloroplast (FTSY) [Source:Projected from Arabidopsis thaliana (AT2G45770) TAIR;Acc:AT2G45770] MAAHSHVIPFLSPATASASASVRCSRHGRRARXXXXXXPRGAGGGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNPELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEIWAERTGSEIVIDNDKKAKPASVLSQAVKRGKREGFDLVLCDTSGRLHTNYGLMEELVSCKKIIAKALPGAPNEILLVLDGTTGLNMLQQAKEFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >OB01G53650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33142922:33143179:1 gene:OB01G53650 transcript:OB01G53650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFNSRDTSMRTLERVNEEACKKACLGDCACMAAQFVYGFDPNDGYCYLQSEVLSLETMRPEIFHYNSTMHIKIAQGKSPRRLF >OB01G53660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33144200:33146216:1 gene:OB01G53660 transcript:OB01G53660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT2G28480) TAIR;Acc:AT2G28480] MASRFLSRQSLRKLASFSLLNLSPRAPTSPSPQALRPAVAPSGKCLNPFYLFSPPWMVRWASHSSVHLVLSDDGKPKFEIEEVEPSRKRGYLTKKRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLRYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPINVIGNDTIVFYRGRNYVQPDVMSPVDTLSKKKALEKSKYEQSLETVRRFIAISEKELELYYRHVALYGNPKSHNVDPVCCDDRTASSLKTEDLSQGHDDVSHMGTNGFSDITDASEPDEEDNYSDYDDNDDETGDAVGTILYDHGGFKPSR >OB01G53670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33150670:33157887:1 gene:OB01G53670 transcript:OB01G53670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYGGHMRAMKSIIQTTGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLNYYQAYAGLGAQYQMFAGGAGAAGLTMANPTGGGLYSPYFQYGPAASAAAAAAGDAGMQYPQMYQYAAAAVGAPTATTASLTAVAGLPQYGGTVALAPNSTGQAAGMTMSLTAPTLPAPAPQYQYRLISSQVAAAPEKPLA >OB01G53680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33157839:33159930:-1 gene:OB01G53680 transcript:OB01G53680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVVGTLVLLSSSAAAAARSVPAALFVFGDSLVDDGNNNALSCLAKANFFPYGVDFPGGGGAAAGRFCNGKTFVDALCDLLGLQYVPPFATTTTTTGLNGTSLLAGVNYASAAGGILDETGQHLGERFSLSEQVLNMESNLETIRSLMEDRIQGGYDMYLARSIVVMVLGSNDYINNYLLTSLYDSGYTYSPEDYADLLINHYTRQILALYSVGLRKFLLAGVGPLGCIPRLRASGLGPLGRCVDQVNQMVGFFNQGLRSLVGELNADHPDAIFLYGNTYYAIYDMLNNPYKYGISYYNFKCGSGWWTVGAAWRVRTARARRTRSRARAASSTCSGTPTTRRRPSTWSSPRAPSTARRIMFTRSIYNSSPIS >OB01G53690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33162437:33167674:1 gene:OB01G53690 transcript:OB01G53690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKMARKEAKLQSVAESAIRSIGLGYDIATDIRLKYCKQRSLPDPLLIELEHDKVQDIVLPGNLTVAGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGLFNAMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAQIILRDHVKQAVPSTWEPAALARFIRKFGTHIVVGVKIGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDRRFLDANGNSDISLADSYAKDKVDTREQRLRFVESSPLNSYASNEDLVMIPKRRGGRDKDIISHSDWLSTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPRVEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKKPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLTDDTCNNQTPEADIRRYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSQGFKKILFLRLHFSKVCNATSVKNPEWDNSPNLGQKSGLISTLISTHFSTAAQKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMMRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVMLPDDEFSPDDEF >OB01G53700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33167534:33167959:-1 gene:OB01G53700 transcript:OB01G53700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITVVVCLITWQSHMFTLNFYVVCCVCLLYSKRQTTPVNPFYIKESLISTRSFFFCISPSMDEFFFSKNFEKSSHLTLSKSIPGNIQAAKGWYNKFSRSIQQFTSHNVHNRDLRLVHLQMLRKSYILNCVLNVSCTQNK >OB01G53710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33166534:33171235:-1 gene:OB01G53710 transcript:OB01G53710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11620) TAIR;Acc:AT3G11620] MEEARLAPFRREQATARTRIVSRFVTDFVEIRAKEPSIHVLLIPGNPGIVAFYKDFVEELYENLGGKASITAIGHISHSKKDAERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFNRLQKKVKFCVGLYPFLTLNKNSIKQSAIGYIARSSFLCKGVSSFVSFIGSFQASVTRSIVRRLLGPSWSVTAVEATCSHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGAALSVETEGHTHGYCCTEAGSFWVADYVANLIKTQFLTRN >OB01G53720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33172911:33178464:1 gene:OB01G53720 transcript:OB01G53720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENEPKPCAAVKGTQVMVENLFYNMIARRKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSSSTSSRLDAIRNVYGASVVRDLMEIKISDEDAADAIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIKDAIEEKLMNSNTTRIFQTQALNLSGIAQTNTQKDKASDVIMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHAQSSNLEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLVEIDSSFHPGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALSRFGNFNAIQLSEPPPLRELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMVNEYFSIHIDHDGKLTRLPVVLDQYTPDMDRLPEFMLTLGNDVTWDDEKECFRTVAAAIGNFYALHPPILPNPSGNGIHLYKKNRDRMADEHAESALTSDENDFDQELLAEAEAAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLDKLYKIFERC >OB01G53730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33184012:33184606:-1 gene:OB01G53730 transcript:OB01G53730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHNKNDDKLAAGDHRKEEKHHKHMGQLAKLGAVAAGAYAMHEKHKAKKEPENARSHRVKEEIAATIAAGSVGLAIHEHHKKKEAKKHGQH >OB01G53740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33185562:33185885:-1 gene:OB01G53740 transcript:OB01G53740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDMYHGVCSGGGGAELGELLHVLVVLLLLAVVAGGGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGHLGRRRRRLLLVLVVAKHLVFVSWCGDNQSIL >OB01G53750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33185607:33186385:1 gene:OB01G53750 transcript:OB01G53750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEEQAAAAPAKVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPAPPPPAGDYRKEEKHHKHMEQLAKLGAAAAGAYAMHEKKAAKKDPEHARSHKMKEGIAAAVAVGSAGLAFHEHHEKKEAKKHRRHAHHH >OB01G53760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33186915:33189461:1 gene:OB01G53760 transcript:OB01G53760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSFPSLDAFYLHLLRGCTSLRHAATVHAHVARAHPAASLFLRNSLLSAYRRLGGPLPARRLLDEMPRRNAVSFNLLIDAYAREGLVSLSLETLARARRAGVGVDRFSYAAALAACSRTGGLRSGKAVHALAVLDGLSCGVFVSNSLISMYARCGDMAEARRVFDIAEERDDVSWNSLVSGYVRAGARDEMVSVFAMMRRCGMGLNSFALGSVIKCCSGHGDGAMDVAEAVHGCVVKSGLDSELFLVSAMIDMYAKKGALMEAVALFRSVQESNVVVFNAMIAGFCRTETVIGKEVASEALTLYSEVQSCGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVLKHCFQGDDFIGSALIDLYFNFGCIEDGFRCFRSIPEHDIVTWTTMISGCVQNELFEEALNLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCMHMYARSGDVDAATRRFQEMESHDVVSWSALISSHAQHGCARDALHFFNEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYEIMNKEYGLTPTIRHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHGDLERGQLVATRLMELEPASSASYVILYNMYLDAGELSLASKTRDMMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLADMLSRIEKLTNTGTEIPRREQNLMNCHSEKLAVALGIIHLPQSAPIKVMKNLRVCRDCHLTMKLISKSENREIILRDPIRFHHFRDGSCSCTDYW >OB01G53770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33194458:33194712:1 gene:OB01G53770 transcript:OB01G53770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFCCMSSRAEVVVPKKPRGDSDDDDCSKQQQRQVVKQQVGGKEEEEGKTMAAAAAAKKKRSNLDGAAVVTPHFPFHSRPGLL >OB01G53780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33196713:33203558:-1 gene:OB01G53780 transcript:OB01G53780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:J3L822] MQFKDKFIACLSLGERTYRTEKSDNLTMLIYLQEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEVLSLLDPSSSATIVGSISISCYIEDPVETEQSFARRVLAIVDYNEDGKLSLSEFSDLMKAFGNKLAVAKIEELFRQADTNGDGIVDMDELAALLADQQEREPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMNSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFIRQLKPGARPIASYEEDTIATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGNDLHSNAFCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSITFLKEKGDHVRKGDEFGYFSFGGSTVICVFEKDAIKFDVDLLANSARSLETLVSVGMTLGVSTRGRDLQPPELEKCSLE >OB01G53790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33206502:33208629:1 gene:OB01G53790 transcript:OB01G53790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSPRALFSVTPQTPSSSRDTAFYLDEHYLSVGHPPFYKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >OB01G53800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33207116:33207773:-1 gene:OB01G53800 transcript:OB01G53800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >OB01G53810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33212306:33213360:1 gene:OB01G53810 transcript:OB01G53810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYECPWQFDLVLSSVEQTSKLLFADVVRSAIGFTIFSVVLLTWAATFIIGGERLFGPGWNDLVMYNVADKLGISGFMG >OB01G53820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33225965:33228501:1 gene:OB01G53820 transcript:OB01G53820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMLEHYKFPCVIPADLSSRVMDPLALSVPSSSCLPRPHRKSRSLSRKASTSSNPSVAGRRNIDGNLSSTLERLCAWEKKLYQEIKDEEKLRIEYEKRYRRLRSLDEGGSESSTINSTRLSVRNLQSRITINIRTANAFSSKIQKIRDEELYPQLVDLILGLRRMWKSVLLCHEKQLSAIQDSKMHLVKAVTISQSNFAAVATVELERELTKWYRCFNKWISSQRSFVELLNGWLRKWLPEVPEENTPDGAPPFSPGRLGAPPVFVVSNDWLQAIDRVPKNEVLKTIDQFSKLVHEFKKSQENENRQKRKADHASRDYNRRREVLQREFGLGTGLDMVAVMENTDHSHDNRVMELDKMRKKRDEEKTKHEEIVKQAHLAASATLPVGLVPVLDQIVSFSQGNVQMYKGIRVQGAQAH >OB01G53830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33228646:33235018:-1 gene:OB01G53830 transcript:OB01G53830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-inhibited division family A protein [Source:Projected from Arabidopsis thaliana (AT2G13440) TAIR;Acc:AT2G13440] MLLRIRPPPQPSSLASFLPFSPFRRFLHSPSWRSPPPPPPRRRRRLLSTAVASSKDPTSLQERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTENLFIREAMATEVLIGQNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDKTPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTIPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLARDIGLINDRRWDLYQSKQSRIKEEKKRLKSTKIPGGEFSAAVTAVSNQPVKESSTLEAILKKPHVQYKLLDEYGFGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYQSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSMLRSASAKADDSSDEVVHASATNA >OB01G53840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33235770:33239198:-1 gene:OB01G53840 transcript:OB01G53840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine (Calcium-dependent phospholipid-binding protein) family [Source:Projected from Arabidopsis thaliana (AT5G63970) TAIR;Acc:AT5G63970] MWGERTHHKHLHQAHASSGTSKEKFQARGQPKFIPDNYSSVDEVTSALREAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISGAPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDNRSCCGFEEVLQRYRQIVPHLNLSGPTSFAPLIYAAISIVKNSNWQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTDIMSTSKDTPKKEAAFALAALMEIPSQYKATQGLRPPEKHAGHAASYLRILPPPNKVLEHDSAAASRPPTASSQSTGFGKNLTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRVRLYS >OB01G53850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33242392:33244084:-1 gene:OB01G53850 transcript:OB01G53850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLANLIVMGGTVLGRAAVQAYRQAIVNANKTGAAQEVVNGIRRGSKAMTEQEARQILGISEQSTWEEIIQKYDTMFERNAKNGSFYLQSKVHRAKECLETVYQKPDMPS >OB01G53860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33245005:33247763:1 gene:OB01G53860 transcript:OB01G53860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLAQLLASLRLREPLRCRVISVYGYINYHVYNDHNFYMIGYLDKHYNKSSSTTSVKSVCVIAIVHHSPAMTTGGNRGERQVTIYKGTQSPREWSPFHGDVFVEYSSVVLAELGDDVPALDLTEDVAGTTAAVAQPEEEEEASARSGDGAAASSSSSGGPGNQPPTAADLAQLFFGWLGDKLGRKSVYGMTLVMMVICSVASGLSFSNTPTSVMATLCYFRFWLGFGIGDDYPLSATIMSEYANKKTRGAFVAEALLSKCRYYRCTRNV >OB01G53870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33257944:33259280:-1 gene:OB01G53870 transcript:OB01G53870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFIAAGPYLSTGLVNAVRRATMWLPDDLNQSRLDSVYWTLADVNFGYFLVCATTKSHSGSQPGGGSRPLTWGRDSHSGPPGVGPPPRAPDPGKGPVDCPGSKAEARALTRAGSTASSKNMTSPLAAGAARRVSRCPPVAGDHRTVPPRPGSVSAARGTASDG >OB01G53880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33262267:33264654:1 gene:OB01G53880 transcript:OB01G53880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSRLTWVHTSVGYGLGRSSKKREDTTRITSASMMNRYHRRQERSQEYEQRTDYDDHWDCPFFQYCWERRIRLPSRKDCPEPRRVAGTQPTLTPQETKNATEETSATSPCTIGWARGKKNTTTKSSGSTVEDEEQHQPMKPEQWCLTEYRADWSDDEAQEATAQLVLPPQQVVFEKPAEKDHRKLGKTPEDLVKTNMVLKDFGGNSSEAKGCLNVELTVKSKTLATTFFVIDGKGSYTLLLGRDWIHANCGILSTMHQNLIQWHGDQVEVIAADRSVNVSTTDLPTWESEGYECISRKPWSGEFLCVSDTGIQPIVLGTVFIVLVLYALLWAKRKELKAGAATAAAQTKEQRDGEILNTEKLQGYSELHNCGLAHLKSSAIRCAVGLGIPSAIYHRGGMATISDVITETGLHTAKLPYLRRLMRALTVFGIFGESSTTSSRAGESQTLYTLSPASRLLVQEEGDSTSCDMSALLLLFTRPHTTVSTFFNLEAWFRDPAAGTTFEMAHGMSPWSLTEIDASYNDAMNHACVADSNLVMDVALKEARSIFHKLNSLIDVGGGHGAAAVAIARVFSHITCSV >OB01G53890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33265041:33265771:1 gene:OB01G53890 transcript:OB01G53890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRTHILPPANREPPPPLSRPPKPPSFPSLFQPRCAYAVALLRKRRLLSSSCVASHSSPRSGDRVLVVLGLYAFLWGKGKELKLAAAAEEQRGGDVLANYPT >OB01G53900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33269107:33269775:1 gene:OB01G53900 transcript:OB01G53900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIDFGQHFVPNMRHCGTRNTYIYVQINVYTRNTFTKDTNTCRDLSRAVVSLCLCLRVAIVAVIVVVFVRGGAHEEVAEVDGGEQGERPVHAVQPRLVQVVGQPPRRPPHRVHQRRAQVRPRGDEQRRHRRRRAAQRRRELPVEQRRVPRQVQRLLRAGQRQLRAEPERRHRYDGYGGGGADPPPLDERGDGHAGDVEGVAEPDAAERGDDELAVPERRG >OB01G53910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33269248:33270057:-1 gene:OB01G53910 transcript:OB01G53910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGETREEDGSVAKPRLCTVQQVEDLKSLLRVLPLWSSGILVGVTVNAQVSLTVLQALTMDRAVGPRFPFPAASITVTVLAAFALSAALFDRLAAPLWHGKLVVTPLRRVGLGHALNVASMAVAALVERRRIRAAAAVTVVPMSALWLGPQLALTGAEEALHLPGNTALFYGEFPAALRSTATAMPPLFIAAGAYLSTALVDAVRRATRWLPDDLNQSRLDSVYWTLALLAAVNFGYFLVCATTYKYNNNYGDDGDAKAQTQRDDGS >OB01G53920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33270668:33271689:-1 gene:OB01G53920 transcript:OB01G53920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDHENQLQASVTKKGGWITLPFLAGSVVGLGMAMSATSSNLIVYLLHKYNVSAIDAAQISNVVRGCMQLAPVAGAAVSDAYFGCYPVAVAGVAFSLLSFVLFTLTAT >OB01G53930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33276184:33276426:1 gene:OB01G53930 transcript:OB01G53930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVVPIVMQVVLRRSISRLQEVFAMAMELGTAILVAVRFSGVVFPRPPPSSPATSATSTTYYYSPAAASMIGMSRLDRH >OB01G53940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33281744:33286481:1 gene:OB01G53940 transcript:OB01G53940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARRHQPTSLRRAPLSPEPNGKAASSRPPASPIQPSAERTVKKLRLTRALTLPEATAVSEACRRMAVKRVDAALLTDANGMLSGILTAEDISGRVIAEGLRPEETNVAKVMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHAFIENLRDQLFKPSLSTIITENSSVPVVSPSDPVTVAAKKMREYRANSVVVMTGNMLLGIFTSKDLVLRVLAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKFLHIPVTDKNGQIIACLDALQLTHAAISMVEGTSEANNMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIHPHFGNSFSFKLQDRKGRMHRFSCVSESLDELISAVSYRLGMENDKARINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNAEHTTSLVDTSPAQKGRPFLRLGIAAGAVALAGVGVIFYLKRSEL >OB01G53950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33287031:33287258:1 gene:OB01G53950 transcript:OB01G53950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPKEHSVVFFSLCFWLLWTHRNGVIFDGKAPSLYQLFLTGLDSTTVWAQRLPKDEIQPNVLVWNLIFREACRLLE >OB01G53960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33287563:33288113:-1 gene:OB01G53960 transcript:OB01G53960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRSAVGSSKQKDDEEEEQDQLWGSRVEISFPEFHRYSEQLLDNVSKLCYPELDEIIEHLARYRIRFYVYSFSPLMFAAESGDELKDPTYYSLDEFREERYFVRYEKDKSLGQYIHPDHIRLAGLSDYHKLVLISVN >OB01G53970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33292315:33292521:-1 gene:OB01G53970 transcript:OB01G53970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKRDKRRLHEGSATTPRWSSLEQPGRKDAMAQEEAMTRFCSPQPTVLQPPTKQSILVFCHWNKFI >OB01G53980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33293641:33295068:1 gene:OB01G53980 transcript:OB01G53980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGCLVAILARSCWHLAVAAVKLPATLCCDVMLSTVAFLTFPLRLLAAVDRERKLDRLVKEMQRQMETLVWENRELEDRLSMAVKESKAMEEILDEMEEEHDDAIARITLLESQLKAVKLENMRLNEHKGKSMWDKKPPAAVVVHGSGGGDGKNLPTASKPDARPSNTKDQEDDRSKIAYMYLLKEILPTTDELKSNMHKI >OB01G53990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33292025:33299274:-1 gene:OB01G53990 transcript:OB01G53990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence associated gene 18 [Source:Projected from Arabidopsis thaliana (AT1G71190) TAIR;Acc:AT1G71190] MDVRRRQRAAMDMVRRRKKWVAWAAALTIFVVLMLVTPAIPQNEDYHDFADQRRLFLGIPNTLNVISNIPFFFVGVVGLILCHYKNFFRLNSQGELWSWTLFFAGVTAVAFGSSYYHLNPNDATLVWDRLPMTIAFTSVMAIFIIERVDDRAGTKSLAPLVIAGALSILYWRFYDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYKWTHGIVSGHTLKHLAAAMVPVFLAVMLAKRTIEPERVSLFQKWKVKLITVRESRFNQDRNTVNYNYTAVSTTTSEAEQ >OB01G54000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33300495:33301090:1 gene:OB01G54000 transcript:OB01G54000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:J3L844] MSTFSGDEVAPFFGFLGAAAAALVFSCMGAAYGTARSGVGVASMGVRRPELVMKSIVPVVMAGVLGIYGLIIAVIVSTGINPMAQPYLLFDGYAHLAAGLSTGLCSLAAGLAIGVVGDAGVRANAQQPKLFVSMILILIFAEALALYGLIVGIILASRAGQSRNN >OB01G54010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33301530:33303784:-1 gene:OB01G54010 transcript:OB01G54010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-like, Ufm1 (InterPro:IPR005375); Has 244 Blast hits to 244 proteins in 106 species: Archae - 0; Bacteria - 0; Metazoa - 149; Fungi - 0; Plants - 47; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G77710) TAIR;Acc:AT1G77710] MAAAGSGAGAAGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALGTSF >OB01G54020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33304892:33307649:-1 gene:OB01G54020 transcript:OB01G54020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRREKNRSRHNHRKDCIYWTIEWRFNSTDVVLTDHNIDEHASLLSLLEKHLSAGPWKDQLTPYRNTDLRDLRLFIQKSAKESASPYRQLNVEEPLGPQLRSINIVEYPTINVFLPSDSCGFEVEKIVNKLPANGKPPSSSTDSPDLEGTEFHEEEIEEGELASETQVIDLKDCGTSHTSSLASGKDTSESRVDIKTDSSKVSYVSSHGQQKVLNEQSKMAPNTTSGTSKTDICMKFRLADMEESGDGGLSLERQDIDSKNQAASRPDNLTPVKGTTVSKIDSNTDSLVLSSVSILASDGFNCPQAEHNQQSKLTPNSTPEALKRKSCMKVYPLDTEENLGLFSEVPNLAFEQEIGNAYSDLFGDINPDDFLNFDLEIMDEDELVGIPSPLKLWEDLEEGEIPTASSL >OB01G54030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33308618:33311413:-1 gene:OB01G54030 transcript:OB01G54030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGGGASSME >OB01G54040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33309633:33310505:1 gene:OB01G54040 transcript:OB01G54040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQLEHRPSRHQNHLEHHAGLPCNHPCHQTWACHPRSENKCSPGAVTRRARAEWARRGLGRLLEGVRHNLRWQVEVAAEVLDAIIGQVPVVVLPREGLPHVLL >OB01G54050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33313684:33315732:1 gene:OB01G54050 transcript:OB01G54050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRTAMAAATLLVLGASLCLLVSGGVPAAAAGGGGEKKKKFAPAVGEGAVVRVLQEELPAGGVRGAGVPEEGRRQGRRPRRRAHPAPLPRLLRQRLRRLDPPDEDARRAGQRAGAAAQRDAPAVGAQGRRRHPRPAGEGVRPRRLLLRHPHHRRPRLRQTGRRAGVQGAAWAARRSDVRDEGAGAGRAPAAELQGPRAPLLPGQAQPRRRRPHRALRRPHRRPRPLHLLRRPPLPAGGRHHGQVVRRPPQAHLPRQEHHQHHRQRHPHAQHLRQQVLRRPAQPAGPLHLRPGPLRQRHHQAARHQVRRRPVSLLRPVRLLRRQDGPDPGAHRLAGTGPRQLLRPQPRRPPVVRRRGDRRRRGGEPRAL >OB01G54060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33321441:33322489:1 gene:OB01G54060 transcript:OB01G54060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L850] MASSRTTACLLLLAAALVCSSVGAAETMPPTAKGLSLGYYDKRCPQAESIVFNFLQEAIAKDVGLAAALLRLHVHDCFVHGCDASILLDVDGATPADEKSKEEEPHRHSLTPRPSAFKAIDDLRDLLDAACGGTIVSCSDIVTLAARDSVRLAGGPSYPVPLGRRDGLNSASEKAVLDALPPPSSNITELLSFLGKLNLDENDLVVLSNVGIAHCPLFGNRLYPKQDEPTMNKWFGGQLKLVRLKNDADNSAAPEQDLYSDARTKPLVTKFAGDQSAFFEQFVISMWKMGAEN >OB01G54070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33324274:33327108:-1 gene:OB01G54070 transcript:OB01G54070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRQLAFETSEAAPPVSITNGCDGSVLLERTATEKSELDAPPNETIRPSALMAVPLGRRDGVRIATRENVVAAFPPPSSNVTTLLAAVAKINLDVNDLVALSGAHTLGVSRCISFDNRLFPERDPSMDKWFAGQLRLSCPAKNTTNTTAIDVRTPNAFDNKYYVDLLNRQGLLTSDQELFSDGRTRGLVGRFAVDQAAFFRRFAFSMVKMAQIQVLTGEQGEIRRNCSVRNTGSGT >OB01G54080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33336663:33337739:1 gene:OB01G54080 transcript:OB01G54080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L852] MASRAAGALLLAAALLVCSSSSSSAARMPPIAKGLSLGYYDERCPQAEAIVFSFLQEAIAKDVGLAAALLRLHFHDCFVQGCDASILLDGTPAGGKSEKQAPPNLTLRSSAFKAVDDLRALLDRECGGTVLSCPGLVPLAARDSVHLAGGPWYQVPLGRLDGLKFASQEAVLDALPPPSSNVTELLQALGKLKLDDNDLVALSGGHTVGIAHCPSFGDRLYPKQDETMDKWFAGQLKLTCPKKDTDNSTANDIRTPDVFDNKYYVDLMNRQGLFTSDQDLYSDARTKPIVTKFAVDQSAFFDQFAFSMVKMGQIEVLTGKQQGQIRKRCSVPNAAVAAGDGAWSVVETVVDAAESLVL >OB01G54090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33337149:33342201:-1 gene:OB01G54090 transcript:OB01G54090.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLEDEAVCSLEDGQREVAGADGAGVAGGAVPADLTLRAGEHADLPHLHHGDGELVEERLLVGGELGDDGLGAGVGEEVLVRREQPLPVHQVDVVLVVEHVRRADVVRRAVVGVLLGARQLQLPGEPLVHRLVLLRVQPVAERRAVRDADGVPAGERHQVVVVELELAERLEELGDVGARRRERVEDGLLRREL >OB01G54100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33340520:33342198:1 gene:OB01G54100 transcript:OB01G54100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L854] MASATMVMVVAVACLCAPAAMASAGDGDGDGDVKQQQQQPPVVSGLSFDFYRKSCPKAESVVRKFVKDAVRKDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPSAFKAVNDIRDRLDKACGAAVVSCSDVLALAARDSVVVTGGPEYKVPLGRRDSTKFASQQDVLSGLPPPSAAVPALLDIKLDATDLVALSGGHTVGLAHCSSFEGRLFPRQDPAMNGTFARRLRRTCPAAGTDRRTVNDIRTPNVFDNMYYVNLVNREGLFTSDQDLFSNAGTKPIVAKFAADQKAFFDQFAVSMVKMGQISVLTGSQGQVRRNCSARNPGTVGAGDLPLSILEAADGLVF >OB01G54110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33342331:33345869:-1 gene:OB01G54110 transcript:OB01G54110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDQDPACHVVHRVRHVDWIVDRVHGPAAARHQPKQATRDASDLRHHQTPLARSTSCEFSWIGEGGIPIPPNPGSIRGARRRMSSAQDPFYIVREEIQDSIDKLQTTFHQWEKIPSNTGEHVHLTKELHTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRGVEKGKSNSAFSAHQDMGTSRSNHYTTQDNDDFITSESDRQQLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIVLFVLVFLT >OB01G54120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33346338:33347821:1 gene:OB01G54120 transcript:OB01G54120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3L856] CAAPVVVGLRRAAASSRRGAVLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >OB01G54130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33352780:33355329:1 gene:OB01G54130 transcript:OB01G54130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKMGDVATIRAVLSILQWWGFNVTVIIMNKWIFQKLEFKFPLIVSCVHFICSSIGAYIAIKILKMKPLIEVAPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSIVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPIPAMNACGCGITLIGCTFYGYVRHLISQQVVNPSPRTPRSRMEMLPLVGDKQEKN >OB01G54140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33357731:33359498:1 gene:OB01G54140 transcript:OB01G54140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANIKIVLKVPDEKHLYIFMTCTGREKWKGPSTWSSTTTTTRPTATTYGGSSTKAYGDTGGYHGSKGRWPRPEEVEQHRRWEKEEEEEEEAEADLLGEPKRTAKTKRKAKKIIVTSISMQPST >OB01G54150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33362570:33363683:1 gene:OB01G54150 transcript:OB01G54150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSSTVDECYESSRRGHGGAMRVQSHTEDYYRDGEDRGRRASSMHSQHRGGGYGGYGDQEYYKREEREHKQRERVGEIGALASGAFALYEGNRAKKDPANAQRHRIEQGVAAAAALGAGGYAYYEHREQKQAANEQQYGRMPQPQHGYYYN >OB01G54160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33366907:33367933:1 gene:OB01G54160 transcript:OB01G54160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSNNGGFTLLLCLLLRLGALVVLPSLLPRAAAAADSSWHPNPPARRGHHGGGGNASTPAVYHGLPPRSAPAPSPAPTAGADDMPAGGAPKQAPPHFGFPLQPTFGLAAPPVSPTAGGEGYPFIGSNPTVPLPTGMTDSSTVLPMPDRGDANDKVVGRAAAAVRAQVAMIGLVVAISIMFLSGS >OB01G54170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33371416:33374489:-1 gene:OB01G54170 transcript:OB01G54170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKAPGGESPSGGGGVEQQQAVVLANATDVSHFGFFQRPPAREFILFVARTVALRTPAGQRQSVQHEEYKVHCYNQNGLCAIAFTDDHYPVRSAFSLLNTVLEEYKKTFGESWRTANADVTQPWQYLNDALAKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >OB01G54180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33376223:33378262:-1 gene:OB01G54180 transcript:OB01G54180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGNFVLYAANATVVWTTFAAPTDTLLAGQDLVPGAQLFSSVAATSRATGKYRLTNQLNDGNLVMYPVSTMNVAAAAYWDTGTFQIGFPLTLRLDASGVLYLVGNNGSYTKNLTQPSAAQAGEKAHYHRVTLDPDGVLRSYRHGLLSSGAWKTDVEWIGPSDRCHVKGACGFNSYCVLDRDGQPSCLCPPGFDLIDAGDASRGCTASSGAGECTAGQRDGPGFSMAAMQNVSWADTPYGVLAAGTSAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNHRHRVRRVSTVALVCVGVLTFVALCALVASARLLWLNQRMVRRHVALADAVAAGGLGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPLQGRRRRHLPGVARPRQRRARRGARSPLPPRRARQPGDPLRREAAEHPHGRGRHGEDLRLRARQAAPARPDAHLHRRPRHARVPGAGVVPRRRAGDGEGRRVQLRRGAARDRDVQEEHGDGGGRRGADADGVGVRVAGEGRGEGRHEKRRGGGGGGGGEGGEGGHVVRAGGAAVSAIHGECHPDAARAFGGAISSSSSFFLMQAYHCFASALIFFLFFF >OB01G54190.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33384913:33390258:1 gene:OB01G54190 transcript:OB01G54190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVIRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLVESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGTDVSHWIPKRISGALDGLQVSSISCGTWHTALITTMGQLFTFGDGTFGVLGHGDRESISCPREVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHKVACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEDILGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKALRAALAPNPAKPYRVCDSCFLKLNNAVDSSTISKRKENIPRHSGESNSDARLTKAIVPSNLDMIRSLDSKAAKQGKKTDALAFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTSAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQIHFPNGTDLHAAIYSSTNGIHQLQNESISTLNTPSLNTGRSLHANGISSQHKSPGSISEHSEVSTYSHRASSPNDSELSNRRARISSDELFSASSKADDSSSRDARSLQNGEDGYKPRGTISLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >OB01G54200.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33392794:33400106:-1 gene:OB01G54200 transcript:OB01G54200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3L864] MLRVLQLISEGFDLCFSVTDIWHTNLLSRGKIAEGSIRRIKLSITSNEEILKAQPVNELEKPIPITHQSQLLDNPHLGMPLQVGSCQSCGSASSEECEGHFGFIELPVPIYHPSHVTELSKMLNFICLSCLRIKNSKNSTTKGSKFTSCSHCQELQPLCIAEVKKSNGACGLELRAPVQKELEEGFWSFLDQFGGCTRGASHRRPLLPEEVENIIMKIPVETRTRLAVRGYIPQDGFVMNYLCVPPNCLQAFNVLDGNTYMCPSGTSTNLLRKILRKIQQISSSRIGSSNIQVNQVDADDLQVAVANYINLRGTTKGQDVTFASQPASIRWQQKMKTFFISKSSSFSSRGVITGDPYIGLNVVGVPEEIAKRMSVEERVTDHNIDQLQDMMNNGLCLTYIDANSITYSLDEGQDNQKKKYITLKVGEIVNRRVLDGDVVFLNRPPSTDKHSVEAFYVQIHNDHTMKINPLICGPLGADFDGDCVHIFYPRSLSARAEVTELFTVDKQLVSSHNGKLNFQLKNDCSLALKIICDKEYSEREANQITNAMFSSGMFPQKPLMGRPCWTFLQILEITDAITLADHLDRESVGSLATGAISSIVSMKGPREAIEFLNLLQPLLMESLLIDGFSISLRDFTVPSPILEAIQNSPLELNKFREPIVDFITHSSAIGLLIDPNSDSAMKKVVEQLGFLGPQLQHNGKLYSSRLVEDCLSKSLHRSFRSIRCHNPLEAHGTVRSSIYHGLDPYEALLHSICERETIMYASKGLVEPGSLFKNMMARLRDVIACYDGTIRTSSGNLVLQFGSMNPSNCMTPGDPVGILAATAVANAAYKAVLAPNQNNITSWDSMKEVLLTRANSKTDTNCRKVILYLSQCSCENESMERALTVRTCLRRVKVEDCTTEIAIKYQQQTTQAADRLVGHVHLDKKRLNQMQTTMADVLHKCQEVFRKNIKKRGQMRETLRTVTFVPSERVCDQHTYNDRELQVSCLQLFLTAKISESTERVVHLMTNAIFPVVLDTVIKGDPRVEEANLVRIEPESTCWVQSFGAEQKGKVALEITVEKAVAAKTGNAWGVAMDACIPVIDLIDTTRSVPYDIQQVRQVFGISSTFEKVTQHLSKAVGMVTKSVLQDHLTTVASSMTCTGDLHGFNSSGYKATSQSLKVQAPFMDATLSTSTRCFEKAAAKAYSDQLGSIVSSCSWGNNAAIGTGSAFEILWNNENMSSSKSILGGYGLYDFLEAVETTRATEDKAIVPHNSCLYDVDCILEDEAYLEGNNQIAWTDKPKAEFLMDSEGRTGMHSTGQKHQRKQTMSDWENDKPSWYEGNTGSSPNFTVAGSTRTCGWNRSTDQVFQKRQLKIKSNWNSDATQQDDKPSWYRSNSTGRQNFIVAGSSKPGEWNRKTSNRGRGGGRAMGRSEASHRGGSSSNRNRGGGRAVWKSEASHYRGSSSRNWKTPKNSSTRQAASYAFTPVEQQISAEVEPIIKNVKRIIRESRDGIKLPQDDEVFIVRNILMYHPEKEKKIAGKGNYITVDRHQVFQGSRCLYVMSSDGSRKDFSYKKCLENYIRVHYPDAADSFCRKYFK >OB01G54210.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33401484:33405250:1 gene:OB01G54210 transcript:OB01G54210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G18680) TAIR;Acc:AT3G18680] MAAAAGMSTSFLLRLCPSTSVPSHVALPLPTPASSARPRRAPALSLSXXXARRACAAGPDSSSNFGGQTSLMPPLSLMLDEGCRSKRPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLESVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIMGNALDQERRLAKEL >OB01G54220.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33407233:33411627:1 gene:OB01G54220 transcript:OB01G54220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 5 [Source:Projected from Arabidopsis thaliana (AT5G09790) TAIR;Acc:AT5G09790] MRRSLPTGAAPPMARAARREAYEAMRCDVCGSGERDEELLLCDGCDRGRHIFCLRPIAARVPTGPWYCPSCAPRSKPVKRFPMTQTKIVDFFRIQKGAEDGEVEKCSIFQDVKKRRKRPLVMHKKRRRILPYVPTEDKVQRLKQMASLATAMTFSNMKFSNELTYMPGMAQRLSNQARLEQGGMQILPKEDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFITEYTGDVDFLEKRANDDCDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKQNIKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFI >OB01G54230.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33414420:33416938:-1 gene:OB01G54230 transcript:OB01G54230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDSEVATTLEDENKEEEEEDDWEAIADRGEHDETLELARSLEQQAKVSPCSSSEKISTPSSGPKRRGRGSFLYDKSVLYSDQCDLENDLDEEESNDQSGSKGCVDEQKHRTSAAAKQYGTRHVLVLYDFPPSTLAADLEKIFEKFGDHGVAIRWVNDTVALAVFRTPSDANEAQACIPPRYKVRVLKDDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLRQFTDFGSDELKKQEEARKSRIAARQAMRDDAWGSD >OB01G54240.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33419178:33423394:1 gene:OB01G54240 transcript:OB01G54240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPARKENGAAPVSAAASNTFHVLNSGSGTAGRANAVVPYVQSPRALALRSPQVRAMTNYGAFPTTGASSAARPAMAGRTSTTIATPTPTPPHLIQQLMVLAGWTTRSPWLQNNYASMSPLGSPSFSSGRFSDPRVMPSTFAYRTPRASGGRVGGNGAVAGRGKQLAGTSRSLEIVAVDTGVGAGGGSCKNALPGGGHANAGVVVQRLAPVLAMPSAAAAGKGKEAAAPSTKGRGRKRAPPKASNDAAASDKKPRKRIKKEPPAGGKVAVVADVIILDDDVNLPGANPDARSNADDLDLKKAAAASPAAAPRPSKSRTRRKSTNAAAAPSARPSVAARKNNVAAAGTATSTATPPAAKKHTVLTWLIDTGFLKEKAKVFYVPEGGGAGEKVISGTVTKTGVRCRCCSTIVPVAVLEAHAGCEQPGRPWEKLLLMSGKPLVQCMQEAWAKERVSAMRAQEKARASLEQEKKSSQGRRKLAKQRKLPLLDRVVVCTSPPAKKRGGGKDCSDDACGVCADGGQLLCCDTCPSTFHPDCLAIQVPEGSWNCPFCRCMLCMANDVQGLSACQQCTRRYHQCCRPLQSPGYEIGPYCSQTCKKMSSQLADMIGVMNHTEDGFSWALLKIQKDELVTCDDMPVVLECNVKLAVALGVLNECFNPVQDRRTKIDMLHQAVYSLGSEFKRVSYEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKVVEQVLASLKVENLVIPAVADLVETWKRSFSFRPVQAEVREEAKKLSLVVVTGTTLLQKPIALQQPAASGKGSSSKKHASAPATVTVTVTGTGSGSKEMTTMDRLTDDEMAFLEMTPLCSFTDLLAGGVYPPRFCGNGGVVTAPGCGLSAGEASGLRLIHSMK >OB01G54250.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33430403:33431509:-1 gene:OB01G54250 transcript:OB01G54250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G04830) TAIR;Acc:AT5G04830] MEGSEKKATSPAAAVSQRIMPHLLNIYGSCATARDFEIYAPHATFEDPLMRAHGVKQIKSAFYTLPKVFGESKIVEYTVTETETAPGKTEILIDNKQHYKILGRAIDLTSLITLNVEDGRVVKHQDWWDKKPPRSRETVSFPVVGRLAEVSRRGTMLLTHTLMGCGKDP >OB01G54260.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33435269:33435520:1 gene:OB01G54260 transcript:OB01G54260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAALVHSRWGCEGPQNTSRYCCCCCISYCNCKQLCFCYVCDLLIGSCTDGNVNVQNLFISFFRMPKISVTVYLNFYMYEIFT >OB01G54270.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33434551:33446040:1 gene:OB01G54270 transcript:OB01G54270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRSLLSSKRRTLAIVSGALLAGGTLAYAQSSRRQKRQEENSHSDANAQTKSNHSICQNGVDGKLVKTRKKKNGLKSLHFLAAILLKKIGPNGTNYLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTHLIIENLLLCFLQSTIYQTSKYLKGSLGLHFRKILTDLIHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAVRKFSPAFGKLKSLEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFKALVRHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVCARSADQNSSVENCISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETDPLSYGGMVDLLKNVDLEYLLERYPLDREVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVQDNRNGSFLSTEQEFDVLNSSETDRKSDALAVQRAFSTNRKGNALLGPKDHSYSTQLIATSPSVEIEHTEQSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQEFEGTFRFMHSRLRAHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDQALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAALSSNHITVASDETISFHHVDIVTPSQKLLATQLSCEVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVSQRPYTCLGTLRDQIIYPLSHEEAELKILSSYKSGDKAIASGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYRIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >OB01G54280.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33446958:33447622:-1 gene:OB01G54280 transcript:OB01G54280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly, complex C (B6F) [Source:Projected from Arabidopsis thaliana (AT5G36120) TAIR;Acc:AT5G36120] MEASLLVTPKPSMSRTASLLFSTSTRRCIVASAAKLGGFCRTAGHGERHRRRRRRRRKKEEQRCAAAEYVEQQSARSSGPARGGHDERRRRAAVPMRCRCCRLAGAASVEAGGGGGVQGGGGGGGAGLRIVMSWYPRLPVGEFPYVVAYAPTEPFLAVTRKVIPPVGGVDVTPVVWFGLVSFLSEILVGPQGLLVLLSQQKP >OB01G54290.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33448129:33451571:1 gene:OB01G54290 transcript:OB01G54290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIGLFVIVFRKRLKCEKFTSRLQVPISIGLLMITAQHKQRSEKKKIQKPYGLTQTTHHHRGRRLPPPRRLALAATATPPNLAVAMFRLRSSARLVRELREASRSYGRREWFSGGALAAAARTTSLLRPLPGLDVPQCLPDQLVGQPTRVTTLPNGVRVASEDLPGPSGCIGVFVESGSVYETAETAGMSHLLEKLAFKGTAHRSHLQIVQDVEATGGNVGASASRELMVYTYETLKAYLPQAIEVLIDCVRNPLFLQDEVERQVALAREEIQELQRNPDRFLQESLNQVGYSGALANPLVAPEENLMRISGNIIQKFYHENYTADRLVVAASGVDHQQLLDVAEPLLSDWHKGSSVERPESKYVGGDFRYRADSEMTHVALAFEVPGGWLQERDATIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHTVESFSAFSNVFDRSGLFGIYLTTPPEFVAKAVDIATKELIALATPGQVTDIELKRAKNSTISAVLLNLESRVIVAEDIGRQLLTYGCRKPVDHFLQCMDEITLDDITDFAQKMLSSQPTVASWGDVDKVPPYEFIRKRF >OB01G54300.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33452123:33455441:-1 gene:OB01G54300 transcript:OB01G54300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G22320) TAIR;Acc:AT5G22320] MARLTVEQAKREAGSEGTAATSLDLSHRALSDVSCLSSFNNLERLDLGYNCLVTLEGLSTCANLKWLSVIENKLVNLKGVEGLSKLQVLNAGKNKLKTMDEVKYLSSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALMKAKAMKKLSLSHCQIENIGSSLTACVELKELRLAHNKITTIPSNLAKNVKILNLDLGNNLIGRRSDLEVLSELHYLRNLNLQGNPIAEKDGLAKKVMKLAPNLRIFNSKPMEASSKSTKNSREENLPTKDAAMPDGGPTDICTKKKTKGKHSKQQIKSPEETVGQSTRLDVTIATPVKSEPLIGEGKEKVAVEHVKNKKSKRKDDNSSLDDTDKKASKGAKRMKSANKEKNAEGIDDTEIPFADLVFSSEGNNPDPELKGKIQEIAPDGKFGGLVIDHTKKKKGKGMVVGSAALEQLSSAPEVGAGALSGWD >OB01G54310.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33455855:33459198:1 gene:OB01G54310 transcript:OB01G54310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT3G11530) TAIR;Acc:AT3G11530] MVCVLTVLAGLAFMFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >OB01G54320.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33463329:33463511:-1 gene:OB01G54320 transcript:OB01G54320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYPGNISFSSSLYFSYLNLLSSVIFLWKDSILVADGLRFSAAVSNPADSSCIEIDSDV >OB01G54330.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33463670:33467729:1 gene:OB01G54330 transcript:OB01G54330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALVPQPWPHWPFLFVFLVLFSCESNLICRNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINDPNGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILAGDQPVIIYTGADTEKRQVQNIVLPKNWSDPYLREWTKPKNNPLIEPVGQGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVEHPLYSSNASTMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDNCDKYMVGVYDLKHDMFVPDTILDDRRLWLRIDYGNYYASKSFFDSRKGRRIIWGWTNETDSTSDDVAKGWAGIHAIPRTIWLDGDGKRLLQWPVEEVESLRRNEVSHQEIELKKGDLFEINGTDTLQADVEIDFELAAIDAADPFDPSWLLDTEKHCREADASVHGGVGPFGLVVLASDNMDEHTTVNFRVYKSEQKYMVLMCSDLTRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRACIMARVYPVAVVDGATHMYAFNNGSSTVKVSQLRAWSMRKAQVNVKKG >OB01G54340.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33469593:33472282:-1 gene:OB01G54340 transcript:OB01G54340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATGSCRPPQTQLQIQPPGARQASPSSRRPSSASSAVAPMAEEATQQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >OB01G54350.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33474366:33482255:1 gene:OB01G54350 transcript:OB01G54350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRPDLGGGFREGPQLSGAGTPRALAEPPSLAQYLPLESFPVGDHKQSRATELRRVLGVTVEAEQSFVLVQTKPLPSIASEELKRIRGGVVESSTKAKDKTKSFQDSIQKLDKYRNVLTRRRQRSDGGVTERSSGSGSGSLRMGAQNSMENAGQRLEERTKSATTSKRVRSSLAADARLEGRGNVPTRQGPLADSEKSSSLEKEKSSLRNVNAPSGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPVVQHRPNNEARVRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADMDSTSLPNERRERHAGIEKERVLVKGNKVNTSEDMQPGTLSPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPCTNKSSPLGVTANRKRPMTASGSSPPVAWGGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDVAVRPAVESPGLLLPRGVASNNSQVAPRVDNMSSPAGLSESEGSAATENKNKDKISNSGDFENEGANSAHNAAELIFSSKKSRILLKEELEDGSIRRQGRSGRNTMHVKGCSTSMPREKLDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHTEILNCEPEDDREELLAAANAARSAIVNAYAGPFWKKIEPMLTFISSEDSSFLNHQITFLEELEMGMSNSSDEHNLITSTNYSGPLSMGQNSSQVLPLSNSCVSLEQSETNGLRARESIDILSHNDENHNTASQKAQAQGLLGEVNSLTHKLFSAFIVEEGDDFSECNGGEILLEFTNDYMPYSANMNLENEFEASAVKSNFGLSPDFKHSNHSSVHNSMSNGFTASSNLRASYSPNSICSENVSDGIKFAVYPENGGLHEFVPHVSHQYQNCAKMRLLPYEYQYEQLPVHERALIELHSIDLCPEMPKLDEGEDEDIDKVITELQKRLFEQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGSSSHKGGLSKAANKAAKQVALAFAKRTLARCQKFEETEKSCFREPFLWNVLSAPLPKSDAMDGGLPGSAERPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRNSSGNGRNERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFPAPGQRESQDWTSTSSARPTQPVRNSTVNVAPDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >OB01G54360.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33482993:33483928:1 gene:OB01G54360 transcript:OB01G54360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGGGSGEEEEVEVGSGGDQQQQEQPPMEIGWPTDVRHVAHVTFDRFHGFQGLPVELQPEVAGNAPSASKTVFGVSTESMQCSYDARGNSVPTILLLMQRRLYDQAGLRAEGIFRIAADDAQEQSVREQLNSGVLPEAGVDVHCLAGLIKAWFRELPGGMLDSLPAAEVTRCQSPEDCARLCDRLPAAKAALLDWAVHLMADVAREEKSNKMSSRNVAMVFAPNMTQAMDPLTALKHAVHVMNFLTMLIDRALNHGATSTSTSVASHAPPPNQH >OB01G54370.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33485303:33489310:1 gene:OB01G54370 transcript:OB01G54370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04710) TAIR;Acc:AT5G04710] MALLRAHHLLRPRAAARPLSLPPPLSTSPCRRALPTTTSSWRLLCSHRTASPPDADGDASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFNHKLVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLAPLLATKHEETAAGSGEKNSPSSSKVVHHPLLLQVLSDEIGCKPDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEEMSNEKSIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARLHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDVDTTYKHFKAFFEMFSDIDRKLNVDF >OB01G54380.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33489505:33492439:-1 gene:OB01G54380 transcript:OB01G54380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGASPSEATITSLARVTASDASNPAAADEAFELVATMKDKYGVVPRLRSYSPVLAAFRRAGEAGKAYAVDAHMEASTVSPEEPEIAALLDVSMKAGDAGKVYEYMHKLRRTVDCVSEGTAEVLEGWFRSGKAAMLGKAEWDACQVKDAIVANGGGCHRLGWLGTGPWMVQRVRAGADGQCGGCGCRLACVDIDMEETRRFADSVAGLAFQRETKTNFSQFQEWLEEHGEYEAIVDGANIALYQQNFAEGGFSLTQLDAVVTELRDRYNGKWPLVVLHNKRIAKLMENSSNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVSNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESKMGSWHVPMEEKSGDERVRIWLCIDRTEHCKQPHEAPAANGVAQDMSPRVATNVSEQRRAEDNGGSITGKRKDRD >OB01G54390.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33494698:33495710:-1 gene:OB01G54390 transcript:OB01G54390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVVAVAAAAAALLVVVCAAAQTPPPARLPRNYHVINPGRFGKRDQQLTCTDSNGNKAACMAQCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCVVSDADLHINAHFIGKRNPTMSRDFTWIQALGDVDRLELAFDGAPVDVPAELGARWESAAAPGLTVTRTAATNGVRVQLAGVFDIMANVVPITEQDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVVSDIFATDCAVARFGRRAGISMVTGSAN >OB01G54400.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33494878:33495364:1 gene:OB01G54400 transcript:OB01G54400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGEVVELEAEVEVREAVLLGDAVVVDARVLLRDGHHVGHDVEHAGELHPHAVGRGGPGDGQAGRSGGLPARAELGGDVDGRAVEGELEAVDVAEGLDPGEVAAHGGVALADEVRVDVEVGVGDDAEVLVLLSVEVEVVAVAAGEARVAARHAGVEVAHY >OB01G54410.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33501522:33502043:-1 gene:OB01G54410 transcript:OB01G54410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGERKVSDSEMPDFTGTAAAGQPERAEADGNVATGHSSPASDPYKLATSHVLNPRKLEPPQLGQRLIGSIYIRPSVGLPWIGSSSIGVTEEDSLAHLSTPSPTTCSVRPAAQLGVSASMPVMGGAPSYVASDIFAADCAVARFGRRAGISMHGHRQGQLKCVAFKASASI >OB01G54420.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33503557:33504317:1 gene:OB01G54420 transcript:OB01G54420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHMAVAVALLLVVVVCCAAVSEAAKAPPPPPQHRLPPRFRMINPGRPGLGKRDQELSLSCADTKGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCVVSDADLHINAHFIGKRNPTMSRDFTWIQALGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPRPASARAGSPPLRPA >OB01G54430.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33503683:33507963:-1 gene:OB01G54430 transcript:OB01G54430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGAAVEPGDGAVRREEARRAVLVGAAHDGHLGRDVEPVGVGGAVGLAEHAVDGVGEGVELVAEVKVHEAVLAGLAVVVDPGVLVGDGHGVGGDGEDAGELDGDGVDGVGAGDGEGRQGPGHPLGAPGGVDVDGLAVEGDLDVVLLVVPLGGLARADVEAVVAEADAQRLDPCEVPLHGRVAVADEVRVDVQVGVGDDAEVLLLLAVEVERVAVGAGEPWVAARRAREQIAHAEGLAAGAHDDALVRAALVALGHAALLLALGVRARQGELLVPLAQARPTRVDHA >OB01G54440.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33504380:33504685:1 gene:OB01G54440 transcript:OB01G54440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVPVTEQDSRVHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVVSDIFSTDCTVARFGRRAGISMVTATAS >OB01G54450.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33506858:33507996:1 gene:OB01G54450 transcript:OB01G54450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQVVAVALALSCCGAVIAASAATPPPTRLVAPRPKPGTRGKVPRGTPLTTITFSPRHKRDYQVTCTNTGRRPCVVTCPSTCPNKCLVACSYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCIVTDADLHINAHFIGNRNSAMKRDFTWIQALGISFGDHRLYIGARKAAEWDDEEDHVQITFDGEPVNVDAARGAQWVPGALPALSVSRTDTVNAVTVELAGVFTITANAVPITDEDSRIHHYGKTGKDSLVHLDLGYKFHALTDAVDGVLGQTYRPTYANRLNITAKMPIMGGADKYRSSGLFSPDCAVSRFHRRTSAAAAAAGDHVAVGFAS >OB01G54460.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33509485:33516856:1 gene:OB01G54460 transcript:OB01G54460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MRGDASFAGGGEDAAADVVVLEITDTSFTGEADTSPPPPVAVCDLASLEPLPFPTISGGLFLAVENLLTECERWFRTMSSQNSSLLVPLDFLIEIWYFGQEHGITYVQDICPRYLAQNFVQVISRRSFVKMPYDLLYSTIECPHLTVDRQCCEELLPNSVDGELYLLNKVRICLLPLEFAAVLTFDFFAMSIRHSCFLIREGTKRNWAEFGSKKIVLSGCPQITTEILYISVLSTILDASFKIRIESSCSQADYRNIILYNELEKAVKTSSFGNVHMLDLSKCPNVHFRAAIDWLKLAFPELQIFKASFCLLFQFEDLLYLLLTCPWINEIDFTIDTGIISQKHSVISSRFEVRDADLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCMKIHSMVLSYTSFGNQSIQMLCTTNPLDSKDECSHVMAFGMQELHLDGCQGIGYAAMSQLMSNVSMVSLAPVIRRSSNLRCLRAAGCRNLLVEHGEVDLMSGNIYGDFTQEITSNCCLEDVEMGWAFCPIRVTALIPSLSKVRKMTIGLGTTLGENILCALPEICPSLESLVLRFQMISDKVVRNLLESSMKLRVLCLYSCLGNLTSFSFQTKAPALRILRLERITPWMTNDDLAVLIQNYNLVELSLSGCQLLDSSSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAISELPLLRKLALDLCDASEEGYDSPNNPVGKMIRAVRMSRCKSARSCFDLPSEGSSKRVHKETIVLEWSSRQLRTTIVKERL >OB01G54470.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33517112:33519985:1 gene:OB01G54470 transcript:OB01G54470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPHSNADPGAAEEASQSPAPTETGRRKVVVVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQVYRGLDVLTNKIPLHEQNGVPHHLLSVIDSSVEFTCRDFRDHAVPIIEGIFDRGGLPVIVGGTNFYIQTLVSPFLFDDMTQDIEGCTFSEHLDEIGLDNDDEASLYEHLKIIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGEATERWGRPSNSRFDCCFLWVDADLQVLDRYVSERVNCMIDDGLLDEVCNIYDREATYTQGLRQAIGVREFDELFRFYLTRKDTGEIKMDSCTTMAGIHDDNLKSLLDEAVSQLKANTRKLVRRQRRRLHRLNKDFEWNLHHVDATEAFNSATADSWNKKVVKPCVDIVRGFLSNDTTLASSDRSNVTGSSKMSSRELWIQYVCEACDNRVLRGAHEWEQHRQGRCHRKRVQRLKQKTRGEH >OB01G54480.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33522065:33522385:1 gene:OB01G54480 transcript:OB01G54480.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTASPEDIRVAAAQAAELYRPPTTASAYAPPPAALALPDPQEAGAATSGRPAAVFVDEDAIFDMPGLMDDMARGMLLTPPAMGRGLDWAAVDDDHYHMEYKLWTD >OB01G54490.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33533631:33537128:-1 gene:OB01G54490 transcript:OB01G54490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGGFIGSHLCEKLMAETAHVVYAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAEMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASS >OB01G54500.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33541629:33544701:1 gene:OB01G54500 transcript:OB01G54500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHETRPPSAGAGAGRDADEREEGEIADDDSGHAPPQPNLPAAPHPLEHAWTFWFDNPQGKAKQVAWGSSIRPIHTFSTVEDFWSLYNNINHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTISCGRAKSDTMWLHTLLAMIGEQFDCGDEICGAVVSVRGKQERIAIWTKNAANEAAQLSIGRQWKEFLDYKDSIGFIVHDDAKKMDKGLKNRYTV >OB01G54510.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33546213:33547401:1 gene:OB01G54510 transcript:OB01G54510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:J3L895] MPISNASISAGGKRMATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILRDATFKNEEMTETINKVKIVACDSKLLEAKEE >OB01G54520.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33547617:33551369:-1 gene:OB01G54520 transcript:OB01G54520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19210) TAIR;Acc:AT5G19210] MAAAAAASLVGLPGCASPLSSTLRSGLTARLVPFTPRPRRLRLRVSAATLREVCAGRVPEHVIQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARVLAAKACTVMALLDGGMLRRQKSWVKADPPAIVVATVASLCQMLEKRAFSLQSMKVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFLHDCVQHKWTKSDVVHVHVNPVQPMPSHLCHRYAICSKKERLHILLSLLEKDAPKSGIIFVAEQSERSKKAGHPPSTTVVVEFLRSTYMGSLEVLLLEEDMNFNARAISFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAVDYLHRAGRTGREPFSKSACSVTTLITEDELFVLQRFQNELKFHCEELPLESMFAFNS >OB01G54530.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33552633:33554725:-1 gene:OB01G54530 transcript:OB01G54530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT3G27110) TAIR;Acc:AT3G27110] MAAAACAPRLLLPPASAGLPRQHRHRPRLQVISARAASVAARGLDADDFRHPLDKQNTLLLRSVPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLHQILTEAAKLLNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKASSNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYRTVQQKVIQLNEQDGGYS >OB01G54540.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33556573:33556764:-1 gene:OB01G54540 transcript:OB01G54540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFLLLRCFQHSPTIIHAEYYRHGLIDGHRHRHRHRDLLQAALFPSIVSCSSATLLHVVYC >OB01G54550.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33557913:33558143:1 gene:OB01G54550 transcript:OB01G54550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHTQLQFQAQRKVKFQDNHANIMKEVPIGTNEKPVHAQKTKDGIDVDSVASDFIKRSKRGWALQKSTTMYQPS >OB01G54560.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33559859:33561547:-1 gene:OB01G54560 transcript:OB01G54560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48250) TAIR;Acc:AT3G48250] MAPPLAFSRIRRLLPLRLFSTSPTPDSVLYSLRTLSKDPSIALAFFRRSEFAGHPLGSSAYNLMLRTLASHPLSAQAHFWPFLRDMNEAGYSIDQGTYHAALASFKKASLTADYASLTVHYAKAQEDAKGTPISAAADAVRGLEGSEAAAELDKKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQMGYTHGSVAYNAMARVLGRDESMREFWEHIQEMKASGMHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLQLVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKADRLDDAHKVLDEMEAEGCIPDLKTWTMLIQGHCVAGEVEKALQYFAEMIEKNLEADADLLDVMVKGLCSHDKVDASYALFVEMVDKAKLSPWQGTYKYIIGELLRVNKLEEALGLLRSMKAHKFQPFADPFPTHIAKYGTFDDARQFLKALTVNNHPSPTAYLHVFESFFTEGRYSEAQDLLYKCPIHIRKHPDVTKLFESIKVESAA >OB01G54570.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33565630:33568823:1 gene:OB01G54570 transcript:OB01G54570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMAAHFRVQHSHLLKRRKPSRPISSWPTPSNNPDPYFEGPPQYMMNRTYQDPAPDPLLSQFICNMAQTDTNSDNTNTQIAVSTISDDQRLSQRVTLTDDASKLELKERLKRIEFLQEIIVSTIL >OB01G54580.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33565630:33571523:-1 gene:OB01G54580 transcript:OB01G54580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPVVSRSATRKPNESMRLLVVTIIGVVLGFFIGISFPTVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTTARNTKGNDTESSSDTAMKIYVPTNPRGAERLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISVRRQAKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFNAEEYMKLVKKHQLEISQPGLEPDRGLTWQMTKRRGDRQVHKDTEERPGWCNDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRMAEAEKSYYEMMGVPPPNVTFVH >OB01G54590.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33579457:33581009:1 gene:OB01G54590 transcript:OB01G54590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAMAARNKVSVALLLLLCAGACVALARPSEFSIVGYSEEDLASHDKLMELFEKFVAKYRKAYSSFEEKLRRFEVFKDNLKHIDDENKRVTSYWLGLNEFADLTHDEFKAAYLGLAPARNDDDEDRSSAFRYEDVDMAGVPREVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTALSEQELIDCDTDGNNGCNGGLMDYAFSYIAANGGLHTEDSYPYLMEEGTCRRSPQGDDDEAAAVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCDTRLDHGVTAVGYGTAMRGHDYIIVKNSWGAQWGEKGYIRMRRGTGKHDGLCGLYKMASYPTKTA >OB01G54600.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33582917:33585094:1 gene:OB01G54600 transcript:OB01G54600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKVLTLEEVSKHNTKDDCWLVIGGKVYNVTRFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >OB01G54610.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33588047:33591007:-1 gene:OB01G54610 transcript:OB01G54610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G40610) TAIR;Acc:AT5G40610] MENGHAKNRVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLESAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLITNILGINCCVLMGANIANEIAVEKFSEATIGYKKDKEMATRWAKIFTTPYFLVSIVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLWEMRAFSKLLSPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTAIVEYSEHTPNLSIISGSSPYN >OB01G54620.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33592741:33594450:-1 gene:OB01G54620 transcript:OB01G54620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLRGILRHSDARTPRAFVYLLAAEPPRPSAVGQCHAAATKLGFASNVFANTALLGFYCRSGRLREAHQLFDEMPGRSAVTWNTLIYGHAQSTAPALAVAVFARMLRGGVSPTASSVSSVLVACVRMEDAGAGAMLHSVGLRCGFFASVVVGTALVDMYAKCHNLCAAQQVFGEMEEKNVATFTALVAGFVLSRRPHDAMILVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCTVLKKGLEHGPFFLSALVTMYSKCGILEDFIKVQATLSCEDQVSFNALISGLSCLGRGKEAFQQFLEMRRHGTDMDVFTFASVLKGIGSSSSLLEGRQVHTLIMKIGYYSVVDVQNSLISMYARHGAIEESNSVFISMESPNVVSWNSLMSGCAQHGHGKEVIEMFEQMRRLHVQPDHITFLSVLTACSYVGLVDKGLEYFNLMKDKGYLVGARTEHYACMVDLLGRAGYLNEAEYLINSMPIKPGASVYRALLSACQIHGNLEIAIQVSKRLIELNPHDSSVHVQLSNAFAGDGRWDNAAEIREAMSGKGIVKEPSWSRIEDQMQIG >OB01G54630.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33595838:33597068:-1 gene:OB01G54630 transcript:OB01G54630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase / dehydrase and lipid transport protein [Source:Projected from Arabidopsis thaliana (AT4G01650) TAIR;Acc:AT4G01650] MMATLSSSSSSHGFLRLHHHPPLRLLAAATTYSTLPARLRLAAPTPRRPPSSISSSPDPPPDIAHDDDGEQDGHKEDRDDRYGFQIQVRKLPKRNRRLVRARVSVHAPLEAVWATLTDYEGLADFIPGLSECRLLDQGPSFARLYQVGEQDLALGFKFNAKGTIDCYEGEMQLLPPGARRREITFKMIDGDFKVFEGKWSVEEEVDGGEISDDQEFQTTLSYLVELEPKLWVPVRLLEGRICNEIKTNLVSIREEAQRIQRL >OB01G54640.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33598785:33599450:-1 gene:OB01G54640 transcript:OB01G54640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59570) TAIR;Acc:AT5G59570] MGEEAPEEYDLDMLGGGGGEHERVMEWEAGLPGADKLSPLSQPLAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLHKRFVDVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHAALHDQVPYAIHPHPHYNAYAAAVSSYPHHYLHHADK >OB01G54650.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33602101:33602568:1 gene:OB01G54650 transcript:OB01G54650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQGVAMAMMSRNPSYYSGEADLGMLPLYVYSSTFNCGGIRHTDDLLGVLSLIVYNFLLFTIINYMYIGLRANDDSDDGTFALYSLIREWRRMAEALMRAERTAEAGLGREIFSRRTGGAALGWRGCVGDAWGRNFGGERREGSSGGGLVC >OB01G54660.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33604487:33604732:1 gene:OB01G54660 transcript:OB01G54660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTEQEANHNAAGLTERFLEALNYYSALLNCLEVGAARGSVERARVERWLLGEEIKNIMACDGAERWERHERLERWARPR >OB01G54670.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33606650:33609520:1 gene:OB01G54670 transcript:OB01G54670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSWALLARVPRRSNDGDPPRYLTFDLKAPPAATYLTIAADMFINGKPCIVASNDSGGLLISSSSAGGVELHLLAKHPCYPTATIARLPTREPFVRPINDIGGIGLTHYSDGLYLVAHLQPEGNKVRLFRLHVLPGVETAWCQTVVPFPFGYSGRKWRCDQVACFRDRIWWINLTLGFITCGNLRDTYPIMSFETLPGDGVNEDVHRYRYVGVSAGKLRFIEMVNVSSEEKAAVSVWTYCGDDNLFARWTRQSTTVFSEVWSHRSYLDTGLPQSVPEAIAFAHPLVPDNVFFIQHGHLIRLDVAAKRVVELTPDRCTSSSDFLPWIFPPSLASDFYPRYSGLLTLSGCQVHMMHLSQTDLLIQAEFLVVGNVRATAVQIVMRVWTKHKFNELVIIGNKDNHSSSSDQAISAVSFRRFALQPMRMTILPLKDAIIRVPVPVFGNIFRAYGLVEELISSTGPTVLQGKRIPRGYYIGGPWMVQFIEIHNVPADPVMLLMFYHHGFETFAAQLREWPNFHHVKIYQGSYRPDMYDVTLAYLEDSDEESIQDVPHAIRMVPLPFLSQISAYFDDE >OB01G54680.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33612239:33613417:1 gene:OB01G54680 transcript:OB01G54680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPVKSLLRFRSVCRAWRATISDDPSFLRAHLQHQRPSFLIARQMATSVGFFRLQEGQNLKGTATLLHAAAGDVLPVNNPHPLAHCDGLVLVPTDDKQVRVFNPATAQIAVLPWSPGADRPSSTMWPRRLGSHQSFGLGRDPVSGAYKVARFFYREVYAVATGANVLTTGMEVFTLQGDNREWRGTAAQPTHPVLTQRTATFFEVEGSLLWVTCSAGSFLRFNLEDETFTLTPGPPPMEMDNKYEECSLVEVRGELCVARPSGPRHNCKVEMWWMSRGGGGGEGEWEWQLRYSVRVGCLTRPISAAASDHGDGVLFVDVLGYMSYYDGLLGVGTTCPRLRDVACVHRLRWQRKPTDNTAAIASLVDDDDTYPGHFYLNTVPYVQSLVQIP >OB01G54690.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33616006:33617976:-1 gene:OB01G54690 transcript:OB01G54690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPQGISLASVERSKGSLQTAQWESVATWQGGVTTGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWQRRWSGGAG >OB01G54700.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33617960:33618423:1 gene:OB01G54700 transcript:OB01G54700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGHIYHHACILPWLALRSSCPVCRHQMPTDTESHNDAATVGLTIWRLPGGGFAVGRLTGETRPGEMELPVVFTEMDGGFNGGSDSPRRISWSSRGSHSSRPGSSITRLFRNMFSCFRHGDATDYSSSSSSVFRSLRTSWRSEDAHADAIAI >OB01G54710.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33619272:33620947:1 gene:OB01G54710 transcript:OB01G54710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLKKGGCSDGGEEGCASADGLVERHGELDLPAEEKSGEVVAGSNCLETAAAAPAPSEIAEEDGGLDDILSKDVDAAKNHIAGHT >OB01G54720.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33619576:33619956:-1 gene:OB01G54720 transcript:OB01G54720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSDFNHARNFCHFHLFLHHTSVCGIIFLVSPLNHVIAISNCALICQNWLSIQKQFPDFYSSIFISTKQQTLKQVQQGNTLLSMSSKPPSSSAISDGAGAAAAVSRQLEPATTSPLFSSAGRSSL >OB01G54730.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33621857:33623664:-1 gene:OB01G54730 transcript:OB01G54730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZRT/IRT-like protein 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) TAIR;Acc:AT5G59520] MARMRASSSLALAMLLLLLAALSFQACSAHGGINDGHGQVDSPPAADAASSSSSLRSKGLIAVKVWCLFILLVFTFLGGVSPYFYRWNEGFLLLGTQFAAGVFLGVALMHFLADSTATFGGLTTKTYPFSFMLTCVGFLITMLADLVIAAVARRSGNNNQVSEQQEAAAEGGHQKEEAAHPAMLVRSSSFEDAVLLIVALCFHSVFEGIAIGVSATKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATAQGRAADWTYAISMGLATGVFIYVAINHLIAKGYRTHRPTAADKPSFKFLAVLLGVAVMAVVMIWD >OB01G54740.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33630880:33631218:-1 gene:OB01G54740 transcript:OB01G54740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRWKLSTSKGRSKSGRSSSSFYSDLSSSAAASTLSRSYSASVTGSRQAHASTSSSSAWSASGGASSSSSQQQSSNSRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >OB01G54750.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33658444:33661860:1 gene:OB01G54750 transcript:OB01G54750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIZZY-related 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) TAIR;Acc:AT5G13840] MAADASPKAAPPRLNAXXXXXRGARPAPAVASPARLLLDVPKTPSPSKTTYSDRFIPCRSSSRLHNFALLDRASPGSGDDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAAAAATAGQLDCTAGSAESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGNCVYLWSASNSKVTKLCDLGPRDSVCAVHWTREGSYLAIGTGLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRVPSDYISKFTGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTVNGNMLNSVDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDIGLWSFSRSHIR >OB01G54760.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33667548:33670775:-1 gene:OB01G54760 transcript:OB01G54760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASTIAAAAPISGCATMEGGGGAIELRRRMAGQCLAFERQISDGRERTRAAASAFSAAILSARSLANHTVSQREQFNELKDQLRKLEANFAEAVSIQVSKMTKYELTRESISRATATNEQLRSLLMDQRARRDEYADAISNQLEVIDALEAKSDATGKKNLEEAIMWYKKFLGFQVVGGEGVRFVFNKIDIQKPDNEYLFCIKINKDRYSLLQCIPFLNGSEELVKDLNCNNDLFKFVRIMRERFQTAVINGLLPASSFCSDTSSVTDSSPSILSNDTGSESTTTTNRNHSQSRAKYRDNPTKRGANPSNFLSSIRRSPRVVAEEATSGH >OB01G54770.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33671006:33678494:1 gene:OB01G54770 transcript:OB01G54770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein affected trafficking 2 [Source:Projected from Arabidopsis thaliana (AT3G55480) TAIR;Acc:AT3G55480] MFGLQASGAAASGGGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVSHHFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCVAYALCKLHDLLPEETTSLEETVDVLFSDNSPGVVGATAVAFNSVCPNCLPLIAKHFQRLCETLPDIEEWAQILLIDIILRYVIAKHGLVKDSSIFASNLRLESQGSGDSAGNETCGTISAITLFRHYIEEYSGCLEGDTINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNMIVFAKTAPQLFAPFYEDFFICASDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKDPDRRFVADTVAAIALCAQKLPSITTTCLEGLLALVFYESSISNSAHFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVRCLDKIKEPAARSLIIWIFGEYSSIGNLIPKMALAVFKYLAWSFAAEVLETKLQILNAAAKVIMHSPEENLEEFKKIMAHVIKLATCDLNYDVRDRARFISKLLPHCITYFNGNDSCQSRNEDMFKGLANHIFDGKIPPTFCYTNSYRIYLPGSLSQVVLHAAPGYAQLPKPQSMELIHKTMEPTRGVGNSSESNNSGAESGSSTYDSGSVYDSESEGGGSSDRDAAASNKNDNQEDPLVHVYDARVDQGQTTRDVEENFASLITTDLTELMSKSALETWLDEAPAEPVQASTQTSSARVSFTNRSFERKPKLHKLLDPSSSNGLSVLYAFSSEVSPVSHLLICLDLFFENVSSDELVDITIKSDEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPQQAAKMILQVHFHHHLLPLKLYVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMYEYARRCTFKDHLQKLEHIDDTEQADKNLLIAQSVASKILNNANFHLVSMDMPVTFSIDDASGLCWRFSSEIMSTSNPSLITIIAEGHISEPLDLTVKVNSEDAAFGPNLLNRVVAIVE >OB01G54780.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33677101:33680172:-1 gene:OB01G54780 transcript:OB01G54780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39670) TAIR;Acc:AT2G39670] MAAPQQVPIRAAPLARALRTRVAAAATSSARSPEASQRALLGLSEPELRQLAVDLGQQSYRGKQLHDLLYKSRAKHIQDFSHVPKVFREALVGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFSRNLHAHEIVEQVLVLEEMFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKELKIGQRMITISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDAKEHAEELAELLRKCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLKNEFQKNPLLESSPSSEPNLIPA >OB01G54790.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33681278:33684176:-1 gene:OB01G54790 transcript:OB01G54790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGAKISSDALSRTEGSRRSRKGAGLSSSSSRVSSASVPATPTPRSEGDILQSANVRSFAFTELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFVPTRPGTGMIIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDMAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPSGEHNLVEWARPYLTSRRRIFRILDARLGGQYSLAGAQKAAALALQCLSGDSRNRPTMQQVVAALEQLQDAKETSHQPQSKMTLAGRGFNGSGSSWSRGNRRSEATPVNGGGRSARPRRLSATASSLPE >OB01G54800.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33693314:33695528:1 gene:OB01G54800 transcript:OB01G54800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF506) [Source:Projected from Arabidopsis thaliana (AT2G39650) TAIR;Acc:AT2G39650] MMLLAKEFALSPPPAATPRRRPPPRVAPRASSPSSAGASPAGDLWLRTRGADAFGSHSHESDMDLAMLVADFLENGGSGGADSRASSDTDSALSDLAHLADIISMYKQGGDEKENELLSMVHSLLFSIHESELLAFNRGQCSASCIRHLLVKLLRYSGYDAAACVSKWQGFDKIPGGDHEYIDVIMNTDTEDRLIIDIDFRSHFEIARAVDSYGSLLNSLPVVYVGTLSRLKQFLNVMVDAAKWSLKQNSMPLPPWRSLPYLEAKWHSKYERIDLHIGQDLHSTSSDHALCIGHLKRLKASLQSELDTERLLMMPIKIDMKRRAKFERRRRSLLSF >OB01G54810.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33702379:33706424:1 gene:OB01G54810 transcript:OB01G54810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MATKSFLPPPFSSSPTQPPPALALALPSARPPRRNSLACSATAQSPDAGGNRNTNPLAPLLDVPRTLWRRTMQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYHAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPECSKEGLILCDKERMKGKQGVSLDALVGIFTRLGRDMEEIGVNKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDEATRRNIKLLIKSLSRLL >OB01G54820.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33706875:33708337:1 gene:OB01G54820 transcript:OB01G54820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGFSGSLTSPRFDLAVDMGHPFLNRTVDGFIKIGALKKMCKEGAYWVDAWPWIDAIEQKNAMLGGAVTGALVSAASNSHRQNVVKNAITGGAIATAAEFLNYLT >OB01G54830.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33709841:33710134:1 gene:OB01G54830 transcript:OB01G54830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGNGWGGGGVSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGSACQCGNGCGGYVYPYAHRCMHFYIYTIC >OB01G54840.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33710035:33710797:1 gene:OB01G54840 transcript:OB01G54840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEVEPTTTTTTTTFLADATNKGSAASGGSEMGAENGGCGCNTCKCGTSCGCSCCNCN >OB01G54850.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33712379:33720083:-1 gene:OB01G54850 transcript:OB01G54850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNVLIDHALAERDRSPAVVPKCVSLLKTYLIRYTPRVQTLRQIDLFCANTIAKCEPLGNPRSSSALPHSSVAVPPISNFASPSLVKSLNYVRSLVARHIPKLSFQPIVQSVAPTSTKQSLPSLSSFLNRSLVSQLTPETLTNRDLVEPKECHTQSDLISSASEKADGGEPGDDSKYISFDILNWRWHVYGERQASASAKESSNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPHQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSESTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVEDALLIEKSETVNHSFMNSEYGSMDDQRATESEQGQRISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGATNGALDTHFLPDQIDRVGGVDYICLEYSRANSREEKRNLFFVLFDYVLHQINETCLAGGLSTYTYDDAQPLASLLSCVDAPEAFYISVKHGVEGVGDMLRKAISAALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFTYMIQITKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSCSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHAVADHSKNRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSSVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNLCRSETLQDISVNQTAQSTLLCETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPETYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >OB01G54860.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33729126:33729632:1 gene:OB01G54860 transcript:OB01G54860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPSPLKFIAELEADAKALLEAALMEANREREKRILGQKQHKGQGGGGMSAEEEESESEEEEACNICFEQACSMEVKECGHQMCAACTLALCCHSKPNPKTLLLHPPSCPFCRTTISRLVVATKKPRLSRRRSRSSSFKGLSSAMGSLCSFRLVDGGDLAHIKPSLH >OB01G54870.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33731109:33735282:-1 gene:OB01G54870 transcript:OB01G54870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:J3L8D1] MPPLFSSPPALRRRRLLHAAAFALVLAAVALFLLLSPFDGSATPPPSSYGHRLPTLVDLTLVHGAREKGAVCLDGTPPAYHWLPGFGHGSDKWLLHLEGGSWCRNLTWCDHRKTTSLGSSSYMERAEFVGILSDDEFQNPDFYNWNKVKIRYCDGASFSGNVQDEHQYGASFFFRGQRIWEAVMSELLPKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKDSIVKCLADGGFFLDVEDISGRRYMRSFYNDVAHLQDLRKRFPGCSSDMEPGQCFFPQEVAKGITTPMFILNPAYDVWQIEHVLSPDGSDPQSLWQNCRMDITKCNAKQLEILQGFRKSLLDAISEFKKKRDWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTVAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLERPFDEE >OB01G54880.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33735789:33736811:1 gene:OB01G54880 transcript:OB01G54880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWRRNAVIPLVRLLHPSGARASSSSSSSSCCSKLFVAGLSYDTNETALKDAFSHHGHIIQVKVICHPVTGKSKGYGFVKFASEDEAAAALHKMSGEVIDGRTIRVHYANNG >OB01G54890.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33736972:33738942:-1 gene:OB01G54890 transcript:OB01G54890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQVQGSTTVSSPSKGKAPPLNERILSSMSKRSVAAHPWHDLEIGPEAPIIFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATSAYETIRHSMDLYATYILEGLRR >OB01G54900.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33740033:33741219:-1 gene:OB01G54900 transcript:OB01G54900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAISRVVKEKRFWIASFLVAWAAALQGHMMWMQRQDAFKQKFSKDDEYDDPS >OB01G54910.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33741210:33742324:-1 gene:OB01G54910 transcript:OB01G54910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSSGGGSGWASPDRTVVWTEPKKKKVASVAVVYYLCRQDGQLEHPHFVHVPCLSDPPRLYLRDVLARLSDLRGAAMPASYSWSAKTTYRNAGYVWHDLTADDLILPAQGNHEYVLKGSPLLLLRPTATDHLQLPAASTKRSHHNRWTSFDLADYNRSGAPAAELIGIDEISPPPCSSSPDSSRQLQQDGTPQSAAAAAGLSRMRASAMLMQLISCGSIKNAVPPRRKGSSSESDGGGQMQIGSSESDVVVQMQIGRGCGDNEGDYFSGSLVEKYTNKSSEYCNAGAGAELRRSSSCNADRGASSSGRMGVDGTSTAARRKALAYAQQRLAN >OB01G54920.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33743883:33745767:1 gene:OB01G54920 transcript:OB01G54920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVSERHPGSQDISEQLVGGRVAAAPHVTTIKSAVAAVVQSAEAAGGMRKGPWTEQEDVQLVWFVRLLGERRWDFLAKVSGLQRSGKSCRLRWVNYLHPGLKRGRMSPDEERLVIQLHAKLGNRWSRIAKSMPGRTDNEIKNYWRTHLRKLKLIQAHSKQQQDATTTTPMPSDDHHHTSSPVASDDDSSSAASSSSNSYSLQPASQAQEDQLLLHLPLWNDDFDCCWSSNVVATPPPMAASPLWDDDALCCSDYSLPLSLWGSSSGHDDYIKMLDAS >OB01G54930.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33746360:33746530:1 gene:OB01G54930 transcript:OB01G54930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILVHVPFFLPPKFHITQRTVVLVKVSQWPLQVGEGKGEAPIQLLLGSLIVINHI >OB01G54940.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33767042:33767818:1 gene:OB01G54940 transcript:OB01G54940.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGCAGAEEAQPRAAEDRDPPHRERGGTAGLLLQAPCRLLQEGQRAVHPLRRRRGGRRLLPRRQGLLLRPPVRRVPPRALPGLVVAGEQQGNARVVVEELNRHGRGAEQAVRGAAGVGGRAQGAAGAGGGDDREGARGGDPVGGVGGHGGGAHGPGRAGGFRHGARGCAGRRGGARRPDAARGAAHWPEATHRH >OB01G54950.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33772419:33773269:-1 gene:OB01G54950 transcript:OB01G54950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGATTPAGLISASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLLKAVVYWVAQLLGSVVACLLLKIATGGEAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAGGPQPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFIGAAIAALVYDIIFIGQRPHDQLPTTDY >OB01G54960.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33776198:33780758:-1 gene:OB01G54960 transcript:OB01G54960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQTLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLANFILSSESFRTSESFSPICLPRYNSMAFLYAYVHFFDENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDVHSQLLSSEMAIGGPAGLWHFLYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDDDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSW >OB01G54970.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33782299:33791014:-1 gene:OB01G54970 transcript:OB01G54970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDGAPPPEDDAAAGLDDGEEEPDPPPAAVPFKRLFACADRLDWALMAAGGVAAAAHGVALVVYLHLFGRAINSLHGRDNHELFDHIKQHALHFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLALRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERTPSIKRQDSFEMKLPDLPKIDVPLNRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDLFDNFHAEESKKQQMKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVDYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSLVHGMGIGLAFGLSQFLLFACNALLLWYTAFAVKNEHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPELMVLSNFSLRVNGGQTVAVVGVSGSGKSTIVSLIERFYEPAAGQVLFDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQMNGLYVKLMQPHFTKGLRQRRLI >OB01G54980.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33793414:33795141:-1 gene:OB01G54980 transcript:OB01G54980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVGPPIPHEIPIPDVIPPPPPPQCFHQMLSSGDPCLDFFFQVVPGATPATTLTQLLAAAWASNPLTALKLLCNLRAERGLGKADRDGFYAAALWMHDNHPRTLLANLASFATFRCLKDLPEIIYRVLQGHRHEHHHDHHDGQPDGPRPRLRFKRRCVDHDHGKAARAILRKEAQLAQALLLRYDSDDNFRLLHGRVADTFADLLKSDVEHLRAGENAKISLAAKWCPSLRSSYDRATLLCEAIARRMFPYESSQEYLHISDKHYAYRVRNRLRREVLVPLRKVLELPEVYISAGKWEQMPYERVASVAMRQYKKAFEKHNKSGLAGFLDEVRTGHARVPVDAALPHELLAAALEGKHDEAAELQWRRMVSTLAAEGRLSNCIAVCGLSGDVAKPPGAAAIALGLLISELSQDPWKGRVITFGETQQLHKVCGATLVHKVQSMASVRARKSRKLNLQAVFDRILNVAVVGGLAKDMMVKRVFVLSDMELEGGAWGVEAMFEAKGFSAPEVVFWNVCATPLMPVVAAQEDAALVSGYSKNLVRLFLESDGKLTPAAVMVDAISGPEYDALEVVD >OB01G54990.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33796207:33797322:-1 gene:OB01G54990 transcript:OB01G54990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSASSAAEAMSMDLSPKRPAKAYSADGGAYYDWSPSDLPMLAAASIGAAKLSLAAGGLALPSYSDSAKVAYVLQGKGTCGLLLPEATAEKIIPVKEGDALALPFGVVTWWHNLHAAATDLLVLFLGDTAKAHTPGRFTNMQLTGSNGIFTGFSTEFVARAWDLPQDAAAALVSSQAGAGIVKVKDGQRMPEASDKEREGVVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGGGRVQVVGIDGTRVLETRAEAGCLFIVPRFFVVSKIADESGMSWFSIITTPNPIFSHLAGRTSVWKAISPAVLEASFNATPDMEKLFRSKRLDSEIFFAPTH >OB01G55000.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33800827:33801435:1 gene:OB01G55000 transcript:OB01G55000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLKDLNKRFLGLSCSSAASPPPPPPARHSPPHLRDPARTPSKKPKKSKQQLVSPASSSRFLLNSSRMQPADDSIIIRNDRELELAPPVTAAAEEEKEIAAAGAGDGRETSQPQVQVVVLRVSLHCKGCAGKVKKHISRMEGVTSLDIDIATKKVTVVGDVTPLAVLSTVSKIKPAQFWPTTPTLSSPSPAPPRASASF >OB01G55010.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33804591:33807915:1 gene:OB01G55010 transcript:OB01G55010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDQRRIHKSTVDGLMMKKKKKGGGGGEQLPPSGGTAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYETGIAKYWDLEKNINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCQDTIVKLQEQRKTSAGQAMGESERVKLLRERVKAIMNGHGRTLPEPGDKNARKSHGLEMEEAQHVRAGEFETQTVLDKIKEHFERDGDISVAEITEQIDELVDKVVDLELMVSSQTSQVDRLCRENSELEDSLRSLEEENASLVSGSDEVNERLKKLEEEMVRVQALESCFHKDESTIRSSFREAISRLSDISEMLQSPAASARTLPDAKAEAEVDPGQKSASAAATQEVDPGEKSAAAATQEVETEAEVDPGKKSASATATQEVDPGEKSAAAAATPAVEERGISRERGSLVRLRHISSDNLGGCDDDDALQAEEGGDEAKKKVAEYKALLEEHKRKLAELERKNQECMQEIKSLRELVSSSEAAAGGGDGSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIPEGDESSSSEVEEVKLELPAVATSSSSSPSPLEDKFRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELLLQLQQASNGDKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFASLCDVQEEITAALEGEEGHGFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAEIEKRLLHGGVALPDADAAAGPPALTRVASKSKVPLQSFLFPAKTKKPSLFARVTPVVLQKQQPDLRFLAKLQPR >OB01G55020.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33808235:33811524:-1 gene:OB01G55020 transcript:OB01G55020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57300) TAIR;Acc:AT5G57300] MALRSAAGYKQVPEEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDSTESVDVHMHQLVGDVITTELLFVLRKWDVAFRALERINSVSHRAMQGTLTDIEEDTQIYVCDINPNMLNVGKKRASERGYKEGHCLSWIQGDAEALNFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKQIYDVYSFSVIPAVGELVAGARQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >OB01G55030.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33813515:33816825:-1 gene:OB01G55030 transcript:OB01G55030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPSPPDHHHLAVAGTREGLLWYKDTGRHACGDFSMALVQANNLLEDASQVEAAPLLFHHHTPTSGTGGPCATFVGIYDGHGGPETARFIVDHFFHNLKKFAMEHQTVSVDVIRKTYAATEEGFLNLVRKQWLIKPLASVGSCCLVGIINEGVLYVANTSDSRAVLGRIERGFRDVKAVQLSAEHASIESAREELRQLHPDDPRIMVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLPEPFHKPILCPKPSIEAHRLCTEDQFVIFASDGLWEHLTNQEVVDRVNCSSRNGIARRLIKTAPREAARKREMRYSDLKKIDRGVRRHFHDDITVVLFLDSALVGKIFYGGPLLSLRGGDGASTLAQK >OB01G55040.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33820446:33821052:-1 gene:OB01G55040 transcript:OB01G55040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSVSSPVDKVDPDESNGSKACADCHTTKTPLWRGGPGGPKSLCNACGIRYRKRRRAALGLDAAATDGAEQKKKAKKEKAEEEDEVTVELHTAATDGAEQKKKAKKEKAEEEDEVTVELHMVGFRSKDAAVLKQRRRMRRRKCLREEERAAILLMALSSGVIYA >OB01G55050.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33821867:33825773:-1 gene:OB01G55050 transcript:OB01G55050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLSCWFLLFFFFSSSSSISVAQMPGFQSIDCGGSGNYTDELGLEWTGDEAYVVGGGGAAGSISISSQRRPYSRVRYFPVDGRKYCYRVGVRARTRYLLRASFLYGNFDGSRVFPEFDLYVGASRWSTIVIYDEAKVVTREMVALAQSPSLSVCLANATTGQPFISTLELRPLNGSLYHTSFEAAFFLGLAARVNFGAPTADPVRYPDDPYDRVWESDLARRANYLVDAAPGTVRVATDKPVFVGSGERPPEKVMQSAVVGTLGELTYRLDLNGFPGSGWACSYLAEIEEDAAAAAARRFKLYIPGLPEVSKPTVDIGENAPGKYRVYEPYYDNISLPFVLPFAFRKTEDSGRGPILNAMEIYSWLDNNMLTGPIPDLSASQNLSVIHLENNQLDGSVPSYLSALPKLTELYLQENKLSGVIPSALLSRGIVFDFSGNTRLRAVKQEQRNVTMIGISALLGISFLLAAALCWYCYVLTRRSRSTVVTNKPQQEEADDAGLHLHASVGTGSIKNNMIKGNEAAATPAALLMIKKEMAMAGPFELGELEAATSNFARRIGSGGFGVVYYGKLGDGREIAVKVASNESFQGRKQLANEVTLLSRIHHRNLVAFLGYCYVDGSSYMLVYEFMHNGSLKEQLQQQKQQTMVMSWLRRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDAQMRAKVSDLGLSKATTNSMTMTTHVRGTLGYLDPHYYVSQQLTEKSDLYSFGIILLELISGRPPILMDSAAGGGSLGPWAKSHYESGDIEAIVDPSLRGQYRDVHSVWKVAETAVRCIDADPRGRPSMPEVVKDIQEAIALERPLVPSERAGSGFSPAAGARSSATVRSHDLVMDNLLYDSSFCDSLNLHRTPR >OB01G55060.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33829261:33830373:1 gene:OB01G55060 transcript:OB01G55060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRRAWPPAAGERHLSNTLVGLLNLITLVASVPIIGAGLWLQAHAGSSPCGSVLQAPLLAIGFVTLLVSLAGFLGSCYHVPSALWLYLAALLLLVLALLGITVFGLAVTAGGGGTQVPGRPYREFRLSDYSPWLQRHVQAERYWRPALACVLGARACDRVAAWTPLDYLSHDLTPIQSGCCKPPTSCTYGQQEAQQQEPDCYRWSNSPGVLCYGCDSCKAGVLEQLRRHWHNITILNVVLLLLLIAVYSCACCAFRNAATAMPASKIFHLHPRWYLSLSRWWHGRS >OB01G55070.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33832874:33838049:1 gene:OB01G55070 transcript:OB01G55070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of mamallian P58IPK [Source:Projected from Arabidopsis thaliana (AT5G03160) TAIR;Acc:AT5G03160] MARPWRWRVLLLLHCLLQSSPVFFVSAQEGQDNDPSNLFKRASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGNYNKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESQDFSKVLEYINKIVLVFSSDCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKTLVKLGRGKEAVSSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEAAQKSPQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYSFHFDGGFPGGGGGFPGGFQFNFG >OB01G55080.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33838918:33839538:-1 gene:OB01G55080 transcript:OB01G55080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGSWSSEEDALLTRLVGQHGPHRWSLISAAIPGRSGKSCRLRWCNQLSPAVHHRPFTPQEDALLAAARARLGNKWATIARLLPGRTDNSVKNHWNSNLRRCLRRQAKLAASSATATTNLQLQLRPEYVLDDGDEPPAIPDPETSLTLSLSLSLPGESAAVVAQAAGTGRLMVVNALRQMVREEVAYSLMHMAAAYTKSNGPN >OB01G55090.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33840299:33842131:1 gene:OB01G55090 transcript:OB01G55090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGRSQMTCNIRGPGCGGDRAVAEDAAGDEPVRVQGVQQGFPAGAESAAAPARAQPAVEAEAEEPGQAQRRHVYMCPEPTCVHYDPSRALGDLNGIKKHFCPSTVRRSGSATSAPSATLPQTR >OB01G55100.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33846858:33864042:-1 gene:OB01G55100 transcript:OB01G55100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKNKGRAASSGLAASLLPHAQGAVPTDVDSEVLQHLKRLGRKDPTTKLKALSTLSMLFAQKPGDEVVQIVPQWAFEYKRLLLDYNREVRRATHETMSSLVKTVKKGLAPHLKSLMGPWWFSQFDPALEVAQAARHSFEAAFPQADKRLDALMLCVKEIFLHLNENLKLTTQALSDKATPVDELEDMHQRVISSSLLSMATLIDILLGVKLQKCGHDCSNPENKSLSRVLSAMLSSAESAFSMHKHFLDFLKSKSVIIRSATYSLLTSYIKYVSHVFNEETMKVLSPALLGAFHEKDPSCHSSMWDAFLALSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESVPSEDVTAEQFVFDFLHNLWAGRNQCQLSAADSLAFFSAFKQSFLWLLKVRSRHSERDSSDDIPIKLINSILAKIVWHDYLLISSAENQAISLSGLSDEATSDDHHLSRKESLLASNMRYPTYYLQDLGKFIIEMLDEISAMEDHLLKIACETLLKDCLDIIHQRERLSNFQNHVEQVVSFFISLDQLVVQKGETWPLERLARPLVEQSVPAIKSMDTPVLIKLLSVLVEIFRPAPLFLKIAHRESKESVQAYLDVFNEFVPWCLNGEHSTCSSKIDLLLSLTIDECFFDQWCSIIKYTRAKQKHSVDDNNSHVEDQFELLTLILQKVRQRIAGGKLRNLQKNGCLPEHWQHDLLDSAAESVFCDLPATDSHVHFVCAALGGSDQDDQICFLSADTVKKILESILKCLTSVLMGSAFEWARSVYVVLLPTEAEHLKVIGANSSLSNIEIAHFAFKILEGSLFALKMLEEDSLFPSILAALFVIEWECSMTLTLDEEHDLEGYKEDYVGYSVRNNSDGHLDEKMHLKANLAESIHTFRQSLSSSFWSDLHPCTLNSLVNILARTVRCALFQTVELPTASTSSLCSEWVMNMLKFICLDHVKLQSFFDLLLSEGEHWPLWVKPSLQNGNAPMKIQLEPVITYETGLKHHQFVAFVDKLVLNLGFGEVILGVPGSTCYNRAQSFGATSSVPSFSRAWVAAEILCTWKWKEGSVFSTFLPSLIQHLKMESCAEVSILSLLLDTLLEGAFHECNEWVLFDAWHISENEIEKIQDNFLRALVALLFSTNNINDCIWRESDALVFFEKVLSNLFIGSTVNRKCVTTLPFVMSTIIKPLSGELKLNEASSYTDLVGKSILSWLDVAISCLSSSPREVAQQGIIDWMQVVLSCFPLNIIGGAQKLEVKIERKISDVERSLLLTLFQKYQIFTMETRSLSTSGTILSTMVELLGVKLIAVVVGYCWTELQEDDLYFVYHSVQKWIESAVLLGEEMTDAINDAVIYKKSNEDALEKLKVVVSAIDELTLNFSQTALVTLYHLNHLVNLQETENFHSLQIIRSEDYAERNNKMMESMLRLFLASGVSEAIAKSCCEEASSIIASSRVAYMHFWELVASFVIHASPQTRRCALESMELWGLAKGSISGLYSILFSSQQISHLQFAAYSLLLSEPLCQFSLVKECSLGLNRPLTQESDMGQSIELMPDAERTLDLREELSSLIEMPTSELLQTDLLAQDRVDAFIAWSLLLSHLQLLPPSSITREKVLQYIQDKISPCILDCIFQHIPLRTGALSGKKKDAELMPEAEVAAIASKNAITACSLFSCIESLWPVGTSQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSNSIESLTRVWCSPPLLLDEFSQVRDSLYADDSFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >OB01G55110.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33858285:33859966:1 gene:OB01G55110 transcript:OB01G55110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPSAIDNLYPLEDPNDTIILHTAFVDDDDIKDLFGSRDGMGWDRANPSLTLFDWWMGGMGWNQERNITLKSRDSSTVIPNPFLPSPSTEEDGMIYVGDLGYMSTPCPSPPLGLDNLYPLEDPNDTIILHTAFVDDDDIKDLINEDIYNFRYMTRPPRDA >OB01G55120.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33869342:33870184:1 gene:OB01G55120 transcript:OB01G55120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDKTLRMARQELEDLYLGLGVPDESVDLTFKDLPSAAAADDKITNLQLVRSSTNIFAYKLQDHEEQPVVPEAPAGLRLSPSPNNNISNNVADEQQQHRRAPTAKRRPGIPHSNICALCSSYVYLFRHRCLVCGRVYCRRCVGAGMGEMTEGRKCIDCLGRRYSHRYIHRAGTKLTASGCCTCLWGYNPDAQAVKVQELLWAEKGPAPRRRPRQSSSSSSSSFASTSTSYGASMISINNNGFGFNSTSRRPQVLAPACTTPTSSSSVASSSPNPHAFPL >OB01G55130.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33872545:33886656:-1 gene:OB01G55130 transcript:OB01G55130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYRLFYVCSIILMFYLVQPTEAQITAPWEVDALKAIRGSLIDPQGYLNSWNRGDPCMRNWSRVLCYNATASDGYLHVLELQLLRLNLSGTLAPELGQLSHMKIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLSGSLPEEIGFLPNLDRIQIDQNHISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPSELSKLPKLLIIQLDNNNFSGTSIPPSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSGQPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLAPPANVTILLSGNPICTSPNQLNITQYCQTTSVIVPGGSESNSTVCQPCSTDLPYENVPMSPIPCLCAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSLYQLEVSNFMWEEGPRLAMHLKLFPNRTAFFNKSEVLRLRGMFTGWLIGDSDIFGPYELLNFNPGWYNEMLPDRTKSSLSTGAIVGIVVATFAAAAFLSSLITLVILRRRSRYSSSKKRSAKRIPMKIDGVKDFSFEELSHGTNDFSDSALIGQGGYGKVYRGILSDGAIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSVRSKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPETEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDNRMGSYPAESVEKFAALALRCCRDETDARPSMVEVMRELEAIWEMTPETGSMASLSVTMEPSNTATPSSGSRMASSSANDHQYNMSSSDVSGSNLLSGVVPSINPR >OB01G55140.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33889988:33890530:1 gene:OB01G55140 transcript:OB01G55140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAAQVATSGGGGGMLGTIMSITREEGVSALWNGIIPGLHRSMERHHPPPPPPMRLWRPPHRLV >OB01G55150.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33891458:33894084:1 gene:OB01G55150 transcript:OB01G55150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLELPGFTDNVFTHLLAGLGAGFLAVCIGSPVDVVKSRMMGDSTYKSTLDCVAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRWIL >OB01G55160.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33900151:33902238:1 gene:OB01G55160 transcript:OB01G55160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVRRRPSLGRQKIEIRRMESEEARQVCFSKRRAGFFKKARELSILCGADVAAAVFSPAGKAYSFSHPSVECLLERFLDSSSPPTQQQGNAPDLLTACINPDSTKTPSVSGIFGEGSGQGLKAKKMKHSDEQEVAEMNVIPKRKKIKHPEVLKETITSTVALDEKEKNRFRVIVGPMDMSTLDNDTGSKAFKDAVMTQMVVPSIPQECTLVYLPVKKGSDWSVYCINKVCQRIDYLIYSSNEEPMDAASLCEPFLEEVPFEQEISSNDTSLLAMNFMEKYNGKLFNLGDKDTKTWSENYRKSVLARLFDQRANAPGGVAKKQPGSQLTAPFNPTFIHAQDHKTVPKFRNRCP >OB01G55170.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33900810:33901049:-1 gene:OB01G55170 transcript:OB01G55170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKQSKIIMLHSRKLTMSIGPTITLNLFFSFSSKATVEVIVSLSTSGLTEPPLKKVYYSFTVTSVFNVINSKLSFFPI >OB01G55180.1 pep chromosome:Oryza_brachyantha.v1.4b:1:33905388:33908406:-1 gene:OB01G55180 transcript:OB01G55180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDITCLTFGLHIRLNFSFCPSEPWRLHTGVTFGLHIRLNFSFYPLEPWRPHT >OB0207G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0207:5299:10477:-1 gene:OB0207G10010 transcript:OB0207G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3L8G3] MSVCVPDSRRMTRDPKCRLDAKSQHVSPPRVSCSRNGMSAGGAHCAWPPRRSSGASVLNRASACVRALTESEGEKEVLELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLSGVTIAQGGVLPNIQAVLLPKKTEKKA >OB0207G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0207:10746:11156:-1 gene:OB0207G10020 transcript:OB0207G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:J3L8G4] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB0207G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0207:13944:14315:1 gene:OB0207G10030 transcript:OB0207G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3L8G5] MPPKTSGKAAKKAGKAQKNISKGDKKKKRKRKESYAIYIYKVLKQVHPDTGISSKAMSIMNSFVNDIFERIAAEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVTKYTSSK >OB0208G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369997.2:2:1304:-1 gene:OB0208G10010 transcript:OB0208G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMMRRFSSTTSTIYTTLSSRLGRINATRRHHHHHLPAAAASRRTPCTAATASRPKSKARRLLLLLLIVPLVLLLAASLLFRAAMSSSSSSSPSDAAAAVAFEKPRTVVKKLMAESQPEGDGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSRNKMIEPGYQEIQSKDIACTTSDGVTVRVIAGQSMGAQSPVCTRTPTMYLDFTVRPHAAA >OB0208G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369997.2:2030:4044:-1 gene:OB0208G10020 transcript:OB0208G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPFDLLSLAEGEYGEAAVAIVVGAANPDLNPSPSCKNGKELSKGQENVRAGHSYNYEHYNRCCSNYSGGYGYHNKNYNATGYYNGGYQRMHQGNDQYQRNRNYYNDGGYGQYQVENYSDGHNKKKNFQYMPKEKHVSGTSSTCSAENKTEEKLVELGSKECTRDVAKDDSKKEGGDPEKKEKGNRKCVSGSLKKKLRKKRDVKGKTGKGPEIAEVFKDEEKTEITLEEYEKMREEKRKTLDASKSEGRKVTSEVFEGMQLLEKKNLEDGNAAKKAENKQRKEGGVKQAKTPKAINLNDFIKPTDGQAYYPRPRRVQENFSQQYCSGGFRQNSRDNSSEPQRDNGDRGGNSRGNSGYQVGYQQGGFNGNVRFHQNREVCYGNGGYQRDQAYPRSNGGNHQGRGYSSNNGYSINGGNRRGGHQGNNDDMNQSNSVLSAANLPALTGSSPASAGTTEKVQPAPAQAQAQTQACLASGAKTKAASQVQTKAQT >OB0208G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369997.2:6418:13404:-1 gene:OB0208G10030 transcript:OB0208G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRVIGVAPDEKVALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINAGFVVLLWGEKSCLNSEAVNGIPLYDFKDITQLGHESRNTLRQSREQDQQVVFETITPDDVATLIYTSGTSGAPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQQYQPQYIVSVPLVYETLYSSIQRQINSSSTARKVIALALIKISLLYMEAKRIYEGTVLSNNPVKQSFIVYMVNWLSASIVAGLLWPLHNLANKLVYKKIHSAIGISKAGISGGGSLPMHVDRFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKIVDIETGEVIPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGSSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAAIRSDLINQIVVVGQDKRRLGAIIVPNYDEALATAKRKSILDGTNELAKDKVLNLLYDELRTWTSGCSFQIGPILIVDEPFTVDNGLLTPTLKIRRDKVTAKYNKEIDGLYK >OB0208G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH369997.2:14212:14478:-1 gene:OB0208G10040 transcript:OB0208G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGKEEEPLGAADDAGVLWPPRHGAPEPERQCLRHPDGCAKGAGGGGSSSSLPVSTLTLSGAPPLLCGEFLGWVEAAASSGGSPGR >OB0209G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370026.2:2:160:1 gene:OB0209G10010 transcript:OB0209G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLAVLRKPLVLLQISMVQKRLKLHVVVLGWLSRLLGLLCLCGSVRMLVKVES >OB0209G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370026.2:785:1003:1 gene:OB0209G10020 transcript:OB0209G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGPCQEANNDASVTQKVTATTLGILKNKRGASVPFSQGSANTIQFQYPNGILSHPRNHANSRDSRLGGIA >OB0209G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370026.2:1212:1418:1 gene:OB0209G10030 transcript:OB0209G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRQRMCSGRCSSLRAATSTAMSPIRNRVDRALGRRPPSAADHALARRQPPSAPQLAGHYRSRPGS >OB0209G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370026.2:15179:15550:1 gene:OB0209G10040 transcript:OB0209G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDDEIGRVMSLFFTDGVLGLDGGVSDQAEREEYDEEDESGCLEPFFYDEAEAVAEAAVAAEKRRLQEEERAREQEQRAKEKKARKALFKRIRKYDPKRGTFYFTRYSFGNPLTFDLNEE >OB0210G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370048.2:12327:14604:-1 gene:OB0210G10010 transcript:OB0210G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYASTKLRPEFEAKIENIQPEHLDEVEITQTLQAKMVVQRKLPSGETKEEIQKMMYGQKRKRNDARSHTKCNVRLPFLFLCLLAKYPYFAMVDKRRVAVHEHQEDMNKEVDKRRVAVHEHQEDMNKEVDKRRVAVHEHQEDMNKEVDKRRVAVHGHQEDMNKEGGKDVLLLSTTWPHVPIAKATLQASYGSKIVGGMPLGSECYEVFVYDVLKAEAPLLRPPGMKMAKALKSFIAWPRAQIQWCNNGDPVKPGLRSHPG >OB0212G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592219.1:7110:9782:-1 gene:OB0212G10010 transcript:OB0212G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKAASNTQGPMSSIEPTINQPSPTPLDMNAAETTNNPPSLPYEEMMPSSESWHTPSDPFQLELAQTAIERPKDDPDYIPIEQAKNKTPFEKYGSITQIEWDKFVARMTTPEAIARRKMSDMAKKNKYPQRLGSSGYNAHVAKWRATEEKLTAQGKPLLVQPLNIAEKEKIGEFVARRENDELTIALGSAEHSARQLGLRMITFKVLDSVFVDGPNRNFVISFKDLHALFKMDEMDINLVSVWCLSQWVDSQRTGAAIGYVNPMMVYQTAHTVARGSCMS >OB0212G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592219.1:10798:13494:-1 gene:OB0212G10020 transcript:OB0212G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVGAGGRRPWEHPDPDVEPLDTNEEDYTEGAEEKQAMDENPKGMMPSCILLKRMPVLPRLLVGVELNVHSVSRSSDDAYAMAAKASWQPDPEVVAEFALSNAPMVSPTMFSLLRTSTWTLSFNDIKFISTSLASLGCPSSRKPMQKMPELRSRVSDMVSHYQNKFDYDQVFFRGIAEAALDKF >OB0212G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592219.1:12312:12473:1 gene:OB0212G10030 transcript:OB0212G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLRSQAGHQSHLLVISVGDPCKNSRSIIGSRNNDSPHHLVGSCNNNNPHR >OB0214G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592220.1:5695:12845:1 gene:OB0214G10010 transcript:OB0214G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGFPHAPCYPQCVQWILDNQQPDGSWGQSAQVNKDILLSTLACVVALKRWNTGPNHIRRGLRFIGRNFSVVMDGQSVSPVGFNITFSGLLKLASEMGLEIPVIKTDIDGIFYLREIELARDGGGTVAARKAFMAYVSEGLGRRHDWNLVMPYQRNNGSLFNSPSTTAAAAIYSCNDRALDYLGSLTSKFGGSVPVIYPDNVYSQLCMVNTLEKMGISSDFASEIQDILDMTYSCWMQNEEEIMSDMATCAKAFRLLRMNGYDITSDGMGQFAEQSCYDDSIHAYVNDIQPLLELYRSSQVRFSEDDLILEKIGSWSAKLLKQQLSTRKISKPLVQEIEYALEFPIFATVEPLEHKGNIERFKTNSFQLLKSGHCANPEILSLAIDKFGSTQSIFQQELQEVNRWVKEHRLDELKFARILPLHAYFCAVVPLFPPELSAARVAWSQNAVLTTAVDDLFDGEGSMEELRNLVEVIEKWDNHAEVGFVSERVEILFKAVYNTTRGIGAVTAQLQNRSVMDHLAELWAMAVRGMLTEAEWRMSKYIPATMEEYMSAAEYSFAYGPIIPASAYLLGEPLPEEAVRSEEYTRLLQLASTVGRLINDAVGVEREMRVGKPNSVVVQAAGGCGAMSLAAVEAAKEEVRRAIRAARWELQRLAFRDGAVVPRRCRELSWHGGKVASFFYREEDAYTNETMWTMANAVIVDPLQLQ >OB0215G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592221.1:4775:5317:-1 gene:OB0215G10010 transcript:OB0215G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVSASTGAMKTLLPKLAEMLTDQYNLHKSVREDIESLQKELEGMHGALEKVSMVPADHLDSQVKIWANEVRELSYDIEDAVDSFMVRVDDGSDEPKKSHGFKKFIKKIRALFPTAKAQYDIGAMIQKIKKEVREVGERRYRYMLPGASTNTVVMDVRTPARRTTHTKNTEDTMSLVL >OB0215G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592221.1:10143:14044:-1 gene:OB0215G10020 transcript:OB0215G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKDRFLGNPASLTRTNHKPKWVRWDLKHDLELFEVPRQGVAQPLAPVMAIVPMADKPVTDGPTGPISEPATKLIEAAPIARPPTDPSIALASSQAPKTPEPPRAVTDTPRMTAAVEEADTGVPGRVIAPSALVVVCTELRSSHGTGGIREGECQSSSRVRQASGIEGSARVRVLEADGLKNQARGCVGGLRRARTLLPSSLWIRHSSYTTTSCTSPRTEVEDVLDISGVQTYVINSANVLFLSKRPQPRGAGTAAGKAAALVGASSASVPSDASLLLAS >OB0216G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370004.2:801:4747:1 gene:OB0216G10010 transcript:OB0216G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSAARSFATGATRFPSLAPAPAPGAVGGGAPVSIQAPSEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEIIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPGAAEKALQASA >OB0216G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370004.2:7938:13158:1 gene:OB0216G10020 transcript:OB0216G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L8I2] MGSPLGPLPLMRRRQLLRPALIVVLGAVVRAGKIATEVVVPDFAASTCVWVGNRDAPITDRAAPLRLTARGISAEDPNGTAVWSTPPFGSPVAALRLDDSGNLALLDGKNRMLWQSFDRPADVLVSTQRLPVGAFLASAVSDSDYREGGYRLDVTAADATLAWSGSLYWVLSNEAKATRDRDGAVAFMAVNGTGVYLLGADDAVLIQLPLPAAELRIFKLGVDGKLQITSYASANASSPSPTDAGFVAPDGGCELPLSCGALGFCSPNGNASSCTCPPLFASSHDGGCAPADGSTLMSAASCGGAGGVAVPTSYVSLGNGVAYYANRFSRPDMAGSNGSSCQALCSSNCSCFGYFYDSSSLSCYLVRHQLGSVINANTTRRGDMAGFIKVQSSQPPGSTSGSSSNSTLIAILLPTIVAFVLVVVVGALVIVSWRKQDRREGRRTSRSTRDMQLRRHRSPASDSAHLVYGNDDDGDDIVIPGLPTRFTHEEIEDVTNSFRIKIGSGGFGAVYRGELPDGSVVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCAEGQRRLLVYEYMNRGSLDRPLFRPAAGQPLEWKERMEVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGGAGAGSGGGDDDSKSSNETTGSTSRGERSDYFPLMALEGHEVGQYAELADPRLGGRKVNAGEVERVIKVGLCCLHEDPQLRPSMAMVAGMLEGTMELWEPGVQSLGFLRFYGRGFAGPADGSGSGAIKQAMPGSNGDRTRSGTTTLTTMSGWPSYMSAAQLSGPR >OB0216G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370004.2:14389:14833:1 gene:OB0216G10030 transcript:OB0216G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding XEAAAEWGLFQVVNHGVPAAAMAELQRVGREFFALPQEEKARYAMDASSGKIEGYGSKLQKDLEGKKTWVDFFFHNLAPPAMVNHDIWPKHPAGYREANDEYCRHMQRLTRELFEHLS >OB0219G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592223.1:204:959:1 gene:OB0219G10010 transcript:OB0219G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSSLWCQIWHSTSLSLDMRAFSTNENNRIIIAGKILAAHRGPIHYIVLVSYCLERYNATFEDWLKLPMLNNLSQLDFQFATKNTTTDQEADMTYSLVLSSLCFSPTLQVVNLSRCCIPDDLITRPLHFPKLRKLNLHSIRASEDALHAMISACPSLESRNINYTIGLPRLCIRPASIRSLCIGTTHGLKQEAIFQERVVEDAPLLERLIPAFLDDGHASIRVISAPRLEILGILRRFISRLEIGTVVI >OB0219G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592223.1:10349:12118:1 gene:OB0219G10020 transcript:OB0219G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRESKRRRSRCGFPKKGHVCAAADADGGGAGSQLPSPEAEKKTKVDGAAASQDPPQTDKISALPDDVLRSIISFLPTVDAAQTQALSTRWRPLWRYAPLNLDDGELNLSEDIIPGAISTILSAHHGPIWRFSVTKLARVNEFLGDIVSALEAMLRHQTISSLSELRLHYRPSTTAPAPFPPAALRFSLLRVASFGHCSLPDTDGAIGPGGVVFPNLQELTLLDISNSEATLHAIVSACPAIRSLLLCDNDAFRHVQVRSGTLVSLGLCSRSSQLEELVIEDTPNLERLLMFRSPRKLPRVVRVISAPKLEVLGCLSNGVTEQEFGMTYIMPQTLMVDSIAMLRTVKILAFRIDETSLTAAIHVLRCFPCLQKLDITLAEGLFYPQIDHNGAIHNTATVECLDFHLKKMVLRNYRCKKSYAAFAKFFVMKARVLELLTIRTCVGLNKRWLSSHRKLLYSRKKVSANIRIEFSADDYFVDYKNGERTHQLLVADPFDD >OB0220G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370046.2:13275:14090:-1 gene:OB0220G10010 transcript:OB0220G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFVPNGTLFELLHGGAGDGSRRRTRTPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDGLDAKVADFGASALRSMGEGEFIEFVQGTLGYLDPESFVNRHLTDKSDVYSFGVVLVELATRKKAVYDDGSGSKRSLSTVFLAALRRGELWSVLDRDLAAVDDKAAVDVLQGLAQLAAQCLSPTGDERPAMKEVAERLQVLRRAEMQVAAGAGRDSDDGQVDQWMHGGGSVGCGHLDTTASYTTTETERLPLSADLAR >OB0220G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370046.2:13347:13994:1 gene:OB0220G10020 transcript:OB0220G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHRSSSMHPLIHLTIVAVPSGSGRHLHLGAPQHLQPLRHLLHRRPLVPGRAQALRGELREPLEHVHGRLVVDRREVTVEHAPELAPAERGEEHGGQGTLAAGAVVVDRLLPGGELHEDDAEAVDVALVGEVAVDEALRVEVAEGALHELDELALAHRPQRRRAEVGDLGVEPVVEQDVEGLHVAVQDRARRRGVQVRQRLRGLRRDLETEAK >OB0224G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0224:8425:8595:-1 gene:OB0224G10010 transcript:OB0224G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQPLWMLIFMVLPPWCNLNGMVLAKANTSLLGCCGVKDNFLRPWQQNTHVTFG >OB0226G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592224.1:10180:11280:1 gene:OB0226G10010 transcript:OB0226G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGDEMMVSGDEMMVSGDEMMVSGDEMMVSGDEMMVSGDEMMVSGDEMMVSGDEMMVSGDEMMVSGDEVMVSGDEVMVSGDEVMVSGDEVMVSGDEVMVSGDEVMVSGDEMMVSGDEMMVSGDEMMVSGDEVMVSGDEMMVSGDEMMTLLQDGSNEGFTLAGLPMGFSLARLPHEPDIMLEMIYMRPCCIPGRLVPYEDGIEMIEITGEYVFVEIESLGYAFGCQHQVVDERKT >OB0228G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370047.2:10524:11274:-1 gene:OB0228G10010 transcript:OB0228G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKSRSSSYSSLDVINGRSILSPPLQERELLQLAGRYSAEERQERIEKYRSKRKHRNFGNRITYACRKRLAQGRARVKGRFVTNSSGNDALAHEPPINGAYAAIQSIVPEWWPEMQASLAGDETCGGASVNLHMCDANEMEQLATYIGVSSIDLYTYLHCSSPPS >OB0233G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592225.1:813:4073:-1 gene:OB0233G10010 transcript:OB0233G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLALVADRSVVVAAAEQKPPIARQNCPDKCGDISVPFPFGIGPGCFLAQQFEVYCNDSATPPRAFLASTTDTSQKTAEASVFNATAPLVLNESAIPPSPIELVDVTVASNELRAYGAVSSYCSKSAAEQVLRLQLTTVEPSKTLWPLTLSMKRNALVGVGVNVEARLASHMYMDSPNSDSWLSTSCASQESIGGRFYSPMNGSCSGFGCCQVPFAGSADDPDAVPRFAVSFKPSNGSWGGTNPCSYGMVVESSWYNFSTPDLYGYEALSTKLQRGVPFVVDFAVMAGQNGSCPAEGQQPPPGYACASDHSICTNTSTSGDRYVCQCKQYYEATPTSPMDAMLLTGSLLIVFKRIPNHYINECDRPDLYPCSIHGDCKNRPEGYDCPCKRGMKGDGKADTCKEIFPLIARVIVDH >OB0233G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592225.1:9349:9870:1 gene:OB0233G10020 transcript:OB0233G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWQEDRVLPPLHDGGVIVEEVVETSDVSFEGDHSTHYRHCRNPRGSAPPPPLLGTPAQGGDLAVAAPTAAGADDRAPIINEQVRGHPRVNERHRLLFGTPEGALQAAEAIPRHPPVTPDPGTNAQQWLDDVAKLVTAAASGRRLDVDGCLPAHDTDHSRRVLMGPKEGHCG >OB0235G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592227.1:19:371:-1 gene:OB0235G10010 transcript:OB0235G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTKQVVLFPFPAKGHLAAFLSLAGLLHRLLPSTTITLVSTPANVAALRAGAAAAPFLNLHALPFDAAEHGLPPGSDSPDTIIPALIIRLYEAFETLRPAFDGFIASSLAVAGR >OB0235G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592227.1:3475:5784:-1 gene:OB0235G10020 transcript:OB0235G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGKWVMDIVLHTAMLAGNAVVDLWSGWSTEIMLGVSFAAQLVLTVTAGFRWRSASPGLRNVIWFFYVGADYVATTALGHLSLSGTSGRRRLVAFWAPFFLLHLGGADSISAYELEDNQLSARSVLELVLRVLGALYIVYKSINGSWVLGPASGLMLFVGVAKYTEKTLALSRANLANIRAAVERQRRRRPRGDGGGSERRLPKFAAAADDDEGNLVMKAHALFHICKNSMVDSSVDQSASNTYDATADHTKETLFQLEWKQLFMVMEMELSLMYDFLYTKAGVIYTWHGYAIRAVSPVFTAVSLVLVELSNVGGRHKRSDVVITRILLVSTFLLETASLLRAVASTWTGFFLHRHLRQGWIRHEALCASRWLRFRRMFASIGRLANTQDHRRWCGKMGQLSVLQLVTGGDRQQDHRSWDKECKRYSEKKTMVIPQDVKELVFRRVRGQLVDLRARMNREVAETGADMDLRKMAANLRTKRGQLALQNFNLLSELRWSLGDELQLGILTWHVATEIYLVLSGRARAATRAGAGGEEEDAVLARPVRAIRTLSDYMMYLLAVRPDMLPGLVTSKLFELTCEDVARIWSKHQTPSAADVESPSPSSSPGPSASAAAAVPRRKFFPLRNRWRVSPRLGHTRWEEEELARRLIDQWRGRDDASGGGIALNKYLARGVELAMKLLDLEAKGKADMLQVTLEVWVDMLFYASYRCSKESHAKQLSQGGELTTVLWLVAEHVGLFLVRKSGKGVEEDNWRRRKDAKQARKMMMS >OB0235G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592227.1:9480:9850:-1 gene:OB0235G10030 transcript:OB0235G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVDYRVATGDRVVEAGLRLVADGEDTATTTLEDEAPAVAPGEEVGARLVGATESGAKAATRRSGQRRRHRSRRFPERRWGASVAAAPGAETGTSRWWRPERRLV >OB0235G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592227.1:9542:9946:1 gene:OB0235G10040 transcript:OB0235G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTRPTSAPGTAATDAASAAPTDASPPSLPIPSPRPNAPPPPLREPPRGPHPPASSLLCPHHLLLGATLPPRPCLRLRRPHLRPPTAGVLLTCHSIIDHKIHGLLLLLVHACMHINRCVWIWIVLSSAHPART >OB0235G10050.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592227.1:10373:10591:1 gene:OB0235G10050 transcript:OB0235G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCFCLCMHACISIDAYGSGSSCPQLIQLGHDDFSGVGVFLSRERQRSISWRKPTSSAKRLTRQASVNVHN >OB0236G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370038.2:3633:3893:-1 gene:OB0236G10010 transcript:OB0236G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYHNSGTVSANAAHAPHNAAPGETTACFYHPLPASMAATSSATHQATAVAMTPSPGMKDSFAQRRMATRRRRPSSNEVNDDDVR >OB0236G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370038.2:7262:10930:1 gene:OB0236G10020 transcript:OB0236G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVENCYVFKSRLQEYAQKAGLQTPEYHTLKEGPSHEPIFKSTVVINNTKYDSLPGFFNRKAAEQSAAEVALMEIVKSIPTNANIPAVQETGLCNTGSQQLNLREMRREDDHHRLLAMLARHRRLAAATTLFSTLRTARALNSLLAAICSSPVFLRIASKVVLLAAPSVSPDVTTFRILTSTLCRARCPAAAADLLCCMPSLLLDPDPASCRAVLSSLCQYAPAQDAEAFLEKMCHWGIYPSRSDYHGIFGALLREGMIVEAYEVVKNKMGSDRVAPSLAYFKLIMQAFSESAEFHSVEEVFDEMLLRGLVPDVGDRMDMVTVREVLQDAVRRGLRWDPAALSELVDLLWAGVGATQAQELLFEPLFMRDAPVLRQLIGALCKQGLLGPAAAIDV >OB0237G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592228.1:2969:3658:-1 gene:OB0237G10010 transcript:OB0237G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEDGRLRPGRRDLATIGRGEPIPLGSGGWKAAGKGSGSGHEQVAEAAAPAVLRRSSGEGAAGKSSWQMDVGDNGDCFVKKQINSLHRLRLG >OB0237G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592228.1:5604:5789:-1 gene:OB0237G10020 transcript:OB0237G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTSFVSVVGYPLCGSNVSTKMAESIQFLQRIQGHAYLPILCLIVHACILVIVDDGSNR >OB0245G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:super0245:3:1220:-1 gene:OB0245G10010 transcript:OB0245G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCGAMEGEVVAASPFFEWLKPPAAAAPSSSSRSSSFSSSSSMMSRDRETGEDGGGGVQEDSSGMTCLPLLSMLEEGKRPEYPVKEEIVSGAHAAGVLEPGVDLNIGLPVTGSSAQEFTMEEAEEDYGEKEDDDDDDDVGEEEMDEWKPMHGGCKVEGEEEQYGEAVASVEGSSSITVGEFGGGVVAESGVAMSNRYWIPTPAQILIGPVQFVCHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCC >OB0246G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370041.2:6591:8153:1 gene:OB0246G10010 transcript:OB0246G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVACDDDDEQTAENGGAPMLSNYNALAPALADTPHWLSQLKLWRRNADYGIAIDWSSVRSTCKEWITNPMNIALLLWLLCVGASGTMLVLLLLGLLDGAFSTPASRNHWIEINNQVLNALFTLMSLYQHPVLCHHLFLLCRWRPADASELRAAYCKDGAGPHPRERAHMAVVVALLHLTVACQYVLCGLYWGYTKKTRPELLENGFFALGVVAPVVAVVYTVCSPLGKNNLCDLACPEAGSVTQHLTDTGNAVVEPEWAGGMFDCGGDATAGCLSLSCTFCVFGWNMERLGFGSMFVHTATFVLLCFAPLWVLGVSALHIHDVVIGDMVGGAGALLCVCGLLYGGYWRIQMRERFGLPASRTCCGSPSVTDYARWLFCWPCALAQEVRTASLYHIDGETFYKKLPVVADDVEQEKRHPLLLASHHVQFHAPPEAMIMAASDGSGDHVVIVREEMVPPAVQVVVEQVVEADKSDEFSVFHDEMKGSLLAVTEQEEDRLPSLSEGSWTVDRVKRLINVVT >OB0247G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370034.2:3732:5589:1 gene:OB0247G10010 transcript:OB0247G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFPGDLFLLNFRQPAECFAGVDVQLIFNSFISIYILKLLQPEAKQPNLTRCSPALVAEACRASFDKHHEVLKETTLDVVACMTLYSLEKPNLIQWLSAPILTGCKMSKGPFSMPSTVTTTTSSTDGDNGNGFGDVGEDLTHENLMDLLTPDFGKLPLSIETRLKSESNKKRRIG >OB0249G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592231.1:1118:1624:1 gene:OB0249G10010 transcript:OB0249G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRRMVQTNGQITTVLIVSIVLAATVAAHVEIGEVGEYLQKRAQEARLKNHGGPLHDLVNTATRYHQDLLHRARRSTLDDEAYMLKGSTTPRDEASSVKSGDDHQIVQDHNGIQV >OB0255G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592234.1:285:7341:1 gene:OB0255G10010 transcript:OB0255G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15730) TAIR;Acc:AT5G15730] MATGEVVAVKVLASDSSQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGEEGKADWDEILDKNLIAGNIAEEVRILADVAYRCINKNPRKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELSDLTSMKELTPITA >OB0255G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592234.1:3992:6094:-1 gene:OB0255G10020 transcript:OB0255G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVTSLSHPSTTSSAEFDGRRSEERRKKGLIVAAASGAFASIPLSSLAMSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDTGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDVQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNGVDPIPVNSGLGGAYYFKNSKGDNTAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDHDNSANVPPTVLVKISHPVFNVNECVNSANKMASQDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGAGKFGNQTQLIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELEYIANLDPMRDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTGMEDQPSELEVVCMEARRLTMEREESSTENEPGDEDATQFELDCEDDHEIPKAQPAYHFELKGGSSRNPLSKLDEATEEEEYDIEEEESNAEKLGYPQAVNKWLPNISKLSTSLNGVRLSDKIQRQLPAAPMVADSMKTSDGNNSHSGSQVGNWRSANEQLPTSASFVKLADMGAETWVLFLEKFQELLPGAFRSRKCGTTGQRARQRLGTSCQF >OB0255G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592234.1:6599:6757:-1 gene:OB0255G10030 transcript:OB0255G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDMSRSHLISWPRFRVSKGQLIFMVEWMGDSMNPNDEAVKEMHLVFNEHIM >OB0255G10040.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592234.1:7300:7521:1 gene:OB0255G10040 transcript:OB0255G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKPTNTHEKSGRNELLLTPDPMRSDGKWRPAAGGAADLASAPAPSVVFDDVESRDGNGTRDPVDIYWVWI >OB0257G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592235.1:133:6197:1 gene:OB0257G10010 transcript:OB0257G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFIAAIGLLFSVWRDFDVLKDCVAAINGLYIALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPTSLGSLSSLTTLHIQNNQLSGTLDVLQDLPLKDLNIENNLFSGPVPPKLLNVPNFKKDGNPFNSSIAPSASPSSTPTGSTPTQTPSSPSSPSGTPSASNSPSNSSGGSTARDSSSPSSRKHKSSTLRTVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPDRRQVREINLAVPAALEKPPEKRKEHAINLERSESEIFASAPPPPPPPPPPPPPPPPGPPPPPRTPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVARVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALEYLHDKCEPPVVHQNFEPANVLLGNGFLVRVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHEAGTLTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIESLSKMVDPSIKGECSEKVLSRFADIISCCIRPEPEFRPSMSEIVQDLARIVSVTGEESE >OB0258G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592236.1:1:3564:-1 gene:OB0258G10010 transcript:OB0258G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRGAANYTALTPLWFLERAALAHPARASVVHGPVRYTWADTYRRCRRLASALARRSVGHGTTVAVIAPNIPAVYEAHFGVPMVGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKKPLLIVIGDQICDPASLKSALSKGAIEYEKFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTVVNAPPADTILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDDLPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVRHPDGYVEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLGAAG >OB0260G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592238.1:361:2181:1 gene:OB0260G10010 transcript:OB0260G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFARLRSTGRKGALVWRVKHKPAKKSAAPLHIPSAPDTVSPPLPLLPEPPLQVPAMANTNPNPHRFLREGQLVAQGESSVGDRWAVSDCKQIGEAPIMAQAHSEMKMLAYSEMEIIEVEPLDCQPPESPQTFAVMPPAAPIKKKNGKTLYSPYRRQSSRLLRNKEELQVDPKMGIGKPKGRSVKKLKELAGTSKLFEVGSLNESDFSESNTFNSDSSPSDCSISLLQRMGEEFGLAPEEIAESSLVGESRKRMPRPSLE >OB0261G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592239.1:6115:6353:1 gene:OB0261G10010 transcript:OB0261G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSRRVLKPGMHKKADEREALTGRTAPTPIFCEGFELEHTCRDPKDGELCLSRVKPEETLVEARSDTDVQIVCLTWV >OB0261G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592239.1:6194:6376:-1 gene:OB0261G10020 transcript:OB0261G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVFCPYTQVRQTICTSVSLRASTRVSSGFTLLRHSSPSFGSRQVCSNSNPSQKIGVG >OB0261G10030.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592239.1:6753:6920:1 gene:OB0261G10030 transcript:OB0261G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWVSEIPTVPVYYPAKPQSREHAWRNQRGKKTLFSLTLVGLCEMT >OB0262G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370062.2:168:3644:1 gene:OB0262G10010 transcript:OB0262G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDSRRREKRAKLEESIKGTVTLQAYTGMAVTVMTYLTFTWSTVVLLGGFVSSLQRKDFQCLTVITVIDATRIFNDMESRGRPNFYGFWKMRRTWTTGNDVLLPLVSQIRRLGSPSAPWARLVLLFDVVLSKLANAVLIVLVLFYVSGPYICIGLALWRLNHRDYHHGGGAGDGGEANLTPALDFFYALALCQSLLYYLLIRLLKLDGVNILSFYEQSLFPREWCYTSVTAYIQHTRELCESDPGLSKASSLLTYAIGLLDAESQKEYLSGARILDVLINDGEDARSIVLGSRSKVQRLLDTLGSRSTAAGSGNGNNDTEIRVLAARIVADLAGGIRLSQFPGAIRSVSTLLETTGQPYCNNGHLHTATVGDHLQEQNKIGGGGNGGGSSSNELILQGLRILEGLAPDARNCTEICTDRRLVAKITTPLYSATLMQDIGGGGAGGGGVVHQLIRVRVTAPAAAGSLRHEISSDEHAMSNLEGILHRRSEAAEAIGQELQMRAMEVLTQLVLDSSVSISSETREQLVRKQLEIFLPHGDGVEATGEYDSKRMLTATAGETLVSILSKCKPISLFILRERNDIIDHLSGMLDGKHSIRDRTMSARILENLCTHCDQHIKEALLRKVLTEILKTSTKSEITTAAPGSKVGIRKKYSQGGDLEKQCPNKKGQKAHGKSSRGKNKDQHENVSSKKDDDQQANDSSQKKDERTNDSSNKGEDQPANQELLEAQLSLALLLHAQLFYAESSSPVIQDNGPDDQLVKKLKDVVDGNDQATPISLRIVKLCGQIAASIMRRNPYVSDERNKFVESLSVVSKTMANLESCMLFGENDCGLQRTARPLLSDLEEELKRLVR >OB0263G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370087.2:514:1206:-1 gene:OB0263G10010 transcript:OB0263G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGSAYPYGAAGGYGAPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQPLRVAGAVGVPAGDGPERGGVLPGGGPGRQRDDRRQGAAVGAVRVQPELQPPHRPPPHVPLHQHQRPQDW >OB0263G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370087.2:3327:5432:1 gene:OB0263G10020 transcript:OB0263G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVRACMPLPTPPAAVASSSAAPSTDAQRRSPGARVLVLGGTGRAGGSTATALSKLRPDLSILIAGRNREKGQSLASKLGDQSEFAQVDICNTSMLEEALNDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDICDDTDYSWRAKGFHEQAKACGVPAITTAGIYPGVSNVMAAELVHAARSEDAGEPERLRFFYYTAASGGAGPTILATSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGARKKDVYLLNSPEVKSAYKVLGMPTVSARFGTAPFFWNWGMQAFANFLPVVCLTPQIMLYQVTLSVPEIKEI >OB0266G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592241.1:29:286:1 gene:OB0266G10010 transcript:OB0266G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKGTRCEYLPFGTGRRRCPGDIFGLALLELIVARLLYYFDWSLPGGMQPDEVDMECVVSATMKRKNHLQLLASPYVVVPVQS >OB0269G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370051.2:1161:3067:-1 gene:OB0269G10010 transcript:OB0269G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSVAGGAMDKLVLFARKAWFVVRVMSGYEERRIRAYRLQMQQRIERVKGKKEKLQKQPEQIILSEVRQMVQQMEALNQQLEETETAIDEYFKPIDKSAQIIMDMQLDKEETQAKEMAKIMKEQIAMQREIASKRAQATFIRSKDAQQSEKVAECSPKEDAIK >OB0269G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370051.2:3933:4422:-1 gene:OB0269G10020 transcript:OB0269G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKLAHTTICSIQSLFRILTYTQQSKRSHTLSQPCRCSQEHMTASSLLLFQTYIKSSSSHTHEHPSIHTQTKTRSSRHTLKLTNSIIHRPSAGLCIHAQTQDAYYFRDMVSGQLGHIPSHCH >OB0271G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592244.1:4452:5073:-1 gene:OB0271G10010 transcript:OB0271G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVCMAMSVPTVKILVLQSVGPNLGAVVDFLKYFPCLEKMYIKLSLQPNVKNELRNYHPGPVHCLEHHLKSIVLKRYQEKTPMVNFAKFFILNAKVLKVMTFGVRDIIHKNEKWMTNQRRKLQLDNKVSKEALFDFDSKYWCDYPESTKIDDFSISDPFDLSV >OB0272G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370074.2:3080:3460:1 gene:OB0272G10010 transcript:OB0272G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKIVIKVSMPCGKCRSKVMALVAAASGVNSVRVAGDGTDRLEVVGDGVDPVCIANRIRRKIGYAEIVQVEEVKEKKSVPPMPVPAMPLPPELCYGYPLPCYQHHHPPPPVYVYGEQPGGSCPIM >OB0273G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592245.1:129:5918:1 gene:OB0273G10010 transcript:OB0273G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAELRFTQFVPSRLYGVIALAGFIGQDGSHSNSGGAVSAAEFQAMQTQITQLMQQLQAFQHDLRHAPNMEDQPDDEEPVEDDDPAALEAEAARRATAGGGGRGRGAGRGHGAGFGNFGVPNMRPQFFGRAQRVPIGGAVGFDGDPNFGYRDDHRAGYHGRRGGYDDRGGGHFDAFGDHQFAGDDVHRDRRRGDLERRRGEDGLGKVKVSIPAFNGKENADSYYEWETKVEQIFDLYEYPADKKAKLAALEFKGYAITWWNQIRAEYHRVGHDRITWEDMKREMRRRFVPAYYSRDLHLRLKRLVQGDRSVEEYFQEMEMSLLRTGIIEDEESMMARFLVGLNKPIANKAECPNRRVILTLADGSYDSQSEGEDGNHDTFFNEDNLETFEYEAEDGECELGLNCLALKPIHYAGEQHMMQPIVSHLTEEITCADFDDLLDDLDIHVSPGEDSLTEPATDPSKNTFITLLAAPCHSFSSQQGTIIDAASYQVVHEVICAPEPVAAEQGQRHNLFQSRCKVKGQVCRFIVDGGSCNNIVSAMLVEKLELKTHRHPHPYHMQWLNNSGTVKVTAMVRLPFSIGDYHDEVDCDIVPMQACHLLLGRPWQFDVDSVHFGRSNKYSFVHKEKKVVLVPLTPESDVARMKREESEKRKMSETHNNSKGENPKPPHSIKPTKNKRVPQNTKCLLVTKGDLREIQNTTAPFFVLLHKDTLISTNTLPSTLPTAVLDLLQEFEDVFPDEVPTSLPPMRGIEHQIDLVPGASLPNRPAYRTNPDETKEIERQVKDLIDRGYVRESLSPCAVPVLLVPKKDGSWRMCVDCRAINAITIRYRHPIPRLDDMLDELCGSIIFSKIDLRSGYHQIRMKIGDEWKTAFKTKFGLYEWLVMPFGLTNAPSTFMRLMNHVLREFIGKFVVVYFDDILIYSKSFDEHIDHIRQVLTVLRAEKLYGNISNCTFCTDRVVFLGFVVTADGIQVDEDKIKAIKDWPVPKNVSQVRSFHGLAGFYRRFVKDFSTIAAPLNNLTKKDVPFLWGDEQERAFQELKRKLCEAPLLQLPDFDKTFEIECDASGIGIGGVLLQGGKPIAYFSEKLNGPHLNYSVYDKELYALVRVLETWQHYLFPKEFVIHSDHEALKYLKSQGKLNRRHAKWVEFIETFPYVVKHKKDALSRRCALVTQLDTRVLGLESIKTLYVDDSDFSEPFSHSIVGKGWDKFYIHDGFLFRTNKLCIPACSIRGVLLQEAHAGGLAGHFGVKKTLDMLSDHFFWPHMRRDVERHVERCITCLKAKSRLNPHGLYTPLPIPNVPWEDISMDFILGLPRSQMGSDSIFVVVDRFSKMAHFIPCHKNDDASHVADLFFREIVRLHGVPKTIVLDRDAKFLSYFWKTLWGKLGTKLLFSTTCHPQTDGQTE >OB0275G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592246.1:1160:5627:1 gene:OB0275G10010 transcript:OB0275G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSLALSKGADKKILCQLSMLERSMAQGSEDQAQLVEESIKHAKEAVMLDIKDGNSWYNLGNAYLTSFFVGGSWDHMKLHHSVKAYQNAEKDEATKTNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTELQKITSLLDKLDSAMKGQLRSKRLASSVSSLSEVNIKLSHRKATIGILSEGLNKAVAVVSKVILFIRHDNVAPM >OB0277G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592247.1:5:256:1 gene:OB0277G10010 transcript:OB0277G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGRAPDQPATLRRQHDRRSCSPTCPPTRGPRSELRLEVLPELSPAAHEVLSGLLAFDPEKRMTAAEALEHRWFTETAKKAD >OB0277G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592247.1:5414:5638:-1 gene:OB0277G10020 transcript:OB0277G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGDMKKPGINTSQSQTAEEAKRNLSEVFNKPLSASHTRALAELLGIEFAPPTPLSLEDGDAHQDLHLVVASA >OB0290G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370088.2:14:682:1 gene:OB0290G10010 transcript:OB0290G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALAYFAFFQCQSHTFFSQDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWK >OB0290G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370088.2:5012:5116:-1 gene:OB0290G10020 transcript:OB0290G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding REKGQSLASKLGDQSEFVQVDIRNTSMLEEALNG >OB0294G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370089.2:1899:3251:-1 gene:OB0294G10010 transcript:OB0294G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSISLGDLAKCLQKLWHLLFDVLSKIQALAPSFHEGDQPSMAETVTANPLPELPQDMLVEIFSLLEIPDLVRAGSVCNSWRSAYNETRSLGIYKLSQTPCLLYTSESAGDSAVCLYSLVEKRQYMFTLPDPPVRSRFLIGSSLGWLVTVDASSEMHLVNPITGQQIALPSVATIEHIEPIFNESGAIHKYELSWYSGSKVYRTEPSVFTLGELRDYMYYKAFVFFDTSAKSYLVVLIHCPLSQLSFARVGDDEWTWIPPRTFYDDCIYVDGHLYASTEGEVHVFDLSGPVVTMKTIIGKVPLDSVYNKMYIVQAPWGGLLNVWRTYEDNDDLDDPDARNTGEIKIFSIDATEKKRVEIKNLDGHALFLGLNQSLCLSTKEYRSLKENYTYFADDNDLWLFGFRENRRDIGLFDLKTNSREELVAPQLWSNFPAPVWITPSFAKILPV >OB0295G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592252.1:1128:4630:-1 gene:OB0295G10010 transcript:OB0295G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFFPTCSSLKAEMEEMCKEMDGLRSEVEALTAECRAKSELADGLRRAGAEQAARLREARAEMERQAREIAARDEEISSSGEARRELEARLAEKEQALRNLCAAHEALKGSARERGEGLEAEKRGLVAALEESEARRQEQEVAARSSGEEVARLRMMLSEKDKKCSAAEQRALAPREVMMRDDMLVKLEEEKSAIAGKLKWKSEQFRHLEEALKKVQDEFRSARKEWGSDRAMLVDQIGTLEVNLDSKTRMAEEFRSRLEMCSQALAHEEGRRKRLEAEMSELKHMCGNVVSDYEEARSMIESLTAKRDGEIASLRSSLAEKVTLLKEMEYAKARLEQENEDMRTSLKEYQEAQIGAADAAVSLKGLREKFRVLEQTHRSCTEKLRDKEAECKLQMEKLGSDLDACLSQLGSKDMLIGQLQNELLGSYSSLDMQMLQNWEASIALTVVESKLYDSCSYFTAMEVDMQNSRAQLEHNFAAARKQLEENDCAIAQSQAEWAEQVEEIATLHQRIEQLEHIEKEHEEMQRQLDTYKVDSASRHVHCLKGEASEEENSLNEKLQKALGDLDEAYSALYEKKSELSQKEINLHKQKEAMEHLEELKLSMENELKGYRDENNILKRDLIAKTEIEESLREEKEKLLGALNEANFALSEKKCELKQSEIILHQQKQTLEHLEELRINMETEINGYIHENRVLKRDLDDTHIAKLEAEKTYSEEKEKLLCALDEAKCCLSNKKNELDQVTNNLHRQMKAVEQLEESRVDMEVKLRHYMDENCVLKSDLVAALDAKVDAEESLREEKDKLCNIIDERYRNIEELQQYIAALEQENLDKKLDVAALIKSDVDRSIQEVNRKYSEIVEVFDKKLLELETRVGFFEQEYTCREQELMEMFDQEEADWYTLIAEKENTISDIQVIVESAQGDIKSLLEAAVEKLAEVQIEVQQLYGFAENLNSLNLIQEHDNLFKGMLIVECERELEALRVNLALEKEQSSNLKNALEQHKARTTTEMLEKEKKHLEVTNKMKSFEERKEILEEHVVELKSRTKDMCNAFVQERKYLFGELTGLVDTIGAAVHVDEDLMTSLTKLMHKVNNEEAFRNSSSKEMLTSENINAKNSAPLVRNKSVHLPDKRLPLKEHNY >OB0297G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592254.1:966:4562:-1 gene:OB0297G10010 transcript:OB0297G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLKSGQEEMSFEMAYGAGFWSVCGRSPELGAFFNDAMAADSRFIMDMAIHGASQVFDKITSLVDVAGGTGGAARTVAAAFPHIKCSVLDLPHVIDSVASDHGDAVQFVAGDMMEFIPRADALLLKFVLHDWNDEDCIKILKRCKEAIPSRDAGGKIIVIDVVVGSSSQAVCQGPTTIRLIVSLLTPGKERGEDEWCKIFTEAGFTEYKISPVLGIRSIIEVFP >OB0298G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592255.1:584:3317:-1 gene:OB0298G10010 transcript:OB0298G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIRLLADSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEILSKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMENVAQGRVWSGQDAASRGLVDSLGGFSQAVAIAKQKANIPHDRKVRLVEVSKPTPTLPEILSGIGGSLLGVDRAVKGVLQDITSLNGVQARMDGILFERLEDLSGENQLFLFIKDIVNYFD >OB02G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4581:17997:1 gene:OB02G10010 transcript:OB02G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRLMRTGLGKTDQHPSKRTSKPPLMPASSSDDEIDAFHKQRDMIPLDPNDARESEDDLEHPVFDLEGISENETDDSEGDEDVNMDKAACYEWNDKHIARLKRAGRVAKQMAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEHSGDDEDYEETRRIQKEEESKLSVQDFGLEDDESDEEDKPMKAPNHQVKVCDGQPSFETYVKMKEDFTILARDEKMGSPDSSAPELVGLLSELKDAHDELMIIGPVTNEVSAGLGKDKGKMQPLDVKRACLLAYCQAITFYLLMKAEGLSVQDHPVIARLVEMKSTVEKMKQVNVNFPRQSKDIDDYCVPDSSIMDVPDKMISLDKENISSKLLLQINGVEAAELTKNIHSNKNDHEIAKRKGKDEHIGSQSLEMLKVRATLVERLQEKGLYNLTRLKPEKVSNTRKTPNRRDLQTLDDFDDEVLKNSHVSTPSKILVTAAKSNKNKFVSGDDELPKQDDIGERRRKHELRVLARVGATLEDDDLPDEDERTDGKLNQLSEDDSDDDIGASESEDEFYKDVKRQRIEKILIKEQMNPQNPNIQQVEEETEGDGKRKISYLMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >OB02G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10183:14947:-1 gene:OB02G10020 transcript:OB02G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREHGDGGLSLVDLFPAPMWPMDPWRDGDPGGKEEVALQSVEQNGYGKEGDGPLTLAQGGLGFDDMFPHAECELRGEWLWVRKGSRELVLGFPALPAEIRREEEDLRKQLFHNQRAQGENWERAQQGNWGKGRLWQNPNQNFSNEMSRAGGWRPEDCNVLSGNPTQPPQDFRRLLRLLQGEMGVVEIVIRERRGIKLVGFGIPGQGFFSLHVDIPVAESEKILVRASVVETAMTEEAVDELMAVWVKIFGIPNIVREIAELVGEFELLDEKSLNGDGPVRVRVACKDPNELFVSMLIYINKVGYKVWWEPEGFKRKAFSHPPSPKDQKDNDDADDLDGEDDKESCLSEKMTNPLAMVVWEENEMGICTQETQEDKVNGSAEVGKRADDITEDDNRGILPSCLGMDMAMGLNERCEIPTDFDIERMRAEEAMEELDSFEVVSSRKTRKKKENIPILVKRKSDRNKGQAVPVQKRAEFLAKKKNLDNSEDIATKAGLCLGKDRGEIDRNIATIKAKELAQAALAECEWKKKQDKNVVMRDEEIEVVTDSVTKVERVDIQEPKKRSRPSWTLTENFKELVIEKMPIRDNDYILNFWNRKLCSIRKFLKGWGANKNSEWKRAKQELVSKLESFDLEANLHDLCPEQWEERYKEDFWSDSGLIPKNVREDMDKPFEMELDKVISQAKNNTAPGPDGFSIQFYKFFWDHLKKDLYEMLIMLYHGELDLKRLNFGVITLIPKCNEANNIRQFRPICVLNDCFKIISKVITNRLSLIASDIISHTQTAFIPGMKINYHKSDVFSVGVSPEEELRVADMLNCKAEN >OB02G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18703:23251:-1 gene:OB02G10030 transcript:OB02G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPFRSLLLIVLPLALLLLLASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDDLFHHDHDLPPVDETHVVLLSAANFSDFLASRRHVMVEFYAPWCAHCQALAPDYAAAAADPAPLPPEDEVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWINKKLGPGVHNITTVDEAEKILTGEDKAVLAFLDSLLGPHSNEITAASRLEDAVSFYQTSNPDVAKLFHIDPAANRPSLVFLKKQEEEKLTFYDGQFKASAIADFVSANKLPLVITLTQETAPSIFDNPIKKQILLFVVANESSRFLPTFKEAAKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEMSVENIKRFAEDFLEEKLTPFYKSEPVPESNDGDVKIVVGKNLDQIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLGKHLRGINSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPITFEGDRTVVEMYKFIKNHASIPFKLKRADSSATTKKTDNSQSTASTTTTGKRSSGTNLKDEL >OB02G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25068:29372:-1 gene:OB02G10040 transcript:OB02G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:J3L8N7] MPHVGARRSTRVFVPKTPRPPQPSDPARVLRSGKRLGFSESPADAHWFHWDTRKPKNCFHVDDHQRQHHKDPKPPPPRTGSFGIVYSRKRRRRPPEPKENTRFAIVFSRKNKRPKVAPFRQHSPNDLATIPCSSSREFATRTGFLDSHFYTLVDCIQTHTEAVMLIVLVDSSCSGSSQHFLRLLLPVLRWMRRCRRGKVRNLASFLSSDAVATAFALHGLHFVQLQCHTDCALSQRTLVQCGWCELRSAKDSEPLLSVNFLAVPSYFQTLHLLMAIESMYLPAVIRQRMHLAGGAEEIYPHTLLNEDSESLSTVDAQPAVDLCRNKNCSMVQDYVPLEEVAGVVVHGVRLKKHQRKRSSMRHPLSQERLAARFPDKVVAMKQTAVASQTEVGAPPSASQELLLEPLKPKAALEISLDLLENMDDSDVSTPIGSNGKQKRSSLKSPIERMNERLALAEVRQNIDSVHCRANLLIIQPDRCWREEGAEVLLEPSGSNEWCIAVKIHGINRISLKPSEHRFYVVNRFTHAYILAVDDGLKLEFSDKWDWLLFKELQIEGRERNSQGKMIPIPGVHEISDDMGVIVAYPFSRPLPDYIRMTDDEVGRALSKDCAYDLDSEDEQWLTQLSHSESDRESSQLNHISYEDFEKIITTFEKDAFNNPEGTSDVNQILSRCPTLEKDHNVLAVYEYWIHKRYKKGAPLLRILQGATLRRGQLSQRSIKKRRSFKRQRSQTGRGKPEIFLQDNAVEEEALRRVVEAERVAKQAGETAVRLRSRAQCLMAKAELVTYKSVMALRIAEAARISDSSRDLVLTTLDSPTTS >OB02G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:31029:34328:1 gene:OB02G10050 transcript:OB02G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G22670) TAIR;Acc:AT4G22670] MDDIDDEEDDDDDLNMRDATPEPDELDQEIVESDLELEGDIVESDHQDPPQKMGDPSIEVTEENRDASQEAKSKAMEAMSEGKLEEAIEHLTNAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIVEHRRKYERLRKERDEKRAERDRFRRRAEAQAAYEKAKRKEQSSSRSGGASPRGFPGGMPGGGFPGGMPGGAFPGGMAGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFNGSQ >OB02G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:37686:39684:-1 gene:OB02G10060 transcript:OB02G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVHCSKVSLLLYLPSMIPSALLQSIAIARLMGSTSIRNIVAYVGTFYRTMNSLKHGQLPSHFTFICRSEGMVIIFLFVYAIMHEEKLFRCNQ >OB02G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:40837:41463:1 gene:OB02G10070 transcript:OB02G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDESPRDCSYSYKHHRSLPGHGRSRRRALIAASSTVASLASLSLILWLLLRPSSPRFSLLAATTSTSTSTANDSSSVVAINAAFAAHNPNAHAAALYDRFQARASYAGLPLTAPSPLPPFNQPPQQGDAVLSASLSSPSPPPPAAAGGRALLRLRLEGQLRWKVAAWVTGRHDLIVDCIAVVLLQQSQSAVVAQEDSRCATTIA >OB02G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:42481:49642:1 gene:OB02G10080 transcript:OB02G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51050) TAIR;Acc:AT3G51050] MRKRDLGILFLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLVPTHDAKIQVLQPHARPSPDDASFHEARLMADVSLLPANVRVSSGRRPVAMAVGSVDRHYAHAHTPSKQLLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHGAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMMSEHNREEHRRSASEKQASETGTADLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHSLNSRHPGQFECREFRESVLGVMPHHWDRREDTFLQLSHFRKHKRKTLKKTPGKAVVNNVHKPSEHNPPGKDVSNRLANVIGKAADMANSNKIKKSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTVCKLHLTEGGLHADINGDGVLDHVQVIGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDPTGLEVATPILLQRDDGHKHIRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMTENIVVPTLKAFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFSGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNNGKPRASTDYR >OB02G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:42549:43121:-1 gene:OB02G10090 transcript:OB02G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVGEIVLEISLPELLEVVVEAHDGPSGGDDDEELLGGGVGVGVVAVDGTDGHGDGAPPRGDADVGGEQGNVSHEAGLVEGGIVGGGSGVGLENLDLGVVGGDEDLGFAVAVEVGDEGRGEAVGVVLDGVIGVGEVVPGLAEGEVAVVLKDPERLGFGITTIPTKKKKKKKKKKKKKKKKKKKKNGCY >OB02G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:52546:52800:-1 gene:OB02G10100 transcript:OB02G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEISLGGDAAPRHSSFFNRLYHLGRSRSVHCSSPHIRSLDTGTLRFHLTPLRSSSRRSIANKIQGRRLNLFAGASFLANQRQ >OB02G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:56564:56902:-1 gene:OB02G10110 transcript:OB02G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRRREQPWSLGFIVQVQPATARSYPCGFCKREFRSAQALGGHMNVHRRDRARLRLTSPPAPPLLPNLNYPPPQELPGGSGSGSSPPELGRPPAHDEDDALDLELRLAFC >OB02G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:58782:66045:-1 gene:OB02G10120 transcript:OB02G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSESEERSSFLIESDDDDEEAHPQHSSSLPKDGDDDSDSDSSSCATPRARPSSYSAHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLNNSFRGKTPEIISNLVKPLLRPSTSDDQHQQQQQQHDDTRKSSQYLLPSRKPSLQQIPEDQKPLLVGHEVPAYQQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLSWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTVANPITKYALTITPLAMSLEELLPPNQQKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKGKVPWHQIAACSFIIVVGVCCACVGTYSSLSKIVQNYT >OB02G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:67398:73317:1 gene:OB02G10130 transcript:OB02G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGLRRAIGIGSAALRSAAYLRRASPSSSLFVPRPPVPSRTFAAPPQVKRNIKDDDDDAAGPRINNDITSPFVRLVTDQGHSVVPRHEALQLASRMDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAMEDAGKGARKNSSELSTVMADSGDETTEHGNRLILDEMDKKPSSLSSEFSTQKDAEDRVSRRELNLLKSNLGNYHGNMQNVDAGGPRISSGQRGAQTSERGLGSKNVKSNVEKQKTNEDVVPAETNRYANRRQQIRGDNQGLNQDRSPQGHRRNENEVHYLVNDNQRPLQQHSQQSPRFNNGRLPQEPRRYERGGHIPLNTKQGHFQQMNHPAESAGNGAGKPTPATKSFGIFSSRKPATSELRKANGASTGRDANTDVPKTYGIFSTPRRESGDKSS >OB02G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:73281:73553:-1 gene:OB02G10140 transcript:OB02G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITTSNVQLANYICAGNNREFVHASLSRMEVACILSNNASRLTGFILSINNASHQKDTCKKSSSPQYIGEMLGEKTDQNKAKRKYINK >OB02G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:74096:76527:-1 gene:OB02G10150 transcript:OB02G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHASNSTSDDAPAPATNSTSADDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >OB02G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:80436:80720:-1 gene:OB02G10160 transcript:OB02G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding APTYVGCKFVELDQYYKTKYFIDQAAPRFELGIKDLPPPALPLGHAAKKYDLKSRKEQVFIHVFLLKLTFFYLESNSTYFSFFQSFSKKSIRAF >OB02G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:84397:85996:1 gene:OB02G10170 transcript:OB02G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHTAFFLVVVVVLSPATATAKGPGRNKKPASSPALIVFGDSIVDPGNNNGINTIIKANFPPYGHDFQQNHSPTGRFCNGRIPTDFIASRLGIKELLPAYLSPDLETQDLLTGVSFASGGTGFDPLTPRLASVISMPEQLVLLEQYKERVRGAAGEARVAEIMNRGIFAICAGSDDVANTYFTMRARPGYDHESYAALLVHHAAAFVDELVKAGARKVAMIGMPPIGCVPSQRTLSGGMERGCSEGHNEIAEAYNSGMKRRMEEMARRQPGTKLVFMDIYGFLLDMMMRPTAYGFTQSTMGCCGTGLLEVSVLCNGVTSSVCDRVGDYLFWDSYHPTEKAYSILTDFVYDKYVKKLLLD >OB02G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:86600:89212:-1 gene:OB02G10180 transcript:OB02G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWINLLTANDCRLEICTEKKTILSVDDILALIGDRCDGVIGQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNAIAVGNTPGVLTETTAELAAALSVAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNMVEPFLKEDATPPAACPSIVNAKQLGLPSSKL >OB02G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:89736:92875:-1 gene:OB02G10190 transcript:OB02G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKKMITLKSSDGEEFEVYRTNRPVFIMGQTMFARGELGFVRRGSTMAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHASNSTSDDAPAPATNSTSADDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >OB02G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:93362:102578:-1 gene:OB02G10200 transcript:OB02G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat ;HECT-domain (ubiquitin-transferase) [Source:Projected from Arabidopsis thaliana (AT4G38600) TAIR;Acc:AT4G38600] MDPSPSSRRRSRASASDKGKDPDPSSDPSPPSAPDDDDAVAPFPHSFTSASTALQGLLRRLGAGLDDLLPSSAAAASSSSTSAQLKRILSGLQSDGDDSRQLQSLMQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRIAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGTSVSPALSRPADQMFEIVSLADDLLPHLPSGIISLPTYFHVLVKGSSTKKTTSSKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLIRQESSDMVPSQALAHDKDNDSVMPSRSRRQRRRGSAAPTENSSLDESNPSNPGIASSTPCSTEVPNTSLRFAVSDRAKSFKDKYFPSDHESRDLGVTDDLLKLRTLCAKLNTASENVVTKAKGKSKALSATHFDISHDVEAQFDLIITQILAELSKTSGVSTFEFIRSGVVAALLDYLTCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERDETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGSTAGASSTAVPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPSSDEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEDDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDANTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSMNDQNKLIFMAGGKQLSKHLTVYQALQRQLMFDEDDEEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKGPQGGSTSLNSKSDPCRSISEPKGLSLLDSILQGELPCDLERTNSTYNILALLRILEGLNQLSARLRIHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLGHELQSARLGLWRSSSPSDSGMQIDRSGVSPEVDLATKENSDLPDNDSHLIHAPFGLFPRPWPASVDASEGGKFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTAFYKLILGQELDLFDIISFDAEFGKTLQELQVLVERKRFLESTYGMNQLEVADLRFRGAPIEDLCLDFTLPGYPDYILKQGEENTTVNIYNLEEYVSLIMDATVKSGIMRQIEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPVIINLLEIMAEFTPEQQHAFCQFVTGASRLPPGGLAALSPKLTIVRKHPSNITGVTESADDDLPSVMTCANYVKLPPYSTKEVMRKKLLFAILEGRGSFDLS >OB02G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:105159:114577:-1 gene:OB02G10210 transcript:OB02G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTIRRNPPRSARPPPTPLPKPSLGLDGDRLKVFLRIRPLPDRGRFKSKAQATKPKQQCLVANGANSVALTVPHSKLADPKRARTELFDGFSAVFSPDSSQHDVFSQVMNPLVDEFLGGKSGLLLAMGPTGSGKTHTVFGSARNPGLLPLALRRIFSSTDDHPARSFCLSMFEILSEGKGERILDLLSDATDIVLQQSTIKGLKEVSIETSTDAESLVLSGMLKRTTAATNANSKSSRSQCIITIRAVRKINDVEIEKSLSDAVLTIADLAGAERERRTGNQGTRLLESNFINNTSMVFGLCLRALLEHQKNKKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILNVKPGDDDYLDTSFLLRQASPYMKIKYTNLDDSSDLVSQKRSNVSLICQENKKKGKFHKTEVFAVAGKDDVAKDDGIKVSEKDEPQCKLLNSELRRVSRNEEIMTNFARALWTVLKQYKQKILESENAVESMRKLLICKDIEIVELEKKLKVLSCSCKKFPSVEDSVEQDDAVSSGQVAQSFISQSSQTDPGSSDSALNNHSLEEVSEETCHGPERSYDYSDKTGGSDVCDTSVVKLIDGEELSSRDCKPEKACVSFGFFPQHNVDNENIELTVQVVDKELDRSESCSDGGGGVAHSPSGLDDPFDQSFAERRVLTYLQKERTNLSPEFIGANKKSPIEQSEEEREELHNITVEGIQHNVDIRGVKHHSTPSCSQGVNSEAPNVSSSQSSLQLQRMDALQQDPQDLDPQLERCNATVETTIVEYGCVQPSDLVDIHGGMSPHLSGKSSAKKAPIAPSKDCQAERPTDKTEDLSASKDCNRKNTRRRLRPVSTMMIKEFTVPDTFVDTKREERAKSSGAAIGRSDKLIRLLKAHPPRERV >OB02G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:117991:119217:1 gene:OB02G10220 transcript:OB02G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT2G16630) TAIR;Acc:AT2G16630] MQSMARHLQVVALVLLVLLDAAAAGTTTASSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGDSPLTVRECNTNWFGGFSVRMEGTPEMNRCTARVVQATGHCGAATPTAPRELTLAFRMLGPALYTAPPPLPPPPPAPPPPATPRHGLLPRTARRARPLRRLPPIWTPTLPKPEPPVETTTTPPPPPPQGSACTFDKWAEIGLHGCNWKVVTPNTTVAMAFGPAAAQRYGSEMTLREALEGRGDMYRTLLREATAALLNAYYNAPAAGFLYPTTASVIDHMNGALLGSPERVLLEGARFRRANSGGGRITKIPCDLTPCT >OB02G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:119758:120597:-1 gene:OB02G10230 transcript:OB02G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFLDDDDDRRRIIGVSASHIVSLTSSTYGILDNIHPVASSTGIPPPPPTPPPKPPSSSAAAAAAPEVINSWELMAGLLDPATPQKPHARRPMGGPAGVGVVVVLYTTTLRGVRSTFEACNAVRATLESHGVAFRERDISMDRGFREELRHRISISMSTTGHDGLCPLVPRLFVRGKHVGGAAEVARLQEEGKLAALLEGLPRARPGCDGCGGMRFLPCFDCNGSRKLRFSLPTPAAPAGAGSKNSKRLSGGGVVVVRCGECNENGLVLCPICS >OB02G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:124764:127623:1 gene:OB02G10240 transcript:OB02G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDAVVVVESERDVRRYQQVERQVQVGETKKMVVMSEEDDDGRAPRRTGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVVGPAVMLLFALVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAMERSNCFHAKGAKDPCHASSNVYMIVFGIVQVFFSQIPDFDQVWWLSILAAVMSFSSPAVGLGLGVAQVAENRRLGGSAMGVAVGFVTKSGAVVSPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEAQTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYNPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPEGLPGGEYEVAVGGWVRWKVSVFRVAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRRIRRWSTTWVGLQALSLACLLVSVAAAIGSIAGVVLDLKSYRPFRSTY >OB02G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:134364:137703:1 gene:OB02G10250 transcript:OB02G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYETRTEEEMSKGHLHNSLNVPFMFFTPQGMPTPPANSTAIGREKNPLFVEQFSSLVSKEEHVVVGCQSGKRSEQACVDLLEAGFKNVKNMGGGYAAWLGSGFPVNNLTL >OB02G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:135402:140132:-1 gene:OB02G10260 transcript:OB02G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT1G64880) TAIR;Acc:AT1G64880] MAAAAAAAARSRAAVTCAAWVRLLSQRSNPLASSTHHLPSRIVSQRRHFAFSASSSSSSSGSSRLQKIQSERVIHDLLAELDRERQEDRKDAAADADDDDDDDDDEEEDYLGVKPLIEKLERRKAKEAASPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCKRHDELLRSFAEAENLDDAHKWMTKIDRFEDRHLKLPLEYRVIGDMMNRLKDATGKDRFVLLQKLNRAVRIMECKEAYDPSNPSNFGVIKHQQVGSPEDLVLNAGFDREKQMIQGAGDDEDEEEFNEDKEKDDLLIEKLNFIEKKIEDKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYKDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >OB02G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:139095:142793:1 gene:OB02G10270 transcript:OB02G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRASLSMSRARTRHGDGDGDDRGWNQLHVASRKGDIKQVRRLLDEGMDVNAPASGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLSSEN >OB02G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:142728:144830:-1 gene:OB02G10280 transcript:OB02G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >OB02G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:146439:150958:1 gene:OB02G10290 transcript:OB02G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRREGTRSGELMSLSKAVSLAIRPGAAAAAENKSSELVQPRTATKAAAREAAAAAGVHYGGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQENMTAKNRKAFASMDFDMELGGQFLFSDHDSAAEDEEERPTLIRRTTIGNGPPESIHDWTKEHDIGLANQTDPIQLSSKKNWRLLRCQNGLRIFEELLEFDYLARSCSKAMRAVGVVDATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAVLYHRLQLHWCPMIVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQRGFVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWVLNYSPSFQYHSLLQILNCVAGLREYFSQTDDTHIIPRIPVMENMFDASSAQKDGKTEEVDSKTKPADQGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNRSDNNAKRADEPPEKIDLSCFSGILRCDPDEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPSAAILPHDLLDDTDSAANAASSSEDDHSSKKTN >OB02G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:151709:152451:-1 gene:OB02G10300 transcript:OB02G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMTAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAAGNPMGGSGFGF >OB02G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:153314:153643:-1 gene:OB02G10310 transcript:OB02G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLTCNLVRGGGGEEYDGEERRRAEPQTATCCTALQRGFHAQPRYAPLRTPASAAAGKSNDADDEAMRKCKSTLERLPTDGPRLRRSAAVRRDWSFEDLRANKAA >OB02G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:154846:155321:-1 gene:OB02G10320 transcript:OB02G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTCARCSNGNPNVNYTLCVASPSTSESPGSGGADLHGLALISAMPLRSGLASIASEARVLRDRSAPGSAARSCLEACMAVFRDAAFDLGNAVAAMEAWRYGDAKTAMSAAVDAPVTCEDEFKEQGMTAPAGIKAKSKPLFQQGVISLAIISLL >OB02G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:158603:159172:-1 gene:OB02G10330 transcript:OB02G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLTSSLTICLLLVLAVAPAQAVEEPEECASPVSVEVACRSASETHHGVAYDHCVASLAADPPSKEAGSLQGLAMLATRMAIDHAASTEAKIDDLADLEPEDARARYNHCLDQYGGAADLLRDALDNLKVRIYGTAMEQLSAALGAAESCEDAWKDDQINVPVAAHDREYGRMAHIALGFTHHAAA >OB02G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:160664:162914:-1 gene:OB02G10340 transcript:OB02G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L8R7] MMMMLLQGSECRTVMAMLVFDLISAVMTALVKKALQQGLNRLVLITLRQLVATLFLAPIAFFKERNTRPKLTSEILVYLFFSALLGAGLSQYTFFYGLQYTTATYAITFANLSPVLTFLIAIALKVESLNMKSKAGGAKILGTLTSMAGLLVLSLYKGVALTNRSAMEASGAGGEKKKQWTLGTVVLLGNCLCFSLWLLLQTKLTKKYPAIYSSTAIMFFISTLQGGALTLATGRLTASAWAWAVTTKLEILTILYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFVLHEQLHLGSVVGSVLMILGLYLLLWGKKRDAAAASSSVVVVCCPKQLEEAAAPVVVKL >OB02G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:162462:162734:1 gene:OB02G10350 transcript:OB02G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAINIYTYKHIRTYRSLKKAIGARKRVATNWRSVMRTRRLRPCCSAFFTSAVITAEMRSNTSIAITVLHSEPCNNIIIISIYLSTAS >OB02G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:167738:171203:-1 gene:OB02G10360 transcript:OB02G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L8R9] MYVEANDLTLTQNQNCTIQDRYMCGIALFRLQTHSVYKLAKDQLLGLLSCIPSSAATQRMEWVEFLKPVIAMLVFDALFALMTALVKKALADGLNHVVFIALRQFVAALLLTPIAYFKERNTRPRFTKEIFAYLFMSALLGGLCAQYLFFLGLSYTTATFSNMTPVFTFLIAVPLQLETVDVRSKAGLAKIIGTLASVGGATLSSLYKGAALTHTSSSSSAKGITSGISKGRWMLGSVLLILNCVSFSLWMLLQGKLTKKYPAVISSTAFMTLFSSMQAGVLALTIERRLSVWLLRGNIQIIAVVFAGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQLFLGSAVGAALVIGGLYLLLWGKTKEPSATALLPKPVEQDTEKKANFETY >OB02G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:176501:178819:1 gene:OB02G10370 transcript:OB02G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3L8S0] MDRRDKMGSWKPTMTMVGVVVVFAVMNTLTKMAFNQGMHTTVLITLRQLIATLFLAPIAYFRERKTRPKLTAEILVYLFFSAVLGASLTQWLFFLGLQYTTATFACAFINMTPIFTFLVALPCGLEKVEVKTGAGIAKVGGTVLGFSAAMILALYQGPSLTKQLRAAAAPSPAAMAAQRWAMGSVALLGGSACWSLWFILQSKIGSKYPALYSGTALMFLLSFLQMAAVALAVDKLTLSPWILTTKLQIITVLFVGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGTVIGSALVIVGLYLVLWGKSKEQQAMAPSSTSPAPNVLQPQQTTTTVHMQTA >OB02G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:186392:190266:1 gene:OB02G10380 transcript:OB02G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIADQFNQTKHMYTDRIFAEILIRNEASKIQGLQRTINRYLNQTKSTSTPEKEDESCVQKWRSEATTLGKKIEAIEAYKSKLLGECLGSCSVQELKELEMQLQKSLCNIRQRKEVNLLKENMVLRDQYCKAAATAGDDDRHNMDVETELMIGRPGTST >OB02G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:191394:191957:1 gene:OB02G10390 transcript:OB02G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKPKVIFNKGKKKDEVDRQKQAEKKRRRLEKALANSAAIISQLEKKKHKKKKKKKKEEQQRLDEEGAAIAQAVALHVLIGDDSDDEPCRHFIRCNHSDSAGFDFALGAQGMEYPPDGADHVFAPKGKGRCTDWGIGQPLPSSWGEVQLQAPCYQGNTCPGFIAAQAVSSLQIGGDIITSPLQAKD >OB02G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:203315:205951:-1 gene:OB02G10400 transcript:OB02G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQYMLDPGAFAISAPPQPAQPPAPGGQDLAAPFRRPLDIDYIHGASSSKRAASKDKAATPESPAPPPPANYFKTKGDDNAAAASASAGAKISGETITLPPPAANPVPPVDDMSQDGAGKELVAYDVEAAERGALHLSSSRWPKHEVEALIRVRTGLEDRFQEPGLKGPLWEEVSARMTAAGYRRSSKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGGGGGNNAGAGEDAKASSELLDAVVKYPDVRCAPPGFPFDGEQNEACEGGGKEAGKEEEARDDGDGEERAGGRATTDQDEEEEEDDQVEDDR >OB02G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:223596:227045:1 gene:OB02G10410 transcript:OB02G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MVTAVGGHGGYPGGKQFVSAEQLREKLSYLRHEKALIVKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAIVKKKLNVLGVHLTSSKSLVGITGVISEIQQEKKGRDVYILGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYKSEVGVTLTPPSGKEKAEGWVGLQGVRELQIKYEELDRPACDIAISGLGWIAVEPLGVPSSNSDESAEEEDNESGELHLTVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >OB02G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:227312:230514:1 gene:OB02G10420 transcript:OB02G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPASSGASPPATHPDSGSISSMVASSAASAAAAAADLTRRGEVFGADMAAAARAAIDTAIAHSQSSAIAAAEAASSAKANALAAFPGLTHTAKEELEWIKNEYASHEQVVFDKIKEGVIMAIEHPGIAAGSTAIAGIVLFKRPRSYLIQRVRRIFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLLDRAATAEKRFQKGWNTLREEGHAIQSELNQISDIEKQAVGLKNILDQLPKAHASEFRSEISVLASQVKEKRVLNNTLSKIVNYGVPI >OB02G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:233233:233430:-1 gene:OB02G10430 transcript:OB02G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSRRSRGRRVDLGFGWGEEMATAGRRRLTGGQGEVDPEVDLGALSLSLSHHARPRIAVALAVAIF >OB02G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:233433:240137:1 gene:OB02G10440 transcript:OB02G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLADDQTDADFFDKLVDDDDDPAPPPPPPVPAPASHHQQDPPLLPDVSSLSLADDDAQSSPAVEAPTDSGKAAVHHTTVKQVQWASFGGAADDGADPFSDLSASAGGDDGFLGSMPGNHSSVLGTVEASDQGILGATQTLDAQVTDQDFFGGTSNQNADVQQQQQLEQSATGALDSTDPKYLESIYPGWKYDEVTQQWYQVDNSDMHGNATQVDTSTENVQQQLAATYMHNSTQSALETIAEEGTTTGGVSTWGQGGTSEYPPNMVFYAEYPGWYFDTNTQQWQSLESYQQVGTANAVQDGANHGVLASSADANYSVKQTVDLPAHNQVAQHNSFSNSYSYQSQWQTDSFSSSMQPESATASLPNSFQVSGQHANAESFNSSANSQVSFNTANTITSHYGSVNLESSSTQDDYSTIGGQQTSYKSFEPLTGHQTGYKGFEPSTAHQTSYKSFEPSAVNQSSYKPFEPSSDHHQGGYKGFEPSTNHQGDYKAFEPSTHNQGGYKGFSPSTVQQAGYKGFEASAGHQTNFKGFEPYSGQQAGYMGSLPATGHQSSYMGFETSSNQGYGDANNVSNNQSFSPMESMYGSHNQAQASPQVPLSNSYLSTDNSKNFSQQQFLGPNAPHLQFGQSLHEERSSAGRPPHALVAFGFGGKLIIMKENNSMATNYDTGNQGNSSGMVSVLNLSEVVTDKDDALSASNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLLISLLKILCHHYGKLRSPFASDPTQEEADGPEMAVTKLFSSCKRSSIQMGDFGSHVRCMKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYVDTVKKMAHCHFLSGSPLRTLCLLIAGQPADVFTADGNTYSNYGSQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDSYSESARLCLLGADHLKCPRTFASPEAIQRTEIYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELEAWKQLFSNLEDRIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGTVSDKESYAAPAATKFANSQSVMTMSSLMPSSSVQSMNEMADNGVNGRKIAHNRSVSEPDFGRTPKQGAGSDSAQSNAPGSGSSRFGWLGSTLQKTMGLVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPTKPSFQNGVAEYTLNGPMSTSHTPNGVTDWKSSTSSEHGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGVSGGAVPSYNKPAVASVTPPSGAKFFMPTATVVAAEQMPNQAAETHGETFHPDERSSSPPAETSFSSPPPATQFSAPPMVPTIQRYPSMDNIATPNHGSGVSSGSNSSSFSRSRAASWSGTYSEQINAVAGARSPDGQTMPSPLMPGKPSHSRSNSNSSVQFNSLTEDLHEVEL >OB02G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:243920:244738:-1 gene:OB02G10450 transcript:OB02G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKRRSNGENSDLDVLRVDLEMLGEEEAEPGGVEVGAGADDAAGREPRQLPRHVRQHVHRVRHDQQQRLRRVLRQRRHDLPEQRHVPLQQVQPRLAGDLPRAGRHDGQVRPPRHGHVGVGDEAHARQERRRVLQVKHLPAQLVRQRVHQRDLVRHVTRQDGLRDRHPDVAGADDRDLRQAPPVRRRRRRPAVRHRPEEPRRRAVRVEPELRQRRRLLHPWLSCLAACRCGSLLSKDCGFWMDSCFFPLHLINQWETAYSYSQRFRFRDDSF >OB02G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:244082:246907:1 gene:OB02G10460 transcript:OB02G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3L8S9] MKKATSLSELGFDADGASSGFFGPVADGGSTTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDMADEIALVDALPDKLRGEMLDLQHAAAFLPRVRLVSDTDMSVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPPLAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHERFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQHRIHPVSVLASGFHGIPHDHQVFLSLPARLGRAGVLGVAEMELTEEEARRLRRSAKTLWDNCHLLDL >OB02G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:248626:251049:1 gene:OB02G10470 transcript:OB02G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 7 [Source:Projected from Arabidopsis thaliana (AT5G62390) TAIR;Acc:AT5G62390] MDLFHLLDLDDHPFCPFPFPFPTSSSSSFLSAAAHHHSFDNHPFFPSSSSCPLGFTSYDAHADAAFRHLDFDLDLPTPNPLTLDPFLLHCLSRRVSALELAATPPPPQPPRRKYTYEAEYAGRKIKWTTHHRPDGDRIFKWEADLDTPNEVGFDRKWKWESKASATGATKVKWAKEVKGKGWLHPWSHAYSVEELFGDDDHDDHHHHKVDKPAHKKVKEHTKEDEKKKSKSNVEIVEIEDNTAGCVAIKKAFASSYGKGKRKELSPQDAALLIQMNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRISRDSEERQRFAEKIIVLLLTVDSLEGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGRAISNEMRSGVKNVVKIVEEGK >OB02G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:256578:259026:1 gene:OB02G10480 transcript:OB02G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLKGHWHKTTKKVSLFKGCYSQLRDAYASGRSDGQLMEQALEMYQSRQGTQFQYVHWWKAVADSPKWNVYISSGGSGHRKHTPDLNRAAENMVRPIGIKRAKKTRGTSSEVASEAKEHLKSLVDAHASQREEILLGSRNGTFGSKDCNFWPQPPTSRPWDGKKKATCGASSVKLLSHNLGFGIMPIFGWLILLSNIATKYPINHIFKNASMVNYDFYCIGAIDKLPNLTMLKSMARASSILVVMESLLWAMKDDATIALSSLSASGRKLRTKDKSVGGSFRKDGLKISV >OB02G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:260994:263441:-1 gene:OB02G10490 transcript:OB02G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:J3L8T2] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSIKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDAQGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >OB02G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:273239:276031:1 gene:OB02G10500 transcript:OB02G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDVAGASPLPYSYSPLPAGGDADVVSGAGRRRRPLWVALLLSSAAVILAVCVLSGARLAGRPVVDQVAVPATAEGVAEMARSRGPEAGVSEKTLGAEEAVRLMGGAAEADNAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDVNGVWTGSATTLPDGRIAMLYTGSTNASVQVQCLAVPSDPSDPLLTNWTKYHANPVLYPPPTIGDRDFRDPTTAWRDPSDGAWRIVIGSKDEHHAGIAVVYRTTDFVHYELLPGLLHRVEATGMWECIDFYPVAGGEGVDMTDAMYSRNKGVVHVMKASMDDDRHDYYALGHYDAARNSWTPMDADADVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVELDTKTGSNLLQWPVEEVETLRTNSTDLGGITVDYASVFPLNLHRATQLDILAEFELHPDDVDAALEADVGYNCSPSGGAAGRGALGPFGLLVLADKRQRGEQTAVYFYVARGLDGGLRTHFCQDESRSSHANDIVKRVVGNVVPVLDGEAFSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVYLFNNATSARVTTKKLLVHEMDSSYNQAYVA >OB02G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:276734:277983:-1 gene:OB02G10510 transcript:OB02G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLALSLIHFVMSMYGKGTEEALEEAQCAQALLDKLGGAFPFRFCYLIVEINPVSIDPQAIFWSAQVQGVPATDPHYQKKAQVCIDIPAMLLVDGKVRSVRTDKTYPAGFMDVISIPKTGQNYRLLYDTKGRFGLQSIKDEDAKFTSREPRISSFARFGLCSLARRASGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVNFIKFDEWFESLCYLKHTILIVICVQQTQGRSKIHKLKACSIR >OB02G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:280002:282242:-1 gene:OB02G10520 transcript:OB02G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MCHAHYAAVLSSAAAAAGGRGGARVAGAVHCLILRTFPRAPPTYLLNQLLTAYAKSGRLARARRVFDAMPDPNLFTRNALLSALARARLVPDMERLFASMPERDAVSYNAIITGFSGSGSPARSAEAYRALLREENVRPTRITLSSMVMIASALADRSLGRQVHCQVLRLGFGAYAFVGSPLVDMYAKMGLIRDARQVFEEMESKTVVMCNTLITGLLRCKMIDDAKSLFELMEERDSITWTTMVTGLTQNGLQLEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTWYEDNVFVGSALVDMYSKCRCIRSAEAVFRRMTCRNIISWTAMIVGYGQNSCSEEAVRVFSEMQRYGIEPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMRYVTVSNALVTLYGKCGSIEDAHRLFDEMVFHDQVSWTALVSGYAQFGKAKETIDLFEKMLANGLKPDGVTFIGVLSACSRAGLVEKGCDYFDSMQKDHGIVPIDDHYTCMIDLYSRSGKLKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGEWTEVAQLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSRRIYEKLEWLNSKMAKEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDSVRFHKFSNGTCSCGDFW >OB02G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:283662:284180:-1 gene:OB02G10530 transcript:OB02G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPHEHEHEPEHAHRSPANGTAAATSTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRHPRARQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAREPAAAAS >OB02G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:287812:290277:1 gene:OB02G10540 transcript:OB02G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT5G24680) TAIR;Acc:AT5G24680] MLLQVQDQHKNTTRGVEEMISACPICNVQVLTAELQWHANTHFEDDQLQTDLELAQQIALTESTPNPPMDGPQQSDPFASDAHAQGASSSVSGCSSRHTSVLDEQISCLLRTQIRSKLQEIQGGVMNLLRACLESEAGSSTSIISGHVDHYQSLSSEDKGWGCGWRNIQMMSSHLLKQRPEAREALFGGAGFVPDIPSLQRWLEIAWDNNFDTLGSTHFHNEVYGAKKWIGTTECAALLRSFGLRARIVDFDSTESSDLHSKNGKHVPKQVRGPMDKFVTKNVSPRSSSSELCREDAENMRGQQVLVDWIWNYFGSKHSDKLENSRRVLVSDKIPLYFQHQGHSRTIVGIQRQNGQHGSPDRFTLLILDPGHRTSDLERALRSKTGWQRLLKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKIIDSILVRF >OB02G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:295610:298019:1 gene:OB02G10550 transcript:OB02G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSNKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVFEGYVGLLLLQTAFHGLASEWQVVVCGILLVVMAVGNFVNTVETLMLKLRFKAKMKRAKSRQDLSRQHQN >OB02G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:299963:300199:1 gene:OB02G10560 transcript:OB02G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSRAARCDHQQLLQQWRWTSPPSPRWPPALAPSPAGAPAAPPGTRCRSSPRHRPPPRRSRRLAYGRRAPPLPPSLP >OB02G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:299961:301978:-1 gene:OB02G10570 transcript:OB02G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMALAVLFFCLLLLSSAAIAFLLLRHALSALRRCRRNTGGGRERRARAAGGCRRCLLLSKSCPSYRRTEGVEGLVDRRLGDDYDAAEAGDVARIGIECLAAQPGLRPAMAQVRAAIAEKAARSISIAAAAAGDHSELHGSNST >OB02G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:307139:315108:1 gene:OB02G10580 transcript:OB02G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKIDPRSFGDRAVQAKPPELEEKLTKSRKKKARDASADPEDLHRRDAKRRRRAAAAQREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQLVSIGKLITDFHDAAAGDLAAAPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDDEDDMPESNAPGAMQMGGELDDDDMQNSNEGMTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEDMMANPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENAGIDGARDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYEAGEKIVKISDMPEWAQPAFAGMTQLNRVQSKVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSARLSEYKVTVRELSGDQNLTKQQIDDTQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVIASAGKHQVLIFVHSRKETAKTARAIRDTALANDTLNRFLKDESASQEILGSQADLVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIILKRGWAQLAEKALNLCKMVDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGENILHHEYFMLKKQYVDEDHTLNFTVPVFEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERYRDWERKFREFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIASEKGHVLEVTVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMETFTGSVSDETLKYTLKCGVGYLHEGLSDLDQEVVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPIADLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKALNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEENFIEKLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTIVGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKELARRCQENEGKAIESIFDLAEMSIDEMRDLLQLSNSQLQDIIGFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFTAASEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGGD >OB02G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:317017:321585:1 gene:OB02G10590 transcript:OB02G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAPSWRLVRRNLAVEVLSPASVALFAPARRRVSDMLVRDLRDRGGDGSLAVELRPSLRRATFELLLYMSLGARLSPEALDELERLELWMLRSFTSFPVFSFFPALTKRLFRKRWAAHVAVRRRVDELYLPLINARRAGAGGDPPCYADSLLRLRVGDEGDRPLKDAEVTALCSEFLNAGSDTTVILVEWIMAELVNRPDVQGKVYDEVRGRPELSEGDLQRMPYLKAVVLEGLRLHPPAHFLLPHGVQRDAEIGGYAVPKGAEVNVLIADFGRDEAVWTAAREFRPERFLELEGGEVDVTGSREIKMIPFGAGRRMCPGYTLGIIHGHMGRPARHVRELEWLPPAKGVVVDMTEELDFTIVMKHPLR >OB02G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:324135:327683:-1 gene:OB02G10600 transcript:OB02G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3L8U3] MAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGQEISLSGGRFQSCLREIRKRAQDVEDEKGIRIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNDDGSDSIAVQLADETHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPNRVLKMEEAIKSHNFESFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHSEGTPQVAYTFDAGPNAVLIAPNRKNAALLLKKLLYCFPPQDNDLSSYMVGDKSILSDAGVKSIEDVEALPAPAEMKTPSQQFKGDVSYFICSRLGAGPKVVTDESLALIDSFTGLPKGV >OB02G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:331968:332144:-1 gene:OB02G10610 transcript:OB02G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDINICIFFFLHFVPERSTCFALCKTATANTKSCFSLSDEQLAIDQSFNQPCADDD >OB02G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:332220:334160:-1 gene:OB02G10620 transcript:OB02G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLDALQAHAADRLAALSSSVSGSQAPLLSLAFLSKLLDAVLSSDEAFREDRNCNPDKLFQSL >OB02G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:340437:348614:-1 gene:OB02G10630 transcript:OB02G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKRGRGRPRKVPAAAKENGDKTVKEEETQISTEENGTGRTEAPRTVRKRKRVPAGDPSTTDPPPRQLRGRRNVPVVDYKEKKNMKKTDGTSTMCHQCQRNDSARVVRCQNGTENNRRHRYCVKCIKRWYPHLTELDFENFCPFCRNNCNCKTCLRANIKINDDKKDGGDHSKNKEYSLRIAHFLLPWLKRLHQEQMLEKYVEATIRKIDVCDLEVPLAQFNHDERMYCDNCRTSIVDFHRSCKNGHYDLCLSCCQELRQGLTPGSIVTRDTVGDVPKIEGKEGLQEGSSHNNALVQGASDQQNGIVIGSVAPPEDCTPSLRQWRANSNGSIPCPPKALGGCGDSNDCLLELRCLHEEKSIPDLLDKINSVVPELLDKVNSVVNKGTEQELGGSRCSCFTESGDYNETSRKSACRENSDGNYIYCPTAREVQSGALDHFQQHWLKGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKEQERLSVIALDCLTWFQVDINIHMFFEGYSRGAVGSEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDPKSGPLNLAVKLPEEVKKPDLGPKTYIAYGVPQELGIGDSVTKIHCDMSDAVNILMHTDELKLRAERITAIEKKKESLRNEDRKNLHVLRTDRDNDMSIALSASTEVPQPQGLQNGSSIKQPAPDVAVMDQGGVHTYIVADDAEGNSSPSNGKSPIQSDAHNMYITSSNGKASHSFCTVDGIEKVDNDFERESSNGVGPSESADCQRRSRRCDTSSSSATGEIDKTSMETNKFSISIEPKDGHPFVEGSQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQVSHPIHDQCFYLTNEHKRKLKKEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENLEECIRLTEEFRLLPKGHRVNEDKLEVKKIAFYAIKNAIEDITGNNCNERTKGEGKEETSAPGDS >OB02G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:350068:352914:-1 gene:OB02G10640 transcript:OB02G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10840) TAIR;Acc:AT4G10840] MSLHVAAAIHCGLGRHADAIPVLERAVAVVTTPPPPEGESSEEQPVEDQQKAEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGTTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFDSAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKLRLAELLKEAGRSRNRKQKSLENLFVTNSQRVKKDAGRRWSNFGFRS >OB02G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:357363:361800:1 gene:OB02G10650 transcript:OB02G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKIKKDFGAEFFSENEPDSESILAMAFKQVVIDRLTNFQLEVFSPGSEIDLQDLSKPRKVSADFSIRSSDEKLLSALAEAIFSCVIEDARKDYLGSTGNLFHKWKLNCSLDSSVCIHRISEAEVANSARRCLESFDLAQSSHVAGKAKNGWWPALKFDRLAKIGGPDFMFWASEFVCAYKLQIDAKAFKNTELRGHHELANNKWEVLLAHSQMVELANILDMYFEDQFTLPGKIFYSNWNSEPSEIEKNNGYLNYLFALFAGSCIVLFVGIVAQLCWPQSLKDKRLAIGSSCASSSQSYCSDIHSLDSSELKAYCVSVVEKMRDSFGCPGDIMVDANIGAWVGELPDFLKGINCHSHAASVDIQSTGSIIQEDQSSSVSTPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKIVGFQPTSRLAVNHWATNPLTKLLYEGRKISPAFLEPRIRISRPAKVIPVELLMSVNPESFFALARPVQNPC >OB02G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:362794:365053:-1 gene:OB02G10660 transcript:OB02G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATKRPCRSHISQATAVYSAEYLFAHASIAMHAVSCLRSLGSNRAKQRQSMRRLGVALAALLVVACQLSLVTMAAAQLSPPPPPDEQYEDPPMVGLPVTSPPPGEYDSPESPLPDSPPSPEPETPELAPPTPSQQQQQQPWQAPLPPRREPAPPRTVVPPQEPAWSSTPPPPATRVINYTATGCTTMLVFGDSTVDPGNNNRLQTAVKANFLPYGVNFLGGRPTGRFSNGRLITDILAEKLGIARSIPGFRDARLRSGQLRRGVSFASAGSGYDETTARRSNALSFPNQIEDLWLYKRNLQRLVGRRRAEQLVRRATFVVSAGTTDLLFHYLASNQSAVESGPLYENQLITRVANYTQVMATLGGRRFVFVGVPPIGCLPIVRTLLGTGTTRCHENMNLQATSFNDKLVEVVRLLKNQPDIRATFIDTYTIIGKATINPNNYGLTETSRGCCGTGVIEVGQTCRGRKTCTHPSKYMYWDAAHHTERMNQIITDEAIMNSIGEIYV >OB02G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:367786:369867:-1 gene:OB02G10670 transcript:OB02G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILDAPLPSPSPPPPPVTEEAWIDDALLLPYDNYPEVLNSMMFQDSNTGMGKETMTGTTTAGEEALLIDNANQPDYFQAWTNSFDSVALMEPGALQEPSYFDLEPSYLNFESCLLGTDQQMASSMQPDSADLLQPLNMSDTPYVQLPMMDTNLNNDIGATPLPSNLVELIPQPDVSLLQPLTMNDTLQPLTMNETTYDQLPMIDTNTSNDASSDFTCANFQSSSTSLLPGGNSCQDQQAHCVELPKKPCPDPEQRQRAVQRYKQKRNNRRFVKQIMYASRKATADTRRRVRGRFVKASLEQGSNSNDNKQPNHEPD >OB02G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:373351:374533:1 gene:OB02G10680 transcript:OB02G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroperoxide lyase 1 [Source:Projected from Arabidopsis thaliana (AT4G15440) TAIR;Acc:AT4G15440] MSKVPRHVGFTGGTRVGVYLDTEEADHARTKAFSIDLLRRGARSWAAELRAAVDDMLVAVENDLNKAPDPAAASASYLLPLQKCIFRFLCKALVGADPAADGLVDRFGPYILDVWLALQLVPTQKVGVIPQPLEELLLHSFPLPSFVVKPGYDLLYRFVEKHGAAAVSIAEEEHGISKKEAINNILFVLGFNAFGGFSVFLPFLVMEVGKAGRGDLRQRLREEVRRVLGDGCDVGFAAVREMALVRSTGYEVLRMQPPVPLQFGRARQDFVLRSHGGAAYEIGQGLQYVYWSNGPETSEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTMLDKRELTPS >OB02G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:376519:378753:-1 gene:OB02G10690 transcript:OB02G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37230) TAIR;Acc:AT2G37230] MSRRHHLLRLLRRSNPHAPSPLLLCHASFSTSTLDTPPEPAAPEPEPQAAADAAAAPPTPPRREEPLHETILYMIRRRPWTTRLENSIRLLSPTFAAPLVHDVISGAAAAGRADLALQFFRFAYRRAGFSPEPATFSLLIPILASRRMLNHARCLLLETMPSFSIAPEEATVAALIAAYGKANIPQESVKLFRLMPELGIARTALSYNAVLKAILCRGREAMARRIYNSMIADGVTPDLSTYNTLIWGFGLCKKMEAALRVFGDMKGHGVTPDVTTYNTLLNAWVRAGDLESARKVFDEMPGAGFAQNSVAYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEGRVAEARKALDDMAERRLTPKDKSVFLRLVTTFCRAGDLDGALEVHRKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVLDELLEKGSLLSPKSPVLEGPAYNPVIEYLCSNGNTNKAETFFRQLMKKGIDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVGTDPESHALLVDSFLKKNGPADAKTALDGMMEQGHVPSTALFMSVMVALFNDGRIQTASRVMKSMIEKGVTENMDMAHKILQALFMRGHVEEAIGRVNLMVENGCFPDLDKLLVALCENDKVMEAHKLADFALDRDFDISFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALMESLKAGGYSKQADILTRILAENASSTSKRGKQVAMGA >OB02G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:377045:377371:1 gene:OB02G10700 transcript:OB02G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMNRAVLGTCPCSIMPSSAVFASAGPFFFRKLSTSSACDSGSVPTPRRVIMARISCAASGTPSFACPRIRLLKAALSSMPFFISCLKKVSALLVFPLLHKYSITGL >OB02G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:379484:381940:-1 gene:OB02G10710 transcript:OB02G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28250) TAIR;Acc:AT2G28250] MDLHMKLLLASLSVVLLIQVAYCDGTAEGTGSTSWTCVCTAHPLGETNSNSSLSSNCSSSCHCLQDDDGGTGSWNCTCASDKALRKEHAAIHDGSCFTSCNCTSGISQEGKKHVSNKTVIITLLVCVILTTIAFLGTTVYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQTKPKPKISVLKEFLCSCNPICGTEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFEFMANGNLRDCLDLKQGRKPMDWATRVGVALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPSAASGESLVLWAAPRLRDSRLVVAELPDPALQGRFPHEEMQIMAHLARECLQWEPEARPTMSEVVQILSTIAPARKPAGAATLVTRIGRSSGAGAGAGDAMHVHTQHTRLAVRCSVNGDGRGSWRGAGGGGGEEEETVDLTEPRLEPAIFN >OB02G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:385290:385553:1 gene:OB02G10720 transcript:OB02G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHRLTIFVRLIATTEEEEEEEEESQLTTHHPCSLAVRVHSAAVKSHPVTGTFTQHSAFENHLQSASQEVALGHSTPSSLKNSARG >OB02G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:387364:391169:1 gene:OB02G10730 transcript:OB02G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G14850) TAIR;Acc:AT5G14850] MSQRRRSNAAVPPPPEGAGDAITTGASSAEKERRDLPWMAGSDRRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKQGLRSYLHPFVFAALYKFLALLHLDTPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVAGLYYWFTAMKSSRGTSVVSNQQAASKQRPPSRKMALLIAALACAIRPTSAITWLYIGLLDFIQMKSKSRFLFLEIIPLGVLVLAAVTFLDCWMYGSPVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMVWSFLPFSIYGIMKSREWRLAGLIAWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMVQFKGKGCNEKGHLSRLQLSVILLILTNLPMALYMSLFHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNIPMRFLDCTPSEKKGTLDESDRFLMNPSYFVGKNFGNLSSFSHIVLFESEERHVKLLLHNSFKEVRRFFHSHFKVDRDLQSSVVVYSQMDAL >OB02G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:390813:394749:-1 gene:OB02G10740 transcript:OB02G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSPWPRLLILAATLLLGTIRIAMASSRIAKPGCKETCGNLTIPYPFGIGAGCFYRQGFDVSCEHNRIYMGNSSSRMVIYNISLVEGQARVSTLIASKCYYSNGTTTDGWVSTGTANLFTLSSRANKLTAIGCNTLSFLRGYNQQDVGAGCFSMCLNKQSVDSSGQCSGMGCCQTSIAPNLTSSNITFDERFNNSKVLGFNPCSYAFVAEQDWFRFEAAYLEGNKLTEKYSDGVPTVLDWVAGSKPCNEAQKNRSSYACISTNSQCISSPNATGYLCVCKNGFAGNPYLEEGCQDIDECQLPAQYPCHGICSNEIGDYSCSCQPGTHSIDPKKETCSPNTTSERARLTKMFIGISVCAILLLSCIFALLIECQKRKLTKEKERFFQQNGGLLLYEQIRSKQVDTVRIFTKEELEDATDNFDSKRELGRGGHGTVYKGILKDNRVVAIKRSKIMNMVQKDEFVQEMIILSQINHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFELIHGKFRTAPISLDARLRIAQESADALAYLHSSASPPIVHGDVKSPNILLGDNYIAKVTDFGASRMLPNDEIQFMTMVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYSDSAEEKKSLASSFLLAMKENRLESILDRNILGVGTELFQDVAQLAKCCLSTKGEERPLMTEVAERVKAIRSTWREQLIENANEETVCLLENSSHYDPSITGRHGSLMTLDLETGR >OB02G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:394295:394618:1 gene:OB02G10750 transcript:OB02G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSFSNRVWALLRGWMNLPFQLPRDFTGSLSEWWATTRKFCRKRYRANFDSAIALACWLLWIWKERNARIFNNVSRSPPPQWFGVYMEEIFVWKAAEFVREPTGIG >OB02G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:396927:399060:1 gene:OB02G10760 transcript:OB02G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:J3L8V9] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAAAN >OB02G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:400625:403735:-1 gene:OB02G10770 transcript:OB02G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVPVLLLVTVLSLILATGIGAAGDERSALLALKAGFVDTVGALADWNDGGKASPHCKWTGVGCNAAGLVDRLELSGKNLSGKLADDVFRLPALAVLNISNNAFATTLPKSLSSLSSLKVFDVSLNSFEGGFPAGLGGCADLVTVNGSGNNFVGPLPEDLANATSLETIDMRGSFFTGAIPVAYRSLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGPIPPELGKLPALTSLYLYKNNLAGKIPPELGNLSTLIFLDLSDNALTGAIPDEVAQLSHLRLLNLMCNHLDGVVPAVIGDMPKLEVLELWNNSLTGPLPASLGRSSPLQWVDVSSNGFTGAVPAGICDGKALTKLIMFNNGITGGIPAGLASCASLVRVRMHGNRLNGTIPVGFGKLPLLQRLELAGNDLSGEIPSDLASSTSLSFIDVSRNPLQYSIPSSLFTIPTLQSFLASDNMISGELPDQFQDCPALAALDLSNNRLAGTIPSSLASCQRLVKLNMRRNKLAGEIPRSLANMPALAILDLSNNLLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGLLRSINPDELAGNPAPELTAEVLKEVGLLGRLRHRNIVRLLGYMHNETDAMMLYEFMPNGSLWEALHGPPERRTLLDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDGSMEARIADFGLARALSGAGESVSVVAGSYGYIAPEYGYTMKVDQKSDIYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRDRIRSNKVEEHLDPLVGAGCAHVREEMLLVLRIAVLCTAKLPRDRPSMRDVITMLGEAKPRRKSGSPTGSGSAKPAAAATATVAVVDKDKPVFTTTPDSDYP >OB02G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:409062:409722:-1 gene:OB02G10780 transcript:OB02G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAWPSWRRCHRRRRHAKKTCVFARRTCSRDSAHSTSTTVSNRGQREGAADLAVTGMKDMDSPEAMGRFPTGLGDELGALEEEVEIGGSQRGSKGGPERRPEVLDGGGGGERDDDGAPVVGAHPDGYSLPLRHCRRHRRCRGRGREPCRGRKPEPDLLRGLKRRRQIVSLHRNQLRCCLTPPLRLLGGRERRRHRRHRRSCCPGPPPPLAPPTPIL >OB02G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:409314:409687:1 gene:OB02G10790 transcript:OB02G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRERVAIRVRAHDGRSIVVTLAASATVKDLRAALRSSFAPALASPDFHLFLKGAKLIAERGREPPHRLRRVHIFHPSHRQVDAAPSRWPRLETVVEVECAESLEHVLRAKTQVFLAWRRRR >OB02G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:409773:410156:1 gene:OB02G10800 transcript:OB02G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLRQGLGKHGQITHVEEIPGREATFAELPAHLSSSMRDALRSIGVTRLYAHQAQAVQSAVSGEHIVVSTSTSSGKSLCYNIPVLESISQSAAPCALYIFPTKALAQDQLKTLLEMKPAFRSADL >OB02G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:410335:411967:1 gene:OB02G10810 transcript:OB02G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWQQAGRSGRRAKQSIAVYVAFEGALDQYFMRSPHKLFGKPIEHCQVDSHNHKVLEQHLACAASEHPLRLEHDESYFGSSMNSAVMTLKEKGCLMNNPSGGDSGVWKYIGPDKKPSHNESIRAIEHDKYKVIEENLADHLKNGGSWPDRASSQRSEKCSTRKSNRVLEEIEESKAFFQVYDGAIYMHQGVRYLVDKIDLSSRIAYCKVFDLNYYTKVQDYTEISIIGGDVDEHPASQCKPDIRRTTAQASACKVTTKWAGFDRILKSNNQIRDSVSIDHLPPYSFETQAVWVQIPQSVRATVKQRESQLLDGVHAASLALLSIVPLHMMCSCSDLGTQCAEPEEQSETHDRILLYDRHPGGIGLASQAKLLFGELLVASLELVSTCSCTNFDGCPSCIQSFACSEYNKNLDKEAAILILKCVIQYEKLYFEAKDGSHRS >OB02G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:412458:414024:-1 gene:OB02G10820 transcript:OB02G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAGAEAACRVLPIIDEESESEMGEGSPARKVADERSKAVVARMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLQNSRAASARKQEQQRQTVSRQSDGGMSPSSPVSSKSNSFSWDNAAAGGAESCSSSPARSPLWPSSRQFSPSPVATHGTRLRRDSSGSADDGMMSMSCSGSSRMCQWITTDSDFVVLEL >OB02G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:417916:419421:1 gene:OB02G10830 transcript:OB02G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLLRLHLRRRAARPHHPRQPQPHQGRHRQRRRRLRLRLHLLQARHGRRLRHARPALRLRLPHHARRAHRLLHVAHRVRRRIHRRPLPHRLLAGDLRVVPVLDEHHVQQQDHRPRQRPRRRLGQHGRRRHAAHHAARLRRHPQMRRHAVHGVEARLLRAGDAARRDGDPGADAGAGPPRRQPAQPAEEGRRQQGQLLQGATVRRHQLPHLDLRPPLRLFHGRRAHHRQRHRRVLLRPLRPRPPRRRHHRRLLRHGQHRRASHRRPPLRPRRALLRHARPSLEHLAPPDRRRRLLPPARPRLHPPDLRRLHGPLLLLRPGRLRRHLRRHPVHLPPLARHHLRHDRRRRQLRRRAHAAALLHLVEVLHGHRPGVHGHHDHGVHAARGSRPLPAVGLHAPPAQRRRRGGALLRLRVERRGEEQGPPWRQPQVRRERPLRARPTQRHRRRRRSVAHQHAGARLGSKLFSDRDQELAGVGLDAAEHVFAGINGDGRVKSFLN >OB02G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:418526:419197:-1 gene:OB02G10840 transcript:OB02G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALALLLVAPLGAVVVLLLGAGVGREEHGAPLREVDENHGQRARHDHDAHVLQAGARGVPRRGEEEQLREPCAEVAAGAGHAGDDAERAAGDERDDAEDGAAGGLGAEGEEDHADDGGREGGGAAEQEAEGAAGGLEEPDVPETGAHAEVARAEVGEEAAGGTRDDVGHAEGGGDDAGDAEVEVEAVVEVLGDDVVGGELDAHGIAVEEDEDPGAVVGDGVP >OB02G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:422284:423876:-1 gene:OB02G10850 transcript:OB02G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEAGGGGGEESKFSLPVDSEHKAKTIRLLSFARPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLSLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIESAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGILVLTLGQDLPDGNLRSLQKKGDVNKDSFSKVLRYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWLLQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFISRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMLLPPNAGAEEEHYYGSEWSDEEKSKGLHGASLKFAENARSERGRRNAIDAAAAASPTNTPEHA >OB02G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:435371:446647:-1 gene:OB02G10860 transcript:OB02G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGEAPPPSGGSPATSPRPPVSQGQGQQQQQQLGFRNQAMMHHHEQQQQQQQGYPAGVAPHGMMGGGGSGSFPPSSGPMPPFQGQRNLPQPGGPQGLVGGQQQSPSAAMQQAYLQYMMQQQQKSHGMLLQQQQQTKMNMAGPSARDQDVAANTAKMQELMSLQAHAQAQMFKRQQSEHLQQAEKQTEQGQPSNSEQRSGDMRPPMPPQGVPGQQLSSAGMVRPMQPMQGQAGMGNAGANPMAMAQLQAIQAWAKEHNLDLSNPANVSLISQLLPMLQSNRMAAMQKQNEAGMASQQQSVPSQMNSDAPGHSNFPSQGGAAKPRQSLPPSTSVSGGAEPKMMNLSNMQMQQQLAAQNRDSSNDRAVRPAVSMGNGGQMMHMPQSSGHANKIPEQPNPNNANSEAMQMQYARQLQQANRATAPSANSGEAGGSQTPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPTDSQAQQVSGPPVTNRERSATSSAGEHGRPVESGGIAPERSTLLKAPCLPKVEVSAPEDKTISASGPMQAIKASPKDPVRIGPVSAPEQTNTALIKSEQDPERGIQRTPGRSDYNGERGKSVPAESGSADAEQAKRAGSSSSAPTPRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARLRDEVEQEQQEIMAMPDRIYRKFVRQCERQRVELIRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAVKFNILVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDGPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNPMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEDYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYVGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVNSTELDAVVASLSKKPLRNMASGGIALDTNEKLEKRRGRPKGSGKYSIYREIDDEDEEASDEDSEERNTSSLPEEGEMGEFEDEEDNDDSVPDNKDQSEEEEPINDDGYDYTHGMGRRKSHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRSADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGAIPQRGAHLAFQGDGDYDSQFKSEQVFADPASRQQDTVHRTVKQKRNMPSRKASPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPDLSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLAGSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKSAMSFSNPGGGSSGAAAQSTKQSASGQKRRSSTSEADQHGSSSSRHNQHVSVGEVSGRVHPSKSEKDSRHSGQGSRDQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNTGRMGPVPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPAKRSRTDSGKRRPSHM >OB02G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:446681:447064:1 gene:OB02G10870 transcript:OB02G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRRDLGPIGHPARPDRGRRRRTRGWGSGRGAGGGVSNPNHHLLPPRLGADFPPIFPSGFAFFFRKRREEKRREVGVGRNGKFQRRIGFYAKMTGLGYFSLRPLEFKIFAWTGSQERNVVLSLFG >OB02G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:452022:453552:-1 gene:OB02G10880 transcript:OB02G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRERERERETHSSLHLLSRSDRLYKFSHAGICITHSLTVSRYFSSAQHLSSSYVRTIMEAAGRRKASSSGGGARLHVAMLALQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALSLLAPFAYFLEKKERPAMTASLLLQFFFLALCGITANQGFYLLGLENTSPTFASAIQNSVPAITFAMAAALRIEQVRLSTCDGLAKVAGTLLCVAGASVITLFKGPAIFGPTTNGHQQQQQQQLAAALAAGGSWTMGCVYLIGHCLSWSGWLVLQAPVLKRYPARLSVTSYTCFFGLLQFLAIAAFLERDAAAWVVRSGPELLTILYAGFVASGVAFAVQTWCIHRGGPVFVAVYQPVQTLLVAVMASLLLGEHFYLGGIIGAVLIVAGLYLVLWGKNQERATAAAKEAFSSAAAAAISDQPAAADSCLKQPFLPPPATSDNV >OB02G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:456377:461474:-1 gene:OB02G10890 transcript:OB02G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3L8X2] MATVRVHLAAFLAVFLLAAAAEALRLPRDASFPKTQAERLIRSLNLLPKEAGPAGGGDVPSVAPGELLERRVTLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQVFFKKHPEFAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIQKSDYDRINKFIPPCEFAIKLCGTNGKTSCMAAYMVCNSIFSSIMKLVGTKNYYDVRKECEGKLCYDFSNLDKFFGDKTVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHELPFVVDGAEAGVLKNHGALSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKEESLPEQPMYAAI >OB02G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:464008:466318:-1 gene:OB02G10900 transcript:OB02G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAGRSFPPVTAYDASAGARRTVAADLDGTLLVSSSAFPYYFLVALEAGSYLRALVLLLAAPWLLVLYVGVSEAAAIGLLVFITFAGLRVRDVEAVARAVLPRHYAAGVRADTWAVFRGCGERRVVVTASPAVMVGEFVREFLGAEVAGTELETFASGKRFTGRIKAVLVGKKKREIVEKLFPAGDMPDVGLGDRESDHDFMAICKGAFYGSTARGWKFLDPYFFYMNPRPGYEVTFLPALKPEETCVAGGKSAVEVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGRVAAKPKAN >OB02G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:468115:469694:-1 gene:OB02G10910 transcript:OB02G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 4 [Source:Projected from Arabidopsis thaliana (AT3G61350) TAIR;Acc:AT3G61350] MASEIKHIGKLSCSNMDSSAPHTSLIHGLPDEIALLCLARVPRRYHNVLRCVSRKWRALLSSEEWHCCRKRNNLDESWIYVICRGTGIKCYVLAPDPATRSLKIMQVMEPPCSSREGISIETLDRRLFLLGGCSWLKDANDEVYCYDASSNCWSSVAPMPTARCYFVSATLDKKLYITGGLGLTDKSPNSWDIYDPATNSWCAHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAGIYDPLCRTWRGTENEIAMCWSGSTVVVDGTLYMLEQSLGTKLMMWQKDKKEWAMLGRLSDKFTRPPCELVAIGRKIYVIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >OB02G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:473359:476759:1 gene:OB02G10920 transcript:OB02G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nin one binding (NOB1) Zn-ribbon like (InterPro:IPR014881), D-site 20S pre-rRNA nuclease (InterPro:IPR017117); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Pla /.../385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41190) TAIR;Acc:AT5G41190] MLEAEIHGTNHLREHPPPLRVVNVRNLKDAPLPGWGSNVPNLAEWEELDQMSEAGGNLKSRILPLKDLENQEIPTSETNSISEKQGDEEHQPANKDACIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDALKESEQSFETSSVDPSIDDDKSLSENGLNPVDGPSADPEKMTSRTDKDNEADNVGTIEETGGTDACIEELDNLDIKSETEEGADSSFADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACSKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSSKQDDDFLGADDIFSHSGDKKVSLKPPVRKALAMFSGKRNPNDNHFSRKKH >OB02G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:476630:478082:-1 gene:OB02G10930 transcript:OB02G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCDKPKMNYRKGLWSPEEDQRLRDYIVKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDIVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGSIPNSLATEVTSMSTTEPPSLHHHHHHHHTKNSSGSTTTSHDQDANLSSGGISISAPAPAAEAPFDHQQHKSFVFSGDWMPTAAATAGPESYSMSAQHWPASTASSGNVTPSHAGAFGDQMSGSYGALQHQQQQGSAAAMAGGGYFDLLNMGDIYGGFAATSDDLLF >OB02G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:482572:483577:-1 gene:OB02G10940 transcript:OB02G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPARGHRAVAGACGRVRHHRQRRLRPHRPPPRHRRHRPEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSFVGMGVWRGAGLIASGSESGHVFVYDLRWSKPIWVHPFAAASSPPSPVTAVAWRQAVDNDDGDGHLVAGGSDGVLKLFTCHRRLSSDVAAGDDDDDVTAC >OB02G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:493895:501930:1 gene:OB02G10950 transcript:OB02G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G12800) TAIR;Acc:AT1G12800] MLRAPPRAGGGADRGDLPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLIANRKDKLDDILREFMDANRQEQTSGTPEETSKPTVDKNSPSMSRPVQSNAKQDEPALTLLRPAGSKLKQDGPPLTLQRPAGSKPKQHEPSLTGLRPVGSKQKENNPSLTLVRPKGSRPIVRGEPVQDSWPSKESLTATRELSNVGSTSRKDNVDVSLRKPTVHQSEDDELKSKLKLKPNVDLKMRKDMDENLANISLLQMPDLSVDNTNSNQENSDAGPAYVTAGEDNGALDPETNGLDERLVIDSINDRESSMLAGDLSAELQPSEQSFNQEGDPSVLDDQSAARRNFSMQAFLQGKPKMKDLVVETSPSQVDAEKVNASEDNMSYVDEGGNVLSSKLEDITESDWTRLEHYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLYKQSMGIEESFEVNDKNMESVSSLDSELGAQDQGSLPSKEKFEDLLRTYNIEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKHVKPNPSVGTLEAVIGEELSLGGSLEPAEADFEWPEVDALIDEMKNIEEVKDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLHIEQLKEAILACTNRVS >OB02G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:498806:502244:-1 gene:OB02G10960 transcript:OB02G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:J3L8X9] MADPCKFRPSSSFDTKTTTTNAGAPVWNDNEALTVGARGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVADLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDGKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEEEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPVPAPTRGAARRPPCVGRRQKATIHKQNDFKQPGERYRSWAPDRQDRFVRRFADHLAHPKVSPDLRAIWVSYLSQCDESLGMKVANRLNVKPSM >OB02G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:509258:513440:-1 gene:OB02G10970 transcript:OB02G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFADKEVQRDMKLVPYQIVNKDGKPYIQVKIRDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQTAEKEEYEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGVDDEHDEL >OB02G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:514774:518823:-1 gene:OB02G10980 transcript:OB02G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAFTWTTMISSFTVQGDGKKAVELFWDMLRSGTPPNSVTFVSVLSACSHAGLIQEGRELFREMREVYHIDPRLEHYGCMVDLLGRGGLLEEAEALIDHMDVEPDIVIWRSLLSACLARGNDRLAEIAGKEIIRREPGDDGVYVLLWNMYASSNRWKEALDMRKQMLSKKIYKTPGCSWIEVDGVVHEFLVEDKTHDSRREIYGTLENMARHLKMDPMYSGDEIDGDTKVEGATHYRDIRRYKCEFCMVVRSKKCLIRAHMAAHHKEELDESEIYKSNGEKIVQEGDHNCQECGASFQKPAHLKQHMLSHLDERSFMCPLEDCPFSYKRKDHLNRHLLKHQGRLFTCPMDGCGRTFNIKANMQRHVKEIHEDGNATKSNQQFVCKEEGCNKVFMYASKLKKHEESHVKLDYVEVVCCEPGCMKMFTNVECLRAHNQACHQYIQCVICGEKYLKKNIKRHLRAHEGAPSTERIKCSFEGCGCSFSNKSNLTKHLKACHDQIKPFACRYTGCEKVFPYKHVRDNHEKSSAHVYTQANFMEMDEQLRSCPRGGRKKKSVTVETFTRKRVTMDGDASSLDNGTEYLRWLLSGGDDDASHAH >OB02G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:519481:519768:1 gene:OB02G10990 transcript:OB02G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHEAREGNNIRVGDFIEQPARIGGAAKFSIGPKDFTGNRLVAGVEAMGKGLGMDSLELSYGFALVEKMVANTSIHPPSSTQQALSNSNTWNYS >OB02G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:523547:526155:1 gene:OB02G11000 transcript:OB02G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFNDLQEDISTEHAFEAEPVPSLSETITPRSLVFSFIIGATLSVVAMKVTLSSGFILSLSIPAGLLGFYVSRAWIRILDYFAVSHLPFTRQENTIIQTCVVACTSVTFTGGFGTYILAMSKKAAGGDVKDDNNIEEPSIAKMITFLFLISFAGMFIIMPFRKIMIIRHRLTYPSGTATAHLINSFHTPQGVKQARNQVTLLFKSFGGTMAWSFFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFSMANVGIGMMCPYMIVFSMLIGSIISWCMIWPYIQTKEGIWYPSNLGPDSLSGIRGYKVLIGLSMILADCLFVFLSIMVRTACAMVKRRQQASHGVGGGGGGCNMQQPFQCLDATDRPARSFDDRRRAQVFLRDEIPNSVAIGCYVLLSVVSIVAIPLLYPQLRYHHVALIYLAAPIFAFCNAYGCGVTDINLGSTYCKIAMLVFGSWIGVKGGGVIAALVAGGIMVSILGTAADLTQDLKTGYLTLTSPRAVFISEVIGMTLGCIINPTVFWAFYKMNPSAAGGDMSDTPYAKLYRGFAMLSVGEEGLPGHSMFLCKLFFALAMALSAVREVANRKQWRVRRYIPSTIGMAVAFIMPPRMPVGMAVGSLVAYLWEKADAARGRMLSPAMASGFICGDGLGSLLLSTLTLVHATAPICIKFLARGDNDRLDAFLATLPAT >OB02G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:527619:529691:-1 gene:OB02G11010 transcript:OB02G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAAAALREVISTEKAFEGKALPAWSEQITVRSVVVSAVLGLFLSFIVMKLNLTSGIVPSLNISAGLLAFFLMKTWTSALERCGVFPRPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKSIAEGFDEAKTSINVEEPSLGRIIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKRQVSILFKSFVGSFFWSLFQWFFASAPGCGFSSFPTFGLAAYSRRFYFDFSATYVGVGMICPYIINFSLLIGSVVSWGIMWPYIESKKGTWYDARLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSIVMRTAYDMYLKRRGGGAGAGNRQQEQLFAGATGTERQALSFDDRRRTQVFLKDQIPTSIAAAAYVLLAAISVVAIPHIFRQLRPKHVVWAYVVAPLFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGARDGGVVAGLAACGLMMGIVSTASDLVQDFKTGYLTLTSPRSMFVSQVMGTGLGCIISPMVFWIFYKANNIGVDENFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICTLKEVAKQRRWWIQDYIPSALGMAVPFFLGSFFTIDMCVGSLVLYLWSRADPLRAQTFAPAVASGLICGDGIWSLPSSILSLANVSPPMCMRVFSAATNEKVQLFLRTLPVLP >OB02G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:531379:535635:1 gene:OB02G11020 transcript:OB02G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLRQRRPAGAAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRKGALGWLEWGRGWMAIVGEFLFQRIAASNLANPLELPPLEGVTFVVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAHELIQKWQSENSELGRPINAEVMELDLLSLESVVKFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAVLLLPSLLRGSPSRVVNVSSVMHTVGFVDAEDMNMTSGKRKFTSMLGYSNSKLAQIKFSSMLHRRIPAEAGINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDAQEGSRSTLFAATDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEESHNLETSQQVWEKTLEMIGLPSDAVDRFIEGESVQCRYGQQKAE >OB02G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:536312:537411:-1 gene:OB02G11030 transcript:OB02G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELRSEAEKLKESNEKLRETIKDLKAEKNELRDEKVSLKAEKEKLEQQVKALSVAPAGFVPHLPHPAAFHPAAFPPFIPPYQASGNKNAPTPTAFPGMAMWQWLPPTAVDTTQDPKLWPPNA >OB02G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:539648:539917:1 gene:OB02G11040 transcript:OB02G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLHLFPSYISSLFIPLAKKKLFHADSTNNKRIMQIYLNSVYRYGVDLVTMYCIEFQFFLIVNVWNTYFRVFIYKKTFRGKNILEKWCTW >OB02G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:546900:550116:1 gene:OB02G11050 transcript:OB02G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSGNFPVGFGQCRSLVELSLDGNAIAGALPDDVFNLTSLQILSLRSNSVSGGLPPSLRKLISLVRLDVSFNNFSGELPDVFDAIASLQELSAPSNLLTGKLPATLSQCSRLRVLNLRNNSLTGDIGLEFRALQSLVYLDLGVNHFTGPIPASLPECRAMTALNLGRNSLTGEIPASFAAFSSLSFLSLTGNSFSNVSSALQTLQGLPNLTSLVLTKNFRGGEAMPSDIAGFANIEVLVIANCELHGAIPEWLADLRKLKVLDLSWNHLAGPIPPWLGELDPPLYLDISNNSLQGEIPRKLTQMPALMAGGDGSDEAHVQDFPFFIRPNSSMSGRQYNQVSSFPPSLVLAHNELTGGVPPALGALTRVHVVDLSWNRLSGPIPPELSGMSSVETLDMSHNALSGAIPASLTRLSFLSRFDYQCTSSGCPNTNSSIAMANQAHRNAESFDDPWSS >OB02G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:550844:555316:1 gene:OB02G11060 transcript:OB02G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEEEGVGEVDDEYGAVPKASVRSDADADARRGGSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGTSARSATAAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGVPQRKIEKTTFGGGGGRLPATKVVLEATEEIDTKRVDPSQDKREIGWQKAANWAPPDPYPEVEPCAKSALAEEITVADSAVSFNRHDSSTTLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVISHGECSNAELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKSLPMDQSTPKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVEVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPPSIGCWNWCS >OB02G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:555641:556822:-1 gene:OB02G11070 transcript:OB02G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQHMSDGDPASQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTIGNLCPDTSDEASALVPSLRSGGRFHGDPGSEKIDKMLNDLSLIKKFE >OB02G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:557837:561717:1 gene:OB02G11080 transcript:OB02G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 [Source:Projected from Arabidopsis thaliana (AT1G73060) TAIR;Acc:AT1G73060] ALRAGVSVYKPRSYEVLVSDAARSLACAIDEGKTRLEVEFPPLPSNISSYKGSSDEFIDANIQLALAVARKLKELKGTRSCIVFPDQPEKRRASQLFGTAIDSIESVTVGSLDDVPSGPVNNFFKSIRDTLDFDFAEDNEDRWKSDEPPSLYIFINCSTRDLSSIEKYVDQFASSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVLGLQEEEGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >OB02G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:563219:566548:1 gene:OB02G11090 transcript:OB02G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRAACRSGVLLLLLLAAILAXLAAAASASSIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDDLCDKMQDYTLQKLESGEKGWVKVEDWNSFKTEKKAAARAHSKNLSTFCGRLLEDTEDELAEWIKSSSAESGNVSRALCEDISKHCLSTSATTEIDDEL >OB02G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:568308:573241:1 gene:OB02G11100 transcript:OB02G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVNVNPNLNVILTACLTVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSMKRFLPKEWNDNDIVWRAPFIHSLSVEFTKSQVVASIPGFFFCVWYAAKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAYLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEAVEEDTDTKQNKKDE >OB02G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:579887:585470:1 gene:OB02G11110 transcript:OB02G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3L8Z4] MKIGLLILLVLSLVLFPDGICRSLAARPSTVNIGSILRFNSTIGGVSVVAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVQALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIAALDDALTQRRCKISYKVGFSSNAKKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPADTMYGMQGVLTLRPHIANSKMKSNLISRWSSLSKTYNHSDLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSVFDMGNNLLEKIRKVNFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSRLLSTVLPEVLYSKPPNSSLANQHIYDVIWPGQTAQKPRGWVFPSNAKELKIGVPNRFSFKEFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGTGTENPHYDQLVQMIEDNEFDAAIGDIAITMSRTVANDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLEMWCITGLFFLIVGAVVWVLEHRINDEFRGTPREQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLKALGSPEEYADALKRGPKKGGVMAIVDERPYVELFLSTYCRIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTGECTADNTEFVDSNQLRLESFWGLFLICGVACVIALLIYFIIAVRKFLRHVPPEEPATPEAPISPKEEPKCDCRKFLSFLDHKEPPKKKRSLSSTPTTPVSNFTVLQIERPVRSVRNGNAVDLEN >OB02G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:586245:587685:1 gene:OB02G11120 transcript:OB02G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L8Z5] MDMMCAPFRLFSSAPFSMASSSMPSRTFHRHSLPSSAPPRSSRGACAPCCLPGAVTRRRAAAQLLSAAGFLIAVSPPSLAARRGRVVVPPEDYVTAPDGLKYYDLVEGKGPTAEKGSTVQVHFDCMYRGITAVSSRESKLLAGNRSIAQPYEFTVGSLPGKERKREFVDNANGLYSAQASPKPPAAMYTVTEGMKVGGKRRVIVPPELGYGKKGMSEIPPDAPFELDIELLEVVPPAEK >OB02G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:587734:591624:-1 gene:OB02G11130 transcript:OB02G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLTQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDALEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYTNSNIEIHTFNQSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNTARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDSLKVSGDVWFGSSITLKGKVTITAKPGVKLEIPDGAVLENKDINGPEDL >OB02G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:590994:591377:1 gene:OB02G11140 transcript:OB02G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFVSYKMMNMHCSNEEEITETKKKMEMELRVTCCILWSSASHGGAEAAVELEHGELVQQLLGLLQVLRRRFQGVVRHHHLVGRRVDLCPLHMLLLAAFFLGKFNQFIVRDAGSSSSSEMESSEN >OB02G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:592777:595413:-1 gene:OB02G11150 transcript:OB02G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy protein Apg5 family [Source:Projected from Arabidopsis thaliana (AT5G17290) TAIR;Acc:AT5G17290] MAERRDDEAAWSAEAARRVWGGSWYIPIGVLYDLLCADPERPWNLTVHFRRYPSEILTPCDGEDSAKWSYMNSLKEAAFIITGNSKNVMNMSQADQGALWQSIMKGNLDRYMNISTRLKLGPFEEDCLVRTSSVEGQQSSEEPESPGSGKPCRVPVRLYVRSVQQDLYDLEDAVPVSDWDSISYINRPFEVRKEEGRSYISLEHALKTLLPEFFSSKASGTPDDSEHAQTPDSAPGDPDVTPRSCQKVESTSSSQQEADMANKGKVKLVRVQGIELDMDIPFLWVANNLKNPECYVHICVYVGIR >OB02G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:596253:599068:-1 gene:OB02G11160 transcript:OB02G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWQPVENPKELQKDGINGTYRVDVDIPADEWVNVTKFYDVLIFNTGHWWGSDKFPKETPLVFYRGGEPIEPPLGIYDGLKVVLESMASYIGREVPSKTLKLWRTQSPRHFHGGEWDHNGSCVFDRLLQEHELDSWFDPGFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHYFKQANGR >OB02G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:600250:601827:-1 gene:OB02G11170 transcript:OB02G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01860) TAIR;Acc:AT2G01860] MLIMHADFLSFLLIMLLSGIKVVLNSNVLPNLTTGSMSLITWPLPALHGFCAASVKCRAWWLRRDVNLPSTSRISCTEPSDGGSCAMELEVPDCSEQTYSLNAAAAEDEDDAKEEVLEWSKYELDAISALFDRPMRQKPLKPPNPARQRPLPLPLPHKRRLPNAPAPKQHIRLAARAALSSRTSFSDQVCKNPEVLIRIAREIGALRPESEVSVVLDRWARFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTAVPLFPDDRILASTIEVLARFDQLKMEDALDQCVPSASRAVLEAMVSGFIRAGKVGLARKLLELTKINKRTLSPSVHVKLMLEAVRTPEGYGLAAALLDELGERPELHLRQQDCTAVMKVCVKLRRYAAVESLFSWFGESGGSPTVVMYTTVIHSRCRGGRPPHREALALAWEMEQDGSCLLDLPAYRVLVKLCAALRDPERGLRYLARMKEAGFVPTSDMYGELIGACAAEGRMARCRKLVREAELAGVKLERRLLSRLSEMGVESSYF >OB02G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:603088:605241:1 gene:OB02G11180 transcript:OB02G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLVFMSISTKYSDVLVDRNMSTIHLCLQKVWLMAFQGCMPLKACVGAGWLIDFDSHEKEQDTRRLHMQIGRLLLGILDNPDAEKSSCDRCSRISKN >OB02G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:610939:615179:1 gene:OB02G11190 transcript:OB02G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCGGSGKNHVSGDFRPSSPGTMTSKTSSSVTTSNSTTGKLSSVGSSFMASSGSGGTSSGFDEGVGVYPEGQILEAPNLKTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKMNPVKSGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCWEDRELLLVYEFMAKGSLENHLFKKGCPPLTWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLARLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDARFEGQYNSKQALQAAQLTLNCLAGEPRSRPSMKEVLEKLEQIESMKSRARDTRGSGSSRDRSHGRTTAHQRSSPRPDARRGSRTNGHATKAR >OB02G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:616586:619816:1 gene:OB02G11200 transcript:OB02G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 29 (sialyltransferase) family protein [Source:Projected from Arabidopsis thaliana (AT3G48820) TAIR;Acc:AT3G48820] MRVLPLALVAAIFSGVTAILIYLSGLSSYGGARVSDADLAALGALQSGFSKCVAANGLGLQAISGEDYCRVVIQYPGDTDSKWKDPKTGEPEGLSFEFNLCEAVASWEQVRKSTTILTKEYIDALPNGWEEYAWRRINKGILLNKCQNRTLCMEKLSLVLPETPPYTPQQFGSCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEALIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVIKWLPTKETIEAAWVAAEKLLRRPGAGSSGPLSTCTMIKKREKGKAPKRSVVRDAAMKHLEYMKGATRYPLERNAGGGYLCMISDR >OB02G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:620949:621611:-1 gene:OB02G11210 transcript:OB02G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTISAAVFSSHTRKWTVFGFHEGADLCYYRFDHSDGMPAGRFVYWRSNRKNEERILLLDMTTMEWTVITPPFAAGESYCVADMAEHGGLCLVSSRDQIIELWVRSSSSSSNGGSPWLLKKTISLFDRFGHLKTLRREEWMKRVRVLAAKAGYVYMEFWSIRKPSSYLLVLDLDTMKLEMFRYDSDEPFRGPAFPFFLRLAPLTPTPDEASDLHVPSA >OB02G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:626193:626951:-1 gene:OB02G11220 transcript:OB02G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVRSQSGVGKKKPMTSPPFDAVGDDLLHEVLLRLPNMASLLSAALACKRWHRAASDPAVIRRFLPLRRPPLVGFILTDRGDMPVPRPPSPPTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASAAATAVGSSYPGAGTRPTSPSTIPSRGLPPSSAHPVPSVNPTWSGTRSPSTTPMPRSGSSPWNTAGPPPCSPPAPANGPCSASTRERICTTTASTTATACPPDASCTGVRTARTRAGSCCST >OB02G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:630015:635272:1 gene:OB02G11230 transcript:OB02G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVAAAAEAAIGWVVQSFLESFFTGQMQAWTREIGLAEEVEELETEMRSIQMVLAATESSKIDNMPLSESLDELKELLYDAEDVMDELDYYRLQQQIEGGKGSSSATSTNLEGSCVSSSTPSYFQQVCSIMNHSISWVMHGTKRKREGKEEPTHSAVLPLETKHDISKRINEIVDRLRTRGKPVQGILQLEILRQIAMPKHSQSEPRKLRQTTSLPVEHKVYGRDKERDKIIELLTKLESSDLGVLPLVGVGGVGKTALARFVYHDQRIKDHFDLRMWVCVSDRFNEKNLTHEMLELVCKDRQGYKHITSFDVLQRTLRKEIRRQRFLLVLDDMWEDGDMRGWDKPLAPLKCNEANGCMILATTRRASVARMIGTMSKVEVNGLDETTFWCLFKAWAFFGSANHERDPTLQSIGKHIAKALKGNPLAARSVGALLNRNVSFEHWRNVQYRWKSLLEHDDDILAILKFSYEFLPVHLKQCFSYCSLFPKDHKFTGEKLVHAWISQNFVKFECHSKRLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAGKVSQNECATVDGLEYKKISPGVRHVSIITTAYDKQEPSNFLTEKFEKILCSIGSMQKLRSLMFFGKKSILLLRSLRGLFKELRSLRLLRIYMTTDDISGTHNLLKPYHLRYLEFFVFATKKKFGYRDTVNASIPQALTKFYHLQVLDAGCEGDFAVPTGLNNLINLRHLIAHEKVHSGIAGVGNLTSLQELIFKVQDAGNFSIGQLRAMNELKTLGISQLENVKTRQEANSANLGEKEHLEELSLSWDDNYLSLEPNSDKTRDDVLEGLEPHHNLKHLQLIRYTGATSPTWLASRVTSLQVLHLENCRQWKIVQSLEMLPLLRKLKLIRMWNLIEVSIPCYLEELVLVNMPKLEKCVGTYGLDLISGMRVLIVKDCPLLNEFTLFQNDYFHAEQKSWFLSLNKLTIGHCHHIIAWKILPLEEMQALKKLELMDVPIVEELSVPSLEKLVLIQIPRLQSCNGITTAPSPQFSTSQGDQRELVCSLRELTINDCPSLIVPFPIPPSSLISFLSIKGLPSFPTMVINQRVLTIESNELSELDGRILPFHNLNGIIWLNIRHCPNLTRISIDGFSKPHVMSKPVHDNSIPDTSYPVFSSLTSLTIQSCGIAGQWLALMLPHLQSLENLELWGCPQIKSLSISQSTKMEAPHSLASAETTSARAEYLLQIPCSLLRTLKRLIIWACPDLEFYGVNEGFRGCTSLVELQIRDCPKLLQLLVREPTDNYLLPISLQYLTMSPLPANMQFFSADGLTRLTMLSLFCSSYLKCVQLQSCTALECLQLIECQQLSVVEGLQHLSSIRRLDIDMNHDLLALWDHKLQEQGQVGLLPLSLEHLVISNLEGSIHPRFLCLPSIMNLRLRDSPDLKSLQLGYCTALVDLAISGCKTLASIEGFQSIRNLRSLVIDDSPSIYPFLVQQQGASDIWSQLETLMIDDSSVLSVPLCKRLKSLRFLELDGDGYHGESMVSLTEEQERALQFLTSLRRLILCGYQNLESLPANLRNLDSLEELCIYDCPNILRRPEMGLPPSLRRLRLSGCSEELSMQCRMAETEKLSVQFVSSIMVPIKLQGPGANHSGYFWRYTIPGSDVL >OB02G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:638875:644466:1 gene:OB02G11240 transcript:OB02G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGTVVDAAIGWMVETILGSFFTGKMQGWLAEDMEMLESEMKRVQMVLAAADGRRIDNKPLSNSDELKELLYDAEDVMDELDYYRLQQQIEGGKACNVGAAACINPEGSCTKVASSCMNQIVSWAMDGRKRKREEEPTQNIMLPLEIKCDISKRINGIVKHLHIKGKSVQEVLHLEISGHNMASKQTQGMVRGRRLTTSIQVERKVHGRDVEKGIIKELLIKGNSSNLGVLPLVGVGGVGTKTTLARYVYHDQTIKDHFDLRMWVCVSNNFNEQRLTREMLEHVCRDTHEYENIISFNVLQKTLQEKIRHTRFLLVLDDMWEDGDMSRWEKLLASLKCNEADGCMILATTRKTSVARMIGTMSKVEVNGLDETNFWLLFKACAFFGNENQELNPTLQSIGKQIAKNLKGNPLAAGSVGALLNRSVSFEHWRKVQYKWKLLLEQDDDDNILSILKFSYEFLPVHLQRCFSYCSLFPEDHQFSAEKIVCAWMSQNFVRCESHTDRLEETGKQYLDDLVDYGFFEEVESHYVMHDLMHDLAEKVSQNECATVDGLESKKISPGVRHLSIITTAYDEEERFKFPSEKFENILQNITCPQKLRTLMFFGRNSIMLLPSLNALCKESKRLRLLKIYVTAADISSTHNLINPYHLRYLEFVVVPTTDMFGILNFVNTSVPQALTEFYHLEVLDASSGANLVVPTGMSKLVQLRHIFAHEKVHSTIAGVGNLTSLQELIFKVQDDSNFNIGQLRSMNELVTLRIYQLENVKTKKEANSARLIDKEHLQELSLSWNDNSMSSEPTTEKTRDDVLEGLEPHQNLRQLQLSRYSGATSPNWLANNVTSLQTLHLENCREWRIVKSLEMLPVLRKLKLIRMWNLISVSVPSYLEELILVNAPKLEKCLGTFGPDLTSSLKVLMVKDCPCMNELTLFHSDYFQTEQKSWFPSLKKLIIGHCHHIIAWKILPLEEMGALKELELMDVPVVEELSVPSLEKLVLIKMPRLQCCSGITASPLLQVLTSQVHQKELVSSLRKLTINDCPSLIVSIPIPPSPLISDLSIKGLSAFPTMSIYQGTFSIESSELTELDGRVLPFHNLKGIRSMYLRRCLNLIHVSSEVFSHLVALERLVIQICPNLLQPQFLSESVRDNSRPNTTDIFLPSLKSLAISSCGISGSWLTQLLRHLQSLECLDLWGCPQIKFLLMSQPAETEVTSSLSSAVPTTTSDEQVLQILRNLLRSLKMLRIWGCPDLEFFGSNGGFGGCTSLVTLELEGCPKLVSPLVHETNNNGLFPTSLQDLKMSCFSTNLQSYSPRDLHCLKKLSLSSSHLKSLQLHSCTALEYLHILECQHLGVLEGLQHLSSLRRLDIEMNHELSAAWDLKLQEQEQGNNQVGIFPLSLKELWIRNIEASVHSRLLCLPSITKLELWSSPNLKSLQLGYCTALEELAISHCESLASIEGFRFIRNLRSLSILHTPSLSPYLQQQGASDIWSRLETLEIDDTSVLCMSICKHLTSLWCHMFQSAVGCNGKPMVSLMEEQEKALQHLTSLREIFFEDCDNLESLPANLRSLDSLEELYITECPSIVKLPEMGLPPSLCYLSLSGCTEELSMQCRMAETEKLRVHI >OB02G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:647866:651374:-1 gene:OB02G11250 transcript:OB02G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAASLPPPPPEVAHLVEQLQRHHLAPDASLLSNSAHSDLLQAREEVASERARYLEALAVYAEAMAMVEEYQHAIAAGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSTLSRSSFDSTMTSAAPSSSSISTSYNNYSSTASATTIAVAPGTGGSEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLASMDRKFAEHYSVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHAGV >OB02G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:654318:655868:1 gene:OB02G11260 transcript:OB02G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNALIWFWISSWTVIRIAKNRCFAALVQVAVFAQNIPAVCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKIVFVDCALLDVAQDALRIVSQSGATPPVLVLISELLDEHEPKAKLQGSRTYHEYEALLGNAGSSSPEFAIRWPADENEAIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMTSTPVYLWTVPMFHCNGWCMAWGVAAQCGTNVCVRKVTAATIFDAVARHGVTHMGGAPTVLNMIVNATPEEQRPVGRKVTVMTGGAPPPPKVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAASSNTKRRSNL >OB02G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:657926:662923:1 gene:OB02G11270 transcript:OB02G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42320) TAIR;Acc:AT5G42320] MAASSAPPPLLPRLLALALTLAVVAVVVSAAAAARIRASVTPISRSLYHSSDSLLSDIKALVARHPDKLSMDTKIASNKGYSTELFIVTFNHVKESTANSSKIHVLLSFGQHGRELITSEVALHLLYILTEKHKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIVKVPMPFTFEIYGDETATTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLRDAQVVSEGGMDNFVPMGGDIVERSSAGKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRDAGNIFDT >OB02G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:663444:665290:-1 gene:OB02G11280 transcript:OB02G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDPWVREYGEASRLADDVTSMIAERGSLPQSGPEIMRHTSGIRRKITILGTRLDSLEALLSRVPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKSADDMSRVAGLDNQGIVTLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKTLAILNKRTKGGCSCMSLMLSVVAIVFLTVIVWLLIKYM >OB02G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:672310:673742:1 gene:OB02G11290 transcript:OB02G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSAAASSAAPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQEEGGGGGGGGGGEPVVDVFLHSPLDADAYKLSLLARAAPPGSRLAAVRVFRPEYIAETPERARVLTADNSPNGIQVSTCLGPDKIARNNSIVRKDSSTVKSKQRK >OB02G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:675518:676414:-1 gene:OB02G11300 transcript:OB02G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASISATHSSASIAATLRRTAAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGRERVGGGERAGPEAVDPRADHPIIREVASRFALADQVQTPLHQPKILEQSLLNIITVINTSLLQLQLEMRLLTASSC >OB02G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:676374:676553:1 gene:OB02G11310 transcript:OB02G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEECVAEMEAFMARTQRWDAPTPAEICRAGRLRVAARSASSSSSNATAAATVKAKRN >OB02G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:680795:681358:-1 gene:OB02G11320 transcript:OB02G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHCAASDHAGVLGVLRRMKDDGVEPDLVTYNTLVFGLARAGMVTKARTYLDAMAAAGHFPDVITYTSLMNGMCVKGDALGALALLEEMEAKGCEPNERTYNTLLMGLCKNKKLEKAVEVYRSMVGAGMKLEAPAYATFVRALCRAGRVPDAYEVFDYGIESKSLAEVTLYTELDNSLKWLHRMKS >OB02G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:684065:689546:1 gene:OB02G11330 transcript:OB02G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNLFPLWFPPNMITLTGFMFLLTSAFLGFFYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEALAFGSTAMCGRASFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEIPVYGLALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFCFLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGSPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRIARKKA >OB02G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:690886:694101:1 gene:OB02G11340 transcript:OB02G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRAAALRLLLVAMAVAAARGAVGGGAPAKVPAIFVFGDSTVDVGNNNYLPWSSARADFPHNGVDFPGGKPTGRFSNGLIGVDFIAAAMGFGRSPPPYLSLVAMAANSSSSNSSVEVMNKNNRTMMAAASSGMKGANFASGGSGLLDSTGTTINMTKQIEYFSDLRDQISTMLSADKASTLLSKSIFLISAGGNDAFDFFSQNKSPDSTAIQEFCEAMVSTYDSHVKTLYNLGARKFAVINVPYLGCCPYLRSQSPTGECMEPLNQLAKRLNSEIQDLFRDLSSEMQGMKYSIASSYEVISSLIKNPQAAGLVEVKSACCGGGGRFNADKACTPSSSCCTDRSKYLFWDLLHPTQATYKIVGHAFYDGAARFVSPITFKQLAAA >OB02G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:692907:695236:-1 gene:OB02G11350 transcript:OB02G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVAASLSTPAARWRGRRVNTIAVASLRRAASGGGSSWRSERRLMSELERTVTPGAAERVIRSYVGSKSERAALAALSRLLMDSDPLAVPFYEAVTQARGFKGSSIHAAAVAALLESNGSAEESRALVSDSISRLDSTSEVALFYCDLMAAFSSRGLRDRAMDFYSQLRATPLSGGARTYTAMIKSLCLMGLAGEAEAALGEMVSQGYQPEAFQFGLVAKCYGKAGSLAEMERVIASMSDAGIRLGTGAANIVLSCYTSCRDHSRMLAWLKKMRRLRIAPTTKAYNFVLNSCPTVAAMAQELGPLLPLSTAELVKKLRSAPPWPAEAELVQELLTSSSVLDKAMNWSESEVKLNLHGFSTIAAYVLMLQWVDAMKARSALPLEVSVVCGIGKHSDTRGEPKVRELAQEVLGRMGSPLRLSMRNKGRLVAKRDRVKQWLATDWSSAEAEERTDQSPDGGKQQPFVLTLVRKLGQVLSPFLHFSKGEKHSGTPPFM >OB02G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:696947:703092:-1 gene:OB02G11360 transcript:OB02G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGQPGRRLEVYNEVLARLRDLGAAAPVEISPAYEDALWAHFHRLPARYALDVNAERAEDVVTHQRLLEEARDPDRLPALSVRVVQVSRILDGDMDDSSDPGMEPVDSNNLASQMVHPPPAFGSCSNLEALALEASETDVRGSTNDVDSSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTIDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDVETQTWPASNSLSQSLEGPSSGESVPSTSVEIPTDGTDVWEIDLKLLKFGNKVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTDFMAGGSVYDYLHKKNNSFKIPEILRVATDISKGMNYLHQNNIIHRDLKTANLLMDENKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGKIPYEYLTPLQAAIGVVQKGLRPTIPKETHPKLAELLQKCWHRDPAERPDFSQILEILQRLPKEVGADTDGRQKSKAGFLSALKRNH >OB02G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:709836:714867:1 gene:OB02G11370 transcript:OB02G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSMLLRRVCLRRPPAPSPTRGSVPGDEGYGDALPRRHSHGHRLLPTSDPYATVVVAGSALARTHVVRNSEEPKWSTRVLLHLAHEAAGVVFQVKDADPFGDDHIGAAFLRPPTVLAATPDKRPIVREELPLLRPDGRGRPKPNSAISISASFTPASKQHYTDSGGIPAYFPPRRGCEVKLYQDAHVAGGEVSGVRHVGAFEPGLCWEDMCLAVLGAQHLVYVVGWSVNTGVRLLREAMSPEMAAKAAEVQSLGGVAVEAMTLGELLKYKSQEGVRVCVLVWDDNTSHDKFFLKTAGVMQTRDEETKKFFKHSSVICALSPRYPRAFYTTNAEMGVCASVQIMGTMYTNHQKCLLVDTPASESTRRITAFLGGLDLASGRYDTPAHRLFDDLDTVFSGDVYNPTFPGDAAAARGPRQPWHDMHCRVDGPAAYDVLENFEQRWRKATKLRSMFAGASLRRRWKDDSLLKLERIPWILSPSGTRPRSGDHRHLSDTAAADDPMLPHLYALPEDDPRCWHAQWEKQVVCEGGRLVVDQSIHTAYVRAIRSAKRFIYIENQYFIGSSYAWLSYKSTGAINLVPMEIALKVASKISAGERFAAYIVIPMWPEGTPTSGPVQEILFWQSQTMQMMYGVRLAQKFRRFMIYVHSKGMIVDDEYVIVGSANINQRSLAGARDTEIAAGAHQPAARGGGQVHGYRMSLWEEHLGGLEWPELESPETPECVELVNRIADENWRRYSSEDALPVQMQGHLIKYPVAVGDDGKISALPGREFFPDVGGKILGSTNNYLDNLTM >OB02G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:715610:717561:1 gene:OB02G11380 transcript:OB02G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G63855) TAIR;Acc:AT1G63855] METAALASSSTAEVEAGRRADADAAPPRMTTVSKHYFGGASSDRDHDLRVDIVENIEEDYGMFVWPCSVILAEYMWQQRSRFIGSKVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCRLNNVNCSVLGLTWGEWDEPIFDLHPDVILGADVLYDSAKFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFSMVKWGLKCIKLLDGFSFLPSSKAASLQGNIQLVEIALAKQKPNCPSAD >OB02G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:717263:721045:-1 gene:OB02G11390 transcript:OB02G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75980) TAIR;Acc:AT1G75980] MAEESASVDAPPRDRSPRRESSVDKETRALIVPDAGDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLAPSHVAFKEEGGITSVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTHGNSFVVRCCVKGSLLEINDRLIKQPDLLNTSADREGYIAIFMPKPADWLKIKDKFLSYDEYKNLRGTC >OB02G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:723140:723974:-1 gene:OB02G11400 transcript:OB02G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASILGDAIDYIVGLQKQVKELQDELEDNHLHPGDVSKPPDVLIDHPLVGLDNDDASPPNSHQQQQPLAAGGGRKSKEAVGDGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYNTLVLNVFRVMVRDNEVAVQADRVRDSLLEVTRETYPGVWPSPPQEDDVVKFDGGQAAAAAAGEHYHDEVGGGYHQHHLHYLAFDS >OB02G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:727876:729334:1 gene:OB02G11410 transcript:OB02G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 29 [Source:Projected from Arabidopsis thaliana (AT2G16740) TAIR;Acc:AT2G16740] MSHSRIIYRLDLANVSVYIAWRSSVPGPSKQTFFSLILRSRHSSIPISRTNQGKKSMALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >OB02G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:729874:733414:-1 gene:OB02G11420 transcript:OB02G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTGLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLVLLSPLLFVKCIIACKILTSISCSSDLKNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >OB02G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:736361:739989:-1 gene:OB02G11430 transcript:OB02G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSSSSSAAAASSTDSACAKIIDGKLVAKQIREEIAVEIAKMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSFEVNLPEDSSEDEVLKHIATFNSDPSVHGILVQLPLPHHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKIAGLITPVPGGVGPMTIAMLLANTVESAKRIHKFK >OB02G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:741160:745840:-1 gene:OB02G11440 transcript:OB02G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT5G64050) TAIR;Acc:AT5G64050] MMVHDTGAHTFTWLGPDVGGEFGPYRQSERNSLYKQYAEKLMESGAVYRCFCSNEELEQMKETAKQMQLPPVYMGKWATASDAEIQHELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMHISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTTDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRALPSDVLIKSFEDRWKDAGILQDSESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAEPVVQDKLSEVASALISAYDSGELYEALAEGRDGWQKWVKNFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGSVVLIHKAGTCGAVAQQSGFVNLDERFRTLKEVDWESLLKVQEESSAESAVPASP >OB02G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:760848:767648:-1 gene:OB02G11450 transcript:OB02G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L928] MGGGRKRRRRDGSEAPAIHPRNRYATAAPDFAALASLYPSFAPFVSVSRGGGRASIDFTDFAATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPLSSSNKTVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWARKNVENNPQLVDLIEIRNANKMSCSSESEAVVGEADRENTLEPVDGVGWSKLCILLGVVKDCESFDFCMCNPPFFERIQEAGLNPKTSCGGTAEEMVCPGGEQAFISRIIEDSVSLKNSFRWFTSMIGRKANLKLLVSKIREAGASVVKTTEFVQGQTARWGLAWSFIAPRKMVVRSSAPGRANHSFMLHGLRREYGAFQVLKSAETFFHASNLSCKIDSSLFSIDVTLSDDLAQAAMLLDESDSVEGVSTKLHSAVTGTSFRISVFEQMPGTLLVKGSLLNKALSGIFSSTFSQLEDTLKMEFLSKAR >OB02G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:771397:771915:-1 gene:OB02G11460 transcript:OB02G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:I0J190] MSNPKVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEKGIGKSGKPLHYKGSSFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFADEAFKHKHEGEGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKSIEKVGSRGGSTSKPVIIADCGQLS >OB02G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:776278:778838:-1 gene:OB02G11470 transcript:OB02G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L930] MGGGRKRRRGGSEAPAIHPRNRYATPAPDFAALASLYPSFAPFVSVSRGGRASIDFTDFAATRELTRVLLLHDHGVSWWIPNGQLCPTVPNRSNYIHWIEDLLKSDLIPPLSSSNKTRGFDIGAGANCIYPLLGASLLGWSFVGSDVTDVALEWARKNVENNPQLVDLIEIRNANKMSCSSESEAVVGEADRENTLEPVDGVAWSKPETLNFCMCNPPFFERIQEAGLNPKTSCGGTAEEMVCPGGEQAFISRIIEDSASLKNSLRWFTSMIGRKANLKLLVSKIREAGASVVKTTEFVQGQTARWGLAWSFIAPRKMVVRSSTPGRANHSFMLHGLRREYGAFQVLKSAETFFHASNLSCKIDSSLFSIDVTLSDDQAQAAMFLDESDSVEGVSTKLHSAVTGSSFRISVFEQMPGTLLVKGSLLNKALSDTPLLVIKA >OB02G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:779517:780035:1 gene:OB02G11480 transcript:OB02G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3L931] MSNPKVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEEGIGKSGKPLHYKGSSLHRVIPDFMCQGGDFTRGNGTGGESIYGEKFADEAFKHKHEGEGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKSIEKVGSRGGSTSKPVIIADCGQLS >OB02G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:786437:797638:-1 gene:OB02G11490 transcript:OB02G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGIQPQQWVQEQRAVVASLSQENSQLSKQIEEYRIRLQSSRCTNDDLKLMQCKLKRQREQAEEEEEMRRKLQATTAAIHRPAPVLGLDLNEPARAPEEEEDDEAGAAHGEQDKEGSILISHCQNPSFFWMC >OB02G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:799398:805572:-1 gene:OB02G11500 transcript:OB02G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEKKREKLVARLTKRHMIRSGSSSSSPTGADSNKNASEGGDYNVTCRQKKGKAKALKWRLSNTDMDRKGEEGGSDGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTLPRHVKKRRHLSAISSQTFLLDRETRCDAISEEEVVVDALLSLSQVPPLCELPSDRAMDVASVPCSAGETKEGEKITAVPTAGNEVAGQPVLRDEPVEQTGSSAQINPVRCAANCNSINPTLPKDGQIHDISMGIVKSLPSPSKDYNNSSRKQLKVQFDNSTIYPAKNEAPQCLFNSKKPDLLEHDRKNVKNNTAQEIVPLVQASQPSTPHRPSTSTLATCNNTAIETEKGTGKHQSLSIVNKIGAPSKTWKRSITHVYMCHLIQTHLDKEKASENRVKPEEVCHSHISRSPTGPTISKNVAQDEKFYAVHFDVRLPVQPSSGVCNTATARQKMVSGNFLNLSNSAALPAVQHVQYLHPQITPRSAMPYPIQHLPYSRGHLTHTAVLQQVPQYMCNPSLPANPAVMKIQQLMPNQHQPQQQQQMWQFQFPQYHHPSRPDTAALSTAWQHGSRLQDMSSLRPVPVLPAPPPPPRXXXXXXXXXXTAAAAEVDLAS >OB02G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:799584:799826:1 gene:OB02G11510 transcript:OB02G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRELELPHLLLLLGPVAAAAAPAARAPDAGKTCPGAGCRAAMPLTVQQCLAGLDGGTEPPPPATSAAAAGGGGDADWA >OB02G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:808298:812538:1 gene:OB02G11520 transcript:OB02G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3L935] MAHARPPTLGIPTPPPVAGVHVTPWPAGIEMVVSFITRALILILGYAYPAYDCYKTVELNRPDVEHLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQGTAYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQSSRPQAQQHQQRPPPPRTRQVNPGPPPVPAPSAPPMPSQPAPPLPRSQAQADNAPIPVAPPGAGVAPAQPQPPQAGPEAATVQSTEAAQPANPPASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >OB02G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:819387:823433:1 gene:OB02G11530 transcript:OB02G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCSSSSSIHLLHLLLPAASASPPTSRSRGRRRAAHVRPSPAIHPGRRERSPHSSMLPADFDVQVLVKRHEGLTNDVKEMLQHQRRRHQKKAAGGRERLATVDHLRRLCIDHYFQDEVDSAMDDASCLLEELAHGGDLLDATLAFRLMREAGRHVSADEVLGRFTDDNGDFRLDYSKDIRGLLSLQDISHMSMGEEASLCKAKEFSTRHLESAMNYLEPNLARYVRHSLDHPYHVSLMQYKARHHLSYLQTLPTRCTAMEELALADFQLNKMLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWYVWMMTAIQGASLSRCRIELTKIVSFVYVVDDIFDLVGTHEELYCFTQAIKMWDLAAADSLPSCMRSCYRALHTITNDIADMVEREHGVNPINHLKKSWAMLFDGFMTETKWLSSGQVPASDDYLRNGVVTSGVPLVFVHLLFMLGHDLGKDAAQFIDRIPSVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAVEAEEHVQRLIASEWEELNRECFSSSRRPAFPAGFTRAALNGARMVGVMYGYDGEQRLPVLDDYVRMLLF >OB02G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:825359:826640:1 gene:OB02G11540 transcript:OB02G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHNNTRFAPFRDAPFALRGALGSSGSSFISIDGFRHPSSLEQTRGFTSGPLGALRPKMLPSGCRTLHTSRPLSAPVANRPLSPHLPLKKPQMSATLSISHRIVGAALGAAIVSIPLATKFSLMFDV >OB02G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:826127:826357:-1 gene:OB02G11550 transcript:OB02G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLVARGMDTMAAPNAAPTMRCEIDNVALICGFFKGRWGDRGRLATGADRGRLVCRVRHPDGSIFGRRAPRGPDV >OB02G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:827813:829147:1 gene:OB02G11560 transcript:OB02G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGEEGRGDVFPNVITYTTMIKAYCGKRLVSEALAIFKIMVADGVEPNRITYNTMVQGFCDAGRMELVKEVLDMDSFKPDTCTFNILVAAHCREGRIEDAMKVFNQMVELRVRRDSASYSMVIRALCENEEFAQAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCEHGKTKKARMLFGLLLDRRSKVDVPAFKTLILGHCREGDFEQGYELVLSMLKRDLVPDNECYIGVIDGFSQKGRMKFAWEALHRMLNSGLRPSTSTFHSVLLGLLNKDGCAKEAADLIEIMLERKIRQNVDLSTNLIDTLFRNCLNDRAYKIVTSLYDHGYYIKMEKLIASLCKEKKFMEAADLTLFSFKKCQNLGVAFPSMVMDGLCITGRASEAFWLFYELIENRSSSSSSAAAPRSLVALHHALEESGKMKEADFVAKQMRRASARIRERT >OB02G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:829785:832353:-1 gene:OB02G11570 transcript:OB02G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3 associated polypeptide P18 [Source:Projected from Arabidopsis thaliana (AT2G45640) TAIR;Acc:AT2G45640] MAGRGEMPMRPARPGPPMQYRGPPPMARARVEPIDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKSLAELGFQIGDYLSVAIY >OB02G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:833288:835582:1 gene:OB02G11580 transcript:OB02G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGYAGGDERDELADVDDEPGDGDTDDHFDIPADRELAGGGGGEKPIEEQLGTRRRWVERRHDIDAFTPGLLSLYESLKPSEEHKAKQRQLIESLTKSVIKEWPNAQLHLYGSCANSFGTSHSDVDVCFEIDIASEDTAQVVLTLAGTLHKDGFHNVEPITSARVQIVRMTDPGSGFSCDICINKFFAVANTKLLKDYAQIDERLLQLALIVKHWAKLRGVNETFRGTLSSYAYVLMCISFLQQREPKILPCLQAMEPTYIMVVDGTECAYFDEVHQLQDFGAENKESIAELLWAFFHYWAFDHDYRNDVISVRTGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRILREEFERAASILQYDDDPCVALFEPYNYSCES >OB02G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:836017:842486:-1 gene:OB02G11590 transcript:OB02G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGIGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDVFLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSASELMQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGSTVGAVGTLVPWLFPSLFTNDLMVVQQMHKVLIPYFCALLVTPSVHCLEGTLLAGRDLRFLSQSMGACFGVGAFLLMVIRNKFGSLPGCWWMLVLFQWGRFGSALQRLLSPTGMLYNENFNQRDDDEYVKVKAT >OB02G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:843763:846870:-1 gene:OB02G11600 transcript:OB02G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J [Source:UniProtKB/TrEMBL;Acc:J3L943] MEDWDSEDFQPAAPGAKAETLKSKWDDEDVEEEDVKESWEEEEEEKPKPPPVEKTAPKPSGKGAVKKGKQQASTSTEVVQDEALDDPALEKLRQQRLVEEADFKSTTELFGKKDGSEKSLETFIPKSESDFAEYAELIANKLRPYEKSFHYMALLKNVMRLSMTSLKGADAKDISSSITAIANEKIKAEKEAAAGKKKQGTKKKQLQMENKDDDFIPGRGNFDDPDEYDFM >OB02G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:848885:859479:-1 gene:OB02G11610 transcript:OB02G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42480) TAIR;Acc:AT5G42480] MAHHHHQQQQQQMRRPTTAEQQQQEDEYEDLMPVMAGRLGTEGLLSELRAGFRLLADPERKKLIKGSFRDRHFNAGSILLGLGVLESVGGALNTWVRTGSTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGDDTARSLHIALNAINVLLFIWQIPTGLEIVGKKWSNVCKTNNNISTIKVQLISIVAYFCCHLLIHSRREDRLFLFIVTTWSPPDPFACRAASRWADRLFADFHLLPTAAAPSDLPAAGPSASLFVPLFPDAAERSLPLQVDFYKVLGAEPHFLGDGIRRAFEARIAKPPLYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENRQETLTMDVAWDKEAGEALAVLATGEQLLLDRPPKHFKQDVVLAMALAYVDLSRDAMASNPPDVISCCEVLERALKLLEEDGASNLAPELLSQIDETLEEITPRCVLELLSLPIDEEHHKKRQEGIQGAKNILWNVGRGGIATVGGGFSREAFMNEAFLRMTSAEQPIHVAGAQFSKCVNSLCYTFQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSQYAYDNEMDLALERALCSLLVGDISKCRMWLGIDNESSPYRDPKILEFIVTNASIDEENDLLPGLCKLLETWLVLEVFPRSRDTRGMQFSLGDYYDDLKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSNAIQAFNKVFPLIEQLDKSAMENPKDGPEGSLQNFDQENALGPVTHDSRNAALKILSAGALFALFVVIGAKYLPRKRPLPAIKSEYGSVAAANSVDSIDDPALDEDPVHIPRMDVKLAQDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWAGRAAEIERHGWFWEYTLSDVTIDSITVSLDGRRATVEATIEEAGQLTDVTEPENNDSYDTKYTTRYEMAFSKLGGWKITEGAVLRS >OB02G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:861394:864873:1 gene:OB02G11620 transcript:OB02G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGGLPGEWRTVMDRFVASSVDLLVKGSFPEEFPLVQTKIVDFFKIQRVPAAAAELSEGKKRKRKPGGIRLASKKKRKLLPFNPSDDQATRLRQMASLATALTATGAVFSNELTYVPGMAPRSANRAALESGGMQLLPKEDVETLNLCKRMMERGEWPPLLVVYDPVEGFTVEADRFIKDLTIITEDGRKKQNLKCVRYDVDGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >OB02G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:865512:868222:-1 gene:OB02G11630 transcript:OB02G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDYDARNSEIERLFGKYGRVERVDMKSGFAFVYMEDEHDADEAIHRLDRVEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPDRRGRDRSPDRRDYRGRSVSPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYGRGTSLQRGNKGDERGSPNYDRERRPAYPTSSPVYDGPRSRSPARDDRE >OB02G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:875596:875960:-1 gene:OB02G11640 transcript:OB02G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDDCIPTSKQNSRPACHDSIHFCMSIILYACNPGNGDCKDHRCWKERCEDRTSQPIIINRR >OB02G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:876309:879962:-1 gene:OB02G11650 transcript:OB02G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT1G01860) TAIR;Acc:AT1G01860] MVALTSLSPAPPRPSPSPATPRRRPPPPPHVIPSPHVRSRPAPTVVSATPGATDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTEALLAAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFVPFLEEKSHHSKKYAKVVSNLPFNISTDVVKLLLPTGDVFSDMVLLLQDETALRLADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNAGDYPPVGSQKSFFSMVNSAFNGKRKMLRKSLQHLCSSSEIEAALTSIGLPVTVISLSLSLSLSHTYTHTHEEKSCA >OB02G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:881081:885845:1 gene:OB02G11660 transcript:OB02G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMSVVWPAAQYEKVEKIGEGTYGVVYKGKDRQTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVKLQDVVHNEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHGVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPSEETWPGVASLPDYKSAFPKWPPVELATVVPTLDTSGLDLLSKMLRLDPSTRITARAALEHEYFKDLEVA >OB02G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:886470:887137:1 gene:OB02G11670 transcript:OB02G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLCSGVYKRRIQQDELMALNCVPKKQRKQLDNTVADLQVQCRFYDKIATKFVVL >OB02G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:890721:894044:1 gene:OB02G11680 transcript:OB02G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKGKDRQTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVKLQDVVHNEKCIYLVFEYLDLDLKKHMDSSQDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRIMGTPNEETWPGVASLPDYKSAFPKWPPVELATVVPTLDASGLDLLSKMLRLDPSTRITARAALEHEYFKDLEVA >OB02G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:892842:893048:-1 gene:OB02G11690 transcript:OB02G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNMESITSTGHLQIEDQVLKHQVLGPQLQAPASWTGQYVRTKINQQPNKKNGTYINLSTIHKIQTI >OB02G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:894330:897153:1 gene:OB02G11700 transcript:OB02G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLCSGVYKRRIQQDELMALNCVPKKQRKQLYNAVADLQVQCRRAPPVRGTSYATVKPRALAPHRTPGIRTQYGLLPRKERSRQPRDQSSPIASPHLFRRLDSIPPSPAADQAAGFRAAAVLYYHQAEEVLVP >OB02G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:897181:901034:1 gene:OB02G11710 transcript:OB02G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shrunken seed protein (SSE1) [Source:Projected from Arabidopsis thaliana (AT2G45690) TAIR;Acc:AT2G45690] MEAYKLWVRKNRELVRSLESLANGLTWILPERFANSEIAPEAVYALLGIVSSVNQHIIDTPTDSQALTSKEQSIPWSLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAVVRLAAFRESGYKMLLQGGEVTHEEEINVLEESFGAKSNGVPLIYPMDGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMMSDPMWMKRPLPPPDPPVMVVEKPTLASIWSSKGVSGRLFFLGEVVHIFRPLVYVLLIRKFGIKSWTPWLVSLTVEITSLGIHSRATDLHQRGGKVHQLSSAERDELKRRKVMWALYVMRDPFFAKYTKRHLQKAEKVLNPVPLIGFLTGKLTELVEGAQTRYTYTSGS >OB02G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:902632:906490:1 gene:OB02G11720 transcript:OB02G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:J3L955] MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRGGNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDLEDKDVDDETEDDDTDADIEDPEKIAMSESEEGDDDEEGDQDGGAWETKLSKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKIALRRVELVYYKPQEVYDAMRKLAEQNEDSREDEEAEADEERQVADDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKFGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLITEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRYMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDYQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTLEGAPPRRRGDGQDSSNMGKWQDNFLSSQGRQGGGRSGYAGRVGGSGRGGGGGYQRDRGSQGSRGGYGGGGGGSRFQDGRSRTQSGSMARGGDGSARMVSLNRAGRG >OB02G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:906691:909629:-1 gene:OB02G11730 transcript:OB02G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSESCHDLDHLALAGIGCVLASSDEAQFRRITGSLLNICGRIGNLSASVRVLKMVEWLLLGFIESRKMRKVQVLFEIISPETCENQGYVLSTVVMVACGALRALRVASARYRLDFDPQLKEAPERTIRFAADKAVLEGEHVDDRRILLQCVALGLTQCGQVTSHESVLRCVCMALLEELLPLPDLLKMSVQSPDGNSIEVVNNRVKQHLDSLLFKEAGPVTGILCNQYSFARDKAKTSVETCVWEYAQVLYSHLRAAVILHQGKHDGLLTDIGKIAEAAFLMVVVFAAEVTKHRLIAKSSEGFQPEVAVRILVSFSCLEHLRHLRLPEYTEAVRRAVLVNQENAAVSALFIESIPSYAELTSKPDLLTLDGTRYIWYKDMVQTSRILFYLRVIPTCISLIPAHMIQDKLASIMFLYIQHSNEKVTRASHSVVVSFLSSGKDTDQDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALTRHLPAGSPAILFCIHSLIVKAKDLCASKVQDKSLWRSWEGSTEPCKKMLDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSTRRKSLDKDADKDADACSVGSDQLSLSRTMARL >OB02G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:911020:914199:-1 gene:OB02G11740 transcript:OB02G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALIGPDSNEAWHGGRQQHGTGRRRMAAQSLTLSSGYEMPMVGLGVWRMEPSAIRGLIHSALRIGYRHFDCAAKYQNEAEVGDALAEAFQTGLVKRGDLFITTKLWNSDHGCVIEACKDSLKKLQLDYLDLYLVHFPVASRHTGVGTPGSARGDDGVLDIDTTISLEATWRAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIEAHPYFQRDSLIKFCQKHGIRVTAHTPLGGSTANTELFGSISCLNDIVIKSLAEKYGKTPAQFVLRWGLQRNTAVIPKTSKEERLLENFAAFNFAISDEDMEKMKSIDRKHRTNQPGKFWGLDLFAG >OB02G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:917291:920175:-1 gene:OB02G11750 transcript:OB02G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLSTGHEMPAVGLGVWRMEPSAIRGLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIEVHPYFQRDCLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQFVLRWGLQRNTVVIPKTSKEERLQENFAVFDFTISDEDMEKMKSIDRNYRTNQPAKFWGIDLFA >OB02G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:921407:924292:-1 gene:OB02G11760 transcript:OB02G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L959] MAQPSSEKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLYADEKSTSLLNVCRGLMKQSPLIVFVFVSTLIGWALKQVINVIQMKTAADACVVFDLKRGK >OB02G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:926950:927231:1 gene:OB02G11770 transcript:OB02G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAARICYPSPTKLEGIISFTKHPTKQQATSNIIYSSSNSSTAFLPSAQSSVTAILPSAHAATQTESSCPKTKITPWPQVPNEAANRTIKLT >OB02G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:930930:935689:1 gene:OB02G11780 transcript:OB02G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3L961] MYSSSKLLVVVVVAAVVCLGAARGAAAFNYADALDKAILFFEAQRSGKLPAGQRVAWRADSGLSDGSAYEAILFFEAQRSGKLPAGQRVAWRADSGLSDGSAYEVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSVIEFGDMMPRRSSSSFFGLVGGGGGGQLDNARAAVRWGADYLLKAATATPDTLYVQVADPYQDHRCWERPEDMDTPRSVYKVTPQSPGSDVAGETAAALAAASIVFRGSDPAYSAKLLDAARQVFDFADRYRGSYSDSLSSVVCPFYCSYSGYHDELLWAASWLHLASPSPETKDVYLSYISSNGHALGAEQDDFTFSWDDKRVATKGFLQSKQDGLQLYKAHTDNYICSLVPGANGFRSQYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLASTGSAGATVSCGSTAVSHSTLISLAKKQVDYILGANPAGMSYMVGFGARYPRHVHHRGASPPSGRDPPARIGCADGFRYLHSPEPDRNLLAGAVVGGPDASDGFSDSRDNYAQAEPSTYTNAPLVGALAFFAGARRMVPP >OB02G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:937797:939630:1 gene:OB02G11790 transcript:OB02G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQFSFACLMLLVVATLLCTMNTVSVEAVRCVFSLKMSTFSRHIYKGSFKSFRNFCMCILNNFFVHAGRGGYNSVNPDGGSGYNSVNPDGRSGYNSVNPNGRSGYNSVNPNGRSGYNSVPTNGGGNP >OB02G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:941139:941333:1 gene:OB02G11800 transcript:OB02G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRPELLSALAPLAVTQISNDRPDVAVEVLLRGAAFSYNPKRIRVFMDDSFIVVKVDPVMG >OB02G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:942011:942241:1 gene:OB02G11810 transcript:OB02G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVAGDDEVKTEWPEVVGWAALLAAIKISGDRPDVHIEAHDVGESVPPGFDGERVRLFLNNDVSRTVAQTPVVG >OB02G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:942824:944232:1 gene:OB02G11820 transcript:OB02G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAGVGGGDILSMKTAWPEVVGWDGMSAVMKIHRDRPDVQRVFINFVRMSSEKASWPEVVGWPATAAVTQINSDRPDVSIEVIPDGATVPPGFSAKRVRVFFNAGDATGPVTRTPFVG >OB02G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:945167:946306:1 gene:OB02G11830 transcript:OB02G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNAVMFVLLSLRLEIAGDTPAHPGFLVHPARPAEVPLLRRAVGVLRAHAQPLQRAPVPHHAHAAGAGDAEHLVAPLAGEEAVRVVRALHLEELAGVWIPGDGAVEGDVSVLAPVRHAAVLAQRHLLDLLQHVLVQPRRRRVVAAPVVGDVELDAVLRLAEVRRRGPDEAQEHAVVDVEAAERVGVAEVAQPLHVADEGRRLGQRHAEAGLEEERVVLDARVPRAGGEEAVVGAGQAGPPRRLNAVLVADHRRAGERREHATLRHPVVSGDEVGRRRRPGKALAGGVPGRFQGVLSKPAGLDCGCGGRTEGDQIVDGAIVGRAVGHAVVDPVDGLALAEKPEDVGELVLAGEGDDSFRQIAVVALPERHRAHEHWLQR >OB02G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:945209:947475:-1 gene:OB02G11840 transcript:OB02G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVPLLLRLLAAVQLVALCVDSVRFAGASPPAGPVRCAPASGTANCTLTNAYGAFPDRSTCRAAAVAYPASEQELLRVVAGAVESRTRMKVATRYGHSVPKLACPGDGGGAGLLISTDALNRVVSVDAGRMEITVESGVLLSELIDAAAEARLALPNSPYWLGLTVGGLLSTGAHGSSLWGKGSAAHENVVAMRIVTPAPASEGYAKVRVLGAGDPELDAAKVSLGVLGVISQITLALQPMFMRSVAFRQRDDGDLAERVVAFAGEHEFADILWLLSQRKTVYRVDDRVPNSTTDDGAVYDLVTFRATPTPTIQASRLGEDALEATGNAAGKCLAGSATTANLVAGNYGVTKRGMLAPFPGTPVVGYQNRIQSSRGSCLTSADDGLLTACTWDPRVEHHAFFFQSGLSVPLSKAAAFIRDVQRLRDLSDPDALCGLDIYYGVLLRFVGASTAHLGKPEDSVEFDITYYRSRDDPAAPRLHEDVLEEIEQMALRKYGGVPHWGKNRNVAFDGAIARYPNAGEFLKVKGTYDPDGLFSSEWSDQVLGVAGAGGVSVVRNGCALEGLCVCSEDAHCSPEKGYLCRSGRVYEEARVCRRVTGDL >OB02G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:950962:951689:-1 gene:OB02G11850 transcript:OB02G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTFDQMRNTMKITRGVLKTLLWLMKRKRMRIVHTDIEDPEKIVMSESEEEGDDEEDGDQDGGTWENKLSKKDEIMDKQFLEGPKTGRASEDSREDGDDDTVEEHQIVEDNRGPPPFVVIPEVVPRKPTFPDSGTALMDVLKYLIYQFVGERTKARAILCNIYHHAIFDEYSVVVTCP >OB02G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:952445:954325:-1 gene:OB02G11860 transcript:OB02G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGVTENRAVHGLLVAAVVLRCLPPAGGSPPPDPVQCASGTTDCTVTNAYGVFPDRSTCCAAAAAYPASEKELLRVVAGAAASRTKMKVATRYGHSVPKLACPGGGDGHGLVISTNALNRVVAVDAKRMVITVESGVMLAQLIDAAAEAGLALPHSPYWLGVTVGGLLSTGAHGSSVWGKGSAVHEYVVGMRIVTPAPASEGYAKVRVLNAGDPELDAAKVSLGVLGVISQVTLALQPMFKRSVAFRQRDDGDLAERVAAFAGEHEFADVLWYPAHGKAVYRIDDRVPNDTIGDGVYDFIGFRSTPALAIQANRLAEDALEATGNAAGKCLTASATKTILAARNYGLTRHGGLLAPLPGTPVVGYQNRIQASGSCLSGADDALLTACPWDPRVEHGTFYFQAGFSVPMSRAAAFIRDVQRLRDLNPAALCGVELYDGVLMRYVRASTAYLGKTEDSVDFDMTYYRSRDPAAPRLDGDAVEEVVQMALRKYGGLPHWGKNQNAAFEGAVAKYGGARGAAVMRGKGGDDPDGLFSSGWSDRVLGVAGGAVSVVRDGCALEGLCVCSKDAHCAPEKGYLCRRGRVYRDARVCRRVADDL >OB02G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:957212:967538:1 gene:OB02G11870 transcript:OB02G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G00800) TAIR;Acc:AT4G00800] MKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSSSFGQDHGSPQVLTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPVEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTFSSDSLSIDDEQVSWLALAWDRQVQVAKYIKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGNELRRTVFVLDGFVFDDSILYHTHFSNRFGNPERHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHSGKGGASDGLVDADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNTSRKEGASTCYRMLVYLKYCFQGLAFPPGHGMIPQSRLHAVREELLQFLLEESKTFSTEVYKGFQSSCGKCPNICYLLWMDTEAALEVLKCAFAHERFETRDNPSSTPDARVSEDGNNSNIGSPENENIMLQNVVDTIVDIVGLENEAIHSVSGSVESEIWPSEDFGHLIEFVSSFVSHKRAKASQRVVRHILRYLTSSTILSSDDKKTSTHQKEKEVLQLFDAVPQIDWNSDYVLHICLDAHFHQACGLIYMTRKQNLAALESYMKDTLEPFHAFIFINKKLSELADDEASSFRSSVISHFPELVKLSRECAFVLVNDHFHDEIQQILSKLHSDHHSLFLFLKTAIEVHLSGKLNFSELSTRNNSTVELQYSSRELEFYIQRLLNLPKLLDRNPVIVTDELVELYLELLCQYERRSVLKFLETFDSYKLERCLHLCLDYGVTDAAAFLQERVGDVGSALVLILAGLDEKISLFISSVENAFSWIASKSISEIEQPDVVLKLSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVHEKGVRPNGSETLNRQPKDKGFSQKTRISVYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFVCCICNCSLSKESAISAIRVFSCGHATHIQCESEQSKSSNRDSKNGCPICLSTSNTQALNKSPIYENGLGKHFGSESEVLHGTYHTHETDHVERSRGLQQMSRYEILNHLQRPQNSLHVETVSPLKLSPPAIYHEKIQKRTTTVGESSKHPVRTEKPQIIWQMKNPISRKSGNRLLPKSSILSSQNNQLR >OB02G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:971483:975429:-1 gene:OB02G11880 transcript:OB02G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQGHITSHAPHLFASPKKPKIIKEKGERGQEGNKNRVFNPLHDSIGRKAHSFLRPTQSPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRQDDGPALLGSSEMANEELAVGKDDLVNLWRLVEGRDGGPAWIKMMEKALPNMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGVPCASIPRRNKPRRVDVYYSSWCIRAVESRRGNGGFTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKAKAAGEPLSKSALMAHINTKVGDNFVRGLESNDDQPDIVEAEEKPAKNHVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRKTL >OB02G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:980502:981688:1 gene:OB02G11890 transcript:OB02G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:low psii accumulation2 [Source:Projected from Arabidopsis thaliana (AT5G51545) TAIR;Acc:AT5G51545] MAACTSAASLAYPTSLGPPRRLHLFRAFAASSSSGSKKKGRKSKGDAGGKGKEKALEPPAPVIRRAPAGSASVFQQQQQPEPGSTPGGAGGKGPTEEERRQRQANENAFLLAWLGLGFIILVEGLALAASGFLPEEWDSLFVKYLYPSFTPTVILFLAGTVGYGIIKYFEGEQSKS >OB02G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:982761:988472:-1 gene:OB02G11900 transcript:OB02G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopropyl malate isomerase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G13430) TAIR;Acc:AT4G13430] MASISAAAKASAAAFAHKNELAAAAPAQQQQQRRVSGRRARSGRVRAVXXXXXXXXXXXXXXXVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQKIKYFYDIKDLSDFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGDISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLM >OB02G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:992597:993004:1 gene:OB02G11910 transcript:OB02G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNNNPRRACRFKWYVFHFMYVLRMYLVYPCASRAFFMFMPLLMYFIYFAESKFKIYEFIYFHHNIWYLEVHANIYYQCIQYSTNQIKTPSQIKKRERKFPLFKIIIKSIFIIGTINIFNIHCTYFDRDEISKF >OB02G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:995293:995915:-1 gene:OB02G11920 transcript:OB02G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRRASVLRRALLSAPPSSVPAVRRATAALPVALPRPFSQTSAASGDQPPKSAFEKVH >OB02G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1003081:1006525:1 gene:OB02G11930 transcript:OB02G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDHWVAVFESSVCLDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDCGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNAKKPVIEITAVPPPPPAVGFTISSGDHMEDSYSGSQPRSAATAKANSTSAFRVENWTAPTPPAPEAAARKTPSSEAKVPVAGA >OB02G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1015718:1018966:1 gene:OB02G11940 transcript:OB02G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAYGAAASGWGYDSLKNFRQISPAVQSHLKLVYLTLCVALAASALGAYLHVALNIGGMLTMLGCVGSIAWMFAVPVYEERKRFGLLLAAALLEGASVGPLIKLAVDFDPSILVTAFVGTAIAFGCFTCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEEKKRKKRS >OB02G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1020379:1022297:1 gene:OB02G11950 transcript:OB02G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFAAYCTALEGEMAADDPLLSRLSSPSPSPSPEEEEESQAPRRKDSAAAAAAGRPAPLLTAARHRAVAVEITQ >OB02G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1024010:1027672:1 gene:OB02G11960 transcript:OB02G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDEVAADAELEVEEEEEEEEPEEVEWLSSDSEPEHPALKQWTPSSLESEPEPEQHPSPPPATSASAGKVEEEDEVEEGEVEVGKPFRWPGWPGASVFRLVVATDKVGGLIGRRGDTIKRLCEETRARVRVLEAAAAAATNRIVDSDSNDFVAKVTFEMVLISATEESQADLPPAMDAAIKIFMHINDIEKINGDDSISGSAPDKCYARLLVPSAQATYLIGKQGVRIKSIQEITGATIKIIDKDELLSYDVVDERIVAIRGAPSKVLHALKSVLGVLRKFLVDHGVLHLFERKNQAVGEEHDNSKENQVNQVASDYRLPGNKELLLSDRQTPPSPKVSRYLLYGRDPSVCDPYLSDPSHQSNTAIQQITQTMQIPLPLAEDIIGARGQNIAFIRSVSGAVVDLEENRDYPNEVLVLIKGSSSQVQTAHQLVEEILSGNREPPPGSSDSRPDAGPKFLISGHANPANWDHLPSYRGHQPYIVRYGSSSLPRFREYRL >OB02G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1030039:1033014:1 gene:OB02G11970 transcript:OB02G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWAGPPPPVKAPEKITEVASKTTYDSAMVEQKTRPHAIERHRSCEVSQVVFSEVENRHQHQTLEPTKSPISGCSAPVESTTDTNAVHKNTVADAAWEALKKSMVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFIPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMVDRRMGIYGYPIEIQALFFMALRCAITLLKENHNDDFVYQISKRIEALSYHLHTYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNLSPARMDFRWFCLGNFIAILSSLASGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEATEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDGHARFPVLKRSNSFP >OB02G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1033282:1036513:1 gene:OB02G11980 transcript:OB02G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKPDAEQSPAASNGAAIKAEKAPALEPLLPRFRDSRWVNGTWDLSQFSKGGAVDWDAVIDAEARRRKWLEDCPEATSPDEGVVFDTSIIPWWAWVKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIETVKKLIDETTFYDKQWQATWQDESPSQPKN >OB02G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1035638:1039341:-1 gene:OB02G11990 transcript:OB02G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFIGEDAHATTSSGAVADSNAASLLSGALSLALCYIQRVFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSMPDRQ >OB02G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1041620:1044522:-1 gene:OB02G12000 transcript:OB02G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-9 [Source:Projected from Arabidopsis thaliana (AT5G62250) TAIR;Acc:AT5G62250] MVSLKLRAILFPFQMEPRREMLLQELGEMWDEIGEGEEERREMLHALEEECLNVYRLKAAQVKQHRAQLQREIADSVAEVAAICATIGEPLATVQTACSSLQSTRNLKEELSSITPELKEMRRRRDERRQQFLEVTELINRMHEEMKPSEHLRLTMDNSDLTIRRLEELRSYMQNLQQEKESRTRKMAELMGCLHSSSSILGIDFKEKSLHHGDEIVSDISDDAITRLVSEIERLREIKRNRMQKLQDLVATMLELWNLMDTPSEEQKRFQSVACNIAASEDEITEPNALSMDFINNVEAEVVRLENLKECRMKDLVLKKYDELNEIRRRAHIPIENEGNMMIMFDAIDSDAERSLILERLEVQISEAKDEEFSRKDVLERMERWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVGKMPAMAEALITKIVAWEKERGAKLEYDGDGLLDMLEEYNNTRKEKEQERKRQRDQRRMLGQGMVESPVARPPPKNIKNVTRTLSMGGSSMGSKKALVSASASSRPSTPSFLKSPMSARRSDEGQILLSRTVDEDDLL >OB02G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1053323:1059345:1 gene:OB02G12010 transcript:OB02G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPRRGKLPDGGGKDAPARVEFGYERDFEGRYQVGRLLGHGQFGYTFAATDRTSGDRVAVKRIDKAKMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGIRCQAAFSKFDLDGDGYITPDELRMVQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPRGPPNPQPL >OB02G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1060655:1061419:1 gene:OB02G12020 transcript:OB02G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVRACGELADADLGRQAHGHAIRRVGAVESDVFLTSAVVDMYAKCGLVSQANQVFDLAQRVNAVGGDVVLWTALLNAYGRHGQCKEVIQMYDQMVALGVRPDELAILAVLSACQHAGEVVKGLHYFESMYDSYGLTPTTDHYSCVVNMLCRAGKVIKAWEIVTAEGCGGEFGISTWAALLSACCDCGNVEVGRMSAQRAIELEPNNVGIYVGLSNLYARAGMWEEIDQLRKVMKNNGLEKDVGLTWVELSS >OB02G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1060752:1065076:1 gene:OB02G12030 transcript:OB02G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Calcium-binding EF hand family protein (TAIR:AT1G64850.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G37445) TAIR;Acc:AT4G37445] MGLAFSAFGLPGWSSIPTGQVYDQYFKDKKTDTFTDFHLAYVEFCKDFNTVLPGQDFDTPSIDQIRKFYDDTWKKIEGDDAKKKAAFMDFIRKEVSEASVDDSLFIMAGLAAPAGAVVLKKTGQSIPQLKRLDLVPNVLFVPLFTLAAIMGATAVQMKQKSKHP >OB02G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1062539:1066680:-1 gene:OB02G12040 transcript:OB02G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIRALFGSVRKRILPYEELCCSCKVQLLSLISEEMTEVKYWVCHLLQGFDEYMNLVLDEAEEINIKKDTRKSLGKEILNSAILGLCSQHTVKSLILIRLRSDPLKRRQHYINDEHGKVTTALPCPTLQELLIYISIPTFYDVRM >OB02G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1067798:1069762:1 gene:OB02G12050 transcript:OB02G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi snare 12 [Source:Projected from Arabidopsis thaliana (AT2G45200) TAIR;Acc:AT2G45200] MMPSASDAAAAAAALELQESGWEELRRESRKLEGDLDVKLSSYARLAARSSSASASASSSAAAASSPSDRSSWKSMEFEIQSLLDKLQDVNDAMSRCAASTAPTVSVTQKLARHRDILHEFAQEFRRTRGNLSSIREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >OB02G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1070088:1074440:-1 gene:OB02G12060 transcript:OB02G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L989] MPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSTVLCWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVKVALPLYGV >OB02G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1076948:1081537:1 gene:OB02G12070 transcript:OB02G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEIEDGRIERSRSWLTTSSNVEAINIEWTLYEQVAAAAMDCQRLDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWADAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELFMADHDAWRELAETYVALQMYKQALFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGVCLCSSAISQLTKGRNKEEESSELQSLAAEALLKEYKQRAPSKEALITNLLKNMKLS >OB02G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1085420:1085626:1 gene:OB02G12080 transcript:OB02G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRMGVAALLLVAVVVAAASVPAAASCGCSDDCYERCANGKTDPACTTMCNEACGVLGKAAAGVGTV >OB02G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1086533:1091138:1 gene:OB02G12090 transcript:OB02G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71210) TAIR;Acc:AT1G71210] MKRWFDDLGKTLYTKKNFGTNMLFFDWSGSRRRYSHSRAVYHAIFGLLSRSRRSGVVVDWLRLFTNTAASASRSRFHDTLVVGYAVAGDPQRGLSILGRMRFRGLDLDAFSSHILLNSLVEASLYDYADSFSRHLASSPVATCIRIKSLCRQSRIGDAVALLDTLPFDEASGGAAAGSIITVLCRRERFDEAAQIVDRFPSPDVYGSWIHGLLEAGRLDTTLQFLSEKKEAEGYIPDGRRYDRLIYRLLRSNRLGEVYDLLVEMMEEGIAPGLSTMNSALCFFCKAGLVEVAAHLYRSRMELGVNPNNDVYNNLIRALCQVGDTEEACLVLEQAMAEGYFPGRQTFTMFANVLCQEGKLDKVRVLLDSALKQEACPTDSVLAKYLVALCKSGDVEAACQVPQMSSSKSPMGLYRYESTYNSLIRALILIKRVDVLPRLLLEMQDMGHIPSRSLYQSVVCAMCEVNRYAEVLELLNNQLQRTALHPRVCYNYFISGAGHAKKADMAREVYNQMEYAGLEPSGDSNVLLLMSYLRSKRIGDALNFFNFIRDKKAPRTKLYNVFISGLCEARKPEQAMVFWREAREKGLVPSISCYEQLVLLLCSVRDYDNVIKIIDDFRKTGRPVSAFLCNALLLHTLRGGDLLKAWKRSRDQSEKATVKPEEIQGKGVGRFLIGELVMMFANGIRNMNDLEDLEEDLEKHFPVDVYTYNMLLRGLSMVGRMDSACNLFEKLCRKGYEPNRWTFDIMIHGYCKNGDRDEAERWMEAMHRNGFYPTWYTMRLYNNLALRANDRKAISFV >OB02G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1092511:1096298:-1 gene:OB02G12100 transcript:OB02G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAPPSPLAARGRGLLRRPPRPTPVVVRCKKIDQLRVVNGVPPYIPVSNRSLLTPVTLPIIQEANVKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >OB02G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1097896:1098799:1 gene:OB02G12110 transcript:OB02G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVVVVMVVVVVVAAAALPAIDVTTVAFEEGYTPLFGFDNILRSGDDRTVSLLLDRSTGSGFISSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVFEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYVLPFDPTTEFHRYSILWTRAAVVFFVDDGPGRRGRPPPPHPPPPAPPAPPAPPAPPPPTPPPPPPPPPPPPPTPPPPPPPPPPPPPAGPPPPRARSSPPTSPS >OB02G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1098820:1099026:1 gene:OB02G12120 transcript:OB02G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRENNMVYSYCYDARRYPVPFPECDVVDSERRRFKNSGHLRLAFRRRRRPRPGSRPAKPTSAADM >OB02G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1101502:1103821:1 gene:OB02G12130 transcript:OB02G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRLQRRLIGPNHPRIRHFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHPPGPTNGSSEQFRHLSSTSKSTAAQKSASKASPHDSGKMLFSPLNIRPKSFPVLPTMMQPTKISVSSQCRRISYSSFPSATAKRKDFVEGVFRKDIAQLMV >OB02G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1105171:1105701:1 gene:OB02G12140 transcript:OB02G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMISSMLGRKQNVQQKGGAGGGGGGRAGGGEVEAVSVDIMEPFMEAISLTAFAPAAALGMPSLPFSTASMDWKETATEHVFMADLPGVRKEEVKVEVEEEKVLKISGQRARAADEKGDRWHRVERSSERFVRTVRLPPNANTDGVHASLDNGVLTITIPKDSDVKPRGRLIPITN >OB02G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1108836:1117036:1 gene:OB02G12150 transcript:OB02G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRWYTRKLRIDEDGDVADEFLDEVVPENSINNDVAPAGRFQVKYNTKPAALAMRKQISVMDGDIRHSLEYQGRLRWGKKKLWPGISQDGGDGCCSVDPSPGGPSYPSISSHLIYFGSRDVHHGPGPRAPWGPPGSDPRLLRRGRCPRPATPTPSSISSSSSIPSSQSTSASASSSPPLPNPLAGVGPPPRGGAAFAASPGDESGRPPPCVRAAVVLLDLDGAGLASSPAERAAPVRSGLLEQGRTSGLEWNECSNLAAQKHLLANLMGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAATAQAHPYMWGAQPMVPPYGTPPPPYMMYPPGTVYAHPSTPGVHPFNHYPMPTNGNVETAGVAPGASEINGKNDGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGMSHSASQGMLNQNMSMAPTQTGVVIGGVAPTTNLNIGMEYWGAAGSSPVPAMHGKSPSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQAECEELSQRADTLRAENSSLRAELERIKKEYEALLSHNASLKEKLGGNSDSIPDE >OB02G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1113298:1122049:-1 gene:OB02G12160 transcript:OB02G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNECSELKKDRTRKDLEIKAKEAEIQSLRRANVSCANNGVGGIAMDIDNSNHAPANGTLHAGGSCWASTRRADKLNDKNNELSCPQDGSCLNQKNQTNTSEVLEESVRFESKGSKCKEIKAIGVQTDLPGNSEHLEHKKVLIDRISSNLCAVWGMPTIILMGRSLISKILVSCSEEILTLVQSMGLLDKCETSSEVSISVRNAISEVYDIIIKMNSDTIPIQTLLEALLNLAAVGNAAVVGRALRMLHSILLHLLNNRTMFNQRHSEENIRVDALSIMILIARTSDPKVEREKFGFTSVIEKLHQLLQKENGLLVKKHSVDLLFLLLNCPATLKLLCNGGKDNPEQTEAIGCETDRSQQAISLIFMDLSECLSCKATSSQEIKLCRVVVTLLAYIASSGKSGYEVLLGPVTARGASFLELITEVLASQMEYDTACSNGAHELLKERYLLMREVLILLNRLASHANFAKPTLEVLTSSKLCATLTIDVANRLPERSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHKSLQLPG >OB02G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1123477:1127349:-1 gene:OB02G12170 transcript:OB02G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATIEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEVLSDPEKRAIYDRYGEEGLKGMPPPGSQSRTSASAGPSGPSNFRYNPSDPDDFFAEFMSSNKPYSFDQERTQFQQRPQWTSGNTRSESTSASHKESSTSTSQLEKPPAAEKTLLCTLEELYNGTKRKMKITRNIANSDGKVEIETEVLPVEVLPGWKKGTKITFSNKGDRLYGQLPQDLTFVIYVKPHDVYLLEGNNLVVTQVIPLVDALAGTTIHLKTLDGRNLPIRVEEVVRPGHEIMIMNEGWPIRKEPGKKGNLKIKFDVAFPTRLSSSQRVAIRQIMGG >OB02G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1129653:1133394:1 gene:OB02G12180 transcript:OB02G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDAFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLRGSMSPDEVSEGLGLHELKNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSVAGPSI >OB02G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1147426:1150919:-1 gene:OB02G12190 transcript:OB02G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G48790) TAIR;Acc:AT5G48790] MAISYCSISNPPLSKTSFRNKQVSSWIIHTISNGNCTGGIYIKTKRNLRTGFHVRAVTGGQDTRNVPGAEFPSNYTELLVQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAVVGTDRKLIIFNGELDRIRSGYYPPFFYPKLAELSKTFLPKLETVYYIHNFKGIKGGTLFRCYPGPWKVLRNIGGSFFCLHEQQEMPSLKEVALDILPSA >OB02G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1147851:1148104:1 gene:OB02G12200 transcript:OB02G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVHASRRSCHLCFSGPSKGQDNTCQKTKFGKITHFVSTVCAIKALNAIMHVLLAK >OB02G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1152887:1155215:-1 gene:OB02G12210 transcript:OB02G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEGGGVAASANDAQEGSSARGSTATIPRIPPYPGRINTMEIKQHIQATLGEERSERYFTYLKMFLSSRMEKAVFDRVIVQTIGRENVRLHNHLLMAIIRNAFLPAPNSGAAPGAK >OB02G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1155168:1163785:1 gene:OB02G12220 transcript:OB02G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MLQTDDSLSPSSLDSVVVAEQSIKNETYGTSDSVSSLNCLENGQLIKASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPDGIRAELMHLKSLNVDGVVVDCWWGIVEAWTPHKYDWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSSGVSLPKWIMEIAQDNQDIFFTDREGRRSTECLSWGIDKERVLHGRTGIEAYFDFMRSFHIEFRNLTEEGLISAIEIGLGVSGELRYPSCPERMGWRYPGIGEFQCYDRYLQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIHWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYQPEFSVQENNEAFGDPEGLTWQVMNAAWDHGLSVSIESVLPCIDGEMYSQILDTAKPRNDPDRHHVSFFAYRQLPPFLLQRDVCFSELDNFVKCMHGEATQIMEG >OB02G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1166318:1168646:-1 gene:OB02G12230 transcript:OB02G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGPTKKWASFTAQIGLVAVRADRAQYEYLASTMSKLHLSALAVPASICSLQQEHENVEELPTAMTHRSICEAIVIDTDRVHLFLLPSKPIQSGAGLRRLVLCCLIVTKEISLQLIEATNGGGEDAGWRGVSTLDEGAMTLMVGKAAAEGGGSVVVDGGGDGRGNGWRSGVAVDGEGDWKDDGGKDDAKTRFLA >OB02G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1181068:1181259:1 gene:OB02G12240 transcript:OB02G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding IESTFTKRTLTSSLISQKSNLLNSNYKMNITADKRIRTLIQLNTSKDFISILLRSFCCFPRKR >OB02G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1184208:1186575:1 gene:OB02G12250 transcript:OB02G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17670) TAIR;Acc:AT5G17670] TLRPRPVLDWYLKRVDGAVSEARELCSPNDGISLIGHSAGGWLARVYIEEFDASDISLLLTLGTPHLPPPKGATGVIDQTRGLLTYVEKNCAPAVYTSELKYVCIAGRYIQGAPLTGNTATSDEIVAVDTPSDVAEAVMVSTNDKSTPSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >OB02G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1186740:1189064:-1 gene:OB02G12260 transcript:OB02G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVFRGCRFLMSPAASAGGKRPASAKVAMTEAAEPKKSGILKPLPVSDALRRFAGGTPEVSRAGATKLIWDYIKANGLQNPANKKEIYCDEKLKSLFAGRDKIGMLEIAKLLSPHFIKAN >OB02G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1190414:1194665:-1 gene:OB02G12270 transcript:OB02G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxine biosynthesis 2 [Source:Projected from Arabidopsis thaliana (AT5G60540) TAIR;Acc:AT5G60540] MIALRRIGVSGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALREFVGAGKPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGNNTCRGVFIRAPAILDVGSDVEVLADCPVPADRPSITITSGEGVEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRCLGHAMMLMDLCAPTDRHRFFLDMDKEYQAKAFSALSLSSTSRDVQDGSKNKPLDLPIFE >OB02G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1195700:1199322:-1 gene:OB02G12280 transcript:OB02G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGRRRARSMLFLALVLMAMAVAKTVSGGDDVGAGGEEGDHDHEQFLKLWTGGRGGDDDGEDHLSWDDDDDDEEEEEAEEVTASXXGGGAARRRPPMGKNVVNVDSFGAAGDGCSDDTEAFLNAWKKACSLNNAVFLVPGGRRYKVGAARFMGPCKSRLIVQIQGTIVAPEEPSEWDAASQRLWLLFSGLAGARIQGGGVVDGSGAKWWANSCKIDRSKPCKGAPTALTIDSCRGVRVRNLRLQNAQQMHLTVSRSRDVRLAGVRVDSPEDSPNTDGIHPPRPPAVTIQACRIATGDDCISISNGSFAVRMKDIDCGPGHGISIGSLGQGGSFAAVEGVSLDGARVRRAQNGVRIKTWQGGAGYVRDVRFADVRVDGVDHPIVIDQFYCDSRSPCRNRTSNVRVSNVMYRNITGTARRAEAIRLACSDAVPCVDIVLSDINLRREDGAEVQTVCNCAMGFDDGRVRPAADCLRTSPCGGVSPDDHPDDGEVKEKLDDAPPVRHTEL >OB02G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1199841:1207699:-1 gene:OB02G12290 transcript:OB02G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRRQQQQEEEEEIRVGDGAEDKVIFFFFFSSLSAASSSGQWPPKCPFFCCSRHMSHTARKTPQHRRLAVQRTFAKERGRMDGKMFGGGNWGSFPYPSITKESQFLFDGKATPMQLQLFGGSAVPAVGSVGYHNYIANNHLSAMNQERNTNNDVGHEKLLNLQMSLNNFPVENAGRFARIGNPSAVSTGLRLSSDNNEHTSITSGNGSMPSIPVMASFVDEVMTELDKENKEFNYYFELQVQQLFKCMKEVNQRQTVEFLASLERGVGKKLKEKELELEAMNKKSKELNEQIRQVALEVQSWQSVALHNQSIANSLKSKLMQMVAQSSNLTREGSGDSEVDNSASSQNINAVPGGFFQSGLLGTNSMADGVLGSCRLCRTKEAALLVMPCRHLCLCADCDKNADVCPVCRFPKSCSVEINMS >OB02G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1219370:1219684:1 gene:OB02G12300 transcript:OB02G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHIHHAVSAAASLLRLFATLACSPLSDVCVRERRGEDQCESVCVCVRLSRWVACDAKVGIFSWLGSQLGGWEPTVSSPPRLGRIPSLPPLLLAPFHPSMPSV >OB02G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1221427:1223773:1 gene:OB02G12310 transcript:OB02G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSYAVHGAGAKPNLGQEDGTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRIAYFFLWTALYVIFQWILHASTPLWWPYPFLDVSANLAPLWYFAVAIMQLPCYAVFRLVIKLKHHLLTKWFPGSVVRGSSTP >OB02G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1226025:1226741:1 gene:OB02G12320 transcript:OB02G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKVAMVAAVCCMFILLFSGQQQQVAAMSKICRCYRECLPNCGLRNSNSFCKVFCGGCCIITGHGNCSSTDVRVPTSAAAGDGDDCRTICLMAFCSEAATSHDAAGRNYADDAACLDECSNYWSSYGKLT >OB02G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1227917:1232512:1 gene:OB02G12330 transcript:OB02G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MAAAAAAPPAGDHACRRQWRYTWEALVHLPLLRLYLFRPAVTAAAPPTGLRADLRLDDALLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRAAGDHVEVRLALVLPVDHPVVAAAFPPPLGAEPVAPLSLRNDIKNLSSGDVHLYCRACSARLTKRPLRNIVEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLVSGLVSPVPSNDYVALQEATSGVHVINDHTMEKMEFNDSEEKTYHEKKIASSHVQSPVVPEENHDSNTEKDGDTLWTDQSGITEVNSEESKNNSCVKDMDHPTKETDLLLVDPCNFCCDGGNSRKSKDNPSNMPSGNLEMQTKLNQRDYKLTKSIALGCSFIVKASNLLNDIDWLELPCAHCSSPIGSYPSQCSHAPADGRVRLFKCYTSSDLHVRGPHDVFRKHTLERLFVHLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDFDGSHGAAHLQPAVKILYSNCSSALEEDLRIVEEWSSKYRAEELYMMRRQIDELIGSLSSARDKFPFSCSSLEGMHLSSLER >OB02G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1236565:1237116:-1 gene:OB02G12340 transcript:OB02G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQEVKRKLHLHGSVQVEGQADSGEKEEAVWVLAAGEMPLPLPVQLRPVKVSRRRRHGGGGGEGDEEDEEDEVTTPRGEGCRIPAEAATCPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRRCNCDDGGDSLEFFRVPADLEAVFVNRVAKAN >OB02G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1243254:1256539:1 gene:OB02G12350 transcript:OB02G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEETRETTDGLEVQMEAANDLQTQLDSKHSSIKEIKCEARTMDSTGGILKIPEDQVLVEAPSETTIPSEHNLNGMASSLNGHVDKEENMSTEQPHETNQESQEQVETSPEGIGTYQRNKRNEEEVTDSLSHGESASEDITLPKFENAEATDHGQQGFEGHVMNDTMVQEIDNAIEQTVDAYDLDPSKETKDTQPAIMTSLPDDEVLAQTLPGIKSPLKPNVDDFNAIPDTIDGNKETDESTKDEDKFIVEHADEEAKVQDQQSKQADDMDAEVLQEEISESKEPDVPKTEEADEASIDDQGALGQEPALETNASLSIKAEETCHKESYPEEKVIAEHAEEEVKVADQQSKQVDDMDAEVLQEEISENKEPDVPKTKLTDKMPIDEQEAPNQEPVLKTNESLSVKAEEICHESNVVTGGERTPEDDATTRGVTVDIKEEQNQGSVEEVKDAEAVDTEEIVQQSSVAFDETIQDHAATTDPSSDIQHIPNTEPEETKDPSAVEAEEVASQSNMAFSEDAVQDKVIPSEPPEDIQPVQELEQEETKQDDEASDKTNPSIFSSLNQEDGTGASEPHATELAEEAAAFSNLHQEGGIADTDELEEAKEIEATETEEITHQSPAAISTELPIEDNSTSSKPHNDEVQHSLEQDSMEVKGTEAAEIQGISHERTIATSKEDAVEDDGTEKGPSCVSQEVQDAESSEEIKERKVDDVVEPSNVATVDDVEKENNVQTIENIAELQLQGLESEENKNPEPFETEEDFNNRHSAPFNDPDEEVKTTECETENTESTVEIKETEDTKTESIPQESNASISEEPTPEDSVTASETNTRELTIAGSIEAIEDSKDTETEENTDQSNEVFAGEATQGDNILESVSTAHTQPMQELESEEINKAEFVDSSVHQKDDAVPQKKHLEVNPTTCETNVIVSAEVSSAEVSEDQEIVHQSNITQCEEQATEENLTEGEQRILEMGSVQDMKDTEATEPEFISQQNIVSTSKESASEENAAARELGFDDRETQNDGPELTTEHDDMKALEIPDQSSEAIVEGTAQEDSLLASEPANNVQAKELEPEEIRYTVDGETEEASHQTHDAAVEGNWTSEPEMTESSEQMRDTEPTLHDSNVASVEEIETQDNAATEQNVGNQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNLQETVTKEEPSSDTQVEESSVVIEDSEDVSSAVLSEEAAPEEHILATEATVDTSPVQEAEVEESKNIESVEVDDNTAASDLPGEEMDTKVIATELIPYESTTAGVKELNEDVKGNVALAEDAASEEHKPELEEIKSTEPAEKEHNIIETGLPDEEMKGSEAMETETVPHDSNVESIKELAEDDIITASAPDVDIQQVAEQESVEDMKYIATAEHPGETPESIVSTSDELTPTGEITIVTETTFASQPVQSLTDQETEDSKYVKTEESSELSTFSTPEEAVQERDLLKSEPSYDIQQAQELDSTEQTKGTEHVETEDYQKNGVSTLEESTTEVETNVDDQDVQENNSVVEVKENEHKETKKAAQESSELVTEQPFYVQPVQQIELTKYSEDNQLIEAEETSSQSNIVTPNDPTAEDKVANEIDQSVDIDQGHELESVEEIKDIDATDSEETSHTGQAVSLVEQSSESNLSAAELTHGVQQVNVLDAVKEIEGTGDTGGEEIFYEQTDISVDPSPTDNAQALQDYPVESNEENLGKGIDDVISVHEKVKDDIHELAELKDKTSELGETTQTSVNRSEEHDHISTEDTIETSNNTNLDKHDSGQISNVQDNEKLHDVMLQTQVCERSVDTIVIGQQDDAVKSVNLDQQGKEYEEIEKKKEELPSNEQKHDDKTADFIIDTQVESIDAFEAEQTDTGATEVLNDEVTQHISNDSIPTTADAMVKNITEIKEETEEGNGPNNDGTPEVSAKNYNEDVQENSEKDAVVANTSSSEQDETNGEIRNEEVESCLASSLERDLQADSVLSNDQMLENNPIVVPQNDQYRLHRAEKEYLDKVNVEMHAIQESDKVIEGAEEKQEVQNEDNVVRHDESLTTTQKEETSQLHTDEQHSAGSKMDDTRISYAEITPENTSAEPREVENTDEKKGFEAFPEFDVETSNQSDVDHDLSIHHQVEDEKSAATENNGVEAEDVHPKLDMSIVETNNYNNLSITNPLPEHETENASDINQNRQYQEATNADAIENIETGHIKKMETNNTATTEVVKPKEDICDKASGADGVPSDGSLKTSEDTRRNLDVSSAITELKEENMNNEMEDYKLDLPVHPIQDENSTEKGSGLDDTGKESKSPEKALPAEPEEQEEYQVTKQQDEGDMQDPELGGAQKEDQKESEQDYLPVSSFLMNLILGKENDDPTKDSETEVEKKQEETMNDDSSLINSQQEESSVSFPVENMVDEKVTFEQEMEKVEGSEETKEPEKEQSHDVELDIQKSLETDEELKKNTLDLEAPIYQDNAQDENSSKLISTKADDLNKKVEARDFEFDEKSFDTVCQENVAVEIETEKGSLNRNQVDITIPKASQEDALEEVGTELPHESSHENGHDAKAEQTLSLTEPDIGNTAKLPNDDDSIQSPPCTEQEETIETSYVEVRSITEVQVESEAVEKKENQYTITSGETEEQMENLHDDKSKETYSEAISDEQTTETTEPVSYTQRDFAYEKEIPASLTCIDKKESKISNNEVSKFDKALDIPSHIPNLHVNQDKKDESAENQIVMEHKLEDSDLQEEQEVVAQKFLKETEGSQKFMAITEPAIKSNEEQELFDSQVQESGLHVVSPKATSETEENFVKITKPEFSTDEEHSPKVDETNKTEEKTCDEKTKAEEETNNNDEITMKVEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >OB02G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1258907:1263676:-1 gene:OB02G12360 transcript:OB02G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLLRPLPSAGLGRRGSPRGPRRVGAVVGFGERSRCWRGVAVAAASWMEEAGVAVLEEGVRRNPAVSDSYRPQGMPRPNATGLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSAMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLINVLPPEVKFIADPEGTIMGANGLTGPRYVGQSTAEMRLVGALREVLAGGHLGYEEVQCVLKDVLPIELANENSKMVSEALLSAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSPLQAKTLLEDESTGFAYLNLQEACPPLSSIIGIREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSVHASKGLPVNHCSGFRTPNSINFSEADGISREGFKVIVNAQELGFESTETPRTDRSVLKNLELGLAALGGEKGPTYDRIVLNAAMVDHLLGCTGAEDIQSALERAREAIDSGKALSRLMNYIKITHKVS >OB02G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1215505:1267633:1 gene:OB02G12370 transcript:OB02G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper ion binding;cobalt ion binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G21870) TAIR;Acc:AT2G21870] MALAARLISRSRQLYSAQAALANGGATQVRLYAKEAAPTDRRPVSGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPEAVARYAQVMKTVRQKADLLSDSERIKYTIETFTKGIPDARTYLNTLQEIRKKSGLIDHMGIEDKMMEALEKVEKEIKKPLLRSDKKNMALLLAEFDKINKKLGFSKEDLPKIEERLEMEIAKSELTELKKECVEAMEAQLKREEFKDEEMPDVRKLDIREFL >OB02G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1270032:1270244:-1 gene:OB02G12380 transcript:OB02G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDALVAAWLPGTEGQGVSDVLFGDHAFTGKLARTWFRSPEQLPMNVGDPHYDPLFPFGFGLETRPYN >OB02G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1270032:1273608:-1 gene:OB02G12390 transcript:OB02G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRIDDLLRRMTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPSAQASPAAWVTMVNEMQRGAMSTRLGIPMIYGIDAVHGHGNVYRATIFPHNVGLGCTRDPELARRIGAAVAAEVRATGIPYVFAPCVAVCRDPRATCAKHYVGDGGTTRGVNENNTVATLRELLTVHMPPYYSAVVQGVSTVMVSFSSWNGVKMHANHFLITDFLKSKLHFRGFIISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVMIPFTYTEFISDLTTLVQNGTIPMSRIDDAVRRILRVKFTMGLFENPYADLSHAGELGKQEHRDLAREAVRKTLVLLKNGNPGDAPLLPLPKKARSVLVAGSHAHNLGNQCGGWTITWQGLSGNNLTAGTTILDGIRRAVDPGTEVVFSESPDAGFLRRNTGRFDYAVTVCGGGVRCVVVLVSGRPLVVEPYMDAIDALVAAWLPGTEGQGVSDVLFGDHAFTGKLARTWFRSPEQLPMNVGDPHYDPLFPFGFGLETRPYN >OB02G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1276543:1281362:-1 gene:OB02G12400 transcript:OB02G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGDMREIGRETHHGGVVQSGRETDEHKEKTVDSEKDGQFRVQPKWRKFLAHVGPGALVAIGFLDPSNMETDMQAGADFKYELLWVILVGMIFALLIQTLAANLGVKTGRHLAELCREEYPRYVNIFLWIVAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSYKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSSADANTCSDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNSLPKYANALVSVLVFALMAAYLVAVVYLTFRKDTVATYVPVPERAQAQAEAGRAPPAAADEDQPAPYRKDLADAST >OB02G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1283333:1283527:-1 gene:OB02G12410 transcript:OB02G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAQLFVQCNVFFGSIVCSVQCVFWLNCLQHDIEICSIQCDLAQLFSLYSMSAEPVKLYSET >OB02G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1286835:1289676:-1 gene:OB02G12420 transcript:OB02G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17140) TAIR;Acc:AT2G17140] MSSSLTAANLLGLLRRNAASPAVALRLFLHLTSAASPPAPHSTSFLSRLLAADPSSHALLPSLLRHLLSLPDPSPHLLALLSSSSPRLPLGFSLSALRSLRALPSVPPPPTPVYNRLLLAALQESRFDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMELARRVFDAMPARNEFSFGILARGYCRAGRSMDALGVLNSMPRVNLVVCNTVIAGFCREGLVDEAERLVERMRYQGLDPNVVTFNARISALCKAGRVLDAYRIFNDMQENWEKGLPRPDQVTFDVMLSGFCDAGMVDEARVLVDIMRCGGFLRRVESYNRWLTGLVRNGMVGEAQELLREMTHEGVQPNSYTYNIIVDGLCKEGKAFDVRKVEDFVKSGVMTPDVVTYTSLLHAYCLEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKDGRITEGERLLERMSEKGYSLDTASCNIIIDGLCRNNKLDMAMDIVDGMWNEGCLALGRLGYSFLSLLTDSSSSKRCHPDRVTYSTLMSALCKEGRFDEAKNKLLEMIGKDISPDSVIYDTFIHGYCKHGKTSHAVKVLRDMEKKGCKPSVRTYNLLIWGFREKHNSDEIIKLMSEMKEKGICPNVMTYNSLIKSFCERGMANKALPLLDEMLQNEIVPNVTSFDLLIKAFCKTTDFSAAQRVFDASLSTCGQKEVLYSIMCTQLSTYGRWIEAMNALEMALESRISIHRFPYKQIIEGLCEVEEADHAYRLLKLLMVKGYSFDPAAFMPVIDALSEKGKKQDVDVLSEKMMEMAERDDGLAVPSGKFKPRSQRHGQDKYAESDWHALLHRDDSARTILKITKRVKTGWGQRGNVYENKQQQNDDIYVLENTG >OB02G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1291007:1293458:-1 gene:OB02G12430 transcript:OB02G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSIVLHPVDMRVGIAESSDQAKSCLHPHDKKDTPQVTGQGATRSEDGEPSSAEAGSFLQPEAACLTLGRASDAAPVENLHDSNPLVCDKENISANLKPKADARHGENRMNPAPLGLDLNVVDCSDAAELNPFFPYKKLGQAKVSDPSECGSTTGAVEESESHRKWREMKQNGFLSSSHGTAVVPRPRGRPGKRKRDDESKRNSFSQNEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQPVFASQTGERGKEFIERAQEQRYGASLMNCHFMMKGSNMPFHPGLPTASQFFPEDGDDLKLQLSSAVTMVSDRTCSTSADDVASNNDYMTLLSVKAANVASQWLELLQQDIRGRLAALKRSRKRVRNALQTELPYLISTEFASNQENEPSIIHSSEGISTRKTVPEAHVARWRSLFIQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRHMACEAPFVGPMAELWKLKNSETPESEWAVQAAAASIYSTCSLVMRTENVPCF >OB02G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1299760:1303905:1 gene:OB02G12440 transcript:OB02G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MISVLFIMQLASHATQSDQATELAPHCDNAEVPDSQQIVSESSTARSSTEHLVSCEIKPLGVEEDIETIDANEETHLVIQDCPQCRICLDSEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFVVQLVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAVLAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPDHVTELRILGLY >OB02G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1309069:1309233:1 gene:OB02G12450 transcript:OB02G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSFCWKLKTHSLMVESKVNCCSKSPEASYHLCLIWQESLQFVLHGKALMAN >OB02G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1313929:1316324:1 gene:OB02G12460 transcript:OB02G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRICRQPEQRPEMEKENILGWFLLIFVSLFMYEYVGGEEERWWLRQGELQSVKLAKLEHLGGGSEEVVEGGGVEAEERVGGGGGGGAVAVDAGEDVVEGVEAGGELGGLGAEDGVLGVDGEEALGGEAQRGGDVGVLAAEVGDLRGEVVEVALLPHPRPARRLAHKHTRTAIKLQERLFFRLDFLSVRKNTTLRLILR >OB02G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1315569:1315877:-1 gene:OB02G12470 transcript:OB02G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRHLDDLTAQVAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLHSLNDILSCINSNGAAAAAATDPLLGFDSAAFDDLFRSSPEMFQLC >OB02G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1329357:1338812:-1 gene:OB02G12480 transcript:OB02G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEDAEKFVVNKILGTEWFAVPPPSKPLTTWRRAVARERKKRYIFKNTESRRFTRLMQECARKLGAEPALEFFGKLGRDTGTKEFNALIRICLRKAKACSDIDSAVEHIYRAYHLFEMMRDRGFQIVEDNYGPFLLYLVDVGMSEEFEMFSVFLKDANPQSNSRIAYYEMLLWIGAQDEEKIQQLCHSVEDFNKEGEYDMAESYMLAFAESDRKLDFINLLESLDPTKVICSKYLSTIFQFLGRFELKNYAEKLLKQMRSKESNIGDFSSILCEYAANIPHIEVEDVIVSFNRWHEQFEVAPSISASEKIISICCKSSKIALALDVVECLCKSNPDAPVELFHPIIHACEQDSEFHMVHRIYDLMRRHKLKLKYEMFRSMINLCVKMKDFEGAYNILADAEESRDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAEVKPDSETFSYLIFNCESEEKISEYLDQIRQDGIYMSKHIYMSLVSAYARHGNFDMAKQVLQNKKIPPKYLNEIKSALVGALASNGKALDGLIMYDEIRQSGCHLEPKAAVTLIENTQTKGELDRLYQLLEELSDSTFWFDGCSRVLLYCVQHNHPNAAIDLLKQLKEKDEMITYMVIDQVFCQIWDMDPVNLDIGMEILHSIKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWSAYESAGLPHNVLTSLRMYQALLLSQKKKAAKKLLRKIPKADVHVRYVIDSCQMAYCSPDHRPCSVSRFSSKKEAASKAGSTCEPANSGTLSTLFKNT >OB02G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1342846:1346634:-1 gene:OB02G12490 transcript:OB02G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGGGEGGAAGAWDVSREEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGIRLQLPQVTIASAIMLCHRFYLRQSHAKNEWQTVATVCVFLASKIEDTPCSLQRVIIVAYETMYRKDCNAAHRIYQKEILEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFRMELKQVAVNLINDALRTTLVVQFKPHYIAAGSLYLAAKFNNVRLPSENGKDGKVWWHEFDVAPKQLEAVIQQMTELFIGRNPCSISPVIRAPPTPSLMERRQIIRRPPTPTLMQRQQQITSSLGAVMRHTHSSRGSLSSNFDIDASRCLPVDISANHKSAICSARNEGNQSLRVHMGHSNGSDARFKKQTPLGALRTDHVYHVFSEPKDLHVTGIRDFVRQKRPFHEVGEHPAPVDRSDRGAWIRKQHGRNVIVVDTKSSSWKRQKL >OB02G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1355699:1360265:1 gene:OB02G12500 transcript:OB02G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDRRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPAKHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRNEYGTDTIVDDFDYSELEAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIIRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQNKLLEVIDARELPEFLGGTCTCPEYGGCLKAEKGPWKDPNILNIVLSGEAQCARQIVTISNGEEKIISYAKSKYHTIRGSDTSTAESGSEADDVTSPKALRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREMPRMPAFSSKDSSLASTERSSNGSWDRMVAVLVAIFMAVVTLVQSVKYLATKRLPYKDESEQQCSTLYPDSMPKEEFRPPSPTPAFVEAELFSSVLQRLSELEEKFVMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKKGMFCY >OB02G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1360721:1363760:-1 gene:OB02G12510 transcript:OB02G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIEDVRDAEEMRSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIEKSKQMWTDMLQWRKEFGSDGILDEFQFEELDQVLEHYPQGHHGVDRDGRPVYIEKLGLIDTNKLLQVTSMDRYVKYHVREFERAFAIKFPACSIAAKRHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCNCEAGCMRSDKGPWKDPEILKMVQCGMGRCGMNSSGPIEADEKMITEDDIVPIPKKQESFRRDSVDSPKIPREKIEHPQMSPLHEMSTSDRKIPSGPEGSSTRYDDLFPMVDKNMEFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQQQQQQQQLTGPDTVTVTAAQYEALIKRLGDLEEKVAALSSRPPEMPAEKEDMLKAAVTRVEALETELESTKKLLETSNGQQEEVLAYIEKKKKKSKMFCLQQQNPFRW >OB02G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1375638:1385075:-1 gene:OB02G12520 transcript:OB02G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:J3L9D5] MYIKKVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRNISERMSHADNEVVDVREKIKSFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAARDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLSSNRRQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPHSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENVGSKLRDIDKKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQMGSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSNRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYECNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFCEVFSELVQGGHGHLVMMKKKDGDAGDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIVKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >OB02G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1386810:1390502:1 gene:OB02G12530 transcript:OB02G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3L9D6] MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPDQLIRDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDAKRIQEIIDSMEAAEESSSSMQEEDKGTDAAPMDI >OB02G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1401492:1403499:1 gene:OB02G12540 transcript:OB02G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKSSSTTGPSAESQDGYTSNGTLNNLSSDVARPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPSCPQFYLYSSADRVIPAECVESFIDMQKSQGQSVFAHNFVSSPHVDHYRSFPHVYAAKINEFLKICSVARV >OB02G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1404136:1415878:1 gene:OB02G12550 transcript:OB02G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) TAIR;Acc:AT2G18250] MEEAAPGGAGDPAGELSAGGGRPPASSSYAAVVIGGTFDRLHQGHHLFLKAAAEFARDRIVIGVCDGPMLAKKQYAYLIQPIEKRMENVKEYIKSIKPDIEVHVEPILDPFGPSIVDEALGAIIVSKETLPGGQAVNRKRAERGLTQLEIEVVELVPEKSTGNKISSTAFRKMEAERELHKQQQETQQAVELECRI >OB02G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1423890:1424657:-1 gene:OB02G12560 transcript:OB02G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSADSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDLVGGRSRSDGKDKFAFLHHHATAAAAAAPPSSKLSSRPAKTTTTATQKKQITVKKTKGAVVTEMDMATAHKLFYSKAGAAAGERRPQQASYLTHRPAFSGIFALGRSQHHTAY >OB02G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1427098:1430307:-1 gene:OB02G12570 transcript:OB02G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:J3L9E0] MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDLKNLIGEASCRICLESFSTTVNALTEPIDIYSEWIDECERVNNLEEDNTTNRYEEEKEEEDDNNNNRSEEE >OB02G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1432999:1437942:1 gene:OB02G12580 transcript:OB02G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:Projected from Arabidopsis thaliana (AT5G14760) TAIR;Acc:AT5G14760] MATAAASGGDVAPREPGAGMAAAMNGFASLQCKATVHVDKGHMQPSGVAFFSPNNRCSQLHISRIPHFIGAKAVSTSQQRMRHKVCSIRASAASCLQDDTTKYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELISMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDTETQKAVRFISKVTLLASGGVGHIYPTTTNPLVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHRPREKVLAHFPNIAAECLRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFATKWARPMLSASLRGSILSDIIEKTKQTRMELQSIMWGYVGIVRSTNRLKHAEWKISDLESEWVEFLFRRGWKPTMVGVEACEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >OB02G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1438197:1440473:-1 gene:OB02G12590 transcript:OB02G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSARAAEQDHRGVSGILSRKRRATVVHRAALVARVAAAVLCLAALAVLAADHRKGFALDSFSNYSQLRYSEAVNVIGFLYSVFQFFVLVNLMRRNKHLNPRPGGDYFDFFMDQVLAYLLISSSSSATARVGDWIDNWGSDPFPKMANSSIAISFLAFLVFAISALISAYNLFQRDI >OB02G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1450698:1451615:1 gene:OB02G12600 transcript:OB02G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHKDVVHDIAMDYYGKRMATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAAHELGLCLACGSSDGNISVFTARSDGVWDTTRIDQAHPVGVTSVSWAPAMAPGALINSGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRLDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGQVLYDFQTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >OB02G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1452413:1457607:-1 gene:OB02G12610 transcript:OB02G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCFIFIAASSPSPSSRQSSRSLMLPHPMTLPFVSGCSYRRRRTESKRRSRSTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKSTLEREIGLDEVPPSTNYRPTTMNNSQQPAINTSSDDKPEVAPYTSEELMKVTEEQLAASAAAAWNTQEPPPSQQKEAADTSESNGGASSGGNDGAGAATSEPSPNNSEKTKTEG >OB02G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1459719:1460239:-1 gene:OB02G12620 transcript:OB02G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESMRRKGVVAAAVTAVCITMLILLSSGQTQQVSARSKISRCYDGCMPDCEPYSPLPVCKFFCITCCVVKGNHDCSRPGGGVRRRWLHGALRVVHPRGRGNRRTMGNRCWCCSSLCRWLQHLLEQEEELGSDDRQDLLLKLK >OB02G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1464480:1465136:1 gene:OB02G12630 transcript:OB02G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDARRRPRRPPPYVAASAARAAALVVVALLLALLCGPCVVGARLILQEKSGSAWAPRPRSSSPESTDGTDRRPGTRSGVLHRRTSDFLPPSGPSERHNARLDSHAGRRGPTNPAPPPAGRPTSSRRPARRSAPTPGSTPTPAGVGRPTPPPPPPDVRLPPAVRPVGAPQRPAPPRPPQRAPSSGPAPPRRRRRSRHDDVSHARIQELKLATVALYS >OB02G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1466228:1470101:-1 gene:OB02G12640 transcript:OB02G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L9E7] MEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHSGERVAIKKINDVFEHVSDAIRILREIKLLRLLRHPDIVAIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLTPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSAIFWTDYVATRWYRAPELCGSFFSKYTPSVDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSEILSRIRNENARGYLIGMQRKHPVPLSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFRGLSKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGEEQTSFLYPSGIDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSNNHDSDNEERRAVSSIARSMISSPRSQEEGKKHVSAYPNNIINLNSSPKSYLKSAGISASTCIIRGNKGPKENGITEDMEEVVYKLSDNVTRMLS >OB02G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1474493:1478947:-1 gene:OB02G12650 transcript:OB02G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKTPRPRGGKSRRATAAAAAGVGKEREGRSPSGELSLQLEHVSLFSFLADRPLGAAAAAARTPFEELLEGSYDPTPPPPPPPLPLPLQAEATPMDADEVVEEKDCGILSQDFFCTPDYITPDAPQLASGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKAFGSTDIFDNQWIAPVQFDGLGDSEEEQLQESNSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTGPQIDDNVFGGRQCKSSGFSPSVGGDGLSRYRTDFHEIEQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGSHENIVGYFTSWFENKQLYIQMELCDRCLSMDRSQPLKCGEALELLYQICKGLDFIHERGVAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDRYEHLDKVDIFSLGAATYELIRGTPLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKGILRQPIFEKLHKAPAKK >OB02G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1484009:1486212:1 gene:OB02G12660 transcript:OB02G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLCVLSIVKARYCSTPFAKPDDQLQEQMNSSIRMETDEPATKAVGEQEEEEEEEESSGGGGGVEPAVTTTPATTVVVAGGGKRKPTCHMTSKRSERCEARGDIRVEGNASTIYIGGIDREWKTKPYARYHDPVAMAYVREFTLKPATAAAPACTRNHSVPAFVFSNGGFSGNLYHDYTDVLMPLFLSTHQFKGEVQFLLSGLKPWWVNKFNLFFRQLTKYDILDIDNDKDVHCFPRIVVGATFHKDMGVDPKRSPGHVSVVDFKRALRRAFGLERAAASRGGITGNGKPRLLIISRKNSRRFLNEREMGQAAAAMGFEVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAILIQVVPFGGLEWLTSVTFKNPAKDMEVTYMDYNVQLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKKTLQEALDKLPPA >OB02G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1490212:1493424:-1 gene:OB02G12670 transcript:OB02G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPPASGGGGGGNHHLDAFASLASCGFLSLRSPAPSGGEEKCSSTILELAQLLDVYDDLFPGKDEETGAGIAQVDPQQLVVPKLSERFMGIRAAMVTNCPLVSSFAANLGFRVFRTEDFVAQSGSSTVSKETGTISRASSLLGFSEGNVQEASEFDLVFLHVAMENTNSKLGKLGMKTDLNRLDKLVGAIMEAAPISSAIASRIHVSVILSYGSAAENKDEFSISNSSTEIDSDLNLLRPRQSYTMKAGNTLNDIRNHHPMLLAQWQEGVTRSDLTKGFSFEEFIKRGGNLAMLAECFLHEVAFKLWKAPKYGA >OB02G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1493817:1500383:1 gene:OB02G12680 transcript:OB02G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase V family [Source:Projected from Arabidopsis thaliana (AT5G64420) TAIR;Acc:AT5G64420] MAGKKRPPTALAEPEAAGGPSSQEVAAAATAAAEAPAEKKKLAKKKKLAMERKKQRKELDKERHRQPAESDAAAKPKPQQEPVAAAAPAPPAPVVSGPGLHMNVFRDLASPEASQREAAAEALVAELRQVQKAHEKSGRKGGSGAEGGDAPPKMEAEKDDGLENCAPSVGYAIRRLIRGISSSREFARQGFALGLTVVLESIHAISVEAIMKLIPKLLEYSASMKGPEAKDNLLGRLFGFGSIVRSGRLSGQWAHEKGSPIVKDFVNEVVKLGSTKRYLTEPAVAVILDLAGKLPEKAILSEILEAPGVQDWFNRASDAGDPDALYLALKLQEKTNAQKEIFGKLLPYPFSPEFFFAEEHLLSIAACFKESTFCLPRIHSLWLVITDMLVREAASQNDTVTSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLAFNILLNLLPKLSPSSIQVILSSKVVLGLMDVLSNESSWLHNAGQHFLKGLVSLVSDDNDRRVAFIINLQKYSSGRFDCMTKTKTVKDLVTKFRSGQDCLCLVQSLMSLFVDESSVTDEPSDQSQTTDENSEVGSIEDKDLFGQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFQVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTSTRNECIEQLQFLIEDAQKDEALHVSEIKSNDLGFYFMRFINTLCNIPSVSLFRTLSTNDDNAFKKLLDVESLLFYEERKVGPGLDSTKMHAMRYLLIQLLLQVLLHPDEYWEAAIDVTICCKKSFPSIIQNDKSSGQPSNEGGAEEFNEDGSGKSNENGLEETNDDTSEDSNEDGPLEFMDVLVQTFLSALPHVSGPVCFSIEQVFRLFCDEITETGLLDMLRVVKIDLKGSRHQSGSDDDEDDACVDIEDDDETVVEDAESGDTDSAAGGLDEEMGDDSADEVDESQDDLNETVDPEAKDGDGAKATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGKNLVLDVYSFLMQAFVKSHSADGSEQFKQRIGGILQKRIFRAKDYPKGGDVEFSTLESLLEKALKLASRSRYNTVASVAQNATFWLLKIINSKSYSKQELASVVDKFQYILTDYFNNKKSRLKLGFVKEVVRRNPWIGEELFGFVLQKIGCTKAEYRRVQALELIDCILKSWAGDDSSASKVLKKHLSQLCELIQDVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKERFQKKLNPETLSLCEAQLGAAFVRFKK >OB02G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1502845:1503762:1 gene:OB02G12690 transcript:OB02G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRMATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQVHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGVWDTTRIDQAHPVGVTSVSWAPAMAPGALINSGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRLDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >OB02G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1505359:1507538:1 gene:OB02G12700 transcript:OB02G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINNNNKIGAVYYLNSTQKSFSPRKKLSINSRRPRLEEGAKTQTRCGGATEHRSRPSRSRADLQPSIGRHDRFPPRRPGPPPPPPFRCPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPTSTRQRNASRGGLGFRVPCRASLPHHAGDDEVY >OB02G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1505816:1513903:1 gene:OB02G12710 transcript:OB02G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSQLNPDATPFVPPPRSSFEESLSERIYSLKQVGDTEEDETADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGATSSAFDPSQYKENDADNHFAVIESLSKMFPDVSADFIVEALKAHEFDTDLTIDMLIDLIVKTEFGGVQIIAAVKNSASSARLEAKATKTKFWVEIAMECLTTSFARNAGRECNLLCPSEVLSEKHRIQRRVLCYFPASAHSSQRHKFTTMACPVSPIGGRRSNWRSFAASLNLEDGPASSDSTSSPSEQTSGAGEVAYGDSSEELLSRKLNSDELKTLLADSERSKLLKKLSEANQYNRFLKRQLQMKDDDVVKFKSELAVLELELQALVGLAEEIANFDVPSGSRKINGKYVQSHLLTRLEAVHDKVMEQIKDVDSLKPQEISVYWVGMAENVQIMGSFDGWSQGEAMSMEYSGDYARFSATLNLRPGRYEIKFLVDGEWKLSPEYPINGEGLTQNNILVVN >OB02G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1513533:1526289:-1 gene:OB02G12720 transcript:OB02G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVEADAVDHLDVILAAGGRRCVSGRSEGGGGSPAREEEEEAAVAVKERIARALRLYKEAAGDGGGGWLVQCYGSGEYARISYALIYDIQGSLALPVLDPATASCLAVLELVTAAPLLRVSGELANLCNALQAVSLRGVGICNPAAAVTNRDAARAAMAEVSELLAAVCEAHRLPLAQAWLFLPPDCTGVAEQKAAVDAVSATINACSGDLKAIAISNLEDLFLDSVADGDQQLRHEMDDLGDDQRCSDEDDLLLAVENATIGELKIQSEDQIRGEVHGSQAGKNKTRRGKTEKSITLEELQKYFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAMPAHPALLLPPPPAPAPPPPPRPCLSSTLGEASSHGSCQAPPPAKAAALARPPRRGNGDGVVTIKASYRGDIVRFRVPCSAGVAAVKAEVAKRLSLDAGGFDVKYLDDDHEWVLLSCDADFQECLDVVPALPSMSAAAAAKSGSGAAVQVVRLMVQEVADNIGSSCASSD >OB02G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1534802:1539123:1 gene:OB02G12730 transcript:OB02G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMVQLRLLLVVFVLLASCWLQLLLAFPFPLPFLGPFTSQHDIDAINELYASLGSPDLHGWASSGGDPCMEAWQGVLCLGPNITSIELRGAGLGGKLSETLGKFTAMTTLDLSNNRIGGVIPQSLPAAVTQMDLSSNNINGKLPDSMANLDSLSTLHVQNNQLTGTLDVLGDLPLKDLNVENNLFSGQVPEKLLSIPKFLRNGNHFGIPAIPGSSPTPATPSIPGSHPTPAAAAAAAAPRSGPATNASHPPIYVIPATPQGAARGEPPRHGKKVSPAKAAGFSVLAAGSLTIAVLLVVFAVSRRRRETSHLHGGFLRGVEMSTPDWRGKPPRQEGAVVKADKEQSIVPGEKATKSSISSHQNVQESLRGHPLQFKFRFFTVASLQQYTNSFSEQSLVRQNLFGKIYLAEHQDIKFAVLKLDDAIARMAVDEFLGMVQRISELQHPSIEELAGCCVEHGQRLLVYKHFSDDTLDDMIHLKQQQQQLVSSDDRVHHGKIALPSWDARVAVALEAAKALEYLHEGGQRQAVHRHFRPEHVLVDGEMRVRVSGCGLAAAAAAKSGSDLQPERCIDALSYEPPEAEAAAAAPWTAKGDVYSFGVVMLQLLTGRRPCDSARPRGERRLVPWASSRLHDLTXPVRSLSRFADIISRCTQEEAEFRPAMSQVVQDLRRTLQSARGAGGEQSCSILLSVAGKKK >OB02G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1541700:1551875:1 gene:OB02G12740 transcript:OB02G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAASRSHGSTTAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSSLASFVTAVRWAPPGAPSLAAGHEGDDDDDHRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGTGGGVQDLCWVHHASGWLLASIHGPSLLCIWETSNNPRVLWMFDASPEYLSCLRRDPFDARHLCALGLRGFFLSAIPRPDSDISLQEHRVTCGASDIAELQRLEKEIAAPPPSPALAAFPAFAAKFCFSPLWRNIIYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMADPDLDLLYCTHIDGKLSIWKRKEGEQVHLLCAVEELMPSIGTIVPPPAVLATTIWQSESIFRNIDKQSQDLGQIQSTSSVISDTNTNQNMYQGTMAYLTSISEDGKIWSWHLTFGKSACASKVKLGTSNHTDAGISNPRSNGLDFTVKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVVDVLANAVSVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKSGGYNNKLVITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPLMLRSLALPFTVLEWTLPSAPRPSQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVPGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNMDAKASSTLRPVTTREKFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNGNDKLASNSFKATPTAFGDLRSYMIETTLPPVGDSVVAELLLKVLEPYRKEGCILEDGRAKLYSAIVNKGTCARFAFAAAVFGDFQEALFWLQLPQALRHYLDKSTEKGSESSVHPNSEPASTLNRASSSTERSVRGNMAKVAENSGQLSAMAFKQEQLWFNANERIPWHDKLDGEEALQKCVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWADYILRCEHNMWRALILYVAAGALPEALETLRKNQRPDTAAMFLLACHEIYSQITTESEPSDDTSVSTSEQSRKLRFPSKNVDDEDLVAVSEVFGQYQQKLIHVCMDAEPNLD >OB02G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1553256:1556531:1 gene:OB02G12750 transcript:OB02G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASGMSGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTPNGSNVGTLNQNMCFLPDTTPSTAMPDSLLRNGSSSGIVNGTPSSDQFIYTGKVIQGLPSSMDASTSLLAAHNSTAGRFDGDNGTMIKTEASYSGNSDFAFCNENTFLEPCQSIGDASGGSFSSSELNGQPLGDPILDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSETNNFSESTPGEHTEIGNRRLDTISEGVSYEDFGSD >OB02G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1564978:1566487:-1 gene:OB02G12760 transcript:OB02G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 family protein [Source:Projected from Arabidopsis thaliana (AT2G43030) TAIR;Acc:AT2G43030] MATKVGMMTYFDPATGKPVPVTIVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREAKLTRPELGHLGKAGAPPLRHLQEFRLQSVEGYDPGQPLDFAEMFKEGDLVDISGKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVLMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >OB02G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1568928:1574225:1 gene:OB02G12770 transcript:OB02G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSSGSGSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGTDSGRLVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPIFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEYSGDTVSELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETEEGFQPVFFQPRALKNLFRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVIENGNANNGDQMENGDGQDDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLAAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >OB02G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1575913:1587912:1 gene:OB02G12780 transcript:OB02G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLAGQMSGQAPQINQVGGSGVGGVDGLPQQMPDVVGLGGLDSQFVMMRNTMRDRIYEYIGRKQSATDWRRRLPELSKRLEEILFRKFSNRNDYYNMMKGPVEPQLQFAIKTLSAQQNQQNQQMSRQMASSSGYSTMIPTPGITQGATGNSRVPYVTDNTGLPSSGATMIPQGANTGTSLPGSMSNGYQHLTTSVPLNSTTSSIPSTMGPVGIQRQVTHMIPTPGFNTQQNVPANPDFSNGPGYFNGESTVTPQMPQQKQFPSNQSSHQIQHIGGNSNSGMHSNMLENSSAYSLSDGHVNGGMGLHGSNMQLTNRSAVPEAYINISPYGNSAKPAHQQFNQQTAQRMPTPVDISGSGNFYNTGSSALTAANNHTMGAANLQSRSRMNSMLMTSQLNMQSIQLQPQIKTEVLDQPEKMNFQSSQLTHEQLLHQQHSMQQHQMQPNSQFVQNQYRLNQQQPNSQHQQSILRSNSLKQSQLSSSHPMQLSEQGALPHTELISSQATEHADIQNYPGQYQQRSAHDNVKGGQMFGHLSSSQNFHSTASHDSQQLLSSNPQLDSSNDASYVLKGSQPDQMHQTQWQPQTMEKAPITNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWHLSGCTVTSVDPALAKLPSGGLEQAAGNIYYFRQMKWLLLLFHAKSCLTAVGSCKFHRCFQVQELVKHFENCKRKDCSYRDCRKSRMVTEHYKTCVDEQCPVCSNAKKFLQRSTEMASKQKPPEPRKIAQQSTAHRIMNGVEGDIMDIDPVSAEMFDGQPSIPKRLKIQPVSPSAAEREVSMPSNLGIVLQETHPELPDQNNKVGHLKMDVEIDSRPLQKPAKIGYGTDGNVPATRHNVTPGGSNDIKAHVKQEIMSIDKETSENALEVKNEANDSMDVTVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNETRGDSIEVEGQSFSKSRFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRATHERKSIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSFPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKEEIVVELTNLYDHFFITMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMVHLQYSCSHCCTLMVSGKRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHTLHPVEIAGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNACYQKGVVNHAHKLTNHPSAADRDAQNKEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCSVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >OB02G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1589797:1591539:1 gene:OB02G12790 transcript:OB02G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mraW methylase family protein [Source:Projected from Arabidopsis thaliana (AT5G10910) TAIR;Acc:AT5G10910] MMEAHPEMELYIGMDVDPSALEIGQNRIEAFLASRETDGGDDEDALQGTLCAYTHAKNFKYIKHVLGSVDENIAVGTSGVDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWHSLQKRIVKARAMGGLHSTGELVKLIQRTCTGSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHGDEADDDEDLASADIGSEDEPWFKQRVRGKNGTVLTKRPISPSEEEEELNQRCRSAKLRVIQKT >OB02G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1594080:1597348:1 gene:OB02G12800 transcript:OB02G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MPAVAVAELEEEAVLFRGGAPMCDFAVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVEIVVGDVGDPSSVQSAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTNAFQDYYNQLAQSRAGKSSKSKLLIEKFKSAKSLQGWEVRQGSYFQDIYPSRFDEGTDAALEISENGQAVFSGFVFTRGGYVEVSKRLSLPLGSTLDRYDGFLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQGASDPRNFQLIMEFIKALPTGQETDIILVSCTGSGIEPSRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGDELYELVAHLPDKANNYLAPALSVLEKNT >OB02G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1598995:1601347:1 gene:OB02G12810 transcript:OB02G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQANGEEQRHHAHGDVGEEEEEERGEEQRPRPARPSSGPQQPPQRPALAAEMRSVGYVGKHRLSAAIARLDQELQSLQDELNELETMESASAACQEVITSTEGKPDPLLPVTSGPENASWERWFQRVRSSRSNKWWASKGSDFS >OB02G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1601196:1602739:-1 gene:OB02G12820 transcript:OB02G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDLTCTATAPPRPVPLGAGPDDSSTLSRDGQRGDPPPPPPGVQQLDIGDGGGEGDGGNHGSGSSAQPARKQRGSWADGCAVAKNLQYSRYAISEHQKFPRGGARKNLSLWMPTICYCESYARVETISPKKRSPDHWCCKDDKDRGYTNSYEV >OB02G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1606080:1606421:1 gene:OB02G12830 transcript:OB02G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLVFAVTCCVTMAGFGRVLDDQSRRRAEDGGGGKGDDGIAAVPPASRRRHPLRHAPIQLMHSHGIRLFVPICSLALLPFASRVPKLQYVDNQTHLFACAHTYKPKSGFFQS >OB02G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1610404:1611387:-1 gene:OB02G12840 transcript:OB02G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGELASKTQRSKSTAAVVEHSYPVVPFAVAGPHHAVVPGATQGLEHSGILPVTTVERHHSVVPLAAGGLDHTILPVPAQWPPSHAVVPLTAGIHHSVLPVAAVEFHNPVLPHAASGPPHPVVPIAAVKLHHSTTPLTAAEHAYPVLPVAAGGLHAASSIHASSANLGRQTRRAVRAAAGLVRPQPLNPSVAFLRPPAAVGPARRAAAVRAPRLRVAAARASCGKPHHAAVPPTPLHPTIAVRAELPPTDDNTAAQLLAAVSRWQHPGRRWPPAVDAARREAPLPPGLRRVVRVAAAAGAAVQLAPPMAAGSSCAPASTTASIIPRSP >OB02G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1623456:1624632:1 gene:OB02G12850 transcript:OB02G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLGAPAGSGSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEGAAGGGGASTLCNVPPTLSGEDKQAEKIKHPRSASAARCTSKCVSTCILGGAGSPGVDGPFNIRRPLVVFKEDFRSRQYWMEKMANDTG >OB02G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1625485:1628952:1 gene:OB02G12860 transcript:OB02G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMYPWPFVLAPHESYSRARPLRSPRLREPPRERACSHGGGGGGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPGGAAAAGAEGGAGGGAVVRIFVGGISEGVAAADLEAMFATVGRVAGVEFVRTNGRGFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLERAKEHYLTRLKREWEQEAEAAAAAQEIPASGDVESKKEKLEPNKAVLDSTKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANGEYASVLNAAASEKERSIMNSVMSKLFEKENDHFDSLETQNHDADFNTTKPSDARNDLQMEETEEISGIDLDDLQMEEAEDPSEEESDDLVLNIVTRKTKSSVAQSNRENHAADMDSHFKKHQQFEESSPQKKRHKSSDFSESRNKKQSFPAMSGAIQNEKKSSGLSGAIQNDLSSKLDRDKSSASVQSIEALVDSSTSNGSEQNALAGEPKRGSLWTQKSAWRDLVGGMGSTSFSLSQILPNTNPSPPPASVAETKRIVKPSRKSSKPSEVSTQLLPGQKMQSSMTMLPSSETTVVSGGHDTGECKENNKLEKERVVPKITIGEVCPFMRNTESEQQWSKAKKVLTGFIKKGNESSGSNVGKGKPSARR >OB02G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1630309:1633700:1 gene:OB02G12870 transcript:OB02G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSSDSRRLFPGRQNSHQKLASLYTHCSGISETGPGGMRMFLPRTMGGGLVYELIIIDIFAPVIFSDIMDKINGMGPESTNQPPSLASRPGESEEEALVTPEGLSPRTGAFQIYASYVNWEILGSMMKIVFLKSKLNVFIPCGFLAILFNYVTQRHGWVFLLSMLGIIPLAERLGFATEQLALFTGPTGSILSNLLLVLGSAFFSGGLSCGKIMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEVQFGKSELALSRFSSCIMLVAYASYLHFQLSNNRHRNEAIVGASKAWDIPVAFISVVLLPIVGNSAGHVNAVIFAMKDRLDISLGIAIGSSIQISMFGIPFCVVLGWMMGKQMDLNFHLFETASLLTTVLVVAFLLQDGTSNCVKGFMLFLCYLIVSASFYVHADPHTKAGDKPPQN >OB02G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1633490:1636389:-1 gene:OB02G12880 transcript:OB02G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSTQSVIAVKQFSGPDKMAHTCTVPQLSVHNLSNVNSDLCGSTNSTSLPCAIQSSNIKTELISSSCLPKILPFNPQSSSPDSYPESSLSHMSQADFSDPDFSSSSTFCTRLYTSSSKNSNSCRKTGALPFLPHPPKCEQQQNSAGQSSSSLLLLGSDLSNSGHGDDEHTDDLKDFLNLSSDLSFHGESSAMAYNEQMEFQFLSEQLGIAITNNEESPRLDDIYDRPSQVLSLPASSCSDQEDMQDTGSPAKLQLSSSRSPSGTGSCNKPRLRWTQELHERFVEAVNKLEGPEKATPKGVLKLMKVEGLTIYHIKSHLQKYRLAKYLPETKEDKKQEEKKTKSANGNDHVKKKNAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEDQQKARESLSSRTITTEGESPEFALMEKNKNKAETSSEFLSKYKIPDTDPECHSQINNKKTKPQADLEMVLDE >OB02G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1640631:1642864:-1 gene:OB02G12890 transcript:OB02G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATATAPAVGEKAAAAAASYRYWVREATGDAAPLPVPRKLDPASAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKELLGSLDSLEFPTGKASLDGVSKCTGDAFLVTVRNKKRVGYTYELSLRFKGEWLIKEENMKVKGHLDIPEFSFGELEDLEVEVRFSDDKGLTSDNKRQICKDLKSFLSPIREKLRAFEEELKDR >OB02G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1644376:1650860:-1 gene:OB02G12900 transcript:OB02G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSDSRYCGVEVLDFPAGEELPAVLSHSLSSAFDFLLAPLVDPDYRPSPGAVLPVAASDLVLGPSQWSSHIVGKISEWIDLDSEDEQLRLDSEVTLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNMHLWLRIPLEKSEPMDEDHDEAKSSSIMSDTVDSWEWWNSFRLLCEHSSQLCVALDVLSTLPSMNSLGRWFGEPVRAAIIQTNAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGKSNHNVSQVGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDEVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRVARLAPTQSVFTFDHPNPSPNANNQRYTKLKFEIPADTGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIHVPLKSPIEVHFWRCCAATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >OB02G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1654311:1659395:1 gene:OB02G12910 transcript:OB02G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRRRCVGCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHPLPPDTVVQMLKDNGFDKVKLFDAEEGTMNALKKSGLEVMVGIPNDMLATMASNMKAANNWVDQNVSSFLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIKNIQSALVKAGLGNQVKVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLNIVKFLSDTGGAFTVNIYPFISLYADPNFPVDYAFFDGASSPIVDGSATYTNMFDANYDTLIWALKKNGFGNLPVIVGEIGWPTDGDMNANAQMAQRFNQGFLKHIATGQGTPMRPGPVDFYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLILGQSRGLVKAKGVKYLEKKWCVLKPSAGLNNPMLSDSVSYACSMADCTSLGYKTSCGGLDIRSNISYAFNSFYQKNDQDDVACGFNNLATITGQDPSTGTCRFGIMIEADSAFSWRLQHVGSSNFLVMLLLLLLQLCLSFS >OB02G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1658710:1659035:-1 gene:OB02G12920 transcript:OB02G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHTDSRHQISSSCQMVAALTTAHISCETSIYENDRQLEKQQQKHHQEVAASNMLEPPRECRVGLDHDTESACSGAGVLACYCCEVVEPTGHIILIILLVEAVECV >OB02G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1659868:1666708:1 gene:OB02G12930 transcript:OB02G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVEKARRLRSPTPRAAASVSGMKNLLRKPKDDLQFLQLQPSVSHQKCTNAKQREHHLSTTTQRQPLRLLVLCKDGLEEQKLSVTLTRSREKGSGRGICMHQLCNEIPSSVQTADYREVSYSFPEKVLIFHHCLPGKIVLQEDTDSCGKRGSSTGLVDIVVFKVRESLEREDIPRRRNSGICCAPFLWEGSKGRLEQQPGSVIGMCSFGMDWNQKSSVLWDWENMPPTGNNTNDNPKNVMHAESKLAVVGVDIGHESAHSSGGTFSSSSEIGYGSSKSSMSVSTGSPSKVGKTIELNFASVEEPGKNMGKGKCKVDDTGTSRSSVVAASRAEPLIGLKLGKRTYFEDVCGGQNVKSSPSGVSAATPSPGLAKKAKVAQQNAPNPYCQVEGCNVDLSSAKTYHRKHRVCEPHSKAPKVVVAGLERRFCQQCSRFHGLTEFDQKKRSCRRRLNDHNARRRKPQPEAISLSSSRLSTILYGDARQQTGLLYGQAPYGQMGSCANSSWDPVPGGFKFTVTKAPWSKPTRTAGVDGTHVSNQQAPSNVLPHGAHHSFDGLMAFKETNVKVLNQGMEASAAASSSAGGPDFERALSLLSINSVGASNLQPGSQMHPSVAAIAGTSNPVMMPSSPAIWQGCLSLDQQAQFQVFDRLGSDDDATPQQLQLPKPPPYGSSQYDQMN >OB02G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1667656:1671236:1 gene:OB02G12940 transcript:OB02G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDESHGDPRPEEADAGYGDVTGGELFGAPGYGWADVAALGPGMAGXXXXXXXXXXXXXXXXXXXXXXXAATARFAAGLSRESVVDVAGVVSLPREPVRGTTQQGVEIQVKKLYCISRATPNLPISVDDAARSEEDVARAKAAGEQLVHVGQDKRLDYRVIDLRTPANQAIFRVQCEVENIFRKVLLSEGFVGIHTPKLLGGASEGGAAVFKLDYNGQPACLAQSPQLHKQTAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEAIHRQYPFKPLKYLPKTLRIDYDEGIRMLKEAGVHVEDMGDLKTEAERKLGELVQAKFDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLTKQAAARGGRRGQHRRVRGVVRVRRAAARRVRRGAGEGGDALLRPRQHQEGVALPTRPQEADPL >OB02G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1671179:1679466:-1 gene:OB02G12950 transcript:OB02G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cycloartenol synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G07050) TAIR;Acc:AT2G07050] MWRLRVAEGGGAPWLRPKNGHVGRQVWEFDPAADDPEELAAVEDARRGFAARRHEFKHSADLLMRIQFAKANPLKLDLPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEMRRYLYNHQNEDGGWGLHIEGHSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYCLPIHPGRMWCHCRMVYLPMCYIYGKRFSGPVTPIVLELRKELYEVPYSEVDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVMLRWPGSKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSESFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHDYIKKTQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPDIVGEAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYPGHRRTEIDSCISKAASFIDSIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKSSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKQVHAVNTGWAMLALIDAGQAERDPMPLHRGAKVLINLQGEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAVEK >OB02G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1688355:1691614:-1 gene:OB02G12960 transcript:OB02G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAGGGDSLLRSTNGFLGRAVWEFDPDHGTPEERAGVERLRREFTDHRLRRRESADLLMRMQFAKQNKNQTRDRIPPVKKLGGDEEVTEEIAMASLRRALDEFSSLQAGDGHWPGDISGIMTIMPGLIFALYVTGSLDAVMSSEHRREIRRYIYNHQNEDGGWGSLLLTSSSMFGTCTNYITLRLLGEEPRWNEQLARGQSWIISHGGATLMPQWGKIWLSVLGLYDWSGNNPIFPELWLTPQFLPFHQQANSGA >OB02G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1696468:1696880:1 gene:OB02G12970 transcript:OB02G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEAKTRPDPRVDIQEKGEIFFFYRPKVGKHEARSPDDVQRMYVVLRPEAAADGRAVEEKQAPDSGKEAAARRRRRRRRRPWQGGHDRSRVADRFSLETF >OB02G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1697048:1697239:-1 gene:OB02G12980 transcript:OB02G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNKLLLKFKFGHNSHKGSMAESTLYRYSVSHHYFLTQLNFSVGTSSSFSSLSIKRKKRKKGKKL >OB02G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1699238:1704476:1 gene:OB02G12990 transcript:OB02G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEAKTRPDPRVDIQEKGEIFFFYRPKVGKHEARSPDDVQRMYVVLRPEAAADGRAVEEKQAPDSGKEGHKKQQHGDGGEGEGGHGKEEVDMEERPLLRLIVMGKKSLPDPAERRRPYWGYVELVTTDVNHIKDALKEEDSRYAPADPPDLLNYEGCEFLLIAASDDVEGELGLELETETDAGAKLEGAGDAAGCSDLVKMFGEVADVKPLLSGNWD >OB02G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1711774:1714119:1 gene:OB02G13000 transcript:OB02G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHLVFVYGTLMAEEVVRVLIGRAPSSSPAVLPDHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVRRTVEISLTDSSEKLLAYAYIWGNQDDPDLYGEWNFEEWKKVHLEGYVRMTTEFMEELEQLEPKTQT >OB02G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1712537:1721086:-1 gene:OB02G13010 transcript:OB02G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3L9I4] MATTKQTPASSTATAAASAVPPRGPCEGERKSPAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHGVILHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPYFGVKRPRQLDDESEMENLFKRAMPWLGEEVCIKDAQNQNTTAPGLSLVQWMNMNRQQSSSLANTAAQSEYLQALGNPAMQNLAADDLARQLYVQNNLLQQNCIQFNSPKLPQQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVSHQRQQNSIQVIPLSQAQSNLVQAQVIVQNQMQQQKPSPAQNQQRINSQSLLLPHQQQDQNLQLQQQLLLQQKQQLQQQQQQQHNQQQLNKSLGQLVNLASQQSKLFDEELQLQILQKLQQQSLMAQSTATLSQPPLIQEQQKLLIDMQKQLSNSHPLAQQQMIPQQESKPLLQATPLLPTVQQEQQQKLLQKQVSLADVSEVAFQPISSTNVIPKTGGAMIISGAAQSVVTEEMPSCSTSPSTANGNHLAQSTKNRHCINTERLPPSTAPMLIPTSIDAVTATPTMTKELPKLNNNVKQSVVNSKLPNVVPGPQNCMNNSLQTDNLETSSSATSLCPSRTDGLMHQGFPSSNFNQHQMFKDTLPDVQIEGVDPTTSGLFGINNDNPLGFPIETEDLLINALDSVKYPNHISTDVENNYPMQKDALQEISTSMVSQSFGQSDMAFNSIDSAINDGAFLNKNSWHTAPPLQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGSNVLPNQACSSSDGVNGWRPRCDQNSGNPSIGPYDQFE >OB02G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1732363:1741006:-1 gene:OB02G13020 transcript:OB02G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPGGDEEAVSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEIKLPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIEDDVKMVIFNFGGQPAGWKLKKEWLPDGWICLVCGASATQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRAVTLHPCYDGPTNGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKETGVRPAPTYHEANGSVESSFEDFEILHGDMQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERSAASVFFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVALQRSNPIKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPMSYDKAKEYFSQDPSQRWAAYVAGTILVLMTELGVVFRDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIPPRDLAILCQKVENCIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSQSLPSCPPMQSGDTNSDEYEEHGVDLLKSEASLEYLCSLPPHRYEAVYARDIPEIITGDTFLEKYGDHNDAVTVVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEFQHRKNSQDGSPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFDGSSPGAGKFGYLKIRRRSTLIDILLASTAAIGIDIQWLPTSTIRSRYAGNAAIKEQLHLQGQDMACDQADSRCKLGIVE >OB02G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1743202:1745981:-1 gene:OB02G13030 transcript:OB02G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELDQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEEMPGPSTPFGHEMLGEEIHNVVSMPIMEDMVRGGMESHPSSEDKALVLYKPVDNTVCLGPGTLNPSIIISPDLIRGLKNQAFNERNYHRLEDKSPGCSNSLALVPWTPPKIATISDLPTSNSKNTEFLEVPMESDETEVDSMDVEESPEITVATIDNDSLHQWQQHCMTPSSLPNPSAHVMWSR >OB02G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1749248:1765203:1 gene:OB02G13040 transcript:OB02G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE1 [Source:Projected from Arabidopsis thaliana (AT1G33410) TAIR;Acc:AT1G33410] MASPTPAARRIAGTEVPIPGSDRLRWIDVTVPSTAPPAAEGDPDPFVLVPPRAASGFHVVSSGDSQCYLAWRLHEEHQNVLEVIELCASKEFPSTGLRLVFQEALCPFSFLCSREGGRRGTPVYMLYVLTVSGVALLCHLHSPFSYMSGSIFPEDDIVEFSLQTQAQSAKVTAVTAKLGCLVIGRQDGSICCYSLGKLAQNSPGFLNELRDDAGIGRLWTLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDILNHTKLLSYNVHSNDIEGQPSRVWIGDADDDQELISLAVLHQGTVTPACDRVAVYGFSFGAGERFLFSPEPSVFSIPLLEGKLFDLKISMNKLWILKEVGSMLYEIVQYDFDSEAMHSYVLQEDSISDQLFQSSENTLDDLVWTADSIFSSKKEQTFSFISSMFLRRLLQPGVNHCSALRETLLEHKRFISDSDFQSLTTSGLRKEILSTIEQEGSSQTASSTAYHWRKFSARYLHNWCWSNRPYGLLLDTNREVFGLIRKGSFSLFRSLEDMEQLIYGSSDDLCNLDGIGVNLLDNKSQSEILIEVLRCMSHINHLLGRSAAAIYHESLISSVISSDEIISQILKILDTGFCPQSPSALITLLGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIESFMKYLNTNVTIQEYELKRVYNVNTALLVQASSQVARTMFESTFDLFLFLSYLVSIGGQVSLSQNDVARIKLKLFPVIQEILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSDFTLACLLDFPKSADGNVLSPWFPNPTELVNLVRRFSCSIMSGNSAGNVDSFLSSTINLAAVLVRHGQYEAAQSLLGILETYINYEKASQVDRDTDLACSSCLHLNGFCLLLLAHDEANIVLKESKVHEAICCFFRAASGEEAPKALQKFSVETGFQISGECRSFSSWRLHYYEWAMQIFEQHSMSEGACQFALAALEQIDSIIDDGNEAEDVPETATMIKGRLWANVFKYKLDLKNFREAYCAIVSNPDDDSKYVCLRRFIIVLCELGETKVLCNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAYMYRYFVRLSREGNAGGARQLSHVLQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPSKKPRNLLMENSAFGTDSELSRLKFCVDIGILEKEYTLTESLYMLSTINSRFNFSDNQSIEALMDILINENMYDLVFTIVLKFWKESGMKRELERVFAAIAQQCCPSRVGNSGKNLTNIQHVLLLTSSEDDARDGNGNSIARVHQSQGSCHWETLELYLEKYKDLHPRLPIIVAETLLYTDPEIELPLWLVQMFKTTKAGNRMISWGMSGTEADPAALFRLYINYGRHTEATNLLVEYLESFASSRPVDVLHRKKMSATWFPYTAIERLWCQLEEMQNAGHSMDQCDRLMKLLHGALISHLQQVVVDSDDVLSSVGGGQGIGSQSN >OB02G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1767321:1767473:1 gene:OB02G13050 transcript:OB02G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIALPTAYSGIVNALDYIDRMHSAIYLIRGYLILHIFNISYNGFNRGK >OB02G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1767598:1770409:1 gene:OB02G13060 transcript:OB02G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGGSARLMIVSDLDHTMVDHHDDENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDGGWEEYLNNKWDRSIVVEETAKFSELKLQVETEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVEFPYVKEDLKPTDAVVKFYVLYEKWRRAEVPKSDSVIQYFKNITHANGVIIHPAGLECSLHATIDALGSCYGDKQGKKYRAWVDRLVVSQPSSDSWLVKFDLWESEGDARLCCLTSLALNAKPETPEGFVITQIHKTWLKGYSSTDEQSSKL >OB02G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1773473:1780452:1 gene:OB02G13070 transcript:OB02G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGINGAVSGVVSRKVLPACGGLCYLCPGLRARSRQPVKRYKKILADIFPATQEEEPNVRRIGKLCEYVAKNPHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKDQMPLLASSVLSIISTLLDQTRRDDLRIIGCETLFDFTVSQVDGTYQFNLEELVPRICELAQIVKIEEKDNTLRSSALQALSAMIWFMGELSHISSEFDTVIQVVLESYNPQNMQNDNIDSEAPGSQWVEQVVKTEDHVSPPTFTISKIPSWRSIVTDKGEIHLPVEDAKDPNFWSRVCVHNMAKLSREATTFRRVLESLFRHFDNNNSWSSQNTLAFCVLLDMQMFVENQGQNTNLMISILVKHLEHKSVLKQPEMQLSVVEVIASLAAQSRAQASAATIGAISDLVRHMKKILHVTLGSRDLEVIKWNDKLRKTVDECIVQLSKKVGDAGPVLDMMSVMLENISRTPLVSIATTSAVYRTAQIIASIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVLVPSSVSPFSKSTSPNQLMSHDIKRTLSRAVSVFSSSAALFDKLRRDKDSFREIPQDESVKRIWHATDDDTSTLKGLPSSQSRRHSFKVPSLSMKKVASLSLRSSMSPKECQNTLAESCSETESTLLRLSSRQTTLLLSSIWSQAISPKNTPQNYEAIAHTYSLLLLFLGSKASIFEALAPSFQVAFSLMKHSLEGTDSLPPSRRRSLFTLATSMIVFSSRAFNVAPLIPICKSMLNERTVDPFLHLVHDTKLKAVENCSEETYGSPEDDDNALKSLSAVELTRSHSREFMASTVMNNITDLTDPELQSIKTQLLSDFSPDDMCPTSAPFFELPFGSPGSDEDSCNQEAVLINMANDNDSFGEVYESTTPTTASVPTGNLLGIDELLETVVIDTSAQTGRCSVSTAPDIPFKEMTNQCEVLSMEKQQKMSVLLSFKHKNQAPSLNIDQVNNMEAANISSDDQNTNPFLQQNLDGYPKYVAGGEAMAAAGDEFHQQFLKLPASTPYDTFLKAAGC >OB02G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1784605:1784778:1 gene:OB02G13080 transcript:OB02G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGYFRAPRRKAGREEGVRAALLVGGGGGGEEGAVPRGYFAVYVGAEARRFVVPTS >OB02G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1796311:1796604:-1 gene:OB02G13090 transcript:OB02G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNNLVPQGTGISRYFCWTGCQVLSYYWPVVRFSFLLFFHGSWSSKEKRRGGKSLQLMALVICTRGWSYGFTFFLEGKIKRRILLIKCFLGKLVLC >OB02G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1796728:1797114:-1 gene:OB02G13100 transcript:OB02G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQGGGSNKIRDIVRLRQLLKKWKRLALAPKNAGGAVPPRGFFAVCVGEEMRRFVIPTGCLGHWAFGQLLSRAEEEFGFQHEGALRIPCDVEVFQGILRLAGTGTGRKEVKALCHCSSEHQILLCR >OB02G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1803011:1806841:1 gene:OB02G13110 transcript:OB02G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDDSEPANPAARRLASYTGHAVAAADIPRAAEDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQRQKEQLLRDIAQKKKEEEEKAKEKKPSAEQPVAATKRRNRWDQSQDGDAAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRIGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGAGATPAGYTPGPTPFGGENLATPTPSQIARGPMTPEQYQLLRWERDIEERNRPLTDEELDTMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEDEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMFV >OB02G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1811069:1815583:1 gene:OB02G13120 transcript:OB02G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate cytidylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G45040) TAIR;Acc:AT3G45040] MKQDRPGASSGILLGATTLPSVMLSRLIQLSRVLLTDPNGRDDYAYLEMQYWAVSVSCLSVLAFLVWHMWQSPSTEISKASKYGLLLIILYPLAYLFYFLLKGDGGLLVMSNLVYLLCHGAAAVILIKHILEKFPSCSSFGEAILVSSGLVLYCGDMLAQILSKMEFSVSAEAFIHIAGSRSEIGAVIQGVLLALFLLPLLYKSSLQVLTYCRKSEKRSSQTVGECIQKRIDCVVFYVSLLVALSLLVPSWTRLVQGFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMIRVWEIYPLGHIVHQFMNAFTDHRDSETLIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWLSLLVAVTLSGLLEAYTAQLDNAFIPLMFYSLLCL >OB02G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1819364:1822860:1 gene:OB02G13130 transcript:OB02G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDNKMQELLHQGDNEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTVEELPANVADLL >OB02G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1825645:1833341:1 gene:OB02G13140 transcript:OB02G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPENPNGAGPAAPSDPAQPQPPAAKSKGKKKEDRKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYFETMATSDLRRYMADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSKYLPAPDDMLALDIAYTIYMKFEDFTSALRIALLLDNKSMQYVKQVYTATDDIQLKKQFSFIIARNGLAMEIDDEIAADDNDKECLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDSHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKTYLSTVLGDSQSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFTLMERSETELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPDYHEE >OB02G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1834755:1839828:1 gene:OB02G13150 transcript:OB02G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:double-stranded DNA binding [Source:Projected from Arabidopsis thaliana (AT5G24630) TAIR;Acc:AT5G24630] MVGEEEEDPDWLRAFQAPTTSMVMLSSGSDDSPENSPTRTAPAGEEKGGGIKASSEHAGDGDVAAQNKGKMATRTRRKTPSSQEDAFDKDEGPTIDGKQAKPAKRSTPKKNLVKLPSDSNSSPGNNPSRTGETNKEEDSLNTSNTKHGQQVKGKKTKVTGLKAGPDQIDDTLEQQEDGVAVAEEDVQDKLTEHSVSQRLPLIIPDKVQRTKALIECDGDSIDLSGDIGAVGRIVISNSPNGNQDLLLDLKGTVYKSTIVPSRTFCVVSVGQTEAKIEAIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLLESHASQNDQNNEDEDQPKAKNKRKAEKPLVKGQKKAKAKVAGKAAKKGTRKTQITKRTKKAKK >OB02G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1841114:1847019:1 gene:OB02G13160 transcript:OB02G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPENKPLCYTSRRSSQQRTGSSSELIPVSKRATRQNTSLKPDSPPKRTTRSSAKLAKCIKNKHDCSPLKHRQGSGAATGNSATGPIRRKHKQKRNNNECDEVTRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKLKPEKELQRAKKQIMKYKIAIRDVIHQLDLCTSNGTKDDSMMPPDGCHESVNAHHTICSRCNSHESFPDNNIIFCEGSCKRAYHQKCLEPPFDKILSTTRHGQLCKYCFSKIKILEAINAHLGTSFTTKCPSNDIFKEATEYLDSDNGLGEDWLSEYSGDEDYDPEENEASSSSAEENKSADSNCSGSPLYSPNDDIPDFISADFNEAEGFCHDSSNLGIDFGEDGLAEILTYQRPRRDVDYTQLNEQMFGEPIGNGEPSEDEDWGLNRRKKRRTGSTGAGTNSVVDLSDAISKEKVKPQRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKIDKWFKNTRCAALRDRKGEGRNSGPSKKSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKSIDRKPKSTSSPPRSHDDEACLSPNGNIKESVPPAIKPSMADSSQLTNNNIGTETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLEHRLQRLKENMLSSSSSTDNNVAAENGLQNQVVLVPAAELKDKS >OB02G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1859805:1863178:1 gene:OB02G13170 transcript:OB02G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAATSPGAHSSPLRPAHSSPSSTLSEASNSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTASRPPTRQPSVVRAVSAPPPPPPPPLPVLADAAFLLRDAPSPLPQPQSPSGGGKNCSSPAPVSSAFREFRDPAPSPASPDTTDEPGELDFDDDCFDADSILDVDEAAADGAAEGIDGIMGSLTVETNTTSTTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRHGSRPNLNRALKRRDDDGAWWMWPAVPVKDITATPPPAASNTAMPSAPAAPEKKKSKKKKVVKVEKVMAREKEESPNAKCKEEADGTVDAGNGGDGGDADSLPTKAPKTGLGLKLDTDDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGSGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQVLEEES >OB02G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1863414:1866452:-1 gene:OB02G13180 transcript:OB02G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L9K1] MAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >OB02G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1869798:1878750:1 gene:OB02G13190 transcript:OB02G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGGGGGGGNRNNRTDLLAAGRQKLQQFRKKKEKKGPGKKAEAKADAEAEGGSSKSGADAEEAVSEPKSPVGLKLLAGEAGGSHSTPFEEAVRSQVEECNGQGPGTAESCTVVDNTNVLPVQEGGDGCNAQDVGVSEHGGLEHESAGLGDGEGLTVRATGADGSGDLIEGAQLVEVDVDDKLADFSLKENTELCISSQGGIADDNGDNQLGEHQRVDLNPVERPTNSDSKEITETVAHSQDIGAGNTSKGEGTRETEIDVPGMPSGAVAKDEGELNVRAEHETSESTAREDTDNEADELGKEAVQEDPDTTNDTEEVVAVDDLSLQDKSLGAVTIPLHQKIDQASLVSDMSQDMVPYHFEDIQRHMYLATLSRDFLQLQLDEGADLNSDATHRSSNEVSNLQVLLEETEKSKQAICEELQQCRHELSDMNTVKEELELIVASLKDGINTGNNKCEYLELELHSSKENKQQILDELAGCRAMLEALQKENLELTANLAFEKEARKEVEEQREHLSDENKRILSNLSDLELSLASLKEEMNEGSNRCANLEHELRSSKENMEHTLVELASCRASLEELQKDHMELSANSSFEKEANKKLEEDNLCLSNEKQSLLLDLSETKEKLHLSYAKHTQLESHTRDMETYFGQLTEKLIDESLYTGTSVDIYQTVIKELSTKCEILLHKAHNAHQEKARLDSSEIIVENAERETTSPEFVGLDDNQCSLQLVTENDTCSSTALQSLKNHLKMAKGDLHDLQKVVERISSRSDGRVLVSKLIQSFESKGNQEDPGMSEGEHDNLQKITREMICRLVEKLKAMTSDVANIEEYMAELCDKLELSVKSMSQHEAEREQTAVLVAKMDGFAGKLSNYRDTIDQLLSQVASVHQDADIHAGRLIDQAELLQNDVTERISTLEKEMTSLTDVLIEVTNRLIALRDNVLPNDLGGSEGLGSLALNSVDFTAKLVQGLRENLEDAQSNNAKLNAALVELKHAHSDVQERSEHAHGIVKKMYSSLQELLFNSLGNPDESGVEYNADEPTEALFSQYGDIVEHLKSLLLERHCLLSKNTDLESRLLSKCEETEALSSSLTKSMNDFSLLNEDLKSVSISRIAAQDELHGRCLAIAEKLVHHSANHSSKVLPLTAYSEVEVSSKDHHILTTVLPCIEEGVASYIDEFENMAEEIRLSKICLQEINIFDQSSSEKWSLPLPVLIKEGFIPIFFDLQGRIDQLSMLNIQLETEVPVLRDGLVKLDGALESSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLSHELQSKDALLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPEHFHFRDIVEKIELLSKMAVGASFTVPDGNKQSSVDGNSQSGVAVDSINDEQNSNSNSGSEEIKIKYDDLHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQIDIPQQSRMLEPEDRISWLGNRLLEVEHERDALQLKIEHLEDSSEMLISDLEESHKRISELTAEIAAIRAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRNNLRKDLAELQEKLAEKTEESKHYHDMEVELDKLLDLVRNALRDDSNAEIPSGAGAGAAVLCLGVLLSKLIDEYRTHLSESTHSETKLSKDASTSEIGIEDKEMALNTLNDELEHARNSLALVEQQRDEAVEKTQSLTIELETLRVQINQLQGDNAEQVNRYQSLMLELESLTKQRDDLQEKLSQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLVGRLADNEKTLHDATQYLSRLLNSLSTVDIGKEFDTDPITKVEKISNFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESLKQNNVVESERADAVRHLEHIMDMQSHTRREQLDRLMELNSTSSQLKEIFSELLHHLDNTFSKDVDIISYMGNFVKSSDKWMDSMSMVEIPITSNHLLSNSISSKKAHSPNVPLEVTLDNADETQILHHLATSCHAVADCVKDCNDLKRRIHEHGFSVDLKAAELFGVMSNLQNNFTSQNNELEALRKNMVELQSEVKQRDEENLSIRRNMSLLYEACTSSVAEIEGMTGIESCDQSCSIVQNHLSADDHIKSVVNQLVAAIKTTRNSNDGNTKELKATVLELQQELQEKHIQISTISAELASQVREAEFAAKQLSVELENARVEAHNLEKQSEMLLSQKVDLETQVSELKDMEAVAHDQHERIKELSDELSRKDQEIEGLMQALDEEERELEILENKSNDFEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINNFIKWLETALLQFGVRPESINDYECTRVPVYMDMLDKKIGSLISESDELRVTLQSKDSLLQVERTKMEELLRKSEALEYSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNDKVSPPAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLLYWIVLHALLASFI >OB02G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1880420:1884904:1 gene:OB02G13200 transcript:OB02G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVRSSVTSPPAIAGAASPSPSRPRRRTPPDAAAFPKPRAVVPYAGIKSTCLHHLQPRAPRRQRARLRAGAGDSPTSSSDADGQAEALPSFQGDNHDMKTSKHCETGGSNSGGSRAGLFRTPISGGVHTATAVHDLPPPARAVRNLMEQARFAHLCTVMSRMHHRRAGYPFGSLVDFVPDPMGHPIFSLSPLAIHTRNLLEDPRCTIVVQVPGWSGLSNARVTIFGDVFPLPADLQEWAHEQYVTKHQQWASHQWGNFYYYKMQTISDVYFIGGFGTVAWIDVKEYEALKPDKIAADGGEQSLKELNAMYSKPLKELLSTEGEVDDAALISIDSKGIDIRVRQGAQFNIQRIAFELDHSVETLEEAKEAIRRILGKSRWHAKF >OB02G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1883100:1883484:-1 gene:OB02G13210 transcript:OB02G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYCTCAPCRTRISIPLLSIDIRAASSTSPSVDKSSFKGFEYIALSSFKLCSPPSAAILSGFRASYSFTSIQATVP >OB02G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1886578:1890284:1 gene:OB02G13220 transcript:OB02G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPSHPRRGPERPPPPEEASAPPPAAAAPEEADADADAMERYEHEQEQEYEEGEEEGEEEREYEGGEEAVPMDAAAGMDAHGEMVPVAGAEAGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSAPSSSTAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKADEATSTVTSSEGSPNWGAVEGRPPSAAECHHCGITAASTPMMRRGPDGPRTLCNACGLMWANKGTMRDVTKGPPVPLQVVPSGTYDVRQNGIMGAPGVEQRNSTAETVEEAVPAANGHESRSGAA >OB02G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1890768:1893228:1 gene:OB02G13230 transcript:OB02G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGDEELESLLRNFHRFSQGYKDALMEAQALRVNCSSESEKRQALESHIADLKSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKANIRLLSREEEYKREIEQLKLGSEMNSNDLENKLSCALVQQATNEAVIKQLNLELEAHKAHIDMLSSRLEQVTFDVHQRYKNEIQDLKDVIIVEQAEKNDMHIKLQNAENELRTTKMKQAEQQRDSISAQHVETLKQKVMKLRKENESLKRRLA >OB02G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1901852:1903715:-1 gene:OB02G13240 transcript:OB02G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLLPDDLLANVLGRLPPCSLAASRCVCKGRLALGAGRPLLLPRRLDGFFFSGLLVQSEHNFFSPPSVARRVGGGNLDFLDTSDPSHDDLRILDHCNGLLLFNERVANPATRQWMDIPAPPPSPCCRTTGLGTSDCLVYDPMVSPHHFEVFSVPLIPDSVFHESKKLDPHGDDAKKFVEESSGWPLSSSSSCTTHVFSSRKWRWEERSFVRRQQTAGQPIADEETIADLDFWPNQFQRHAIYLKGAIYVHCKNNSLMRIALSNDTYQMIRSPARSKIADDDKGAFHLGKSEKGVYFALLWDDNNLPRFRVWLLNESSSPSCGGHMEWVLKTNISLEAVIDNSKITNDDSFRTPWIVNYVTDEARRRLQEDENLDWDYENGTILGSKNKKNRIWFDNIVFFLGFHPYKQIAFFWVHCSRAVSYHLNTSKVQELGALSHVVDITQSFPYTPCWIKLFENNS >OB02G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1909805:1910543:1 gene:OB02G13250 transcript:OB02G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3L9K8] MDHRLSLVLLLVVAGFSHPQAQPAVGPDIVPFNLATETYEEFFTRLTRLLRRRSTTPPYNPPEVMGRYVLGRQRPQFYGPTSRWLMVDIVAGGGGPSPSDDDDHQVRKTTLGMLQEDLTDFDPTGLPGSYLGKRSAIEAAATLASYDPTTATEAANVQAPQAVTRFVLMISEALRFSAIRDTFSGQKWGEESRIDEIHTKYVVFLGKALKIPCAVGAKSPSFLEPKQRG >OB02G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1922549:1926960:1 gene:OB02G13260 transcript:OB02G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHRASDCLDYGYDAPYSGQGNTQRKGLMTYKQFTQELEDDVSPGEAENRYQEYKASYISTQKEVYFDQHKNEDWLKDMYHPTNLLSVIKRRNELCRTAAKKLILDLRSGTLDLGPGMTAGAASKSGNESDGISADDEDYDNKRSHHRVLIKETEPLSDAPKAHPVSSHYRRIQTDIEQTLALVQKLDEEKGIVGNILSTGDHGNSDGDKSHAGSTGPIVIVRGLTTVKGLEGLELLDTLLTYLWRVHGVDYYGMSESKNAKGLRHVRADKKSTNTSRSSSADWEKKLDSFWQERLTTGKDPLVALTAKDKIDASADKNLESYVTKVKDDKYGWKYGCGAKGCIKIFHAPEFVLKHLNLKHLDLVSKLTLKVQDDIYFQNYMNDPSAPGGIPVIQQHAPKQDRIQQRPTSTELGVSGEQNCFAVEIPTPPILIPVPGAGPLGPFVPASPEVVMQMMRGQGSQHGMNSAMLGPMMPMYPPRPPNPRPLRSYKDLDDPGEEVTAVDYRSL >OB02G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1929526:1932361:-1 gene:OB02G13270 transcript:OB02G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSMKLLKKGRRDSTKCRGATVFDAVHGLEPPLLAAGAVAGDLAGYLAVLGRLEEALRFLSDNSGLAAQWLADIVEYLGDHDLADPRFLADLAVTLEGLKKPSGDLDGGLLAAALDMLEAEFGRLLADHTDPLAVQQLNTTTAPSLIPATTVHKLSLILDRLIANGRQDHCLSVYADARGSVVSASLRALGLDYLRNPVDDAQALGPGVELWGRHLEFVVRCLLESERQLCNKVFGQHKDGASASFAEVAAHAGVLDFLRFGRAAADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKKACAEIQSQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPPDGGVPRLVSFVVEYCNRLLSEKYRPVLAQVLTIHRSWRKETFSDKMLLNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNLKATKLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPDKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVEGLEKMLSALFIPRPRRAGSFQIRHSNEKITSAMTGFYRSASTVK >OB02G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1938100:1941230:1 gene:OB02G13280 transcript:OB02G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPSRPLAPSPRSLFFLAAAAAAVFLLLPARSSCWWLESTEEEGEMGFAGDCSPVSGGGLSENGRFSYGYASAPGKRASMEDFYETRIDGVDGETVGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFITDTKSAIAETYNHTDSEFLKAESSHTRDAGSPASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNKEAVDMVRPIQDPEQAAKRLLQEAYQRGSADNITVVIVRFLEGRTTTTGDGPSKEVASDQNS >OB02G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1952492:1952826:1 gene:OB02G13290 transcript:OB02G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLRLTREQATLLEDSFRAHTILSHAQKQELAGRAGLSARQVEVWFQNRRARTKLKQTEADCDLLRR >OB02G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1964455:1964613:-1 gene:OB02G13300 transcript:OB02G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATTTMPQRKEKNLETISQALRLRHTDPSASINHTGTRAMQRTNQLYPGA >OB02G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1965747:1969214:-1 gene:OB02G13310 transcript:OB02G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAASPATANSDGEENYEEYIPVAKRRAMEAERLRRLRLSKPASAPSSSAAEVVSDLPPPPPLPPNHPTAGAGGGGSDASAKPSLLVKATQLKRAAPEVTHTEQLIMQEKEMIEHLSDRKTLMSVRELAKGITYSDPLQTGWKPPLRLRRMPRAKADELRRKWHILVDGDDVPPPARDFRDLRLPEPMLRKLREKGIIQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVAIQEEMIMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEVGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIESFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGGGGYRGEI >OB02G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1971733:1972859:-1 gene:OB02G13320 transcript:OB02G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTQPMAASSPARLPRSVSGKEPSSSPFRSSTSRHQTAPRASASTPTTPAAHHHRRSLSISCMAVRTDDDSHSPPGTPKAKVKQPSSASLSYYSSMLSPRKLMQRASRAFRRGGRSSRRRKSKDGAGGDNSATKKRDEDESTPAAATTTKTTTDELVQRFKGSRVKTAMEKRSEQEQPPRRREGARSNDVIEEARSKLLEKRQCSRVKALVGAFETVMDAGAGAGARKPQHYRPRR >OB02G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1975805:1978575:1 gene:OB02G13330 transcript:OB02G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGKARVVVVGGGIAGSLLAKTMQDHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHRDYLTNATIVTSSAVGITEHAVLTADCQSLEYDYLVIATGHALTSPGSRNERIKEFQRDHGKIESSGSVLIIGGGPTGVELAGEIAVDYPEKKVTLVHRGSRLLEFIGNKGSKKCLDWLTSKKVDVLFQQSIDLDSLSNMEKLYRTSAGETVTADCHFVCIGKPLGSSWLHDTILKESLDNKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAHKHALLVAKNLRLLIKGSPNSKLETYSTGFPLALVSLGRKEGLAQLPFLTLSGCLPGMIKSRDLFISKTRKEMGLSA >OB02G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1979730:1984185:1 gene:OB02G13340 transcript:OB02G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQLHSTPGICAAKASGLYIQMHSSSLTLSANSISLWTQQLNTTKIIPVPAREEGMSGGAEMIAGAVVERVAGMLSNIAWERIELLWNFKEDVQEMESKMTDLKVALSYADKRSRETDDDGLVLHWVNKCKSVAYDMEDVMDELLTDAIYGRIIHARKILQVKLFFSSINPLIVRITMSSKMRNIRLKLDKIAEDQKKFPLLTLPFPTGQDRKEKWTETFIGHTDEIEMVGREREKEILIKVLQKDGGQQRTIIPIVGLGGMGKTTLAKAVYTDKVTDMFDVKAWVHVSMEFQLNKIVSGIISYVEGTPPANDAVLQYLKSQLDRILCDKLYLIVLDDLWEEGLPNLEMLMSMLQSGKTGSKIVVTTRSEKVVNALSNLRSSYFHTIDPIKLLGMSTDECWFIMMPRNVENCQSPDLVDIGKEIAQRCCGVPLVAKALGYVMQKHCTTEEWLGIKNSNILDTTKDDYQGILKGLLLSYYHMPPQLKLCFMYCSMFPKSHVIDHDCLIQQWIALGFVQDTDGQPRQKVATEYVNELLGMSFLTMSTSSTVFAARMIFKPTLRLHMHDMVHELARHVAGDEFSYTNGTADRNTKRNKLDFHYHLLSTQNETSSAYKSMGTKVRALHFRRCDNMHLPKQAFSHSLCLRVLDLGGCHMSELPSSVYKLKLLRYLDASSLRISNLPKSLNRLLNLQTLILPNTSLTILPTNIGCLQKLQYFDLSGCANLNELPTSFGKLTNLLFLNLASCHELQVLPNSFGNLNSLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCHNLGKLPDCIDQLSKLEYLDMTSCSKVQALPDSLCKLTMLRHLNLSFCTRLKRLPSRIGDLQLQSLDIRCSISLEDLLDSIFNMSTLKDFEETIVFDVSESKLEELRNNLKLERFCKLDGGSADLWSRIEELKKTHCRKLEIQGLGDFNLSEGIEHAKLLNSLKLTCLILSWQQLEDTDETVHHKEVLGMLVPPRSLHEFNINGYYGIELPKWMLEIRSYLPHLTIIHLSNLMECNRLPPLGCLPNLRLLGMTNILKIKSVGPEFYGDYGSCQKLRIIGLYSMDNLEEWWTTRSSKQENEFLVPNLHVLYAEDCPKLKFLPYPPKSMTWLIENGYHVFPDHGFGNLASATSPVSLYISRVPNSSEMWRRAQHLSSIEALCIQSIAGLTTLPEAMQCFTSLLRLRIEECGELETLPEWLGDYFTCLEKIAIDACPMLSSLPESIQHLRELKKLLITGCPALSNKYQGEDRDKIAHIPEVIFQ >OB02G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1984903:1985175:-1 gene:OB02G13350 transcript:OB02G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGQKGVKLLRYQVPFKSSGLVFSCSCQAQDFSPSFFFLRKHRCVRSSSGPIRASSVMDVLATLQSTRYLYSFFIQQPLGYQIFIADIE >OB02G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:1989643:1993804:1 gene:OB02G13360 transcript:OB02G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYQSRRSKTAIGFLRRGAGITSRNRSPEERTIQNCDGPSSATRLNPMKTRLADNQERPRYLRDSFKSSTSVVMPGSSSRVPLRKFGEETQGQPLLAGVDITESSSRNAGSKHIHPGSKRIIVEDQSSDVLHTEAEGLTTDQCQLEVPETEVPDSATSSDISEHAVESLVRSSAPSSRIHRRKDKELDWGQSGSCSSSCTSMPATSKYSVTDVKRPCNHVSGVQRHGLKNLGSNSVSNILPSGCSSDSVYSRRFDAMRRRASDGGSFSRSRGLSEPASLGNSPPTYPTIAGPRIRTTATEQAVSRQTVRSSRRNFQDSALSVRTRRPPWGTRFRISEEREDGMISQHDSSIGNQRSDRVHLSLEEASLESSSRPFPAELPHSIYSSRRDGSNTFTARRRRSSSLYEEIPTHTFHDLQRERDGHRGIAIEGIAEVLLALNRIEQEAELTYEQLLVLETNLLLGAFASYDQHSDMRMDTDNMSYEELLALGERIGSVSTALSEEQLVKCVRRSIYRPVATEANARVVDDIKCSICQEEYMEGEEVGRLGCEHQYHVFCIHQWLRQKNWCPICKASVEPSTRS >OB02G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2000455:2003510:1 gene:OB02G13370 transcript:OB02G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGLLVKPLDFGSWVSRFLQCRGPFAQRRHPLVDATVVTEIRRCLDEGTVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSNVDLGPLSGSTTKEAVRSTLITPDNTVRSSPMGKGFCSEYSDLFLLNDEVLCQKILSRLSPRDIASVNSVCKRLYHLTKNDDLWRMVCQNAWGSEATRVLETVAGSRSLAWGRLARELTTLEAVTWRKLTVGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNSSKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGRQGLLNDVFMLDLDAQHPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMERPIWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTMDLSENEPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLVSSLV >OB02G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2004820:2006605:-1 gene:OB02G13380 transcript:OB02G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMVKKKVVKASSFDLDVKLDKSWMEDVTCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESRCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKAFRRSSGSRSRARARERRGSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIATSRRIASHYRDPRYGRRRSYY >OB02G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2013909:2016467:1 gene:OB02G13390 transcript:OB02G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAYCQNGMPDAARVLYDAISGGNMRTGTILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMISCYAQNGDITMARRLFDAMPSRDITSWNSMLTGYCHSLQMVDARNLFEKMPERNLVSWTVMISGYGRIENHGKAWDVFRMMHREGLVPDQSNFASVLSAVKGLGNLDVLESLRVLTLKTGFERDVVIGTAILNVYTRDVSALHTAIKFFQNMIERNEYTWSTMIAALSHGGRIDAAIAIYERDPVKSIACQTALLTGLAQCGRIDDARVLFEQIPEPIVVSWNAMITGYMQNGMVDEAKELFDKMPFRNTISWAGMIAGYAQNGRGEEALGLLQELHRSGMLPSLSSLTSIFFTCSNIGALEIGTQVHTLAVKVGCQFNNFACNALITMYGKCRNMEYARQVFSRIITKDIVSWNSFLAALVQNDLLDEAINTFDDMLNRDVVSWTTIISAYAQVEQSNEVMRIFKTMLYEHELPNSPILTILFGVCGSLGASKLGQQIHNVAIKLVMDSELIVANALISMYFKCGSADSHRIFDLMEERDIFTWNTIIAGYAQHGLGREAVKMYQHMESSGVLPNEVTFVGLLNACSHAGLVDEGWKFFKSMSQDYGLTPLAEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFTIEPSNAGNYVMLSNIYSSLGMWSEVAEVRKIMKQQGVIKEPGCSWTQIKDKVHLFVTGDKQHEQIEDIVATLKELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYCLLVTPNGMPIQILKNLRICGDCHTFIKFVSHFTKRPIDIRDGNRFHHFKNGNCSCEDFW >OB02G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2019692:2024995:-1 gene:OB02G13400 transcript:OB02G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3L9M3] MSDVSGRFVVAAAVVAVSLVMATAAAAAHDYGEALSKSLLYFEAQRSGRLPHNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVVEYGDEIAAAGELAHALHAIKWGTDYFIKAHTHPNVLWTQVGDGDSDHYCWQRPEDMTTSRHAYKVDAENPGSELFEFGDKYRGRYDASVEVVKNYYPSSSGYKDELLWAALWLHRATGRRDYLHYAVANADDFGGTGWAVSEFSWDIKYAGLQVLASQLLVEEKHLSSEQRAVVEKYRSKAEYYVCSCMGRNPGGADHNAGRTPAGLLFIRPWNNLQYVSNAAFLLTVYSDVLSALAQPLRCRGPEEDDGGEAAADAGEVLAFAKSQADYILGTNPMATSYLVGYGERYPRRVHHRAASSASYQHDKEFIGCLQGFDAAYSAGRENPHDLVGAVVGGPNAKDVFTDHRGWYMQTEACTYNTAPMVGVFSKLLELERRRREDASPSSTSPAGSKAEYYMCYCMNRNPGGADHNAGRTRRASSSSARSVGVPK >OB02G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2030049:2034451:1 gene:OB02G13410 transcript:OB02G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFPTHPPFPVSAKKKDDDGGGGKKEAAAAMRRLAEMDFRAVTDGGKVGAALGAVDGDRGSQHALKWAADHVLSRAHPFFLLHVRRKHASLHAAVGKQFSLLHVQDDVVGPFLDQMDHQTKDLMLPFQSFCSRRELQCREIILDGTDVWKSIVDFVIDHKVNKLVLGASSRNAFTRTIRKLDVPTSVTRAAPSYCSVSDESTESSLMSADHQGQANLSYQKASSCPSEFLRDIKQGNNLSQEYPGNHRDLLLLHKEDNEQEDMEAEVRQLKLEVKQKNDVMHMWNNKELSLGIEDTTENTHANVDPEDEYLQEFMTCSNNPCSERQNAELSSAVLGQKHKLLRLETLSSDQCRERTIQEFKDHSSQDAVHPILRRLPPKFYSPRNDNKRGSASEEAYNLELKCKPLPRPIETKKLLEGLPTRFQCKTYTTEEVANGTDHFSLNLKIGEGGYGPVYKATLDSTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKIAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDQDFVGKISDVGLARIIPRSIDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVKGWPVQEAQKFAELALKCCELRHRDRPDLESVVLPELIRLHALVAPSESSSSIEQGHQRSVSDKEVALDSDLADILNDGLVKGASFAA >OB02G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2034786:2034959:1 gene:OB02G13420 transcript:OB02G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWAMTPKERDHHCSGPIVHYRHTTPMLSHRFSRPMRKMIKEFFPTSKITCIFLNL >OB02G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2035240:2038788:1 gene:OB02G13430 transcript:OB02G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:J3L9M6] MFLSTASFVVAGAAAVARPEQPAVLGRDQRKLPGSSCRATRSAAASNGFRTYCMKTWNPFTNRRYEAMSYLPTLTEESIVKEVEFIMSKGWVPCLEFDKEGKIHRSNSRMPGYYDGRYWTLWKLPMFGCSDAAAVLREVDECRREYPDAFVRLIAFDSSRQCQCMSFVVHKPPSAAAGEAE >OB02G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2035580:2042181:-1 gene:OB02G13440 transcript:OB02G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITIEPAKCRLMSVDEKRELVRELSKWPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLDYLFRVVTGKSSAAVEHHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTDVPRPISNARFCHNLACRATLNPEDKFCKRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVYSCHLECALKDGRTGIMQSVQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYLGMHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIEAMESLFGGSLSNLQFHRSRMIPSNFVKFEAITQTSVTIVLDLGTLAQEVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVNQLAPATNYVFKVVAFSNSKEFGSWEAKIKTSCQKEDGLKGLMPGRSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSESMPMAVARVMEVSELEEAPGLSASALDEEPNSTVKTALLRESSNSMEQNQRSEVPRSHDASNAPAGNELVIVPPRFSGSIPPTAPRCMENGKEINGRSLKTKPGDNILQNGSSKPEREPGNSSNKRTGKCEDIGHKDGCPEASYEYCVKVIRWLECEGYIETNFRVKFLTWFSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSDAIYSKRSPSVRSGFCMELWH >OB02G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2048792:2053267:-1 gene:OB02G13450 transcript:OB02G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAWIGLGSAPEGSAKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSMLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDCSDQWEILLEKQKEMSSRKDGRACENTQFAPWNLQPSKKLDEFLERRNLSEGLDCDTISRICEAYDLDKIDVLKYRNLE >OB02G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2054534:2067862:-1 gene:OB02G13460 transcript:OB02G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3L9M9] MGVVVCLFIATCCSLVARFLVSVGLHFMLFLGGKGIGALVEMEEDQSAIPVAEGAIKSIKLSLATEDEIRIYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNLVCFKCLRVKKGKVKHTEGKDNTSALSCYYCRDLPALSLKENKTADGAVRLELKAPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPDETKRKLAARGYIAQPGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESSDLQLSIAQYIHLRGTTRGPQDNTKRFSISTDSSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDMVGLPSEVAKRITFEEQVTNININKLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDIVFLNRPPSTHKHSLQAFRAYVHEDHTVKINPLICSPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLANDSLLALKHMSFRTILSKEVANQLAMNVTYSLPKPALIKSRPHWTIAQIVQGALPKDLTSQGDKHLVRDSTIIKLDLDKESVQTSFSDLLYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVHLQEAQKNIEKQSIILEQSRCAENQFVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDPSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCFSNFVNKHPAVRKEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLKYKEEDALDFPSAIAPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTTRYKNDTKDRKIILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEYQKQISLDGTSEASPALVGHIHFDRAHLERINVSIEDIFQKCQEVSGKYGKKKGHLSHLFKNITFSICDCSFTQKLIDGKLAKVPCLQFFFPESNTMLSESVEGAVNLLADSVCGVLLNTIIKGDPRIQEAKIVWVGSDATSWVKNTKKESKGEPAVEIIVEEQEALHTGDSWRITMDACIPVLDLIDTRRSIPYGIQQLRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNTAGYKTTFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKYAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENETDVCLSPELEGTIGQPTFDDNLEEQDFQKNNSWENGTAANGSWEQNGSAGDDSDKWGGWNAAAVGADTAVTKQADQEKSCWDVPAAVEKSSSDWGGWGTEKRKVKENLPEEPAEHDAWLAKRSMDGGDINWGKQPSISDEFKKNVDQGSWGNMSLSAENRAWDKKKPDGGDATWNKQSSTQNDGGDTWEKQPSAWKENKGRGSNGGSWEKSNSQKGSWGNDEAHNNANMKQDTWGSVTAHNNDTQNKSWGTVDAKANTSTEKSWGNVIASPSDNAWNAAPVSQGNENSDAKQPGSWEGWNSARADDSSTKDKEPWGNVAASPSFNAWNAAPVSQGDESSDAKQSGSWEGWNSARADNSTTKDKEPWDNVVASSSDNAWNAAPVCHGNESSDAKQSGSWEGWNSSRAEDLSRKDNESWGNVASSPSDSAWNAAPVSQENKNSDTKQPGSWDGWNSSQADEPSNKDWKSDGWGARGGNWRGQRSNPGRPPRKSDGRGPPRRPDDRGPPRRHFDLTPEEEKILGEIEPIVLSIRKIFRESIDGIRLSLEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVMSSDGTRSDFSYLKCMENYVRKTYTEHGDSFCKKYFKRRRDQRPAVDGGTAPGTPAGDTQSTAVETQQGTSQQTQPEIATPPSATPQEILVPPSATLQETPASPTSAGLLGKRPSPSD >OB02G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2069811:2072625:-1 gene:OB02G13470 transcript:OB02G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFATMSAPGSLLLLRPAAYQRIGRNRGGESQGGPTILCSRSRRIKRSVTRAVSSGASMRITMCANQTQTARRKSFSGPTSPPSGAVKEKVKSPKLDDGGPGFPPFRFGGGGGGGGGGGSNSAGGFILFVIVLLLDYLREFERNLQNGSRRGSDYDNGLAPQ >OB02G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2070657:2071873:1 gene:OB02G13480 transcript:OB02G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENIAEVYNEVQFLEENRMNTGDPLVPGAWILYHYISVITVFALPLTAPDGGEVTMNV >OB02G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2074022:2078697:1 gene:OB02G13490 transcript:OB02G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAEDALPSAAVEMPSSSSSSSSAATAPAGCLIQKVTAGGGWRSGGSGRALQRAAHPSAEEDGHALALAASCAKVGSGLLVDSLLSPVPTQHPDIAEIHDFCSDLGQHGLGTLELLDDKINGTGKREESQRSRMRKYRSQLEQEVKKLQRQLEEEIDLHVALADAVTQNAAPVLKSSVKIPLKAQELLINIASLENAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDSKFGSESMRSTQQDLYPEFEYEQDVGEDSEERQMISLNRLFEKHQDVSLNRLLEKHRDEEMQDSCSTDKEVEVDEKIDTISFEQPILKITSMKAGNLWNNSNQLSEEMVRCMRNIFLRLSESSKMSPKESSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNHNDEMTTEVKNFDPYKVNGKECRRDIGNYRSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNSMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKTKTPVHRPQLSLMLALNKFKITEEHKKYAIDGTEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASIGISDRGKLLIPKLLQSYAKGNVEDSMLVDWICHHLAPDQVAIIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSSSQKPEPKRTS >OB02G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2082310:2085615:-1 gene:OB02G13500 transcript:OB02G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVANKLVNSSSIQTHKFGDCDLKRSNKQEIAAACSLLSRSFFSRLLALCWVVKKIMQPLHFSNKNYSNRFPITSLGHALVLLLFLASPTSSCTEQESNSLVQFLAGLSKDGGLGMSWKNGVDCCAWEGITCNPNRMVTDVLLASRGLEGIISPSLGNLTGLVRLNLSYNSLSGGIPLELVSSSSIMVLDVSFNYLTGGLSELLPSSTPDRPLQVLNISSNLLTGKFPSRTWEVMKSLVAINASNNSFTGQIPTSFCVGAPFFALLDLSYNQFSGGIPAGLGNCSKLAVLRTGKNNLNGTLPYELFNVTSLKHLSFPNNRLEESINGITKLINLVTLDLGGNKLIGSIPHSIGQLKRLEELHLNSNNMSGELPSTLSDCTNLVTIDLKSNSFSGDLAKVNFSTLPNLNVLDVSWNNFSGTVPESIYSCSNLIALRLSYNGFHGQLSERISNLHNLSFLSLVNISLTNITRTFEILQSCRNLTALLIGRNFKHEAMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKFTNLAVLFLFNNQLTGQIPDWISSLNFLFYLDVSNNSLSGELPKALMEIPMFKTDYVAPKVFELPVFIAPLLQYRITSAFPKMLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGEIPESICNITNLQVLDISSNNLTGTIPEALNKLHFLSAFNVSSNDLEGSIPTVGQLSTFPSSSFDGNPKLCGPMLVHRCDSPKTSYISKRRHKKAAILALAFGVFFGGITIMFLLVRLLVLLRGKNFMTRNDAAEEPLSNMNSERTLVVMPHSKEGQSKLTFTDLLKATKNFDRENIIGCGGYGLVYKAELSDGSMVAIKKLNSDMCLMDREFSAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRNDDDDDASSFLDWPMRLKIAQGASRGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHVADFGLSRLILPNRTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVQVLSRSKELVEWVQEMISEGKQIEVLDPTLRGTGHDGQMLKVLEVACQCVNHIPGIRPTIQEVVSCLDSIGTDLHTT >OB02G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2088934:2097872:-1 gene:OB02G13510 transcript:OB02G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFHSSYKKLSIPVLGLALVLLINFASLTSSCTEQDRSSLLKFLRELSQDGGLAASWQEGTDCCKWDGIICSQDRMVTDVSVASRSLQGNISPSLGNLTGLLRLNLSHNLLSGDLPQELMSSSSIIVIDISFNRLNVDLDELPPSTPDRPLQVLNISSNLIAGKFPSSTWVVMKNLVALNASNNSFSGHIPADFCTNSPSLVVLELSYNHFSGSIPPGLGSCSRLRVLKAGHNNLSGTIPDELFNATSLEILSFSSNDLQGTLEGANVAKLGKLATLDLGENNFNGAIPESIGQLKRLEELHLNNNKMFGSIPSTLSNCTNLKTIDLKNNNFSGEIINVNFSNLPNLKTLDLLWNNFSGKIPETIYSCSNLTALRLSLNKFHGQLSKGLGNLKSLSFLSLGYNNLTNITNALQILRMSSNLTTLLIGNNFMNERIPDDDSIDGFKNLQILDLSGCSFSGKIPRWLSKLTRLEMLDLDSNRLTGSIPDWISSLNFLFYLELSNNSLTGEIPLALLEMPMLRSNRAAAQLEHGAFQLPIYIAESLLQYRKASAFPKVLNLGNNNFTGLIPPAIGQLKALLSLNLSFNKLYGDIPQSICNLTDLLLLDLSSNNLTGTIPVALNNLNFLTKFNISYNELEGPIPTGGQFSTFTNSSYYGNPKLSPSFAVLALCYNRFSGSIPPGFGNCSKLRVLKAGNNNISGTLPDDLFNATSLEYLSFPNNGLYGVIDGTLLVNLRNLVTLDLEGNSINGTIPDSIGQLNRLQDLHLGNNNMSGELPSTLSNCTHLITINLKRNNFSGHLSNVDFSKLPNLKTLDLLFNEFEGTVPESIYSCRNLAALRLSGNNLQGQLSPKISNLKSLAFLSLGCNNLTNIKNMLWILKDSRNLTTLLIGTNFQGEAMPEDDTIYGFQKLKVLSISNCSLTGNIPFWLSKLENLEMLFLRDNRLSGTIPPWIKSLESLFHLDVSNNSLIGGIPISIMKMPMLVTKKNATHLDPRVFELPLYKTPSLQYRIASAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNSLSGEIPQQLGNLTNLQVLDLSKNHLTGAIPSALNDLHFLSVFIVSYNDLEGPIPNGVQFSTFTNYSFVPGNPKLCGCILHRCCGSAEASPISTKSHSKKAILATAFGVFFGGIFVLLFLVGLLATVRGTYCITKNSSSENADVEATSRESDSEQSLVIVSQNKGDINKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMYLMEREFTAEVEALSMAQHDNLVPLWGYCIQGSSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLSRLVLANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVPILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLRVLETACKCVNYNPCMRPTIKEVVSCLDSIDTKWHVQNSVR >OB02G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2093805:2094416:1 gene:OB02G13520 transcript:OB02G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPCWAGMRARVAVCGHLGPTRTTSRRPVREETAGGGGVSVSFPGSRHPPRRCAVAPPGPLPRARPRCRWDVRRKCHGWPGGTKGNCFPVTVGRARSGCSAKCSSALVAGSRGGRRSHDGRMTGQIDQASEGHAARVRDAMPRSLHASGAASFEMVSCSSCSFHYMLLLPSIALVLVFYDYPRILHPTVLVSTQLKIPGIFT >OB02G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2101755:2104892:-1 gene:OB02G13530 transcript:OB02G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPHSTKLPIPVLGLALALVLLINFASLTSSCTEQERSSLLNFLRELSQDVGLAASWLRGTDCCKWDGVTCNPERRVTDVLLASRSLQGHISASLGDLPGLLRLNLSHNLLSGALPQELVSSSSIIIIDISFNRLDGSLSELPSSAPAIPLEVLNISSNLFTGQFPSSIWEVMRNLVALNVSSNKFTGHIPTHFCDSTPNLTVLELSYNGFSGSIPSGLGNCSMLKALKAGHNNLSGTLPDQLFNAVTLEYLSIPNNNLQGGIDSTRLTKLRNLVTLDLGGNHLSGKIPDSISQLRRLEELHLDNNMMFGELPRTIGGCTNLTIIDLKNNKLDGDLSEVNFSALHNLKTLDLYLNNFTGTIPESIYSCSNLTALRLSDNHLHGELSSGIINLKYLSFLSLAKNNFTNITKALQILKSCRSITTLLIGENFRGEVMPQDESIDGFENIQFLDINSCPLSGKIPVWLSRLTNLEMLILYGNQFTGPIPSWINSLTHLFHIDVSDNRLTEEIPITLMDMPMLRSRTDATHLDPRAFEIPVYNGPSLQYRVLTGFPKVLNLSHNSFTGVISPRIGQLETLVVLDFSFNNLTGQIPQSICNLTNLQVLHLSNNHLTGEIPPGLRDLHFLSAFNISNNDLEGPIPTGSQFDTFPNSSFIGNPRLCDSRFTHHCSSAEATSLPRKQHNKNTIFAISFGVFFGSVGILLLVGCFFVSQRSTRFITKNRSEDNGDVETSSFNSDSEHSLIMITRGKGEEINLTFTDIVKATNNFDKEHIIGCGGYGLVYKAELTDGSKIAIKKLNSEMCLTEREFNAEVDALSMAQHANLVPFWGYCIKGNLRLLIYSLMENGSLDDWLHNRDEDGSSFLNWPTRLKIAQGASQGLHYIHDVCKPHIIHRDIKSSNILLDKEFKSYVADFGLSRLVLPNKTHVTTELVGTLGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGRRPVPIFSTTEELVPWVHKMTSEGKHIEVLDPTLRGTGCENQMLQVLEIACKCIDHNPLRRPTIMEVVTCLDSIDTDLKT >OB02G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2102578:2102898:1 gene:OB02G13540 transcript:OB02G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSLSKLFVAFTMSVNVRLISSPFPLVIIISECSESELNEDVSTSPLSSLLFLVMKRVLLCETKKHPTNKRMPTLPKKTPKDIAKMVFLLCCFLGSEVASAELQW >OB02G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2107047:2107403:1 gene:OB02G13550 transcript:OB02G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKGSSRAFLLPCEAVCSGCSAKWSSALNWSTAAVAVEELVGTGALGRRRRAMPHQRVAMMNTFIENKDLTASKYRGIFT >OB02G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2107753:2110914:-1 gene:OB02G13560 transcript:OB02G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHSSYKTHRSKLPIPASVPGIALVLFISFTPLTSSCTEQDRSSLLKFLRELSQDGGLAASWQDGTDCCNWDGISCSQDRTVTDVSLASRSLQGHISPSLGNLTGLLRLNLSHNLLSGALPQELVSSSSITVIDISFNILDGGLNELPSSTPTSTRPLQVLNISSNLFTGRFPSSVWEVMKNLVALNISSNKFTGEIPTHFCDSSPNLTVLDLCYNQFSGGIPSGLGNCSMLKVLKAGQNNLSGALPDEFFNAISLEYLSLPNNNLHGVFDNTSITKLTNLVTLDLGGNLFIGKIPDSISQLKRLEELRLDSNKMSGRLPGTLVSCTNLTIIDLKHNNFSGDLSKVNFSTLHNLKTLDLYYNNFTGTIPESIYSCSNLTALRLSANRLHGELSSGIINLKYLSFLSLAKNNFTNITKALQILKSCRSITTLLIGENFRGEVMPQDESIDGFENLKVLDINSCLLSGEIPQWLSKLTNLEMLLLYGNQLTGPIPGWIDTLNNLFYIDVSDNRLTEDIPIALMSMPMLRSTTDITRLDPRAFELPVYNGPSLQYRMLTGFPTLLNLSHNNFTGVISPIIGQLKTLVVLDFSFNNLSGQIPQSICNLTGLQVLHLSNNHLTGEIPPGLSNLHFLSAFNISNNDLEGPIPTGGQFDTFPKSSFTGNPKLCGSTYIHHCNSVEATSMSRKQCNKKIILAISFSVFFGGISIVLLVGCFFFSLRSTRFITKNRSDNNGDVEEASFSPDSKQSLIMMTRGKGEEMNITFTDIVKATNNFHKEHIIGCGGYGLVYKAELTDGSKIAIKKLNSEMCLTEREFSAEVDALSMAQHANLVPFWGYCIQGNLRLLIYSFMENGSLDDWLHNRDDDASSFLSWPTRLKIAQGASQGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYVADFGLSRLVLPNKTHVTTELVGTLGYIPPEYAQTCVATLRGDMYSFGVVLLELLTGRRPVPILSSSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLESACKCVDHNPLKRPTIMEVVTCLDSIGTDLKA >OB02G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2114270:2117428:-1 gene:OB02G13570 transcript:OB02G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLHFWPYKCSSKLPIPSLGLLPLLLLISLASLSSSCTEQEKGSLHQFLAELSQDGDLSMSWRNDRSCCAWEGITCNGAGVVTEVSLGSRGLEGNISASLGNLTGLLHLNLSHNSLSGYLPWELVSSSSINVLDVSFNRLTGELKDLPSPADVQPLKVLNISSNAFTGQFPSTTWKAMKNLAALNASNNSFTGEIPAHFCSSSRSLTVLDLCYNLFSGGIPPGLGACSMLKVLKVGQNNLTGTLPDELFNATSLEYLSFPNNCLDRTLDSAHIVKLSNLVTLDLGGNKFNGRIPESIGELKKLEELYLGYNNMYGEVPLTLTNCTNLKHIDIKNNNFSGELSKVNFSTLHNLQTLDLTFNNFNGTIPESIYSCSNLIALRMSNNKFYGQLSKGIGNLKYLSFLSISNNSFTNITDALQLLKNSRNLTALLMGVNFMGEVMPGDETIDGFENLQFLTIDDCSLLGKIPFWFSNLANLQILDLSNNQLTGQIPVWINRLNFLFYLDVSNNSLTGGIPTALMERPRLISTNSTPYFDPGILELPVYLAPSLQYRGFRAFPASLNLARNHLIGAIPEEIGQLKMLRSFNISFNSISGEIPQLLCNLTDLQVLDLSNNHLIGTIPAALNNMHFLSKFNVSNNDLEGPIPTGGQFTTFQNCFEGNPKLCGSIIFRSCDSSKAPSVSRKHHNKKAILAITLSVFVGGIVILLLLSGLFVSLGATKFIKTRGLANNRSDETASFNHNSDHTLVVMPQGKGEKNKLTFADIMKTTNNFDKENIIGCGGYGLVYKADLPDGSKLAIKKLNTEMCLMEREFTAEIEALTMAQHDNLVPLWGYCIHRNSRLLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGISYIHVVCKPHIVHRDIKSSNILLDKEFKAYIADFGLSRLILPSKTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVPFLSTSKELVPWVQEMTSEGKQIEVLDPAVRGMGYDEQMLKVLEIASKCVNYNPLMRPTIMEVVASLDSIDPDQNTLKR >OB02G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2119536:2119886:1 gene:OB02G13580 transcript:OB02G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTDQTCGNKISSLDQTPSGPPSCNCVVAIACDIPPVCLFPLLSFSLVLAHVLYAVGYMFFSHHFRCSKPATTGEILLVRPEQKQHLRTQDTRSKRMKRWSGTKQTVFQFGRLC >OB02G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2123248:2125395:-1 gene:OB02G13590 transcript:OB02G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPHSWRSSICINFFGPAIMLLLIFISPVSSCAEQESHSLLQFLAGLSQDGGLAASWQNSTGCCTWEGIICSAEGAVSEILLASRGLEGKISPSLGELTGLSRLNLSHNSLSGVLPAQLMSSGSITVLDVSFNRLNGHLPELNSSVSDQPLQVLNISSNRLTGEFSSTIWEKMRSLVAINASNNSFTGYIPFSFCISSPSLAMLDVSYNQFSGNIPPGIGKCTGLRMLKAGHNNISGTLPDDLFQVKSLEYLSFPKNGLQGTINGALMIKLSNLVFLDLGGNRFSGKIPDSIGQLKKLKELHMDHNNIDGELPSSLGNCTNLEIIILTNNRLMGELAKVNFSNLPNLKNLDICMNYFTGKIPASIYSCSNLTWLRLSFNKLHGQLTEKIGNLKSLTYISISYNNFTNITGALHILKSLSNLTTLLIGGNFMHEAMPEDETIDGFENLQGLGMNDCALTGKVPSWLSKLKKLKLVLLYNNQLSGPIPTWINNLKSLMYIDISNNSLTGEIPLALMEMPMLKSDKIGDDSDLRAFLMPVYVDPSLQYRTAIAFPRMLNLGNNKLSGAIPMEIGQLKALLSLNLSFNNLNGEIPQSISNLRNLMRLDLSSNHLTGEIPSALANLHFLSKFNISYNDLEGIVPIGGQFSTFPSSSFAGNPKLCNPMLLHHCNSAEQAPASPISTKQYIDKVVFAIAFGVFFGIGVLYDQIIIFRYFG >OB02G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2129182:2131362:-1 gene:OB02G13600 transcript:OB02G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHSSCSNKASRFHMDLFGRALVLLLLIFISPVDSCTKQERHYLLRFLTGLSQDGGLAASWRNNTDCCAWEGIICGEDGAVTELSLASRGLQGGISSSSLSKLTRLSRLNLSFNLLSGLLPPELISTSSIVILDVSFNRLDGELQELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGHIPSTFCISSSSFSVLDLSYNQFSGNIPPGIGKCSSLRMLRAGQNNIVGTLPNDLFNATSLEYLSFANNGLQGTINAALIIKLSNLVFLDLGWNRLSGKIPNFIGQLKRLEELHMCSNNLSGELPPSLGKCTNLVTINLRNNKLTGELAKVNFSNLPNLKKIDFGLNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIENLKSITTLSLSYNNFTNITNTVHILKSLRNLTVLLIGGNFKNEAMPQDEAIDGFENILALAIEQCALSGRIPNWFSKLRNLQVLVLRNNLLNGPIPTWINSLKLLSYVDISNNRLIGEIPVALMEMPMLKSDKVADNSDPRTFPLPVYAGACLCFQYHTATSLPKMLNLGNNKFTGGIPKEIGELKALLSLNLSFNNLNREIPQSINKLKNLMVLDLSHNHLTGTIPPALDNLHFLSKFNVSYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLLHRCYSAEAALSSPNSTKEYINKVVFVIAFCVFFVVGVLYDQMVLPRCFLLNQLR >OB02G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2134449:2141168:-1 gene:OB02G13610 transcript:OB02G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNETMQKAHHSPRESSLVIPSSDLAAAALLLLLLQLLSMATSTIACLEQEKRSLLQFLAGLSQDDGISMSWRNGTDCCAWEGVTCSRDGVVTEVSLASKGLEGQISPSLGELTSLSRLNLSYNSLSGALPEELMSSGSIVVLDVSFNRLNGHLQELNSSVSERPLKVLNISSNRFTGKFPSTWEKMRNLVAINASNNSFTGHITYSFCISSPSFAVLDVSYNQFSGNIPRRIGNCTALRMLKAGHNNISGDLPDDLFRAISLEYFSFPNNGLQGTIHGALKLRNLVFLDLGGNKFSGTIPDSIGQLKRLEELHMDQNNISGELPSSLSNCTNVVTINLRSNKLTGELAKVNFSNLTNLQALSLSSNHFTGTIPESIYSCSDLTWLGLSFNKLHGQLSEKIQNLKFLTFVSLSYNNFTNITGSLYILKNLRNVTNLLIGRNFMHEAMPEEETIDGFENLQGLGINDCALTGKIPSWLSKLKNLEILFLNNNQLSGPIPTWISSLNFLKSLDISNNNLTGEIPKALIEMPMLKSDKIADHSDPIFFRIPIYVNPSLQYRTATAFPKALNLGDNKLSGVIPMEIGQLKALSSLNLSFNNLNGEIPESVSNLKNLMVLDLSYNHLTGEIPSALVNLHFLSEFIISYNDLEGPVPIGGQFSNCSALRMLKADHNNITGALPDDLFDATPLEYLFFPNNYLQGTIEGALMIKLRNLEFLDLGGNRFSGTIPDSIGQLKRLQGLHMNNNNLSGELPSSLGECTNLVTVNLSSNSSNKFTGELANPALKSGGG >OB02G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2135770:2135970:1 gene:OB02G13620 transcript:OB02G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIVPVKLFQEKSNVSRLGRLEKLTLASSPVNLLLLLLLRLTVTRFVHSPKDDGSSPDKLLLFM >OB02G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2136799:2137074:1 gene:OB02G13630 transcript:OB02G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSNNAAAVRPEKGIVSQLLHAGWGSICMISLNLSSEQCMELNLATNVMKMALFVEHICAQLHIYIYLTELLYVWFFKKKLCVF >OB02G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2140558:2141064:1 gene:OB02G13640 transcript:OB02G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVKLLLLALIATRFLIFSHVDGNFPVNLLLEIFSTFNGLSDTDEFSSCRCPLRRLKLTSRTTILPEDISSSGKAPESELYDRLRRDKLVTPSHAQQSVPFRQDMEMPSSCDSPARNWRRERFSCSRHAMVEVAMESS >OB02G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2145213:2154204:1 gene:OB02G13650 transcript:OB02G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAATGVLGPLIGKLSALLEKEYAGLKGVRKEIVSLREELSSMNTTLQKLAVDDDPDAQAVEWGNQIRDLSYDIEDCIDDFGIRVASPAAGAGKGSSLGFLQASMGKLKALGARHGIAGKIRELKARVDDVSKRRDRINLNFINALIVGQRYLVIIDDLWETSVWDVLKCAFPDNNCGSRVITTTRVESVAKTCCNYQVECIYKMNPLNDEDSRILFFNRIFGPGVACPSELKDVSSKILEKCGGLPLAIITIASLLASQAGKVKEEWEHVQNSLGSKLGIDPTVEGMRQILNLSYRNLPPHLKTCFLYLGAYPEDCIIWKDDLVRQWIAEGFVSRTLQPVMDVAGYYFNELINRSLIQPVEIGYNDEVLCCRVHDMMLDLIIRKYCAEEKFMTMLRASQGIRGYTHNVRRLVNHLDSESQLANFAIPATIDLSKVRSLATIVSPQQTLCLQKFKFIRVLVLQIAYVSEETREADMSEISKLCLLRYLKIHSEVGLKLPTQIRALQHLETLEIVTEVNSGVCMPSDMSQLPSLSYLNVLPHMARLPDGVATMRSLHSLAFFILEESSLDNVRGLQCLTNLKELYLRCSGDCSDDTARSYMDALQSSVSGLGCKLYLTAWFPSAWYPDVPQWVSQLKNLHSLELGIGQMSKRGTSILGGLPALVRLDLCIRGPSLDQERAVFSGEGFPVLKHLIFTCKALCLTFQPGALPMLKNLRLEFNMDGIGLAADALVGVEHLRNLKKLSASIGGFKADASIPAAEREGVVSAVRNAIQLHPRCPPIEVTCRQGRFGY >OB02G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2158928:2161171:-1 gene:OB02G13660 transcript:OB02G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLDDCRRQPSAATPSILHPTAAASNNQKEKKKKKSSMPILCVILVLMLSSASSISCCTEHERNCLLQLLAGLSQDGGLAASWRHGTGCCSWEGITCGSMKNDGEVAAVTDVLLGDKKLQGSISPALGSLPGLLRLNLSHNSLSGSLPSEIMSSGSIVILDVSFNLLNGALKDLPPSSSASSRRIQVINISSNSFSGQFPSGSWEKMESLVVLNVSNNSFTGPMPSFFCISSPSLAMLDLSYNHFSGSVAPELGNCSSLRMLKAGHNSLSGALPEEIFNATSLDHLSFADNDLQGVLEGAGIIKLRNLVVLDLGFNMFSGNIPDSIGELERLEEIHLSHNSMTGELPPALGSCTNLKALNLDSNYFSGALGRVNFSKLSSLKALHVSNNSFTGTIPESIYTCSNLNALQLSFNKFNGQLSLRIANLMSLTHLSLAENSFTNISSALQILQSSRNLTTLLIGGNFRDEEISDDETIIGFENLKILSMENCPLFGNIPLWISKLRNLEMLFLFNNHLSGSIPVWICTLNSLFYLDLSNNSLSGEIPAEITEISMLRSEKVTSHLDMKNFEVPIYSGPSPKYGTISGFPAVMILKNNLTGVIPTEIGQLKALLSLILGCNNLSGEIPQTILDLTNLEVLDLSNNHLTGTIPADLNNLNFLCELNISNNELEGPVPTGGHLDTFPRSSFDGNPKLCGHMLTQNCNVVIIVEAPQDPSRQGYKVTFAIAFGVFFGVGVLLDQLRLWSLLS >OB02G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2170048:2172195:-1 gene:OB02G13670 transcript:OB02G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILCIILVLMLSSASSISCCTEHERNCLLQFLAGLSQDGGLAASWRHGTGCCSWEGITCGSMKNEGEVAAVTDVLLGAKKLQGSISPALGSLPGLLRLNLSHNSLSGSLPSEIMSSGSIVILDVSFNGLAGVPTTTGSRLKRRPLQVLNISSNQFAGEFPWWDDGDTTMANLIALNASNNSFTAQMPVAPLCGGASPSLALLDLSHNQFTGEVSPALAGCSMLKVLRVGMNNLSGTLPAELFEATSLEHLSLANNGLQGELGGAHMAKLINLVTLDLGGNSFHGEIPESIGQLKNLEMLSLGNNNMSGNLPPSLGNCTSLITIDLKFNNFSGDLGKVDFSTLRNLKTLDLLQNSFSGVLPESIYSCSNLTALRLSVNPIHGEISSRIGNLRHLSFLSLTENSLRDIAKAFRALKSSRNLTTLLIGRNFWGEPMPQDEAIESFESIRYLSIYHCSLIGNIPLWLSKLKNLESLDLSNNQLTGAMPSWINSLSNLFYLDLSNNSLTGQIPATLTEMPMLKLDDYEAHLTRQFDLPVYFVGIARQYRTVTSLPALLNFRANIFTGVIPPKIGELKALTRLDFSSNQLQGEIPPSICNLTNLQMLDLSINHLIGPIPEGLNKLNFLSELNISNNDLEGPIPTGGQMSTFSSSSFGGNPKLCGSILAKYCDPVEAVPTVPDISENEYGGKVISAVAFGVFFGIGVLYDQLVLSSYFCPK >OB02G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2180098:2182245:-1 gene:OB02G13680 transcript:OB02G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILCIILVLMLSSASSISCCTEHERNCLLQFLAGLSQDGGLAASWRHGTGCCSWEGITCGSMKNEGEVAAVTDVLLGAKKLQGSISPALGSLPGLLRLNLSHNSLSGSLPSEIMSSGSIVILDVSFNGLAGVPTTTGSRLKRRPLQVLNISRNSFHGEIPESIGQLKNLEMLSLGNNNMSGNLPPSLGNCTSLITIDLKFNNFSGDLGKVDFSTLRNLKTLDLLQNSFSGVLPESIYSCSNLTALRLSVNPIHGEISSRIGNLRHLSFLSLTENSLRDIAKAFRALKSSRNLTTLLIGRNFWGEPMPQDEAIESFESIRYLSIYHCSLIGNIPLWLSKLKNLESLDLSNNQLTGAMPSWINSLSNLFYLDLSNNSLTGQIPATLTEMPMLKLDDYEAHLTRQFDLPVYFVGIARQYRTVTSLPALLNFRANIFTGVIPPKIGELKALTRLDFSSNQLQGEIPPSICNLTNLQMLDLSINHLIGPIPEGLNKLNFLSELNISNNDLEGPIPTGGQMSTFSSSSFGGNPKLCGSILAKYCDPVEAVPTVPDISENEYGGKVISAVAFGVFFGIGVLYDQLVLSSYFCPK >OB02G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2186304:2195317:-1 gene:OB02G13690 transcript:OB02G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYQLAPNMKIESTMRPFHFPCSRSSSKSPTDILGFALVIVLCLASSTSSCTDQDKGFLLQFLAGLSEDGGLTLSWQNDTNCCTWEGITCSTDEMIKEILLASKGLEGHISQSLGNLTGLLHLNLSHNSLSGELPLEELVSSSSITILDVSFNRLSGALQELSVQTTVQHLQVLNISSNLFTGKFPVTTWKVMKNLVALNASNNSFIGPIPNSLCINAPSFAVLDLSFNQFVGSIPLDIGNCSMLRVLKGGHNNFSGAIPDELFNCSSLEYLSFPNNGLNGVLHDANIIKLSKLSILDLEQNMFSGEIPKSIGQLKRLEELHLGHNEMYGELPSSLGNCTNLKILDLKINHLSGDLGKINFSSLSNLTTVDLLWNNFSGTIPESIYECTNLYALRLSGNDFHGEFSPSMHRLKSLSFLSAGLCAFSNIRNALHIFKSLRNLTVLLIGGSFMHEVLHEDEAIDGFENLQHLDIGNSMLFGKFPMWLSKVTNLEVLGLCNNQLTGPVPVWIKNLNFLFSLDISNNSFTGEILTTLIQLPALNSGSKVSPLDTKPPELTIYGDSRRQYLTQRALLNISGNGFTGVIPPEIGQLKSLDIALDLSFNSISGEIPQAICNLTNLDMLDLSNNNLTGTIPLQLNKLHFLSAFNVSNNDLEGPIPTGGQFDTFDSSRPIPTGGQFDTFDNLSFIGNAKLCGGMLSHHCNSAKAVPAPASTLSTDQFSDKIIFGIGFGLFFAIGVLLDHMLTSKIRFLQF >OB02G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2188976:2190540:1 gene:OB02G13700 transcript:OB02G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGDRIHVIFRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVAEIFGKDEHLIVGCNTGFRSRLATKDLLDAGFKNVRNLKGGYQSFLQSENQQPAAHQQ >OB02G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2196911:2197147:1 gene:OB02G13710 transcript:OB02G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLVPDCDRREWIHGIFRLRKDFDKAHADGARSISYYLSVTPSGRFSTSVHPSLPCSAEEFCEFHFSYKVSPLLNF >OB02G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2197676:2205664:-1 gene:OB02G13720 transcript:OB02G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3L9Q5] MKEQFLDNMDLERERGITIKLQAARMRYIMNNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKVPPPQDTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGEKICFMASGKEYVADEIGVLSPNQMQVNELYAGEVGYLSASIRSVADARVGDTITHSSKRAERALPGYSEATPMVFCGLFPIDADQFEELRDALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIEMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >OB02G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2209040:2211051:1 gene:OB02G13730 transcript:OB02G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPGARAGAASSPSVVPRRRWRGHGLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIATGAPPRMLVAAAPCGMLHPAVGKEHRPRKQRSGRERSPSPAFTTGPVSPLPPMQELKRSQTTVMVRNIPNKLTRTDMVRLLDDHCARANRRRGSGEPRAEYDLVYVRMDFGMCSEQRSSNMGYAFVNFTTAEAARGLQRALHGCRWKRPAFDSGKVIDIRAARIQGKVALVRHFGRTPSSECGPDESLPAVFSPPRDGSAAAGEAQTVGIRVPPATLPRHGN >OB02G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2217174:2217338:-1 gene:OB02G13740 transcript:OB02G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRFTCHHSQPYATGNGRAFLSSDDRLGICDWAAWSCKMMISIWYYGFESHLL >OB02G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2220810:2225633:-1 gene:OB02G13750 transcript:OB02G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARSCCSKEHQRIYAGWFAVADPDGDGRVTGADATRFFAMSGLSRADLKQVWAIADTRRQGFLGFGEFVAAMQLVSLAQAGNEITQDSLKREDLSSLDPPVMAGLDELLARSKAVKRVHPEENGTPQVQVPSANSWFSSKSAKKMQDPLTAVTSVIDGLKRLYIEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQEDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPEVEHFKEVLGGYSIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >OB02G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2231120:2234473:1 gene:OB02G13760 transcript:OB02G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein [Source:Projected from Arabidopsis thaliana (AT3G54690) TAIR;Acc:AT3G54690] MGSLPVSSPSSSPELAAPQRRSGASDELLALAPCARAKGAYLISLTSAASGADCPLAAVCDLNVHLPLQAEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYAANHPAGKIGKSLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRHPRTITADAMAVEAMEKMESPPSPVQFLPVVDKNNVVCGIITLHGLVSAGL >OB02G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2233481:2238805:-1 gene:OB02G13770 transcript:OB02G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WHIRLY 2 [Source:Projected from Arabidopsis thaliana (AT1G71260) TAIR;Acc:AT1G71260] MQCPHSTTAFGPKRLGWTVGWWPIYQARGRRHRCRRPPASRHKRRVVRSLLPIPFGRRANATATMQRLSRFGFAPSSSRRVTDLKDALWSGSLTFQHALSTLSADENTSGRKFASYTVFKGKAALSMHPVLPSFSKLESGGSRVSRNGSVMLTFFPAVGQRKYDYSKKQIFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPITKAEFAVMRTTLSFALPHILGWDQVLTNHHPSPPAASKPRAERPHPDSEWER >OB02G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2239668:2242796:-1 gene:OB02G13780 transcript:OB02G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLAIRSQGGEQALMQTLNRARELYRQRSQPSPSVDDLASLLAQCAIAEAQSSGNNPQQVPGSDPVMMLDSDEVCILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHLEGAHPGLEAYDVSYATAVREFPDLLDAARASRSADCRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPSGFTEGSPGRMAAIFSAAVMARTKGAETEVLVHDYQREVESASAREFLCPENRVETTTTPSLGHYVVRGAAAASQNTFCGGDSSPTTKKAN >OB02G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2245592:2253242:1 gene:OB02G13790 transcript:OB02G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSVSSSSYRISLAILAFLLLAAIFSATSVWSRLNAPYLCQKDGITLHCPETKEPPSLWENPRAATTSWKPCAERRNVPSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSINPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIMGARRYSGRHRLKSIKPDKGLMSKSLGDPSMGWASFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCSATA >OB02G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2254817:2257230:1 gene:OB02G13800 transcript:OB02G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMILESDPATGAVRKHYIGMVNMLDILAHIAEAGDDGAADADAAPGEAVDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESAAENVVAVELVEAAPAYRMLTQMDVVRFLRAHGAELRGILSRPVRDAGAVSEAVFAVASSTKVIEAVRTMRATSLAAVPVVDAAVDAQILQDGRGKRVVETFSATDLRDCPVAQLRSWLGIGVTEFKKKVSVYRASGNDARAGDEEEERRQRKMVTCSPESTLGEAIEKAAAHHVHRLWVVDEEGLLAGVVSLTDVLRVVREAALGEDQELHDIVS >OB02G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2261410:2266553:1 gene:OB02G13810 transcript:OB02G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVRFMKKDVADLLGNGLDSHAFGRMEALIVEMNQASCYDMIEQYCEYLVKQLNNLQKQSECPQEALEAVSTLIFATARFPDLPELCDLRHVFTERYGNSIEPFVSSEFVQKLQNKSFTNEEKLQVMQSIAEEFSVPFNAKALERKISGVPQNRHDYQNKSYFKRVEVEASARDELKVDRHHERKSRVTPEVYEKKQEMIKPKDIHVIPDAVGQLGEKSRKNRSDIPYDVPPSDLKLINDQKELKKENKKHNHHLRELMDPDKLVPPYREPKEAEKKDAAEKSDGKGYHVHRSRMAGGVDHNWGHADLGLKTLGLEKQGTEPASSLNGKTLNKAPPYSKPYKTIDKKSAEENNDNLYNGRQHISEYGQSVQDRQKMPEKAINMLPPYVKSAPTNQAANGYKHAGIAEIGHQRDGLADDDTILPVSVRRKSGKPPTYGDRYDDEAKMANQTSGGQRRHSSRRHGSDDDYDLRGGYMQPQYDDDTVNNARHFKQTSERRKHRSKQSGSASGNDYESEEDETDTAIDFGNLLPRVPSSHRKHRSRSAHPRSRGRDDEERVMDKLLMHYSKKGIDREEHRARTKSRTPRPRADQPSDGVGERSNREGAPLHPPERTVSLPSESGNLGAKPKVPARSISMQSEKSRGNVHPSMPDFDELAARISALRKE >OB02G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2268043:2268396:1 gene:OB02G13820 transcript:OB02G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFKNGGKQKPLKAPKAAKKEYDETDLENIKKKKEEEKALKELRAKAAQKGALGGAGLKKSGKK >OB02G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2269830:2270585:1 gene:OB02G13830 transcript:OB02G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAGHSLKKKNIPHMTKPRIEYLLHSAPSSTWIPPKLFFFCIHLSLSLHLHFSLPLSISYSGGGGQWEAGDGQPRRQRSATPATGRPRRPAELGGQGADGRRGSQRSARMDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRPHGWTARRTTELAVARIDNAAADEVFGDELLVAARRRRARPLLPFLDPLKKKLVALTKAACF >OB02G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2270496:2274933:-1 gene:OB02G13840 transcript:OB02G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transport SCO1/SenC family protein [Source:Projected from Arabidopsis thaliana (AT3G08950) TAIR;Acc:AT3G08950] LRRGGSCHALLSRRALALGLPPPPRPPAQLQGITEPGAASHLGAAFLARALSSGLPAARRPCALQIQQRITEPGAASSRIGAAFLARAGFSSEASAAAQDSAKPAERTGGEGSDGKSGKSEQGDAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPSVGTAAIGGPFKLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKTKMEIVPVFITVDPDRDTVEQVRDYVNEFHPDLIGLTGTADEIKKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIIKEIKGRQ >OB02G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2276525:2276794:1 gene:OB02G13850 transcript:OB02G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELAAKGMAAQITPPPITRTDVDKVLARQKATVSKKDLELYTRFTREFGEEG >OB02G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2277736:2290111:-1 gene:OB02G13860 transcript:OB02G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT3G46960) TAIR;Acc:AT3G46960] MGDLTTSPASEVPFRISFSGHGGHLRLDPTPNPPSPVPEFVLPPAYPPESPSSVREYLEANYLNPELHLPTAADGGRVWDLDWFALARPPLEPSAPRTMLVPAWEPPFRRRRPPLSSSSLESQVWDPESVQMDMSDVFDSGTPGISPRMPGPAKDFVRGSVNNRPFRPGGLHDDATGAAALEKAFPEGARNGDWVRELMSGGPAQVTPPGFRKRLDLGNLKEYKSHWKCYQDGKCVEEHPTSSSNDTMDKYSLQFDDLFKIAWEEDADDKVSREDDVQQSVGDEETSDVDKQNIDRLQDASEILEKPDIEKQKDDALGDVSEAQTELDQMLSSSVIDTSRDSSGSGGDSLAKEGKVWALVGGDEDILTNFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEAYKKKNSNKLGTKPGSKPGTNAARAGTQGRNPATSNRGRDQKNPKHHHASSSAAAVQQSTSGPRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDKSAESMFGADLTSNSEKSEIRLFCDKAFSRLKGSDRSLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALEAEAHRESITEAIMQLSASQQALIPGRLVVVKSKSDDDHLLGVIVKTPSAALKQYIVLVLTGDCTSSALAPDSSNQNEKEAGDFKQGYFVVPKGKRGMEDEYFSSVSKRKGSGVINIKLPYKGDASGTGFEVRAIDSKEIMSICTSKIKIDQVGLLEDPSKTIYAKTVQMLKQEQPDGNKYPAALDAIKDLKLKDMLLIENYHAYQRLLQKMSENKCHGCIKLKEHIALMKEQKVYKDQLSELKYQMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQFDDLEPEEAVAIMSALVFQQRNTSEPSLTPKLADARKRIYDTAIRLGKLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMETASNAIKRDIVFAASLYITGI >OB02G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2291928:2292921:1 gene:OB02G13870 transcript:OB02G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALSSLETMLNSLIGRPKGGGGGDDVLVNIDGALDSPPPPPLPARPSPRRRRRPSQPPRVIAVGTSRPSMPSPPPPQSSSSREEEEAAAALVEELERKAVMAEARLRQKEEENAAMLREFDSYHVRWLQYEIRLSSLKETIDEHKASLQMAQESAERSHEMLPLDRHPHESSKPHMKVSEDTPAVVARRNAPVSRAAGAEHRQQQQQNQALVVVEPREPWQPGAPDGNSVDDLEKLKSQFSAWKKDYKARLRKAAMAELNRERRHRSSCWI >OB02G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2301626:2303696:1 gene:OB02G13880 transcript:OB02G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLHSLRELQLLMFGMANSNLADIYSFLKACRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLWSAKMTNFKGYRNEIRLVDFLLRKASCLNKLFLIAPKEVLPQVHRKVHSEALPHFLKTDVSRLERASVTAQIIFDESVSPQSQPLHSEVFVRI >OB02G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2303291:2303521:1 gene:OB02G13890 transcript:OB02G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFFQIVCHESCYAIFRSGFHQRSWRIPVLYNVSYTSFSYGFLVSMAVCISCLSWSLHDSSQGTIPSPSSYPLV >OB02G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2306560:2306898:1 gene:OB02G13900 transcript:OB02G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISWSKASLQKKKKSYYCVINIHRVELVEHQLGKLICHMRWWFGLLVVELPFILDFLLPVGQVLALSVILYGSSKHLSGKFSPQQLRWLVHFYFQSSMRIFIVPYVYTPYF >OB02G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2309725:2311524:1 gene:OB02G13910 transcript:OB02G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPRTSIDANMSVEMGVAERSPGERLQVLKASASASMAYSIAQFPVKWQSIKCKLQQLCSNLNAREDDGSSDEHMILVQFLQAAMATVSHIQAIASQCSDETYNGGRLRLRSDLDNISSKLDVHLKDLMEMVCSRTSVHSQAVVATRPAIDASLSHKRFYINDLFLRVRIGDLAQRNQALITIGELLSEDIEYVRFVALDIDGIITLLISSLESGDTSIQEQAARIISLIAGHDSYRGVLVKAGVVAPLVQLLDSASTATVSSRERAAHALRELTSNSDNVWAVCAQGGLTVLLTVCANASSKGKLVCSAFAVLKNLSRVEEVKMFMVEEGAILELVKLSRQKEEERKVGSVELLHHMALADANVRQAAISMGVIQSLTQLINPGLPYSCKAREVAFSAISFFCFPSKTLTDDLVNSNFLRWLFSYLNNGDYAVLECTLNILVQLTRISEEYNKIVGRAGFMTVLASLLLSKFYQIREMAAQVLCNLLLLQSNRVVFVQDGDNLNQLLQSLHLGDGKTMAKNLTISCVMSLVETSDGRKRITSSEHFGNLKGLANSGDISAKKIMKKLSASKLQTIITRIRITRVPSRLPLHDNHLI >OB02G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2313076:2315095:1 gene:OB02G13920 transcript:OB02G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPVIFEQQKERVITNQYCKFDSQELTLFGSSLFLSAMVAAFFASPMARAFGRKWTLFVAAVAYIVGAVFGALAANFVVLITGRLLLGVGVGLCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSASLTNYWTSKITGGWGWRVGLAFGTVPAALIALGSLAIPDTPISLIVRGDGEMARATLAKIRGVDDVRAEFEDLTTASEESKAVAHPWRELFFGGKFKPQLTFALLIPFFQQLTGINVIMFYAPVLFKTVGFRQDASLVSSVITGLVNVFSTFVAIMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGLSGTGAMSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGGWVLLMTIFIATLLPETKCVPVEEVSLVWRKHWFWRKFVIDAGDTRGAEMRKRIALEMS >OB02G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2313763:2314939:-1 gene:OB02G13930 transcript:OB02G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKNMFTATVTLCAADLTASGYTSLGINHPIGPHDHANPATYTHTNSTMHMAYCSDMAPVPLSPNCKVFDGMRACLGDDHLGAALEEERSAADLVGGHDGDEGGEDVDEAGDDGGDEGGVLAEADGLEEDGGVEHDDVDAGELLEEGDEQRERELRLELAAEEELPPRVRHRLALLARRRQVLELGAHVVDAADLGQRRAGHLAVAAHDEGDGRVRDGQRPQRDEGRRHRAERQPHPPAPPAGDLRRPVVRQARRQDPHRDHQLEQDVQHPP >OB02G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2317108:2317338:-1 gene:OB02G13940 transcript:OB02G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVFAPVSTLLVAATVSAHSKQADSARMKWFSSWKLRVLMDAFSFYVSSIMYQCYYLVRNFCPEFLASNIVMIV >OB02G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2321895:2322056:1 gene:OB02G13950 transcript:OB02G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHHAWRSVAPSITKSVKNALICFSLSCLLNHQTEMTVFGEREEMHVIRGRT >OB02G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2324771:2326216:-1 gene:OB02G13960 transcript:OB02G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3L9S9] MDERRTILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVRHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARWYFHQLISGVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGYLPFHDTNLIEMYRKISRADYKCPRSFSVELKDLLYKILDPDPSTRISISKIKRSAWYRKTTDVNAVKSKHETGEKMYKGEATTSDSNPASSRDRVYNGEATTSDSPECSNSKENHASLSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAATIFAKLNELARRLKLKIKKKENGVLRLIAPKEGIKGFLELDAEVFELAPSLHLVEFKKSNGDTIEYQKLMKEDIRPALKDIVWAWQGDPHQQPEQSMQSEQQPSPLPSQQPQD >OB02G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2329708:2333575:1 gene:OB02G13970 transcript:OB02G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3L9T0] MKKRLGFLLLLVAASALVESSREGRRGGEESLERFLDGWRRHLSSPPPSSSLVLSGYLVDKIWSVCLQDIVGPEETLGFGESFAWDGLSSHSTEDELKKMLFMELMTLLPPEKFSITYDCIRANYFRLGIPQVHSVALSNYLQSQQSLLGSNFHVRRRLVDKLVGDAPSMAPAFAPSMSSSDEVHSPHSVTEAPLKPSNSLNMESPNPHYPSKPAHKHWGVPPPVSTSDKHHDYMKVVLIAVLPTAVLSFLAAFLCFYCCGCNKSKVSVGEQRDDRPLLHLQFANLPGSSPDACVPVSPLHKDDHGVRPSNAGVSIGQCFPCCFKTSKDATTPTGGTQENNAITDTPKPMPPLPPPPPPPPPPPPPPPPPPPPVKKGAPPPPAPPKGTLARFPKLSPAESSRSEESSASDVASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEEMIEELFGYGAGNQNNAKDKEIPIADPSPQHVFLLDVKKSCNLAVVFKAMNVRAEDIHDALIEGNELPRLLLETILRMKPTDDEEQKLRLYNGDNSQLGLAEQVMKALIDIPFAFKRIRALLFMSSLEEDASSLRESFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVHEARLAMESGRSPPFPSTSDDNSNESLQEDGSYYSSLGLKIVSGLGNELHNVKRAAALDADALSTSVANLRHELLKAKEFLNSDMAVLEEKSGFHRSLESFIGHAESETNFLLKEDKKLRLLVKRTVRYFHGNDEKDDGFRLFVIVRDFLVMLDKACKEVGASQKKATNKSQSKGNCTPSTQSNRQEQQFPDILDHNFDSPDSND >OB02G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2337036:2341664:1 gene:OB02G13980 transcript:OB02G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRDDKIQLEVILDEKIDDVRKISSKVNDLELQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNVDEDPSGPYERSPNAAAAKKRSIPYSTSEEAKAVKKRRERDSDIMTRSDKYRSDGTDFDKTSKGTEGTKSLYLKKKLWEDEKSKLGANIFIDKAKDSPIKHVLPSTGMAAHAIIDDLNEAVELEDRHEPIDTLLENDADDKTRSPAGSLQPLPAIQNSYEQYEGDDEEVDVE >OB02G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2343788:2361891:1 gene:OB02G13990 transcript:OB02G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;ATP binding;nucleic acid binding;binding;helicases;ATP binding;DNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT3G54280) TAIR;Acc:AT3G54280] MAQSSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENIKHTSVKDLFAYAEAEKHASGLSGGIGDVGSVLRRTDTTTSELAFGSFDINRVLEYGSPLLASGGQEYDIANDNGKNPAERLARQKKNLRRRLGLDVCEQFMDFNDVFKDEDLLAQKNYWGQSIQNNGFYPFNTGQNIQHLVASMVPRYPKHSNFRSRRLSARELNMLKRKAKSNAKDHTKAVPEDDDLVPKSSGPSNGASSDQDTSDAIADEDNLEYSENGRWPFQQFVDQFIHDMFDPIWEVRHGSIMALREILTHQGACAGVYFPDLNSPFADLDDINNLDSLKRAHGIDLDEDIDSGQLEPVLKRQKKEESNPEVMGIQLDKEPINGDYSKTEASPSTEPTISSGEANFAHAKVESAFQIDGSANPSKPSSTHLHENSKFIKLMKLANYSAIKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNNLLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYVLLACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTVTGVDNEFDLNSVTLVAGEEKLKSNENPYVLATLTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTGSSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAARSYFSNWMQLATTPYGSALDSVKMYLPVALPRGSRSRAAAKIKSARLEHETTKMISFGSTGENTSHEKHSEVPLSVPKIIVGSDLDKSVTHTRVLTAMALGLFASKLPVGSWQVILAPLASDLISLSGVQRQVASMIIVSWFQDLRKSDPAAVGTLLVFLTSLKGLMLDLLACSDPSFPTKDSLLPYAELARTYKKMRNEANNLFQLIDSCALLKDYTSNLNFNADMLSVDDTINFASKLLLPSEPDLNSDSDKIVLNNIESAKQCLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAVKREQEEVLQDKAADALSELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGEDRSKLEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKAGDDLMKEDPNIAQLGRSYEDKEPQSLINNIQVVRSITPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAEARARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSLMKPLQYIGSSQDRIILRSQLDKFNVIITSYDIIRKDIDFLENIFWKYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISSIVKENELEQSSSQPKATRHVFQALQYLLKLCSHPLLVIGENPPHYLVDLLKEIGMGYGDELHELYHSPKLVALQEILQECGIGSEISSPDASSAFGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSSGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >OB02G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2364262:2364636:1 gene:OB02G14000 transcript:OB02G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRIPSYCGPHSWSDRLPARRRHGATHQHLTSSPASPRHHEHRAPKSSAGRMDTMELHWSEHPADGVAPQPSPASLDLSRIFRTESMAQAAKKASHGPRQAISSLGASSARMVSSSKVRLPS >OB02G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2365260:2367683:1 gene:OB02G14010 transcript:OB02G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLFLISVFLLLCTAKATGLAAETEAEALLRWRSTLKGPNSLSTWSIANPTCSWYGVACDHGGHVTELELATSGIDGTLDAFYFAAFQNLTTINLSGNFLVGAIPANISMLPTLTVLVLSGNNLTGAIPYQLSKLPRIAKLNLGYNRLTIPEDARFSHMPSLEVLSLSNNHLNGTFPQFILNSTSLRMQYLDLSGNAFSGPLPNSLPEMAPNLRYLDLSSNGFCGPIPHSLSRLQKLSKLSVAMNNLTGGIPEELGVMSGLEELDLGSNPLGGTVPASLGQLQRLQILNVSDAGLVSTLPTELGNLTGLQLMDLSRNHFVGSLPPSYSRMREIRQFSINGNYINVSIPPEILSNWTDIQAFDVANNMLPGSIPLQISNWTKLEYLDLFGNKFTGSIPVDMVSLPLLLTLGASKNHLTGTLPLNICNASLQFLAISDNRLEGELPGCLWNLKNLVLMDLSSNSLSGEFPISSNNESSLITLHLSNNNFSGSFPTALKNLNKLVVLDLGHNKISGEIPSWIGGSNPLLSILRLRSNMFNGSIPWQISQLSHLQLLDLAENNFTGSIPGSFANLSSMQREITDMSVFIDLYPGAESLNHPYYIDIVWKGREHTFQEIYQHVIGIDLSSNFLSGEIPSKLANLRSLQLLNISRNYLSDGIPKDIGNLKFLESLDLSWNKLSGPIPPSISNLTSLSKLNLSNNLLSGEIPAGNQLQTLDDPSIYSNNLGLCGLPLKIPCSNDSSPTTTVEGLKEHHQELETLWLYLMVTAGTVFGFWLWFGALFLCNAWRFAFFSRVDAMQQKVMQNMKCT >OB02G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2368213:2370603:-1 gene:OB02G14020 transcript:OB02G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3L9T5] MASTRGRGAGGVAVVPMAVIRLGVVAIVVLGAGVIGARAQLRMGFYDESCPAAERIVGEYVRQHVRRVPTVAAALLRLHYHDCFVRGCDASILLNSTGGGAGNGGGAAEKDAAPNQTLRGFDFIDRVKGLLEAACPGVVSCADVLALVARDAVAAIGGPSWRVPTGRRDGTVSSMQEALAEIPSPAMSFPELAGLFATKGLGVRDLVWLSGRITLSNQVRTPSASPTARPSPTASTAAAAAGVAPANPPPLEAAYAANLRERKCRTAGDGVVEMDPGSHLTFDLGYYRAVLKHRGLLRSDAALVTDAAARADIAGVVDSPPEVFFQVFGRSMATLGAVQVKTGSEGEIRRNCAVVNSS >OB02G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2372230:2372421:1 gene:OB02G14030 transcript:OB02G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSASSKANRLEALVGSLPLPSSGQCSSTYINRSYFGFFNHQIRKIPSLTVCYFSITICHH >OB02G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2372375:2374206:-1 gene:OB02G14040 transcript:OB02G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >OB02G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2377315:2377734:-1 gene:OB02G14050 transcript:OB02G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFLPRERLFKQQQYFQNLTKHTYLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGVGKKE >OB02G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2382496:2383976:1 gene:OB02G14060 transcript:OB02G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMKGIFKGLKIISQMFVHKEHELEIGFPTDVKHVAHIGLGTSDTSPSWMNEFTGADDVSTGSLSTTAQSRQTSWASLDFEQPRSMLPIEILPEKSGQEAPSCPDIPRGPRKARRKKTRTSSPTSSARSSSSRSRTSFATAYDAFSESQRGFRVA >OB02G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2385225:2386592:1 gene:OB02G14070 transcript:OB02G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGCSHGLGLGLACFALVVAMAGATQFQVGGANGWSVPGASAESYNDWAEKTRFQVGDTLVFVYPKDKDSVLLVEPADYNACNTSSYVKKFADGDTAFTLDRSGAFFFISGVDANCRANEKLIAQKGGRWCI >OB02G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2388213:2389833:1 gene:OB02G14080 transcript:OB02G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSCVVAGAWCFVLLHAVAMGAAATQYKVGGDGGWGVPGAGAESYNTWAEKTSFQIGDQLLFVYPKDKDSVLLVEPADYNACNTASYDKKFADGSTTVTLDRAGAFFFISGVDANCRANEKLIVMYINSQRLHSDRWNKLIKQSNHIIRNHEATRLSKDEIFHGNNMADNTSTASFLSYLPFCGCCQWELGSHPLHKNHTYNYHNA >OB02G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2389384:2391370:-1 gene:OB02G14090 transcript:OB02G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >OB02G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2393113:2393508:1 gene:OB02G14100 transcript:OB02G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAAARIMTEVAPPQLVSVMRRRKQVARSLDTIAEDDRELMHGGDGKKQAAATCGSASNFATSPLAFERQTPPAPAASGLMRELSKWFSRNDAHGQEEWPERNREGHRRARYAQQVHVHGGAAGFNSR >OB02G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2395161:2415768:-1 gene:OB02G14110 transcript:OB02G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQKYENAIDKAEKKETLQIFVMHFIQTFKEWEPYYIAQSVDQESLSDDMVLGCSSGHPSEVILILVQEVSQITSFITEIGSSCSEFSPNISEQSSVLVLSTEGLTVLECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLPNKAIENMKMMQKILVYIVTIISNFMNLEPTVTRLMQLVNTTEHTLSNEFLATITPRPAKSTNDNNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDLLLHFITLHALRSTICQNTRAQNHFRSIGGLEVLLDGLGLPSSKLSKCSFVPSDERSGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKTLDSQVQNCNLEESTGALSTSESFASPIDILDTTGWTEYSVKLSIALCSFLLPPNEIRNSRGAADVSQNSQSVSLAYWEQCTRWIIKVLSTVFPCIKACASESEFPDHIRILANTLQHYILCTFRKVLILVPALLKSFRAEGLWGLIFSEKFFYFGSSMEYIHHIVGDAQNDHFIDATDSAGSKAQADVNILQAEAISFLEFAATLNENTNNLPECSALLDVLEHCTYDPGLSGTILKSFHVILQLATEQTISSFKSLDVLTRVLKVACLQVQHLRKLSHPRDYLSGNVFQSEYEQIKSTIACVELAFNLFKEYATISELGMILVLHNANCIECLFDLFQEENLRKDVLEQVLALFRLPSSSAQDHTAKLQLCSKYLEAFTRAKETDDFAELSIDLLVNMRAIIMIDRMYYQNLFHNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAENDVSKASFRMLVGVGYQTLQSLLLDFCKWLPSQKLLDAILGMLVDGTFDINEETTIKNEDVIILFLNVLQKSSTTLQHYGLAVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEQTDDTVVKIVELIQIIGGHSICGKDIRKIFALLRDEKIGVKQKHNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPAQWPYNRGLSFCCWLRVESFPENSMMGLFSFFTENGKGCLAMLGKDTLIYESISQKHQCVLLPLSLPTKQWIFLSVTHTVGRAFSAGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDTLSSEQIKGIYNLGPSYMYSFLGDQNLLMSDDTLYKGILDARDGISSKMIFGLNAQASNNRTLFNVSAAYDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVVHSGQPAISDELASQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSEILLKDALSQIYLNPHIWACASYEVQRELYLLLIKYFECDGTLLPILCGLPRIIDIVLQFYSEKADLRSCKSSHPVTKNVIVERPNIEEIRKIRLLLLSMAEMSIKLKVSQHDIRTLVSFFERSQDVACIEDVLYMIIRALSQNSLLSSFLEKVNSLGGCYIFINLLKREFEPIRLLGLQFLGKLLVGVPSEKKGPKLFGLPVGRPRPLSENLSKAITAAPQLFFYSISERLFKFPLSDHLCATLFDVLLGGASPKQVLQKRSQSDVPKDSAISSASVSPFAVPQILVCIFKYMQSCQDTLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSSSKAKDNTLETNELILVRNMYSLVLTYCLCSVKGGWHQLEDTTNFFLLKIEQGQLPNSCVLRDIFEDIVGSLLETSSEENLFFSQPCRDNILYLLKLSHELLVDQVGIKLLFPSPGLSAQSSSDDSLIEDINIAVGEILNAEGSSQLTSFPWSNSPSTDGDKLSDDWWSFYDKIWTLLCNLTGKGQNKLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKTNKNTDKAMMLRGERFPRIMFHLIILYLCKAGLENASKCVQQFTSVLPNLISEDDQCKNRLHLLIWSLLRVRSQYGELDDGARSHVMSPLIFETLIHGKSLLATPMLARDDSTEANSNKDSGFVLNFVQKDRVLAAVADEVKYVKDAKADHLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKTAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSSESNASSVDPSVGTKIPEKMKHLLLKGVRGITSDVSSEPCEDSNDMSEPSQNTLSETQGSSDAAESTDSSDYSNIVQNRKEPVSAGGDDDYAEVLSSVQCVLVTPKRKLAGQLTITRNALHFSFEFLVEGTGGTSVFNRYKEKNDSNSKNDLGGAEKLKSNLDGGRVNATESGDALMKNTSNKIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQNDAKNIGSLLVSLRNDALFPKGSSKDKSSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILADYSSDKIDFNKSSSFRDLSKPVGALDTKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKCSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPISHPLYFAPQSITMTSVTNSSSSSVLFVSLLDSNIVLMNEGLILSVKLWLTTQLQSGGNLTFSGSLEPFFGIGSDVISPRKISTSLAENVNFGRQCLAAVQIHSDNYLILCGNWENSFQIISLGDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDQSTKDHVIIESPCHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLIFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGHIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLLRKGTVQRNKIKSSTSG >OB02G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2422217:2422480:-1 gene:OB02G14120 transcript:OB02G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTIKKKTELEKESSDNAKASTEDNECKQSVEEDNANDRTIANIMKYWRIPFIKFLTEEELPTEKAEAERIKCQSYYYFVSNGELL >OB02G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2434172:2435900:1 gene:OB02G14130 transcript:OB02G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSRARGAVLRVLGGLRRRRPPPASGAADPASPVRRIQMLEEELRPFGGEISRILQRARASLERQEERFDPRAKMPELFRNGPGWQLNTLLLCLFSSYAANYKYNKV >OB02G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2444249:2447238:-1 gene:OB02G14140 transcript:OB02G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVRSFSFACRAKAGNHGGLVRRALSSKAGGSSVRGDLPAVLSHVREGGSGCVQKDPYPLSEAATKLNALLDEIKRKKLDTVPWVMVIKTIVNFEIVRREIHFRNIRRSWGITAVILAGYFGGYAMEEEKNRKRTQAMVN >OB02G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2455661:2459314:1 gene:OB02G14150 transcript:OB02G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3L9U8] MSWRKGGGGGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIAPPNGNGEAVNARQLVAAECGPKKVVQQHDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKLSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHNDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >OB02G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2460215:2460373:1 gene:OB02G14160 transcript:OB02G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGMPHFLAPARGLGGAGRYPLRRRLCAARSVVFLPPPAEEAFLGAYVPPADLV >OB02G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2472589:2473686:1 gene:OB02G14170 transcript:OB02G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGVHTDRYTLPFLIHVCSSGDRLLCESLHGQSLCLGYGSTLFTQTALMNAYFVCRLWAAARRVFDEMQAKDVVAWTGMVSGYVDSGMFLRGIMVFQEMRSCEDVVRPNVATVVSVASACAGLGSLEYAKGLHGYVEKVGLEGELIVTNALIDMYGKCGGIELARGLFGLMPQKDLHSWTAVISGFASHGHGKEAVALFLSMRESGVLPDSITFVVVLSACSHAGLVDEGISVFNSMENEYKVTPDIKHYGCMVDLFSRAGLVARAYQFIESMPFEPNLAILGALLSACSINNELDLGELVIKKIESVCSYKGGTSVLLSNIYANQNLWHEVDSLRRKIRNDTICRKPPGQSVIAAEVPFMGS >OB02G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2475510:2475743:1 gene:OB02G14180 transcript:OB02G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFFSCRVYLFLHGSCGKGIWGKEKNASKVGVGLGFALPLDRHPPRRCMHRLAAHGHGLLAFLLGRVVDWCGSSR >OB02G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2477538:2480576:1 gene:OB02G14190 transcript:OB02G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT1G50670) TAIR;Acc:AT1G50670] MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEAFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTSRCDLYGQEKNYSERAMLIYDGLHYDALAMSPFECAPEEFDQTIFPVDYKRSIGQVEGLALNLVKDAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >OB02G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2493979:2494266:-1 gene:OB02G14200 transcript:OB02G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLCSSRGFNPTSGARSLARSSPSPLLGFSFSPRTLKTQPFLSSLHHASPTTSTSLTNYHYHAVTILFLLQVHPSILHSTQRTHVHIYSIISSSTS >OB02G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2494809:2500804:1 gene:OB02G14210 transcript:OB02G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3L9V4] MKLSPSAGGSLPEQQPESPPEVAEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSLYGGKEDDLASSLMWLRDGQNSGFQSLNFGGLGMSPWMQPRLDSSLLGLQPDMYQTIAAAAALQNTTKQVSPALLQFQQPQNIVGRSSLLSSQILQQAQPPFQQMYHQNITDNTIQGHSQPEYLQQPLQHCQPFNEQKPQLQPQQQQQESHQQQPQCVQTPQHQQMQQQKNLSSFQHVPNALSVFSQLSSTPQSAPSTLQTVSAFSQQHNFPDANIGCLSPSNVSSMHDTLRPFHSEAASDLPGVPRITPVPISDPWSSKRVAVESAISSRPHAISSQLENFDLTPSTIPQNSTLAPLPGRECLVDQDGGSDPQNHFLFGVNIDSQSLLMQDGIPNLHNDNDSSTIPYSTSNFLSPSQNDYPLNQTLTTPGCLDEPEYGSCSDNADQVKRPPATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSARRLGNSCDNYMNRQESRSLSTGIASVGSVEF >OB02G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2502725:2507020:-1 gene:OB02G14220 transcript:OB02G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPTAAAESGNRAVRFYTPGEDAKKDGISCQNLRRPNLSLQIPSRAFDDPVPTSTRINISPSPSSARSGLPPRPNSTRAKSSIRNMIPQRSFKAKSSFQDGDQTILLIPGTPSSSSGQQVKPNTARSFSFRKVISSLSAKRTHSLPVTPVATSEPSSHGHADNLPSTVKNEAETQIRRSLSAPGNHKSKDLRRTASSGLIRVIPTTPRPVPVETVAPNDGIEEAVDVPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLPVTLLRIQIRTVNRRPPNGVPQRVQPHRFWKETPVLVMVSTLAYFCFLEQLLVTDMQTRALAISLPFSCLLGIFSSIVASTMATDNYLWAFATLQFAFVILFAHIFYNLLKMGAVLAILLASFTGFGIAISLNAMLIEFQRWRSLRNQQSTQHRNNRHGQSGNNASNENTASSARQQGSGSDQQPQEHG >OB02G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2511134:2516065:1 gene:OB02G14230 transcript:OB02G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCIFGNSRRASRQQSSQHNDDLSGDMNITKFTYKELTRVTENFSPSNKIGEGGFGSVYKGKLRNGNFVAVKVLSLESKQGVKEFLNELIAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGHGQSNIQFNWVTRVNICVGIARGLAYLHDAVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIISGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLDDDLDVEQACRFLKIGLLCTQDVTKHRPTMSVVVRMLTGELDVDLERISKPAIISDFMDLKVRSMRKEVDIVTSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >OB02G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2514905:2515195:-1 gene:OB02G14240 transcript:OB02G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNVEVEDVTMSTSFLMLLTLRSMKSLIIAGLLILSRSTSNSPVSMRTTTDIVGRCFVTSCVHKSPIFRNLQACSTSKSSSKEASMIFSKSPSS >OB02G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2536686:2536979:1 gene:OB02G14250 transcript:OB02G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGKIVFQGLERSSSSPAGSAHSSLRSRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDKKDAPAKDSSASRPRSSLGRKTTPHAATAGGAS >OB02G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2537057:2537320:-1 gene:OB02G14260 transcript:OB02G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAYNSRNGMQMAAEVLASRVNLQLIWEKITDQISWRLLGSFTKHQPLELVFLFKFLLITPNSKLNFSEFFLWFLFFGKEMLRLLS >OB02G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2544690:2544956:1 gene:OB02G14270 transcript:OB02G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFHPKISKCLNCFLNICEFSGIYSNLIQNLLRTPNHFGPNYSEIPKKIPIFWIRRRTHFFFTASETANTDPDSIADSSHKAHSIES >OB02G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2545561:2546148:1 gene:OB02G14280 transcript:OB02G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGNGDHDARLAELLELGGGGGGAIAFFGSRTVRGAAFFIVLWAASWIILGAAPDPSVGVGLLLLGYIYEACWNNMPQEHVEIRRPCKCLYTRRTRPFEVEVN >OB02G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2547077:2547319:1 gene:OB02G14290 transcript:OB02G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFASLPNKGLAYRLRLQNVSPETSQSPYVQLNCCYKSSRHFNSSLSLREKKRSKIAQWTRYICLVFSIVDHLEFNVFT >OB02G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2560226:2560996:1 gene:OB02G14300 transcript:OB02G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIGVAATADSPGGAAARPSRYESQKRRDWQTFGQSLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAHGCPFFGHPSPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLSPGHYFLAPAGDQAGDVPATTATAPAAGGEDLVLAMAAAAPAPQAPAARGKVPLSVFN >OB02G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2563851:2564051:1 gene:OB02G14310 transcript:OB02G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAQLHGNNGSNLNLHGDLVARHPASTGDRGSAANTTRLVGDVGRRVEAKLGAEHVNLTSARQ >OB02G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2563902:2564099:-1 gene:OB02G14320 transcript:OB02G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDFANNFASYTDAGLLSRRREVHVFCTKLGLDSTPYVANKTGRVGSAASVAGAGRMPSNEISV >OB02G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2570977:2571809:-1 gene:OB02G14330 transcript:OB02G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMARQTTYCIRGSFRASSICEVNENMRHPAGDSHTFFERPLPLNCTPATQTTPTGSFAKFCVTRSWVEAMLGDQSIHLPKRDARSAPM >OB02G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2570896:2577854:1 gene:OB02G14340 transcript:OB02G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRASRFGRWMLWSPSMASTHDLVTQNFAKLPVGVVCVAGVQFRGRGRSKNVWESPAGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCYKGLPELEVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNKEPTTCLNAALKEMKANSPTLKREDILASFFNKFEVLFEIFSNEGFQALEEQYYNSWLHSAVHPWVKRVKGGFQTLTWECLGVGVVLGQKVVVQDGPEAQRADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRVSEKEDGNIVSLPATHLSSALAILSHHRAAAGRSPGNRAKLMAADDSVVSARWLHEQLGQPDVKVLDASWYMPVENRDPWQEYQVARIPGALFFDIDGIIDKTTDLPHMLPSEEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKVWVLDGGLPQWRASGFDIESNCPAYAILKAKAANSAVEMVYNGQLSSAVTFQTQFQPHLFWTLEKVKHNMAAQTHQQVDARPKGRFDGVAPEPREGVRSGHIPGTASVPFAEMFDGAPMLLPADEIRKKFEQSGISLDRPIVVSCGSGVTACILALGLYRIGRQDIPVYDGSWTEWEAQPDHDYPKVTSSS >OB02G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2578698:2580566:-1 gene:OB02G14350 transcript:OB02G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKCGRVGDAVRLFDGMARPNEVSFTAMMGGLAQAGSVDEALRLFARMCRSGVPVDPVSVSSVLGACAQACSSDYSSARAFRLGQAIHALVVTKGFGSDQHVGNSLIDMYAKCMEMDEAVKVFESLPNVTVVSWNILITGFGQEGSCVKAMELLKRMQEAGFEPNEVTYCNLLASCIKARDVISARAMFEKISSPSVTTWNTLLSGYCQEEQHQDTIELFRRMQHQNVQPDRTTLAVILSSCSRLGNLDLGRQVHSASVRLLLHNDIFVASGLVDMYSKCGQIGIARSIFNKMTERDVVCWNSIISGLAIHSLNKEAFDFFKQMRENGIMPTESSYASMVNSCARLSSIPQGRQIHAQVLKDGYDQNVYVGSALIDMYAKCGNMDDAHLFFDCMMMKNIVAWNEMIHGYAQNGFGDKAVELFEYMLTTEQKPDTVTFIAVLTGCSHSGLVDKAMAFFNSMENGYGIIPLAEHYTCLIDALGRAGRFVEVEALIRKMPCKDDPIIWEVLLAACVVHHNAELGKCAAEHLFRIDPKNPSPYVLLSNIYASLGRHSDASAVRALMSNRGVVKGRGYSWIDQKDGVHAFMVADDLGTDGGEFIMFSNEENISGTTKVHQDEICVS >OB02G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2585796:2586113:1 gene:OB02G14360 transcript:OB02G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNTWAKGR >OB02G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2585746:2588559:1 gene:OB02G14370 transcript:OB02G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEEGYDEEEEDEVAA >OB02G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2587869:2588306:-1 gene:OB02G14380 transcript:OB02G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYESEVRAGGLGSNLVFLLFVVALFLLVGRGVLVLLVLGDQVVHVALGLGELHLVHALPGVPVQEGLPPEHGRELLAHAAEHLLDRRRVADEGGRHLQAVGGDVAHARLHVVGDPLNEVGRVLVLDVQHLLVHLLGAHLPTEHG >OB02G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2590200:2597107:-1 gene:OB02G14390 transcript:OB02G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRGSGSYNGRRFVDDGFQGDSYGRGAFYQDTHDRNMYPPAPAGTMLSQPRRFHDDEYSSARDYRRNKRIGSRDHAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMRHSRSRSRGHDDRSRSRSRSRSPRGKSRGRSQRDGFYDDNSFGRRREYDWDERRHGYLVAPSATVVVKGLSLKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGTGDNGILIDGRKVYFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTGSTPLHAKRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEDATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAVGWAPKEYNPDDNLNSTSEPQNNGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQEYVPCNEQNSSKAAGDTANTSTKTSESNSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKEKAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQPGRAVLDDKEPSNFADDKLNSVHSATGFSVKGKPKSDFGNVKDMNLPTSYNSLGRTAAPTEMIDSDIKATPVSNSLGTTIMGVIRGSGRGIVRSDTAFHASDAGGADSSSNIATSTSGLTANAGVPTSAPFKTDLSALASYTPSGVPGSGKRRFSEAPGHSQYRDRAAERRSLYGSSSSLGTDNDGLDPTGEHPSRRGSSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKPVDVRAGLGSQQRVKPDPSLEAQAGDSYKTIIQKKAIARFREMS >OB02G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2617086:2626265:-1 gene:OB02G14400 transcript:OB02G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cullin-associated and neddylation dissociated [Source:Projected from Arabidopsis thaliana (AT2G02560) TAIR;Acc:AT2G02560] MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDNMLTSLLSQLSSNQASVRKKSVSCIASLAACLSDDLLAKATFEVVHLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILSLALEYISYDPNFTDNMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNMTKAQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIKALSGPILSSIGDRYYKVTAEALRVCGELVRVLRPNFEAPSLDYRPYIGPIYNAILARLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALVLIRSALLQGQALQALQRFFASLVQSANTSFDALLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDNDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >OB02G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2630892:2635328:1 gene:OB02G14410 transcript:OB02G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAQAQAAAAVAEQMAGATLHLQPPPHHGGVLPCPGRLPPSYSSLGLGFDSFGFGSPQKQAESASQIKTVVQLLSNMENNQLRSILPASRLEKAEKNTGNELRVE >OB02G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2636287:2637066:1 gene:OB02G14420 transcript:OB02G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) TAIR;Acc:AT1G21840] MECDSPVLKKKRLAHPMDMDCTVKEELTNAPGMNQHSLWSQWQLLDSILPTGGFAHSYGLEAAMQSRMVNNPEDLRSFVVQVLENTGSMLLPFVYCANKSPDATMWVKLDQLLEAMLTNEVSRKASTSQGSALLRVAASVFTEVQSLQDLRQTFLGSKTVFFHHAPIFGLICGLVGFDSETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQISIDAERMMQKWKDRGVEEATQTAPLLDALQGCHAYMFSRLFCS >OB02G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2638105:2640306:-1 gene:OB02G14430 transcript:OB02G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVAAGARPAFEPADLGAGFGLAEVELYGDVVLRFVSYPDGAASFLPGFEDVSNPGTQDYGLRRFDHVVGNVPELAPVAAYIANFTGFHDFAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXANFPGFHDFAEFTAEDVGPAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLRTLREMRARSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEEQIKECQELGVLVDRDDQGVLLQIFTKPIGDRPTLFLEMIQRIGCMEKDESGQEHQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQASSVQGS >OB02G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2644884:2647684:1 gene:OB02G14440 transcript:OB02G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYNQANYLKSCGAIAETPPEILKGPIQTTEEETNGVNKATVVYEENLSEGFNCDDHSALKHEQYIDGVADHFLEVESVPQSSLQGKSSSHNIKNQQLDSSDSPYPTPLVLRGHMQTPGTLTTAYKGALRSGKRARANKQFIYPVLRPIENKLQWMELRDDSSPVLLSNPPKRRYLSTDSSEKPQEIIKNSMATRTEQTTSASSFHDITAQQDQGVISPDETKSENDNRKLLDDVDQLKYNADSARKGVASLSCWLKPPSSDGGSQSDTEGKVGKQRCYDNSVFTDLPIFTASGLNWDDDKPTPVLPKLWDGNGIPNTTTKYKEDQKVSWHATPFEERLLKVLSDEKPHHQRKLSGKLIQLDE >OB02G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2652733:2657311:1 gene:OB02G14450 transcript:OB02G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDHVSARHLLQVFVDAPYLARKNLLPDLWDHVFLPHLLHLKVWFTGEADLVADWDADDRSRRMKSLQRLYNDHLNNGTAQFALYYKEWLKSGAEAPPPPTVPLPSTPGDCDVWDKHSSSLRRSSINRGLYNAVFGTAMELGDVKDAKLDDETQLVLETDAELMDNPGCLKMGKLAHSNMGLQEKHSVIRKDGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKLPKKEVVSVEKEVESSEVKMTLERAVSTVSSSDSLTQCEYAVQEVARACSNFREDPNLGNWLSCPSFVQGLLEVTFTSKDDLVLECAILIMGELVLSNEVNRQIVLNADPQLEVFLRLLRSKELFLKVAVVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYLLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLISCIQADGSCRHYLVEKLKKEPIVQLLVGNQKKTSAAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPISQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALERSSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLLDSLSYDRVLEERVLASLSLLNLVRHPECLEKLFPLKKDTMESLQDLAEVTWTAKELLFACCR >OB02G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2660293:2661912:1 gene:OB02G14460 transcript:OB02G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05320) TAIR;Acc:AT3G05320] MNILLELKHLKHISLPARPVICKGLLIVIALIVLRAIVTPFLAVNSSEKEGFYDSTTDLLPGIRRDKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFTKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEADDSEVIEIIGKHPFLWPDHWPVKDYARIFDCLALAPEIEAEVVKVISKIREAGLKARHEAGISHNKHAKEGTVNPPVPYIAVHMRIEKDWMIHCKKWEQRSNSNEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTQRLYDMGKASSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNISCH >OB02G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2662676:2667575:-1 gene:OB02G14470 transcript:OB02G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDHASKSSSSSISSSTQESEEDVTVGTLLTEPKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSVVKQLKEFKKNYEGYVPMEYKEYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRGAAPTRELWLSFWSEVHYNSLYATEDLPNRKTKKKHWLF >OB02G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2669059:2671903:-1 gene:OB02G14480 transcript:OB02G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3L9Y1] MEASEGWRRRTIDGGHGGWAWACSRTVGDRERARERKKKRHLLLASAASTLPTATASAAAAAPSFSEIPGSGGVKALELREGSGEVPADGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVGAGKVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >OB02G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2674057:2676825:1 gene:OB02G14490 transcript:OB02G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVEEMLPAVAQGAIGIACRSNDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSIVYETSRTGPYDFDVMVEMGKDAGHELKAKAGSGFFDSLQ >OB02G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2677654:2679932:-1 gene:OB02G14500 transcript:OB02G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit C (InterPro:IPR013924); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G39440) TAIR;Acc:AT2G39440] MEQETAAAAVTAAVDLSPVAADLGRVHLLPCGIRQNGAAAVSDYFKPKDTGVEVEEASFRGRKLQGATIALPDGYQGYILEKTSGGKDMQNLEGEVSNFKSRAKFQNITYWNHDTTPSAEDPLPRCFHLLTVANAVHKPVTAEEMANMSAMQNQSS >OB02G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2681992:2686666:1 gene:OB02G14510 transcript:OB02G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPKCDKLILGSINKNYVDIEECMSDQGLREGHVVSAAALVGRPSRSFRGLPGTWAFLSPCHLSGSHRVRRRVGSCRRPRSNPTVPVPQPHSTQQRKGMAILPMGSSIRGHPTRWVPLHVCMMLTKLGETRDGKNSQMNQPLNVENIDCALDKLFIVCGGIRVLAKERKPVSLFVWWAYGVGPIIVAGAVAKAAKHSGVTQPCDNNSRLYNETDTLDPAYAAALEEQCPITGDNDALSSLDDTPITFDTDYYQGLTHGRALLHTDQQLYQGGGGGGDDDLVKYYGENLDKFWEDFAAVMVKTGNLSRAGAERATAAAGNPLDRNSATPSLLFSSQLNFLGGGARETQHSIFWMSNEISIFIYTWQTFKDNFFII >OB02G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2689576:2691193:1 gene:OB02G14520 transcript:OB02G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRRLAVSLNPRISLLLQPHHTPAAFSTWTPRHPEVDPTPIYLRVMDKIEEMKTAPLSKDALKSLQNAEQKAKKHFMVTYLDDNTGSVPLPRVRRIGFGGERLVCYGVAVCIPCFVLLGATSVVSPSPPKATSPLILEKASISGFDKQAAEDTM >OB02G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2698715:2699416:-1 gene:OB02G14530 transcript:OB02G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASVLRRALLSAPPSSVPAVRRATAPPRPFYSQTSGASGDQPPKSALEKVY >OB02G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2709101:2709523:1 gene:OB02G14540 transcript:OB02G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKQVEEHLSSATAAAYYVGRRRGYPARRHRTGMRVRMRVCLVNPPEKGRLAVHLRLHVMSGANSPLGITSGMSTIFPLYACSDFLDPFFPGRVLVLFFFPPQIYFSGSHL >OB02G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2712800:2713508:-1 gene:OB02G14550 transcript:OB02G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLRPSRFCQYTVIVVQSSLSKKCYKPGALESQCCFCEQGSDHFLGKPKDYEQAETPFHIVNN >OB02G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2715990:2717967:1 gene:OB02G14560 transcript:OB02G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAAAAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGPAPPPRRGVEASSRGGRSGSLLRLQRLRLQRAWARRWAVSDAEGFHKSSPPSIWSLPPMLSHLPPQPELTPAEGLPIHVGKERHQWN >OB02G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2719867:2721557:-1 gene:OB02G14570 transcript:OB02G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLVPFLFEYLPKIYHAIRFLRPMQNASGYVYGLLRPLTAQTRQGQGDGHHSLTVPNPPAPTAAPQTAVRAPAVDRRPCPNPQAAASHELGGRGFLVEQEDFFMWFMECQQQLEIVALACTNSKMGEENLDILAV >OB02G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2723985:2726379:1 gene:OB02G14580 transcript:OB02G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLRSSAVRRALSAASREHGPSFRRAISAAATASGGGLEAAVARGPTLPYRCRNLTVHSREKDDKTDLERKHLQELEQMFQQIFIGHVKLHRFIHSSDRDYFDRFLSKLGWPRCKRRDVFVFRCKLATIFVASVMSGYMLPE >OB02G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2728548:2731491:-1 gene:OB02G14590 transcript:OB02G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSSSPPLASASSSGHFLPCPRRRSRRARPRLRLRLRAGSSLKEWGDFEDAVRSRDLPRALRFLQSVEPPPAGSAGAVARAPLPVPPGRDWEVLDACIDADDMRLVGRAYQFLADRGVLAGFGKCKNIVLEGPREVTPTVLKEMTGLEATKLAPKKWGLSGSSSYVLIGFLGGVSYLLTQGVDLRPNLAAILGLVTADAMFLGGTCAAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELADGRLSITAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAAKALESGYSLSTVIRRIEEAISSDR >OB02G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2734242:2739476:1 gene:OB02G14600 transcript:OB02G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:J3L9Z3] MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVVLASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPEGWMGLDVGPDAIKTFSGALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLAELTTTKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >OB02G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2736959:2737816:-1 gene:OB02G14610 transcript:OB02G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRISLRFIEGKNTWEGLAFQQLQASATTGGDVAHLVGQPSLLDSSNRVATANDGRDALGGSKLSQLLSNCLIACCKLLKLKDSHRSVPNDSVTGVQCSTESLDGIWANIKTHPTFRDSGGSNSLKMMHLPQTCQPLQHQ >OB02G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2746197:2749717:1 gene:OB02G14620 transcript:OB02G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 1 [Source:Projected from Arabidopsis thaliana (AT1G31190) TAIR;Acc:AT1G31190] MARYLLRPPTTTGAAAAASSLLSSSRRRNGTSTPRPPVLGLRALASRPSKACPVMAVASEQSAATAKYPKVAAPTTGPIPAAELLAVIQDAAKAGAEVIMEAVNKPRNIHYKGVADLVTDTDRLSESVILEVVRKSFPDHLILGEEGGLIGDALSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTISASSGGGAYCNGQKIHVSQTDKVEQSLLVTGFGYEHDDAWLTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGVVSRMDGGEFTVFDRSVLVSNGAVHDQLLDRIGHATEDLKKKGIDFSLWFKPDKYPTDF >OB02G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2753361:2755763:1 gene:OB02G14630 transcript:OB02G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEARCVPTEDTYALLLRAYCNAGSLHRAEGVISEMREHGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKRERCRTNTETYTLMINVYGKSKQPMSSMKIFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGFPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAAFEELMQQGMAPTMKSHMLLLAAHAKSGNVARCEEVMAQLHKSGLTPDTFALNAMLNAYGRAGRLDDMERLLAAMERRGRVAGAGAGGTDVSTYNVVVNVYGRAGYLDRMEAAFASLPARGLAAYGAPPGGGARRRRGDVDVADGRVRAEEGLREVPGDLRGDGGRRLLPGRRHGEGAARGVLRRAAGGAGHRHRQGHAQGGQDALHRI >OB02G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2758449:2761440:1 gene:OB02G14640 transcript:OB02G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:J3L9Z7] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >OB02G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2763436:2765836:1 gene:OB02G14650 transcript:OB02G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:J3L9Z8] MAMAASRSLWASRAASYLKISAFPRAFSTVLKDLKYADTHEWVKVEGDSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDINSPVSGEVVAVNDVLSDEPGLVNTSPYESGWIIKVKVSDSGELNSLMDDGKYSKFCEEEDSKH >OB02G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2766226:2768268:-1 gene:OB02G14660 transcript:OB02G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGKSRKRRSSPSSEQEEMERKKRRDKKESRRSSRDDSGHKEDEEERRRRKKKQHGDRGKDKERDSKEKHSKEKGKRKHKDDGFKEISKDDYFSKNNEFATWLKEEKGKYFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITSGPRSAHRWNIKA >OB02G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2771448:2774279:-1 gene:OB02G14670 transcript:OB02G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQQIFVEMTRMRNEMEKLDGGIRRFTGNDLSNLTLADINDLEQQLEFSVTKIRTRKHQLLNQQVDNLRRKEHILEDQNSFLCRMINENHQAAAMGGGDVKMVAEMAPVLSMLTAPASYYGGEESSSTALQLPPPLQHAVDAAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAGHGLQLW >OB02G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2782363:2783139:-1 gene:OB02G14680 transcript:OB02G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVAAGGSQAYPPAAAYPPQAMVPGAPAVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNMGAAADPYPYYYVPAQQGPGSGMMYGGQQGHPVTYVWQQPQEQQEDPPEEQQQSLPESS >OB02G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2790050:2790445:-1 gene:OB02G14690 transcript:OB02G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGGVVNRPSSASAVVAARRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGWTTPTPPRSSTTTATPSPPASSSPPPPPAPSPPAAALHPRPPIRPAFLIFQLLLLLDISSQPSHGP >OB02G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2792591:2799699:-1 gene:OB02G14700 transcript:OB02G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAVSFKYWDDCLDPEDMQLMWADPQVSKEWLDAGEGQGQKVHLSRDPDGEAYLTQTEMMAVAVITVHRHFKSQLDPYMIGALAEIASGRRLFVDTYDRKTKETKLGMMQVTTEVAQWLGRELGYKYYDIEEDVNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSSPIFQRYLYVKDALLSMRQPESFNELTPDLLANSSSTEGQLICWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDVKKRPYLSRVEVKTVAEITISRHLSTKGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYRDCGHRAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALKLYQDPKKEHTGCCIL >OB02G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2804777:2809443:1 gene:OB02G14710 transcript:OB02G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LA04] MSVAVGWVVLRMISAGSAQFTSIASSSSFARNIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVAITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSTSFMKLVSWYDNEWGYSNRVLDLIAHMAFVNSKH >OB02G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2812486:2812755:1 gene:OB02G14720 transcript:OB02G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSKIAVAILIFSLLAVGGAGACSAVVGRSSTVRGDCENDSGGCAVACRGEGYADGYCFTDVADPGHRVCMCTRQCSPATIIEAVN >OB02G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2816085:2816529:1 gene:OB02G14730 transcript:OB02G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKFAVTTLVLLLLTFGNGESKMCKEHSKTFKGLCLNNNNCISRCITEDYTGGYCSGTLDRKCVCMKECDDALPPQPLPPKSGRHGHHHGRQRHRYED >OB02G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2823103:2828717:-1 gene:OB02G14740 transcript:OB02G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDIIEAIEDAGFEAELLPDSSVSQPKSQSTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSVISKDEIVQAIEDAGFEAAFLQSSEQDKVLLGLTGLHTEIDVDILHDIFNRMEGLRQFSVNLALSEAEIIFDPEVVGLRLIVDTIKMESNGRLKAHVQNPYIRAASNDAQEASKMLRLLRSSLFLSIPVFFMRMVCPRIHFMRSLLLMHCGPFHIGDLLKWILVSIIQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLELLAKGRTSDAIKKLVELVPATALLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGIVVWGTSHVDESMVTGESAPISKEISSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVAETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTSTKIFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKEDIKKRKQEIFSQWLLEVADFSALPGKGVQCLINGKKILVGNRTLITENGINIPEEAENFLVDLELNAKTGVLVAYDSKLIGLMGMTDPLKREAVVVVEGLKRMGIYPVMVTGDNWRTARAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLWLRRYRKPRLTTLLQITIE >OB02G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2840350:2843439:1 gene:OB02G14750 transcript:OB02G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G31040) TAIR;Acc:AT1G31040] MGMRPGWVGGLVEATFFVGCPSHESRKKNEKNIFCLSCCASICPHCSPSHRHHPLLQVRRYVYNDVVRLGDLEKLIDCSYVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMVHGDGGDGGGDLSNILLHQHHHHHQGGGGGGFPRLEDLRVGMEDDDTVAVAASNPVGRRGGGGDGSSDNGGGGGGGGETEETAAKKKKKGGGFFPKILSLGSRRKGAPHRSPLS >OB02G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2856702:2858215:-1 gene:OB02G14760 transcript:OB02G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAATGMVRVERVGGRSVVTTCFAKYPLKLIAPSKVPAAPPPSSPRARXXXXXXXXXGDVISFAVDVGDGCTAAMTTQASTKVYKAVDSKCSEHVLEARVGKDTLFALIPDPVT >OB02G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2859188:2864305:1 gene:OB02G14770 transcript:OB02G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily B, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT4G15110) TAIR;Acc:AT4G15110] MPVAEGAVTDLFGRPLFFSLYDWFIEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRVFTKCSERTISKLEDLIELGEHGQNHTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNIPITRWIVPRQRKFHSDLKVINDCLDGLIKNAKETRQEADVEKLQQRDYSSLKDTSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPTKMRKAQAEVDSVLNNGTITLDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKLPGGYNGAKEGYDIPAGTDIFLSIYNLHRSPYFWDRPNEFEPERFSVPKMDESIEGWAGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPNEVEMVTGATIHTKSGLWCRVRRRT >OB02G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2882940:2887648:1 gene:OB02G14780 transcript:OB02G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPFPLSQSSLPPLPNLLESSSSASPWPTTTPRRTRRGSRSRRRRRRRTGRRPRGETSRRRLRPRRPGPRPSAGDRPIRVYADGIYDLFHFGHAKSLEQAKRLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLDKHNIDFVAHDSLPYADASGAGNDVYEFVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKSLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDGEDVDEEDDDDDDVRD >OB02G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2889761:2891286:1 gene:OB02G14790 transcript:OB02G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYRGQLGDYYCMAAKSAGMPCPDYKRVHEGFKAAYAAMAAKHPCFGHASDMPNIDWWKMCVRDSFVRAGYEYDDATFERIFRRIYCTFGSSAPYSVFPDAQDFLRWLRRNGCAVGIVSNGERRYRDAVLPALGLNQGSEWDFGVFSGVAGVEKPDRRVYEAALEMAGGVAAAEALHVGDSMRKDYAPARAAGMHALLLDRFRTAEAEGWRRSGAVVLPDLAAAREWLTAGEAAARDV >OB02G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2892138:2897811:1 gene:OB02G14800 transcript:OB02G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54310) TAIR;Acc:AT1G54310] MLRACGGASAAPVPALVRARLARQASSAAYAAAAGAASRSALEELAAGRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEATRDPTCALNMERLLEARILSAVDLRRSLGLPSVHTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYRHEIQFLVNKVSDVNHIKWRSSTDILKEEGLDVSEQKELEPSPSSHSGTVEVMENNVLYLISLEGQKTGFYADQRENRHFISTLSKGQRVLDLCCYTGGFALSAAKGGANNVIGVDSSVSALDLANKNILLNNLDTERISFVKEDATVFMKGAISRNEFWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVT >OB02G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2896168:2900454:-1 gene:OB02G14810 transcript:OB02G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAAGSSSGGSGDGGAAAPRRSTRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGLVSLMASHKVVEIVDRYDDACVPATNKTDKLAYIQNPTTNKSCSRTLKVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDTQLRDAKKENDTSTCDPESVLNGTAIVPCGLIAWSTFNDTYAFVHNSSNLRVDKKDISWKSDREHKFGSNVFPKNFQNGPLKGGKSLDPNIPLSKQEDLIVWMRTAALPTFRKLYGRIHTDLKKGDTITVTLENNYNTYSFGGKKKLVLSTSTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKLGDNNYLSWNRHPAGR >OB02G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2903426:2912587:1 gene:OB02G14820 transcript:OB02G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 5F1 [Source:Projected from Arabidopsis thaliana (AT1G79440) TAIR;Acc:AT1G79440] MAMAMATAMRRAAAPGARPNLPXXXXXXXXXXXXXXXXMSVGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTLEVQNPATGEILANVSCMGSKEASDAIASAHSTFYSWSKLTASERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEFFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVIGAITPWNFPLAMITRKVGPALACGCTAVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRTITFTGSTAVGKKLMAGSADTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKYRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLKVGNGLEESTTQGPLINEAAVQKVEKFINDATSKGADIMLGGKRHSLGMSFYEPTVVGNVSKDMLLFREEVFGPVAPLVPFKTEEDAIHMANDTNAGLAAYIFTKSMPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGVDEFLELKYICMGNLS >OB02G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2918722:2920696:-1 gene:OB02G14830 transcript:OB02G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSKKGGGAAVSSNDGRAAAAAPVGGGXXXXXAPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSDHSVKEAAAMEMQRNAASSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAACPAAGYKSLGNQAMLDVCSMGFPSLQDLHMYGGGHIDLQQQQMDQRTATMESFFACSDRVQGGSIGSLGKTRRASPYGATGKSPMMWGGGGDDVDDDDDDPAGKSGQLQMAPPPMMDGGIDVMDSIAADVYEPKPIMSGDSTGSKGGCGYDAPPVSKLERPSPRRPQQLGGGGGSPSVMGAQTRNLSYG >OB02G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2935200:2939145:1 gene:OB02G14840 transcript:OB02G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMAPPKPTSPPLLWDWADAAVPGSSGEAAAAAAAPGRRRKEKRARAEEVGGGGGGGGGGEKVRCQVEGCGLELVGVKDYHRKHRVCVAHSKFPRVIVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLYDHNARRRKPQTDAFSFAAARVPLSLLFDDSREISFVWNKDLLSQVKPFAVSPWESSSEVGTTDGHIYLDKSHLSKSLPTFNTNIYELLRMKGPDASITASKFDGAPDLQHALSLLSASSSGLPDPVQQASRLIQFTGGSQNSRGLPPLHGGNSGSASCADVQPTAQPAHLVRFTMGASSNACESNFFSLNQIN >OB02G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2939876:2942164:-1 gene:OB02G14850 transcript:OB02G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMIGGGGGGGKGMQDNEINGLYNMPSYHKFVEGSQMSVDSADGFNMAYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEVLSNVRKARFRCCISEPMTTD >OB02G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2944273:2949394:1 gene:OB02G14860 transcript:OB02G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATARRKAGLPADFDAEVYGAMEALTLVEEALLADTAIAAAGEEVQGLVGAVGSGSGADEIGQKSAGEAGEANEGDAVKQDEDDDGGEEEEEEEEDGNEMVEEEDDDNDNDNDNEGTQVDGGNATASDGGLVIIFRKSLFAQSGFTLPPEVSETEENNELNKSQIDGCQLANKLQENAQHIHMLLKEEAGEGQNHNIAISSDAMETTRQKADELIKSLGGLVSYLNQFTDLIKENGFENVVGSLWRVKSVCQKFAMFYLPHRCKYECTPELRFVDVSGNNLSGPIPEGLSRFNASMFSGNEHLCGKPLDVACDTFASPSGMSTFMTIAVVLIIVGVILAAAGIATGVLGSGNFGSSYKATLCEGPAVVVKRFKEMNGVGREDFSEHMRRLGRLTHPNLLPVVAYLYKKEEKLLITDYMDNGSLAQVLHGNRGAMLDWGKRLRIIRGTARGLAHLYDELPMLTVPHGHLKSSNVLLDGGFEAVLSDYALVPVVTAQHARQVMVAYKAPECVAAQGKPSKKSDVWSLGILILEVLTGKFPANYLRQQGRQGTSTTDLAGWVSSVVSEERTGEVFDKDMAAGPSGKHSAAVEADMLKLLHADVDQRWDLKTALARVEEIRDPDPAAADPSPPAADSGEPRS >OB02G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2956537:2957567:-1 gene:OB02G14870 transcript:OB02G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSLLSHRQHLLKKSAAGAGAAPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAAPMAIGSASVRQTWLMAATMPSPTPPAPSSKLRLGLGSEEPAGPRKVERRLAKDDDAAVSLVMEPSLAGAAAAAFLSIACSRKLIVFSPQI >OB02G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2957056:2957583:1 gene:OB02G14880 transcript:OB02G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEQAMERKAAAAAPARLGSITKLTAASSSFANLLSTFLGPAGSSEPRPRRSFDEGAGGVGLGIVAAMSHVCLTEAEPIAIGAAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGAAPAPAADFLSRCCLCDKRLDGLDIYMYR >OB02G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2959323:2960460:-1 gene:OB02G14890 transcript:OB02G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESNSKDGKIISCFSYTKKLFKGAFLSQVSMETAYIIGTCLPDIFSTALLSPFGTHLMACYQCCVMDGPKGCQSYNRMFPDASTASLILMILP >OB02G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2961547:2961792:-1 gene:OB02G14900 transcript:OB02G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLNFPVYLMYSCFILDVHDIFKCILYVVDVMFPFHMTIMRNPHLGELEFTLLEQRTKHPLQQNQTFWGEKTDGLDLSL >OB02G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2963824:2966564:1 gene:OB02G14910 transcript:OB02G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCLLVSQQKLRTFFAFATTKLSYFTKSARWTRKNFIQYICQNNPLFVHVTYFALISFAGYAALKVIKPRDKLNTLRDLDVLFTSISASTVSSMATVEMEDFSSAQLWVLTILMLIGGDIFTSMLGIHFMRAKFGRKEPVNTRDHSSYIDIEPITSTKFNPSTQDMKVTVSFSEPHMENEGHVEPSTIEFFGFVVMGYLLMTNLGGSLLIYLYLNLVPSAQQILKRKGIGMVIFSVFTAISSVGNCGFTPVNENMIIFQKNSVLLLIIIPQILAGNTLFAPCLRLMVWSVEKITGKKDCRYILERSKAIGYKHLMSSREGVYLILTVVSFIIMQTVLFLSLEWNSAALHEMNSYQKIVCALFQSVNSRHAGESITDLSNLSSAILVLYTIMMYLPGYTSFLPRNDDGDSKTKMIKERKRLLENWIFSQISYLAIFVILICITERESMATDPLNFNVFSILFEVVSAYGNVGFSVGYSCKRLLNHDAHCKDASYGFAGKWSDSGKAILIIVMLFGRLKAFNMKGGRAWKLR >OB02G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2968389:2971545:1 gene:OB02G14920 transcript:OB02G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAPMSCGSPTRTEKALRLQDGRVGKYGGEGRAKRPAVDAFHGELTPSPPKRAFFSSPPPLGGGLPRWGISKSIRLRRRHHHRSSSSHPRRRRASERAIGPRGKTMKKCPSEINFEAFIHGGSGEADPAAAAEQKPAGSHPPFMMFSAADLSAFSFADSSTITGAIPNHIWPQTQSLNARHPAVSTTIESQSSICAAASPTSATNLTMKESQTLGGTSGSDSDSESMFDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLESQVDQLRGENASLFKQLTDANGQFTTAVTDNRILKSDVEALRVKVKMAEDMVARGAMSCGLGHLGGLSPALNARQRCVPDVLTGLDYAGDDPFTGLSPPDQGQMPGGEVVDGCWGWDSHSNGGMSK >OB02G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2981030:2982961:-1 gene:OB02G14930 transcript:OB02G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKLEEEAVRACHERRSFVKTAIAQRSLLASSHVAYAHSLRRVSLALFYYLAEDEHLYFLQQEAACRHRPCSPEKKVLVVNCLRSGGGAPVHPVVEEQWVDEAAETATVDGFFGVDPGQFFFHPSSYAPANAMPASPLPPPTTTWDFPWDPFSSLHPDHQQYVNYGDVDEGRRSDGEEDEQMLPELEEESDGDSDSDDEEEEAEASPAGEQQPGGGEEEEEKAVDRVNNELRVLASADVEQHSTPGFTVYVDRPPASMAEAMRDIQGHFMKIADVANDVSVLLEVVPYQRKVRPAAHGDVDGDEEGCGGGEVSPEAFQLFKSHKESLDRLYEWEKRLYEEVRAGERVRLAYEKKCALLRSQDANGAEPFAIEKTRAAMRDLRTKLDISITSVDSVSKRIAAVRRDELLPQLTQLIRGYHHHHRSRKNTSDRSGRLMCLILDKKMFRLARMWRVIADAHRAMKRTADEACALLSSSAAASARAA >OB02G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2985925:2992699:1 gene:OB02G14940 transcript:OB02G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDVLWEFNPKNLGVGDAITGGDIYAAVHENTLMEHRVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTYEGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPDRDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTSYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGVDGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEEVLVVKFQKLYDDLTTGFRNLEDEAR >OB02G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:2995236:2999540:1 gene:OB02G14950 transcript:OB02G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRVWQRGSKDMTAMSPPRQRGTAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPAVARRERTDDEIISSVVMRDLLTMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIRSEKVVWGRVSMVDAEKRLLANALEDVDNQIFVLLSDSCVPLHTFDYIYNYLMGTNVSFIDCFLDPGPHGSGRYSAEMYPEIEQRDFRKGYQWFTVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAADVTSELLKNITAVKDNFHITSDDKKAVTTTPCMWNGTKRPCYLFARKFYPEALNNLLKLFSSYTST >OB02G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3000451:3000780:1 gene:OB02G14960 transcript:OB02G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPAVNVERLWSMVPADKAAEAGAGKAPVLDVSQFGYFKVLGKGLLPADRPIVVKAKLISKVAEKKIKAAGGAVLLTA >OB02G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3001459:3001617:-1 gene:OB02G14970 transcript:OB02G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLARVVEYPVKFSLLLQNLQNIISSSDHHLQDHSIVTDRNPSNKADLDI >OB02G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3003055:3007011:-1 gene:OB02G14980 transcript:OB02G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKERHVRKIFFATSINRPRADVQYCIYALSRRLAKTKNWIVALKTLIVLHRLLREGDPTFKEEFLAYTHRGNVLQMANFKDDSSPLAWDCSAWVRTYAFFLEERLECFRVLKFDIETERLMKSPQCSSKAHSRTRTLPCLDLMEQLPALQQLLFRLMGCQPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDALKALAIYKRAGHQAENLADFYDFCKELELARTFQFPTLRQPPPSFLATMEEYIREAPRPLIKGVENDERKLLTYHQEAPKEPESPLKEEEDDPAEPEQEVEPQPEPEQEPEPPQITGDLLNLDAEVNPLVAELEENNALALAIVAPGDQSQASTCQDLFSGNTSGWELALVTAPSSHTSQAVQPRLAGGFDKLLLDSLYEDEARRQQIASFTYNGGTGGAANPFVTNDPFATSSSFAPPSNVQLAMLSQQHQYYQQQYYYQPQLHHFQDQQQIQTMYFQAHEMYYYQQHQMYPATQAGYSNPFGDPFSDLVPMPAPPKQGHSSLL >OB02G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3007645:3010168:1 gene:OB02G14990 transcript:OB02G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPGPTTARTNPSLCLLLPSRKASDPHHQTLAARRTRGEERSIHGDAAADQRDPGDEGARRAGLHQAAPQLGERQEEHRPGHRPLHREVHRHQLAGAALPRLLRHHGDLLPRQPAQGAPPPRPPRGAREARRRCRPPLDPGYVSRTWSLSVVSSFI >OB02G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3012118:3019322:-1 gene:OB02G15000 transcript:OB02G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitotic checkpoint protein PRCC, C-terminal (InterPro:IPR018800); Has 930 Blast hits to 533 proteins in 146 species: Archae - 0; Bacteria - 18; Metazoa - 327; Fungi - 143; Plants - 61; Viruses - 0; Other Eukaryotes - 381 /.../e: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G19270) TAIR;Acc:AT2G19270] MEAGKSGGVGCELCGGVAAVHCAADAAFLCLTCDAKVHGANFLASRHRRRRLGVEVEVEEEEEDDARRLTPKSAPLFSSLPAPKSGPVFSAIPPPKSSSSSSAAAANPKRVVQFRPPPIRQPTGESSDEEDDGAEKRRPSEAEPRPPVSAGAGPVSSFLPPPKHSLGLGSGAARKSAIDTAAPERSNGGAAGPPSSAVNAVAAEKPDTSSADDDDDESANSSDDEEEMPMPEEQQEQQRFDSEAGQVQQQQQSYDAGVGSSNGQEGYAWDPNYYANYGANYGWDPSGNANYGTGAQYVAYGGEHGGVYGNTYGGEHGDGYGHSMAVSYGGGYTGGYEHNAAAATAPPIQEPLLPPEVGRIGGKRGRSDMPAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFYDMKQKEMELAERRSKGFLTKAETQAKYGW >OB02G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3028689:3035129:-1 gene:OB02G15010 transcript:OB02G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGCFRRGAPAPVVLRLMLLLLIAAAAFPPPGRAAPAAADVAAINGLYIALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPTSLGSLSSLTTLHIQNNQLSGTLDVLQDLPLKDLNIENNLFSGPVPPKLLNVPNFKKDGNPFNSSIAPSASPSSTPTGSTPTQTPSSPSSPSGTPSASNSPSNSSGGSTARDSSSPSSRKHKSSTLRTVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPDRRQVREINLAVPAALEKPPEKRKEHAINLERSESEIFASAPPPPPPPPPPPPPPPPGPPPPPRTPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVARVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALEYLHDKCEPPVVHQNFEPANVLLGNGFLVRVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHEAGTLTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIESLSKMVDPSIKGECSEKVLSRFADIISCCIRPEPEFRPSMSEIVQDLARIVSVTGEESE >OB02G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3045588:3046073:-1 gene:OB02G15020 transcript:OB02G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKGEDVAAVYTSVMDHTIGEVHGGLVADGVSDAGGVLAAIRARWEAKLARRGAVQERXXXXXXXXXXXXXXXXXRCSNAPSGGLQHDAAVKEEEVTAIDDGDEDDDDAFFLVTPPTRMQSLHVRPAPAPETNGSAASPALFTRDFLGTVGANARGMCN >OB02G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3048774:3049262:-1 gene:OB02G15030 transcript:OB02G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLILCFAYECLSYSCFFFCLCDLKILLVHIFSFFILHRLLVHIFFFILHRLLCFFILHRLLVHIFFFILHRLLCFFILHRLLVHIFFFILHRLLCFFILHRLLVHIFFFILHRLLCFFILHRLLVHIFFFILHRLLCFFFYVCDYPAHLANFGKLFKVLY >OB02G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3049806:3051275:-1 gene:OB02G15040 transcript:OB02G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >OB02G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3060944:3061120:1 gene:OB02G15050 transcript:OB02G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVHSHTYHIHTVLESVGSWLQINNLHLFSLISYLFKIYQQHVSIPVMESDQLMYYR >OB02G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3061496:3074783:-1 gene:OB02G15060 transcript:OB02G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LA39] MPAGVQAPEASPGRYHRRRDEDDCSDVLGVELVDDGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQSGDRELPEILPRGFGIGEEQLTAMTRDHNYSTLQGYGGVKGLANLLKTNTEKGTHGDEADLACRANAFGANRYPRKKGRSFWVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLSIDESSMTGESKIVLKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAALVLVVLVARYFTGHTKNPDGSIQFVKGHTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIMLKSPADIENLSPVVTSLLLEGIAQNTSGSIFEPEDGKPLEITGSPTEKAILSWGVELHMKFAEEKLKSSIIHVSPFNSEKKRGGVAVIVSDSDIHVHWKGAAEIVLALCVNWLDVDGISHEMTSDKANQFKKYIEEMAAESLRCVAFAYRNLDQEDIPNEEERINWELPDNDLTFIGIVGMKDPCRPGVRDAVELCINAGVKVRMVTGDNLQTARAIALECGILTDSQASAPVIIEGKVFRGYSDVEREAIAEKISVMARSSPSDKLLLVKTLKKNGSVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVYQVAVLLTLNFRGRDILHLTQDTLDHANKVKNSFIFNTFVLCQVFNEFNSRKPYELNIFDGVSRNHLFLGVVSITVVLQVIIIEFLGKFTSTVRLSWKLWLISIAIAFVSWPLAFAGKFIPVPKTELKTCILWCLRRKQRKQDNEGPTPQNDNV >OB02G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3077402:3077569:-1 gene:OB02G15070 transcript:OB02G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVLHLLRDPCSCSSGLGKKRGVWSREGAVRLVLTLGIIVGKKRRTLRYYSVDIV >OB02G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3089343:3092552:-1 gene:OB02G15080 transcript:OB02G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEASVERVKDGATGLEKLVLRAAHGCSVEVYLYGGQVIFWENEYREQLLFVSRKASFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDESPPPFPATASNCHIDLILKQSPEVLKIWPHSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFRLSYHTYFLVSDISEVRIEGLETLDYLDNLQSEDRRTEQGDAVVFESEVDKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVLWNPWDMKAKTIPDFAEEEYKRMLCVGAANVEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRI >OB02G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3105210:3109853:1 gene:OB02G15090 transcript:OB02G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVLGLGLAAAHHHHQPPRCQAEGCKADLSAAKHYHRRHKVCDYHAKAAAVVAGGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPTAGGAQSRDSPPPPPPSKKGTDAGVASSYTSDHKGAVGGGIRRRCLSRLASRRPQLSHSVATYTGSRQRAELGVAAGAAEEAHQRLVVVLSGDVGVLRAICRNHSSILSTSFIPIPLRLWHGDTRTPRRCHAQKFLTDGAEDGGLGTVLLMQHHHQQEQQRQRRRQRVQDADVDGDGDDHHLMTMSSLARHHQQHRHSSGSNNDDNILSCSSASDQHNSAGNNMHFFEVDFI >OB02G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3118573:3118791:-1 gene:OB02G15100 transcript:OB02G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRNTSSLQYIRHFRRCKSKDSYGRFLLCEIPGIFSELKCLCITQQYLPFDQTIFGHTSYPLTLENPLKIY >OB02G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3119550:3124266:-1 gene:OB02G15110 transcript:OB02G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEDDPPPPDRPGGWLSGLVSGAGRLLAAVLGPDSPDSSAGSASSSQESSSSSQSPPPPCSTLGDHGNPGHFSSECHQFNQSGKEFILKDSGEGSLGLISEIYPKDAIMQLLLQETYSRSECDMLIKIIQERVVDSDPDIVEPSIVLPIAWKASQQQDPIAYSSFSPNTCSNVSQQLDNNVEHFQHALKRSHSGSGHNTLDESHSRSVRPKLNDLNISNKQDGVLKNCSDIASFEEATTKEPNAFRGIPEDTKKWFKDIPLLGTDNLTFSNIVSYDDTDNHIVAFHDKLPTITSQTFASTSCEADRDNHCATMLYPYSNQDLIDTFPIKVKPLDDIVSLEPDIVDLSRKNRSSTICNDTCSVSKLMFQEDKEIVTSSSIGLPLENSSRNCTGGASLQRSTGKNSPAYVYRRQNNGNVIRSKSEQFHQGKRITVGRDPDLAPVQAKKPVGRPRKSRR >OB02G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3127229:3130359:-1 gene:OB02G15120 transcript:OB02G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >OB02G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3138350:3144228:1 gene:OB02G15130 transcript:OB02G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPEEVVFRSKLPDIEIDGERTLQEYCFEKMGEVGSRPCLIDGQSGESYTYAEVEALTRRAAAGLRGIGVGKGDVVMNLLRNCPEFAFCFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVREFAAGKGLPVVTVDGPAEGCVEFRELIAAEDELEADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGTLVDLVRTHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDQDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDAATATAGDAAAPKSS >OB02G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3145078:3147732:-1 gene:OB02G15140 transcript:OB02G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LA47] MAAAAPGAVRAERLLRGACVVMAATAALLLGFSEETETVLFVRKTAVAKDVQALWVLTVAAAAAAGYHFAQLIRCLYCSGGGAMAAAWTSFLLDKGCAYVVFASTAAALQACMVGLAGVDAVQWSKLCNIYTRFCEQAAAGMLCSLPPAHPPLPSPPPSPPRRLFRLYSPAAARRSSTS >OB02G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3151226:3158572:-1 gene:OB02G15150 transcript:OB02G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGLEAAAAGDGRPEAKRARPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRTLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDVGTGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWSGEEFDSHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWDILVEHAKTCVLSGKYYIFYSDENRSVGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGQAETQPTLSQPASYEQRISSAGMTGPSPAGGTDSIGYDGNQAAANVPVPYDDTFSFLPPSMLMGSDNQETGNDAMGLELGELQQAISQSHSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASTSLQEDAFGFPSYMPSPLPYEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >OB02G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3163915:3168325:1 gene:OB02G15160 transcript:OB02G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPAGGGAVFLGVDVGTGRARAGLFDEKGKLLGTASSPIQIWKEKDCIEQSSTDIWHAVCAAVKNACSVANAAPESVIGLGFAATCSLVAVDADGAPVSISWSGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLVEGNRAKIGRSVAFPGHPLGSGLTAAAAKELGLLPGIPVGTSLIDAHAGGVGVMESVPDAESKTGMSNESDEQAICHRMVLVCGTSTCHMAVSKSRLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQSKSIYELLNKILLSMANEQNMSFVSALTQDTHVLPDFHGNRSPLADPKSKGVICGLTLDTNEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADITGCPIVLPRENESVLLGAAVLGAVAAKKFPGVRDAMKVLNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSNRAAMAQALQ >OB02G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3168681:3171343:-1 gene:OB02G15170 transcript:OB02G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDGDTGATVAVKVIDKRMVLRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYISGGQLSGKLSYLNRLDEMEAKKYFYQLIDAVDYCHRRDVYHRDLKPENLLLDNKGDLKVSDFGLSVLRKPGQFLSTSCGSPCYVAPEVVQHKSYDGAAADVWSCGVILFELLAGHLPFQDCNLTNLYRRISRAQFAFPQWLTVPQKKIIIRILDPSPITRAKISDIFDNKWLQDHCNPSVGIESDDDCVVIEEAGIDSDSRHNTEVREPEEPDRFINAFQLIATCSELDLSGLFQEQKIKLASPHPVQETLDKIKVAAKDVSMAVRRMNSSVVELQDSKLLARSNLDLTVSAEVIKVTPAHCVVEVSKSTGDLRSYKEFCRSLSSLLNGEQHSASTSDMELD >OB02G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3179867:3180566:1 gene:OB02G15180 transcript:OB02G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGSTAGAKPSYSSYTAAASLGNSGSSSEVGLVPDAICGGGGGGIIEFDFAQSKAAYLPYASTPSHSVSSMDVGVAPPEFSGVDGAAAGRAYPAAAGEDRAARLMRSREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDADAAAPPPPPVMLDFAGYGVVPTF >OB02G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3192325:3192516:1 gene:OB02G15190 transcript:OB02G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRIEGVQCCKSTRKENMFLFKLNILGIVDGQSFGSINEQSQYFYIFKYGGTIVQSRKLLL >OB02G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3195983:3196383:1 gene:OB02G15200 transcript:OB02G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKVSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >OB02G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3199098:3202265:-1 gene:OB02G15210 transcript:OB02G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQFEKKNMKKFRERERERVRHACRLGVRAPTFIIPRSPPPFSFRSAPKISNSRTLPSLPAETLTAAAMGGSHSREDLDLSDSEDDDSPRAPAASSDFATPPPSSAKPSAAAATPASIDAIDRYLRSLHLKYAEPISPGPSPGTAAPAALNAVKLYLHIGGSSPSARWIISDRLAAASLARAGDGDGDADDDAPPTCPWYLVVGSKIRARVGPELQLKTFPEQRRVDFVADGVWAIKFLHADGYPNFYAKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFAPSPSRSPMPSRSPLLKPLMEDLREYEEPVEEGGGIQSLALGALDNSFLVSDSGIQVVRNFEHGIHGKGMSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRHGIVQNLASAAESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLNPLDAHLAGANNKFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQWQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISSKR >OB02G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3214468:3215526:1 gene:OB02G15220 transcript:OB02G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAAVLPLPTYLALPDSPLSIPSGAMRAPGGSGTAMDFKKSFLKNLLSSLQSCSSSKAAAMTLQERKHAVKSSADIAMAAAARGGTAGAGRWPHALLASSSSSSCKMQGKVTRCKSIVRRCCHKRRRGGGSSSGGTSFFARTALGGGSEAARRLVRKRTMVLRRMVPGGELLDETSLLREAMDYVAHLHAQVDVLRRVSRAVQRSNASSVGFAQFKEGTVQISELLRVRRK >OB02G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3215259:3215474:-1 gene:OB02G15230 transcript:OB02G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHHLSTLQDLNLFSTKSVLREAPVLHLLIKLTRDINLIIFLDISPISSRHFCSSFQNDILLAWMVRFT >OB02G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3217350:3217568:-1 gene:OB02G15240 transcript:OB02G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRRRPDRRRVEGGAQARPHAGVTVLARFSGRRRKLTIESARLFRSRAVGFGVPRGNQMYERKKKHFVSGLN >OB02G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3217943:3224231:-1 gene:OB02G15250 transcript:OB02G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLSSWPWASLGSYKYVLYGPVVWKVAEEWREQGAAPVGSWWLHLLLLFAARGLTYQFWFSYGNMLFFTRRRRVVPDSVDFRQVDAEWDWDNLVLLQTLIGATAVAQMRTPTQTLAGLRLEQQLQRGWDPRGWAIALLLHVFVSEPLFYWAHRALHRWAPLFSRYHAEHHRSPVTTPLTAAFGTPLESLLLTVVMGAPLAGAFLMGAGSVGLVYGHALLFDYLRSMGYSNVEVISPRLFQAFPPLRYLLYTPSYLSLHHREKDSNFCLFMPLFDLLGGTLNHKSWELQKEVYLGKNEEAPEFVFLAHVVDIMASMHVPFVLRSCSSTPFANHFVLLPFWPVAFAFMLLMWCCSKTFLVSFYRLRGHLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPELRVRIVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAPAGTHFHQFVVPPIMGFRRDCTYGKLAAMRLPEDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWEHHEVGAIDVDRID >OB02G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3235476:3243598:1 gene:OB02G15260 transcript:OB02G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRAVAAARTKREFPIRAEDYELLEAIGDGATAVVPRARCLPLAGEVVAIKMMNMAQRSEADVIHASEEVKTMSTIDHDNLLGAYCSFTEGETLWIVMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDEHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDIKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLIKDPSKRPTAQNLLQLPFFKKVKFEENILKCMLNKLPSLGARMISIKENEAKLQAEKKPLDKIKEKASQDEYMRGVSEWNFDIEALKAQAALYPDENASCEDDYLRFLFELDTICESAPIQDVQAQNLSKDEIEKNESNGSTRNQNSVDTTPVLQSVKQLENRGNPNGFVRHESFQLRSKSPAKQITRAVSNCADFDEYLKSAIQKGRFKVTVEGAEVEKMEAATPREKELLEQIASLERMLHDSQDEVQRLREKEIKGAMACQQLE >OB02G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3244912:3248888:1 gene:OB02G15270 transcript:OB02G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT2G23820) TAIR;Acc:AT2G23820] MGGTRALPLSSLLAAAAAKSPLPLLRRHHHHRPAASMSSSPSPPPPAPPAPASASSAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKEALDHMCSLLGGGSRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >OB02G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3252832:3256218:-1 gene:OB02G15280 transcript:OB02G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVDGELPGADELDGYDGFVISGSPHDAYGERWDCFRVVDGEFPAAEELGLYDGFVVSGSPHDAYGDDRWILRLCSLLRTLHAMNKRLLGICFGHQVLCRALGGRVGKARGGWDIGVKKVTFVPGLQLFGDLEELPQSASIIEVHQDEVWEVPPRGRVLAYSEKTRVEMFGVGDHVLGIQGHPEYTTDILHSLIHRLVNDHTITRSIGEEAQRTTEGGEPDREFWTSLCKGFLRGPSTPAIATPTVEIDVPSPEMTMSYSHVAGGLYIYIYIYIYIYIYIL >OB02G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3260776:3265892:1 gene:OB02G15290 transcript:OB02G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFEIRVQPHDTIMAVKKNIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEDGFLVVMLSKSKASGSSGALTALASSTPSTRQAPPPDAPQQATQPPAAPSTTPQLERPPADDPSNAYGQAASNLLSGSSLDTTINQLMEMGGGSWDRDKVKRALRAPYNNPERAVEYLYSGIPVTAEVAVPAGGQGANTTEPSSTTREASLSGIPNTSPLNLFPQGGPNDGGGAGGGTLEFLRHNQQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHAINVTPEEQEAIGRLEAMGFDRARVIEAFFACDRNEQLAVNYLLEHAADED >OB02G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3270141:3274625:1 gene:OB02G15300 transcript:OB02G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTNFEIRVQPHDTIMAVKKNIEDIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEDNFLVVMLSKSKASGSSGALTALASSTPSTRQAPPPDAPQQAPQPLAAPSTTPQLERPPADDPSNAYGQAASNLLSGSNLDTTINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPADGQGANTTEPSSTREASLSGIPNTSPLNLFPQGGPNDGGGAGGGTLEFLRHNQQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHAINVTPEEQEAIGRLEAMGFDRARVFEAFFACDRNEQLAVNYLLEHAADED >OB02G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3275425:3277802:-1 gene:OB02G15310 transcript:OB02G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubby like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G16070) TAIR;Acc:AT1G16070] MAAPPAKREPLRAISSNAGTATPAVERRPRGTAAASKEKENEGPEVGRWKEGGEKKTPPARGKVAVTTPPLKPSSLQVRMKDEEEKEKGEPLPAVAGVPVRMGPRGRELLIPTPPPLPSSSSYEAWDLSDNEAAPASSWATLPNRALLCRPLPLDVGRCTCVIARETAGAGAARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFVVAQNQDGIFCSSDKNFLGTLAANLVGSRYRIWGQGNRVEEIKAQSKRLLGVVAFAPTVTTLTGSFRSMRAWIPKNQSIHLKNSNSAQIQHISGLPKDWQEKKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQASVKNFQMTLEENGKQTVLQLGRIGKNRYIMDFRYPLTGYQAFCICLASIDSKLCCTL >OB02G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3283697:3287177:1 gene:OB02G15320 transcript:OB02G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVTWQDNAITQKKHCMSALFCHANVGAVAKSHLGGFLLFLFLALAGAASQTAADVAPGIIRFVVGSANASWQTSDHSVYRHLSTLPQAGMLIMWSISFGVPVKPVLNKLNSVLSSPWKSLDYWKEHTGVYSRFL >OB02G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3289004:3289303:1 gene:OB02G15330 transcript:OB02G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGVVPAVHALLLNWGHAACYLALALELAMGLAYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVLVLVGAVTHYVAVDVLLNWRETVAASCSAAP >OB02G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3289597:3291716:-1 gene:OB02G15340 transcript:OB02G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTAYALVGPPEARRPSAAAAPASTTATTTGDAFLDLMDASFNKPTPRKALTENLSPTFVSSGNACLDFFFHVVPGTPPASVASLLAAAWGADPATALRLVANKRAENAARAVERYSRDASYRFLHDRTADLFADLLRDDMRKLADGSFREFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPRGSSPELGADLPDAHYAYRARERLRKAALVPLRRALKLPEVFISARAWESVVYTRVASVAMKNYKELFLKHDADRFNAYLDDVKAGKKKIAAGALLPHEIVHSLDEGGSDGGWQRMVDDMRAIGKLRNCVAVCDVSGSMSGLPMDVCVALGLLVSELSDDPWRGRVITFSQHPQLHRIDGETLSEKLDFIRFMDWGMNTDFQAVFDKILEVAAGAALSPDKMLRRVLVFSDMEFDQASAQPWETDYEAIVRKYTAAGYGAAVPEVVFWNLRDSKAVPVTSNQKGVALVSGFSKNLLKLFLDDGGALSPRAVMEKAIAGPEYDNLVVFD >OB02G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3293874:3299293:-1 gene:OB02G15350 transcript:OB02G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: autophagy; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 17 (InterPro:IPR007240), Autophagy-related protein 11 (InterPro:IPR019460); Has 8793 Blast hits to 6 /.../oteins in 570 species: Archae - 89; Bacteria - 657; Metazoa - 4844; Fungi - 808; Plants - 441; Viruses - 15; Other Eukaryotes - 1939 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G30790) TAIR;Acc:AT4G30790] MSSGSAVTGGGSAGAAEDAAGAARALGQKLPVHVAENGHTFEFKCGGETPVEAIQRTIETLCGIPPADQLLLCGNTSLDGAHPLAYYKLPRDDREVFLYNKARLLADAPRPAPEAIDIPQPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVANAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYTDFVKCFSQQHRGHTEMLANFEKDVQRLRTVRLHPALQCDGRRSLLDLIKENDLRKLADGCLSSHRQFDLKVSQLKENFMELKKRLDNLFNIMSSTGCKDLETMIKEHEKFIGDQKSIMQSLSKDVNTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVNNIDHMLTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIGIKDMMNELHAFQEVLGHQDRDFDGLKLVSGLGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDTPSQCDVTVAPFDCNLLPIDVDDVEKLAPQSLVGSLLKSERSQLPKSSLSHSGTSGSLSKSEQYPLNADDKMDFQDFLGGFDTVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKETREKTAVALSAKDEYANHLKSMLTAKQNQNLSYEKRIQELEERLANQYIQGHMISGSKDASDSLLSAFKANDCNAHISGGRQNQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDSIACTNLDAFMAELPPDSEHKIVNSNKEGQILTQFTTTDASGVPIEDPLGILNSRTNEHTSELRNKELLVSELQTALESKSKRLDEIESKLNAVVDEVNSLKKELEHTQGLLDESQMNCVQLENYLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALAHSLASVKKDEGDTTSQFQQCIKTLADKVGFLSRQSAELLERYSRIDAANRTYIRELEEKKESVKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYFLSEESVALFTEQHSRHPAYIIGQIVHIERRIAKLPSHGDQMEASRPDSGGRRSPTSMLNPYNLPVGCEYFLVTVAMIPDTIR >OB02G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3302283:3312197:1 gene:OB02G15360 transcript:OB02G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQCRAVARRARISLFGLIQHHIWMSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSSSGSKFVRGGVIDPNAKLRVDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPEKKREEERPKEKERRKSRVIDEFMEELKFEKELRQRRNQEREQWREGRHTDTSSSSSRFDELPDGFDPIGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGNVVLSGSGGPPIASVKPQTSELVLTPNVPDIVVAPPDDAHLRHVIDTMALHVLDGGCAFEQAVMERSRGNSLFGFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSKRVELERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRTSHSGVIPFHSLCGDAPEIEKKANSEDGSDGFRLNEDGALATGKVAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERLYDKDAGIKYGQGEPHRTGRDDIVVNARNASRPGEGTDGGESDIVGLSHYAIEAVHKRSNEYTSTEPVPSKKPKVDPVLPASKWSRDDDASDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDNSNHHQDTILDEEHRKKLRQIEIDVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSLSNDGANSRRSSERMSLERRDRYDDVRDSSRKRRRSLSRSRSPPRRSLERDREHNRNRDTDRLHGNDAGRDRLREKSASRGRDDHYDRSKDREKDRRKGR >OB02G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3317568:3320745:1 gene:OB02G15370 transcript:OB02G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTDKLSEDGESDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVSKFCAKYLHTQVLRNEAYSAGDLGTAVHKAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNGEDDWSFEEGPHSDFAGPTCGSTACVAVIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERERILKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCNDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMILVQFKKPLGQSKKADAGEQSAKNVEQPEIKHSLS >OB02G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3321022:3324328:-1 gene:OB02G15380 transcript:OB02G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G16310) TAIR;Acc:AT5G16310] MSWATIENDPGIFTELLQQMQLKGLQVDELYSLDLDALSDLQPVYGLIVLYKWRPPEEDERPIKDPVPNLFFANQIINNACATQAILSVLLNSPGITLSEELKKLKEFAKDLPPELKGLAIVNCESIRLASNSFSRPEVPEEQKSSTKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGSGVGDIGWLKMVRPVIQEHIDRFSQNEIRFSVMAILKNRREMYTLELKELQRKRENLLAQMGDPSANRHGPSVEQSLAEVAAQIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKMLEEKQQLKPLIEKAKQKSHSSTNLR >OB02G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3325693:3329686:1 gene:OB02G15390 transcript:OB02G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLSVSPSRAKINVEGAFCLPCYSRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMELGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >OB02G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3347175:3349711:1 gene:OB02G15400 transcript:OB02G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKMAGKMVKVREFIMEKDLPAVEELEHLCQAGLSGDDQADNAGAGDENVKKTKTKKKKSGMPVYVEQIGDPFARVRHAPDHVILVAEYGDDEQVVGVIKACVRMVSRGGGGSLRKTKKYVKAACLLGLRVSPSHRRLGIATELVRRAEEWCAARGAAYATMATTASNAASLALFEGRLNPEDSIMVMHKWTIVTLQQEKNNTCANHRFRQAVLGACLAVMDQII >OB02G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3350175:3350414:-1 gene:OB02G15410 transcript:OB02G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKFLVLLLLLILPSEKNVMQITLDHCTLSYGVFHNYLAFVFILLFSYRLVPFLQTISCFPLQNSLRMTPEESICEW >OB02G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3353499:3356195:-1 gene:OB02G15420 transcript:OB02G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:J3LA75] MGEELSLPAVRDALVREEDSIVFALIERARLPRNAPAYEDPAAAAGRSLAEFFVREAEVLHAKAGHYQKPEDVPFFPRDLPPPMFPTKSYPKVLHPFASSVSVNDAIWKMYFNELIPLFTVDGDDGNYAETAALDFACLKAMSRRIHIGKYVAEVKFKDAPQDYSPLIRAKDTKALMNLLTFKAVEEKVKKRVEKKARIFGQNITLEEDSAGKQEGNAGDSECKIDPEVLSKLYDHWVMPLTKDVEVEYLLRRLD >OB02G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3359720:3363472:1 gene:OB02G15430 transcript:OB02G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKKNAHLRELDGAAERLTMVRVDLLDRASLRAAFDGCDGVIHTASPMHDIPEEIIEPVITGTLNVVEVAADAGVRRVVYCSTIGTMYMDPNRDPDALLDESCWSDLDYCKNTKHWYCYAKTIAEKRAWEAATARGLDLAAVIPVMVLGELLQPEMNTSTTHILKYLTGEATTYVNASHAYVHVGDPPAARGGVRGAPGAGGRRYVCAERTLHRGELCRILADLFPEYPVPTRCRDEVNPPKKGYKFTNQPLKDLGVKFRPVHQYLYEAVKSLEEKGFIKKTSNTKALHVQSSPNCQRPC >OB02G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3368166:3372407:1 gene:OB02G15440 transcript:OB02G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVRVDLLDRASLRAAFDGCDGVIHTASPMHDTPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDAPLDEASWSDLDYCKNTKNWYCYAKTIAERRAWEAATARGLDLAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTFINESHAYVHVRDAAEAHVRVLEAPEAGGRRYVCAERTLHRGELCRILTELFPEYPVPXXCRDEVNPPKKGYKFTNQPLKDLGIKFAPVQEYLYEAVKSLEDKGFIKKNSNTKVLHKQSSSPQSSPVSMLISKL >OB02G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3371729:3371992:-1 gene:OB02G15450 transcript:OB02G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNSEITRQPCFVEKPIKASKSTCSLVSFEAKNFACEAPAITDIPSGFRTIRVIIHFRIITREKCVFHRVSCIPFGFQNAVPSFC >OB02G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3384518:3385510:-1 gene:OB02G15460 transcript:OB02G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIEKFSHNKTERPCLNRAVEGTTTEESDTDAGDNVVLLVLALGAEAWRCCRYAAALW >OB02G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3413207:3414664:1 gene:OB02G15470 transcript:OB02G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISSQPSLSLDLRVGLPPLSHHHHQAPVAAVAMVRPKVLVEENFLQPLKKDPEVAALEAELQRMGAENRQLSEMLAAVAAKYEALQSQFSDMVTASANGGGGGAKHPPPPRRAAPSRRRGSARARASTTPPPRPPPPPPPPTQQAPHIHMMPNGLAAASFPDQTECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDNTILVATRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >OB02G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3414977:3415141:-1 gene:OB02G15480 transcript:OB02G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNSFGMEQILFSLLKGVYCCYYKLILKNNFLFPTCTCYKCRWIFLSTQIYI >OB02G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3425034:3425474:1 gene:OB02G15490 transcript:OB02G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANCETEKQVQEEEEGWSDGEFIELEGGFGTGAGLSLRRGINVHDDEEDGAVSGVELERRLHELQHERDRERVAELEAALRRAERRVVEKEMEARLWKDTAELALQRPPPPPPAARRPAMTAPMGNVRPLLVALFTLFFNRRVL >OB02G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3428208:3431539:1 gene:OB02G15500 transcript:OB02G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPPIAAVPPSAAPTAEEAAEEEPKFIPFTGSGRRLDGKASKDKDVSASSPAKRQANSTNGVQPSTASTSQGSSSRKTSGKLVFGPGGNRPSKEADKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >OB02G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3431191:3431842:1 gene:OB02G15510 transcript:OB02G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRVRNGGATDFLLLGETSLVYDDDEVVGAPTRTVVHNTGVLVLHRARAGKGFAQWRIVELEQIMEECKLESCPPCRKWGSMLWTAVLAMRGRHKARLKSGRGGFTLGRQGLGLSYPC >OB02G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3432279:3438231:-1 gene:OB02G15520 transcript:OB02G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B4 [Source:Projected from Arabidopsis thaliana (AT2G25140) TAIR;Acc:AT2G25140] MSRAAVTRLSRAARAAARRHAVGRDPLPPPPPPAGGARRAASAWFAPPMGRLLVPPPPSRRLFQYTQPARYSTSSSSQITPGEFTEMAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISKQPKVIGDTSGPIIGSSFVSILDNARKYKREYGDEFVSVEHILRAFTADKRFGQQLFKDLKIGENELKEAILAIRGSQRVTDQNPEGKFQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRRLISLDMGALLAGAKFHGEFEGRLKAVLKEVTASNGQIILFIDEIHTIVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRFEMEKLSLKNDTDKASKERLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLSRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYEEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSSLILDTLRNTSDSKEAVYEIMKKQVVEMARQTFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALRVLKGDFKEDDTVLVDVSSMAIAKGLAPQKKLVLQRLENANLEFVAND >OB02G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3440721:3445723:-1 gene:OB02G15530 transcript:OB02G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:J3LA86] MTVEERQGRVNGHGSGGGGGRDQFPVGMRVLAVDDDPTCLKILENLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRRNCDAKNRGNDDDAGQKAQGLNNEGDSNGANRNKRQSRKGRDENGDDGDDSDENSNENADSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRPSAGHTPANNILTRMNSPSAFGVHGLLPSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNMSNGAPLSTANRSLQPLESSNQQHLSRVHSSSADPFGALGESPQFPDLGRTTATWQTAVPSNIQDRGHNDSMSQTTLHMNGPKVEPVSSFTASSNQIPLLGNEMQSQVASLATNVPIAFTQEASPFTYGSSTNSRDMLNNSHVFSNSSIINASLPNLRIDNPAVPRQTLDGGNMGIVPPMQDGRIHHQAVANQLNYSDDLMRTTGLQRGLSGGLDDIVVDMFRLERDDDGVPFIDGDWELV >OB02G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3464366:3464965:1 gene:OB02G15540 transcript:OB02G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESITRRSFLSSKIMSMCVLINTCKQSKQALHCKITVLASSRAGACDPLRRAGSRRFHHRPTTAARGRRRRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRLRRRRASRPLLLRPACSSLPWHRTTTTQLAKMAR >OB02G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3466316:3469680:-1 gene:OB02G15550 transcript:OB02G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3LA88] MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKVHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYERRFGPYFCQPVIAGLGDNDEPFICTMDCLGAKELAKDFVVSGTASESLYGACESMYKPGMEPEELFETISQALQASVDRDCLSGWGGYVLLVTPTEVRESVIKGRMD >OB02G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3471634:3474961:-1 gene:OB02G15560 transcript:OB02G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLTPPCSSCISIPFLLLLVVVLCCPLPANGRTHRPPPAAAAPASPSPGPSPAPTTAPVVPPAPAAAPATSSGRVVASNSSLAVTPAAAAAAPSPPLGAVAAVEKRQHHRFRKELIIAVALASIAGLTIVATVLYACILCRHSRRAHDSKDIRSSSDTARVALVPMLTKFNSMKTNKKGLVAMMEYNSLEAATGKFSESNLLGAGGFGCVYKASFEGGLVAAVKRFGRGGQECGKEFENELDLLGSIRHLNIVSLLGFCIHEENRFIVYELMENGSLEAQLHGPSHGSALSWYIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHNKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVSPESPCATRKHSPC >OB02G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3474965:3475300:-1 gene:OB02G15570 transcript:OB02G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAVYPTGTRPCTTKAFFFPLFDISFSISQDQEQANRWFGWSAHKDQEERREEDNHHICICFSLDSLGLHGILSEGHRLLCSCCCPCLLSSPGPGREREIVRGREREREGRE >OB02G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3496006:3500678:1 gene:OB02G15580 transcript:OB02G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCSPGHRPAPATTTKVGATGVGRQHLPFLAPSPLRPAGQRARKKLRLPEVQQLVRSLAVENESIREEMRSLQRACAVLSKENDKLEIRLELSSSRNKAATKDLEGKQRPDQQSVGGVFALPDLNIPVQDAADGSAAH >OB02G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3506207:3509044:1 gene:OB02G15590 transcript:OB02G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKRNVTGDSGAEQSW >OB02G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3510010:3510384:-1 gene:OB02G15600 transcript:OB02G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEASPPSPLSSLRDRLRATVCCCFGSGSGGGGLGDTVAQWRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPVA >OB02G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3512881:3515644:-1 gene:OB02G15610 transcript:OB02G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESISLAPSASTSSPATALDSVPFSSGNPRIEAGRKPWVCMPAVPNHLTYADFCSFCGTFLPHMLEMRIVRIDGVEDQYSVLIKFDTQSDTDGFYDNFNGRHFTSLEDDVCRIRFVEEVHYTQLIEHTHSSVTSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGTSENLWICVICDHVGCGRYKGGHAIAHWKETQHCYSLELETQKVWGYARDSYVHRLIQLKTDGNLFEYNLYGDHSADGMCSTCSGDAGINEALLNSKVVDIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEITAATEKAVGIKVQKSQAKLDKCMEETRFLNDVSS >OB02G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3518483:3522854:-1 gene:OB02G15620 transcript:OB02G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGETKSLAPSASSASSNVALDSVPFSSGNPLIEEARGVVVLHPDPPAAASSSSVLPVGRKPRVCVPAVPNHLTYADFCHFCAFFLEHMLEMRIVRIDGVEDQYSVLIKFDSQSSTDDFYKHFNGKPFTSLEGNVCHVRFVEDVHYTQLIEHAHSSATSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCMSKWTDSSCPVCRYCQQQPEKSTCSICGTLENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNLYGDHSANGMCSTCSGDAGIGEALLNSKVEDIVEEYNDLVTSQLEKQRNYYESLLLEVKEDTQKQIVAATEKAMGTKVQKLQAKLDKCMEETKFLNDIHESLVENMEMWRERIQKAKEREQAAIRLKDKKIAKLEEELRGLIAHFECQNTVTEASNSISSDMKLEDNSSHTI >OB02G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3528146:3529808:-1 gene:OB02G15630 transcript:OB02G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G12646) TAIR;Acc:AT2G12646] MIMATWKPAWLEALNTQKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVASHRAHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKDLSAYLRPCKILQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCPNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPHRSPLC >OB02G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3532204:3532410:-1 gene:OB02G15640 transcript:OB02G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIKRGCRLWSFAITRTGCCYSNGLMIGTCMYPIPPQFMLISLLRKFQISLHFRKEILDVPFLLNA >OB02G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3538085:3542410:1 gene:OB02G15650 transcript:OB02G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMAASRATPPLLLLTLVVFAALLAAAAAAAADASAGGTGAPSTTTFVLAGERTRRKDPLDGLRLYAGGWNISDEHYWASVGFTAAPVFAAAGIWFVVLGIALLLAGRCFCCCPGRGGGGSYSCTALVVSLVLLLAFTAAAAIGCAVLYDGQGRFHGSTTATVDYVAGQSGDAVASLRGFASSMESAKAVGVGPVSLPANVKGRIDGVVRKVSSAADELAARTASNAAKIRAALETIRKILIVVAATMLILAVIGLGWCLLDGFWLQQPFYSVAHSFYYTKSLDVPAPCFSVVGDTCAAMGEWMLLHPQPQARTALDDILPCVDTAAAADALARSKESLMAAVNSSASSPAKNDAGVPAAGARYCLCAPTTHPGSFRCRLHRSAPAAKATVAPPAAAAEEEGEESEMAAVEATTGAAAAVRAFLARASRNSRQDGGRTRCFEPKTSRLGIME >OB02G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3544797:3556380:-1 gene:OB02G15660 transcript:OB02G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCEGKDFSFPAEEQRVLRLWDELDAFRDQLRRTAGGEEFVFYDGPPFATGLPHYGHLLTGTIKDVVARHHSMRGRHVARRFGWDCHGVPVEHEIDKALGITRRAQVLEMGISKYNEACRGIVTKWVDFENGYRTMDIKFMESVWWVFAQLWDKDLVYKGFKVVPYSTGCKTALSNFEAGMAYQNVLDTAVMVSFPVIGDVDNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDKSNGSVYIVAETRLDQLPVKVKVTGKTKSAPSNGSTDGATKIGLDTESYELLENIPGSSLVGLRYTPLFDYFSELQDNAFRVIADDFVTDDSGTGIVHCAPAFGEDDYHACLASGILEGTDLVVPVDDDGFFTDKISEFNGRYVKEVDIDKDIINAVKEKGRLVSKESIKHPYPYCWRSETPLIYRAVPSWFIRVEMIRNQLLECNKETYGVPDYIKEKRFHNWLEGARDWAVNRTRFWGTPLPLWISQDGEEIIVMDSVDKLEKLSGVKISDLHRHHIDDITVPSQRGPEYGVLRRIDDVFDCWFESGSMPYAYIHYPFENWELFEKNFPGDFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPMEVIDKYGADALRFYVINSPVVRAESLRFNKNGVHGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAAFSPIDQAILLRSPNVLDHWIQSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVCFNHKRLKGRTGEDDCRVSLSTLYHALVTTCVSMALFTPFFTEVLYQNLRKVSSKAEESIHFCNFPSTIGQSSLYHYNVQLTWTYNQIDERVEQSVNRMMTIVYLARNIRERHNRPLKTPLREMIVVHPDNDFLEDITGKLKEYVMEEMHVKMVTPCSDPLMYSSLRAEPNFSVLGKRLGRDMGKVSNQVKKMTQDQILAFEGSGEILFSGHHLTLEDIKIVREFKRPANVSDKEIDAAGDGNVLVILDLRVDQSLLETGVAREVVNRIQKLKKTAQLRPTDLVDIYYSSQDSGDSSLEKILQLQDQYIRDALGSSLTSKAEAQADMLSLNQHILHDIS >OB02G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3557241:3566078:-1 gene:OB02G15670 transcript:OB02G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LAA0] MASEIEHGADVNATDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSFLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSVRLLLFLGAYRGRQDKEGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQAAFFLGNARRVHERGCGGNGYFGKLSKLGLAPLLWCIIIGLIVIYVHSVISGQYSSNMTLLFGLFSWLGIFLATAGLVMFYKCSRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAVIRVVGDPDSPTSFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVHKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRGAVSQNGESMPLHVNGTDHGCADPQGNSKSHRHPHGSSKCCSHNKKPDKTPLGLGLGLGHNNPSSRYARSLLPL >OB02G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3569122:3572188:1 gene:OB02G15680 transcript:OB02G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASLLPVAEAGAAFFHRTPPHPEPKMLSLQNEIFITSCGDRDLIDRILSKSKTETMPENKDDGESDDDNDEEGDDEDAENQGDDDGGDEDASEEEGNDEDEDEDPEANGEGGSDDDDGGEDEDEDEEGDDDDGDGEGEDEDEDEEEEEEDDDDVPQPPAKKRK >OB02G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3572517:3578133:-1 gene:OB02G15690 transcript:OB02G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPELAAQVLIPVAAAVGIAFAVLQWVLVSKVRLTPERRGEGGAGKSGPSDYLIEEEEGLNDHNVVSKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLVTTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVHSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >OB02G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3580748:3581131:1 gene:OB02G15700 transcript:OB02G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKFVFSFLAKNPFRHPIKRLMRHAKIFISPTKHPSKRKRKKEITLNSSSVSTASIDHVSATARVIFPHQPQGKHHQYLSVFLKLFAEVVACSKTIWDELICYTVISLISRVLMICFAVIIIEIQ >OB02G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3588515:3596173:1 gene:OB02G15710 transcript:OB02G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGNDNWINSYLDAILDAGKAAIGRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKLFEKEEACRQLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAEMLVSTSFKNAKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGFGHPVWPAVIHGHYASSGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVVASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFDMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPVIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQSKSPMSGRKHIIVISVDSVNKEDLLRIIRNTIEVTRTEKLSGSTGFVLSTSLTISEIRSLLVSAGMLPTDFDAFICNSGSTIYYPLYSGDMPSSSQVAPSIDQNHQSHIEYRWGGDGLRKYLVKWATSVVERKGRIERQIIFEDSEHSSTYCLAFRVVNPNHLPPLKELKKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHRTIILKGEFNIPANRIHTVRRYPLQDVVALDSSNIIGTEGYSTDDMKSALQQIGVLTQ >OB02G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3597299:3600220:1 gene:OB02G15720 transcript:OB02G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADELIPSSPPSPSPPPHHHHPPSKRSRCSPARAFEEAAHRREALLHHLLSIFPCMDPQLLEKALEATGDDLDSAIKSLNKLCLESAVVGESDSVLPAALKLSAEGVVSNGHLDVLTENPPATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMERVGTEAVHNLHKENVMLKEQLTIHLRENAVLKRGVAIQHERQKEFDERTQEVHNLKQLVLQYQEQLKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >OB02G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3604675:3606699:-1 gene:OB02G15730 transcript:OB02G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQGVAEYLHLFLALLVLPLLLLKVARARRASGNGAGQLRLPPGPWLLPVIGSLHHLLGKPHVHRAMAELARRHDAPLMYLKLGEVPFVVASSPDAAREILRAQDANFASRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAGDGDVNVSERAAVLVTDTTVRAMIGDRFERRDEYLEGVAEVGKLLLGFSLGDLFPSSRLANLVSGTARRAEANHRKMFELMDCAIRQHQERKAAMDNDEEDILDVLLRIQKEECHGVPLTMGDVKDTILDLFAAGTETSTASLQWAMSEVVRNPRVMQKAQAELRNKLQGKPTVTEDDLVGLTYFKLVIKETLRLHPAAPMLIPRECGESCKVLWYDVPTGTNVLVNAWAIARDPKYWDDAESFKPERFENSKFDCRGTDFEYIPFGSGRRMCPGPAFAHAIVELALAALLYHFDWELPGGAAPGELDMADETGVVVRRKNDLCLRPAVRVPPRAAMSGHGATDGSALHVC >OB02G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3609608:3611166:-1 gene:OB02G15740 transcript:OB02G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDRFKRRDEFLEILAEGLKLVSGFSIGDLFPSSWLASFVTGGARRARENHRKNFELMDCAIEQHQERRAAAAANGDVVEDDDLVDVLLRIQKGGGLDVPLTMGIIKAVILDLFSAGSETSATTIQWGMSELMRNPRVMKKAQAELRDNLRGKPKVVEEDLINLNYLKLVIKETLRLHLPAPLLLPRESRESCKILGYDVPKGTTVLVNAWAIGRDSKYWDDPEEFKPERFEDSKVDFKGMDFEFLPFGAGRRMCPGIMFALTNVELALATLLYHFDWSLPGGVKPGDLDMTEEMGITVRKKNDLYLDVVVHVPLHTTP >OB02G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3621763:3624392:1 gene:OB02G15750 transcript:OB02G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVQGVTGYLGLFSALLLITLVLRRAAKKANSDAAGRRPRHPPGPWRLPVIGNLLQLMVGGPLIHRTMAAARPRRLDAPLMSLRLGEVDVVVASSADAAREITKTHDVKFATRPWSSTRKFLVADGVGLAFAPYGALWRQLRKIAILELLSARRVQSFRRIREDEVSRLVAAVAAAAQPGGEAAVNMSERIAVLISDTAVRTIMGDRFSRRDEFLECLAIGLKVSSGFSLGDLFPSSRLASFVSGTTRRRAVANHRKQFELIEFALRQHEERRAAAAGAVDDDLIDVLLRLQKEAAFEVLLTMGTVKAVVLDLFGAGSETSANTLQWVMSELLRNPRVIQKAQAELRNTLQGKQSLTEDDLTRLKYIKLIIKETLRLHPVAPLLIPRECRETCKIMGYDIPIGITMFVNVWAIGRDPKYWDDPETFKPERFEDGHIDYKGTDFEFLPFGAGRRMCPGIAFAEATMELVLASLLYHFDWKLPNDILPTKIDMTEEMGVTIRRKNDLYLVPTIIVPPLVAP >OB02G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3634448:3637199:1 gene:OB02G15760 transcript:OB02G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVQGVTGYLGLFSALLLITLVLRRAAKKANSDAAGRRPRHPPGPWRLPVIGNLLQLMVGGPLIHRTMADLARRLDAPLXXXAFVVASSADAAREITKTHDVKFATRPWSSTRRIMVADGAGLVFAPYGALWRQLRKIAILELLSARRVQSFRRIREDEVSRLVAAVAAAQTGGEAAVNMSERIAGLISDTAVRTIMGDRFSRRDEFLESIAVRIKVTSGFSLGDLFPSSRLASFVGGTTRRAVENHRKQLELIECALRQHEERRAAAAGAVDDDLIDVLLRLQKEAAFEVPLTMGDIKAVVLDLFGAGSETSANTLQWVMSELLRNPRVIQKAQAELRNTLQGKQSLTEDDLTRLKYIKLIIKETLRLHPVVPLLIPRECRETCKIMGYDIPIGITMFVNVWAIGRDPKYWDDPETFKPERFEDGHIDYKGTDFEFLPFGAGRRMCPGIVFAEATMELVLASLLYHFDWKLPNDILPTKIDMTEEMGVTIRRKNDLYLVPTIIVPPLVAP >OB02G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3642641:3647263:1 gene:OB02G15770 transcript:OB02G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHGYLCVSLAVVLFTLVLHKLARNRNGSGSGQLRLPPGPWQLPVIGNLHQLMVHGPLVYRTMASMARRLDAPLMSLRLGEVPVVVASSADAAREITKTHDVRFATRPWSATIRVLMRDGAGLVFAPYGALWRQLRKMAILELLSARRVQSFRHVREDEARRLVAAVAAERRPGEAVNVSERIAVFVADSSVRMTMGDRFKKRDEFLESLAEGIRISSGFNLGDLFPSSRLASFVSGTTRQAEANHRRSFELIDCALRQHEERRAAAAGAGAVDDEDLIDVLLRIQKEVGLEVPLTMGNIKAVILDLFGAGSETSANTLQWVMSELIMNPSVMLKAQAELHDTLQGKPIVTEDDLAGLKYLKLIIKETLRLHPVVPLLVPRECQETCKVMGFDIPVGTTVLVNVWAISRDPKYWEDPKMFRPERFENIHINFKGTDFEFIPFGAGRRMCPGITFAEASMELALASLLYHFDWELPSGFYSTKLNMVEKMGGTVRRKNDLYLVPTVRMPPSAAP >OB02G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3659948:3662734:1 gene:OB02G15780 transcript:OB02G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHRQPPPDHDPRPARLPYHGEHGAPAGRASHVAQARRGPRRRRHDGRRRQGDHQDARHQVLHAAMELHHAGPGERRAGAGVRALRHPVAAAPQDRHPRAVQPPARPVVPPRPRGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALFPASRLADFVTGTTRRAMANHRKNFELIECALRQHEERKAAAVDGRDDDEDLIDLLLRIQKEVRFEVPLTMGHIKSVILDLFGAGSETTANSLQWAMSELMKNPTAMLKAQAELRDTLQGKQIVTEDDLAGLKYLKLIIKETLRLHPVVPLLVPRECRETCKVMGYDIPIGTTILVNVWAIGRDPKYWKDAETFRPERFEEVNVNFKGTDFEYIPFGAGRRICPGMGSAEANMELALASLLYHFDWELPGGISLTKMDMVEEMGATARRKNDLYLVPTVRVPRSAAP >OB02G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3669427:3671360:1 gene:OB02G15790 transcript:OB02G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVVGKVASCLGLLLLALLPAVLVSKLAAAKRAGGGGGRPGGPGRLPVVGNLHQIMAGGQLVHRTMADLARRLNTPLLSLKLGEVPVVVASSADAAREIMSRHDVKFASRPWSPTVRVQMVDGEGLGFARYGPMWRQLRKTTVMELLSARRVQSFRRVREEEVGRLVAGVAAARPGEAVNVGERLTVLITDVAVRTVIGDRFERREDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDCALKQHDEQRAAAAGAVDDEDIVDMLLRIQREGGLEVPLTMGVIKALIRDLFGAGSETSANTLQWAMSELVRNPRVMQKAQAELRDVLRGKKSVSEDDLAVLKYLKLVIKETLRLHPVVPLLLPRECQETCKVMGYDVPKGTTMLVNVWAICRDPVHWENAEMFIPERFENNSVDFKGTDFEFTPFGAGRRMCPGIAFAQVAMEIALASLLYHFDWELSNGVVPTDLDMEEEMGITIRRKNDLYLVPIVRVPL >OB02G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3684554:3684904:-1 gene:OB02G15800 transcript:OB02G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAASADDATTTGTSPSLSDMSGAPSRRAMSAMVRCTSGPRAMTWCRFPTTGSRHGPGGSLSPPLPPRFVVVNLKATRPSKRKQRHHTTFSMASMAMANTEHSMASTYIDESLW >OB02G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3684615:3686892:1 gene:OB02G15810 transcript:OB02G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEKVVWCLCFLLLGLVAFRLTTTKRGGNGGLRLPPGPWRLPVVGNLHQVMARGPLVHRTMADMARRLGAPLMSLRLGEVPVVVASSADAAREIMRTHDARFATRPWNPTTRRLRPDGEGVHEQRRAHVAAPADGAMEEEEEEDLVDVLFRIQKDGGLEVPLTMGNIKAIILDLFNAGSETSANTLQWVMSELMRNPKVMKKVQAELRNNLQGKATVTEDDLPNLKDTKYWDMPEMFIPERFDNCIIDFKGTDFEFIPFGAGRRMCPGIAFAQFNMELVLAALLYHFDWELPSGMLPEELDMMEDMGLSVRRKNDLYLVCLCRL >OB02G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3690022:3690225:1 gene:OB02G15820 transcript:OB02G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMIGQRFERRGGFPELLPERVRIASGFSLDDLFPSSWLASAIGGSTRRGEACHRRSYELVDCAFR >OB02G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3690625:3691200:1 gene:OB02G15830 transcript:OB02G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRADKKPKNDEKAQNEVGSVLKGKPSVTEADVADLKYLKMIVMETHRLHPVLPLLIPRECQQTCQIMGYDVPKGSIILINSWTISRDPKYWDDAETFKPERFEDGEIDLKGADYEFTPFGAGRRICPGLALAQASIELILATLLYHFDWELPNGAAPDELDMDEEMGITIRRKKDLYLLPTVRVPLAT >OB02G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3693443:3695672:1 gene:OB02G15840 transcript:OB02G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVVACVVVVLVVFAPLLLVMLSMKLTRSGRDGVRLPPGPWRLPVIGSLHHVMGERLLHRAMADLARRLDAPLMYLKLGEVHVVVASSPDAAREIMRTHDVAFAGRALTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAEASRKKLFELMDCVIRQHQERRAEAAADAGAGVEDDKHQDIIDVLLTIHKQGELETPLTMEQIKAVILDLFSGGSETSADTIQWAMSELMRNPRVMKKIQAELRDKLKGKPIMTEDDLSNLNYLKLIIKETLRLHPVAPLLIPRECREACKIMGYDIPKGTTVFVNVWAIGRDPNYWDDAEEFRPERFENNTVDYKGMDFEFIPFGAGRRMCTGMAFAEATMDLMLGSLLYHFDWELPCGTRAVELDMTEEMGLTVKRKDDLYLHPILRVPQAQN >OB02G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3698809:3704421:-1 gene:OB02G15850 transcript:OB02G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGHAAPLLLVVAGGARGGPPRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAIRRASPSCRPVTRMLFRQKLSFLVAFQAQHAKCAPHLIKPVAKEIRANITDGDNGATEPARELLERLFAKTQSLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLQDAESRVFDDQVRLNRAKKDLDQREREINKAYARQQEMEKSLAKASSDLILQVRQINNLKLLVDEQDKKIASSQELLSQKVIEVDKLKENMLKKNKEAALMRLEIKSKEQLLLEANQAAAQQETTIKELRNEIKRKEVDFARSNGLRKANEQKLKIAEQELEKQNLGWLAAQKELKEVAQLACKDMYNIKGTISDFRRVRSLLDAVRSELIASKEAFSSSRKQIEDQAAQMQKQAQELTDQRLLLLSYNKNLEATQLEIQSKATQLNAAQSRCHELESLLLQENEKVESLEAMLTKERDSLEEKTEEVELLQKELVQKENEHRNSLKLVEIKEAELLEARNEVQSMKSKVESIQIAVQEKDSELSETQRRLAEVNSEVVELQQLLNNKEDQLVQVRTELQDKEQHIQTLQNELDSMRFSCSQAESVVQKIAELTGNLVGSLESEDMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMNLRSEHKALNVKDQELKAVMRRRNVMDKELDKLEELPKDPSDIKRPSDLSNEAMREGIAGEKLKTEAAGVEAEALAATATATLKKLADVAKGFLRSGKTDSGMGLVASPSVNRNKGYSKIEANKEMGVILDAEKEIAGLFSLTEQLITEAGIDCVHQA >OB02G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3716695:3719009:1 gene:OB02G15860 transcript:OB02G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPYDDIFDPDRDDAETPPARRHGLGRGCLQRLQLLPDHEMELRFLYLSLPEPPISAAGSLHRNSAVAGEEDRISMLHDVLLLLIISRLPARERLRVLGYLRPGLQTLDIGGTVIEAGTNPSPATTVPSVQVLALALTFQFSFHLNIMSSFLRCFPNVKTLHVQCKESDLVIRNDVNPNFWNETGPIRCILSSLRTIIVHGFGGHDAEFAFLMFVAENAQMLEDMVILIKEDLREELAAKITALCSTGWASGESKARFVTSRLAGHGIAWSVKAATDFSHDDPFLCL >OB02G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3720133:3724234:-1 gene:OB02G15870 transcript:OB02G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGSDGILPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAIEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHHATPHIIHRDIKSSNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGILLLELASGKRPVEKLNPTTKLTITEWALPLARDKKFKEVADPKLKDVFEEAELKRMVLVGLACTQNKQEQRPVMSEVVELLKGESAEKLSNLENDEMFKPDLTSSFQDSSHSSRPDSSDCITEEKTSKADTIDEAVDSSETVPSAR >OB02G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3727439:3729503:1 gene:OB02G15880 transcript:OB02G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSDSDSAPEELTAVQGVEKHDEISKVEKDSAIRVSQQEKERRRRWAQRRTSSKPNNEEPLDLKDKDTKQEEENEGNEENEERHTIPGMLPTDVIEMLAAREKQTFTSDSEEEITNQKVQKRKKRLKTSGPETILLKDVRSTQHVKNALDFLEKRKNQVPRSDAVLKNANKALRLLSSKGNFF >OB02G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3730821:3732436:1 gene:OB02G15890 transcript:OB02G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELAAAYLLLLALLVVPLVYLARRRRSGRLRLRLPPGPWALPVIGHLHHLAGALPHRAMRDLARRHGPLMLLRFCELPVVVASSPDAAREIMRTHDVAFASRPIGPMLRLVFQGAEGVIFAPYGDGWRQLRKICTVELLSYRRVHSFRPVREDELGRLLRSVAEASSSSSPVNLTERISAFVADSTVRAIIGSRSRHRDTFLRLLEDGLKIMPGMSLPDLFPSSRLAMLLSRVPAKIERRRRGMMDFVDTIIQEHQESRGAANAAGVTGDEDLLDVLLRLQKDMDSQYPLTTMNIKSILIDMFGAGSDTSATTLQWAMAELMRNPEVMRVAQDEVRRELAGHDRVTEDSLGNLRYLRMVIKETLRLHPPAPLLLPRECGEACQVLGYDVPAGTMVLVNAWAIGRDPSRWNSPEEFSPERFERCERDFRGMDFEFIPFGAGRRICPGMAFGLAHIELALAALLLHFDWKLPGGMAAGEMDMAEAAGITVRRRSDLLVLAVPRVPVPVQ >OB02G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3741443:3745186:1 gene:OB02G15900 transcript:OB02G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHIALLVVVLAARHALLAAGSDAHPGYDATEDTCGVPAAEYGGGRILDITHAYRPDMPEFALGEVTGPLVRLRDSMADGSVCNLSELRMHCHMGTHVDAPGHINQAHFAAGLDVDKLDLEVLNGPTLLVDTPRNTNITAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLISAHVVFFKIPNIILVEGLKLDDVQAGIYMLHCLPLRLVGSEGSPIRCILIK >OB02G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3748487:3750124:1 gene:OB02G15910 transcript:OB02G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLSQLHLLLLLVALMAAPLLFLSFRPVREDELGRLLRAVEAATAAPPTGTVNLTDVISTYVADSTVRAIIGSRRLKDRDAYLRMLDELFTIMPGMSLPDLFPSSRLAMLLSRAPGRIESYRRRLRRIMDSIIDEHQERRDATTSGDDDDDEDLVDVLLRLQKEVGAQYPLTTENIKTVMLDIFGGGSETSATTLEWVMAELMRSPGAMRKAQEEVRRALAAAGHDTVTEDILPSLHYLKLVVKETLRLHPLPLVLRRCESPRKVLGYDVPAGATVLVNSWAIGRDPAHWDAPDEFTPERFEAHDGGGGGARDFRGADFEFIPFGAGRRMCPGMAFGLVHVELALAALLFHFDWSLPGGMPADELDMTETSGLTTRRRLHLLVVARPHAPLPKKY >OB02G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3753900:3755750:1 gene:OB02G15920 transcript:OB02G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNIPKGVRRVLFRTLNTDRKLMWKKGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKIPNIILVEGLKLDDVQAGIYMLHCLPLKLVGSEGSPIRCILIK >OB02G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3756891:3758723:1 gene:OB02G15930 transcript:OB02G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIKSLSKKSLSCCMPFTGENSTRLLASHRALSMTPAMAADLLLCLLLPPLLVIPVLLLRSRSSASRGHGGGRLPPGPGALPVIGPLHHLLGGGLPHRRMLDLARRHGPLMLLRLGEVRTVVASSAGGAPEIMKTHDVAFAARPMGPLTRLWYQDAGGGVVFAPYGDGWRQLRKICTVELLSSGRVHSFRPVREAEVGRLLRSVVAAAAAPVNLTSGIAAYVFDSTVRAIIGSRPFMERDEYLQMLRDATTAVPGMGLPDLFPSSRLAMRVSRMPAHLEHQEFRPAGQGAEKAEDLVDVLLRLQKEADFQYPLTTDNIKFVLLDMFGAGSDTSATALQWATAELMRNPRVRHKLQDELRREFAGGGKVTEDRLRGLSYLHMVIKETLRLHPPLPLLIPHQCGGSRPCQQVLGHDVPAGATVVVNAWAIGRDPAAWGDAAEEFSPERLIVHLYVNGVNGDGHSKFDHYSLHKLHSKNNIN >OB02G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3759438:3762596:-1 gene:OB02G15940 transcript:OB02G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT4G38040) TAIR;Acc:AT4G38040] MAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLALFSLTFLSLRSLRAASAPSLALDDRSLPPPSFARPSVYHSPEAFASGYAEMERSFKVYVYPDGDPRTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPKGGNDVENRTILGFWAGHRNSKIRVILARAWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARVSDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLKEHDVYQLKNILKSISQEEFVALHKSLVQVQKHFVWHSPPLPYDAFHMVMYELWLRHHVIKY >OB02G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3765229:3768569:-1 gene:OB02G15950 transcript:OB02G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPPPPHRHRATFSAGARRSAARGRITSSRNSSCLDSCAAKASATASAPGAEPSPGGGEDASGTIDAMEVRSGSPAASFLAKVALAVGGAATATVVSLFIMKQPSSSGPSFSLPQIVDASAAPDAAATMGYTFSLFGKKVIIPEFTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWPSLVNSFSTNASYIISTVLWVYWGVCISDMVPFYLGKLFRQTKASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRKSSE >OB02G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3770385:3777482:-1 gene:OB02G15960 transcript:OB02G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSACTGLQRLWFTAIFPPKKKRKEEGKICILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQCWKAHLMYLSVLESSSYVFINAESWMKFTSTVSCVISNLLLSYKLKIVSLSKATRIVKLKGVPDAVRFYEAPNSSPQQTREVAAEITREFHVRVAPPDQMGARYPHMFLILLLLHGANAALKDPVQKWQTLGGQPPLVIARGGFSGLFPESSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTLIAEVFPNRAKKYKVNGEEIHGWFSLDFTSDELYQNVTLIQDIFSRPSTFDGAMGMFALEDLVGLRPPHIWVNVEYGLFLQEHKLSPEDYILGLPKDFSIAYISSPEIAFLKNLGGKLKKGNTKLIFRFLSEDEAEPTTKKTYGEMLKDLKSVKAFATGILVPKEYIWPLSKDQYLQLPTSLVKDAHALGLEVFASGFANDVSMSYNYSYDPSAEYLQFIDNPEFSVDGLITDFPPTASGAVACLAHTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCLASADLSTSTTASASFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPKAKNAGKFVTFPEFLELAKATNVSGILIEMEHTSYLAKRGLGLVEAVTVALANASYGKEGAKLPQVMIQSDDTSVLAEFKKFPAFLRVLVVGETISDASGPSVEEIREFAGAVTVGRSSIAQVNGFFLTRFTDVAERMHAANLTVHVGVLRNEFMNLGFDYWADPTVEIATYTSSVMADALVTEFPATAAAYFRSPCSDLSKNLSYTILPANAGALVHLAAPGALXXXRRCWSPRTCWTRRCRPSP >OB02G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3777498:3780079:1 gene:OB02G15970 transcript:OB02G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLLPARXXXXXXXXXXXXXXXXAARRCGGLSAARRLTAAASSSSSGPLYPTPPPSEQDIERAKFEQVVKRLGKTARYFKNLGTLGFWSQLVSTVVSAGILSFSMVITGKVTAPFTFYATAAGVAAGFISVFWSFGYIRLSERLRKTASEPAKAPPRADVIKSLKNGIFLNMVGMGAAVLGMQATVGALVAKALTTSSVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLASSLELLRSVAIPPAEAAAA >OB02G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3788297:3788548:1 gene:OB02G15980 transcript:OB02G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTPDEDAAILRAHARLGN >OB02G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3796464:3800957:-1 gene:OB02G15990 transcript:OB02G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASEKTVTGWAARDPSGHLSPYNYTLRKTGPEDVVLKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYRAGDVVGVGVIVGCCRECHPCKANVEQYCNKKIWSYNDVYTDGRPTQGGFASSMVVDQKFVVKIPAGLAPEQAAPLLCAGLTVYSPLKHFGLMSPGLRGGVLGLGGVGHMGVKVAKSMGHHVTVISSSGKKRAEAMDDLGADAYLVSSDAAEMAAAADSLDYIIDTVPVHHPLEPYLALLKLDGKLILMGVINQPLSFISPMVMLGRKSITGSFIGSMAETEEVLQFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIPDDSPSA >OB02G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3821005:3822129:-1 gene:OB02G16000 transcript:OB02G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGGSLMRSCGTSRRPARRRSRSCSGGRRRRGGPWRASSGTRSSRGRWMSPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMPAADVPSFLLPSNPFKSLTEAIVQQIRTIDNVKGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGGARRHDQGGGRLRRVAGRAAAALRRVRVARERRRPVRRRGGRDGARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAAGKWLRSDVTRGQIH >OB02G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3849763:3851164:-1 gene:OB02G16010 transcript:OB02G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAISWQHVWSHFCLCFKDEKLTDDKAALRAFGIRDGDELHFAQHLSVEYRPCKSLSHRRSRTLLDGFSARSRILLDDLDEDDDDNFIDTRHSTSVLEEGLYVYQYNEECREEGRKKGGFFSGWFNYSRLRGNSRTHSEDFIQSSCEYRPLLGKWLSSKKPKAQSK >OB02G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3854339:3854677:-1 gene:OB02G16020 transcript:OB02G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTRVWRLLAAVLLVACAVAAVRSVAGGAEEGAAAPGPASGFGQLRCNPVTDKTCRPGDPRAPENQEEEGGPAFAGVRLPLLPSIPGDTDDDDDELPSFDTHMTILGH >OB02G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3856312:3857651:-1 gene:OB02G16030 transcript:OB02G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGASLLSTPLQLPLAPFSGRGSPPSVVHVARRLPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDERLRKAREAGRRNRRRRMMEEPRFPEEDADSEAARRARDEDKDNWEIDGIL >OB02G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3858503:3860692:-1 gene:OB02G16040 transcript:OB02G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:Projected from Arabidopsis thaliana (AT3G01435) TAIR;Acc:AT3G01435] MEELGNSQGPRADTVAAHCREFMLAIKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKVEYVLEKLDAMQKNVEQCTS >OB02G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3862118:3863357:1 gene:OB02G16050 transcript:OB02G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQENLLVLHTRHGAARRCNSCTIGEESMWFLAPVVAFLHLSVVVLSGEPPAAAKAKVPALIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLQLAAAGGGAGGRPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPTVNMSSLAAGACFASAGAGYDNATSDLFDSYFNGVLGCADIHGAARLQSVLPLWKELDYFKEYTARLRSFRGDDAAAETLSEALYIVSMGTNDFLENYYAPAAHGFENVKAGCCGVTGVFEMGYMCGARSPLTCADASKFAFWDAIHPTERLHRAIADAKMNSTLYVFL >OB02G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3864891:3867781:1 gene:OB02G16060 transcript:OB02G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGECTRVDAAALLLLLRLLLAVAVAPGDGGGKEAAAARVPAVIVFGDSTVDTGNNNQIGTPLRSDFPPYGRDMPGGPRATGRFGNGRLPPDFISESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAAVLSVIPLWKEVEYYREYQRRLRAHAGVARARATVRGALHVVSIGTNDFLENYYALGTGRFAQFTIGEFQDFLVGEARRFLAAIHRLGARRVTFAGLSPMGCLPLERTTDALLGGGGGRIEEYNRVARDYNAKVEAMVASLRAELPRLKVAFIPVYDNMVNIITHPENFGIENVEEGCCATGRFEMGFMCNDEAPMTCEDAGKYLFWDAFHPTEKVNRLMAQHTLDVCYQQGVL >OB02G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3868640:3871873:-1 gene:OB02G16070 transcript:OB02G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQGPVVPKFGSWDAENIGYTVFFDKVRENKTAPTPAPAPAPKAAAHDGYEFDPYEHYENLSRNVPSRPPSSHHHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQGFTVQFEKVKRHREVAKAAAAAPDVTPRMSPELAAPARHHPRRKAKRSFLSKVYRCMFPRVRE >OB02G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3897080:3897598:-1 gene:OB02G16080 transcript:OB02G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIYGDGTGRVSDHHLVGAQVRSRIVEALVVVIPAHAASSPGAAEAAAAAAAEPGWGEVRQLEGGQNWVGSPVNALPAPSGQPAGTPRMPHAASRHGDAGRWGWMPGVRFGEPFTYFQALRFLARCGIGLFSHWHVRTGPVRVGCIDGEFLFLRFFFSKKFDDFRLSLRPR >OB02G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3898809:3908571:-1 gene:OB02G16090 transcript:OB02G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGAKAKAMPVFGPFMAVFMHADATDVALMALGLLGAMGDGVSTPVMLLITSRIFNDLGSGADIVQQFSSKVNVNARNLVFLAAGSWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVVLLIIPGYMYGRILVGVARRIREQYAQPGAFAEQAVSSVRTVYAFAAERATMARFSAALEESARLGLKQGLAKGVAVGSNGITFAIWAFNVWYGSHLVMYHGQQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVLEVIRRVPKIDSESGAGEELGSVAGEVEFRNVEFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPAAGEVTLDGVDLRRLRLKWVRAQMGLVSQEPALFATTIRENILFGKEDATAEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGPHDELIANENGLYSSLVRLQQTRDSNGSVEIGVNGSTSAVGQSSSHSMSRRFSAASRSSSARSLGDARDADSTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPAYAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLSKILTFEVGWFDRDENSSGAICSQLAKEANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILHLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHINAKELFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKGYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHASLMAKGLSGTYFSLVSLQQGGNQQVQH >OB02G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3925796:3932776:1 gene:OB02G16100 transcript:OB02G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALAGLLLLAPLPAPAGATTDSSDASALGNLYSSWNSPSQLAGWSAAGGGDPCGAGWQGVTCSGAGVTEIRLAGLGLDGTLGYELSSLFSLKTLDLSNNHLHGSIPYQLPPNLTYLNLANNNLSGNLPYSISNMVSLEYLNISHNSLSQQIGDLFGSLNSLSELDVSFNKLTGDLPNSLGSMSNLSSLYMQNNQLTGSVNVLSGLSLTTLNIANNNFSGWIPQEFSSIPDLTLGGNSFSNGPAPPPPPFMPPPPRRPRSRPSHPRGSGDAPEGSVSPAGQGDKKQGLHTGTLVGIIAGSTVAALCALLLLVFCICNARKRKDDTSSNSKDFVGPLSVNIERASSREIPEQSPENTSVATMKISPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRLRHPNIVPLSGYCVEHGQRLLVYEYIGNGTLHDVLHYSDEMSRRLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPPDREGDMRDLSF >OB02G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3932516:3933721:-1 gene:OB02G16110 transcript:OB02G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHCLDAVWPSASVFVDDRRVKSLDRPYARVARRKLKSAMMDRCVAHGVRFHQAKVVKAVHNEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPFDIDKMLFMDWRDSHLPEGSEIKERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGEFFCFGMDILLKLDLVGTRRFFDAFFDLEPHYWHGFLSSRLFLPELLMFGLSLFANASNPSRLEIMAKGTVPLAKMIGNLIQDRDR >OB02G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3938252:3938749:-1 gene:OB02G16120 transcript:OB02G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNCVSLLLLLLFMVAALISCVATAHHRELPMSRTAANERGDHEQDQNLVSSRPVASTKFAADHEEEEAAAAMRRCKQGRKSCKNFRTRKLPADGRNHFYGHMPFTADYHSVRRHPPSHN >OB02G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3941785:3946263:-1 gene:OB02G16130 transcript:OB02G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRQAGARLTLLYSHGNAADLGQMFELFVELSAHLNVNLMGYDYSGYGQSSGKPSEQNTYADIETVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPRLRAAVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELAKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPQSSGPSDNNTQTDPEGTEDSKKSTDCREKTRPSIDHRQSTDSREKPRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRFGGVMKSVRYIDCFRVTTASGS >OB02G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3947383:3950156:-1 gene:OB02G16140 transcript:OB02G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative homolog of mammalian BLOC-1 Subunit 2. Protein - protein interaction with BLOS1. [Source:Projected from Arabidopsis thaliana (AT5G49550) TAIR;Acc:AT5G49550] MSGAAPAPAPAQGERDELADSLAELFANVSLMVRGELQGANNQLSLLEKMNQRVAEEYNNYGDVASGLRVFVEQLNEKNQSFDEYVSQIDAIDQQVTEFEAVVSMLDKHVSLLEKKVKSAYNIAPAQ >OB02G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3951381:3954122:-1 gene:OB02G16150 transcript:OB02G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTSIEKEATLLKDLPHIAKHIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYCVEDIIDEYCYNITLVQDEGRFKRVIHKTFFTNAFHRIAVELKDIEEEIKHLSQLKRDYREMFNELLDNASDNADMHLLSSNRSLHSIKEYEIVGMKEDMELLDKWLDPKELGRIVISVWGFGGLGKTTLVRKVYDWEKGLKSFDCYSWITVSHNYNIDAISRKLIQELSEDQSKVPSDLDTMHRGQLNKALKEVLSYKKYLIVLDDVWDTGAFHELIDSLIDDYKGSRIIITTRNNDVASLAQEMYKMKLNPLGNDDAFELFRKRCFQKSNMEYPSHLEELSRQLVNKCGGLPLAINAIGNVLAVQESREIVWRRINNQFRCELEDNPGLDKVKSAMSISFMYLPRHLKNCFLYCSMFPQDYVFNRELLLKLWIVEGFVKQRGTSTLEEVADGYFIELIQQSMMQLVENDEIGRVVSCRMHDIMRELGLTFSRKERFGLADINIETENKDDVRRLLMSNQEQVNQLLRSTMDLPHLRTFIATNKVANYQLLCLLISRCKYLAVLELRDSPLDKIPENIGDLFNLRYFGLRRTHVKSLPRSIKKLSNLETLDMKSTKIETLPKEVAKLKKLRHIFAEKLDDPEEKELRYIRGVKFPHGIFDLVQLQTLKTVEATKKSVKLLKSLPDLRLLCVENVRRDDCATLFSSLSNMSQLNSFLISANDLNDPLDFDAFNPKSTKLEKLFIRGCWDSETFWKPVFRNYGANIKYLTLTFCKNIADPLLSISSSMPNLIFLSIRRGCWAEDITLRAGWFPHLKTLCLGNMELRRLCIEEGAVIRLEVLLLLSLMSLKEVPKGLDLVRSLKKLNVSMPHHEFKVEWERDNWKMKLHHVQDIRV >OB02G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3957668:3958372:-1 gene:OB02G16160 transcript:OB02G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAPAPAPGERDELADSLAELFANVSLMVRGELQCHIIINKFSSLPTLSSSSQSPAQCHIIVNKFSSSPTSPSPSPLQPS >OB02G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3959982:3962664:-1 gene:OB02G16170 transcript:OB02G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLEKEPARLQDLPYTAKHIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYCVEDITDEYSYNIALEQEKRLKCDSAHIHLLSYNGSTHAVKGDEIVGMKEDMELLGKWLYPKELDRIVISVWGFGGLGKTTLVRKVYDWEKGLKSFDCYSWITVSHNVNIDAILRQLIQEVSEDQSKVPADLDNMHHGKLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLVDDYKRSRIIITTRNNDVASLAQEMYKMELNPLGNDDGFELFRRSMFPQDYIFKRELLIKLWIVEGFVIQRGQSTLEEVADGYFTELIQQSMMQLVENDEIGRVVSCRMHDTVRELALSFSRKERFGLADINLETQKKDDVCRLLVSNFDQVNQLIRSSMDLPHLRTFIAANKVANYQLLCLLISRCKYLAVLELRDSPLDKIPGNIGDLFNLRYLGLRRTSIKSLPRSIKRLCNLETLDLNSTNIKRLPREVAKLKKLRHIFAEQLYDPEEKQLRYFRGVKLPDRAFNLVQLETLQTVEATKKSVKLLKYLPELKLLCVENICRADCATLFSSLSNMNHLYDLVISANDLKEPPDFNSFNPKCTKLEKLTIRGCWDSETFRRPVFCEYGANIKYLTLTFCKNDTDPLPSIASSVPNLIFLSIRKGCWAEDIILRAGWFPQLRTLYLGKLEVKRLFIEEGAIIKLEVMLLLSLTYLKGSLKVWSFLHPSRSSMSRCNTLSSR >OB02G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3965322:3967919:-1 gene:OB02G16180 transcript:OB02G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEQQEHAAAAVEGGGGEAYAMDGSLDIDGNPALKRHTGGWRACRFILGTELCYCLAYYGIKYNLVTHLATELHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVASCCVCVAGMLMAALSPLLLVVPAQELVLFAGLYMIAFGVGGLRPSLMSFGADQFDAGDPSERVSKGSLFNWFIFTMSCGAVASTTGVVWVQDHYGWELGLGIPVIVLAAGLCCLFAASRAFRFQATRGGGDPLTRVCQVVAAAVCKFNVAPPADAALLHELPEDDACCSMKGVERIEHTTGLRFFDKAAVVTAGDDDERNPWRLCEVTQVEEVKILVRMLPLWASVAVFYSGTAQNNSTFVEQGMAMDGRVGPVRVPPASLVTFQTLTTIALIPLYDRAFVPAARRLTGREKGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVDPRRPRRGAPRPLLLADGGARLPQSRGVHGLRRQVQLQVQEGLLTFLAMALFGKV >OB02G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3972313:3974550:1 gene:OB02G16190 transcript:OB02G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGPWPAPRTVRQAAELHALLTTSGGILYRPYAHHLLNSAVNCLLPSEPLHLRYALHVFDRLPASTFLLGTALRACFRAGSSSWGDPDRPFLLFRRTRRAGVRPDAFAFHFLFKCSSSRPHALLCTMLHAACLRTMLPSAAPFVAHSLIHMYVELGLAGDARRAFDEITVKDAVAWTMVISGLAKMGMLGDAQLLLSQAPVRDVISWTSLIAAYTRANRANEAVGCFKSMLSEGIAPDEVTVIGVLSACAQLKDLELGHSLHLLIKEKGMLMDENLVVALINMYAKCGDFVHAQQVFDAMGRGPRPHSWNAIIDGYCKHGHIDVARSLFDQMEVCDLITFNSMMTGYIHSGQLREALLLFMNMRRHDLRVDSFTVVCLLTACASLGALPQGRALHAFIEQRLVEADIYLGTALLDMYMKCGRVDEATIVFQRMGKRDVHTWTAMISGLAFNGMGKAALEHFYQMRRDAVQPNSVTYIAVLTACSHSCLLSEGRLYFDEMRILYNIHPHIGHYGCMIDLLGRSGHLDEAMDLVNTMPIQPNAVIWASILSACRVHKHIDLAQCAAEHLLKLEPDEDGVYVQLYNIYIDSRQWENASKIRMLMEERQVKKTAGYSAITVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSVGYSPLTSQITVDVDEEEKEQTLLGHSEKLAIAFGLISLAPNLPVHIIKNLRVCEDCHSAIKLISRLWNREIIVRDRSRFHHFREGKCSCDDFW >OB02G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3975040:3975372:1 gene:OB02G16200 transcript:OB02G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLCLPVWFALFFFLVLFLPLMSSVWRYSIICQIDVEMRIERQPTMIGDGSDSDEANELINDVVLREEYCCCFFLPATCQEWTLIRSVVGIYSSSVVVQCLLWCMLVYC >OB02G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3977150:3977347:-1 gene:OB02G16210 transcript:OB02G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEPFIVNRCCICFLPVMVPGPCDMFERFYNHLSTCQQLQFVRIIELLKIKEKDLYKSGESFMA >OB02G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3980000:3982934:-1 gene:OB02G16220 transcript:OB02G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGDGSPSDSKHASHKEIRDETTPLLPIKAEEEGIHEFNGASFTGAVFNLSTTIVGAGIMALPASVKMLGIIPGILMIILVALLTEASIDMLLRCSHQGKITSYGWLMGETFGRWGRIALQVSVVINNIGMMIVYMIIVGDVLSGTSTTGVHHRGIFEGWFGPHLWNSRPIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGTVEIPKLFPDIDGINSIWELFTAVPVLVTAYICHYNVHSIDNELEDRSQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSIFNDVVRLSYVVHIMLVFPIVFFALRLNLDGLLFPSARHISHDNRRFTIITVSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYAIATKRDKILAVTMIVLAVLSNSVALYSDALNIIFHRKEEA >OB02G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3983763:3984110:1 gene:OB02G16230 transcript:OB02G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLKYSLPAADCFRPQPFLDLIHSEDDDGKRRGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGV >OB02G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3987596:3989377:1 gene:OB02G16240 transcript:OB02G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAAGDDDSPFFHHIVYAVRLLEEDEEEEVVVVVVEEGLMASAFDLDAAMLMGDVLEVMDVDGEEEASNGGGIIPDGFAFGPPGVAIGAAATGFRLTLDVYDTDLEFVEVVGDADAGVSARPSPAASPLTAVESLPEAALSEEEASRGCAVCMDCFASGQLVAPLPCRHCFHGDCIRPWLAIRNTCPVCRRHVRTDDDPGYEQRMARRAIVLAPVEHHQDASTQKTVVLNQTNLNSEGLIKQVVAMLNLVFHILIQMII >OB02G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3990223:3993206:1 gene:OB02G16250 transcript:OB02G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDSSFFGDDEGYGEGEGFCYGPFDVEDFCYGTLSEDSGEEEFCYAPFGDGGGGGGEEFCVSGFAVEDLSDVSSSDVHEIREADRPHDDPLPGTLAFSSGSDEDLADTLHHIVSAMRISEEDEEEEGVEVLGNDGGGEGGLMVSAFDLDTARLIGGILEEDIQVVMGADGVQEEEEEEEAGNGGGIMPNGFEFGSPRVITAAAGFRMMVDAYDTDIYDDFEFVEMLGGQAGDADAGVSTRPSLASQLTVESLPEAALSEEEASRGCAVCMDCFASGQLVALLPCKHYFHGDCIWPWLVIRNTCPVCRHQVRTDDPEYEQRMAWRVIVLAPVEHQDASIQTGGDRATMGAEGATEGVAENGPEQSSS >OB02G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3993971:3994489:-1 gene:OB02G16260 transcript:OB02G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDAMANIACHPSMDFTSFFLSQNDTCLQDFSTVLDMGDPSYICNGIGIGSGSSITMSSVPADEANIQIQVMGHGNNERKKRRLVSNRESARRSRVRKQRRLDELSSQVSELRDTNQRLLVELNHMIAKHARIVRENAQLREEASHLQKRLSEMELEEAEVAAAPRILKVA >OB02G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:3998975:4003148:-1 gene:OB02G16270 transcript:OB02G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFYFQDGRPPTYELKKQCIAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDSWLNSNMVTMDVATQAFIILKQKDQDYITKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLSRIGGGHLTLRELKRGNLIDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEFWFRCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDFRRCKLSGHFFNILFNLNKFMAFESRDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >OB02G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4007977:4008237:-1 gene:OB02G16280 transcript:OB02G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAVALAVLLCSRRKEGRSYGDEEGGAAVPPGMMSVRVLAPLDREAAPRVVVVMAGDDSPSFLASATPLAFAAATKVAPPQPQP >OB02G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4033092:4037169:-1 gene:OB02G16290 transcript:OB02G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLDKVAKMEADLKACDPLKAELQQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALMAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNAANIDRGMLYNTNTTQKDDGAPSLPVGQIAYDSGYGAAQGRTQPAGLGDSLSRNPAGTAPRAGFDPSRGNIYDTSHLASFSSSKVGGHDASRGTTGYNSLKGAGYDPSKASALGGQATAAAAHGSNADYYGSSQAAPPSYAWGQAASTYGSAQVSQSHASAPVQSTSYSAAAVRTFGSTQALPSYAQAQEQPSYGHTQLQSSYGSAQAPFTFAPAQGVSPYGSASQPPQYGAGQAATNPGSAYQAPHGRK >OB02G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4039911:4042161:1 gene:OB02G16300 transcript:OB02G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQIVAVLQIGGEFKTDEDGQMSYSGGEAHAMHVKSGWTFKTFKHEISSTLNNLKLDAYVFKYFLPRNNKTLISISNDKDLKRMVEFHAESETTYIYVMKKTDNRVKTSAVVPAAPADPGVIATTPDGSKRQKICASWENAITGAGQVFEGPKEFRDALHKYAIAHRFHYRFVKNDSSRVTVECTAEGCSWRLHASKSPAKKDFMIKKVFGSHTCESETVKSHRLASQKWVASVIKEKLRDSPNYRPRDIANDLQREYGLSLNYSQAWRGKSIAQRELYNTHEEACNQLPWFCQRIVETNPGSVATVEALEDSKFRFFVAFQASIQGFVNGCRPLLFLDVISVKPNKHWKLLAATSVDGEGDMFPVALSVVDDESKENWHWFLKQLKASLPVSGELTFISNGKSGLWDEVSLIFPDSYHGYHVNYFVEEFKAQLDDSWSEEIKDTMVEHVKKAMYSCKVDEFNQSIELIKVESDKLAEWLLETKPERWSDAFFKGSRLGQYTCSVSETILQWIPGRYELSVVQLVDTIRCNLMEMMYTRREYSNAWTEVLTPSTNQKIQEEMNKALTHSVVCSTGDDGNSNVFEVCDGSVNVVNIDTWDCTCRKWHVSGIPCSHAAAVFERTEHNPLDFCAKYFTAECYRLTYAMSINPIPDIVVPAPSADPAQGTAILYPSPILTRRQVGRPKEKPSDPRIAIKRAVRCSRCKAYGHNKATCKVPIAA >OB02G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4046600:4046797:1 gene:OB02G16310 transcript:OB02G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARTNRWKLGPVQLDINVPPPSTPDPEDPVAVATREVNRQLSQLTYSQLILLAADLIRWFKSC >OB02G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4051937:4065979:1 gene:OB02G16320 transcript:OB02G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyl-CPG-binding domain 9 [Source:Projected from Arabidopsis thaliana (AT3G01460) TAIR;Acc:AT3G01460] MEFGMSLGCGSCRVKTLWLWSSGDVHLVYQQLWICISVRTNAPDGAYLTEFTVQLPNFEGLHLKNTTLYADGNQLIPTFGLAHCLSMKQQFTSMDRDIMADGNIAQRSVHLRRKRRDLPQTSSLPKFAENHELGSANMFMDPSLYSKTMEGSSTENKSSLKPPKFLVENSNRRPHHRTVGLPVQYNDFFITSLGEIDKRPSYHNSFQIWPVGFTSYWHDRITGSLFECEVCDGGNFGPLFKVRRLSCSVFPLPDASTILSQNGTRKAEAIETNENNSLLEETANDTDDNILMLLSDSSETNQDFLSCFSNDMESKRTSLGCDDLHSSNRSVQAVPSHFGTDKIGEFTFEGTSPSSVWRMISCAMMEACEKMYKEHGHLVFFCTHGSEKHLSDNGSGCQNFGPYTPLTRFCSSYGPSIPRVIEKENDVESTYSLLKEWLYQDRIGFDLEFVQEIVESLPRSKACSNYQFLCNRAEFVSSLTVASGSLRVVHKNGQSNGDVVSYGRHGSAVTGLQDHNQASGFRIRELPLGRPISIKLPPELAGEVLQIWEFLGRFSEIIGLKEIPSYEQLEDELIDPWPICANQKEKLSNDIQHGRDHTSPMNSPANVSTSYSNGESGEAAQDKLAAQTLGRCSGVVLPGVHLTLFRVLFGELLSKVAIFVDPNIDPKESKTRRGRKRDTENSAKEFKFDMLTANKLTWPELARRYILAISSISGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVAGMEKDASLLVEAENLICKSSVNEESKVFMMDHKDTDMVDSPEVPATDNKILPDWAKSLEPVRKLPTNVGTRIRKCVYESLERKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLTEACRVKVPHNPEKPRKERNTISIPEAILKKCRIALRSAISSDESKLFGNLLGTTLVNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYYGSWEAFLEDVQEVIHNLHTAFGDRPDVLEMVVALSESFESLYKTQVLDLVEKFDKYLSDKNAGSEMHEELHDILTASNSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQKKAHLDQGAQDVKRQQKKFVGEEAHAFQEELNKLVTAMEEKEYWDLRIQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRSSNFELKDLKYKEEIRTSHARQSRSSKTEQHFSNISGPVENQQCTPKALDHLEEGELGNVGVNLNNPADGVRDGQLNVGRPHKSDQDISSTSMVEEHKSLGLSEQPSGMAIDQIDGDAIDEGSQTQSCEKRPLGVKSSTCDNLNLRETETSTPGRDLPDENASASFQDNLEASTTKSMEFDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKHPWLVADGSMLISKERDISMVNSYPLSAFDCRGWNSASIFIYESDEEIQCLVDWLRDYDPREKELKDSILQWQRHLCHQSSSPLIDPPVSNFSKSEQLIDLPRTKASVLLEQKYGLQLDQDTSDLSKKRGKKVKLGSEERTYRCDCLEPIWPSRNHCLICHETYLVYTEFEGHNDGKCSKIHQSPDESKENDESKVKVPKSDMKEKDSLDRSSVIEPSSDRKFMQCPYDFEEICRKFITNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVLNQNRKDGELNDWTSCLEECNAMSAQKLGQEVSKSAQICPGNMGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRVLAVNGGLVPESSLRPVLGRNSHILKQQKINLLDIEATLPEEALRASKSQQIRRRSWRAFVKDADSISQMVLAANLLEGMVKAEFLKNDWWYWSSFTAAMKTSTVSSLALRIYTLDDCIIYSKDQVSNSEPADNTRSGNKGGRRRREPESSAS >OB02G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4068150:4075418:-1 gene:OB02G16330 transcript:OB02G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAVVIAGVKLLRRRPERRYRCDPLPDDDPELGTSAFPVVLIQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVIKEMVRMECERWAHKGVNITYQIRQDRKGYKAGALKEGMKHGYVRECEYVAIFDADFQPDPDFLRRTIPFLVHNSDIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGIWRISAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVKNKKVTIWKKIHVIYNFFLIRKIVAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTAKLGNALKMKSSNKSSFKKSFMRIWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >OB02G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4084460:4084999:-1 gene:OB02G16340 transcript:OB02G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXRAPPPASASTVVATIAVGDKLPEATLSYLDPADGELKTVTVGELTSGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACVSVNDAFVMRAWKESLGLGDAVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >OB02G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4088280:4090212:1 gene:OB02G16350 transcript:OB02G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPPRRRGLPRALPFFFFVVVPLLLAVAARAQQEYEANLQNACYASNGSSTLGYTCHPTARAGAAAAAASCDAYLVFRSAPPLYASAVSISYLLNVVVDVSSSAEYGALASGKQTTTTNTTSSSSLSAARSLMASDVREALESLTVYKYSDLEKATAGFSEERRVPGTGVYRGVFNGDAAAVKRVAGDVSGEVGILKRVNHSSLIRLSGLCVHRGDTYLVFEFAENGALSDWLHGGGARTGVLGWKQRVQVAFDVADGLNYLHHYTSPPCVHKNLKSSNVLLDADLRGKLSSFGLARALPSGDGGAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVILLELLSGKEAAFAGDGYGEALLWEAAEGLVDGCEDSPSKVRAFMDPRLGGDYPLDLAMAVASLAAQCVAAQPRARPAMDVVFVSLAAVYNSTVDWDPSDHGNSGSSLIGR >OB02G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4091839:4092072:1 gene:OB02G16360 transcript:OB02G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNRGCSSGAEVRKRLPVSTLAGTCASPNYKVYTVVLNGSRSLFSRLPRTVVADMWTRGSRLQRIRFRPKPPTVLL >OB02G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4092210:4092446:-1 gene:OB02G16370 transcript:OB02G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRHIHRHGGGGKKKKKKVQVTPAQRREDDVDGVFNEKVNEQTLGLFAVFLSPARGGGAAAARSPLKRALSLVEEEEA >OB02G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4097486:4097674:-1 gene:OB02G16380 transcript:OB02G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKRELIQAIYVAQNKSYMLPVNGIVLTVTLELCSNRIVRPMLRPISQKNKQSKKTNLYI >OB02G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4101658:4102002:-1 gene:OB02G16390 transcript:OB02G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPTLTFTIDPNNMPEQQISGPPEDEDEDDDDAAAGKEEDEPFYPSTKNSNKNVQSKLSCRQGRQPPAPAPPRRRPATDRPTAAAAPTADPNSYRRRARKGVHRVPERAPFF >OB02G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4107761:4108276:1 gene:OB02G16400 transcript:OB02G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYLLCFSRHSHLSCIVQGGLTGGMQDPRSMQRDEFATPKTHKRKVAYRPLASSQIKAETELLRKEVPHTSGKNQKVPKITFKSEPHLPAPQSDRVTPDSMPESGPADEYRVLRRKYLLLEEENFDLDRQLSRAEEEATVLEDEKSALLDQLVVLEGLVDPSQMQPQRRI >OB02G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4109256:4112088:1 gene:OB02G16410 transcript:OB02G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related (BUB1: budding uninhibited by benzymidazol 1) [Source:Projected from Arabidopsis thaliana (AT2G33560) TAIR;Acc:AT2G33560] MAAAAAAAAAEEPAVVLDKETLMLMGVGAAASPGAEWETFKENVRPLKRGRNVGLLNRALKAHADPAQRAALLAARRKMIEAIDEYRGEDPLQPWLDCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDGRYKDDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHSIYYLSFASVMESKNKLKIANEVFNLGIARKAKPVEKLETTYRAFLQRLSKKKAHHEDDTATEDQPARNFGTVLNRGEIRGQHAENSHLVKPRVTLQRIDANRPLAVYKDENTLPSHGLTRTRSNNTTWQTLGTQADRNKENNMRPAKWTSHKIPQKVGSMVAVQPTRASSIEVFVDDECVREPAPQPQVPRSTKPSILKLRQATSRNLKQETELLMENPLRNFPLTSLR >OB02G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4115647:4123208:-1 gene:OB02G16420 transcript:OB02G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTLRVLVAAARCPSIAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSIADMMDMSDRNLNDSSIVQVAQSFINEAMEGSDAPEPGTPVAPAEMDGKEDAAGMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLQRGKVLSLELLKMVIDNAGPFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDPQVIVDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTTAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKPSETSLNSIDNPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLQGFRLPGEAQKIDRIMEKFAERYCKRNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEDYLSTLYDQIVKNEIKMSADSSVPQSKQPSTVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTAVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVDSEDKTQKSSSTTLSKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSITELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELIVRCISQMVLSRVSNIKSGWKSVFTVFTAAAADDRRSIVLLAFETMEKIVRDYFPHITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVSHEKDTDHQTNDLDPPDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFGSVIYPLFSSDSSTPNGHINITEDDSWNSETKTVAVKCLVDLYITFFDVMRPELSRVTSVVANFIRSPYKPSASTGLSVFQRLTEGLASKLSKEEWKEILLCFKDSAVQTFVLFDNIVRVMQDIEIPDRNESYSEAERYSDHDIYNDDDEEANMETTSYAIVKMKNLMAQQLLVVQGIVKLYETHRRFLYAEHMGIILETLSAIASHASEVASKSTLHIKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALLHDNPSMSEDMNIESHIMLVSEKILRKYLNCAGHEQSSDSSGRDPALHWILPLGTAKKEELSARTSLVLHVMRLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALYDIFQSSIGPIIST >OB02G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4124208:4126926:1 gene:OB02G16430 transcript:OB02G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVDALEVLLQGLSGVSKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAVPITPAIQLVEITSPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVLTAGAAAASLMSSGGGKTL >OB02G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4127237:4130139:-1 gene:OB02G16440 transcript:OB02G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVQADSSATGAAAAWGAGVAEEAEVDAGGGASVLTVPAVEGGWENGAPAGGGGGAAGTELAVAAVAGIDGVAIRARAGGVSCSSRSGEAYLSAAHHPLRRDGPRRPTKQRAGERLRSLGLVPPRRF >OB02G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4129916:4134261:1 gene:OB02G16450 transcript:OB02G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch subunit 3 [Source:Projected from Arabidopsis thaliana (AT2G33610) TAIR;Acc:AT2G33610] MATPSMPATAATANSVPAAPPPPPAGAPFSQPPSTAGTVKTEAPPPASTSASSATPAPQAAAAPVAEESACTITIPSYAAWFSYDTIHETERRLMPEFFEGEAAASGSRGPGAYKYYRDTLVRRFRTRPGRRLTLTEARRGLVGDIGSVRRVFDFLEEWGLINYGTSPSGAKQGREKKEEPALSQPSVPVGSTMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSQHVGSRSEKDCIARFTQLPFGEQFMGPKEDKMQFNNDDSNEESGSQSSKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGRAAISAQSQVDISGSETDSSFNITKEEESSWANGLSTNDLLKEASANAQLQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHYLRELLFSDQLSVAQHQRRPPPVTTESKDDEKPKPVISIS >OB02G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4134554:4141103:-1 gene:OB02G16460 transcript:OB02G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:J3LAH9] MAFFRGLTAVSRLRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELATRSSIPVHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKDGKTIAADNTLDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTIDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYDVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENYCKKAAA >OB02G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4145813:4146505:1 gene:OB02G16470 transcript:OB02G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGGPALAPPPGRMPMGTAVPDGLPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLDSSMPPSMGPRPTGHFALPPMPNPGMSTPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDANLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPTGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >OB02G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4147714:4150727:-1 gene:OB02G16480 transcript:OB02G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSDKFAAIITQVECLHELVQRPREQIADAEALLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEATSLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAAVVNRKRTARPTENICPEQLADSSEGVKTDTDRNVSVIFDILRRNKRARLENLVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVCPRNAPAASAISSGEVSYSHFVFRFDFKDWKLMQEVVAHGEELMPHRTPEYIPCTAEDDDQPDPELCAQKTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRDQQQQ >OB02G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4155040:4159283:-1 gene:OB02G16490 transcript:OB02G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQYHLCLFILLVCFITIPALAESDIENLFALRKSLAEGKGFLRNWFESEAHPCNWSGISCMGLAVVAIDLSSTPLYVNFPSQIMAFRALVRLNVSSCGFSGELPESMANLQHLQYLDLSNNQLAGPLPVSLFDLKMMKVMVLDNNLFSGQLSPAIAHLQQLTVLSMSMNSFSGGLPPELGSLQSLEHLYLHTNEFSGSIPASFGNLSRLLYLDVRNNNLTGSILPGIRALINLVKLDLSSNSLTGPIPKELYQLKNLQSLILSDNELTGSISEEIGNLKQLEVLNLLKCKLSGTIPLSIGNLENLKELYISFNNFIGELPASVGELHSLTQLMTKSAGLTGSIPKELGNCKKLTTLVLSSNNFTGTIPEELADLAAIILFDVEGNKLSGHIPDWIQNWSNVSSMSLAQNMFYGPLPHMPLHLVSLSAESNQLSGSIPAKICQGTSLQVLRLNDNNLTGSIGETFKGCKNLTELSLLGNHLQGEIPEYLALLPLVSLDLSHNNFTGMIPDKLCESSTMLDISLSDNQLTGMIPDSIGKLLSLRLLSIDRNYLQGPLPRSIGALRNLTALSLSGNMLSGDIPLELFNCRNLVMLDLSSNNLTGHIPKAISHLTKLNTLVLSQNRLSGAIPSELCVAFSRESHSDLEYVQHVGLIDLSRNRLTGHIPRGINNCSILVELHLQGNMLSGTIPVELGELRNITTINLSSNALVGPVLPWTAPFASLQGLFMSGNRLNGSIPAGIGSILPQITMLDLSGNALTGNLPLDLLCKKSLNHLDVSHNNITGQIPFSCHDGKESPIPLVFLNASSNCLSGSLDESISNFTKLTYLDLHNNSLTGRLPSALAGISSLYYLDFSSNDFSGDIPCGICNMFGLSFANFSGNRNDDFRLADCDAEGGVCAANRVDRKMPDHPYHVSEAAICCIAIATAIVIVVVVLLVVYLRRRKMLRRRLLVLVSGGENAMADDDEITLSDKLLGKKKHEPLSINLATFEHAPMRVAVDEIMRATRDFNGLHVVGDGGFGTVYRAELPGGRRVAVKRLHGGRRFQGGEREFRAEMETIGKVKHPNLVSLLGYCASGDERFLVYEYMEHGSLEGRLRGSAADALGWPERLRICGGAPRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRVGAVDGRAGP >OB02G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4165302:4170206:1 gene:OB02G16500 transcript:OB02G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSALNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKHASPSFTGSAHSPPTPHRHPHPHPHTHLHPHPPASSSPPPVSPPPPAVKPNLKYKPEPLKPSLSHSSMYNRNQHRKSHSRMTAAAVATVTCTVIVLFIVGFVLRSCTHSPKSTVNNVKSPSANVEKVPKTNDVLYSWNSLTNGGETSSSDVIKPERAMKRRVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELTDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEFMHLRCSPPVAHGNIKATNILLDAQLMPYLSHCGLAKLSQFVSAIRTDSEVRNSAKGYAAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPCLHDFDSLERITDPKIHASMPSKAVSTLGNIILLCFEKSPELRPPMTVITDKLLKLVQSTGLQKTSTTQHLEVDAQEPSFKTTRPYFELSSTVSQSATGGCITQR >OB02G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4170869:4176222:-1 gene:OB02G16510 transcript:OB02G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LAI4] MIHLKQPLVLLPARTSGNGVVGSPLFGAAAACCSLQRRGAGTGGRMSARCSSIEEAAGVSAVTVDRTLTVTATVTVQPPIGVVYLARGIDDLGDLFGKTLLLELVSSELDARTGKEKETVSAFAHKTLTEGKYTAEFTVPATFGPVGAVLVENEHHREMFIKEIRLVTGADDSSAVTFDCNSWVHSKFDNPDRRVFFTVKSYLPSQTPKGIEALRKKELETLRGDGTGERKFIDRVYDYDVYNDLGDPDYKIEHLRPVLGGDDNPYPRRCRTGRPRTIIDPATERRRGAVYVPRDEQFSEVKGLTFSATTLRSGLHAMLPALEPMLANHEVRFPHFPAIDGLYSDGIPLPSQLNAAGASAGGVGGVIPRVVRLIEDTTDHVLRFEVPDMFDRDRFSWFRDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKEVLEGQMVESLTVEEAMAQRRLFILDYHDVLLPYVHRVRELPETTLYGSRTVFLLTDAGTLRPLAIELTRPQSPTLPQWRRAFVHGADATSSWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRRLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAVELSSVAYAATWRFDAEALPEDLLRRGLAVRRDDGELELTIKDYPYANDGLLVWNAIRQWASDYIHFYYKSDEDVAGDEEVQEWWEEVRTRGHADKRDEPWWPAVDTRDGLVGILTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENKEEEMRKFMARPEQALLDAMPTQMQAITIMATLDILSAHSPDEEYMGEHAEPAWLAEPMIKAAFEKFAGRMKEIEGTVDERNNDPELRNRCGAGVVPYELLKPFSAPGVTGRGIPNSISI >OB02G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4185956:4191489:-1 gene:OB02G16520 transcript:OB02G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLVRFSEGTPLSQAATFFANSDPDMPAAPVPAAQVHDWISQNISSSLEFSTEKSTSKEVSQQASSDIDVTMADANATNARNSTPTGTNPAYFRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYVTVYGCSDATVVLGAIGKVVKVEHCERVHIIAATKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATRLDPDLFTNFLIPSWFGTEVQEPTKCCPFPLPEIYGVSQSKKLAALEDIRKTIRELQIDDNRKKELANALHAQFKDWLYASGNIRQLYCLQGD >OB02G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4202194:4206650:1 gene:OB02G16530 transcript:OB02G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQLQQPHDQQQASCSVTAGTMMQASAASSSIHGSSIIRKDPGGGYDMAELDHIFLYLNSQDQASSAIQDQQPQTLNIFPSQPMHAGEPSPRGSSSMATNSSAPSSSALAAGSAVCLREGRQGRRRCRRQGMARSHVSLLGRLGRLIDQKEGAGAGKQHGGGAAAEHEGPKTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQEMMQRSRTQGAILGGGAFSSGIGGQSPEAAWFDGEYARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAPRGRRRRLPPRLRDVAARRRALLPLDRWLPPLRDHQGNLTAST >OB02G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4210312:4211784:1 gene:OB02G16540 transcript:OB02G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHAEPLTEQQAAGVYGVQQWAREREEALDRDLDATHRALSDAVSSDALPPPCPPAAAFSDVAMAHLSLAVANLTSLEAFVRQADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPPPPPPPPPAAGRLHP >OB02G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4211759:4215325:-1 gene:OB02G16550 transcript:OB02G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTKLDLLERIVKQLFPEAHCQNWPPAAVQPIWKTIWETKSSCLREGVFRTTCDPQLIDALPPESHNARVAFLTPKSVSPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLFSYATAWDVLREDAASLTQDVTSLTEDATQKLPITIEQVKERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPKHSIMQLQKAWPGSEVRWVTGGHVSSFLLHNDAFRKAIADALDRL >OB02G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4215013:4215240:-1 gene:OB02G16560 transcript:OB02G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRCIVLGSVRYLLIRHTVAQTSVELSSPAILSLQSCSGLFVKFYNFIIGYKSWNSELYSHVPSINLRNTFIILL >OB02G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4218609:4224139:1 gene:OB02G16570 transcript:OB02G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAAAAIGVVAVFLVAAVHGVAAKDCTNALPGLTASHTERAAAQLRPEGGEAVRMLDLLPHGHGHGHGGDHDDRHLTPTDESTWMSLMPRRLLGGGRREAFDWLMLYRKLRGSGSGAGGAFLSEASLHDVRLQPGTVYWQAQQTNLEYLLLLDVDRLVWSFRTQAGLPASGTPYGGWEGPNVELRGHFVGHYLSATAKMWASTHNDTLQAKMSSVVDALYDCQKKMGIGYLSAFPSEFFDRVEAIKAVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVGMANYFSDRVKNVIQKYSIERHWNSLNEETGGMNDVLYQLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVIIGAQMRYEVTGDILYKQIATFFMDTINSSHSYATGGTSAGEFWTNPKRLADVLSTENEESCTTYNMLKVSRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVTYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDTPVLNIIQYIPSTFNWKAAGLTVNQQLKALSSLDMYLQVSLSTSAKANSQSATLNVRIPSWISANGAKATLNDKDLGSVSPGSFLSITKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQAILFGPFVLAGLTTGDWSAKAGHTSAISDWIAAVPSSYSSQLVTFTQESSRKTCILSSANGTLTMQERPTVDGTDTAIHATFRVYSQDSAGQHDTHTATLKGTSLQIEPFDLPGTVITNNLTLSTQKSSDSFFNIVPGLDGKPNSVSLELGTKPGCFLVTGADYSVGTKIQVSCKNSLPSINGIFEQAASFVQAAPLRQYHPISFIAKGVKRNFLLEPLYSLRDEFYTVYFNLGA >OB02G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4225338:4226096:-1 gene:OB02G16580 transcript:OB02G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVAPPVNWDLVVQSISSPHSAVNYLQSGNYMTISTRKLCPELMRRLLIYLYVRIVSYSFGYLVLHCLFDEQIIVEMEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDGGKEHIVAGGSGSAAVAVAQVEAKTLIVQPADVAGTSEEVAAAPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >OB02G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4229106:4233367:1 gene:OB02G16590 transcript:OB02G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRARVSRDGDDEEEEEPAPAAAEGRSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDETGITDDDALVGDAADNLQEYFRAVYKKVTEADIEEFEATYRGSDSEKKDLKDLYTKFKGKMNRLFCSMICSDPKLDSHRFKDIIDKAIAEGELKSTKAYEKWGKKISEIEPPTNPLERRVKKKKKSEENDLILAISQRRAQRKDRFDSVLSSIMSKCDARGSSSSEPTEEEFERARQRLESRRAKNRK >OB02G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4235346:4240228:1 gene:OB02G16600 transcript:OB02G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVVVALALSLVGGLSTSVGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTIVPTTDAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLRVGLNLAIAIALHNIPEGVAVALPLYFATKSKWQAFKVATLSGFAEPLGVIVVAYLFPRSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >OB02G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4252614:4253992:-1 gene:OB02G16610 transcript:OB02G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARTSNLRISRGHGHGCQPSSVKEPFPFLSLIASSSPSSSSSSRHQQQEGRGGHDQLLQYACLSGEHQYREEEEEVTVALSIGLPVISSSSPSSSVAPATKLANQDGGGTCAGGGGGEEGTGGDGVSCSGGVGPAVGSVRTAGGGGQYWIPTAAQILAGATQFSCSVCHKTFNRYNNLQIDSGPGGGSVRTAGGGGQYWIPTAAQILAGATQFSCSVCHKTFNRYNNLQQPRVTR >OB02G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4260645:4266769:-1 gene:OB02G16620 transcript:OB02G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer envelope protein of 80 kDa [Source:Projected from Arabidopsis thaliana (AT5G19620) TAIR;Acc:AT5G19620] MPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLKDAFHDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTVGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFVFNIEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEISCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGVRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >OB02G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4277663:4282910:1 gene:OB02G16630 transcript:OB02G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLPVDGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESISVSVLQGQAVVQYRPEETDAITIKEAIEGLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSVLESVEGVNNVECDTVGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFSASLYSPPKQREAERHHEIRNYRNQFLWSCLFSIPVFLFSMVLPMLSPSGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSDSFEGQEFFETSAMLISFILLGKYLEVVAKGRTSDALSKLTELAPETACLLTLDKDGNVISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPISKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVAAFLTWLGWFIAGLFHIYPRKWIPKAMDCFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTIGKPSVVQTKVFSKTPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPVTSDVEGYMSETEELARTCVLVAIDRTICGALSVSDPLKPEAGRAISYLTSMGISSIMVTGDNWATAKSIAKEVGISTVFAEIDPVGKAEKIKDLQMKGMTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYRKPLQVEEVAGPKNDPDLV >OB02G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4284349:4286594:1 gene:OB02G16640 transcript:OB02G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGTGRRRRSTALAAAVVAAAALALMASQAAATPGATPSTPEGALDIAQPGAKGDGKSDSTRFILQAWTHACRATGTQKIVIPPGNYLTGALLLAGPCTSDIIIRLDGNLLGTADLNAYKTNWIEIMHVNNFAINGHGTIDGQGPLVWSHNECHKSYNCKVLPNSLVLDFSTNVTIRGVTLLNSKFFHMNIFQSKNVVVDKVTITAPGDSPNTDGIHIGDSTNVTIRATTIATGDDCISIGPGTRTVRVHGVRCGPGHGISVGSLGRYKDEKDVEDVVVSNCTLRGTTNGLRIKSYEDSKSELRATRFVYDGVTMDNVSYPIIIDQKYCPNNICSKSGHSKVAVTDIVFKNIAGTSATPEAVTLNCANNLPCQGVQLQNVDVKFAGKGNTTIAVCHNVHGKATNVAKPLSCL >OB02G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4286959:4290551:-1 gene:OB02G16650 transcript:OB02G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQLRSFVEVAPGSHFPIQNLPFGVFRRGGEQPPRPAVAIGDFALDLAAASEAGLFDGPLLSGSPCFRQETLNMFLGMGRPAWKEARATLHKILSADEPILRDNEALRKKCLVPMSDIEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPINPNWFHLPIAYHGRASSVIISGTDIVRPKGQGHPTGDSKPYFGPSKKLDFELEMAAIVGPGNKLGKPIDINDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTTVSPWIVTLDALKPFTCDAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPEPESLGCLLELTWNGQKEIPVGNSTRKFLEDGDEVILTACCKGDGYNVGFGTCTGKVLPALP >OB02G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4292236:4295180:-1 gene:OB02G16660 transcript:OB02G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGKGAMLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHAISGGLALSSTKGAIGHLLGAAGSVEAIFSVLAIHHGIAPATLNLEQPDPVFEGAFIPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >OB02G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4300193:4303775:1 gene:OB02G16670 transcript:OB02G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3LAK0] MLLGFISFLLSVFQKFINHICIPESAARLMLPCMRETSEATGDAAKLCKRKGEVPMLSEEALHQLHIFIFVLGVVHVVFCVTTLLLGVAKMKKWEKWEKDIQQGRIKERPKQSDWMKFVVVRWTISFLKQFYDSVGKPDYQVLRSAFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVILFLLLNINGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDGTSGDPWTKPSKEHFWFSKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKSYALPRLAIGIVVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKPQHPHSHLRIPFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRSRTGTTRRRHRKAGPD >OB02G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4308543:4308803:1 gene:OB02G16680 transcript:OB02G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKTVKQKIKKKIGKNWVLELVVEGSWLTKLNAQRSSLSSPNGAFSCNVSITHHTSRSLLLPPLDLLPDVLAINPTSSSHENGS >OB02G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4321304:4322257:-1 gene:OB02G16690 transcript:OB02G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAKHGRKLLHTALGPRMHGFSRGGPHLSVTGLDSEAAVRTGRRRERRRSSRDHSGGGGEEMGLGGRGVVGERWSQRVLWLCAIGSAVSLYYVAVERQAQNRARAMAEGLKALDGAGAGAGEDV >OB02G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4325762:4328201:-1 gene:OB02G16700 transcript:OB02G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEDGGLFGWGYSENGRLGEIGQITEAPSAKELLGKTVDKYSSSMLEAVEKMVAEKIRSEDNMPIIWEPSFVREVSQVEVSDVSCGLDHSLVLCSDGAVLSGGDNTYGQLGRKSTWSKFLPVEINHTPFSVSASVGHSLATCNISTEGTDHAETGVLSWGWNCSSQLGRPGEEDIPALVSGLNGEKPVSASAGRVHSVALTSKGEVWVWGSGRNGRLGLGSSMDEREPCLIDTLEGAEVSEVAAGMDHTLLLVAE >OB02G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4328170:4328358:-1 gene:OB02G16710 transcript:OB02G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXSRPGTTTPSRFPPKARSGRGAATTRASSAAGTTPRGDLAHPRFSISHRRFLHKSASNTQC >OB02G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4338442:4340646:-1 gene:OB02G16720 transcript:OB02G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 3 [Source:Projected from Arabidopsis thaliana (AT1G61520) TAIR;Acc:AT1G61520] MAAQALLSGRQLLGRPLQSSASRSSSSRKSPFIVRAEATPPAKQGADRQLWFASKQSLSYLDGTLPGDFGFDPLGLSAPEGTGGFIEPRWLAYGEVFNGRTAMMGVVGMVAPEGLGKLGLVPPETAIPWFQTGVIPPAGTYSYWADPYTLFVFELALVGFAEHRRFQDWYTPGSMGKQYFLGLEKYLGGSGEPAYPGGPLFNPLGFGTKSEAEMKELKLKEIKNGRLAMLAFLGFAVQAIFTGVGPVQNLLDHLADPVHNNILTSLKFH >OB02G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4342092:4345153:-1 gene:OB02G16730 transcript:OB02G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYFLLHQCVFLVHSYFYLHQCRSWASMIVKLIFGSLWCLVHLVISLFGLLSHLRNNLECYLISFKLLPKYENLLLERLQYLGIVVDSGEAKNALKVKQLLHWFSTLGIKYLVLYDIEGVLKELLQPGIEASRDENPRNSLDVFAETKASMCSREGMLIECLSGCDGKEAIAKAANLLYSACCNSGKSCKFVFTEADMTNVLKVLGSGGPEPDLLLVYGPGRCHLGFPAWRLRYTEIMYMGSLESMKYGSVLKALYQFQHKYQNYAKILRT >OB02G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4347000:4348778:-1 gene:OB02G16740 transcript:OB02G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGAAALRRLHAALPPRLKRLAVHPPKSVEVEFADGSSFHLSAEFLRVYSPAADSKIRSVGGEKVIFGRRHVGIMSAESVGNYGIRILFDDLHKTGIFTWDYLHHLGSDKFGLMRNYIITLRKHGLSRDPQRRK >OB02G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4353682:4354409:1 gene:OB02G16750 transcript:OB02G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGEFDSEPRKCENSVETKMVEGGRGGLKKQLNVFCLGLKKRLNEWRWTK >OB02G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4355985:4362923:1 gene:OB02G16760 transcript:OB02G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRKYKRLDLELTNARGLIIKCSHYVPAFIPENTSLPCVIYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTAKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLKLAPKTLIPALFGHALNDMFIQPHHCDRIHHAYGGDKSILKFEGDHNSPRPQAYYDSVSMFFYNTLCPPQLPVPCSNKLRTGAYKVGTVTNESLIFEIINDLRGAGTNSCSSSTDASKFPNSTTSVVELLSKSVNQLSIKNDNDLDFLLDENHSLSEIDGDSVGSHLQDKSSRHNEESCSCTSSNRESWGRCSSLGGASDGSSPGDISDKQENLTVKALATPLRQKEGKLTTPKTKEKKIHSLWKKLNRERTGRGDSLSQRLKMCLGHSPRHKRTKSSGIV >OB02G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4364702:4367826:1 gene:OB02G16770 transcript:OB02G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGRGDSPRHSSPASKLEKKMVEAMQQRAQQGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQEELKHCFQKLGIAFTDEEIKDLFQACDIYEHMGMKFNEFIVFLCLVYLLNDPLVSEARKRMGLGNLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFGEFIFCGITTNLTSTHNLGPNNTLLVVPEEMDWDKNGTVTFKEFLFAFTRWVGIDENEDDDEDDE >OB02G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4370407:4373779:1 gene:OB02G16780 transcript:OB02G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHDGAPAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGAHISKVLSVTLDQWSDNEIDNMIEVGGNSHANAIYEAFLPESYSKPHPDSTQEERENFIRSKYELQEFLEPSLRIVSHQSSESGKHAESGSHHSVSSKSDVGMVEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKVQTSVIKANLNPVWNEDLKLSVPQEYVPLKLQVFDHDMLSKDDLMGEAEIDLQPMINATAAFGDPELLGDMQIGRWLKSGDNALVRDSVVVVAGGRVRQELSLRLQFTESGEVELEMEWIPLNM >OB02G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4373379:4373630:-1 gene:OB02G16790 transcript:OB02G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEVTADFVLHVEWNPLHLQLHLAGLGELQPEGQLLPHPAAGDHDDAVPDERVVAGLEPPADLHVAEQLRVAERRRGVDHRLQ >OB02G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4375913:4381498:-1 gene:OB02G16800 transcript:OB02G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECIVLRSAFGIQKFLLHPKHNSQDDGKGVYDKDGSCNMKGRKLVKQIEIEVKKIRVVPQRPRLRATSSFRNLYMQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKVNQRDSISPQYLKPGTGESQLFYLENQGDAILVEVQDNNRVVIGRTKIQVSSVTDTHMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLVVSGSWRWLLDEFSDYYGVSDAYRKLRYLSYILNIATPTKDCLELTYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPILDCAAPALAPAVQIFSVMHDILSNEAQNILRNYLQTAVAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRELFHGYIMVWIEDTRLQLLDNCRADKLSCPAVSTTSTFVEQMYEQIKESINEYGVVINRWPHYLMNLESAIADVEREIMKALEKQYVETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESEIRERMQALRAHLSESIYNLHEVFSSRIFVAICRGFWDKLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNSLQEKDLDPPQSIVDARSILC >OB02G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4392620:4397374:1 gene:OB02G16810 transcript:OB02G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT3G13235) TAIR;Acc:AT3G13235] MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGSEIQNSDKLSTVGVQDGDLVMMVKVTSNERPSQDVIGLNPDGSAVNPQAFRQHIRSDSQLMAQLLQNDPGLAQAILADDINELQNNLRSRHQQRLQLKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIHDEDKLSKQASLSQGAAGESSTAREKAPDAPQRAPTTSAPAVNPPQGGDFEAKVTKLVELGFDRASVVQALKLFNGNEEQAAAFLFGG >OB02G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4398189:4405173:-1 gene:OB02G16820 transcript:OB02G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVGFIFLLCSVASLLGAAAAAAETQSYVVYLGEHAGVAAATATAADKEALARRAEDSHYELLAGVLGDKEKAREAIFYSYTRHINGFAANLDAAAAAEIAGRKGVVSVFPNRGHKLHTTRSWQFLGLAGPGGVPTSAAWRKARFGEDTIIGNLDTGVWPESESFRDDGLGPVPSQWKGECQKGQDDTFSCNRKLIGARFFNKGYASAVGNGGNLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADILAAFEAAISDGVHVLSVSLGGDPGDLFPDRRSFHAARRGIAVVCSAGNSGPAPGTVSNVAPWIFTAAASTMDREFPSYLVFNSTRIKGQSLSASALSPAASAYAMIDSSRAAAPNRTQNESRLCMLGSLDPAKVKGKIVVCLRGVNPRVEKGEAVREAGGAGMVLANDATTGNEIIADAHVLPATHVKFSDGQRIFSYLKKTKNPSGTITRPETRLGAKPAPFMASFSSKGPNTVTPGILKPDITAPGVSVVAAWTRANSPTDLAFDKRRVAFNSESGTSMSCPHVAGIVGLLRTLRPDWSPAAIRSALMTTATDVDNDRHPILNSSSVAASPFNYDAGHPYKCPASPPKAQDLNYPSITVVNLTSSATVKRTVKNVGTPGTYKAYVNSPAGVRVAVSPDTLAFATKGEEKTFRVSFQVTNAALAMDYAFGALVWTNGKQFVRSPLVVKTTA >OB02G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4399641:4400369:1 gene:OB02G16830 transcript:OB02G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSLSTSVAVVMSAERMAAGLQSGRSVRSRPTMPATCGHDMEVPDSELKATRRLSKARSVGELARVHAATTLTPGAVMSGFRIPGVTVFGPFDENDAMNGAGFAPSLVSGLVIVPDGFLKRTTTPPPSANHGRRRRAKTNGATEIPLLGFLEVGEDALAVAELDVGGREDVRVGDDLVAGGGVVGEHHPGAAGFPHRLALLHPRVHSTQAHHDLPLHLRRIKRTKHAKPPAPLITPLITR >OB02G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4422862:4423281:1 gene:OB02G16840 transcript:OB02G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRESVSGKRCFAARAPLDTTAAAAASTGARKEHEIVVDSALAGPREPEMRITVDGVVLVHVKSLQWKFRGNETVIVGQSPVQVLWDVHDWIFAGPASQAVFIFKPGNAADAGGEHGYGILGDAADYSFFLHAWKTE >OB02G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4424134:4424607:-1 gene:OB02G16850 transcript:OB02G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT3G06040) TAIR;Acc:AT3G06040] MGAKKYKYPEVYDPYGAMLPPSQKVVDLADRIAALPPEEIKQIAPALLLRLNQPPPQAISGQGFSFGPQGGGGAAGAAKAEEKKADKIVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >OB02G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4425431:4425703:1 gene:OB02G16860 transcript:OB02G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPRYDQITGTITKVSSVDSISKLALSISTLKPNRPTPTWYDGAEKPPAAAADAATGGHVLERGREGGRYLAAAAAAAAADGTLASSG >OB02G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4427824:4428353:1 gene:OB02G16870 transcript:OB02G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRLVSLAAGQLLDRRGVEEKLRRVRRLLVRIESAVEAAEARRVTGRALLAWLSELADGAHRGRYFLDAFAGDRSADGITDHEGREVARPNPSNPAKRLRVAARRLVFRDGGAAAELDELPAGAAPAAGHEHLRGQPDVWPASREAPRLRLLAA >OB02G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4428828:4429184:1 gene:OB02G16880 transcript:OB02G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLRWRPDHRLWRRVLSSGAADLPFLGSGDYIAAAASCLFPAHLTFRGVTVSRSLLRGLVDLHDSSLITPPDSGCRRRRRSPELSVLVCKSLFPSYCLYYAAHCTIDDDDTDSKQ >OB02G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4431816:4433614:1 gene:OB02G16890 transcript:OB02G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASEAIVSGVVADMVGRLMSLVAGQLRDRRGVEEKLRRVRRLLVRIESAVEAAEARRITGRALLAWLSELVDGAHQGRYFLDAFPVTDHEVDGGSGEVALANPLNPAKRLRVAARRLVFRDGGGGGGAAAELDGVLADLESVSGDLTGFITMLQSCPPALHRPLVTNIYADSQMFGRQVVFDFLLHDGDDDDGEPAEAELGVLSIIGRMGLGKTTLVQNVCNDPEVRRRFQLIIELDFHCLSLMAAGETALLLRSMFIARALASPASATSPSGDGGETLSLLERKLRGVRFLAVFDNVDARRRRVIDAIMPALRRGRRGSKVIVTSRDAQHVAGLATTEPIALRPPPLPEYWFFFKAHAFAGADAEADPRLVAVGQAIAKIWRTVLSSGAADLPCLGFDDAVAGSLFPPHVTFRSVTMSRSPDRGLVSLQDSSLTAPPMDSGHHRRSPELPVLLCKSVFPSYCLYYTAHCTINDTVTQTANCVLNQ >OB02G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4433512:4435862:-1 gene:OB02G16900 transcript:OB02G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LAM3] MAPASLTRLTNPLPPASPSPRCRRRPEEVRSAGRRRSPPVRAACGMVSRRRAVSEMAILGAAAAASCFVDLFAPPSSSSSVPVRAATLEPDVIRYRKLDNGVKLEDVVDGEGPEAHEGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLPLDGKEMIRGLKDVIIGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >OB02G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4439794:4441086:1 gene:OB02G16910 transcript:OB02G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHNDEDGQNEAVQSRVCLPGDVQQIILAFLPGRTLLKFSSVCKFWRDSIKEPAFVDLHLDNALRFHQSIACFTSVDNGLIQMYMFDPTTVNFKRTEPVFSSRFHMSEPCNGMMCAYDLKGGAEVLNPTTRKHLTLPESESVPQAPYSEYFLGYVHSTKKYKVVALRHWVRHLTFEVCTIDSLSWRTVYKSEELLKTTKAVVVNGEMHWLVLDDESSHFTQRVLSFNLADEEFSYLDVPNSVRERDLELFKGEGRLYLLSMPCNGAQYTESEIWLADPAQLLWFHMYNVTPRPAFGTKPFFLYKSKLFFGDQRRFMYIDLLDGRVCYIDVPSGENIISSGMFVESFVPTETDLVNSMTLLNGSHHAGSSSRGSGPSCAAGSSSTGPRRSSGVSKWSSAVVQSPRRAKRTTDMVWKMYTESTSHLIQHGL >OB02G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4441655:4443011:-1 gene:OB02G16920 transcript:OB02G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGDGQVEAEAQVPDDVVDEILIRLPSRSSLARAAAACSAFRALIPSPAGYWEEHVAVVEGEDGKVGVFAHDFYHPGGNACLYYYTVVFHGDGEGPRGQLERAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVPGRPYSIRAAVHGSLILEVNDSAPVFITSYRSRDVELYKIDVKSFKLELIGNARCAAGDIAWAYFGFPPLLSLPTV >OB02G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4449052:4455640:-1 gene:OB02G16930 transcript:OB02G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGRSLGTAWYQLQSKGGRFRRKRRVAGEICLRIYLSVSTAICDGSRNVPMQLINDTPCSSMRSVGTNASSLSASVSSRDLSSCGSMGRTSCSSMDRLNQGIMDQQGRRSVEQLSCISTERSVLLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSVTSDYECTNQFQDTQINSESCENGDNGTLPGTSLNELMKSLESKDKGTEIPENLRGGILVDQSYVIPPGELNSLLFSAHSDFWPAVAEVQGLSGFQTEPWKYVNNDICLKRNLSYTKAASKLVKSVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRVNFVQSTMLKGMIESGTKQGLREGYAQFAEILSQKTKVIAPDDANLSKDEILGSLQTQEESIWKLAARFLGNFAFIFSFSMALYAVAHLRLVRTNMVHGLEYFGIDLPDSVWEVVCGAILIIQGQNIFKAGRRFFYAWKQSGSDHGVKAHGDGWLLTVALIEGSGVVGAGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFDAMDDPPSRLDVVIHDSDGPFNENPIGQIEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKMQLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSPRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILCKDRGLEARHGAKTLDPQGRLKYHFQTFVSFNDAHRIIMALWKTRSVGLEQKGEMIDKNPEPKQLPCEEGSLLANEDVKMSEVYSAVLSVDVNALMDMFSGGPLEHKVMQKAGCIDYSPTEWELLNQNMYQRQISFKFDKILSRFGEASTTQRKYNLMNRDGWVIEEVMTLQGVLHEDYSSIQLKYHMTSTSLKPSTCSVQVSLGIVWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASRKGVALNCPN >OB02G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4457738:4462682:-1 gene:OB02G16940 transcript:OB02G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGILRILNTFYGLYDFTYSMPESGNFEGSYSSAVGIITQPRGAEWRKSSCPILFLRQKQKLSSRRDRGGERSLEMADGEDAAAARRRAAVTEYRKKLLNCRELESRVSTVRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >OB02G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4469320:4474374:1 gene:OB02G16950 transcript:OB02G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDTQLVGLSGYTKEGLPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRVILPMLTEKFGRPVTTCVKVLDMTGLKLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLHGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDTDDCFSFDHPFHKELYGHIEEQASHRELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQNGSTNGHKV >OB02G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4479801:4483389:-1 gene:OB02G16960 transcript:OB02G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEGGDDAGNVILLISSDGEQFEVPEAAASLSRIVSHMIEDGCTENGVRLHNVACSVLVKIIEYCNKHAAAAMETAEDLESFDAEFFDVDKTMLFDLIMAANYMDIKSLLEGIRWMRLERREKIILPTNYMDIKRLLYLATQHVADVIKGKSVDEIRKEFGIRNDYMPEE >OB02G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4486115:4497704:-1 gene:OB02G16970 transcript:OB02G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPRKGRVGAAVVERAVMPVWGGGGRYGRVVAAAVVVLGLLAARCDAKKMSSFVGTYGVNYGRIADNLPPPAEVVKLLRMARIKNVKIYDADHSVLDAFRGSGLNLVIAITNGEVKDIAANPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGQDAGLAEALVGAVVNVHDALKMLRLADKIELTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSGTGAPFYVNASPFLAYMSDPAHIDINYALFKPNSGVLDQKTNLHYDNMFDAQGPPSRPNRVVKAYIFALFNENLKPGPTTERHYGLFKPDGSVSIDLGFKGLVPSSSSSLLPFMRARERGWIALVQYTATLLSCTFIFLALI >OB02G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4502462:4506280:1 gene:OB02G16980 transcript:OB02G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTIADAAGALAIEKRVNGQPALADSMEEHEEPHEVQANGDHSGESDVINPPEEGGGEAEATSHLDGKKPRPAKGTQGHGPKVVKSRSPKSGGEGQARRSTPSSSISKAPIARVSHADSSTGSKTNGDSSVDKNRTEKNEARSGTKETSLEDSNVAEYPKVTYAVILNHTKSREKRKTQKPLGQNSSVKKDEEQNSESRKAAGTPAYGFSFKCDERAEKRKEFYSKLEEKIHARELEINNLQAKSKEAEEAELRMLRKSLNFKATPMPSFYQEPTPPKIELKKIPPTRPRSPKLGRSKNKSAGETEETVTPPGRTARLSLDEKVSQNGVKKANPSNAAKKPQRKSLPKLPSEESGSPDPSHLKNTESNTDNIQEPGSPTTQQHETELNIGISESIQDGIAPVAQELDEQIAV >OB02G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4507724:4509886:-1 gene:OB02G16990 transcript:OB02G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYGGEGLCEDVVHLVFGPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLTAVAQGCPNLLSLTVESCPGVGNDGLRAIGRCCSKLQALNIKNCALVGDQGISSVVCAATASLTKIRLQGLNITDASLAVIGYYGKAVTDLTLVRLSAVAERGFWVMANAAGLQNLRCMSVISCPGVTNLALASIAKFCPSLRQLSLRKCGHMTDAGLKAFTDSARLLESLQLEECNGVTLVGILAFLVNCGHKFRSLSLVKCMGIKDISSMPAQLPLCKSLQFLTIKDCPGFTDASLAVVGMVCPYLEQVDLSGLREVTDRGLLPLINSSEGGLVKVDLSGCKNITDAAVSALVKGHGKSLKQVSLEGCGKITDASLLAISENCSELAELDLSKCMVSDNGVAMLASAKHLKLRVLSLSGCSKVTQKIVPFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >OB02G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4517743:4519945:-1 gene:OB02G17000 transcript:OB02G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVCLNKALAVAGRSLAAPRPAIAAPPPAASFHALFSSAAGDTTAPAKGEGHNSREVAVVDRSRRRCPWRDLRDLVPFRLVDGNAFLRIVPGLGKDDVRVYVDDDGGVVVIHGEKHEEEEHAGDGDDEQWAASTYGVYHASLLLPEDARAEGITAEVRDGVLYVTVPRAPERKRSVTEVKVQ >OB02G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4520357:4520966:1 gene:OB02G17010 transcript:OB02G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYAQGDDITILNMSDIKYSFARYLTPRGIACGNGFVLPRRLSYVAFQLQSRLEIWKTISSAQIQGEALKYTADLTVPRLLQITSQDMFGSLNPDQGSGTFLNKFN >OB02G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4520094:4523953:-1 gene:OB02G17020 transcript:OB02G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCASLTSWVRRLVACVGYSRNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNTNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYD >OB02G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4534766:4535299:-1 gene:OB02G17030 transcript:OB02G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSGGGSLALAAATAVAVSGSLVIFLCRAARSATASGETEAEAEAEASLRPCLSSSERRKLEGAKERRRRSERRPTCRDAAAERMPANREALYRGMLRDRSSHRVAYSY >OB02G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4546865:4550225:-1 gene:OB02G17040 transcript:OB02G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLALHETEAEREARIRDMFAFFDVDGRGQLDYARIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALLKAGIEIDDEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEATIENIYQHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGAASRTVTAPLDRLKVVMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYETLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAPYRGMSDVFWKTLQHEGISGFYKGIVPNLLKVVPAASITYLVYETMKKSLSLD >OB02G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4567886:4568068:1 gene:OB02G17050 transcript:OB02G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLTFCTFVSSGLQEEMKGVLQCVRGRYETEASYIYSSVVNSDRDVCGAKTVKLTHCT >OB02G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4570157:4571218:1 gene:OB02G17060 transcript:OB02G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLAIAADRDIRSNGGGGKTPAGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEAESTEPAVQPTSAAAASQATMDDEERIALQMIEELLSRSSPASPSHGEGEGSFVI >OB02G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4572180:4579151:-1 gene:OB02G17070 transcript:OB02G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02065) TAIR;Acc:AT3G02065] MEARRWRRHAVFLDLSSVINRMEQEENHSADYLPAQPANCNELEESPIKERCFEQREALLGEPRCVICGRYGEYICDQTDDDICSVECKTILLSRLSAETKPAVKAVKRVNLPVGDESFCIRDKNFPKIPSMHDGQISSLRSKLDIRVKGEDVPDPIMCFSSSGLPERLVLNLEAAGYVMPTPVQMQVIPASICNRSLLVSADTGSGKTASFLVPIIAHCSQARLHECTDKQGPLAIVLAPTRELCLQVEEQAKVLAKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNADLNNVSIFVLDEVDCLLERGFRDQAMQIFQALSHPQVMMFSATVNSEVEKMSNSLARNVIHISCGNPSKPNNSVKQVVIWVESKQKKQKLFEIMTSKQHFKPPAVVFVNSRVGADLLSEVITVATGLEVLSIHGDKTMNERRESLRRFLKGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSINEYVHQVGRASRMGVEGMAIVFVNDEDRNLFRELVQVMKTAGAPIPRELANSKYTTGIPLGSGKKRKLMSRGHS >OB02G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4589491:4591065:1 gene:OB02G17080 transcript:OB02G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFIQKVLQQPFFTTDLLYKLVKECEEMLDRLMPANDEPSSLPSEDGKEDSDGEEKNSKPSSSSSSSANALGQDEAEDEKSMHMKSTVAALRALREIRSGSSTVSAFSLPPLDGSNVQEEQDR >OB02G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4591591:4613883:-1 gene:OB02G17090 transcript:OB02G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MPPPPPRELLAVVEAAPLGPSPPSPAQRVELLHAVRDAAPAFRALLSYPGPRASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIESVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFNDGLRQRIITLVKELNREEPAGVGRPSSEPYVLDFRGALVERRAIVSRERLTLSHCLALSALIKLMSPREVKDVFSMLKDFSAEVNENTSVELQITYGVLFSLVVTFVSDALSTSHEKASLSSSDSSFRCDFHELVMRSDNNSTIEGFVGVVRLAWTVHLMLTQDRSIARDTLTSSSGDVADIWACLEIICRQNTFQFLRERVMQTAAYKNDDEDIVYMYTGYIHKLMMCFLSHPTSRDKIKEIKEKAMNALSPYGSLRDHREGPSRTGEQNGQPSNQPFISLLELVREIYQNEPELVHANEELWTFITYAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYDLLQGKIYRSVGWSTLFDCLSIYEEKFKESLQSSASMLPDFPEGDAQALVSYLAVLQKVVENGNTIERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHSATQVYDMRFELNEVEARRESYPSTISFLNLVNALIAEERSISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELAVACLEHFHMVLSMYDIKEDDIFAAVNASGPSTISHASIDRQLPLLELLKDFMSGKVAFRNIMNIILVGVDTLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRQITALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLLDNISRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPKRNNNQSLRISMLHERAWLLKMLALALHASDISSSVYRESCLAILCHTFGQCAENLRSANLLQSPGASNLSMNKNKVLDLLEVIQFRCPDTSIKYPQLLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSLLLNPQLSESEKNELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSHIVEVAVSRRMSLLEDRSHLLFELLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACNSLLFKLTMAILRNESSETLRRRQYALLLSYLQYCRNILDSDVPPSVLRFLLLEEQERDDDELSLQKVLKEQNELVWTNFSIVRKEAQAIIDLVAKDAIHGSEAGKAISFYVLDSLISIDQDKYFLNQLQSRGILRTCLSDVSTYFSKETSFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGLQKKANSRLNSTVVKARAGEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKIVREVVDFAKQHQSVFNSILRESISGANILTLERLNIVVSILGKVWAYEENDDCSFVQDLFSMMQSLFSLDFGSLNFMQSPNMIENQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDSSESGQQQPTLQLVSDLLNSITLAMERVAEEKYMLLNKIRDLNELSRKEVDEIIKLCMKQDCISPNDNIRKRRYIAMIELCCMAGNRDQLITLLLQIAECAVTILLVHFQDESCSKDLSSFCDELLPILERLEHFKEDKVGRNLKLFHRSVTTLKEMTIRSMSL >OB02G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4616867:4619528:1 gene:OB02G17100 transcript:OB02G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAVATMVTRSKAGGGALTVDRKGWFVPELALPWSSAEGECEGKRVEFPRRAPPLFASVGLSISAGGAPAAKGRTDNCDVARQLEAEEAAAARKRQGKKRVGGGGLLSLRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAGVFRWIMRNEGWTGLFRGNGVNVLRVAPSKAIEHFTYDTAKKYLTPEDGEPAKIPIPTPLVAGALAGVASTLCTYPMELVKTRLTIEKDAYDNVLDAFVKIVREGGPSELYRGLAPSLIGVVPEGAAGLYRGLGPSCIKLMPAAGISFMCYEALKKVLVEEVPALEAGEEVPALEAGCVEDDEEEEKEKVA >OB02G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4620078:4622126:-1 gene:OB02G17110 transcript:OB02G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVGNLAIEGGAAGAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPIQKAKIEILLSKTDKFDELMAAAAEEREAREAAEAEEQS >OB02G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4624330:4626654:-1 gene:OB02G17120 transcript:OB02G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G25570) TAIR;Acc:AT2G25570] MELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGACKAIGAALLIEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCAKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRPVQQDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYESQQEQLKQIQQ >OB02G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4627832:4628939:-1 gene:OB02G17130 transcript:OB02G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGPVSRRWSCAKAELRLAYLFIRSSRVTAGDLAVVTARRDGSDDMTADQPPLPHSPPPPEPDDADADDDEARVWSQIKAEARRDADGEPALASFLYATVLSHPTLGRSLAFHLANKLRSSALLSSTALYDLFGVLLDHATGVVIGETAVVGDGVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLVDVPPGATAGGHPARLLLAGDKRDGMPGGESMDHASFMQHWSDYTI >OB02G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4630701:4637774:-1 gene:OB02G17140 transcript:OB02G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSGEVGRRRRRGEAGAEGEGVRAAEPQGVHPPAQAEEIAGARSPRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGHHVGSSHAVEAAAMVALDGKLCVVRNDMSASVVDVAAGQAGAPRWETVAGKGQIKAFVTNLLSTIAGARARAKNRVLHCQDYDQAGLPNCVPKVGMTFNSENEAYNFYNSYARNVGFSIRKNHTNTRADGSLCSKYFLCSNEGQPVVSTAQPGRKKRASTRSDCKARVQFYITREGIWTVQKVELDHNHYLVSPDKSHMLRSQRRLMPSYQQVVNQMRNEGITAADMQRVFKQWSRGAENVHLLKKDNERKYLQPSYAQKLLEYLKNKQTENPSFFYAVQLNDNGQIANFFWTDCQAIVDYACFGDVVSFDTTFETNRFEMPFAPFVGTNHHKQPIVFGASLVYDESSESFHWLFQTFLTAMSGKQPATIFTDPSTEIAKAIRLVFPSSSHHLCLRHICHDAVKHFNHVICNHPEFLSDFKRCIYEDRSVAFFDLKWKELVNTYNLDGNDWMNNLYAMREKWAAVYCRDSFYADMVSAQNAEGTSNAFKNFRRKLGLPEFLEEYEKCITSLRRKELEADYDSRHTSPDPYVPDLPMLKTAAESYTRNLYSHFEEEFKKLFTLSCSLLSQDRTCSTYKLAPFNSGEEAYVIFNSEDTTVSCSCRMYECTGMLCKHALRVLNYSNIFTMPSHYVYKRWTKYAKDGLFCCRNKSQSGSESSMLRCARISQKMHSVALRHSMSEKALQFLESGVDKLTWEVENLLTHINLNGNDTSQSSGFCNGATVEV >OB02G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4637676:4638427:1 gene:OB02G17150 transcript:OB02G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRLGCTHAFALGTSLAAAAPATDLSTLHKHAELSTYPITRQEKKELSFRFSELTNQNNFLLIGEHKAL >OB02G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4641598:4647331:-1 gene:OB02G17160 transcript:OB02G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKHTQNLFVPARMQEQATSSRPSSSDRSSSSGGHHMEIKEGAAGGGSERAQSSTAQASARRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEVKVKELEKKNSELEERFSTLQNENQMLRQILKNTTVSRRGPGSSATGEGYYHVIERINVSFWLDQVTGQVFNSLGHI >OB02G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4658332:4660464:1 gene:OB02G17170 transcript:OB02G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVYYHYLHGYESLVTEHAGEPEFTVSMPGLPPMAIRDLPTFFIDLDDAWLAAAFNGVKKTIRQGDIDRSSGGVAGAKPMVLVNTVEELELDLLASFPELDILPIGPVVTSLDGGKVSKASHDLYKHDEKGYMEWLDAKPAESVVYVSFGSMSAVSKRQKDEIRRGLAATGRPYLWVVGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVMSDTAAAFRRSSAAWKAKVQGAVAEGGSSGRNLKSFLDRIANVAHNTSTQRSSGVMS >OB02G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4663379:4664353:-1 gene:OB02G17180 transcript:OB02G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTSGPAFNAGRTLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >OB02G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4665002:4665274:-1 gene:OB02G17190 transcript:OB02G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRFVIHHLKNVVLQRPDQNFVKFTQNLNYAQHQQHASIYKTQVKDNKFLMTNFAVSFSPINFLCVWQLLMSSSGNAPETLMAPKMQE >OB02G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4665713:4670777:-1 gene:OB02G17200 transcript:OB02G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNVLASATQLVSAMLAAAGALEQAASDFAEAPRRLQVLEDFVSDLESLMQQSKQKHAHKRHAPQLERQFQSLGRLMDQLHANITKARRVLKKGKGKGLARVVVWSSVTGDPLVKYVQLIREDLNWWLELQKLTESVGDVIASSAKSAPSLVRVKSEHGYPVSKKCSYVRELLVKDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIEISFGRWCSRAACNGSRDEYHKRLVRKICKFLVQIGSMTVNDDVGKDLDDVCFMLQTALVGMSMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILVYHSLLTVEELPPVAYDLLDRCGHHPLTVAVMCKALRKETRVEKWERAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAALSWDEPVPEVCLESIWSALVQDTLFPLVVSKLVEGSLIIKLEDEPMYHMHDMVSLYLENKTDDAVQTLLFGSFPEYAALVAPWLFIFGKESTKERAEQKVRSFFSLLEFMEIEILLESTTQALRACKSISEFEASRLGFSKILRPQIAELISVGSTSLIVAVTKSITVIFFQGDYAKLAQSLETSGSVDKLIHVLLDCEDSSTIANVSVVLAKICEHVDATTADEILATIPMDQIAELLSPEKEEWHETVFTTLTSLIKVGKLRAVETMIESGIDKKLLVLLGSDSEISQHHAIIMLKTFCEVGAPLQGCMGPGMLAHLPWHARLTLERFVLFDQRVSPSPKPQQSFELILHKIMQRDNKDNIEAIQGLLPFAERANDPRVQDLLLGSNLSNRLALLLQRRDVESNQVRSHTAFLVMKLACTGGEPYVHRFLEDNIVHELIDMMQCNINDLQDSAYDALHQIIFAKGGSLVLQRFLQAGTIEKLVNLLDRKSVKTKELTVQLLVDIAVVGTKPCIERMISSQIIEKFVALEKAGGSFSGAVSRYIQGLNMCKNLQSAERAVMKQQILRKVRSAVRGHNLEASLVASVETCIYEKGASSSRRKK >OB02G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4667409:4667783:1 gene:OB02G17210 transcript:OB02G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSADWRFLHMFNPWMYLDTAPLKDPPAFSRATNFSMIWEEIILSMQGFVPTTAMSTRSCTVSSFVFTDLRSSKFTNFSIVPACRNLCKTSDPPFAKIIWWRASYAESWRSLMLHCIMSISS >OB02G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4668978:4669373:1 gene:OB02G17220 transcript:OB02G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREPSTSFETTRGNKVSCTSADQMDSRQTSGTGSSHERAASTMKNLLEFSGIASKLNSKEPKMVNVVSTSFSFTYETGPGAQVAYVERFDIALSHFSTLVSFLRALHMTATVRGWWPQRSSKSYATGCKV >OB02G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4676166:4677898:-1 gene:OB02G17230 transcript:OB02G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCNCGAHNFASRSSCFKCAAFKDDAAVNSGAGAFDGDMSRSRGYGFGAGAGTGAAXXXXXXXXXXXXXXXXXXXXXRAPGAAAAAPAPAPAPPPAPAALAGSLATGFAPGLDAMSTTSQAGWSASGATHRGTPVAL >OB02G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4690952:4692149:-1 gene:OB02G17240 transcript:OB02G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALGAAATWPEAIVQCLLFMLAAGMLRAEIALALNRRRRLDQAAADLAEAVRLSPQNARAHSLLGECYERKGLAAEARDAFKTAASIDPSLATARDALHRTDGSDDGIESD >OB02G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4694538:4702434:1 gene:OB02G17250 transcript:OB02G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVAIGDEPDERMIFELFADVAPRTAENFRALCTGEMGIGQTTKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFDLRHDDRGLLSMANAGPNANGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGATPVVPVRIVDCGELVDGRHHGSVIIDNDKKRAVKSKLSKDVSSDVENNEGKHKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSESESDTCSSDSSDLSSSSDDRRRRRKRRSKKDKHKRGKRKRDRRRERKHRKRDRKSKQKSKRVVESDSETGSASDSSLEDDRSKQHHRGRRSKASSQVSGENHTAIAALKDATSSQHKSATPRSLPQEDKSPQENGDTRTNGVTESKTERNADIALTCNRSKSRSQSMSGNHSKSMSISPRRSPVKRSAISPKIPSVSMSPVVQSHGRSPVRAPERRESRSPPQEKSISMSPRRRSARRSESRSPLREKSISMSPPRRSMSKSPPRSASRSPVAHRSRSPVKVRTRSISRSSARSLQRRSRSRSLERSPVRKSASRSPPTMDKGRSTSRTSGRFPLRRSVSRSPDRPYRRIASRSPRKRAGRSISRSPVRQSKRSISPVKGGRSRRSVSKSGSPPRRAISPAQNHGRSPSRSDSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSYRYGGRGDRDRHMGYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSLTRSPVYRDRGRGGGRSRSPVRSRTPAGGKLRSHGDRSRSMSMSRSLSGSRSRSPPPVHNRSPLASPSPKRASHDKSRSPSASSEGKKGLVSYGDVSPDSAGK >OB02G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4704529:4706775:1 gene:OB02G17260 transcript:OB02G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAAASGIDVFSPVSHTLFDPAEIIEKLVFSFGKWKNGGRPPVTYQAFVAVAGQPPEPVMEEYSELPPVGDTGEYELLPVPKVEELGYGDISQEELSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDIYRSTKKHTNPPVSLIGQLLWRDFFYTVSFGTPNFDRMKGNRICKQIPWTENEELFLAWRDGRTGYPWIDAIMIQVLTRHR >OB02G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4709448:4709603:-1 gene:OB02G17270 transcript:OB02G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIFVIKTTAHRKATSTGQAYWSRIAVFPLPHGFGSSGSMVFLILWFRER >OB02G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4711327:4713749:1 gene:OB02G17280 transcript:OB02G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRKGRKVEVLQKRDAPAGSWRPAEIVSGNGHTYLVSYDSCPTQNSVDAERVPRKEMRPLPPPSDGPICWVKGDIAEVFDSYAWKVVEVMRLLGFSYYLVRFLGSSLELEVHSSNLRIRQLWEDGKWVAIPKDSARCPGGSPRSQLRRGKSGHELVHASRDRRLLLKSNKVFQGNTSHGMKRKSSALSAFPMPYSEVSKRFHASHRDGGCLLLVPGDSHHLMEKVDAVDSPCLVLGKTYVHDSLGNRANGFHKTNLAAVNTNFDHLDPAVTTQDSDTVSDISSVGSCNPCSNPYQSTHPQEYDHADICSRTDDAKASITGRESSSRNDDAEASVSGRESAVPVNDGLEEKTHLLELHAYRATLMALYASGSISWEQEALMTNLRLTLNISTDEHLSELRNLISSEVHSR >OB02G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4733123:4735716:-1 gene:OB02G17290 transcript:OB02G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMVEASSKPMSPPTSHNALPRVLAFYHYWRKIYGHRFLIWFGPTPRLTVAEPELIKEIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLADAFYPDNLNRLIPHVGKSLAALAAKWGAMAEAGGSGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGQLMAFASEAFSKVLVPGYRYAPPPPPLIHLELIHPPKLTEQNARSRRRFLPTKKNRLSWRLDREIRRSLTRLIGRRSNEAEEGEKADAGNFRDLLGLMINAASPAAAGNKHPTPAIPVEDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQDPARREVLDVCGADELPSKEHLPKLKTLGMIISETLRLYPPAGAXXXXXKVDVRLSDGCMIPRDMELLIPIMAVHHDARYWGPDAAQFNPGAVRRRRVQGGEAPHGVHTVRARVPDVRRPEPGAPRGQARHGRPPPALPLQAFPELRARADGADAPLSAIRSAVDLPSTLAAAASIRPNSITRRYICSTVEDPTLITPIRPNRPNSITRRRCICGTPLILIVFIRPNSR >OB02G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4761234:4766223:-1 gene:OB02G17300 transcript:OB02G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSALLLVVAVVLCTAGGMTLAITDGLLPNGNFERGPAASQLRGTQVLGAAAIPSWETSGFVEYIPSGKKQGDMVLVVPEGSYATMYSSNGWDSYAWAWVADADVVDVVIHNPGVTEDPACGPLIDSVAIRTLNPPRRTNKNLVKNGDFEEGPYIIPGTRVHERCSLCCGVSVSPEAVDLRRRSWIVQLLELRSPNSINSGASVHSNGFCMNTLSTRGFSAVGNAEVSLEEESSNSPAVEHPLRIKFKRPDKTARHIMNILNKEAVDKVRGEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >OB02G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4772272:4777245:-1 gene:OB02G17310 transcript:OB02G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPQAGDEAAAAAAKGRGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >OB02G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4778668:4784486:1 gene:OB02G17320 transcript:OB02G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKAGVPLVCHGHSRPVGDLFYSPVTPDGCFLISASKDSNPMIRNGDTGDWIGTFEGHKGAVWSCCLDANALRAASGSADFSAKVWDALTGEELHSFEHKHIVRACAFSEDTRLLLTGGLEKILRIYDMNRPDAAPREIDKSPGSVRTVAWLHSDQSILSCCTDMGGVRLWDVRSGKIVQTLETNAPVTSAEVSQDGRYIITADGSSVKFWDANYFGLVKSYNMSCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLGPANSDEQESPPPPDGSGKLKATASDAARKIEGFHLPKDGQQPEG >OB02G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4785949:4787529:-1 gene:OB02G17330 transcript:OB02G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3LAR6] MDSTAAPANNGDGGAAASPPTTSRRRMPDFQQSVRLKYVKLGYHYLISNGVYLLLTPLIVPVAVHLTTLSAGDVADLWAHLRFNLISVVACSTLLVFLATVYFLTRPRPVYLVDFACYKPPPERRCSRDTVQRGSGLAGCSTADSLEFQRKIIERSGLGDDTYLPAAVLRVPPNPCMAEARLEAEAVMFGAVDDLLRKTGVSAKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNITSYNLGGMGCSAGLLAIDLAKDLLQVHPNTYALVISTENITLNWYAGNDRSKLVSNCLFRMGGAAILLSNRRPEKARSKYELVHTVRTHKGGDDKCFACVTQEEDGDGNVGVSLSRDLMAGGGGALKTNITTLGPLVLPLSEQLLFAATLAARKLRISPKIRPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSEWHMEPSRMALHRFGNTSSSSLWYELAYSEAKGRIGRGDRVWQIAFGSGFKCNSAVWRALRPVNPAEELEKKNPWMDEIHRFPVAVPKVSRVSAD >OB02G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4786228:4787544:1 gene:OB02G17340 transcript:OB02G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAELQVLLDLVQHRAAAGVDAEVLEGELEVRDVRPDLGGDAKLPGGQRRGEQQLLRQRQHERAEGGDVRLQRAAAPRHEVPGQRDADVAVAVLLLGDAREALVVAALVGAHRVHQLVLGPRLLRPPVRQQDRRAAHPEEAVGHELRAVVAGVPVEGDVLRADHQRVRVGVHLEEVLGEIDGEQAGAAAHAPQVVAGDVAAELVVVHHHGGQRRRRVEQAAVDDEDADVLGAHARLAEEVVDGAEHDGLRLEPRLRHARVGRHPEHGGGEVGVVAEARPLDDLALELEAVGGGAAREPGAALNGVAAAPALRRRLVAGEVDEVDGARAGQEVDGGEEHEEGGAGHDGDEVEAEVRPEVSDVAGGERGEVDGDGDDERRQQEVHAVGDEVVVPELHVLEPHRLLEVRHAPPRRRRRRGGCPAVAVVRWRRRAVHGPSG >OB02G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4790689:4792375:-1 gene:OB02G17350 transcript:OB02G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPEILTCGTYMAPPTRRATVTCHGKKTVRLERGHGGGGGEQTSDHAAACILRVVACESAPSAGGSSRVPAPSAGSHVSALSAGADACIPEPVASTLFPALSAGADACIPAPVVLFGRTFSDRETLLGLVVASFVAFYFTSVASLAFPGCSSAEPSSPCTACSACLRISISF >OB02G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4806008:4806434:1 gene:OB02G17360 transcript:OB02G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLTPWAPFVILLASLPSGSPLSVLSPSQSRSFSKSSPKFLVGLSKTSGTLFLSY >OB02G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4827433:4828618:-1 gene:OB02G17370 transcript:OB02G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDYQSADMLAENAQFTPFVKALRGLDAPFEAYVRALAPPPSCIVSDWCNTWTAGVARSLGIPRLFFHGPSCFYSLCDLNAVVYGLHEQIAAAAAADDDDDKEEAHVVPGMPVRVTVTKGTAPGFYNAPGCEALRDEAIEVMLAADGVVVNTFLDLEGQFVACYEAALGKPVWTVGPLCLHNRDDEAMASCGGSAAAVDRRAITAWLDGQVPGSVVLVSFGTVLRKLPKHLFEVGHGLEESGKPFLWVVKEAEASRPEFLNERLAVDVLGVGVPIGVTAPVLLFGDEAMRFGRADVARAVSALMDGGEEAEERRRKAKEYGEKARRAMAEGGSSHESVTQLIASFIHSGGDELVT >OB02G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4827464:4827790:1 gene:OB02G17380 transcript:OB02G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAMSCVTLSCEEPPSAMALRAFSPYSLAFLLLSSASSPPSISADTARATSALPNRMASSPNSSTGAVTPIGTPTPSTSTASRSFRNWSEKCGHVTTGTPWATDSSSE >OB02G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4838828:4840600:1 gene:OB02G17390 transcript:OB02G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSYFVRSLNMLSGAYSCDLFDRTFLWARNKRLSIPNSQILTTGRMTHGLNHKKRQQQAQTKQNTQSIFGGGENFEEKSVTSNV >OB02G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4844440:4845265:-1 gene:OB02G17400 transcript:OB02G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVEVTMGTRPGFLNSAGFEALKDAAMEAMRTADGAVVNTFTDLEDEFIACYEVALGKPVWTLGPFCLCNRDDDAMASRGNKLGIDQSAVTAWLDAMDTDSVIYVNFGSLARKVPKYLYEVGHGLEDSGKPFVWVVKESEVSTPEVQEWLSALEARAAGRGLVFLNERLAVDVLGVGVPIGVTAPVLLFGDEAMRFGRADVARAVSALMDGGEEADERRRRAKVYGEKARRAMEKGGSSYESLTQLIQSFTLQGGKQH >OB02G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4844519:4844758:1 gene:OB02G17410 transcript:OB02G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAFSPYTLALLLLSSASSPPSISADTARATSALPNRMASSPNSSTGAVTPIGTPTPSTSTASRSFRNWSEKCGHVTS >OB02G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4855274:4855474:-1 gene:OB02G17420 transcript:OB02G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTSATALNAFGCHSHKQLAGPPPRGAQGGARRRRASAAALRHRPPPGAGPHHPHGRPRAPPRGA >OB02G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4859265:4859471:1 gene:OB02G17430 transcript:OB02G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEVWFITTPNGKIGSARADLAAHPIILGKDGLVCSRFIFYFLRKMKTIVTTLPHTSVFCFTCRKQV >OB02G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4871836:4872717:-1 gene:OB02G17440 transcript:OB02G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysophospholipase 2 [Source:Projected from Arabidopsis thaliana (AT1G52760) TAIR;Acc:AT1G52760] MAPPPATKYFWGDSPEPDEYYASLGLRHAEAYYQSPRGRLFTHSFHPLSASHDGDVRGVVFMTHGYGSDSSWMFQSFAISYARWGYAVFCADLLGHGRSEGVRGYLGDMESVAAAALSFFLSVRKSGAYASLPAFLLGESMGGAAAMLAYLRSPPDAGWTGLILSAPLLVIPDDMYPSRVRLFLYGLLFGLADTWAVMPDKKMVGKSIRNPEKMRVTRGGRGAAAGGDDEGARPRDGAAAGQLRGGDGAVPGGARHRRWGDLAGGFQDAVRARGQRGQELDPLRRDVPLAHPG >OB02G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4871877:4872840:1 gene:OB02G17450 transcript:OB02G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding QALVLAGRALVQHLGTLRRGHPIVGAVHHQERRRHLPEAAPQQRRHADELPHRPHPRRPPPTPRHPHLLRVTDRLADHLLVRHHRPCVGEPEEEPVQEEPHAGRVHVVGDDEQRRRQDEPRPPGVRRGAEVGEHRGGAAHGLAEEEGREGGVGAALAHGEEEGERRRGDGLHVPEVAADALGAAVAEEVGAEDGVPPPRVADGEALEHPGGVGAVAVGHEHDAPDVAVVGGGQRVERVREQAAAGGLVVGLRVAETQRGVVLVGLRGVAPEVLRRRRRGHRPPEPETAAREKIFFSFLPFFSFDESQHRPEMGSGRRAHL >OB02G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4882690:4885465:1 gene:OB02G17460 transcript:OB02G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:J3LAS9] MFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAAPVAERKKKEKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLTSENSANGSAIKQSQEPESTAPEKSGQQSQ >OB02G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4887050:4891755:1 gene:OB02G17470 transcript:OB02G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19960) TAIR;Acc:AT5G19960] MAVNEDTSVYVGGLPYEANEDXXXXXXXXXXXXXXXXVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGRDGFRREPGRDAYWDRRDRERSYDRERDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMQNVDSDNDREKENSKDYDSEREKEKEPRSRKKFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVSQIKDKVAAKEQHIADLLKRSQKLEDELSAARKVSAERQVVVTKLYKCFLQLQDYNDRVKMSEKELQSLVDDAMAEVDIGEDATTKDGSMYENGVA >OB02G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4895539:4902895:1 gene:OB02G17480 transcript:OB02G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARMGSGSGSGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALRWAAIEKLPTYDRMRKGILTAAAAGGGGVGHVEEVDIQGLGMQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFEKLSIDAEAYVGNRGIPTFTNFFSNKVMDALSAMRIVASGKRPISILHDISGIIRPGRMTLLLGPPGSGKTSLLLALSGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRGDEPYRYISVNKFSEAFKEFHVGSKLGSELRVPFDRSRNHPAALTTSKYGISKMELTKACFSREWLLMKRNSFVYIFKVLQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWVCMTYYVMGFDPNAERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLIARDNIKKWWIWGYWSSPLMYAQNAIAVNEFLGNSWNKIVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLDWLDPLGKGQAVVSEEELKEKHVNRTGENVELQALRTDAQNSPSDERGEITGADTRKRGMVLPFIPLSITFDNIRYSVDMPQEMKEKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDLEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLIDYFEGIEGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYRRNKTLISELSTPPPGSTDLYFPTQFSQSFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVQKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPKIPIWWRWYSWACPVAWTLYGLVASQYGDIRYSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >OB02G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4898793:4899059:-1 gene:OB02G17490 transcript:OB02G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASSANPLNRCVTKPRNIAPRKIAPSSTLRRCIFVRRNTVIPMLPRIMSWYISYVLMPSLQFSLSFMGPEVERKKIQEARYIPGEL >OB02G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4904481:4905060:1 gene:OB02G17500 transcript:OB02G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGGGLGRARRLASEATVESTAVGGRSADTATSGVNGAVNNVARLQIRRPDDDGNRWLSIGTPLDGVFIVNVGNILKVIMSNSKQVYKRGAQGVGVPQHGAHFGGGVQPAMLG >OB02G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4906977:4908575:-1 gene:OB02G17510 transcript:OB02G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAMRRRASSMPACSCCAAASVATKPVSTASSASAAAARRMTDRFQDISIPGFVKELLFIDK >OB02G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4913377:4918354:1 gene:OB02G17520 transcript:OB02G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTTMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYYIVPMSQQSVQIGASRPDTPRSSGPQSLSRVSLRPPQQVLSIRTSLPTMAGSQPSPASKKLQSSVASPKVQMLKSTQSQSLNKRSAQKETPSRGQTQQLESVRSKFRESLSAALRTDSDHRNKNQSSENVQPDGSADQKKQVEVDTDPVSTTSQGVSSAKSEVLTSVDAERHEENKKLSNDLVSNTVTPTNADTQQQPENVSLQDDVLGQYTIVADELLQGHGLCWVSDFDAGISETSTQPNLKRSRTSDTDPSVADSLSESELKRMKSCNDDKAINKNNIIQKADDLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSQWRIAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKASEGRTKSEDKEGTDNKAGAQEKEKAPDGTSQDEDNVTGDKDLQDDSEYTDNEKSDLMQELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSVGTPEEDSEDRDEADTTVESEEFPEKEVKVSAPEKIGSEAELPSLQDKDKRESKLESPKHEVGSNLGPAELSEGKLIKSSPDRVDAKQTSAEKMLNHESAIHNKATTIPIIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSQESGRQHLLQTIDSYVSDERVGLAEPAEGIELYLCPSQGKTVEILSRHLPKEHLESLVVPASSIIGVIVWRRPNIPRMSGHPRHDSSRRLSILKKPQVTGSTSGPRPSLPMNSLGAPPGFPVQRHHPHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAYKGRQHVHPSSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLNQQPTRQQQLPVAPTPPPPPPPPLQQMHPYQHQQQLFHQNAVQPQIPSNPLPQAYLRTTQQQPMQQLSLMQQQQQQLQPAQAWQQPNTWWPAQGGAATGAAAVGAGGPPASMVQQSQYGVMPNSNNSVQGYGSGSVGGMAWRPR >OB02G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4919835:4922417:1 gene:OB02G17530 transcript:OB02G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNEPEEEPEHEPEVDVRVRSEQLQRERQLSKKELRKEELAELDTVLAELGISGHATQPDAISKSDRKPDEQNDGDKNGAPSPSESKTSKLKKRNNQRTNQSGIVKSNPMKWIPARIMERQLIQKHEEENRAVDVREKMKKITATKKKRSIRDGWCCKACPHGVPSLLLQRRRRNPITTNNPCDRLASITKFRMITVLICCTWSVCFILSNALLVNVSTISGETYRFCESQLLY >OB02G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4934546:4942984:1 gene:OB02G17540 transcript:OB02G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP (nuclear shuttle protein)-interacting GTPase [Source:Projected from Arabidopsis thaliana (AT4G13350) TAIR;Acc:AT4G13350] MASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDPQRNSYPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEISENRRSDGNWGSSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEQNDYKKSPRYFDVDDRSREDRSGKTTPVQRFEDRRSSEPQKLDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPHVVRPIYPPRPVDPPRPIDPPRVIDPPRANGPPRPNGTRAIEPPLQRQRTSTASSIGSSEGTSEQIKAANTISLIDFSADSEPVSVPLPQSTPTSQQQPANAQPVQPVNAPAQQPVLEQGTNASSVISGGGDWASFGSFGQQQTPQASNSVNPLESALAQLSFSETPSASNASAFPASVSSTSVPNDGGSFFGASLGVSGHQASTGISVHGSSVQQTGLAGPTVVLPSQVSASSRATSGIPEAAPSTDSRSIGRKELPADIFTSLYPQGAMGGWQRTPQFGMGYAMPYQTPMGMQAYPLMAFAQPAYQQPQQHVYPQHAYPQPAKASNPFDLGNEPAPIQAHTQQPLPGPLGASAGMTPTTLHGTSSFGVLQQQPQQLYQSPAPPNHYMMQQVPNMAEQLPNSMLPMQQGGLGSLSMGFDQQAAPRYPHPNTPPSYGSAGGNPFG >OB02G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4944356:4952961:-1 gene:OB02G17550 transcript:OB02G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:J3LAT8] MAVMLAAAPVGYQEEARAEVREGQVCGSASDRAMDFVESIFRERLHLELPDAVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLDGHAHNISAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSIGADNEIADGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYVIYTALAWRNRSFGSALEFVWSFDGEYAVRESTSRIKIYSKNFQERKSIRPTFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLTSIPKEHHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLVALQAIAIEAQSESKWKQLGELAMSTGKLDLAEECLLSALDLSGLLLLYSSLGDVEGVTKLASMAKEQGKNNVAFLCFFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEVFKNMHIHDEEVLPDENGDDDHEVPEENGVEDSQEDAIEVEADGSTDGAVLVNENDTEEQWGTNNEELSA >OB02G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4943675:4963940:-1 gene:OB02G17560 transcript:OB02G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:J3LAT9] MPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWEKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLDGHAHNISAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSISADNEIADGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSFDGEYAVRESTSRIKIYSKNFQERKSIRPTFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEVAKAIAIEAQSESKWKQLGELAMSTGKLDLAEECLVHALDLSGLLLLYSSLGDAEGVTKLASMAKEQGKNNVAFLCFFMLGKLEECLQLLVESNRTPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPDEYPNLFDDWQIALDVEANVAPKRGIYPPAEEYTIHSERPNETLVEAFKNMHLHQEEVLPDENGDDDNEVTEDDGVEDSQEDAIEVEADGSSDGAVLVNGNDTEEQWVLTPDQ >OB02G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4966468:4966620:1 gene:OB02G17570 transcript:OB02G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSSREVLSSNNSRIASKKYSGTKCGLISAGFNIGYVDCKARLMIIQVG >OB02G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4966446:4976343:-1 gene:OB02G17580 transcript:OB02G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:J3LAU1] MPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNISAVCFHPELPIILTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSIGAENEIADGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYVIYTALAWRNRSFGSALEFVWSFDGEYAVRESTSRIKIYSKNFQERKSIRPTFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKAFNVVGYTLLLSLIEYKTLVMRGDFDRANALLPSIPKEQHDSVARFLESRGMLEEALEIATDSNYRFDLAVQLGRLEVAKAIAIEAQSESKWKQLGELAMSTGKLDLAEECLLHALDLSGLLLLYSSLGDAEGITKLASMAKEQGKNNVAFLCFFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVPEIVALWKNDLQKVNPKAAESLADPDEYPNLFEDWQIALDVEANVAPKRGIYPLAEEYIIHAERPNETLVEVFKNMHIHQELLTDENGDDNQEATEDNGEDGQEDDIEVEADGSTDGAVLVNGHDTEEQWGTNNEESSA >OB02G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4991029:4991361:-1 gene:OB02G17590 transcript:OB02G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGSIKVQAVILTYVLLAVLLHPLLCQGSPPASLLEAHTSDGKTIDQGIAYVLMIVALVVTYLVG >OB02G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4992513:4993534:-1 gene:OB02G17600 transcript:OB02G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKHQVVLDDMESGGGGGGEGEGANLDGFFEDVEGVREEMRALEALHRRLQAAHEESKTAHDAGAXXXXXXXXXXXAGLQALDRANAPSRKLPGRGPGSSTDRTRSSVVSGLGAKLKDLMDDFQGLRSRMAEEYKETVARRYYTVTGESADEATVEALISSGESETFLQRAIQEQGRGAVLDAVAEIQERRDAVREIERGLLDLHRVFLDMAALVEAQGQQLNDIESHVARASSFVRRGAVELETAREYQRGGRKWACAAVIAGAVLVAVVVLPILVNLHLLTAR >OB02G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:4997347:4998197:-1 gene:OB02G17610 transcript:OB02G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVWFHVGKKFETGYLVYQMTIDWIVDPTTDKHTLKSIELDVMGNMRLLVHR >OB02G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5003268:5004104:1 gene:OB02G17620 transcript:OB02G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISYKDIIKATKEFSSENLVGSGSFEDVYKGTLEFEVDLGAIKVFNLNKHGGPTSFTAECEALNNIRHQYGMGGPISTKGDTYSYGVVLLEMLTGMRPRDEK >OB02G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5004816:5008501:1 gene:OB02G17630 transcript:OB02G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKVESSSWAFSQTHRPHACQTPTVATATRLRCCRCRCKHKHREEQRAKRTWPESAGRRRGAGDLRRTGPVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGCRRPRAVFMAFGTRGDVFPIAALAAGFAQDQRQYDVVFITHSAHQSLSTHLADCSIRYMPVSSPPVLAAEQLENISGDSVQSNVEHDSCSQREKMIQKEHRKECLSAVEDVFGNDMSIHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPPNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLYAFSREIVECPGYWPCSAHVCGFWFLPMAWQFSCHKCKELLCGNANNSYGGTLCINHASLEHFTVGNSNSSLPIFIGLNSIGR >OB02G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5009036:5011727:1 gene:OB02G17640 transcript:OB02G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKNPKAFLMVLKTVIDKTDHRFILFSSGYQPLDSAIQSVASSVVESSECQASALHCDSTILFSSRLFCFSGSIPYSWLFPKCAVAIHHAGSGSTAAALFAGVPQIACPFLLDQFYWAERLHWLGVAPEPLRRQHLIPDTDNASSIENAADVLIGAIKTALAPEIKAQATRIADKLSSEDGIGEALRILKERVLPRTSSKVITNSCLSDRSLGVAKLAGNGAPPPRPARDHRGHVDAGGGVREARRGGGGVPGRVRASRRRTEPVISIHQVQPGVGEGEAGDGMPPPCVWQRNILMGGKCQLPEFSGVINYDAAGNVVVPGRPRAMPAALGWG >OB02G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5013217:5022142:1 gene:OB02G17650 transcript:OB02G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRLAQTNALFRKNLVIQRRAGKTNCCVILFPLLLFSVIGGLQIAINIELSRGLASGTGSAVDCRCSSGATVDANATGGLDCPPQCPLPRAPKWPPVLQIPRPDRRAVRDGDLFPSAGDLPDASCRAAGARAASFLVTGSNRSFVASMMDNMLPVHNSSVNLSSDISALADYVLVSVLDFSYSFFGFGVSSFLQNTCSPNQTLSFAYQSGNRTETVDVQCTQGLMLWRDSSWLINDELYRGYYQGNNKNKTNEIAAAYDFLSSDHSNFNVFISYNSTKKFDAYEGGITLAFNQEGAWQAPRLVQVPRLINMASNAYLHLRASTLKMSFDFVKEMPKAAQNMRPFDISSLIGQLPYVWTMELLFPVILTNIVYEKQEKLRIMMKMHGLGDLSYWTISYCYFFLLSLLYVMSFTLFGSVLGLRFFRLNDYSLQFVYYSAYMNLQISFAFLMATCFSSVRTATDYEIYSVYLAYHASVTGYFYIIGSGLLGELLFRSYVEDVFLSRSWITLLELFPAFSLYRIIYEFAQSALLGDYMNSSGMKWIDLSDPKNGMRSVLTIMILEWFLFLLLAFYLDHFGSFQNGIRKAALLFHSHVDKNRFQATQQTIQLQEFKASIDNEKTDVIQERETVEHILQESNNSCSVICDNLRKVYRGTDGNAKRVAVRGLSLSIPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGLDIQFEMNKIYAGIGVCPQHDLLWETLTGREHLMFYGRLKNLRGVSLTQAIEKSLKSVRLFAGGVADKLVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEALCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTVTGEAAEEEMRRLVQSISPAMNRVYSISGTQKFEMPKQEVRISQVFQAMEHAKSRMAVLAWGLADTTLEDVFIRVARESDSASSSPLA >OB02G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5032525:5033260:-1 gene:OB02G17660 transcript:OB02G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSTGMIGGQAFRSTSRSDPRINNSSYSQLSWLGLSCILTKAAFHVDL >OB02G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5034826:5039387:-1 gene:OB02G17670 transcript:OB02G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCTQHAVRKSLSINSKMIRLFASCPSPKHIQFLAVFIFSCSLPLAISDDTDTDREALLCFKSQISDSSGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNISSKGLSGSIPACIGNLSSIASLDLSSNAFHGKIPVELGRLRQLRYLDLSINSLEGHIPDELSSCSNLQVLSLWNNSLQGEIPTNLTQCTHLQQVRLDNNKLEGRIPTGFGLLRELKTLNLSNNALTGAIPPLLGSSPSVVYVDLGSNQLTGGIPEFLANSSSIQVLRLMINSLTGEIPPALFNSSTLTTIYLNRNNLVGSIPPVTAVAAPIQYLVLAQNNLTGGIPASLGNLSSLVRLSLAANNLVGSIPESLSKISTLERLILTYNNLSGPVPESIFNMSTLKYLEMANNSLISRLPPDIGNKLPNLQTLILSTTQLNGPIPATLANMTKLEMIYLVATGLTGIVPSFGSLTNLRDLDLAYNQLEAGDWSFLSSLANCTQLKKLTLDGNILQGSLPSSVGNLPSQLDWLWLKQNKLSGTIPPEIGNLKSLSIMYMDNNMFSGSIPPTIGNLSNLLVLSFAKNNLSGHIPDSIGNLAQLNEFYLDGNDFNGTIPANIGQWQQLEKLNLSHNSFSGHMPSEVFNISSLSQNLDLSNNLFTGPIPPEIGNLINLGSISIANNRLTDNIPSTLGNCVLLEYLHMEGNLLTGNIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGPIPSNGVFSNASRVILDGNYRLCANAPGYSLPLCPESGSQSKHKSTVLKIVIPIAVTAVVISLLCLTAVLIKRRKEEPSLQHSSVNLRKISYEDIAKATNGFSSSNLVGLGSFGAVYKGLLSFEDNPVAIKVFNLNKYGAPTSFNAECEALRNIRHRNLVKIITLCSTVDPSGYDFKALIFQYMPNGSLEMWLHPEDHTHGTQRFLTLGERISIALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTEAPGNSTSLADLKGSIGYIAPEYGMGGQISKKGDIYSYGVLLLEILTGKRPTDEKFKDGMNLHELVDAAFPHRVAEILDPTVLHNDLDSGNSEVMQSCVLPLIKVALMCSMASPKDRLGMAQVSTEIHSIKQAFIDLSSGGKIV >OB02G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5042882:5043218:-1 gene:OB02G17680 transcript:OB02G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRKLSMTAAVLLLLIVATEMGTVVQAKLCQKESSEFRGVCIRSQNCANKCKLEGYVDGKCRYLTRRCFCTVECGSDHGGLTP >OB02G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5047861:5048594:1 gene:OB02G17690 transcript:OB02G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAARIRGDLQAILVMLLLLPPPFLPGVLAAGSLGRGNFYSGEPVVGGWEAPASKPPRALRFLTGGAPANPGGEHDPPVSNGR >OB02G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5049486:5050390:-1 gene:OB02G17700 transcript:OB02G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEDGTTRLWWSLPPADPLDVDGEAAAITFSKCLSSMLLSHFNFFNGKIGLADCSTARTPGYIDATPRVAKSFRSAVRRREISARRFIDDGIDRVIYDEQRLHQPGITTVVTSYTTYGGQPKIGDPTSATFSDICKMTQGHPLTYAKTLPMVSPDICKVPHL >OB02G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5053535:5054784:1 gene:OB02G17710 transcript:OB02G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATWRRRVRLQPILVVSLSLLLLSPLFLLPCGGTLAAGTGGGGDSSGEPVLAGAACTMMKRGGHVVVAPSIATLSRRILAQNPSPDGGHNPPFSPGRSSNGVRPAGN >OB02G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5070934:5071415:-1 gene:OB02G17720 transcript:OB02G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAAPTPVARRCSARRRALAGGRHRLLVQITGRRPTYSNSPPRSVSVSVSLPGVLGVASVPGRHAWEWSLAKFPTHKLATYLQIYLITVRV >OB02G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5075766:5075951:1 gene:OB02G17730 transcript:OB02G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYMHDGSKALPSPPFLFKYIYLHIHSSNLEHLIYIKIGVDLDSLLLSGVSIDRSIADRI >OB02G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5084085:5091884:-1 gene:OB02G17740 transcript:OB02G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62760) TAIR;Acc:AT5G62760] MWVPPHPYELIDMEGPHKRMRVAEPPPFDAYGDGIPPPLPPPGDRLLGLIRDHGRPPLPPPELLHGEPYPPDRFGYGGGRGYPPSNYNNPYMQGGNFPDYEHGGMLPPEHEMHALGPGFVPGGPQERYFNHDHHYHRFQREESPGAPPRPPPIRYAETRSRYDSRSWHPEADAPPPPTEPPVPSPPDYHATPPPQSVKSSLFPIHSGSPSATMRPPSSQASHQACPMPANCYNGPSHNEVSGSTYQPHLEQQLGDGRQTHAHRSINNAKISVINASNLFKQPLHGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGRNAPRIHSMDDYFMIEVEKKVEDNEGSKSSNTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGSFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVKKMAADWEEAPPLYLRLDIHSLFHDDNLQEHSIQEVDMDTEDVDDVNNAATSTEAENTQKSESLENGHDQEAGQKWDSPEDDDLDGYKELGQSKWSKDFDEDIEKSEHAEGSTRALSGLAKTYGTHRKTVSWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEGNSMQTKGAINNDTKKRFSDQLRDEGESFRAVFDKRRQRIGVFGNGDGE >OB02G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5103016:5103324:1 gene:OB02G17750 transcript:OB02G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFILQLYLLLLCNIINYYQIVFFNKIYCRTHIANTISFITDILTCTILTKLLSTIKPSLRKYEKKTSQNEKEMRKQALTNQAKRNPRFQAPCLTQPSSRI >OB02G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5103760:5108731:1 gene:OB02G17760 transcript:OB02G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3LAV9] MDPSSAGSGGNSLAAVAGTDAQKRRVCYFYDTEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLGRMQVLRPLRALDHDFCRFHSADYVAFLRTVTPETQLGRGRQLRRFNVGEDCPVFDGLYAYCQSYAGASISAAVMLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKVHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGEYFPGTGDIRDIGYAEGKYYCLNVPLDDGIDDDSYQSIFKPIIGKVMEMYHPDAVVLQCGADSLSGDRLGCFNLSGKGHAECVKYMRSFNVPLLLLGGGGYTIRNVSRCWCYETGVAVGEELKEKLPYNEYYEYFGPEYSLYVSSSNMENRNTNKQLEEMKCKILENLSKVEHAPSVQFEERIPERKLPERDEDKEDPDRRPDPYFDRFLSARRRLKHSARSRNTGDTREYTETEAKDQHGKIPTTEHRGPEPMEEDLGSSKQAPPADANGMAINAPGNVKNEPESSPK >OB02G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5115324:5118908:1 gene:OB02G17770 transcript:OB02G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKLKDHMGIGSNRNPAMAALLECVSPLLLAVVFIARPVVPAFQPPGVAAKTXXXXXXXXXXXRLALMAFKTLVTGDPSRALASWGDDGPGSAPTCQWRGVACGVAGGLRGEVSPELGNLTYLRRLHLPENSLHGELPWQLGRLRELRHLNLSRNSIGGRIPLPLSGCRRLKNVLLHGNRLQGHLPGGLCSLRRLEVLDLGHNTLTGSIPSGIGNLVSLKLLVLEFNNLTGEIPSQIGRLASLTGLSLSSNQLSGSIPASLGNLSALTAISASSNNMTGSIPPLERLPSLSYLGLGSNKLGGPIPSWLGNLSSLTALDLQSNGFVGCIPESLGDLQFLEAISLADNKLRCPIPDSFGKLHALTELYLDHNELEGSLPLSMFNLSSLEMLNIQDNNLTGAFPPDMGDKLPNLQQFLVSENRFHGLIPPSLCNLSMIQMIQTVDNFLSGTIPQCLGVNQNMLSVVNFVGNQLEALNDAHWGFLTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQMEYFGIANNKITGTIPESIGNLINLDELDMENNLLKGTIPASIGKLKKLNRLSLSNNIFSGSIPVTLANLKKLTILLLSTNALSGTIPSTLSNCPLEMLDLSYNNFSGLIPKELFLISTISSFMYLAHNKLTGNLPSDIGNLKNLGELDLSDNMILGKIPTSIGECQSLQYLNLSGNFLEGTIPPSLEQLRGLLVLDLSKNNLSGAIPGFLGSMTGLSTLNLSSNDFEGEVPKDGIFLNATATSVMGNKDLCGGVPQLKLPICSNQTKHGLSSKVIMVIMAGSILLFVLLFACFALHQRAKLRKANSKIALSDEQHLRVSYVQLAKATNSFSSENLIGVGSFGAVYKGRIGISDQQMLVAVKVLNLQQAGAYRSFDAECETLRHIRHRNLVKIITVCSGIDFQGRDFKALVFELLPNGNLDQWLHKHLEEEGEPKVLNLIERLQIAIDVASSLEYLHQQKPSPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQEHSSSLENSTGWNAIRGTIGYVAPGVHNSTSTILSDAFLSSFYSAYRSLLSTLRFFISEYGLGNEVSIHGDVYSYGILLLEMLTGKRPTNSEFGEVLTLHEYVERALPDQTTSIIDQGLLNATWNSEGTAQKYHNIEEIRIECIVSILNVGILCSKEMPTDRMQIGDALRELHAIRDRFHKHQL >OB02G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5120355:5121666:1 gene:OB02G17780 transcript:OB02G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGFSFLLTLLLFAAAVPPASMVGAQAATDQLALMSFKLRITSDPSSALASWGGNQSIHVCQWQGVTCSMQGLRRKRVVALDLSNLGLSGTIDPSIGNLTYLRKPDLLVNDLTGNIPSELGRLLDFQHVNLSLSGGIPPAMGDMFKLLIVQLQHNMLDGAMPRTIGLLGNLEVLNLYNNILSGSIPPEIGNLTSLRIKNLQLRGNQLSGTVPSFLGNLSSLTILNLGTNRFYGEIVSLQGLSSLTSLILQENNLHEYGLGNEVSILGDIYSYGILLLEMFTGKRPTGSEFGEALSLHNYIQMALPDNLINIVDQHLLVPEDNDGEERNSRQ >OB02G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5127616:5128098:-1 gene:OB02G17790 transcript:OB02G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIALLVKSMEQGQAQVEQHPPPPPPLPPQSPAAEQQWMGFSLLTVAGFAFLTFNSVMAVRRSAGDLATISFVGFSYVDLVSLFYCLRLFEKTPAESPRRHRIKTAVWLLTTMLTAVFSYKVAAIMPFPAQVLVWAMAGATVVGGFYGFFLRSDDQKA >OB02G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5130443:5130610:-1 gene:OB02G17800 transcript:OB02G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPLFMRLGVLLPAVNLLLLKICLSASCNDIFSSCAPCLISSGPEPSYLGGGGV >OB02G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5138872:5139135:-1 gene:OB02G17810 transcript:OB02G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHGALLLNLLHQSDQAKPTIHVDVHQLKQSRCQHVHTTGTSTPRRRPERAIVGYLRHTPTTYSHNSTWQRPKVFSQKYHIEFLDI >OB02G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5154805:5156837:1 gene:OB02G17820 transcript:OB02G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLYQALLLSALAVAVLQIVKVALVGARRQEVAREVLRTHDANFATRPRLLAGEVVLYGCSDILFSPSGEYWRKLRQLCAAEVLGQKRVLSFRPIREQEMASRVERIRAAGPQVPVDVSALFYDMAISIVSCASFGKKQRNADEYLAAIKTGVSLASGFRIPDLFPTWRAALASVTGMRRALEDVHRTVDSTLEEVIEERKGVRSGMGDNEENLVDVLISLHEKGGHLDRNSIKAVIFDMFTAGTGTLASALNWGMSELMRNPRVMSKLQCEIRQAFHGKAAIGEDDIQVSNLPYLRLFIKETLRLHPPVPLLVPRESIDMCVVNGYTIPARSRVVVNVWAIGRDLKYWDDPEEFKPERFESSKVDFVGSSYEYLPFGAGRRMCPGITYGLPVLEMAFVQLMYHFDWSLPKGVTQVDMEEEPGLGARRMTPLLLCATPFIVPIL >OB02G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5162101:5166128:1 gene:OB02G17830 transcript:OB02G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYVNTHAILDARRARARAAAAQGAPLEASQGPRVIIVGPSDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLAYFYGHPSPSVSPDVYRALMKELAQTLDKQFSGNSESRAAGMIINTMGWVENLGLELLHNSIEIFKANVILVLGQEKLWKILKDATKNKPGIDVVKLHKSEGVVPRNPKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGSHQAPKSALPIGAEPVADPTRLIAVNISTDMVHTVLAVSYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSKLLIASSLTWYEA >OB02G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5166247:5169458:-1 gene:OB02G17840 transcript:OB02G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LAW7] MLISLEVYALKSYVMSFHGCIKFCSHLLFSIWFGFPNVGKVYRMAVIADRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >OB02G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5169584:5169814:-1 gene:OB02G17850 transcript:OB02G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALGLAVLCIHVDFCSSLFLFVLVVRYADANGVWWLCVGFGRLLRESYLNPCFLGSIFSWLHKILWLSRRILIN >OB02G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5177689:5182726:1 gene:OB02G17860 transcript:OB02G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26180) TAIR;Acc:AT5G26180] MQPAAPTPDTSRPRHDANSGDAAERAAFFARREAASVLRRVLRGDASRRAAGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASSGVLTSKWKKQEELVFVTAYDILFGQETATSGSVEQLIMLHKDTLMALLEKMCLRRKVRSVEDLVSKKSTVKPKPRFLRVNTLRTTTDSVIEELNKIHKVDKDDMVPDMLVLPPGTDLHNHHLVTDGKVFLQGKASCMVAVALCPKPGWKVIDACAAPGNKTVHLSALMNGEGSIIACELNKERTKTLQHTIQRSGANNIKTINGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSRGGQDDESTSARIRKLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFQGSEHLLRTDPEDGLEGFFIALFVREAAAADAVRPSSGGLAACKQEARRRRNGLMAFSSLRLSRMVLCPTRGTWRR >OB02G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5182994:5183521:-1 gene:OB02G17870 transcript:OB02G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVGGGGEPFPTSAAAVLQQLLDLPDELERQLNPPTRAYVRDRRAMANTPMDVKELPSGALVLAVDMPGVSPADVRVQVEEGNVLTISGERKRPGDAGDGKAADAVKYLRMERRMGKFMRKFPLPESADLDSVRAEYKDGVLTVTVHKKPPPEPKKPRVVEVKAGDGEPKDK >OB02G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5186791:5187435:-1 gene:OB02G17880 transcript:OB02G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGGMVLSTTNMVGAAVVNSIMSKVTFVGLHNYVTGYDDATKVESHEVEAIARKYSMYKHIVIS >OB02G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5190481:5198168:1 gene:OB02G17890 transcript:OB02G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3LAX2] MHGFYKSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAVFKITLEVPSETVALSNMPVVEEKNNGPTKVVCFQETPIMSTYLVAVIVGMFDYVEAFTTDGTRVRVYTQVGKSAQGNFALEVAVKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALEGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAETFQKSLAAYIKKFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKIEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLFDGKQEDFNLSGLVECQKKDDFWIKLNVNQTGFYRVSYDEELASRLRYAIQANKLSAADRYGVLDDTYALCMAGKQKLVSLLHLIAAYKDETEYTVLAHVIHTSLSIVEMMAVADPEGLGKLKKFLVDFLEPFAHRIGWDAKSDEGHLDALLRGTLLSALAELGHEATINEAVRRFNVFVEDRDTPLLPPDVRKAAYVALMQTVNKSNKAGYESLLKIFRETDLSQEKVRILGSLASCPDPDVVCEALDFMLSPEVRNQDSIFVLRGVGAAGHEMAWTWLKEKWDYISNTFSGTLLTYFVSTTVSPLRTDELGDDAEEFFKSRTKANIARTVRQSIERVRINAKWVESTRAEANLGNLLKDIGHKQ >OB02G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5197940:5201732:-1 gene:OB02G17900 transcript:OB02G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSSTSSQGSGVGESEAARDSSTLVAVDRDKNSQQAAKWAVDRLLARGSVLQLVHVRPTQNGKDEADAEMQQMFISYRGYCARKGMQLKEVILDGADISKAIVDYATSNAITDVVVGASTRNTFIRKFRSPDVPTCLMKMVPDYCTVHVIHKGKAIQVKAAKGPAPFTTLPPKQYSQSSIDSDGYARSRGDWKKISHQSSPKANRPLVDRLPGIGKAPSRDRPLSGARTAPPKDFDDYIDFIAPPRPSVTRSSFSDDVDFNMSMELPSMDFVDSMELSASMSMESLSSAGKDVETEMKRLRLELKQTMEMYNSACKEAIDAKNKAAQMHQMKVEESKKYQELRNAEEEALALVQMEKAKCRAALEAAEAAQRIAELEAQKRLRAEWKAKREAEERTRATDAMNNTDLRYRRYSIDDIEAATHKFDRALKIGEGGYGPVYKAVMDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGGLEDRLCRRGNTLPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPAAAAAEATQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSLGILLLQIVTARSPMGLTHHVESAIERGAFQEVLDPTVTDWPVEDAMAFARLALRCAELRKKDRPDLGKEILPELNRLRCLGQDYDASKLSSSSTTCSSYSFGNDDLSIS >OB02G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5204412:5209287:1 gene:OB02G17910 transcript:OB02G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVVLEGDGVAEALVRYAADSGVRSLVLGSASLGWFRRAMNIPDVATAVLKTTEISCNVFVVSKRRLTLKVIRHPQTSKSGTGLRIQSVSQKAFAKSYRSLLFDNFPEEHRHSDSCCQSRISHRGPTNARQSSESHGNITLKSLLAKTAGIEGYKNHGFQSPFKEAHRADSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKIQVLSNERSEEARKVEHALQEEALKQVVADEEAKHLEAIEEVEQAKKSFTREAYSRKKAEMVASMVSVDKAKVVDAILSTSKNCRRYKKHEIELATDNFSESRKIGEGGYGNVYRGTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFKIIIDVSRGLLFLHGRKPEPIVHRDLKPANILLDKNYVGKIGDVGFAKLISDLVPEWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFALGVIILQMLTGKRPNGLIVSTENAIRNGTLADILDKSQTDWPLAEAEMLARLGLRCTALKCRARPGLETEVLPKLQEILNRITSSTVNVRSPKLNVPSHFICPIMLEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRVLPDSSVIPNHSLRAAIQQWMSQSAHAKA >OB02G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5215025:5219815:1 gene:OB02G17920 transcript:OB02G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRANTGRDDGSEALVGDRSKLSPSGLPVREIPGGYGVPFLSPLRDRLDYYYFQGAEEFFRSRVARHGGATVLRVNMPPGPFLAGDSRVVALLDARSFRVLLDDSSVDKADTLDGTFMPSLALFGGHRPLAFLDAGEPRHAEIKRVVMGLAAARMHHVPPAFRAAFAAMFDAVDASLDASSGTVEFNKLNMKYMLDFTCVALFGGTPPSKAMGNGAVTKAVKWLIFQLHPLASKIVKPWMLEDILLHTFRLPPFLVRGEYAELTAYFADAAAAVLDDAAKNQPGIPRDELLHNLVFVAIFNAYGGFKIFLPHLVNSSESGDKVCRFEPDKEAPDQTQIQSRSHDTEMSSPKSTDLKVEREAILMEVEVNPKSVPAALVNASNTSSSMGSFVPPREIFVIAHPPSDDTEKRTQEEEVARLSEERQLQDEREHQKQEEINRRRAMGLPTQNTRVTSQKGNAASTTLSADWINKAKTLKPRRSVSTSKIASTSTERSNANVKPIESTGIKKYDGSTDPKAKLTVYTMAIRAAGGDTKTIANYLPVALDDSATHWLSGLPKWSIDSWAELRDRFIANLQDIKDDVVIAAFRKGVKDEPFIVEFTRKEPTTAKDLFDMANSYAASAAASHPNWHADSDNMNQENQMYCS >OB02G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5227964:5231288:-1 gene:OB02G17930 transcript:OB02G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPACSSPRLQLRLHLVVYDRYEAEPGESCKDLAELLCDDDRAVRMAAGEALAVCVELKLAHDTSPKDMAVIRTTVSDLAIESTGNGADDEMVSGSVHALVKRQQMASDVAGAEQPLPSRSPALQLRAAITEQIQTQGKNGRAVACTASSPARQSDSNSVSRTQSDSNSVFDSYLAMPTPIYSRAYRSFNQIKYKSHQSAAYTSDKHQVESKKNSGVLVRDRNIDIWNNIYDVGQKMGRPTQVAFVCLAYPRDRHPPEPNVEAEAGPQTTDIRGTQISMAPFGKEFRQARGGKNGGGKYQKCVARDEKPIRSRFVNTKEPVECTILENIDECIVELFEKRGYTREEAVLNLVRMLEGFKFEEDIRCKYGSIVSRCIFSLKKGSRKEACLAYRALGILALNLGGGGGDATTGSKYILAEVFPFLSKTVEVSSDMERVLAAXXXLAATTFAGEDRNDEIERSMDDIWDGVIEPRLGSGSARKTTPQVLATAVSAWAFLLTVVHDRYEAEPGESCKDKIAVLAELLYDDDSVVWMAAGEALAVCVELKLAHDTSPKDMAAIRTTVSNLANESTGNGGTDKRQLADQRNVFRRIEEFLGSGKCPAKSVQASSNRQHVLKVSTWTKLLQLNFLTRFLGNGFQSHLKKCPLFEETFERQKQWTLELKRRAGVWEEKNKFGLPEEEPESGGTTTLMLLPA >OB02G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5237634:5241646:-1 gene:OB02G17940 transcript:OB02G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3LAX7] MAAFSLAARVANAAVSYDRRSLVINGRRRILLSGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVSFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAEMQKFVEKIVSMMKSEGLFEWQGGPIIMSQIENEFGPMESVGGSGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKNYKPAMWTEAWTGWFTSFGGGVPHRPAEDMAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPVLVSTDPTIQSLGSYEKAYVFKAKNGACAAFLSNYHMNSAVKVRFNGQQYNLPAWSISILPDCKTAVFNTATVKEPTLLPKMNPVVRFAWQSYSEDTNSLDDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGANDLKSGQSPQLTVYSAGHSMQVFVNGKSYGSVYGGYDNPKLTYNGRVKMWQGSNKISILSSAVGLPNVGNHFENWNVGILGPVTLSGLNGGTKDLSHQKWTYRVGLKGESLGLHTVAGSSAVEWGGAGGYQPLTWHKALFSAPAGNDPVALDMGSMGKGQIWVNGHHAGRYWSYKASGGCGGCSYAGTYHEDKCRSNCGDLSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVSLATRTT >OB02G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5252462:5256640:-1 gene:OB02G17950 transcript:OB02G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13 family [Source:Projected from Arabidopsis thaliana (AT1G08750) TAIR;Acc:AT1G08750] MLPLMLLAISISSSSAAAAAASPGAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLNSLFNSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMKTIHTDSAYSGFVAADDVETILSTGDNLLNHVMLRNKASQRRSNIEEMKEAQLRSHGWTDVLLEQLDVKNADTIVMYGLGTMGILLAISTWLSM >OB02G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5262568:5266346:1 gene:OB02G17960 transcript:OB02G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLMALICAVPVIASGVWFASAQGEECARLARWPVAILGGLILLAALAGFVGAYWNRRRLLAFYLFAMASLIALLVALLVFAFAVTRGSGAYPVVGRAYDEYHLDGFSMWLRGYVSDDPARWERIKACLVVSDTCKKLARQAGFLTADQFYQSHLSPLQSGCCKPPAVCGYNYVSPTVWAGPAARPAADPECALCYECESCRAGLLAALRDQWRRANIALVVAAVALVFLYLVGCSAYKNAHAEALFRRYKW >OB02G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5265753:5266544:-1 gene:OB02G17970 transcript:OB02G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTHTSINMRFRNQANHEITPKYRSQSGHKSELLHRSLIKTKSETEGDLEEGMHGRRSLSLCGYWLPLVAAEEGFGMGVLVGAAADEVEEDEGDGGDDEGDVGAAPLVAERGEEARAAGLALVAQLRRVVPPHRAVRVRRRPHRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCDGSFPHTAHSGSAAGRAAGPAHTVGLT >OB02G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5268776:5269854:-1 gene:OB02G17980 transcript:OB02G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LAY1] MATNKQVDATYGEVSFSDVSGFRYLVCINAITAAYSVASILLSSFKFITRFDWLIFLLDQVLPKTNLQIPLCIRIIV >OB02G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5275575:5276472:1 gene:OB02G17990 transcript:OB02G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCLIAFLITASCLSVSVSGQLPGDLFALGVASRLRKLGSILEQLTFEEGFVFTKDVTYVQFLDRVMEEERALRSIGTWDVPHPWLNLFVPRSRILDFDSGVLKGVFAGANPIGVILMYPMNRNMWDERMMAVAGNDDVFYVIGLLRSAVALGDVEHLERENEAVLAFCHNEGIGCKQYLPHYLSLDGWQHHFGAKWSRVTELKAMYDPHGILSSGQRIFSSSVLVALVAM >OB02G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5280552:5282322:1 gene:OB02G18000 transcript:OB02G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCLIAFLIMASCLSVFVSVSVSGQLPGDLFALGVASRLRVDGNWTARASSDFGRIVTAAPEAVLHPATPADIAELLWADVLRATLEHGLAPRVWTDYLRITVAGTLSNAGIGGHALRRGPQIANVLELDVITGRGDMVTCSRDKDPDLFFAALGGLGQFGIITRARIGLEPAPRRVRWARLVYSDVVTFTRDQELLISKQDGEASFDYVEGQVQLNRTLTDGPKSTPFFSDADITRLAGLASESTSGVIYFIEVAIYYNESTITSVDQKLEAILEQLSFEKGFVFTKDVSYVQFLDRVREEERALRSIGMWEVPHPWLNFFVPRSRILDFDAGVLKGVFAGANPVGVILMYPMNKHTWDDRMTAVTSDDDVFYAVGLLRSAVAAGDVVRLEKENEAVLAFCNNEGFGCKQYLPHYTSQDGWQRHFGAKWNKVVELKAKYDPHGILSPGQKIFSPMKTII >OB02G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5290511:5292446:-1 gene:OB02G18010 transcript:OB02G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAEPATSSQISSASLPSLFLLSGMSTIYMSQLPATLPLMEGDQDQGLFPAFHRAKDPPILFPFMIDSAVEHQGQSYGDQSLRRQQVLGESNQQFNDHVMMGGSDVFLTPSPFRPTIQSIGSDMIQRSSYDLYDVENKHAGGGSSSKWMSTPPVKMRIIRKGAATDPEGGAAVRKPRRRAQAHQDESQLQQQQAMGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAANGGAAAPPPAKSAVASGAAVNKPAKKEKRTDVDRSLPFKKRCKMVVDHAVVTATAAKAATASIDAAAPKNDPDHVVGGGEENDAAAVAESPATKAAGATGAPPAFFHGLPRDEITDAAMLLMTLSCGLVRS >OB02G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5300709:5303494:1 gene:OB02G18020 transcript:OB02G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFIKMNPIGKVPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWIDFSATEVDANIGKWLYPRLGFAPYVPMGEEAAIAALKRSLGALNTHLASNTYLVGNSVTLADIVMACNLYLGFSRIMIKDFTSEFPHVERYFWTMVNQPNLKKVIGDVKQAQVVPPVQKKVAPPKEQKPKEAKKEAPKEAPKPKAAEKSEEDEEAPKPKPKNALDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >OB02G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5305180:5314039:-1 gene:OB02G18030 transcript:OB02G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLSGGAGGGGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAISASDHAGLVDADSVQIRAAERISLGGGAGDRGPMEALSARYWNHSVVNYDEKLADGFYDVCGVPMHPPFQAKFPSLSTLRAIPVEGDANYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADAMSGEWSRTSRGLSFQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISSGCENRNDIGGSSSEQKTALALATSQLDDIFQTENPLKQSAISDEGQFNIRQQMKVTDAPMYLVPKEVDPEFAENLHNLLLERSALLPTYEKPEIGKNTTSEDDKTTGWLVIAKTSQSFQNGHVAEDSPLQHGSAKELAVVNCFHEDAQHPVGNTEAIGNNLDLHDHAIANEDQRISEDPLVNMPGSSNANLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKEVDPLVASIISSCWENDPSKRPSFSQLLSPLKHLQRLVVPENC >OB02G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5327394:5329409:-1 gene:OB02G18040 transcript:OB02G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFVLGQESRQRILQRAAARLPGCAYLCAWAPVLPPVAAGLQQQQQQLQLSSSLSSSAAGAARLLCCPDAWLPGSDDGGGGVSALFDAYRGSVCAAVTGCVPGWAHVDRAPYMELPELDLAASSSLPVQQRFYQKWRLDEELTWPLSMMAQMAAFMGCESGEIEVGFSTAPNGDSGGGASSLQSSMEQVFSEDFFQESLLEELLQLTPTGPSSSSSSLVGSPADGASTSLLRAMTPMVTSSSATPSPREPAVQAVATTPSSSSSSRLHPRPPPHRVHVSPFARHGVVLHFPSAEADDAAMAQAMLDVICSPTTPSAWSSSPALPTSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRAGAGAADDQDGHLHPEEDAHGQVQPGAHRLAASQRRRRRSHGRAAGADEQPAPPYDIGAPAAGAAQRELRAIKNFASSRIKERQGDSSCQDPGVHELAHSSNLRA >OB02G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5344057:5347955:1 gene:OB02G18050 transcript:OB02G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKVCMAQQEQNMVSGRVEKQISAQAMRSKSSEKKAVQGQAFTGLFNKRNCFACNEASHTLDQCSIKERLATGAQLFAHSTRQPFYMIQPSEEVVEKEKFYHHCLLITSDVSNLDPRKVKVEVSKSWQLTNWEIRTEGRQNFLVSFNSEDDLISCLQTPRIETSINDKEVNFTVARWKEDDEENIELIRAWFLVYRVPRIYRNWKELYQIASAVGVLIDVDEDSLEGDNKEPIKLKIALRSADGAPFSCHFVFGWYSRSVTFTMEDKVQNIVCEREVLQDSNGEDHLDVFDKECKDNINEVIEIPPEILNKGVDLKSNNHDTIIVASTHNHTLDVKGCSGKELGTTEPAVSVEEPNFVEELRAQTEITSKDNNTSAPVCTTSNSGKTVEGSRSAEREQSISRRSTSMTGDTCFKATTVIHSKKITEGSSKVEGVQSTSGNSIGMIQETPFRGIQKPTVKIVYARRNKMQKRSMENQDSKSLREKEHLNELETALGGQGQKSDNESGGDGNNAIAPSAIAINSKKKQTKDSATIQGAQSISGSSIGETPTKGPRGAQLDAKQHVSKMSKHAHNEFFESFSEMGLQENLLEGIYAYGLDRPSVVHQRGIVPLCEGLDSIRQALSGTTVTLCCGILQRLDYASKECQALVLVPTCDLAQETKKVIGALGHCLGVKADAFYGGINVCEDQRILSSGVQVVVGTPCHVLGMLKGRALCPDHIRMLILDEADDIFRCCKDQVDSWLLPTKTQFGFFSATMSHEALEMCRKFMNKPVEIIVPRDEELEGINVKQFYVNIEKEDYKLDKLCDLLDPAAITRSIVVNTRRQAKSLTKSIRAKGYTVSAAHGGMNQGARDQAVQDFQSGSSSILIATDPRGIDVLHAPVVIIYDLPTQQVSYLRHVQRSRQHGRKGAAISFITHADEHNFSSIRKFVNTQIEELPSNVSDFF >OB02G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5353627:5357632:-1 gene:OB02G18060 transcript:OB02G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G17640) TAIR;Acc:AT1G17640] MNGYDEEEEVEEVEEVEEFEEEEGRPGRRGGGGRDGGDGAGYGGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGGGGGYRSSYRSGGSAASGGGGGGGGGSGSSGGYGYGGGYRSAGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGAYGGAGGYGSYGGGAAGGAGGRGSSRYHPYGK >OB02G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5362232:5365387:1 gene:OB02G18070 transcript:OB02G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISKCSHTCLTNVSTKCHLCVDHNSSNDGVGWWKEEHFNASDMDGDGFLNLTEFNDFLHPADTMNPKLIDWLCKEEVRERDKDNDGKLNFQEFYSGLFYSIRHFDEEASTDDSGSDAPARKSFTQLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >OB02G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5366078:5368015:-1 gene:OB02G18080 transcript:OB02G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSMEASNCSIALEISHAAAPSLPVLLLGSSLALLTVFLVYFYAPFWSVRTVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVEALVDNIAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADTGAGGESGDEGDYDVREFLREYKKSMEFIKMDLSSSLSTILGLFLPCLQTPCKRLLRRVPGTADYKMDQNERRLCRRIDAIVAGXXXXXXXXXGHGGGREFALEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPRVEEKLLREIDGFAPRGRAPDADELHAGLPYLDQIIKEAMRFHLVSPLIARETSEPVEIAGHPLXXXXXXXXXXXXXXXXXXXRARHPYAHIPFGIGPRACVGHRFALQQVKLAAVHLYRRYVFRHSPAMESPIQFDFDLVLGFRHGVKLRAIKRSHT >OB02G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5369637:5372298:1 gene:OB02G18090 transcript:OB02G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKNNGPHSLDIGCRVNTVSPVAISQRKYSLLEAKVVGQSLYLSMQIASYSSLWIWKAKEKAQIMNHDANKGKRLIANEQQPQVVPLLMEVVPPLAIAYLYMEGTNGQWSHSISLRSKCY >OB02G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5374309:5374772:-1 gene:OB02G18100 transcript:OB02G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPRNISNLLNHQNSPTQHSEGIGQISPPTPQSQFPFGTSQPFWSSEHPPPYGHSPPRFQSVQQQGVLQASPPPNFQGFHLHESVGPLGAPAARTPSLMLHFSYLGGVTPNTSSHRSESNSRCSAQQEKQSVNIEELSDSSEEKPKRRHIN >OB02G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5376005:5378742:1 gene:OB02G18110 transcript:OB02G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQEGRKGIPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGISARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIGVAFVAAQKGYKFIAVMPAKLLIDKQILLRYLGVEVILVDPALNGFKGLLDRVEQLKKDMANVFVIDQFTNPANPDAHFRWTGPEIWKDTVGKVDIFVAASGSGGTITGVGRYLKMKNPYIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEVVTVTTQEAMDMARRLAREEGLLVGISSGANAAACLKVAAREENKGKMIVTMFPSGGERYLNSELFAQVKEECIDINNTF >OB02G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5385486:5386617:1 gene:OB02G18120 transcript:OB02G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRYVSFFLFFVISFSLLHKSCPQSTNQSNDEHQILLELKKHWGSSPLIGRWNSDSAAHCNWGGIACTNGVVTAISLPHQTFIKPLPPSICLLENLTHLDLSYNNFSTSFPTLLYNCSSLKYLDISNNAFAGQLLDDINSLSVMLEHLNVSSNRFTGRIPPSIGRLPRLKSLIIDNNQFCGRYPAVDISNLSELETLTLAVNPFVPAPLPVEFGRLTRLAYLWLSDMNITGEIPESLSSLGELNLLDFSMNKLQGKIPTWIWRHKKLQYLYLYGNKFTGVIEPKFSALNLLEIDLSSNQLTGTIPDGFGKLTNLTILFLYNNKLNGSIPPSIGLLPKLADIRLFNNMLSGSLPPELGKHSPLGNLEVSNNNKLR >OB02G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5385858:5386013:-1 gene:OB02G18130 transcript:OB02G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDLSLGNLPMDGGILPVNRLDDTLRCSSITDRLFMSSRSCPAKALLENI >OB02G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5387136:5387879:1 gene:OB02G18140 transcript:OB02G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLTILDLSSNALSGKIPDDFNKLRLNFLNLSMNQLTGGIPASLQNDVYEKSFLFNPGICLSSKSSFHNFPICRARTNISNDLSRKLIALFTAVASIMLLASTVVGFMRLRRKRHTQDHLSWKLTPFHVLHFTENSILSGLCEQNWIGSGRSGKVYRIYAGDAASGGRMVAVKKIWNMQNLDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSEAKLLVYEYMENGSLYQWLHQRERIGAPAPLD >OB02G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5385062:5388760:1 gene:OB02G18150 transcript:OB02G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDCSPTIVHRDVKSANILLDPNFRAKMADFGLARILLRSGDSESFSAIAGTFGYMAPEYGHHVKVNKKIDVYSFGVWAWRQYQEYGLSVDLLDEGIRNPSHVEDALEVFTLGMICTGEHPSMRPSMKDILNVLIQFGCKTTRTQGSLNHAISDETAHLES >OB02G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5410031:5410657:1 gene:OB02G18160 transcript:OB02G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAERPAVAAVAAPTDLRCYSASYVTSYKAPGAGAAAAGTNTKAAPPIPAKNKQVSPASTSPSGSFVDDAELQRRKRLVAYKAYDVEGKVKDSVRRSVKWIKGKYSRAVDGKW >OB02G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5411851:5412051:1 gene:OB02G18170 transcript:OB02G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRPLMQDSLRLERTDATAKNLLLKFFLNKNSSQQFRFGCRIPLFLILFLMEKRSMWEYSVPTN >OB02G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5440751:5443303:1 gene:OB02G18180 transcript:OB02G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASSEHLEQVQEADESIVYVKDEQGGGGGRGLECGARKVASLFSQRGKKGPNQDSVILCQKLTNCFFSKTEAGKLFQFYFFSSEMEKENSQKGFGMEDGVFVGAFDGHGRCGQVISKLVRDYLPFMILSHRNALFLAGDDGDDLAFSDASPPPSSTDSSGRSSPQAASAQRHHRRVRHQAREGPRRRQPRRLPRRARHNVDGELKLQANLDCSFSGTTAVCAIKQGKDLVVANLGDSRAVLATMSETGYLQAVQLTVDHKPSVPEEAARIKRSEGRVFGLKDEPGVMRVWLPAENSPGLAMARSLGDFRLKRHGVISTPEVTSRRVSAADLFIVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWRSKFPTSRVDDCSAVCLFLRDQATWATAAAAARKSRRAATTPAPL >OB02G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5447180:5451477:-1 gene:OB02G18190 transcript:OB02G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:J3LB02] MNLDTSQSSDYNGTASSSSSSNSRALLPNGGCSEKLYNCTNNDLSFPPGGCSSLRLPVVVVTGQDLNLVARCRRVYAHAHDYHINSISNNSDGETFVSVDDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCHTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDVKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMMRQVANPTRPARTLTSLTRAVRRGGENPGVDGNGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >OB02G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5464600:5470474:1 gene:OB02G18200 transcript:OB02G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MAGRGWHGSAIPSLSSHGSTPQYSSYGGYQGTSKKIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDAEPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNISGRKYSAPQPGAEQFQMQIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIEMAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPPGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPTSGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQGTPGTAAPGDATSYNSSQPPAYASQGYDSTYSQQSGGQQAYGHDYSSYYQAQGQQQGYSQQTGYDQQGYGASGYGSAANSTQDVSAPSYGGQGGASQTSPGQQTSTPAGSHPGYSSQPPTSAASSYPVHGSAAQSGYGAPPPQPGYGTQPQQQGGYGQGTYGQPPQGQKAPNSSYGQAPPPGSAPSGYGQYGYGQQGYGAPPPYPGAPAAGYGQQQSYGDPYGTGSYGQPATYSTEATTAASQDQSVPAPGGAPATTAPATAPENSGNQSPPS >OB02G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5473502:5482045:1 gene:OB02G18210 transcript:OB02G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT4G29490) TAIR;Acc:AT4G29490] MAAPSPPPSSSSRAPPEVPMELHAGNRDRLVDALRAHLSPSGRPLHGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAVDIVSGESILFAPRLPADYAVWMGEIKPLPYFKDRYKVNMVFYVDEIAQVLQDRFSGHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELDLIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHAYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGAEYNYYGSDITCSYPINGKFNRNQAIVYNAVLKAHNAVIAHMRPGVNWMDMHKLAEQTILESLKNEGIVHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTTRELKEGMVITVEPGCYFIDALLIPARDDPVSSKFFNWDEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGEPWPLRSSTALATNNGLS >OB02G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5494351:5498726:1 gene:OB02G18220 transcript:OB02G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHISPPGHELGAAPISPSAPIPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYILTLFAAKYRDRVLGLILVSPLCKAPTWTEWFYNKVASNLLYYYGMCGVVVEGLLQRYFSKEVRGCSDLPESDIVQACRSLLDQRQSMNVWRFVQTMNERYDLTEDLKQLQCRTLIFVGEYSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISAELLSPESMGVKLKPIKTRVRLEV >OB02G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5499184:5499384:1 gene:OB02G18230 transcript:OB02G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VECGYRIVLNQILQTFLDWNLVLHANIEQYYIAGGLIYKVQRVFQSFRRLDLELLPSWFGPKDCYDG >OB02G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5501781:5503277:1 gene:OB02G18240 transcript:OB02G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MALAAAAATFRPRLAVTASDTTDTRRRHWKAGEFPFPTSSPDAPRRRTSKPAPEEERDSSGSRGGRKHWKAGEFPATTPDGEPSRRRRTPIKNVKKRLDARADAKAWACTVTEALADRIAAKNWQEALQVFEMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARSLFEEMLSQGCNPTPELYTALIAAYCRNGLLDDAFRLLDDMKASPLCQPDVYTYSTIIKACVDDSRFDMVDALYREMADRGIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLESRTSKPDVWTMNIILSLFGNRGQVELMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFAESADAKNMEHTFNQMRAEGMKPDTKTFCCLINGFSNAGLFHKVVGMVKLAERLDVPMDTSFHNAVLAACAKADDLMEMERVFRHMKQIQCVPDATTYSVLVEAYRKEGMTDKIYGLQQENPSLVPTDLVHV >OB02G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5504503:5505373:1 gene:OB02G18250 transcript:OB02G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLWLVFGVWCCGESPGDQDHFFIHFSMVNEYEWQACSHEHFGCCYAIMAWRHFVQNGVLRMSVIQDANLLILHQRRIAAGKNALQCQLIQNVYQNGPVEVVFTVYEVAYAEGKNVLVS >OB02G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5507152:5508084:-1 gene:OB02G18260 transcript:OB02G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATSRAARSTSFATRRNVAARRRSTSVSTPAPAISSSSNTCSGSSNPYPAGALKASPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRHGPRRRPHPRAVVAAERHRPDDAAAAAASLRRRHRDRSVSPRRSSWSIRFLGGLVFSPLLFCATSRRTSKYIWEGFGM >OB02G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5507287:5508208:1 gene:OB02G18270 transcript:OB02G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEASGGGGGVIRTVTLRGYDGARVFDDELMAGAGVDTLVDLLRAATFLRVAKLVDLAAREVARRMRGKTVEETRALFGIRSDYTKQEVEDIRNEISWAFYTCHDD >OB02G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5509520:5509753:-1 gene:OB02G18280 transcript:OB02G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFELCRNVAGVYRKIREDIEANLEEGDVERRGDGEVFETKVALQLGRSPSELKQFRVMASPAVKDGDIKDFAGKLV >OB02G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5521738:5521995:1 gene:OB02G18290 transcript:OB02G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGQAGMVFELCRNCAGFYRKIQEEIEANLGEADVDRRDDGEVFETKVALQLGRSLSELKQFRAMASPSFKDEDVKDFAGKLF >OB02G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5525380:5528189:-1 gene:OB02G18300 transcript:OB02G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G04470) TAIR;Acc:AT4G04470] MAAAAAAGKGRETEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYDKIEKRRLLLKMLFGFAYGGPFGHYLHKVLDYIFKGKKDTKTIAKKVLLEQITSSPWNNLLFLFYYGYVVERRPLKEVKTRVRKQYPSVQLSAWMFWPIVGWINHQYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQS >OB02G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5535190:5536274:1 gene:OB02G18310 transcript:OB02G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGVGNGAVVVVFDFDKTIIDCDSDNWVVDALGATGRFDELLRRLPWNSAIDAMMGELHAEGRTVEEVAACRRAAPLSPRVAAAVETAHALGCELRVLSDANAFFVGAVLEHHGLAGYFSAVDTNPAFVDGDGRLRILPYHDFHAPAAGHGCTLPTCPPNMCKGKVMERIVDEISCGGGGGGAGGRRRVVYLGDGRGDYCPSLKLTERDYVMPRKGYPVWDLIAGDRDAVRGDVREWADFDDLEAVLLGIVAECLTSEQEEDDDDDDGEAAPAPAPADQCRALQPTLASGQDQAILPKAVHVPN >OB02G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5538630:5542609:1 gene:OB02G18320 transcript:OB02G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G26180) TAIR;Acc:AT4G26180] MEARGVAKTAVAPLERVKILFQTRRAEFRGSGLIGSFRTIYRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILAFPNVEQGPTLDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAERLSLRESKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIMAKLGCGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLLKGKGTFGSLAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREEAAVAVLTEERSNTAPAHSS >OB02G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5554077:5555993:1 gene:OB02G18330 transcript:OB02G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSFSQFGVDAMNGYFMAASGNGLLGAADVPLFHPAMAPPDHGGGGGFGSSGDAAAMDIGVHFAANNLVLASLASQLFGSAPAAPHGHGDYVGARTPQEEEMGGGYGVAAVGDSPGAVSLACLGQSDDMAVGWSSASKKASCNWSNAGGSRTAAAVQGSYYLARVPEAAGFVYPLDAGHSAATPASELSLSLCSNSSSDSMINAGDQCSYPKDSEKEMLAARSGLSRNQVSNWFINARVRLWKPMIEEMCEELKRSSGASGGSQALAMEHLNSQDVIS >OB02G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5567962:5568486:-1 gene:OB02G18340 transcript:OB02G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALREGVTEAERRELEPVVRAHHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRHVLSFRVVGGDHRLRNYRSVTSVTEFPPDDGLRRRRPYCVVVESYVVDVPEGNTDEDTRMFTDTVVKLNLQQLAAVATTSADHHHLPYRAQT >OB02G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5567986:5568546:1 gene:OB02G18350 transcript:OB02G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSGGGGDGGELLEVELDDGVGEHAGVLVGVALGDVDDVGLDDDAVGPAPPQPVVRRELGDGGDGAVVAEAVVAADDAEAEHVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVRAHHGLQLPPLRLRHALPQRALHVRLHAGSRVAPXRRRRRRR >OB02G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5571249:5572043:-1 gene:OB02G18360 transcript:OB02G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHNALVGVPTEPQPPLARHLQAPLHLRAASPHPDQQVYRGDVAGHAVPLHVGDEPKRLGGVGPGAEEEGQDAVVGEGVVAEAREGGGGEAEEGEREGRVRGEGLDDLGGLGGGEGEAEGAEVVGEVEQRRGGGLGAEDARGGADRVAARVERRRGRGRGDCDGEGGALRGRPRGGDAAGVGRREAAWHFSFKKIAGEEAAPAGACRRGGLNATAPLLRASQTGGPSRSPKWAVGPQQITGSLFNGPNLRLHLFVWASVFFSF >OB02G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5571473:5574628:1 gene:OB02G18370 transcript:OB02G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLATTYSGRIAAARPSPEGPSLTVTVAPTPPPTPLDPRRHPVCAAARILRSQASPTPLLDLADYLRSLRLTLTAAEASEVVKALSPDPPLALAFFRFAATSLPGFRHDAFSYNRILALLFRARADPAEALRLVADMERDGVAGNISTINLLVGMGGGGAEVERCLEMASKWGLRLSGYTYKCIVQAHLRSREVSKGFQVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCAPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCALNLIAFNTIIEALGKNKMVDKVIFVLSKMVQSDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRYMSRSIYSYLVKSLCKSGHASEAHNIFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLLMMPEKGIATDVSMYNKVFSALGKLKQVSFISNLFDKMKENGIIPDIFTYNIMISSYGRVGLVDKASELFEVMEASGCKPDVVTYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMIAEGCTPNIVTYNILLDCLERRGKTEEAHELYETMKQQGLIPDSITYSILERIESRSQRTVRIRKPTRISGWIVSPLR >OB02G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5580499:5583169:1 gene:OB02G18380 transcript:OB02G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVPSSHARCYLSLPHPKISDTKKVDFGIATGAAFRQPCFKLSISSSGKTLSKGTNAAISDTPLLGTIDVLDAFEDDYGGVVINPTSLPSTSNAFASSLQSSLSYWSKQKLQFTLICFTFILGGLCLSSCRARVLVVKEGKCPSHCSGIWKIPTGFIDKFEDLFSGAIREVREETGVESCFLDVVAFRHAHQALFDKSDILFICTLKPLSFEISIDESEIEAARWMPIDEFVSKPCHQEDEMSRAIIDICIAAHQKCYAGLGAHQVMSRLDNMVAHLYTGYTREATGCVPEI >OB02G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5584267:5587213:1 gene:OB02G18390 transcript:OB02G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEALQMDVAKAMKVAFERLESLEFITRDKCITNLNNYDIVEISILLCDDDFIQKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISVDTAQRQAEERGHTMLDETRILMVHGLLHLLGFDHELGKVAEEEMESEEEHILNTLEWKGKGLIKSAYSSIHDFDNSQNHVEASRDIDEMNLQEREVHPLKLRHVICDIDGIVDNEGNLHEESIQSLREAVSRGTNVILVTGKTRASAVRTFKLLNLEGEDHFISESSPGVFLQGSLVYGMHGREIYRANLSVDICNEAFLYSLKYNIPFVAYCEEQCLTLFEHPFVDLLHTLHHETKVKVVPSVEDLLGYSSIQVFLPLTLSSTNWYIINIKDSMPFYLTVYHIGSGIIL >OB02G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5587059:5590189:-1 gene:OB02G18400 transcript:OB02G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGGGREGNVDTCLCLPCLEIRIAPGMREACKPVSPGAPLCHGEACIEQANDRSEDDSFSGSSIGSACSSASDLSDDGSSYQPGDSPEPSSSSASSSTLQLDSEGPLYELSSLISQLPVRRGLSNYYQGKSQSFTSISDATCVQDLAKKVTYNKRMKACKSYAAGLDMNQRSNHLPRPCNRMIAKRPSKGSFACLLSRPSSASLMYSSAKPPTQQSKKDVQMHINS >OB02G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5593049:5596220:-1 gene:OB02G18410 transcript:OB02G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-related [Source:Projected from Arabidopsis thaliana (AT5G25940) TAIR;Acc:AT5G25940] MAARNFRAWSPKEDESDAAVREAVTLGLRNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDRST >OB02G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5598579:5604410:1 gene:OB02G18420 transcript:OB02G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVADLHKTAELEKFLVEAGLYEGEEESAKREDVLREIDHIVKEWVKQLTIQKGYGENMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEDFFVTLHGALADMEEVTELQPVPDAHVPVMKFKFHGIPIDLLYASISLLVIPPDFDISQGSVLCDVDEATVRCLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCTIENDENLGFSVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSALFEPFQFFEAYTRYLVVDIVADDEDDLRLWKGWIESRLRQLTLKIERDTKGILQCHPNPCEYADPSIKCAHCAFFMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTSWKSGMDLAVTHVRKKEIPSYVFEQGCQKPCPPTPIHADQQDQSDKTDTEVCTAAVSLAGQLKRKYDSVGGGDTESCKYFRMASVSPACEETSTQLHDDANFGLINCSTSPHESQESSVSGSSCAAIGAHGLVDETSIPVSLIPNVENDRTRTNLDQIPSQRVVEKDERKLEGIESLASSNCTEFMEVAEVVMGVVLTENVQLSGDEVI >OB02G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5604908:5605897:-1 gene:OB02G18430 transcript:OB02G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRMQHVDARLLFLLLLLIIGCFAVVVPAVQCRSNGEMLRGEKINRLPGPLCAKHTYPYPCKNCWCCMVSDGVCYKTLEDCQDNCPSPPSSLV >OB02G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5606664:5612503:-1 gene:OB02G18440 transcript:OB02G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENNTNNLLLPLLLFFLLSVSGAKLDHGELQALLTIKRDWGSPAALSSWKVRNSGSSGHCSWAGVACTDGHVTALFFSSFQIANPIPASVCSLKNLQYLDLSYNNLTGDFPTVLYNCSNLQFLDLSNNGFAGSLPDSIDKLSSGMIQHLNLSSNSFVGDVPSAIARLLKLRSLILDTNSFDGSYPGAAIGGLVELETLTLASNPFKPGPIPKEFGKLTKLTYLWLSGMNLTGSIPDELSPLRELTLLDLSQNKMEGTIPKWIWKLEKLEMLYLFASNFSGEIGPEITALNLQELDLAMNKLTGSIPQDIAKMKNLRLLNMYYNKLTGAIPEGIGRLPNLVDIRLFDNKLSGPLPPELGKHSDLGNLEVSNNNLSGELPDTLCFNRKLYDLVVFNNSFSGVFPASLGDCDTINNIMAFNNHFVGDFPKKIWSFGALTNVMIGNNSFTGALPREISPNITRIEMGNNMFSGAVPSVAVALKNFRAEHNQFAGALPDDMSGLGNLTELDLAGNRLSGPIPRSIKSLTRLTSLNLSSNQISGEIPATLGLMGLNILDLSKNKLTGHIPEEFNDLHLGFLNLSSNQLAGEIPSSLQDLAFDRSFLDNPDLCCRSESGMHVRTCPGIHGGGSAHDHLPLGIMLVMVILPAITLLSVAITGWLLLLRRKNGQLHDVASWKMTRFRAVDFTERDIVGSLSESNVIGRGGSGKVYRVQLGGGGGSCTPRTVAVKKMGCASKPETNLDKEFESETRTLGELRHGNVVDLLCCVSSHDTKLLVYEHMENGSLDQWLHRRHGRDGGGTGPPLDWATRLGIAVDVARGLSYMHEEFVRPVIHRDVKCSNILLDCRFRAKIADFGLARILANSGESESASAVCGTFGYIAPEYVYRSKVSVKVDVYSFGVVLLELATGRGAQDGGADSGSCLAKWAWKQRNNGAGPVAGLVDDEIRDDADCLDGMVAVFELGVVCTADEPASRPPMSEVLSRLLQCCDRDRSRTCSDDIITANKGVFGIESIDDSLDCIV >OB02G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5615435:5615953:1 gene:OB02G18450 transcript:OB02G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATSPLNRMSYKAAAVLVAVDGAGAPHFSGRSRRRARALPLAVLLRLGERHAAGVVTARGGHAGRSVVLDELLEHLLHRRPRRRVLAGADHPEEEHRHGVLEERRPVLQDAPVDNVVQRAAGLVPPPRPLRQAVVGAAVVRHPAGRQLQQHDAEAVHVHLLVHLRSAPVLC >OB02G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5615062:5618620:-1 gene:OB02G18460 transcript:OB02G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLKLLLLSSSFSRSTAQPSAGEQKLLLAIKQDWDNPAPLSSWSSAGNWSGVTYDNGTGQVTGLSLGSFHIAKPIPASVCSLKNLTSIDLSYNNLTGDFPVALYTCSNLRFLDLSNNKFTGVLPDDIDKLSSELLHLNLSSNAFVGDVPSAIARFPRLMSLVLDTNSFNGSYPGAAIGGLVDLETLTLASNPFKPGPIPKEFGKLTKLTLLWLSWMNLTGSIPDELSPLTELTLLDLSQNKMEGTIPKWIWKLEKLEMLYLFASNFSGEIGPEITALNLQELDLAMNKLTGSIPQDIAKMKNLRLLNMYYNKLTGAIPEGIGRLPNLVDIRLFDNKLSGPLPPELGKHSDLGNLEVSNNNLSGELPDTLCFNRKLYDLVVFNNSFSGVLPANLGECATINNIMAYNNHFVGDFPAKIWSFGALTNVMIGNNSFTGALPREISPNITRIEMGNNMFSGAVPSVAVALKNFRAEHNQFAGALPDDMSGLGNLTELDLAGNRLSGSIPASIASLTRLTSLNLSGNLISGEIPAALGWMDLNMLDLSNNDLVGDIPQEFNHMHLNFLDLSSNQLSGEVPEALQNGAYERSFLKNRGLCASSNVNKILSIPSCGDVDGARNKLTMILITVFSVLAGVTFVSAVAIWLLILRHQKRRQDLAGWKMTAFRSLEFSECDVLRGIREENVIGSGGSGKVYRINVGGKGGGSAGKVVAVKRLWRSAKSDAKTDKEFDAEVRILGEARHNNIVNLLCCISGDDAKLLVYEYMERXXXXXXXXXXXXXXIAVDAARGLCYMHHECAQPIVHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESVSAIGGTFGYMAPEYGSRAKVNEKVDVYSFGVVLLELATGRVANDGGADHCLAEWAWRRYKAGGALHNVVDGSILQDRAAFLEDAVAVFLLGVICTGEDPATRPSMKEVLEQLVQYDRTSSVATACRDDSGGVTFSKAKKDGQGKSSSSSAGATGKVWGAGAVDGDEDSCSFVAHPV >OB02G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5635151:5638624:-1 gene:OB02G18470 transcript:OB02G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LB30] MGEAAESKKSRGRLRSWMGEKYSDHHHHGDGDGDEEEKTLELSLGLPGGGGGGGGGWRAACRDKGTKHPVAASAAAGDDDGGDSSSILSLGYSTLVSHSQGKANKGSPEEAHPPATGNNALASSNNGSQTRSPSTPVIGWPPVRTFRRNLATSSKASLELQQNEKKAAKVEVIQRAPFIKINMDGIPIGRKIDLNALDSYDKLSLAVDKLFRGLLAAQRGPLPAGAKGCPQEDAAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDLSSSLIASGRKRTAAEC >OB02G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5646672:5648667:-1 gene:OB02G18480 transcript:OB02G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:J3LB31] MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKMYEVKDPSCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >OB02G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5649340:5649507:1 gene:OB02G18490 transcript:OB02G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAATGTTLFAVGVMLCPFPALVPSCRNLTAVLPELWGLLAAVYLHIRGELSQLL >OB02G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5660590:5666030:1 gene:OB02G18500 transcript:OB02G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLGSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLREREDVSGEMALLVEGLEVGADTSIEEYIIGPATEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDDENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHGHRGSSLSMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEGVPGSRKGSVISLPGGGDATEGSDFIHAAALVSQPALYSKDIMEHRMSGPAMIHPSEAAAKGPSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAILLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVILVVSNVIDLGTVPHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCLIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQAAKA >OB02G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5666466:5673702:-1 gene:OB02G18510 transcript:OB02G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPSKAAPDPARRRADAVGWLQALFPDLPLPPPPQASDDDLRAPLASGRLLCALLRRLCPGALLDDASTDNVGRVRAAVERMGVSTFSASDLERGQMAAVVNCILALRDRFGSRGGVGDERSPNFLTRCDSEGGRKRMESKLQRMLTSPIMSGEPSTPTGIEPYSPSQVFQLRQGGYDDLPGSKYSDLMKSTSMDNAPTQSLLGVVNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEELAVGMEKDMLGDKGQLAEEDMARLMKYQEDVVRLMKENNDLVRLLREKEDMVRLLKEKEDMVRLLKDKESMIDSKAVKVEETQRIEDEDKYRLIKEKDDALVRLVKEKEEMIRLLKEKDDVIRLMKEKDDFRNLENSEVEGGSNSTDDNKDRLIKEKNDIVLRLTKEKEEMVRMLKEKEDLLNLEKVEFDGRSKSTDDNKDRLIKEKNDIVLRLTKEKEEMVRLLKKEDIIRLMKEKEEMVNLEKGEVEGKKQMADDNKDRLIKEKDDVVFRLTKEKEEIIKLLEEKEDIIRLMKQKEDMFMSIKEKENKAELKITDEEAERSIKEKAEIARLMKEKEDGSNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDNIILELKKELEETKRLHEAHSQELETKAAKVRKELEQRIEEVKLMLDDSTKRRRELEELSESRIQFWKRKEVEVNQFVSLQVQNVQDLKLSSVSVRHEILSCQNKWSEELDGLGKSLKVVTNAAEKYHVALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPTTTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTHTMMGPEKATEKEWGVNYRALNDLFNISNDRRDTITYELGVQMVEIYNEQIRDLLGSGGIQNTTQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGAARTTKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNSSTRLKSARQTDPILKHSSSSPGITSLGKNTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEVSGLGDLDSDGRLSDASDGGISIGAEADSSVNNVADQEQDKTTSAAKERLTKAVNRVQKLTLPKVGQSSSLRPKPRDPAPPRSSAPTGVRKSTTSQATPPTRTAATLKRGP >OB02G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5682707:5683582:1 gene:OB02G18520 transcript:OB02G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGGKVVAAKEPGVARRLWRVVRAVLYMLRRGLPSGRKLAMDLHLLIHRGKIAGKALGELVMFHQHGHGGGGGGGGAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQRSVAATPDYYGASPYSARGRTPRPVAAGIA >OB02G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5693137:5693526:1 gene:OB02G18530 transcript:OB02G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEASSAVAAVAPFLAKLIGGSDVLGHVDDDDDHKEMEQLTTTTSGNGGGGGEVPAAPGQEQQLQVVVVEEAECECCGMSEECTPEYIRGVRARFSGR >OB02G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5694410:5697354:-1 gene:OB02G18540 transcript:OB02G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVAPPLLLPPPPLPPLRRGTEPRRLPAPDPRSRPEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGAADVAAGGGGGAGRVAGYALQLLPGSLSLISSSNLCILPRRVSACLDNEILLRCNRSRLSKPLFPSIISFIIVDCCVIQGYPQI >OB02G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5694882:5696834:1 gene:OB02G18550 transcript:OB02G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLNAMAKKTKFSTNEHDRTMHCKLQSSSKVYYMEYFGPLMDGICSGIGFEKSDEIALRIDLSHYSVKWGFLIALGWGGAAAAAVRAEPSALLQPATLHLLCYRSQHVRIQPVIPSQIYNVLRFPVASGEICFHKLETEEYI >OB02G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5697622:5700745:1 gene:OB02G18560 transcript:OB02G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G19680) TAIR;Acc:AT5G19680] MASGDPVDAAAAEPMEVEEEEAERCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRLPALRKLSFRQNLLDDAAVAPLSTWAGIAGLQELVFRDNKLTTIPDASIFKGLVVFDVSFNEISSLKGLSKVSSTLKELYVSKNEVAKMEELEHFHALDILELGSNRLRVMENLETLANLQELWLGRNRIKTINLCGLKSIKKLSLQSNRLTSMNGLQECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTSIEDIETLTRLEDLWLNDNQIPSLDGIESALASSREKLTTIYLERNPCSKTPNYSPTLKKIFPNLEQIDSDMLA >OB02G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5707026:5707699:1 gene:OB02G18570 transcript:OB02G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRLLLLVSVVALAAAAAYPAAAGKGRRYQVGGTGGWVVPPPEEKEAYYVRWASSVAVYVDDSIEFVYRNDSAIKVTKAGYYHCNETAGIDSGDVPAPADGVRVFHLYAPGLAYFASADLDHCNKGQRLMVNVLAADQPPAPPAQGPSSAVAPPLSPSPEPSTDYSGADGAAGSAFAAAAMMAPIIMAALV >OB02G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5776525:5777368:1 gene:OB02G18580 transcript:OB02G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGSGGAKPDRKTVERNRRNPMNELYSHLDSLVRSGGGAAPPAPAGGAPSATTRPDKLGEAAEYIRRQEERLGALREKRQELSAAAAAAHQLGGGGGGSSSPGXXXXXATPPKVEVQHLGSGLHAILVTGAPPSDGASFHRAVRAVQEAGGQVQNAHFSVVGAMAVYTIHALVGEGYGGIERVVQRLKEAIRGDA >OB02G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5783836:5784030:1 gene:OB02G18590 transcript:OB02G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRNGSPTVIFQQTILSSPNYLPGRQMHEGSHGDMELQSLSANHILRKYSCCWYLQPFLHYLLAWS >OB02G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5785056:5786458:1 gene:OB02G18600 transcript:OB02G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVLLISVVLVGLLSLSSCRSLGELSEHKTYSSTPQYGGSPSPAYGSGGATPTPTYGTTPTPSYGTTPTPSYGTTPSTPSTPSHDAPPTKHDFCGSCDYWKSHPDVIIAAIGSLGDIGKTLGDACNLIVGKKLENLHDALSNTRSDGTGALLREGAAAYLNSIVNNKFPFSTQQVKDCIVVAMTSDGAASSQAEIFKKANDYHYKF >OB02G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5787698:5792786:-1 gene:OB02G18610 transcript:OB02G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAGIPQFRRPAALIASCCALVLLATVLLLPRAPPAPGQLATARDGAPVRLDSTGDRRSGNELLWQLPPTLPRAALFAAPGCTIRATDFFDASPGCPSCAGLPEERRFTSEALRRGYAVLAVSSRAESWSLDTEEGSELAAVESIIEWWVREKHPHQLAGLPLVGIGASSGGYFLSALAARVRFSSVAIMIAEGVFGAMEDIPANYPPALFVHMPKDDERAREVASNMGKLKGNRVSAGEIQCGELAVSAQFLAARIPGLTRAVADGLVDVLHRKGFVDEKGFLKKDGRSTPWKKAAEEAKVLPEGFRLERHVTEELNVAYAYHEFTSLKNGEIFEWFESHMDHKS >OB02G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5807014:5807262:1 gene:OB02G18620 transcript:OB02G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding EWVHRLSDLRALLTVLYRAVATSGSDLLFEIKKFYVFNYVIEVFLCSLFSLSYIYIASCFLSVPVWLHCDLAKTRCSIFDCTR >OB02G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5807718:5810257:1 gene:OB02G18630 transcript:OB02G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYKPKNILITGAAGFIASHVTNRIVRSYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERTVLDVAKDVCKLFNLEADKVIQFVDNRPFNDQRYFLDDQKLKNLGWSERTTWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGSEGSEEIKGMINQFNSNQTKMVVPTSKVASPTHPFKFLIYGRTGWIGGLLGKICEKKGIPYQYGKGRLEERSSLVLDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVVGTLTLADVCRENGLLMMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIWNFTNPGVISHNEILEMYKKYIDPSFKWSNFTLEEQAKVIVAPRSNNEMDGTKLKDEFPELLSIKESLVKYVFEPNRKVPAN >OB02G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5814053:5814331:1 gene:OB02G18640 transcript:OB02G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQLWNDWELQALVLLSFMLQVFIFFSGGLRQRSTNSALRILVWLAYLVADFIAVYALGQLSRQKNRCKRSRATSQVCSLLDAFPSHSS >OB02G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5814954:5815205:1 gene:OB02G18650 transcript:OB02G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFALSSSPAVRNLFAGRKLDQMEERVQRAFSGRSYSQASENTQLAFKILEIELGIMYDNLNTKARVIYTWTGTMLRLITYI >OB02G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5815104:5815357:-1 gene:OB02G18660 transcript:OB02G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANINPAMVPLLVPCQEEHKCNQQGDVGDEPEHGAGPCIDHSRLGVEVVIHDSQLDL >OB02G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5827204:5828443:1 gene:OB02G18670 transcript:OB02G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEGGVAPFVAKTYRMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYVRRPSLSLSPSDSSPSPSLPALSIYHAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRRIGRRSGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRVLRRRRLRPGARRVRAGRHGRLHGPLHRRRRVRRRLRGQRVPDAVRVPGGGQRLLTAAAAMRFVLRVTPDRFVYLTPAFFPPFSQSVWEKWL >OB02G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5830187:5834492:-1 gene:OB02G18680 transcript:OB02G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MKCNACWRELEGQAVSTTCGHLLCTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPSDDWTNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEIENLTRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGMPNIMDSDPLRQGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPQVDIRTRQPARPVFGTTMNNTSAALRNMIISPVKRPQLSRNRPHMFTL >OB02G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5854561:5856119:1 gene:OB02G18690 transcript:OB02G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLATGRVIGDVLDPFVSTVDLTVVYGGGADDSMPVISGVELRAPAVEDRPAVEVGGDDLRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVVCYERPNPATGIHRMVVVLFRQLGRETVYAPPVRHNFSTRAFARRYNLGAPVAAVYFNCQRQAGSGGRRFTGPYVSRRRQQP >OB02G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5862175:5871296:1 gene:OB02G18700 transcript:OB02G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:J3LB53] MDRGRLAVLSAHLAGEADSAAAAAAGGLERLVVSSSAASGGGGPXXVVDGRTGRRYEVKVSEEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQARDKQIVRVLGKAPTIAAAAYLRLAGRSSILPTNNLSYSENFLYMLDSLGDKTYKPNPRLARVLDILFVLHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQNEQLGQIATSNATRRRRAGSAL >OB02G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5876818:5887792:1 gene:OB02G18710 transcript:OB02G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGSRFILRLLLIIPSLLLLLLGAGCRDGRRCAAAAKQRLPQQEVEALMRIAHKLNKMDWDFSVDPCAGSKTWVNASDPNSYIKSSYPNFPVSNLTCDCSFKNHTECHIISLELMRQNLSGVLPEEVVNLTYLTNLDLSRNFIQGPIPTSWASLTVFNLSLQGNRISGTIPKELGRMPFLKSINLEGNQLEGPIPPDLGNIISLERFFISANNITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKSWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLIGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGEMQYLILMDLSFNKLTGQIPQSFAGLGALQFLYLTDNMLTGDLPGWMLKNKASNKVNMDVSYNNFTGNPPNECQQANVNMVSSFSSSNDNLLQPCLRKNLPCMDKPHYSSLFINCGGKSVEVDGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSKLVLDHPELYTEARLSPLSLKYYGLCMENGEYSVKLNFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHREITKGFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVTQLSRNHHGISTGLMIAIILAACFIAILALILCYIKFFRKKNLKRNGIQFFYHGRKTDTSELQTRTQYFFSLKEIESATRHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRVSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGTSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSNYSQDEALRMLDVALLCTNTSPAQRPKMSAVVNMLSGQAPIEVVPDEDFSESIRPSGSQSDQSMNNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKICCRT >OB02G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5889640:5892627:-1 gene:OB02G18720 transcript:OB02G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDTNGSSANEQRAMEEGGKQEEFTDQGCSLAVSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPAGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARSVGPALIGSEYRSIWVYIVGPVAGAVAGAWAYNLIRFTNKPLREITKSGSFLKSMNRMNSSST >OB02G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5912891:5918008:1 gene:OB02G18730 transcript:OB02G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGFNLFEEESDDPAAKSGMVDDPDTTTTSECKTDMIEDFVKREQENNFYCGAPLHEHTGIWVPVSVPPMTKHDHEEWHKGFAQSGYFSEQEFNWELDEENKEMTMWDVFSEMVVAAKDKVISVASYDIGRHGMSVLSKFFLQEAWRDMAQTLEDANAGIADELLETEQTVWLPDSAARACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTRDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPSAILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAISTCGIGYGAQAGGELADFIIVLRNTEAIKTFSGKAHLSVGAGISASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKASDILMGSMARPPAAAALYKVLSELFDKPEK >OB02G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5917707:5921883:-1 gene:OB02G18740 transcript:OB02G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGCVDRQGKDEVGCKPPPAELADQLGRSTSASPCSSSQPSPRGTSSFPSSGSSSQITLGGGGGVFGGCGEGSSLIPWLKTLSTGAGASSSKFPAHYSYFGGGSISAPPSSKLILRIDLCKKRILKIDPWIRNRCVSGSMAGIGDELGVHICSLPVAEILHEFIESELQDKIINHGMQPHNRVTQPEPVHQLFSVLFRTIKNDYTACEFYQHEDGGPPLFPWRRCTNSKIMVREDGHSHVH >OB02G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5930507:5930941:-1 gene:OB02G18750 transcript:OB02G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRWKPVSALETGGPSNADSQDSEDDGGFLSRTRLGRLIQAAARELLEKLNSARSKSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGIVVGAIEGIGMLMYRKPVSRSPGRFQSLVAMVNYWKSGVCLGLFVDAFKVGS >OB02G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5931209:5931376:1 gene:OB02G18760 transcript:OB02G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCDNTTKVAQEIFWKSNTFIVIFPTYMTLLYKLKVKFIRQVNTICPYHLQIVVYF >OB02G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5941221:5942296:1 gene:OB02G18770 transcript:OB02G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPYLLPDLNADPPADAEDYDYAEEYCCPPVNAGDDDGLDEAMLLFASSEERCRKRLRDSGDDVVDEGEARGSPRRCRCFDSAEEMPGMVEDGDAHARYYAVPADESVEHQADEEAAEQLRAVFLFGEADESQEVDMAAAQSAGEDDHTCTMTGDGSAYAEDEEPEFRHFRRQGAGMAAQGFGQCTLPEGSHEPAADVDDEHLQERREQQVDMAAAGSEREITVDDLINEQLLERDFINKVGDDGNDSEMEVQVEDELDKPTLMPFIEFL >OB02G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5946506:5946913:-1 gene:OB02G18780 transcript:OB02G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKRFLALILAMAIAAAALQAPFVASAEEGGGGAGERHAMASPSTSEAVVMMQGRQAEDDPGAPPLPLPPPPRRRVLRHRGRREHGDGGGDDDWRSWRIPPSGPSSRGHVAVDVDVPEEEKTKTSSASSSSAP >OB02G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5951567:5956567:1 gene:OB02G18790 transcript:OB02G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G14860) TAIR;Acc:AT3G14860] MGFLPLPLPLPPPPPPPAPARVFDLVDIICCCSLCYNIVRQLSSVVKWPRAPASSHAHKQPSHAQYDGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGKLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYLFSVVQHGFGSSTAEKIEAPEDEHQENSTVGKPPLVVESLKEEPSAGWPSLGTLIGDLLKLVIEGVGNQLLKLVPSRLQHGKRKTDLTPLKDRLVMPEDREETPIAQKLSSTPMRPETLHNPSTVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYSEFYSSSETPQVSAKVPKDRLRHRHREKSGEAAYGTSHPEPKRTEVKPSDYSDPKYDPYNIRSRYGAESGYRY >OB02G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5971198:5972115:1 gene:OB02G18800 transcript:OB02G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LB63] MGISSAASCRAEIDYLEEQILSQEEDTDLPLVGSVVALLRYCLFALFNPGNTRALRDWPLAGNRQRLLSFGGGGGDDTSFSVPKEFSCPISLDLMRDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQTLADHRLVPNRALRSLISQWCGVYGLQYDSPESNEGMAECVAASCSSRAAIEANKATARILVRMLEDGSENAKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSNDWMAQENAVTALLNLSIFEPNKGRIMEQEGSLRLIVRVLQNGWTTEAKENAAATLFSLSVGPDYGGP >OB02G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5972132:5972755:1 gene:OB02G18810 transcript:OB02G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPSAVEELASMLTKGTARGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSALVQRVERIPGLNTVIQTITLNGTKRAKKKASLIIKMCQRSQMPSAMALGSTLTVVDHSLVGNNTLRRAASFGSGELPNPISISVPVP >OB02G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5976443:5978977:1 gene:OB02G18820 transcript:OB02G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LB65] MSHPRTHRAAMHWAESLLLLLFLLLIPFSHAWSFDYPSPIANLPSLWTNNNATIPYSATYPDGSKIRAILVRPNPAWYSPFFACGFICTTPCNAFLFAIFSLSIGDPSNPAFNTSSTPRIMWTANRSRLVKDNASLQFTKDGNLILRDFDGSLVWSTNTSDSHVVGMNLDETGNMVLFDIMGKTVWESFEHPTDTLLIGQSLRQGKRLTSDSLATNWTKGQFYLTVLGKGLYAFIESDPPQLYYQRRFNITGAIVHQKINISSDGAKNNTTYISFLKGSLSAFVSFNNTDIKLFDISLPWPSSAQFMSLENDGHLRVHRWDGIMWKPQADVLHVDLDDCAYPTVCGEYGICSEGQCSCPTQNFGDEDQFFHQLDNRQPDMGCSLAIPLSCDLIQYQQLLPLPNVTYFNLGKNWITDEYSCKDACLKACSCKAVFFEYHSVSNGSCYLMPKVLSLMNYKPEVDGYNLSAYIKVQRLPPPPRRKKLNPTAYHVGAPIIVAVICIIILIIRKIVKKKMEDEDPFKGLAGMPTRFSYKQLREATDNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDFGHGEEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMSNGSLDKWIFRKNPSGSLSWATRYRIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDESHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLDNNQPEASSNLINLLQEKIKVGQVLDILDNQSEDIQLHGEEMIEVIKLAVWCLQRECSKRPAMSQVVKVLEGAIDTETSAGYDTTGKDDIFDASSPLSPSPVSAR >OB02G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5976607:5976876:-1 gene:OB02G18830 transcript:OB02G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSLVNWSDALSFTRRDLLAVHMIRGVDEVLKAGLLGSPMDNEKMAKRNALQGVVQMKPQAKNGLYHAGFGLTRMALILEPSGYVAL >OB02G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5986473:5986928:-1 gene:OB02G18840 transcript:OB02G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVCLDQTHQSNATAMSTCHSSPSLLDLVSLPPSPPPPPGPRAPSPSASSSSSSPGGGGAGMVQVVPLDVNEELLGKFRDTSEFGFEYEKSGLWSPLVARPEALALAGTRRRRSWRRKVFCCW >OB02G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5990106:5994009:-1 gene:OB02G18850 transcript:OB02G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A [Source:Projected from Arabidopsis thaliana (AT1G09760) TAIR;Acc:AT1G09760] MVRLTADLIWKSPHFFNSVKDRELDIRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKMHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFHSKEAEEEAKKVPAKTFTPGQVVDVQDTTREEQGPKVVAPTPEQITAIKAAIVNSQTLEEVVRLEKALSTGIVPAEFAIPKPDANMAETSEETDKMETDGQNQENGADEQKQNEESTPIEED >OB02G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:5997767:5999804:1 gene:OB02G18860 transcript:OB02G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C3 [Source:Projected from Arabidopsis thaliana (AT1G60430) TAIR;Acc:AT1G60430] MVYHSSFVDDNGITKACGCPLLPLKTHIRGPAPAFDQDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTSYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFALPQSQEEAELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNIVIL >OB02G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6003714:6003965:-1 gene:OB02G18870 transcript:OB02G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIRSDQITAECIISDSSFINHLGKNNIITILLSRRKFVSYEMQHTYTLYLQQKRQYHTIIRCIKCFFPSHVISLFLKCISP >OB02G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6009205:6009841:-1 gene:OB02G18880 transcript:OB02G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGAPPPPAVAKLRAEPNLSTATIASLNDDLLLEVLLRLPSLPALIRSVLTCRSWLRAVRSSPEFRRRFRALHPDHMLGIFIDCDGPAVPGTPGFASADSLTGDDPDPDLAAALRGGNFTLDSLMQVEEYASPGWHAVDCRGGYLLLLNPFDRRDGSRLPAAVFSSKTWDWIIHPWIELAGDGKLAT >OB02G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6020524:6022735:1 gene:OB02G18890 transcript:OB02G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACVVQALEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OB02G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6022369:6022615:-1 gene:OB02G18900 transcript:OB02G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGELLLKAFLTTEPGKYVLRGIRLGLVEDDSFILDLEPLHGILLGHPVLNPNAGLAPTTTGNTVTSTLKHNIEVHSIDTC >OB02G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6035941:6036737:1 gene:OB02G18910 transcript:OB02G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQIHHHLPELAVKPSDCKQMNWLQSMLYSYGYTNGPPPEILLDRTLQPKDYYKIKLDYLTSRIPQTGLAELLTKIVEDQDGSIDIDPQGGLMSRIPESGTPYAHRRGYLYNVQYSVKWGGDKNVSHEDDHLGWVRGVHELMTPYVSKNPRASYINFRDLDLGQNVEGSTGYEEARLWGEKYFRGNFRRLAMVKGQVDPGQLFWSEQSIPPLVVDGELVSDS >OB02G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6042885:6046926:1 gene:OB02G18920 transcript:OB02G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3LB75] MAMCWSSRSAAIRGRAHLAATHAGAGARAMASLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSEFIRDKRIAAVQALSGTGACRLFADFQRRFLPDSQIYIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKVKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVSVKSQLQQIARPMYSNPPVHGSLVVSIILNDPELKSLWLKEVKGMADRIIGMRKALRENLEGLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >OB02G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6050142:6054569:-1 gene:OB02G18930 transcript:OB02G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G45780) TAIR;Acc:AT5G45780] MAVKSRMRDEKGVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNRVSGNIPPEVGKLTNLKALDLSGNQFVGEIPSSLGQLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLDLSSNNLSGPVPKIYAHDYSLAGNRFLCNSSILHGCKDLTVLTNESTVSSPSRKTNRRHQLALAISLSIICATIFVLFVICWLNYCRWRLPFASADQDLEIELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRNGTLVAVKRLKDPDATGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYHHGKPSLDWCKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDEGFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDWVREVKEEKKLDKLVDRDLKDSFDFTELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPESGVELNREAPPNGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >OB02G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6058736:6061165:-1 gene:OB02G18940 transcript:OB02G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVRGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELVNASPELINRLIPEHARRHCGFNFLPAAGP >OB02G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6084335:6086218:1 gene:OB02G18950 transcript:OB02G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LB78] MVVVVVVVAVAVAPGAVVAQLSATYYDSSCPSLQSIVRSGMAAAVQSEPRMGASILRLFFHDCFVNGCDASVLLDDSSTITGEKNAGPNANSLRGFEVIDSIKSQVEAACPGTVSCADILAVAARDGVNLLGGPTWAVPLGRRDTRPGGGEQQPAVAVVERGGAGIGVRDQGAGLPRHGGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVSAFATKGLDSRDMVALSGAHTIGAARCATFRSRVYNDTNISSGFAVRRRQVCPAQGGDGNLAPLDALSSVRFDNGYFRNLMGRFGLLHSDQELFNGGPVDSIAQQYAANGAAFSRDFVTAVVKMGNLSPLTGSNGEIRANCRKPN >OB02G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6091070:6092173:1 gene:OB02G18960 transcript:OB02G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LB79] MAVGVGVGVPGAARSRRRLLTAVAVLLLLLAANARAQLTPGYYSASCPTVHGVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGGDGALSPLDSLTPDQFDNGYYRNLVAGAGLLHSDQELFNNGPVDSVVQLYSSNAAAFSSDFAASMIKLGSITPLTGSSGEVRLNCRKVNS >OB02G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6101274:6102325:1 gene:OB02G18970 transcript:OB02G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGRAFLLALALLLVVPSCGVAEGLSTGYYAKTCPGVESAVRSVVARAVTAEPRMGASLLRLFFHDCFVNGCDGSSCPAATTAGSDAALAPLDAETPDAFDNGYFRELTQQRGLLHSDQELFGGGKSSQDALVRKYAGNAAEFARDFAKAMVKMGNLAPAAGAAGGGGGAQLDTLHYTRAATMLISNVTKQYTSA >OB02G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6106590:6110884:-1 gene:OB02G18980 transcript:OB02G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19530) TAIR;Acc:AT5G19530] MVGAVQEGMARETNGGAFEVINGYEYDMAMAPKVQQREEESKWYEEEIDDDLKLCYALNSVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHKTVQRVVMCDIDQEVVDFCRTYLSVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYHGIVKPKLSDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDYPFSLNAQQINERIKERIDGELVYLNGESLISSTTLNKSVCQSLLNETHVYTEDDARFIYGHGRAHCA >OB02G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6119977:6120174:-1 gene:OB02G18990 transcript:OB02G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASRSLAELGYEPDHGVCQASCVALSTLGVWNTLQHFRPKFLCFFSSAFVFSAVQLTPENPFE >OB02G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6134173:6146567:1 gene:OB02G19000 transcript:OB02G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LB83] MAASSRSSWHCCLVAFFLLSSAAHGQLSTSFYAASCPTLQLVVRATVLRALLAERRMGASLIRLFFHDCFVQGCDASILLDDVPATNFVGEKTAFPNVNSVRGYDVIDQIKRNVELVCPGVVSCADITALAARDSTALLGGPSWAVPLGRRDSTTASLSIANTDLPGPASDLATLITGFGNKGLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLHSDQVLFNGGSQDALVQQYSASPALFAADFAAAMIKMGNISPLTGAAGQIRRNCRAVNSG >OB02G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6145276:6146952:1 gene:OB02G19010 transcript:OB02G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LB84] MASGSHSWHCCLLLAFFLVFSGADGLSTAYYATSCPSLQQVVRATVSSAIQAERRIGASLIRLFFHDCFVQACDASILLDDVPETGFVGEKTAGPNVNSVRGYEVIDRIKANVEDVCPGVVSCADIVALAARDSTALLGGRTGGVPPARLDSTTASLAEANRDLPGPDSDLGTLIARFGAKGLSPRDMTALSGSHTVGFSQCTNFRAHIYNDTDIDPAFAALRRRTCPPPARHAHLQRHRHRPGVRGAAPPHLPRRRRQRRLQPGAARRADAERVRQRLLPQPARPAWPAPLRPGALQRRLAGRAGAAVQLQPVALRRRLRQGHGQDGEPVRSRRRGQVRLQGRQRQLIDSS >OB02G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6157949:6160522:-1 gene:OB02G19020 transcript:OB02G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGPRLSRRLLRLRPLFHHTSPSPFLHLLTPPLLLNPTARQRQPRATLPTMQRHCIHAALLLAVVAPSAVAPTPSAAQNRTANCSLVAPPDAGVIRVAFEDVANFPLPRALGGWRACRPVRRLTYPSRNLTGVVRWAALGNLSGLLTIDLSGNSLEGDGFGGGLWRAPLLRAADVSRNRPXXXXXXXXXXXSFNVSGNGFTSVDGVDALAGLEVLDVSRNRVSAMLEGLRRLTKIKRLDLSRNSMAGRFPDDLPPLDGVEFLDISDNNFSGVVNSTWLTKFGRSAFLRAGNASLVIEDSSPAPAPAPATTTPSSGGKKHKRVVLIVVVVVVCAVVTMGALAFLFGCVACGFNRRRKRGKKPAVWEDDEVAVGAVKVAATAPVVLFERPLMKLTLADLAAATSGFGRESQLADVGGRSGAVYRAVLPGDLHVVVRVVDGAVAGAGGEGEYGAPAMAAAGLRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPTSSMDMDDTAGGDMWDTTEQGRKLAGDWATRHRIMLGIARGLAFLHQGWAGGSSSGRPIVHGHLVPTNVLLTDDLEPRISDYTHPGGGAGGETPEGDVYGFGVLVFELVTGQVRWDESTVSWARGVIRNRKGINIVDARLRDGEEEEEGGINGGAAAEREMVECLQVGFLCTAHSPEKRPSMQQVVGLLKDIRPEPLPPPPAAADADETP >OB02G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6169658:6172866:1 gene:OB02G19030 transcript:OB02G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKEVVAEIVEDDDEETKRPVEKNRLDLNEVVMDVESEEGEVGDDDEDDDGEDEEDEGDDDDDDGGSTTDVAGSRSSSNNSSTNNHVSESKLKGDKDHGGGGGGKSEGNGGEQRVPSVRQYNRSKMPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGSIASVFSPMDFHLTRGDRRFHDMLLQRAAALSSRPPEHGGFFASRSGGGGGGGGGGALPEQASRLYGLLQHRQSPASSQTFDFKNSSFRNQEWSFSFNQREVIKPSSSSTTAAAHLFASSSATRRWPPSTDAGDHRQMVDGRFGYYAGNGASSTRPQLIARSAAMAPPATMLAAAAVTAGRDHRFPFGWHSGGGGGGGGCYDPAASTVAGGGGRNRSSSDPVVIDEALDSRRLEQKHAEPTTTMTPATTPSGKRPPEWAAPDLQLSLSPTTMAAGAAKRSKTTPPTTSTTVSEQEAQMDRRSNKLSISLSLSPPAAAAAAANSSMDSSMAQQQQQQQKQEKTTIESSEEASDDDLGQSTLDLTMSIRALE >OB02G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6179646:6179972:-1 gene:OB02G19040 transcript:OB02G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKSNQFQMDRLGCNHKHTLSTISTILKGAGIWPSSEFWPGVATRRCRRVTHVGARANFTILHKSTYVFSYFVIGPSRNFFWFCALVFRPPTSYNILCEEEKIRKI >OB02G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6181621:6192455:1 gene:OB02G19050 transcript:OB02G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWPSHVENKFRPISLTKQGADLGSAESSLDCREGNHSLWAAQTLWCTGCLSSPIPNGFYSVIPDKKLKERFDTIPSPDDLYSLGIDGFKAEIILVDLEKDKKLSAIKQLCSALVKGLKSNPAAVIKKMAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCPQRQEQDGSFKVPSLRNIMLKSTNSMEGKLRCSSQSDPNVANAFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQYGDGVAVSRSDGASTSNMRRGRRRSISITPEIGDDIASAVRAMSERMRENRLSRGQNDGSPGHLSDSKKNESVDDLNDNEVHVGGPGEQEGSRRQVSNQKAVSLPSSPHRLRSDGSDLRGPADFLTAELMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMGSLYYLIHASGQKGKLSWRRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKHWTVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYSVANEGARLEIPDGPLGSLIADCWAEPEKRPGCQEILTRLLDCEYTLC >OB02G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6192896:6194319:-1 gene:OB02G19060 transcript:OB02G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALTGATDTSLPVPEPPPHVVLLASPGAGHLIPLAELARRLVADHGFAVTVVTLTGLSDPATDAAVLSSLPASVATAVLPAVALDDLPVDIGFGSVMFELVRRSIPHLRALVAGSAAAAVVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRHVVALHDGAAPGEYHDLPDPLALPGCAPLRHGDIPDGFRDCTDPVYAYVVEEGRRYGGADGFLVNSFPELEPDAAEAFRLDAANGLFPPVYLVGPFVRPSSDEDSDESACMEWLDRQPAGSVVYVSFGTGGALSGEQTAELAAGLEMSGHSFLWVVRMPSTDGLPFSMGATHGNPLDFLPEGFLERTSGRGLAVASWAPQARVLAHPATAAFVSHCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASGVVPREEVAAAVKGLMGGDKGSAVHRRARELKEGAARAWSPPDGASRRALEEVAGKWKNAVPEKR >OB02G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6195611:6197560:-1 gene:OB02G19070 transcript:OB02G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLAVMRCLVEIHDGAVSGEYRDLPDPLHLARDLTISASDIPDGIADKSDPVFRRQIDEVRRHRRAADGFLVNSFADMELATVEDLKLAAEQGAFPPVYPVGPLLRSSSDEPGEAACLEWLDRQPSGSVVYVSFGSAGMLSVEQTRELAAGLEMSGHRFLWVVRMPNLHGMSYDFATDHRSRDGDEGPLSWLPGGFLERTRGRGLAVMSWTPQVRVLSHPATAAFVSHCGWNSTMESVSSGVPMIAWPLYAEQKMNATILTKAVGMALRPAAATAARGGDGVDIVRMKLIADGAPIAM >OB02G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6208296:6209894:-1 gene:OB02G19080 transcript:OB02G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTAADGAGAAPHVVLLASPGAGHLIPLAELARRLVEHHGVAATLVTFADLATPDARSAVLSSLPASVATATLPPVSLDDLPADARVETVLFEVMRRSLPSLRVLLRSTGALAALVPDFFCPAALCVAEEMGVPGYVFFPTNVAALSVMSRTVQLHDGAAAGAQRALPDPLELPGGVSLSNAELPDGFRNSTTPVYGQLIEAGRQYRLAAGFLVNTFYELEPAALEESKKVAEKGAFPPAYPVGPFVRSSSDEAGESACLEWLDLQPAGSVVFVSFASAGMLSVEQTHELAAGLEMSGQRFLWIVRMPGLNGESFAFRNGENDRRGYDDPLAWLPDGFLERTKDRGLAVAAWARQVRVLSHRATAAFVSHCGWNSTLESVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGAPAGGRSGLVAGGGVVAPGAGGAHRQMEGGGGGGAQVNRRRCRGLCCQRRSFA >OB02G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6212940:6213404:-1 gene:OB02G19090 transcript:OB02G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVLEESARAAPWPHSREVEVLEDDVGGDIAVTDMWYARGLFLTPPPPLPTGPWTVAPTCQGPASLRRRLVLFVQNAALQVLGVQHFDLPLPDNVYMKPARACNTEYSICSFVEYTLD >OB02G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6216960:6217673:-1 gene:OB02G19100 transcript:OB02G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRYCRPVSTRCPYTGKVLSLKASGTSALRRDTPPGSSRGSGRARYSPVAAPSCSSTVRLMTDIAARLLGKKMYPGTPSSSATDSAAEQKKSGTSAASRRMDRSISRRCGRQRWTSSISNVSRGVSAGRSSRGNAGSTAVATEAGSEDSTAERAAGAAGSAKGTSAGAARPGCLRTRRASSASGMRWPAPGLASSTTCGRGAGASGRAGVGANGSIDRGVVMPQAPWRRFSIPR >OB02G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6217018:6218502:1 gene:OB02G19110 transcript:OB02G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LB94] MEPFAPTPARPDAPAPRPHVVLLASPGAGHLIPLAELARRVRKQPGRAAPALVPFADPAAPAARSAVLSSLPASVATAVLPALPLDDLPADTPLETLLIELVHRCLPHLREMLRSIRLLAALVPDFFCSAALSVADELGVPGYIFFPSSLAAMSVMSRTVELHDGAATGEYRALPDPLELPGGVSLRNAEVPDAFRDSTLPVYGHLVETGRQYRRAAGILVNTFTELEPAAAEDSKKVAEKGSFPPAYPVGPFVRSSSDEASESACLEWLDLQPPLSVVYVSFGSAGMLSVEQTRELAAGLEMSGHRFLWIVRMPSLNGETFALHNGEDGRSHGEDPLAWLPDGFLERTSSRGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVAAGVPVIAWPLHAEQKMNAVVLEESVGVALRPRAREEEEDDAGGALVTRGEIAAAVKELMDGEKGRVVRRRARDMEQVAGRVWSPEGSSRRALEEVAAKWKAMVAHK >OB02G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6230779:6232563:1 gene:OB02G19120 transcript:OB02G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIFSRFTIYFCVLLLCHGSMAQLFNPSTNPWHNSRQGSSRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNAPGLVYIIQGRGSIGLTFPGCPATYQQQFQQFLPQEQSQSQKFRDEHQKIYQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVKNSANQLEPRQREFLLGGSNVRSQQVYGSSVEQHSRQNIFSGFGVEILSEALGISTVAAKRLQSQNDQRGEIIHVKNGLQFLKPTLTQQQEQAQAQYQEVRYSEQQQTSSRWNGLDENFCTIKAILNIENPSRRARVQVVSNFGKTVFDGELRPGQLLIIPQHYVVLKKAQREGFQYIAIKTNANAFVSQLVGKNSVFRSLPVDVIANVYRISREQARSLKNNRGEEHGAFTPRSQQQFYPGFSN >OB02G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6235090:6235295:1 gene:OB02G19130 transcript:OB02G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRWLTFQGCPFRGHDESQESLNRGNFLEMVKLLASYNKVVKYIALENASRNAKYTSSDVQKEILGIV >OB02G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6257601:6258378:-1 gene:OB02G19140 transcript:OB02G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRMHVAALVREFKWGTGDGVDLVERDGFFKTMHTPLRAPHIPTCCKSISRHTPTDGSCQSTSDEWVATPLDSVV >OB02G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6260526:6261491:1 gene:OB02G19150 transcript:OB02G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) TAIR;Acc:AT1G29260] MPAFKAPAPGFSVRFSPFHEHRLLAAASQHFGLVGNGHLLVLDLSAAAAGPAPAPAPLFAFPTSDALFDCAWSESHESLCAAASGDGSVRLFDVALPPAQNPVRLLREHAREAHGVDWTPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRAPRAPLAQLAGHGYAVKRVRFSPHRQGMIMSCSYDMTVCMWDYRKEDALLARYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >OB02G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6266216:6266491:-1 gene:OB02G19160 transcript:OB02G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQCSHLAGHLIFSPLGDHGLSALGGMVREACPTEDLVMVGTAGRENFGVCMGGSHVYYLTTNYSKIRYTCMIVCILHKVQAPIFYICLY >OB02G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6267725:6271464:-1 gene:OB02G19170 transcript:OB02G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRNKSEESRTGAARLSKDFRARWTQEKREYPHPDESGAPAPAADSADGAEAKEDHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRENTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPVLLKELRDGSKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDESEGLPVPLTLEELKRRKRIEDLGEEEATIELAEPTKDVEMDMDEEEMQLVEEGMRAARLEGNEGDAQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEHVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPNAMPYPAPHPQPHLMQGVPHMMSTMHPPPPPPIPGQPQMIRMPGSMGHMPPNIPPPPPGQNPFMPGPPRPYSMPPPPHMPTMVNPIGIPQGQPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLVPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIDVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >OB02G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6275156:6275374:-1 gene:OB02G19180 transcript:OB02G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKAIDDVRTYQHHHSGALLRAWSRRPPPGGQREREDRTRDETRQLPPEPTVPAGHAASVHQLPSSAGERI >OB02G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6275088:6278643:1 gene:OB02G19190 transcript:OB02G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKERFVKASQQKGHFQVHRISKSNKENGPAVVVNQYIGKLIKNCRIDF >OB02G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6289974:6296457:1 gene:OB02G19200 transcript:OB02G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSRDEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRVRADELHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLEMCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATNEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHILKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVTDDLQSFGEQLRNNFEETKQLLLQVAGHKDVLEGDPYLRQRLRLRESYITTLNVCQAYTLKRIRDPSFEVKPQPALSKEFVDDNHPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >OB02G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6297729:6300290:-1 gene:OB02G19210 transcript:OB02G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYYPVRVLPSKTAILPVNPKFLPRTDDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGALPIRVSPSKTPVRPRSPRVMSN >OB02G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6320769:6327784:-1 gene:OB02G19220 transcript:OB02G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVIFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVVLPGPALRPMPYLRSASSARAEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWHGGCSGGLPPTESTEQMPFLSNLNSPLRGSDAHLHDMDFDTMSEPSFVTPTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIEGEVPSGIFPGNKVSNNSFGSDENSGNRDVIYPLNSVVHQPNNYAPETRKRPKASITVYEPDKGVYLTSDMISSGEGSYASAAESLQRSNSSGRITGKGNMPHKKPPYPSGKVSSSQKLKEASTSHQYDGHENNPHNKNEQKSTKTVVPTSNNLQGCNGISKVGISKVEALKKPSKFLKTSLVGLINDNRRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVESSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQSGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQVVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSPVPTCTKCHSSKSSKGSRQDLNHTESLFASNDQTYGIYDFRPDNEGYMQDHHAALRSRNLYRTDDAFADSVGTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLHGNVHRGRYPDRACASSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >OB02G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6329119:6329732:1 gene:OB02G19230 transcript:OB02G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEVAAAERAALLAAAAATVDRLVWCGARLRCGCVRMGVCALRICAFSLDACLVCSVSPLPRGNGKKERARGALRGGWGISRGRPIAGWTVWIRDGFFFLKNALLPQTEYLREKTNLGIKCFYVYSSDLKVNAVK >OB02G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6340564:6344277:-1 gene:OB02G19240 transcript:OB02G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTHTAQPTQCPFCKTPCYAVEYRGVKTKEERSIEQFEEQKVIEAQMRIRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQGTECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEDMMVMEAIWRSIQQEQGSIGNPLCGNFMPVTEPSLRERQPFVPAAPLEIPHGGGFSCAVAAMAEHQPPSMDFSYMAGTSAFPVFDMFRRPCNIPGGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIIADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMALSLADGHGRTHSQGLAWL >OB02G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6348704:6350245:-1 gene:OB02G19250 transcript:OB02G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQAMSGRFEAEARNEVQMLRDLVSQLKSTLQQHHGGVMYLNNAEITAAEARKSDLMVKKAKLDESLSSASQFRALLQQQLQKAFASQVGDQKAAQTTI >OB02G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6364216:6366633:-1 gene:OB02G19260 transcript:OB02G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPSFPGMVRWWEEWQLRVLALSSLFLQLFLFVSATFRKYRIPPLLRSCVWLAYLGGDALAIYALATVFPRHRQHAQATIGGGSEGHYWGRAGSSSSASSMLEVMWVPVFLVHLGGQDSITAYNIEDNELWARHAVAMSSQAAVAVYVFWRSWSVAGGQVPERSPALSLFAAGFLKLGERLWALRRASITRLAAVRSSAACRSNDPLESYAYVQQARDYVQKVRQATPSHDRRSVVVIHPLVERELQDDLMELFIDFPAPYRRRLRYLKSFMALGDNKAYEELCMLLDIAFQFFYTKKEAAYTIVGVYLRTFSLLLGIAAIASFNDSNKDGFHSSDVVVSYILLCSTLVLEICALDFNYVDASQYCHPHHRWSTPAITKFIRGHLRDGWVGLYSSDDYRRFNDRRGGDADAGHPAEPVRRGLRRDRAHTGTRSSPPQQERARAQDRTAPPPPAAAAVAPGGHLEAARRLAGEMTQMNDTRRALSIISGVWVEMICYSASRCRGFLHAKSLGAGGEFLTVVWLLLHRMGMEGLADQLQRPELPEPARRGCAAYL >OB02G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6374247:6378655:-1 gene:OB02G19270 transcript:OB02G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFAVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFEIAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLFVDDRKKIAVRYLSTWFIFDVCSTAPFQSIILLFTHKGNDLTFKILNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCGGCFNYMIADRYSNPEKTWIGAVMPTFRSESLWTRYVTSLYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDGLPKGIRSSIAYSLFFPIIRQAYLFNGVSDNFIAELVMEVQAEYFPPKEDIILQNEGAADVYIVVSGAVNIIATINGNEQVYEKVSEGKMFGEVGALCSTPQPFTCRTAELSQLLRISKTRLREIIEENREDSSILMNNLFQKLKLRESLPEVSQPEKRFLSKYELFHVPREVWLLNKSHLHYTEHISKGSSNNIPVLGGNSYSRQLHGEAAQLWVKEKENSHGMTGKEENHDEVHINCETKINTEEHRIQINSEDSSSTGSQQTIDAMMQPGSPHKTEENITSSRIPDECYINKANKRVTIHKYPNNAAGSAPQNGKLIKLPRSLEELFEIGSQKFQDFHPTRVVSRDYAEIDDISVIRDGDHLFLLEM >OB02G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6387965:6393571:1 gene:OB02G19280 transcript:OB02G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 6 [Source:Projected from Arabidopsis thaliana (AT1G29990) TAIR;Acc:AT1G29990] MASSSSFSSTPAAVREMQRDLESQANALSKIQKDIAKNHQVRKQYTIQVGENELVLKELELVDDEVNVYKQIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQISKKESIFKLQQKMQAVQAKS >OB02G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6397597:6399204:-1 gene:OB02G19290 transcript:OB02G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFFFFFFFFFFFFFFFFFFFFFFFFLGGGMVSMLDIMLVERITVTLAKNTYLSENALIPDIKMYGANQILYEPDGTTEKAELMALKCAGTMAAVLIFKVGETRKSGDRDSITMYAEASNGQMPNLDLECGALFCC >OB02G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6400767:6401270:-1 gene:OB02G19300 transcript:OB02G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAGVCLLQLLLFLGSASSRVAAASTPPSARALDAMLQDYAYRAFGAHPHTGIVYNAAAPANLTGVALSAVRLRSAQRQPAEERVPPLLRVRRAHRRRRAAVRREGGAPLPQPRQLVRLLLPAPGLHVPLAGAWPACLRRRQLVRGGIAGAQLCRLREPDFDKFQ >OB02G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6414162:6414323:1 gene:OB02G19310 transcript:OB02G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATEGLLPYVDNRRGKHRKQTLDMDVQHCGLAGSHPTLAVGFLPLANSLCWQAC >OB02G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6418384:6418699:-1 gene:OB02G19320 transcript:OB02G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHSASDCLKQTYKYLCFVSSFQVMFVCNHCPFVKHLKKDIAKLSSFYMEKGLAAVAISSNSIVTHPQVELQPK >OB02G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6420128:6420727:1 gene:OB02G19330 transcript:OB02G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAMRSQLSGGEYQYYYQGAGGAGGLVVDQEIGGGVTVAAPSEAAAVDGVVLLMEMLDDDEEEEMVEEGYYSPAPAGTIVADADRLSHLIRPQEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQAGRSGTAGRRRWRRCTRRPAGACTATSICTMEMAPLMSKYIAHYGSNKLAN >OB02G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6439572:6459768:1 gene:OB02G19340 transcript:OB02G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFCEKKIQANELNELMPVLGEVLKAVQIGTGMEKRIATETFADKSALLRYNILPLYTGSNKQAIMLLPEIKVAVSAVFNVRSLPFSNTKVHKNQTDIFIWIQSWFGFQLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLNKVVTPIYREIYEESLKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSTKKKNPQLLVVPPVSVNTSQQTEQQNPQLAHGSSPFQTMPNSEASEQTQQRAPSETSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPVQLIDPIIFQDVLSIFITNAVLRVIQVVAFYLTSNVIGMAFFLVPAVSSFLETSTWRICNMLAWWCQIKPLVEPTKEIMKVNVNKYEWHEFFPQGASYALDTFALNSVYFMDTQIWYSVFCTIFGDDAQFQNLGKAERHDPTKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHKSGSIRWPMFLLAKKFSEAVDMVANFTGKSVHLFRKIKKDNYMLCAINDFYELTKSILKFLVIGEVEKRIIAAIYTEIEKSIQNASLLDDFRMDHLPSLVDKFDRLAQLLKIHSIEQNDMIIDEYYSAFECLIGPFALYDLGPLSYFLRIEVTYSAKGYYLSQSKYIQDLIDRSGIIDNRTVAMPMEHDLQLRPDDGTPLEDPSRYCHIVGSLVYLTVTRPNLGDFVHILNQFFSGPTSVHYDHLLRVLTYLRGMPSRGLFYAQSSSPKIHAYSDAARASDPTERGYLIILGSFPLTWKTKNPYCLGPYWLILMALYILHSLMTNYFPNPKNTNTQELQYEVTILLQDIIDILVQDMFVDAQSVLVLINSSKTLISDDHGTFEYYKPELFASINSISENGPLKEQVKRLYLLLNTKEKAMEAPSNLEARRRISFFATSLFMDMPLAPKVRFSEDELHSDQDEASVLSYMQKIYPDEWKNFLERLGPKVTQEEIRYWASFRGQTLSRTVRGMMYYRKALRLQAFLDKTNDQELFKGPAANGRQTKNMHPSLGTELDALADMKFSYVISCQKFGEQKSNGDPHAQDIIDLMTRYPALRVAYIEEKEIIVNNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAQTQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELVFLLIIYHIFRRSYVSTAVHVMITYSTWFMAVTWLFAPFLFNPAGFAWRKIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNWASRRLSSKHQLVFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRRFSLCGLKAKFVGLGPGPG >OB02G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6471825:6473307:1 gene:OB02G19350 transcript:OB02G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGEGDDGLAATSPAGAGAMAAAAAAADGIADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQDALKKEIERLRQLYHHQQIKATRGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAAIR >OB02G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6474451:6479690:-1 gene:OB02G19360 transcript:OB02G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:J3LBB9] MALHAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNCMLRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQTFIDKNYHPTVICRAYTKALDDAIAVLDKIAIPVNVDDRVAMLGLVKSSIGTKLTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKIINPRIILLDCPLEYKKGENQTNAELMKEEDWEVMLKMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGSSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKQQAPGASSAPKQPQIEQEGGADNEQMIPE >OB02G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6489767:6494782:1 gene:OB02G19370 transcript:OB02G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFLPCTATAGGNLFLVLAYGFLMFKSATYLSSGSEMLLQILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSEESTAIDSQNTKGFSLSGSGVSTDKQTSYAARIMAISILPFIIVQIPKIFKLHSGHRVTVLIGLIVAALLLLSYCLYQIFQPWIQRRRLEYSRLKHVMSGVLRHAQMHAFGRLLDDEGKPNVSVIEKLFHKIDLDNDGKLEHGELRAFIVGINFEDIDWDSNLAADQVMADFDTSRNNVIEKCEFVNGMVRWLDEAKRTVASSAYSKKFLNDFHARTRDEQSLLPDKDEEEGEADGNSTWTCIKAILLLLLGTAMAAAAADPLVDAVHNFSNATHIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLALVYLRGLAWDFSSEVLIIILVCIIMGLFASFRTSFPLWTCFVAFLLYPLSLIMVYILDYKFGWSPATARPVHNKDDDNQNDDGDGPRDAGDVHQHAGDDCQRDDGERELEQHLETRRFLSPPQQWQSNQGRRGCKCVWLIWSRKQAIRSVNFVD >OB02G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6494037:6494653:-1 gene:OB02G19380 transcript:OB02G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLALAVVPLAVVAGVLMYVAGVPWAISIVVLVVVVLVVHWSRRRRSGARQAGEGVVQGDQEPKKTKRRGSSGGGGGDDDGVVDGEECSVCLGEMRQGEAAKRLPVCLHVFHDECIDMWLGSHATCPICRSPVDAGAGAGNAAPTVEVRVQVQSC >OB02G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6497711:6499226:-1 gene:OB02G19390 transcript:OB02G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQDGCYRWGCDFAVAHAVFACGFVTAPVAALHLVRRPRSAHAIFFAVVAAFFTAVSLILCFRFYADLKRPPWPRHRRGDLRRTRQIKTSSRSRRFRSAHSPPRAAVLPTSPPSDHTDQVTIPCFPFSPLPLIDPVLPKLV >OB02G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6503525:6504214:-1 gene:OB02G19400 transcript:OB02G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSPRCGMLGVANGVFLGGAAMIIYGIVQVSRTGGGARAVVVLALFLALWVAVGSCVCASFCGAFFPWVPSVALCARRARRVVCSPCLCVLRLLPRQNGDGGGGDVSGLPQSVSESQRSQLDVLPRGLPARGGGGARVATADDIPASAYEQEQTQRGGAGEEGAAAATECAVCLGEVEKGEMVKRLPACQHVFHQRCIDPWLRGNSTCPVCRCDAFAAAAPPLPPDMV >OB02G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6504799:6505464:-1 gene:OB02G19410 transcript:OB02G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLRVYALAIANAVSVGGTGLLVYQLVRLSRGPGGKGGVVALSFFLLFWVAINAVVYTVFCAPPRMYLLPREPPARRGGARVTAEDIPAYEQPAAAPAECCAVCLGEVEKGEMVKRLPACLHVFHQRCIDAWLRGHSTCPVCRCDAFAAPPLSAQMVFGGI >OB02G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6511029:6511226:-1 gene:OB02G19420 transcript:OB02G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GELVRQLPACGHLFHVDCIDMWLHSHSTCPLCRCNVSPPAATVVKATATAATAAAQQPTDTLPPV >OB02G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6514149:6514331:-1 gene:OB02G19430 transcript:OB02G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRCSLRRRTRSEGGSLGFVHCSVRADELIALHICWRNWLCHVSSQHCELELTQQNFR >OB02G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6544090:6544359:-1 gene:OB02G19440 transcript:OB02G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYPRPPSTASSGDGGDVDGGFRVFYGIAVVCLSIFLFCVLAASVSVWKACAYAAMAALVLSVVGFFAPKRWVRRSRSRSRSGSGSAE >OB02G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6545242:6545691:-1 gene:OB02G19450 transcript:OB02G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDGQKFPILNVIQMSATRVNLYQNAILTPFWNVNAHSLIYIIQGRSQVQVISNLGKMVFDGVLPPGQLLVIPQYYVVLNKTQREGYQYIAIKTNANAFGVTSQGKIRYYVPYQLM >OB02G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6559554:6560654:-1 gene:OB02G19460 transcript:OB02G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSNSLPYSTDQGGYSTHDTLVLLGIGFFAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGLQAPVPRGMHRHVAPLPLHVSSLQGHRGAPCCQGASSQGPAASSCVTQNSKSII >OB02G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6566331:6566531:-1 gene:OB02G19470 transcript:OB02G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDSPCHMSIAHWMMARVCHVIYITVTLDSSWVVIKVEISVTWADEKHHIWDIFEIRCDFFLIQD >OB02G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6574476:6575348:1 gene:OB02G19480 transcript:OB02G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGPVAAAAVAQRGGGCCSSGVTLELVGAFTAVCLVLYGVILYFNYLYVRWSGRDGVHRTEGGGAPGAGAGAGVSRAQVVIQTGSLKDCIIG >OB02G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6589825:6591867:-1 gene:OB02G19490 transcript:OB02G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVFSRFSTYFCVLLLCHGSMAQLFNPSTNPWHNPRQGSSRECRFDRLQPFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNAPGLVYIIQGRGSIGLTFPGCPATYQQQFQQFLPQEQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVKNSANQLEPRQREFLLGGNNMRAQQVYGSSAEQHSRQNIFSGFGVEILSEALGISTVTTKRLQSQNDQRGEIIHVKNGLQFLKPTLTQQQEQAQAQYQEVQYSEQQQTSSRWNGLDENFCTIKARMNIENTSRADTYNPRAGRTTSLNSQKFPILNLVQMSATRVNLYQNAILSTFWNVNAHSLVYTIQGRARVQVVSNFGKTVFDGELRPGQLLIIPQHYVVLKKAQREGFRYIAIKTNANAFVSQLVGKNSVFRSLPVDVIANVYRISREQARSLKNNRGEEHGAFAPRSQQQSYPGFSNQSESETSE >OB02G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6596718:6597323:1 gene:OB02G19500 transcript:OB02G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPLSTLTLSSLLSLPVRTQKLGFFRQAASSTGGGQARRGSGAGGGKGSCGQGPRRWSRGKITAGVETLMLYDDANGVFHDYYTEMDVEVPARLPPPPGFPSLLAPALAGHEPARLPPPTGFPPLPLPAQASCGTPVRRPVDEGHASMEQLVWSEQHDDAPVSNNSMVSRRQLCAPYDDDIDTTLRAMEKDAAERPSPE >OB02G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6601741:6603814:1 gene:OB02G19510 transcript:OB02G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSSRQGSSRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNAPGLVYIIQGRGSIGLAFPGCPATYQQQFQQFLPQEQTQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVKNSANQLEPRQREFLLGGNNMRAQQVYGSSAEQHSRQNIFSGFGVEILSEALGISTVTTKRLQSQNDQRGEIIHVKNGLQFLKPTLTQQQEQAQEQAQAQYQGVQYSEQQQTSSRWNGLDENFCTIKARMNIENPSRADTYNPRAGRTTSLNSQKFPILNLVQMSATRVNLYQNAILSPFWNVNAHSLVYTIQGRARVQVVSNFGKTVFDGELRLGQLLIIPQHYVVLKKAQREGFQYIAIKTNASAFVSQLVGKNSVFRSLPVDVIANVYRISRDQARSLKNNRGEEHGAFAPRSQQQSYPGFSNESESETSE >OB02G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6606491:6610222:1 gene:OB02G19520 transcript:OB02G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELDFEAFFHGGSGEAADADEQKPAGHAGSHPLFGMFSAADLSAFGFADSVSSTITGGIPNHIWPQSQSLNARHPAVSTTIESQSSICAASPTSATNLTMKESQTLGGTSGSDSDSESLFDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLESQVDQLRGENASLFKQLTDASGQFTTAVTDNRILKSDVEALRVKVKMAEDMVARGAMSCGLGHLGGLSPALNARQRCVPDVLTGLDYAGDDPFTGLSPPDQGQMPGGEVVDGCWGWDSHSNGGMSK >OB02G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6610492:6611171:-1 gene:OB02G19530 transcript:OB02G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNYVVDCQTYPTKLITDVKLLVRGGALGLGRSCVKDSEDMPRLLVGWAGAVEAVSVEAVAGKVAAIATARRTGTRRKARRRSASV >OB02G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6614121:6614600:1 gene:OB02G19540 transcript:OB02G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGLKSLPSSLDYKYIPLSQPNLYINQYQHTITDYQWIIRDTMKLTTLLCLCLLFLTSSSSDDVSVSSSSSGDRCPLHHRRLQDTVAAAAVVVVSQPPPPPSAAARTSGSTGGATAPVETASGVLPRQRDDGEEIDETRYELGSKRLSPGGPNPQHH >OB02G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6621211:6623026:1 gene:OB02G19550 transcript:OB02G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAVALALPVVVPPPQLPAGYAHSQFTLADPVTPIPSQVAVAPVRSVLQRGPLTVSVTHTESFEKEKRTPKANQLYQNSEFLLAKDKFPPADSHGRKKPKHHKKKHRSFASHGAGYDAEQRLYSHAFKKSLSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKHPMDLGTIKTRLTHGQYRNPREFADDVRLTFHNAMTYNPKGQDVHFMAEQLAGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPIDVRFLERSDSVKHHMALDSKSRPMSHTPTYSARTPSMKKPKAKDPDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVRQHDDEIEVEIDSMDTETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHVAPQQPSQEPNIGVKSPKQNLTADEQLATSVPEQNDNNGQNASRSSSSSSSSSDSGSSSSDSDSDSSSSDGSDAANSS >OB02G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6631310:6635895:1 gene:OB02G19560 transcript:OB02G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNACLLTTHRGDGGSFTGGDAAGSCGGGGPGAAVEGKVVGKAKGKYRALFNFGDSLADSGNLLANGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLAEEFGLPLLPPSKLKNSSFAHGANFAITGATALDTPYFEAKGLGAVVWNSGALLTQIQWFRDLKPFFCNSTKAECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGSKSGCVRRYNTFSWVHNVHLKRALEKLRPKYPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEPGATACDDPTTHWSWDGIHLTEAAYGHIARGWVYGPFADQPIFQSS >OB02G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6648308:6650659:-1 gene:OB02G19570 transcript:OB02G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQQQPRKGVGKQEEGRGGGGGGREGIGKYRAQAQQNSADAIRAAEERYAKANQDRAGAVTVVSCVEGKKKQPPPPHGKKHEEEEGHGGGRGTGQEEVTGKHRDEGRQQSESKNSSAAREKSAGAAHGAKGAAAHAPAGSYQEAKASATRHQGGEGDHGQAASHEETGRQRGGDHDEMPGEGKQAGAAAADYAKHAAAKAKDVTLSTGGTAAVKGKDVTVSTGGTAAEYTKTATEKAKDVALSTGETAAEYAKTAAEKAKDTAVAAGKTTAGYTQQAAVKAKDVTLSTGATVAQKAKEVTADTAHKVAEYAREKAEQGKAAATVAEEKAKEATARAADSAEEPGSNTAEKTKRSGAETADKAKRSGEETADKARDMAAQTKDTAKDTTGGMAHKVGAMAAQTKDTVKDAAGAMAQKTKDTIGQAGHKAGEAKNRASDAAGGRGGVTTAAGAGAGEGGGTTMVGDVLEAVGATVYGIAQHTKGIVAGEEELVPVAKEDKGKLE >OB02G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6654530:6654826:1 gene:OB02G19580 transcript:OB02G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNILLGLLSYIKYAQGTSLYFLIFFVLCAHKILRAGTYTFHEIFLSNTMHLVCAISFSLTWYLSLSIIFSCSLYPIVTFHNHEYKAFIHINEKSSV >OB02G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6662100:6663008:-1 gene:OB02G19590 transcript:OB02G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPSLLHNFTQTKACSSFLGRTSPFHQGVQGRLWPLNGKSEKTEGSKLTNTVYLYFEMQKEQQTKVVTGYSVDHTAYQFRVTMKSRIEDDDLAACCRSWCYDRQLLILIL >OB02G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6659497:6659814:1 gene:OB02G19600 transcript:OB02G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMKRLMYCFHSNFVDTHEVDAGTVWAHNLLVCMPSHIINAQSTCLNFLIFCCLFKFNYFYLYNVLCFSFFFCGIKAYWLMAFSLYPFSASTIMSVMASILVKE >OB02G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6667738:6670234:1 gene:OB02G19610 transcript:OB02G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase-related [Source:Projected from Arabidopsis thaliana (AT4G18593) TAIR;Acc:AT4G18593] METIQELESRIQQKSEPEVDEMPGSMDDQKAEEISQDTVMESDTKPAMQTELETSPGANPNPAETNHDTNEVTYGDPGTTTDPGATYRCKRCRTLVATEGYVVTHKVGCGQKSFAMRRKYDPDEEEPECTCLFVQPLKWMQPFVEGYVSGKIACRKCNTRLGEFHWAGMQCSCGAWVNPAFKLVNSRIDKCEM >OB02G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6671657:6676231:-1 gene:OB02G19620 transcript:OB02G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGGGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDATAAHPHPPPQQAPXXXXXXXXXXXXXXXXXRRTALPPPAPWVDEMLCETRGLAEMPCVDVAASPRT >OB02G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6680697:6680927:-1 gene:OB02G19630 transcript:OB02G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGGGGGGVKVTFIETQFVTSDAAGFKSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAVGG >OB02G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6685818:6686198:-1 gene:OB02G19640 transcript:OB02G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLRFQNISATERKEKKHALSRQPARRGISNKRTTKSRADLRGGGGGGGGPRVFDCGRHGTSERTRIRMRGREKKRSTDPRLPGETVGHRRCSAPAAAAAAADADAYFAREKARGGWRGERSP >OB02G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6685925:6689768:1 gene:OB02G19650 transcript:OB02G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSGHYGRSRSRSRSPRYRGRPRSRSYSPAPRRREDYSASPERKDTHRAKSPRRQPKEHEEDKKRRSYSPGSRDGDPRDADNGFEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADASPARSD >OB02G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6699665:6701499:1 gene:OB02G19660 transcript:OB02G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNANTLSLTDHATNHYSQLISITSMHAHSTSTLYNPRSCPLEEEKNKRMHPNGAPLTVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCSIGTGPQDEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIFLGAGAGRRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHHQRGGFDDQPDVAAAAEDDDDLRYQVHGAAAFAMSSPEVDQKHALQQQLAHGHGGGFVPPAFDPSMHLPQLASADATLCGVGVGGHVAFASMNPLEAVGSAGEMLILSGADGGRFGAGADWSILDKLLASHQNLDQLFHGKAAGSHHHHHHHQQQQQQQQQQVAMDAASSMQQRLPFHHYLGLEAADLLKFAM >OB02G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6709916:6710952:-1 gene:OB02G19670 transcript:OB02G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGASSKVVAEEKAAKTAAALAEQQQQRRHDDEAAKAATELMKKSNPKCPRCSSIHTKFCYYNNYSMAQPRYFCRECRRYWTKGGSLRNVPVGGGCRKSKRSSSSSSSSSVAAAAPEAPVSPPPSMQAVLPPLSSAISKLLQSEPMPAPCADFPNVLPTFVSTGFELPGEHLSLGSFGPFGNLPAVAPGGTTTSFMDMLRGVGSAGGALFDGGNGGGDYPPGGPVTPPRHGGAVPPPLPPFGSLSLMQHEMQGLFAGGINHAMGGGGEEGGVMGLGQWPPSELGGAEEQQHAGSGGATAATMMKDGCYGWNSAAAAGGGNGAGAAGGATPWQGLIDSSSAMM >OB02G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6722697:6724691:-1 gene:OB02G19680 transcript:OB02G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHRCKQLFIILLLLIQWFLVLRIHRNIVPTFLTPRTTIFFPWKKLIPFDIGMTGTRVRTTDYCLLHLVPNFILINGQIEHLPAF >OB02G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6725531:6729642:-1 gene:OB02G19690 transcript:OB02G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54970) TAIR;Acc:AT3G54970] MPPPPPPAVLVPNGVPSPRAPPPAASFLEATPGAYTTARASSAGRLLWWPRHLLRLADSARLLARLHPHLLGLVGPPGKPFEGSFAGIEPLVNRSVRVALEEMRRRRPGEGMALTALLRASAATDDLEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREHQLNEPVQVMANKFEVQTAPLSDGVLPGIIRQIVMEVCHDIGIPFREVSPSWSKCNLWEEAFVTSSLRLIQHVETVQAPLLWENIESKTWADVSWEVKQFKGAGSITTQIKREISKRAVQEEYDIRDLLAWRGGE >OB02G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6733623:6736696:-1 gene:OB02G19700 transcript:OB02G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESQEQPTETRGRRRRSEYSDHFEQKAQCKYCKALMCTDPAWDGTSRLKKHYEETCLARHPRRSTRARHHHSPAASKQQADHGLQNASTISKPSSNDSGQQSAEDQLVRMIALHGFPPSVMEDVRLTVRPRKEQPQGRARAYAWAGDDEPPYDHIVDVKDYSNVFRGRDEVLGTISSWGLSSKVSTATLTGDFTNSHDRNPYGEHCLLAFVKLCLTRKKRKDISSRLRLNHSWTYNSWWYAFYYALQILHEECSSGAAEIAGLVGEDTFDETDTTELFRTTLGVVYNAIETVSASTCPTSNLSLIETVKLKRKIDSGYNIASRKKDDKDTDDERIDDTDSDEDLTHESDGEDIDDHEEYDAQPAFQRTKKHLDLYFQMRYLSHSIPLILDPRFKLVKVKRLLKKASLPPDCISEVQSTVRQLFLDYSNQSDAREHTNHGNENEMVIIPSQQRPESTLQTVDQSTIEEDHGSSQESGTELDAYLQEKTVPFEEENFDILKWWKENCHRLNVSVFVHFALLWNGNCSGNFADEGVKRRRENVVDWYSGLLDEEVDWDKFASDFSP >OB02G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6741301:6741709:-1 gene:OB02G19710 transcript:OB02G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding SACWDHFEKKGEQKAECKHCGELLCYSNGTSRLNRHYRVTCPVRHPKPGRPGRHGSSQAGIHSSQDAPTFPRSSFKNSAAAAEDQLLEDEQFIRFVHMLCPFKMPSPDDARKRCDELFDHEMSGLKDALVRTPGL >OB02G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6753295:6753651:-1 gene:OB02G19720 transcript:OB02G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQRGTCLQSGGAAVGDGTMRSSSRRRGGGGGEEEDEAAAAASAGSVLKVKMVLTKAELEWLMAQLKAGDRRLEDVLHEMARKRDGLSAAGGDGWRPSLESIVEGPELTAFSFDY >OB02G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6777440:6781613:-1 gene:OB02G19730 transcript:OB02G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGNGSTGAPRTEGSGGDAASAGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHASVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVQLHVGDVIGFASLIAVLFLPAVEFLKNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPENPIEKLKGAMEAGRDIRFYNSEYLRALVNNFSVDQMHKAAFVLPTFARRELESYCTTTEREQPEEAAAEPLKMAIMPNSEILTAS >OB02G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6784742:6791916:-1 gene:OB02G19740 transcript:OB02G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREEKYVRFNDWRSEHSVGSDKTVSEGRHNVFDSLMDRTAGAFSFLGNSSHSETLNKPTSEEKKSKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVVDGDNICLYLDKKLEVVASVLRFFTDIFYLLHIIFQFRTGFIAPSSRVFGRGVLVEDTFAIAKRYLSTYFLIDFLAVLPLPQVLVLAVLPRLQGSSVMMAKNILMIIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQRENSCWKKACSGQPGCDLGSLFCGSNSSGNNSFLQINCPTNGTDNPDRNFGIYLPALQNVSQSSSFFEKLFYCFWWGLQNLSSLGQNLKTSTDTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKDRILRHEQYRWQETRGVDEEGLLTNLPKNLRREIKRHLCLSLLMRVPMFENMDEKLLDAMCDRLKPILYTEGSCIINEGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDSLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPVRLLQKPAEPNFFAEDQ >OB02G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6799518:6802110:1 gene:OB02G19750 transcript:OB02G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLVNVMSKKNCDREDGKLKCGYSSFRGKRSTMEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLKENLFENLLKHPEFLTDTKLAISQTYQKTDTDFLESESNALRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEEHVNGELECLVLASDGLWDVVENEEAVSLAKTEDLPESAARKLTEIAYSRGSADNITCIVVQFHHD >OB02G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6810734:6827299:1 gene:OB02G19760 transcript:OB02G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKAPVHLVWSIVRRFDEPQIFQPFVRGCRMRGNSSSVAVGCAREIDFKSGFPATSSVERLDILDDKERIFSVRIIGGDHRLKNYSSVVTVNPEVIDGQAAALVVESFVVDVPEGNTADEARHFVEFLIRCNLRSLALVSQRLLLALPD >OB02G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6848667:6852765:1 gene:OB02G19770 transcript:OB02G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGGGAAEAGRRWRLADERCDLRAAETEYVRRFHRHEPCDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNVEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >OB02G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6855756:6866556:-1 gene:OB02G19780 transcript:OB02G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPHKAKGEKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEIASLKPCHLSIVEESYTEELAVAHVRRLLDVVACLLAVVACPTAFGPRKSAPEQQQQQKPDAAPGGGEEPMYPPPKLGQFYEFFSFSHLSSPLHYIRRSTRPFVDDKKDDDFFQIDVRVCSGKPVTIVASIAGFYPAGKRSLICHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFSILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQKTVPDKSSHETPNDTTNPDFHTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGMLSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPVDAQLTTVSLVGHGIDIEDQPEGGSNALNVNSLRMLLHKPCTQPSGGVQRLQSSSPQESDYSANFVRKIMTNSLQKLECETPRETRPIRWELGACWVQHLQNQTSEKADNKKNEETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKSVSLKENTLANTNDAQTVNSSSTEEDKEAILQRWLPEAAFQRLKESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELADKLPHIQSLCIHEMVVRAFKHVLRAVIAAVDDINDMAEAVASCLNILLGPFPEENSDGKCYEDNNLRQRWLEVFLVKRFGWIWKDEYRLDLRKYAILRGICHKVGLELVTKDYDMDMPNPFRRSDIISIVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNPSEVVDDEDQRSPPPNNDHSLPMKESSEAKENGTFVHEKSKEETSVNTISRIGIPQDDFTEEYTSDEGWQEAVPKGRSTGNRKTGGSSRRPNLAKISTNALNSTENARFKGRASSNFSSPRVSPNEAVAAKKLVKSSSFNSKPGSPAISSNSGENSSNPNSVSPSPATTPAAAKAILSSTPIASQTVRKALSYKEVAIAAPGTLVKALNDAHTEEKDTTDAGANVDSAKPPKESNGHLSKEKDGAIQVSPKGNTSQVSKETGEGKSSNPDNEQTIVSSGFNQSETEPEKTSDLVGTSVAKNRDLVGSDVSSSSQSLTAPTEANAPNEVASMVTEANDSSSNDDERDAGEDAQDQLSSGGENDKSSPSESEKNDSPGAKETASKLSAAAAPFNPSTVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSGEGLTEANAFAPRVMNPNAAEFVPGQSRSPNGNPASPNGPQTSPGGTEASPHGLPSPSDSIIESPLTASPQVSEISQTSPEGNDTSSGIDTENGSEKPDTDGKNHVENKDDEVGPEQTVASKGDEGGAITQEDGSAVTEKQKSWADYSDGEAEAVEVAG >OB02G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6872986:6873915:-1 gene:OB02G19790 transcript:OB02G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSRHGWLRTSGARSASCRGAQGGGELLRPEPRSAGGLAGRAGLQRIKSLNKSNNDSLNKRGGGSGAAVSMPSMTDTVLNLGLNDQSTTSSLASPSAAASASSTLLPPLPRHVRFRRPRRHCWGRRGLRIGVDFAVGKNKQCRSSHLGGLGAKQRWRPSQTYKNLSIIPLNPI >OB02G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6876902:6877936:-1 gene:OB02G19800 transcript:OB02G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVLLLLLRAVCEHCCCCGGPRNVLIHVYLLGEGIYPFTYPRLHRKDAKKNNFQMWTPLQTAHLNACLL >OB02G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6883314:6886466:1 gene:OB02G19810 transcript:OB02G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSISAALLKIQSHRAEPPPPSSSGLICLALSSHHPWILALGPSLPFLSSTSLSSTSYHFIVKLRFWSPFSHRDHTHTHTEEQKSSSWSSLLGLSLAAANFVSLVMAAPRGGGVGVVVVMVVVVAAMSAALVRCGEETCAGAVPARPRPEVVSIAEFGGVGDGRTVNTWAFHKAVYRIQHQRRGGGAPXXTWLPGSFNLTSHMTLFLARGAVLKATQDTKSWPLVEPLPSYGRGRELPGARYASFIHGDGLRDVVITGEKGVIDGQGEVWWNMWRRRTLQHTRPNLVEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNTMILAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAVKSGWGEYGIAYGRPSSGITVRRVTGSSPFSGVAIGSEASGGVSDVLVQDCTIFDSGFGVQIKTNAGRGGYIRNVTVDNVRLRGVRHGLRIAGDVGDHPDAHFNQLALPTVDAVTIRNVWGVGVQQPGSLEGIRDAPFTRICLSNVKLYGWRSAGAGASAWKCRDVHGAALGVQPWPCAELTTSLSTGFCS >OB02G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6889098:6889907:-1 gene:OB02G19820 transcript:OB02G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALLLLLPLLLALCRGEPTQALAVLPDADAAAGEEVLSRLQSHVLPAGSSGKVSPADDADQGQLLEKQLVAAEVPVEIEPATHGEERGEEELALPVAEPDPDRSREDAAAVAAEDQLVQMPTTAIHGHDDDVDEDEKSEKGISKRIHHHHHHHDKDDDDEKSEKGIGKRIHHHHHHKDDDDDDDEKSEKGIGKRIHHHHHDKDDDDDDELEEMARRWVRKALTKGARATMHHHGGRFHHHHHRAEEEEEEEKGGVMRWVKDFVNRF >OB02G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6894003:6894499:-1 gene:OB02G19830 transcript:OB02G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSATVLALCCAAAIAVPAAVVHGNEWTVGDDKGWTFGVAGWENGKRIRPGDVLVFKYDGKIHNVVEVDRAGYDGCKVTGPSKVRSSGDDRVELAGGEAFFICGVGDHCSEGMKIAVTTA >OB02G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6895160:6898828:-1 gene:OB02G19840 transcript:OB02G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPITRLISHVILDLDGTLLNTDCVVSQLLKPFLVKNGKKWDSKKAHKLVGKTPYESAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPRSNIEAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLKAAKRMNTNPPNCLVIEDSLPGVMAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPENWGLPPFGDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARIAEKALDLPVYAKYKDSQYLRNSLKDDNSATGNQSVVDSK >OB02G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6901350:6901508:1 gene:OB02G19850 transcript:OB02G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNLRIQRSHFQLQLTLIRRRIQIGAAVFFFPPPKIPSNNQLRRSGSARLPV >OB02G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6913766:6914236:-1 gene:OB02G19860 transcript:OB02G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSVCVAVVVALALAVCCTEVLVAGATEWTVGDGNGWSFGVAGWENGKAFSAGDVLVFHYDPAMHNVVQVDQAGYDGCTAAAGDTTYASGNDKITLAAGKAFFICSFPGHCQNGMKIAVAAQ >OB02G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6915559:6917855:-1 gene:OB02G19870 transcript:OB02G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGGGGGRERAARGRWECINAGAYVFAAVLLVGGFVGQLSTWTATSRSGLAAAALGLLVLLLVNAHDLLAHAARVDYSLGLAAGADSQFALVELAVPAVHIAGTVLTLVALTFFEIQMTRGYRHNLEKHGLNLLVAGPALWLLGSVHNICQVYERANGHVQILQKCVQTPLLLGSTLFLIGGIVNWNGIHSQSSYSSELLGKSWGWFCLAGSLLFLAGGLLNLLKVFKMQQMDGRGLEKLRGGAQERLSREREGKVPLILEEGRGRNLAAPPLRAPASGQIPPPPAGSYKEAVVSGAPAS >OB02G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6918732:6922559:1 gene:OB02G19880 transcript:OB02G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGRRKPPGDARETGSPNLREAKKKAVAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSTDLPWATPTKGFSLSDLVGDGADGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEDRKKTLKQIDEFFNKKVAPKELVDEIKEIGQALLPSSNTKSQPATAVAAPAAATATAAPPAAPAEAAPAAASATAAPPAAPADEASTEAAPAAPTSLSPYPNYPDLKPPSSPSPLAPAGAAKIESQAESTATESPAAVNSTPVSEAPPAAPRPLSPYPNYPDLKPPSSPSPSAP >OB02G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6924511:6924942:-1 gene:OB02G19890 transcript:OB02G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKARDLTPQRRSPTEDDATKLDTRRHGQLQHRKRGVIIAELAAQATSSADFPTIMILLPACQQSEKQLQQPRTDISQVTKELLSSHGQHQTPNEELHHVIVAKHHHTSSVSGSNFIEQKHRETSCPPQERSGSKKTKALMNH >OB02G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6927321:6931601:-1 gene:OB02G19900 transcript:OB02G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVRPAPAPGGPPAPPSLAAPPAAAWENEGGAAKMSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKNRKAYADARGPRPAAAAAALMMKSTPPISPRLAVPISPRTPTPGSPYKHPAAGGGGVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRHRARRPRQGAVPGRPRHRRRRRPRPRREGGVRRAEPGAEDGVAPRAGPGAQDHRRPGEPLARDSPRQHQHRRRHHRPLLHHQDWDRMRAERRGAGARNSANLLVTYLHIYAYGQAS >OB02G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6950654:6951046:1 gene:OB02G19910 transcript:OB02G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPTPLAGAGAVAVADGGRKKRAGLPKLLHKFFFKVLRLRPAAASAAEQGAAAFGAYYGYRMVDECYYYSYGGAGPASWAGVLSSIPEEESSDEGAPPDAAVALRKVHSDSEQFVAAEAAVVHLQVVL >OB02G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6951200:6951388:1 gene:OB02G19920 transcript:OB02G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDISSYQASEERPDRSADCIYQDQSLIFFISYRRDFNLCSIDICIDHDSRKLCTMTHRKQ >OB02G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6954916:6956710:-1 gene:OB02G19930 transcript:OB02G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATVAVASGAGSRKSGRGRKALTAVLDNDANISAGKAGSAAGILSPPPQKAKRASSKGKGKAAAAAAVVDEVSELQGMLEKLRLEKEKAEEMVRERDEVIRRKEEEQGRLQAELKKIQRAKEFKPTVSIPLVKSLIEEGDGKAKKKKGKGKAGHERKKPCPAYALWCKDQWNEIKRTNPEADFKEVSNALGTKWKALGAEEKQPYEERYRVEKEAYLQVVGQEKREAEAMKLLEEEQMQRTAKELLEQYVRFRQEAAADNGDKKAKNKGKKKDKDPSKPKHPMSAYFVYSQERRAALIAEKKNVPEIGRITGEEWKGMTEAEKAPYEEAARKQKEEYQKQMEAYRQRKEEEAASQEKEEEEQKEVMKQEALQLLKKKEKADNIIKKTKEQRQRKKKGAGGAAAADPNRPKKPASSFLLFSREARRQLAEERPGVASSTLNALVAVKWKEMGEAERQAWNGRAAEVMAAYKSEMEEYTKAHAGGGGASPCASSSASS >OB02G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6958061:6963094:-1 gene:OB02G19940 transcript:OB02G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEGLGGASAAAAAAGQRQQIRVVRCPKCEKFLPELPNYSVYVCGGCGATLPAKKNSASENSSEKSGGHVKYLEVLESSPDNKGAASKDTCEVAQEAEAKNGEAKAEERQVLDRMAAMHSESGYGCDDSQIPKEPNALKLEASLRDDSREIREAKYRRIRNEEKGEAKHGVRARDRSPRSVVDTIPMNSYPAEGPSDYHMKSRFRHTNGEQSDTRNLDGLNRVNGLEKDRADLLRMLDELRDQVQKSCEITNKPSGSTPTDKVADASASHNPRLSRLRHGSPQLQRSGSQQSPALNGHVPCIPQSYAPGPTQQDLHGYGEPMTHMGAPSYPLGTYPWRNFDNYFYGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVQGPPLGFNNRRAPYIMNNPRVYPVDGPAMFGTHNYNSRVHASMPRNHMRATLSKKPAQTCEPIACGAPFTICYNCYEVLQLPKKSPLPGKDEYKLRCGSCSHALVVKLDGSRLDVSAPSPISHISAGSKSSTNDGQGSNANAASQERVLPLYSFSAASHGSQDLPSNSSEAEKMQGISSSCSISEDENTPARSNSQRDTPGSRDLHPEADVATRVPSLHLRDHFGYSPTEKVVDGSGKGSRSTRSEHEKAVLTESFKQHTVKDVSVVSIMDLSDDEYDDPDYMQDPGDVAQSVDHPRAAKTGDSFFTNLIKKSFKINNGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKKCAAGNTGVFVNGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRIIH >OB02G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6993637:6993939:1 gene:OB02G19950 transcript:OB02G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSVVRSLQQHKAAPASKPAAAGESQQQQQQQRGGSSSSFRMPVHYPRYKKAEYEAMAEWRVDCLLREYGLPVPADGDLDAKRRFAMGAFLWPDQY >OB02G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6996406:6996606:1 gene:OB02G19960 transcript:OB02G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGALRSSEPSPIIQKHYWQTDNVLIITVPEQSVSDDQMFRETNWQSFSNRRSRDEFQMTCKCI >OB02G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:6997174:6999075:-1 gene:OB02G19970 transcript:OB02G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLGRSAFINFSNPNAYLSKFPVMSTVSHPTASSQIDVLAQCNSYNLARGTKRKFDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFTLGNEGTSRLDKRACDSRKAFGNVGLNLELSLSSQSAITGADFTAATEYDSPSLQPYYMELVPTVDEGSTSARRPSGCQVLSFLNKTAKVTDLSPREVFPGSSNQTQGPAPMSTVLQLPKSPVACTSGIARPQQRISSNTKNCTYPGCMKGARGSSGHCIAHGGGRRCQKDGCGKGAEGKTIFCKAHGGGRRCDYLGCTKSAEGRTDFCIAHGGGRRCSHDGCKRAARGKSGRCIKHGGGKRCQYEGCKKSAEGRSGLCIAHGGGRRCQHVDCGKGAQGSTNFCKAHGGGKRCTHPDCTKGAEGSTPFCKGHGGGKRCSADGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSSGCDKSAQGSTNFCKAHGGGKRCLWGHEGSNHGAGDPPCERLARGKKGLCIYHNPQLDETRVHGGFSVVSDELSQGDRPLGTETTRHSIFSHSVEAPPRRVAAPAPEGRVHGGNILLSMFANGMSLGMQPTNQAEASSSAPRNRKSTNGMATGTSAHHSWL >OB02G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7011045:7013520:-1 gene:OB02G19980 transcript:OB02G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAQVMAASSISAARQPRRFSVLATGGNSKFPLFKTSCLPMNQAIVCNTIASSLPNEGGVKIIQPVVKMCGITSAKDAEMALETGAKLIGMILWPNSKRSVALSEAKEISRAAQSYGAESVGVFVDDDEETILRVSGSCDLNLVQLHGDKSRALLPVLSRNNRIVYVLNADDDGKLINAPPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCEAIYALKPNGVDVSSGICAPDGIRKDPKRIYSFMSNVKSLGRSQ >OB02G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7017329:7019526:-1 gene:OB02G19990 transcript:OB02G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT1G35680) TAIR;Acc:AT1G35680] MATATLPLRLLAPKTLALPSAPSHAARTSLSVAASVPRRWRLLASAEDEAAPAPVEAEAVVEEVEEEEEEDEVSVPEPVETQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILEYVPA >OB02G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7022029:7026840:1 gene:OB02G20000 transcript:OB02G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPSSRTEDMENKKTMEFPPQFFNGWIPVSGKRTGDVKQQDKDDQKSKQFQWPIIWMPAGYDEKRQAKELKEMDESPKISEESPPSPKIKIIPLSWFEDGHSDQKPSVKDESHHNERSTLKKQSTSTEHQDGRAIENIQLMPKKVSEEKKPIRENYKTIPVMPRHEDEENKTAGGNYRTIPVMSVKETDDREADVSVQKGGKKINSTEKEEENGKRSNEGTSKAKHSKLPPVCLRVEPLPRKKSGKGSSRSPSPPTRKDGDRAKKELKEAHIQKEETKQSDPKKEVAISEVQEKAPAEMNKERAYSNETKQAASVKHMQEEQASTSLDDQKVQATRVDFNAQENAGQKNLQESEKNTEHKIKIQGEPAKDNSNTSRISFSEPDAAVCIQSAYRGYNVRRWQPLEKLRMIKNVNELMIDLKKQLQDLEASSKQLSVKEQVTINETIMNLLLKLDTIQGLHPSVREARKTVARELISLQEKLDSLCKQPSSESNQTDGEQEKPEQEKASGVDEEQGPFVIDSKELISDAVPSVASTGTTQDAHSNDHIEDSNTTKEEIPNEGKAATQCDCQGDPSMDMIGDVALLGHSTDQKHQIGESNSISSDKSYEREKDEAWVGGQEIPSGDHMEPLHDEALSESSNELEQCTTRSNTVISPMATDNSTIHVVATSVESGMAADKGSPVEGQVPEAAAVESSESEHYVAPAEEGQCEEPNAQGVDLEDSSVSLMNEGLQDHDPAPSGCTGKPNSAEQPETASDVNMEQQVENVGITQDATEESDATPVVGMGHVISADTENYVQSTLLQTISELQPTTEQDALEEAVAANKGMVSGDEDDSVLFGKQNGSAANLPGDSANAEEPPIEALGMEVDIHESTLREMKDDPIFPEMNGCELPCEQGGITGHEDSEICVSPEHQANVHKEFCSDDGRCADVQVPKEGECNIVGADNLKEDVSVQTENMASEEASLASGTPDDLKVDNKVHEETSDCVTRNVSKSDNENKLAEENQRLKEMLQKLLPSGSDQMAVITELSEKVKTLERKLARKKKPKVRVHRPARQAAAKVH >OB02G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7040890:7042116:1 gene:OB02G20010 transcript:OB02G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGSEEWELYPSSFIGAQVIDCGTISGDMDDDQSEDLTVSLDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHDIVHARRQTWSKMVPQKPWYFMFTCSEETVSGFAYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVSRTSNQYMVAVARCNQVPSEYYQWEFTIHLYGSEISAWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSARPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDVAS >OB02G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7057126:7058296:1 gene:OB02G20020 transcript:OB02G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNWPIAIADRVLVIGGDDAGLAAVGDLLVVGHGRREVDDVWRALAAMRDDGRRRVLHHRGVFLSSCHLPIQGHCSFQAKSERYRALSHSFYSPLFFTLFGLVLSSPTNNLFSPFLCLDDFFFFLAVRDL >OB02G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7060623:7060892:1 gene:OB02G20030 transcript:OB02G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVRRWRCCLSPRPPHPFGLFPSSQSCRVRRPPFPSDSGDRSHRQRGGGVQVSRVDSVGGQIFLFYLCFSFSVQLKRSLASRPLVPV >OB02G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7063433:7069077:-1 gene:OB02G20040 transcript:OB02G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDIFEQKNDVAKAVLEELEKPLPLSLPLLASGNDELIGSMIADAIDKVGPDGVLSIDSSSFEITVDVEEGN >OB02G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7069785:7071134:1 gene:OB02G20050 transcript:OB02G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRASSTAVPATSFQVLWRPLKTLLFLSFQIGYPTRMTMVSNPPICAWLIKFLLLNRQARNDCTFTPNNKSLIGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDPV >OB02G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7079729:7080468:-1 gene:OB02G20060 transcript:OB02G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEALVEPLPPRQAATRRVGGTRRSRSWPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGSPERAARCQWGAIAAGHAAASLSALAQPQHGKGVGGDHARTGEDRGFSQSHFSRKELDKSPVWWPFMRSHPILWSK >OB02G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7082385:7086422:1 gene:OB02G20070 transcript:OB02G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKVAAVLSQQEEGACASTAPRRPHDEEVPLRVRLGGAGPCTPSPSWKLEGEEEVAPELAPVHPAVVVAAPPSLWEIHDVVREGRRGAGSRRRMAGRPLAAVELHQNSDSVGRHLANSSTNHNKLNQARNCTGQPFSPRSYTSSIGDSSINQAISPARSLDIKGRFSGAGYNLKTPTELLKVLNRIWSLEEQHTADMSVINGLKLELQQAQEHIQELMHERRGYRHDVASLVRQLSEDKFFQKNKDKGKIAADIRSLQDELEDEKRLRRHSEGLHRKYGKELSEIKLAFVKAVKDLEKEKKAKSLLEDLCDQFAMGIRDYEEEVRALKQRHVNYEYQFDKSALHISEAWLDERMQMQNTDIEEDLLKKSTITERLTSEIEAFLAKKSVSSKNNDKYMHSRRDASLRRQSLESVHFNGATSAPRLAEEDDDDSVASDLHCFELNMHGNSIQKHDHTGSCRSYTGNMDAPKRRSEHSHSVVGESSHMSDMQIYSHESKARSSSSKPWHTTRTQEIGSQTSRSARIIPAEEHNEINCTHISQGSHNDTSTNNLGGCADCLGQESLDHYSRTSLFCEGTTSGDLCNPHSPSRQLDYQSTSLGHEIAECSTGLPVGMKNTLKAKLLQARLEGRHARLKASGGSVTSKRK >OB02G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7088690:7093756:1 gene:OB02G20080 transcript:OB02G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQINCKVEAEERDAYEENQEEPVTMMDESPPSVGPDGDDGPSMDSPWSGVSTPVLRVKDIYISSAILAAKSPFFFKLFSNGMKESDERQATLRITDSEENALIELLRFMYSGKLTTTDPALLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEFLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLICTDLDHEQATKCVTEALLYKADAPHRQRALAADPTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNVEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTIPSGDFVSKYKGNYTFTGGKAVGYRNLFAIPWATFMADDSLFFIDGVLHLRAELTIKQPIV >OB02G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7093922:7094206:1 gene:OB02G20090 transcript:OB02G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSEMPSSSCACSSPSSLSVCCCCCNYHHQISVRYHSILLYVFRIKLFLIGSTRLPATTTTETVLSYTRLYYVPRCIKNLDSCRQKLLKLLFH >OB02G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7104545:7104871:-1 gene:OB02G20100 transcript:OB02G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLTYDQFFSPYILLIGSKQTGRSIMYYHMVTSNQQQKFWRSINTQRTNHSIPAMFVYIPHNQKNWRAGTRSHAYTLTNFSLLLFLQGTIIIKVQSLQSVQNLRLYI >OB02G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7109306:7114715:1 gene:OB02G20110 transcript:OB02G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >OB02G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7117761:7117970:-1 gene:OB02G20120 transcript:OB02G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGDSYGLLTSWLLSASLIISGGEASFVRFILGHSFTAVYCFVHLPFGCLLHTVDAYILLMLSNVITWK >OB02G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7118441:7118962:-1 gene:OB02G20130 transcript:OB02G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNIVLCPQTVQSQQRAEQDAAIARLEQSRLVLAMRLAEHQGKKYRVIDETLAFVGEVGDKSRFISPEDVRATHNQSGEDAMDSGSNGSSIMGNVLSCSLSLAKNSFRVDKIGSALGNAAAFAVSMLAFLQLHQVAFGSKSPAIDFRRSSFHLGSSLQNHKGKQLEVYLARG >OB02G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7123718:7126003:-1 gene:OB02G20140 transcript:OB02G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LERRGGGVRRLVGGRPSRPCLLVFRRRRPAGRGNGGGVGSPRGGGGGGRARRRHRHARRGRRPGPGARARQRRHIGRPGDRVHPDAGGSCAHLPDPSTGCIFSLQTLLIHLVLRLASVRVILLISYGDSTIVNILGEM >OB02G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7144624:7145916:1 gene:OB02G20150 transcript:OB02G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELWGSNNWDLDAVVRLGCCRRRVSAAAQQQQVDPFEPFLVAQQQAQLGVMVEAAKEELGAGVDAGWSFPDLAVRDGGGGLGRDADELLKAFCAAFPSPSSSLPTAAPAPAPPPEQPKEEEEEKKRKPVLVQENQPVQAAAPARAPAAVRQVPGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRSDPNTFILTYTGEHNHSAPTHRNSLAXXXPPPPPGVGVAGADAAHHPSPAASTSPAGLSPTTPLRTPSMEEDEEEDDELLVEDMEMAGEDELLFLNGGGDDTAALDGTPMSSLFDIDDPFLPAPWTEPAAAPAAAGS >OB02G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7157840:7162418:-1 gene:OB02G20160 transcript:OB02G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47810) TAIR;Acc:AT3G47810] MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLAIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >OB02G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7177470:7183626:1 gene:OB02G20170 transcript:OB02G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNVGWFCRHHPESHMTNKWATAKQKGLVHMTCITYPVIWGYLGVIEDSIWDNERTRYLGVIEDNIRDNAPSTLHLMSSTFLCQLSTQSQKFPTPILDTHVLADVKQHNQNADSISSYRIKGTSSEVSMQKSLALGIKSENNGKRDYIGTDTGQSLHKQDTKVLAKKTIKLDAPPCSKRPKLEPVQITRETESKGHDFLLQKNVPELMQCTPSEKSRLLKQKRIYDAKRIDKKNFRSGVRSKYDCFTSRTGLGNFDPGLGNSTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDCSYNKDPNILLSPKLPTATMSSCDGKDQCTEPMKGPSQTEVCDSTIHCPKDILNRLTLPQGHDLDSLLSPASESSATVRPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNSQWQWVRVGTNLTALDSEDSSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNSQQLFPMDNGDSSDGFQKHDSESFLLRTPQASPKVLQAAEILCDMRSSTDAWSSQVYSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARTGNNHSKKVVDRKNDSLRMNNPGKGSIRWPVPIEDGASPVKPERGLTLDTRQNHGNAARHPIQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >OB02G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7189614:7192352:1 gene:OB02G20180 transcript:OB02G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3LBK1] MAEPAAEASPPADGVTEAEAAAARPRGFRLLGEDKSVHQALGGGKAADVLLWKDKKTSAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWSKATIFIKKSPPDIPEVKISEDLAVNVVLALRTDINQALHLLREIALGHDLMKFLGVIVGLWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDEKVLSKIPRGPAKDKKQN >OB02G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7196955:7199599:1 gene:OB02G20190 transcript:OB02G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSNPEVLILHNTTRKRRLKIIINVYLLIQILVILLKRCQRSIVRVREPSWYDPITRSQNLNNVIGVSDKECIFYLRMDRRCFRMVCSLVREIGGLRDTRNMKLEEMVAMFLHILGHDEKNRAIHIDFQRSSETLWTVLLKKPKPISTQCKEERWKWFKTCNSFMYFRVGRGLQPMQGYCVMLCQDQMALRFPVQSKKSKESKLTAKKIDKRTCTAEEEKLLIDILYDMNDSSWKNGSGFGWDDDNKMVIGDREIYMGWAKSREGAGPLYMKPLLHFDKLCEIYASDLVKGVNAKGPGEQQATEDYSVVDEDDHICHPIDKTTAQAPVTENPTAPGGSKRVFADTDTLETSLCNVSNSFAKFLDAEKENGITFNSMQMAMMNRSEAHEDNKKTKLFDAIKKLPNFSIEEAVMAVRILGRDAGNIDLFLAMSLDYQVVFVRQELAEAAKKS >OB02G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7200427:7202861:1 gene:OB02G20200 transcript:OB02G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAQVMAASSISAAIQPRRFPVLATGGNSEFPLFKTSCLPMNQGIVCNTIASSLPNQGGVKIIQPVVKMCGITSAKDAEMALEAGAKLIGMILWPNSKRSVVLSEAKEISRVAQSYGAESVGVFVDDGEETILRVSDSCDLNLVQLHGDKSRALLPVLSRNNRIVYVLNADDDGKLINAPPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCEAIYALKPNGVDVSSGICAPDGIRKDPKRIYSFMSNVKSLGRSQ >OB02G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7203265:7205544:-1 gene:OB02G20210 transcript:OB02G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGVPQAPGAPLMPGMRPPILPAPIPGYPGAPNAPTMPQAVPVPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPNSGAPPVMYQPNPPQPQPTGPTSGAPAGAPQAPFSYAQPPEGNH >OB02G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7208766:7210565:1 gene:OB02G20220 transcript:OB02G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16010) TAIR;Acc:AT3G16010] MFRTPSPRSKDEVAAISPRYTNSLRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLELVEQYGEMWKMIQEMVRNPICVVTPMELSEVIRMLGNAKMISKAITVFYQVKARKCQPTAQAYNSMIIMLIHEGQYEKVHELYNEMSNEGHCFPDTVTYSALISAFCKLGRQDSAIRLLNEMKDNRMQPTAKIYTMIMSLLFKLDNVHGALSLFEEMRCMYCRPDVYTYTELIRGLGKAGRIDEAYHFFHEMQREGCKPDTVVMNNMINFLGKTGRLDDAMKLFEEMGVLRCTPSVVTYNTIIKALFESKSRVSEVLSWFERMKESGISPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLTRAGMLDEALTTMRKMQERGCVPDINSYNIILNGLAKTGGPHRGMEMLSNMKHSAIKPDAVSYNTVLGALSHAGMFQEAAELMKEMNSLGFEYDLITYSSILEAIGKVDQE >OB02G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7212177:7216131:-1 gene:OB02G20230 transcript:OB02G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein / ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G24530) TAIR;Acc:AT3G24530] MPVPGSQNGRPRPAKAETIHGLARAGDLDGVRRKLRENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDTIELEAKNMYGETPLHMAVKNSSCESAKLLLERGADIEAKANNGMAPLHLAVWHALQGGDCRTVSVLLSYNADCYAKDDEGKTPLNHIPGGAANEKLQKLLSSYMEEQRKRKALMSCREGRAMAEFEEAISQIVGLKELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVIEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDSGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHLKMNNPSESSLLYGFKLDPRCSIEVVGELIARETTEERRKQMNGGLVDTLLINARENLDLRLDFNCDDANTMITITLEDLEAGLKQISRQRQLQ >OB02G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7218781:7227631:1 gene:OB02G20240 transcript:OB02G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MPLLLLLPLRSSAPLRRLLLCRCCSSSSAAPSPTGLATYRRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKRAGEEREGGGAGNASGFVDGLLGVVVDHGLRPESADESRLVRDRVRGMGVMCEIATCDWPDGRPKQGHIQEAAREMRYQKLLDICIKQQIAVLLIAHHSDDQAELFVMRLSRNSGVLGLAGTGFVSQLFAPNLRYDGDNFSRYGIILVRPMLEFSKDDMYKICQGSNHLWVEDPTNNSMLYVRNRIRASLRSLSIEGTFQSELHKLISACRLTRAFIDNACSAALKNSLTLMEHGYAVIDLEKLDPHNVDDLCLSQYLAYVLQFVSQRHRPLRGRSARLLMDYIRTVPCKAALTVAGCYLAAVPRSKGTKVLVCCSVDLMESSSVDMSYKCSYVKHPLPVSEINQIVSEARLYSDQYLQNYPNIPFLSSKFSTDVLNKAKDLKLMDDCTLEKLNYLRTDEHRKFITTKEHKHEEQYSDKTSFPYLKALNIWPGETCHFMGRFLITWRTSEVVVNGMCLHDNKKQICQYCMVNPDGSLAVRNMCDADWLFLAEVCKIHSLEENKNDSNAFCNKLEDDKLVQHSKYLQLSAMKSLEILRSIPAPARRMLPVLTNSRGEILSIPSNPGLLIAYASPSLVHPYAFRLFFPEAAANTSRLE >OB02G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7231322:7235834:1 gene:OB02G20250 transcript:OB02G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTTCLIPETSSSSDSIIDVKLAK >OB02G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7237426:7248804:1 gene:OB02G20260 transcript:OB02G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLADHVRAAAGAAAVFSALVVAAVMVGGAGALEPGGWLRAHATFYGGADASGTIGCACGYGNLDAQGDGTRTAALSTALFNGGLACGECYKLVCDRKTDRTWCKPGVSVTITATNFCPPNWNLPSDNGGWGNPPRPHFDMAQPAWEKIGIFRGGIIPVIYQRVPCMKKGGVRFTINGHDYFQLVLLTNVGAAGSIRAMDVRSSSSADWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPGVVRPGWRYISQNGEVYRLKLKSKRSDSAAPSKLGHRSFVRLPDEPGKARQCSYLLEFRGELLLASVLQDAGGRRLSVSVHALDLDAALLPLDFQPAAEQAWERRDSADLGDLVLFLGYPGSFAVEAVRFREEVPAGSAYFVVKSEPCRVYRYSFVDDAAAATLGETLPAGWNDERCMWFLPDPMIAPVRPRAKTAGHGGETQEEEQAPGSHRRRDLTIYAGDLHPRGDSSQLRAMFSKHGRVARARVATDKWGRSREYGVVTMATQSGFDNAIAADGDAPENVCTYLTFLVLLLLVNDITARFNRLIVAWIFYFHFGYITHTLKPPPFSLFFSSAVPTPSPCRFASPAKQQAATDQFPQQKFIDPRLPLFAAASIRVIGLSSADLYFSIFFRVRTSGEVVSSFPGHWMSAARLAQVFKLCYLGPELNACIWFAYPDYGDYEVPSFFRLNEVISDKCSSENLAFALSPCLLPVSFHAGRSARPSYEFYLSALASRQLGCGQLPADLFFLSKIEIRGLIASALEFDRINKRAEVIPFGSISSFSFGNTPTIALPVVSRSGKPIEYSLSALPSLGHGAPSPNEYIKKLSGPPSQAKRPLRSKKVTLAPKKKKAKTMAVALPVPSTSADLDAVVDAAAEEVSDTEPPVKSPADDTLASSTTTDPAPAAVVPPAPLSLTSPTSLSLRSGKRRKRVAHRSPRPATTSAQFQDLGDFFSFDVGQYLDPSEADADEPVDLLTDLRAQLQDILARLDYPIDMLINDAGPIRSRIEEIQDWLPDDLVDAIAPTGYIESHRISVLRAWQRITDRASQAIA >OB02G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7265175:7266039:1 gene:OB02G20270 transcript:OB02G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGIYRAGIIPVMYQRVPCVKKGGVRFTINGHDHFNLVLVTNVATTGSIKSMDVMMGSNSTDWTPMARNWGANWHSLSYLTGQMLSFRVANMDGQTLVFRNVVPSGWTFGQTFASTLQFQ >OB02G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7273715:7275477:-1 gene:OB02G20280 transcript:OB02G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFSRFSIYFCVLLLCHGSMAQLFSPTLNPWHSSRRGGSRDCRFDRLQAFEPLRRVRSEAGVTEYFDERNEQFQCTGTFVIRRVIEPQGLLVPRYTNTPGVVYIMQGRGSMGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDTPVVALYVFDINNSANQLEPRQKDFLLAGNNNREQQVYGRSIEKHSGQNIFSGFNHELLSEALGISTLAAKRLQGQNDHRGEIIRVRNGLQLLKPTFTQQQEQAQSQYQVQYSEKQQESTRCNGLDENFCTINARLNIENPSRADTYNPRAGRITHLNNQKFPILNLVQMSATRVNLYQNAILSPYWNVNAHSLVYMVQGHARVQVVSNLGKTVFNSVLRPGQLLIIPQHYVVLKKAEREGCQYIAFKTNANSIVSQLAGKNSILRAMPVDVVANAYRISREQARDLKNNRGEELGAFTPKFEQQSYPGLSNESESEASE >OB02G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7282430:7283407:-1 gene:OB02G20290 transcript:OB02G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARASALVFLAVASALVVMAAADDTSNTVTAATALAGPPAAAAAPAAGGWQKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCRPGVTVTITATNFCPPNWGPPSDTGGWGNPPRPHFDMAQPAWEKIGIFSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNSTDWMPMARNWGAQWHSLAYLTGQGLSFRVTNSDDQTLVFTNIVPPGWKFGQTFASKLQFK >OB02G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7285991:7287780:-1 gene:OB02G20300 transcript:OB02G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSFCRFTIYFCVLLLCHGSMAQLFSPNMSPWHSPRQGGFRDCRFDRLQAFEPLRKVRSEAGVTEYFDERNEQFQCTGTFVVRRVIEPQGLLVPRYTNAPGMVYIIQGRGSMGLSFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDTPVVALYVFDVNNSANQLEPRQKEFLLAGNNNREQQVYSRSIEKHSGQNIFSGFNSELLSEALGISTLAAKRLQGQNDQRGEIIRVRNGLQLLKPTFTQQEQEQAQRQYQDQYSEEQQESTRCNGLDENFCTIKARLNIENPSRADTYNPRAGRITRLNSQKFPILNLVQMSATRVNLYQNAILSPFWNVNAHSLVYMVQGNARVQVVSNFGKTVFNGVLRPGQLLIIPQHYVVLKKAEREGCQYIAFKTNANSIVSRLAGKNSILRAMPVDVVANAYRISREQARSLKNNRGEEVGAFTPTLQQQTYLGLSNESEGKASE >OB02G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7311364:7316959:-1 gene:OB02G20310 transcript:OB02G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVHIPMPARDRDRELLLVPPASAAAAVSPSAASPDSDDESKPSSASAASAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWNRMRSSRS >OB02G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7320160:7322054:1 gene:OB02G20320 transcript:OB02G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADGWEERWLGGDPDSVTLSRDILGDIESLTSRAHMCLDILESGIARLLEMLLGDRKMGSLLDSGMAVGGCGSVLVLRVQWKRWLGGIGDDGATLKDGNDRHSGNITTAT >OB02G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7321754:7322104:-1 gene:OB02G20330 transcript:OB02G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDTHHTSYVYLPTSHSTHVAVVIFPECLSFPSFRVAPSSPIPPNHLFHCTLNTNTEPQPPTAIPLSKRLPIFLSPKSISNSLAIPLSKLKNSQQSRKTRSNSLSIWMAKPSRWPNE >OB02G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7323919:7324149:1 gene:OB02G20340 transcript:OB02G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMSPSPHFWIDPISTPPTRHAHSADLSCNLRSCRRAFAQKLSQNYPWIRVFLRHHQSFVIKMKLFISSIVYYLF >OB02G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7326153:7326566:1 gene:OB02G20350 transcript:OB02G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKAEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFRAAAA >OB02G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7332028:7336427:-1 gene:OB02G20360 transcript:OB02G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSTFCSALLLVFMIQLLHHSANASKELYIVYMGEKKHDDPSVVTASHYDTLTTVLGSNDEAMKSIVYSYRHGFSGFAAMLTESQAEELSKSPGVVYVKPNTYHKLHTTRSWDFLGLDYSGQSSIPKKAKYGEDVIISVIDSESSGVVYVKPNTHRKVHTTRSWDDFLALNYYEQSSLPKKAKYGEDVIVGVIDSGIWPESRSFNDEGYGPVPARWKGVCQTGTEFNAASCNRKIIGARWYTGGVSEEKLENEYMSPRDLNGHGTHTASTIAGNQVRNVSHLQGGLGAGTAHGGAPRARLAIYKACWGRSKAECGDAALLAAIDHAIKDGVDVISLSVGGPREILGTLHAVARGITVVFAGGNDGPVPQTIENDVPWVITVAAATIDRAFPTVVSLGNNETLVGQSLYYNTTSRSKKFQTLVNGDSCNAESLASINVTEKVVLCSPPLDKEVPPVLFEDIFDRVARAGAKGLIFAQYTTNLLDVLDRCHGEMPCVLVDNEIARRIATFASSTGDPEVKVSKTVTMVGSGVLSPRVAAFSSRGPSTQFPAILKPDVAAPGVSILAAVGDSYQFISGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTASVVDRFGMPIQAEAVPRKLADPFDFGGGHIEPERAVDPGLVYDIDPREYTKFQNCTMATLDYCKSYMGQLYQLNLPSIVVPDLKDNVTVQRTVTNVGGFEATYKAVVDAPAGVNMIVEPLVIKFIKGGNRTATFKVTFVARQKVQGGYTFGSLTWLDNNTHSVRIPIALRTIIQDFVADTS >OB02G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7349646:7351630:-1 gene:OB02G20370 transcript:OB02G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSAFCAALLLVIVHSLHLSANASTEVMDTNLCSDDEQDHIISDEDEFAVEEVDSDSRTLVADKTKLLEPAKGKALYTSTNEFKPNPSTRQQCPAKVNFYLHGEKFCISTLTLDHNHAGFQSNHPAQPSHISSYMDLLQGKGDLTELLSQHMKE >OB02G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7376024:7377234:-1 gene:OB02G20380 transcript:OB02G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLVDNEIARRIATYATSTRDPKVKVSQTVTMVGSGVLSPRVAAFSSRGPSTQFPAILKPDVAAPGASILAAVGDSYEFKSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTASVVDRFGMPIQAEAVPRKVADPFDFGGGHIEPERAVDPGLLYDIDPIEYTKFFNCTLATLDYCKSYMGQLYQLNLPSIAVPDLNDYVTVQRTVTNVGGPEATYKAVVDAPAGVNMFVEPSVIKFTRGGSKSATFKVTFVGRQKVQGGYTFGSLTWLDDNTHSVRIPIAVRIVIENFVADTS >OB02G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7398511:7402089:-1 gene:OB02G20390 transcript:OB02G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSAFPSSSLLLVLVLVLPIFVNASSKLYIVYMGEKKHDDPSVVIASHHDALTSVFGRTSEIDFVTFFQWNKLPEVVTVKPNTYHEVHTTRSWDFLGLKLNHYEQSSLVKKAHYGEDVIVGVVGTGVWPESRSFDDNGYGPVPARWKGKCQTGARFNSTGCNRKIIGARCSIDRSFPTVVSLGNKENLVGQSINYNTTVNNSSFHQLVVADELRCDDESLASLNVTGKIVLCGMPVADTSSPIQACGAALGAAVKAQAKGLVFAQQSAVVFREMEYCNQLLPAGCVLVDFEIAARIASYASSARKPVVKISRAVTVVGNGVLAPRIASFSSRGPSFQLPSILKPDVAAPGVNILAAVGDSYEFMSGTSMACPHVSAVAALLKSIHPDWSPAMIKSAIVTTGTYLFITTSVTDRFGMPIQSEGVLRKVADPFDFGGGQIDPDKSVDPGLVYDIDPKEYNIFLNCTLSPKDDCESYTQQLYQLNLPSIAVPDLKDSITVWRTVTNVGGREATYKASIEAPAGVSMSVEPSVITFTRGSSRSATFKVTFKARQRVQGGYTFGSLTWLDGVTHSVRIPVAIRIVIEDFISDTS >OB02G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7404032:7404454:-1 gene:OB02G20400 transcript:OB02G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHHLAGLLGLGLLSWVGHQIHVPLPINQFLDPWVYPKEIPLPREFILNHALLAQLCSSFAKEATPFFTLNWSKHEEFLSLGGGGVDPITGCLWLGNIAHHHIAIAIHFLIADHMYRTNWGIGHGMKDNLEAHRGPFIG >OB02G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7406386:7410555:-1 gene:OB02G20410 transcript:OB02G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTRSSSTLLLVLVLVLPFLANASSRLYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTKSQAEEIAKLPEVISVTLNTYHKAHTTRSWDFLGLNYYEQSGLVKKANYGEDVIVGVIDSGIWPESRSFDDNGYGPVPARWKGKCQTGKAFNATGCNRKIIGARWYSGGMPDETLKGEYMSPRDLNGHGTHTASTIGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGNASHKQGGLAAGVARGGAPRARVAIYKACWGSSGTACGTAALLAAIDDAINDGVDVLSLSLGPGEIPGTLHAVARGIPVVFSGGNDGPTPQTVGNTVPWVITVAAATIDRTFPTTILLGNGEKLVGQSIYYNATASSSEFHELVDGSRCTAEKVASMNVTGKIVLCQGPYDVEQGAIGIVAKAGAKGLIFLQRGAVDSLEMLDACNGVMPCVVVDYEIVNRIVSYADKTSTPVAKVSPAVTVVGSGVLSPRVAAFSSRGPSTKFPGILKPDIAAPGANILAAVRDSYEFMSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIITTASVTDRFGMPIQAEGSPRKVADPFDFGGGHIEPDKAVDPGLVYKIDPREYIKFFNCSLDPKDDCKSYMGKLYQLNLPSIAVPDLKDSVTVWRTVTNVGGLEAAYRVLVEAPAGVNVVVEPTVIKFARSSSQSATFKVTFKAKQRVQGGYTFGSLTWLDDSTHSVRIPIAIRSIIVDFVSDTS >OB02G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7422072:7424479:1 gene:OB02G20420 transcript:OB02G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRYGQKNWETVARTIPGRNVQQCKARWSFTLDPAINKQAWSQEEELKLIRAQQTYGNKWQRMVEHFPGRTSYAIKEHWRGPLKRKLNSYLASGLLKKFSGLPENLLVTQNSDSEILQQCDGSFGENQLISDLQASLKPKQGLLKKSPGLPKNLSVSQNSDTDIPQECDASSDENQLLSDFRASLKSRQGLLEKFPGGNQSVSPDSHSNILQRCDGSSDENQLLSDLRTSLKSKEGLLKKFPGLPENLSVPQNNDLDVVQQFDGLSDENQLLSDLRARLKSKRATSSKSKTSSKSKQRTSSKSKRGFIELCENTGTSEGESSQFLCKKRHDPHPVEVSQRIRDRLNERKKARKRLAFLSSPVELKVCAISKSERPLQKSKQMSPEVIISPEVPSECEKIVKSKPPLADFNQAKNICSLEISDLLDMSYCDGLMIIPPTGYSNDDDFMQSESRMDK >OB02G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7425184:7426609:-1 gene:OB02G20430 transcript:OB02G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESETTPRRHHRSPTRSRRDERRRRQHDDEMRARGATRAPLRRRHGGETGEEGRRRRCDERSEEEGRVVRRLRSEIGEGGVHGGAVAAAVHASSSSSQPAAPGPTVRSSSSADCNTRKRKTGPESSEKATTASSKRMKTVVPALGQTQPKVHRQQNKPATAATATAKTKDATRPRFPAIPLPPPNYDEEEAANTRRALLLAKNSIRPEHLRALAITREIEYGVSPACHRGEDGVLRFSARRRRSSPVAELLGLFVKAQDYGELELDEDLFQELHTCASYTNCMP >OB02G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7433350:7433565:1 gene:OB02G20440 transcript:OB02G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIVEVGSSSRPLRLVPAQPWWRPPPPAPELPPPPPPPPPPPPEAVAEASSSAGDEYQLFHSGRPCQAS >OB02G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7433817:7434098:1 gene:OB02G20450 transcript:OB02G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGEGTGGGLGMQARVWGGWVRRNRKAYRGGGGLVAALRLAEEEGAGHTTHSVNEEEEGDANQSIRLTVQEGEEAMVRDGVSSLADVVGPG >OB02G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7447974:7448132:1 gene:OB02G20460 transcript:OB02G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYIGMKCSYVNLLHSGVILLAYSSRVNNSKSMAYMSILIIVFFPHFLFFL >OB02G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7462366:7463567:-1 gene:OB02G20470 transcript:OB02G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTLMDVVMLTGLNITATINPYKLRNNFQHQLQTKGAGGWSAVYELLHQVSLSYQTASLAAPTISHSGKPIEYSTTAVAAPGHGAPSPSEYIKNFAKPPSPLRRLKRKKRTSKVTKKKAKIAVEAIPMVAISADIDTAIDAGADEQLDDGADQQTATPQPPPASTTTSSPLKTGSKHKVITHQPCPRKPKPSIASAFTDVPTSPADPVPEPMTSAEEIIVPTTTPI >OB02G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7463656:7466781:1 gene:OB02G20480 transcript:OB02G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTGKLLHALYLVEMYNNAKQDFDKVYQYEKAAQELSKHDPPIVLAKADANDEKNMPLDTSYEIPGFPTLKIFRNQGKNIQKYKGPREAEGIVDYLKKQVGPASKEIKSPEDATNLIDDKKVYIFSGTEFTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKNFDVSALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKLPRLTGIKFPRLAKPDFSLSTDKKIATRRTTTTT >OB02G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7470378:7470566:-1 gene:OB02G20490 transcript:OB02G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQQHIMHIITWLILGTEHIYFRFKIYTFYRSTTLPPSLDGFSDILTGSHFRIAWTIHIF >OB02G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7472172:7473615:1 gene:OB02G20500 transcript:OB02G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNIPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPLEFLEGMDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLGADAAAQNLTATEAARRVMEGDAPRKLDAKEELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSLAPKKFSYVESLSAGGMRSPSARH >OB02G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7473993:7474190:-1 gene:OB02G20510 transcript:OB02G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRPPSYITYTTKEFIVSFLPEKMEFGTSRSNPRTSSSMADELITFVLLTPLSSICNGFFIVLEKY >OB02G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7473891:7479377:-1 gene:OB02G20520 transcript:OB02G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFAVSSAYLVSGISVAYAAPRRHPFICVVENNFCASKRGGVRCLAILNAVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYAGTAFFLRRKAAVILDEGDYTPHNAGLEMLETKIEVTPEMQRRINDGFKSWMGSSTLSSDDEEEASDDYIEHNVPSPTASVQVRQESNLDS >OB02G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7483104:7489125:1 gene:OB02G20530 transcript:OB02G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: positive regulation of catalytic activity, protein processing; LOCATED IN: integral to membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Aph-1 (InterPro:IPR009294); Has 268 Blas /.../ to 262 proteins in 79 species: Archae - 0; Bacteria - 0; Metazoa - 212; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G31440) TAIR;Acc:AT2G31440] MTVAAGLGYALIALGPALSIFAGVVARKPFLVLTLLTSTLFWLISLIILSGIWRVFLPITSGAWWPYVILILTSVAFQEGIRLVFWRLYKKMEEMLDSFADRISKPRLCLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGRATFYVERCSRMPFFLISAVIALGFLVIHTFSMIVAFNGYDERKRSDQVFAPVVHLIASVMTLMNLAPDGCVIGTPLLCVMSAVTLQYCWRMVWQRLSEHQHRQFNS >OB02G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7497712:7502044:1 gene:OB02G20540 transcript:OB02G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57850) TAIR;Acc:AT5G57850] MAALSASPPTAGVSPSPRPSLVAHKNSVTWSAAAGFPPWRARAAGVTAPPIYWDISKKNWMETPAETIVTENDVPLLSFAEVAERLDEYHASGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRILKSASMAKIALPFDRSTIRSILIQTVSASKCTQGSLRYWLSAGPGDFQLSSSGCANSALYAIVIQSPSLPAPAGCKVITSSIPIKSPQFAVMKSVNYMPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTRSRELLMPRFDKILSGCTAKRVLALAEQLVADGMLSGITSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQMIGSGKEGPIAQTLFNLILEDMRSGPPSVRIPVSY >OB02G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7500991:7501377:-1 gene:OB02G20550 transcript:OB02G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISSAAFPSWTLTFLEVIPLSIPSATSCSARARTRFAVHPLRILSKRGIRSSLLLVTKPTFMLEPSATKPSSSSQMPVNPPFSSPSTLPAGAGKDGL >OB02G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7504119:7506750:1 gene:OB02G20560 transcript:OB02G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPIDSVQWHDIYIPDQQSTCVSIKGKGSVPSLSDNQAMPDCMQDSVSLLRDSSPFFYFFLPPRRVDWTGSGGSGWGGSGGRRVGSGQHEAVAGSGGGWWQPEHAARARGAKPLALPMLSLALEWLASCENLTKPHGASTGREWPSEVAVLSPRLHPFRPDVLGQIPR >OB02G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7529028:7529558:-1 gene:OB02G20570 transcript:OB02G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLKCHQVFRCIRWYLATVKHSVLCLGQAPHWKNTEGLFDQAYRMQTIIQEHHQLCICNGERAELSALEEDRAPQHKTENMLLNDKLNLKSTDFGMAYIKSNSCYMVSEVLDRKPYNHKCDVYNFNICIWEIYCYKMPCMDVSFINITLLVLHKHMRPEIPKCCLGDMACIMRRG >OB02G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7530291:7540697:1 gene:OB02G20580 transcript:OB02G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:J3LBP1] MTGFLERFMGSRPADDVLDAAAAGVHYSALRLEELKINGSVAGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIEQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIDKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKSFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLL >OB02G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7547308:7547706:1 gene:OB02G20590 transcript:OB02G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding QESKGKKKSAGRRETKEAKQKQSEADEQRHGIHRQKFSSCSPKAGRFETIQETNHQSSRDLFFLRHFEPPPKVAVFVSWLLFFLSFLSFSPEAGQEIGRDFKIFVARTGKGCDLGEFSCVLAAVGGAGLGFPG >OB02G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7547308:7553875:1 gene:OB02G20600 transcript:OB02G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKYLKKRIAHKNPKVQLLALTLLETMTKNCGDIVHMHVAERDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGARAGYPQYYAAYQELLRAGAVFPQRSSGSVPIFTPPQTQPLQNYPPSLRDAQQDQPESSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPGNREGHKQEVIVDLVDQCRSYKQRVVQLVNSTSNEELLSQGLSLNDDLQRVLAKHDAIAAGIVVKVEKPKSLQAQINSTSPANPGTSKGAVERSSGTASASNKQLALPAPPSSSGPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQISANKSNHNLTNSLNPLNPNSNFPASQAYSAPMQPALPQHPIAYSNGATSNAIVPYYDDQNGDLPPPPWEIQQSMDNPFQAGRVALQPGQPVGIQPRSTQAGQFQFGQDFMPSQQMANGQLGGMQLQQPQTMPNLQYGGMHPSMQANQGGSMYSQPMFGGQFYGTHQQLYAVQMAGYGYGQQPGAYYIPNAAYAYVSANELTQRMNGLSVQEGNPHGAAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGS >OB02G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7556580:7560564:-1 gene:OB02G20610 transcript:OB02G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHLHPSLAPHRHPPPPTSRRLLVVPGPQGKGGRGGEARDGELSGRGREGRRWARGETPARRSGAGNFGRGEKGASGSVGKKGKKKAKASANEGKLRVELDMCSKRGDVMGAISLYDSAVKEGIRMGQHHYGVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLDSSSMESVGNSEGNDVRSEGLSEDQEGSKINLFASDDGVVEKPSKIAVSDELREYARTRGFEIFEKMCSEEEKVPMSEAALTAVARMAMSMGNGDMAFDIVKQMKDQGIAPKLRSYGPALTAFCNSSNIDKAFEVEAHMLESGVRLEEPELETLLRASVATRQGDRVYYLLHKFRTTVRQVSPYTAKLLEAWFRSTTASKVGKRKWDAGVITKAIENNGGGWHGLGWLGRGKWTISHSHIDSNGVCLACGEKLDIIDLDPKETEDFATLVAKLAIKRERRSNFENFQKWLEKHGPFDAVVDGANVGLYSHKHLSLSKINIVADVMRQRFQSRKFPLIVVHNRHLTGERMQKPSNRKLVEKWKQSNAIYATPTGSNDDWYWLYAAIKCKCLIVTNDEMRDHTFQLLERDFFPKWKERHQVRFTFEDSCATLQMPPPCSVVIQESEKGQWHIPISEEGLLETKRTWLCVTRCN >OB02G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7562426:7566920:1 gene:OB02G20620 transcript:OB02G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRCKSTVVLWGCYVAYLHMLLAGIPTSEWRLTMRPCVSSVGVLSMCMAMRAYNQAKSCTASQTQHGCVRVLAPRCKEDCTLVGMSSTFLKLQWCVKRIARWLGCHILKASSMSSYAISLPGAVTNHAPARRFGGSQFQTSQAVCISFKREVSAKAVLRQSIRCNATQTQSAQRKSSTATVKRSDPKGKTQAPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLLDYLKEFERNLSARRQRAGDDASNEMLQQ >OB02G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7572945:7581751:1 gene:OB02G20630 transcript:OB02G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family [Source:Projected from Arabidopsis thaliana (AT4G29010) TAIR;Acc:AT4G29010] MAGSIWVSMEVGADGVALVTICNPPVNALHPIIIKGLKEKYAEAMDRDDVKAIVLTGAGGKFCGGFDINVFTEVHKTGNVSLMPDVSVELVSNLMEGGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLVDALCSPDDLIKVSRLWALEIANYRKPWIRSLARTDRLGSLSEACSVLTVARQQAQKVAANLPQHQACLDVMEEGVRFGGHAGVMKEAKVFKELVISPTSKALVHAFFAQRLTTKVPGVTDVQLKPRKIRKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKEKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKVPLKQSIFSDIEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKMIKKVPVVVGNCTGFAVNRTFFPYTQSSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVRHIYSAAFGTRNFDSNLVDLMVKNGRQGKSNGKGYYIYEKGGKPKPDPSVQVVIEEYRRHVKTIPGGQPVTLSDQEILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKFRGGLVFWADTIGAPYIYSKLSKWAEIYGDFFKPSSYLEERAKRNLSLSAPKATQQASSRSRM >OB02G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7587746:7592855:-1 gene:OB02G20640 transcript:OB02G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCECFAASVYCDGCHCSPCGNKVENENTRKEAIQSILLRNPLAFQPKIENSPNTVNVRKDNSGAVPVVPKHNKGCHCRKSECLKKYCECFQANILCSKNCGCKDCKNFEGSEERQTLVQMENASDRNHIQEAANFVLNGAIGSLGYKSSSKRRKKSQENSLGEQIMSESQFQSADHADVSQLTPSCTGSGWDIAINHQSKSSKMIYRSPLANTIPLIEVSDLVKHVVVACRKEAEAFPAKADNKVDMQEENDKMNNDKNKEQKLKEASLKDIQNKSCIDQLNINETGPHLVNTSKDFRPTSPGTQALMCDEQDTTFGNDDYRSPSMVPSCGQGISELNVAQENKVLTGLRDYLRVLITRGNINATKSSAEAAMELDSWRHHRATVFCQDKSMSSNGPETLGNNQASMSNDEQKGKSEVK >OB02G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7595722:7600255:1 gene:OB02G20650 transcript:OB02G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRESRRQTPPPLSAPRRRRGSASPPPPRRRGSPLGFRPRPQGYHVHSGSMIPPHGHGVESSKFNDAISSRYASELVSKGGERIQYVSPPNGRGRLHKRDVGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPICGNLNFARRSHCNSCNKERYAPGIYKSNYSPDRRQFNSPPQGPVSRIVGPPSGRDLPREKQRYRSPPRGWGMVQPDDHRDYPTRLSPDHPGQMAGPTHRDRINFQDDLQHRQHRKFDWGVYNHREHPRDGLYLDRREPRLGSPRGSWGQGVRDRSRSPMRNKPMNRGLDLRERSQSPFRDRALNKASIGRGEPDRDYDRPFDTHGRPHNLGHSRGRGYRQEDDRFPIHNQGDKHILSHHRNGIH >OB02G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7607213:7613245:1 gene:OB02G20660 transcript:OB02G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNSSAYKRVPSREAAMDPDLETPVKMADGGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPIASLYITEVSPPSVRGTYGSFVQIATCLGLIVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTSEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYTELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPSNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGIQAIGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVVNFFVSLLFLRLLEQLGPQVLYTMFASACVVAAIFVRRHVVETKGKTLQEIEVSLLQPQ >OB02G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7615653:7616420:1 gene:OB02G20670 transcript:OB02G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGRCRRRRRREAALRCRRGCVGISEARVSASGGTTARTQARTCAAATTTSATAPGEEKERKGERRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGSTGLAWPGGVEGWAGLAGPAREGRGEKEKGKRRREKKRGGKLNFGPI >OB02G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7617430:7620361:-1 gene:OB02G20680 transcript:OB02G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTLCKYKYPKTISQMKMVGDPKYNEYPRHELCQNGIPKREASSKCKSLIGKISSHGTSLSTAEYFDFGTGTFPFGSSYFYKLNGVYKSISRKRTYAAPGQVLPRFKNFDDLEFDDIYRVWSIVVKVDVKFHADARREKQHFILMGITTS >OB02G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7624500:7625779:1 gene:OB02G20690 transcript:OB02G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G15220) TAIR;Acc:AT1G15220] MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKSGKSDKEIYKKLEDEFGETVLYAPKFDLQTAAIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLTFLHHHLLQRNGGGQANNFHVLN >OB02G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7626265:7630692:-1 gene:OB02G20700 transcript:OB02G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLFTAATRGSEDARPTWNLTDEQLLDGLLSAAFSRRSCRSRYEFAGYYRRKPAHKPSLYLVAKLRKHEALQRWCGPGTAPYREALRRLKSGDGAGDGGDCRSVVSIGYTRGLGNRMLAIVSAFLYAVLTERALLVAPYDGDVAALFCEPFPGTTWFPPDAAGRRFPIRQRLRDLDGESQESLGNLLRSNASSSSSSSPPWPYVYLHLEGGFHFHDWLFYCDEQQRRLRGVPWLLMKMDSYIAPGLFLVPSLRGELGRMFPEKDAVFHHLGRYLFHPANAVWHAVTAYHRAHLAGAGRLVGMQLRGKIFLPHESFGHVVIGNVAKQRYHIEYISVAA >OB02G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7635259:7640544:-1 gene:OB02G20710 transcript:OB02G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAVRWERRRPPSLSCGGTTRRSAVRSAGVAVAVLIAVVALSYYAAWGGDPFPTPDATQVTVGSNADDADSMKRGGRRRTGLPRTSSASSRKHEALQKRCGPGTKPYMKALRQLKSGDGGTGTAGDGDCRYVVSVGYDRGLGNRILAIVSAFLYAVLTERALLVAPYGGDVAALFCEPFPGTTWLLPGGRRFPLRRRFSKLNGKSRESFGTLLKSDVVSGGGNGTASWSGGRPPTHVYLHLDGSADFHDKLFYCDEQQGLLHGVPWLSMKTDSYLAPGLFLLPSLQGELDRMFPDKNAPSHEGRQRSEDAAHDMRALSEMYLLSTCDVLLTTGVSTFGYVAQGLAGVRPWLMPRRPWWEKQPASEVPEPPCVRVASPEPCFHSPSYYSCAARRDYDDIGKVVPYVQRCEDISWGIQLVNGSSQW >OB02G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7644535:7651430:-1 gene:OB02G20720 transcript:OB02G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVSGGRWSETAMLVMDMQKDFVDPAMGSSALLAPGEAIVPSVADAIAVARQRGVFIVWVVREHDPFGRDVELFRRHLYSDGKGPAIKGSEGVEMADGLTVEQGDYKVVKTRFSAFFATHLDSVLKTSGIKNLVVVGVQTPNCVRQTVCDAVALDYEKVTVITDATAAATPDIHLSNIRDMKNIGVETPTLAEWRH >OB02G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7644619:7662569:-1 gene:OB02G20730 transcript:OB02G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLALMSCLLVLVLSLDKFLLHYLKKRWLSGPRISSAPSKSRRSMAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQGVVPTVAEAVAVARERGIFVVWVVREHDPSGADVEVFRRRFYSGGKGPTVKGLKGAELADGLAIKEGEYKLVKTRFSAFFATPLDSVLRTTGIKNLVIVGVQTPNCIRQTVFDAVALNYEKVTVIIDATAAARPEIHLSNIRDMKNIGVETPTLEEWRR >OB02G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7664097:7673122:-1 gene:OB02G20740 transcript:OB02G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) [Source:Projected from Arabidopsis thaliana (AT4G32770) TAIR;Acc:AT4G32770] MYSVENPLFRDGMSDLDRLIHGPRFTGVGAQILGADDKYICQFTEKSNNFWGSRHELMLGNTFIPNNDSTPPEGEVPPQEFSNRVLEGFQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVSLTAAGGQRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKMSGENKNHLVEIEATTNEPGTALRAPTIEAGLVPACKDTCYGDLKLQMWEKGDDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNELVSNVVGTPVDVDSLFPVPFLKPPGL >OB02G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7680511:7681201:-1 gene:OB02G20750 transcript:OB02G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFHGTYHQLLHDNAMKKRGQPDQDEISEEAKKVDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >OB02G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7688265:7688933:-1 gene:OB02G20760 transcript:OB02G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVLHKSSALVGEMGEGHGWWSVNNLRPPFAEQLHNPSLFLPSSTTPSSSSSSSSSSSSSSSPLHSFSSLLLSNHYPLPATTTSTAVPWLDSGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGLPGAAAMAWRRSRTHGAISCCKSLSFPPFHLSVSLKLSLSFSLCVCVCDHTRLIFL >OB02G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7719713:7724946:1 gene:OB02G20770 transcript:OB02G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3LBR0] MGRVSPLHHPARRLLPLLFPRPTRGFSSSSREPLHVCVVGSGPAGFYTADKMLKGHERAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFYGNVTLGRDLSLSELRKIYDVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRDNTIRKVYLVGRRGPVQAACTAKELREILGLKNVCVFIKESDLITSPADEEEIRNNRIQRRVYELLSKAATTHKGINSNNQKELHFVFFRRPTRFLPSEDGSSVGAVQLEKTCLKDDGMAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGVVPNLKGRVLSSESDSATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDNNKGLFMGSSDAKRLGRRGLLEILEQKSIRFVPFDGWEKIDNKEKLAGELKNKPREKITSWNELMMAAN >OB02G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7733352:7733842:-1 gene:OB02G20780 transcript:OB02G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLAMMVIALLLVSGAGAGNRCSGGDRRVGPDQRRAGGQLLPAGVQLRAGDADRCWCAGRRSWRWWRPEELALVEVVAGSVQAAGAGNNYRLLLRAADVRRGAVRSVWGVPRSTSFKRVAGN >OB02G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7737049:7742056:-1 gene:OB02G20790 transcript:OB02G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAITASAYDTAWVALVPRLEGGGGEGPEFPGAVRWIVGRQLADGSWGDGVVFSAYDRVINTLACVVALTKWSLHQHSCNRGLDFLKQNMWRLAEEEPETMPIGFEIAFPSLVEAARSLDIDFPYDHPSLNAIYANRDLKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQSRDGSFLFSPSSTAYALMQTGDRKCLAYIDRIVKKFDGGVPNVYPVDLFEHLWVVDRLERLGISRYFQREIEHIMDYVNRHWTEDGICWARNSNVKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEDILQRARSFSNEFLREREAQGTLHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYLDQYGGNNDVWIGKTLYRMPLVNNNTYLELAKLDFNRCQAIHQQELHGLQKWFVENDLKAFGVASEDVFRAYFLAAACIFEPNRATERLAWARVSVLANTISRHFHSDMSSMKRMERFMCSSLYEENGNVLWLEGYAKDEILARTLCQLIDMLSKEAPPVREGPKCIHNLIRCAWIEWMMQHINMKNDGYGEGGVMDPGSCIVHNKETCLLIAQIIEICAGRTGEAASMISNTDGAWFIQLASSICDSLHHKMSLSQDSKKNETTINQIDKEIELGLQELAQYLLPRADDRRTNNKTKQTFLDIVKSCYYAAHCSSDILDRHMSEVIFQHVI >OB02G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7773234:7774789:1 gene:OB02G20800 transcript:OB02G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAQAQAAVAEQMAQALHSTSNLLHLMEESSPAQAHLAKLPKKLLAKASLAKNTGQVLHQLPHVVSSLDAYMEASFQSASQIKTVAQLLSNMENNQLRSILPASRLEKAEKNTENKLRVE >OB02G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7785159:7788229:1 gene:OB02G20810 transcript:OB02G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFVAMGLFRGSFTFMVGMGCGVYVAQNYNVPNIKKLFNTYVFMARHIEETYRKPKKDDD >OB02G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7787999:7788199:1 gene:OB02G20820 transcript:OB02G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPFYHFLVLGKCTRPFLVVEIYRTGKFYHCYHAHLYIVYTAQFELLLSSQHCLCMQLCSFTWNG >OB02G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7788925:7790818:-1 gene:OB02G20830 transcript:OB02G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPTRWRGVGAARQSGDSTEWEGGDNARGSAADDGCTRERGKGKSVADSRWPQQRRGWRTAWLSRARAGSRRRKTDPTGGVHLSVSRERREEERTSGMGSWGCTAVEGQLRWSTTGGGEVERSHQGGEGEGKTQGVTDRMVDQEDQIIEGMIVTFYNLWAARNDDSHVETPQDPSKAVAGIIFHLEEWSSLKEQKIVFVHCPTAVDKTSDGLGETQNRRCLHVTGKGRGYMSSDEK >OB02G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7795519:7797342:-1 gene:OB02G20840 transcript:OB02G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LBR7] PPPPPSPPPSPPPPPQNTPPPPPSPPPSPPPSPPPSPPPSPPPSPPPSPPPSPPPSPPPPPLPPPLPPPPPTPPPTPPTPPTPPPSPSPSPPKTSPPPSHAPTTISPPSSSPLPTTSPPVSSPPPPISPPPSSPPSHNQPPPPSPSSSPPKTSPPPSHAPPTTSPPSSSPSPSISPPSSSPPSSTKPSSPPPTTSSPPSSPPPPTSPPSSSPPTVAPSYNQSPPPSSYNSPPTISPPPLSSPPTTLSPPSSPPPTSSPSPSSSPPPSSTPPTTSSPPSSPPTVTPSYNQPPSPPPSSPSPSTLAPSSSPKATPPPYQPWRWSAPPFNLPSWTPPGYMQIPNYHDTLYWQIGRFAVLMHKLVKNKDITLVDVLSVSMQPAGSGNNYFLVLKAADEYKKVGKYHALVWGIPKSTSQPWKVLSFQYVGN >OB02G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7795914:7800886:1 gene:OB02G20850 transcript:OB02G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMVVGYGGDDVVGGVLDGGGEDDGDGEDVGGGLDGGDKVVGGELNGGGLDEGGEVGGGGLDGGDEVVGGGLDGFVGGCDWLYDGAIVGGLDEGGEEGGLHVGGEAVDGVFDGDGGGGWLYDGVTVGGLDKGGDLVGGELDGGGDDDWLYVGGLGGGDSGEGGMVGGLDEGGEIVGGACDGGGEVLGAEDDGDGGGGWLYDGGLEEGGDMVGGGLDEGGEVVGGEYDGGGEVLGGENDGDGGGGWLYDGGLEDGGEMDGEGLEEGGDVVGGACDGGGEVLGGEDDGDGGGGWLCDGGLEGGGEMGGGGLETGGDVVGRGLDEGGEIVVGACDGGGEVLGGEGDGDGGGVEYDGGLEGGGDVVGGGVDGGGLEEGGAVVGGGLEGGGDVVGGGVDGGGLEKGGDVVGRGLDEGGLEGGGEMDGGGLEEDGDVVGEGLDDGGEIVGGACDGGGEVLDGEDDRDGGGGWLYDGGLEDGGEMEGGGLEKGGDVVGGGLDEGGEIVGGACDGGGDGLGGGGDGGGGGGWLYDGGLEDGGELDGGGLEGDGDESLDGAGDGSPSPSQSSFFSGPLPSPCGSGPFPGLGQCEFFTGPTPLLPLSPFSSLPFPLSPFSSLPFPSARATLPFPLSPFSSLPFPSARATRTPRATTRRKGRSTTSVLMAGGSPAVGSYHSTGDECLSLAPKGS >OB02G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7799377:7800807:-1 gene:OB02G20860 transcript:OB02G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVVLLPFLLVVALGVRVALADGNGNDEKGDNGNGNVALADGNGNDEKGDNGNGNDEKGDNGNNGVGPVKNSHCPKPGKGPDPHGDGKGPEKKDDCEGDGEPSPAPSNDSSPSPSSPPPSNSPPSSSPPSYNQPPPPPPSPPPPKPSPPPSHAPPTISPPSSSPPPTTSPPFSSPPPSISPPSSSPPSYNQPPPPSLSSSPSKTSPPPSHAPPTISPPSSSPSPTTSPSSSNPPPSISPPPSSPPSYNHPPPPHKTHPPPPPPPPPPLPPPPPPPPPPPPPPPPPPPPPPPPPPSPPPPLPPPPPPPPPPPPPPPPPNTTPPPPTKHTPPPPQNTPPPPPQNTPPPPHKTHPPPPTKHTPPPPQNTPPPPPQNTPPPPPSPPPSPPPPPPPPPPSPPPSPPPSPPPSPPPSPPPSPPPPLPPPLPPPLPPPLPPPPPLPPPPPLPPPLPPPLPPPHTIPPAAIPRSTYKLTTFI >OB02G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7806788:7808980:-1 gene:OB02G20870 transcript:OB02G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADAGEDDADLQTTKVSLDKAFPKDEDGGAPAKADDRRLRRLAQTREENKEELRADHRRIRQAEIVSTVDEENERQEAELEEEDEEAQEERRRRIRERQLLREQEELLPQEEEEPIEEEEEEEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEEHIEKTLNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRQSKQKWKFMQKYYHKGAFFQEGADDVSQSAGKDDIYGRDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWSTNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >OB02G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7815465:7816703:1 gene:OB02G20880 transcript:OB02G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3LBS1] MAAMAMLLVAAAAVGVASGGNFNEECDATWEPQNCWSSDNGNSLSLALVSNSSGSMIRSKRQFVYGAVSTSVQLVAGNSAGTVTTFYTSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTNGYHNYTISWTPCRIVWYIDGTPIRVFRNYESSNGVAFPTRRPMYAYSSIWAAEDWATQKGRVKTDWSRAPFVARYHGIGLDVCECYGGGCVYGCAAAFGQASCGGQQLAGDEVGKMQWVQDNFRIYDYCVDYQRFNGQMAPECSLPQY >OB02G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7820220:7821672:1 gene:OB02G20890 transcript:OB02G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3LBS2] MGRPKLHLLVFVAAAAAVIGLAGANFRDECDIPWEPQNARFTDDGSGLSLSLVSNYSGCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDKHDEIDFEFLGNETGQPYPIHTNVYANGVGDKEMQFKPWFDPTDGYHNYTISWTPCMIVWYIDSTPIRVFRNYEKSNGVAFPTKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNVNVCACSTSTDCATQCASAYSSGSSTCQLSDSELAQMRKVQDDYRIYNYCVDSKRYKGSAPLECGLPQY >OB02G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7844359:7849740:1 gene:OB02G20900 transcript:OB02G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIELLCKSHPVEFASYFNYCHSLTFDQRPDYGFLRRLFRDLSDREGYQYDHVFDWTLLKCKQSQKAKTQQQDPGVSSRAVPMNIEKHQVSVSRPTEASGQLEAEQRPAIRLQFRSTAENNRSSNRHTDKLIVGTSTDNVLLQSTSFGHADAPRKNITVSKTQGLVDSTAHRANNPGPSNHL >OB02G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7849769:7852742:1 gene:OB02G20910 transcript:OB02G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVDWVTVYDIGRESGAKLYALNVLVHEAVAENCAQAFKGPSVLIEVHPNDPRGVDVRAHYDN >OB02G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7853224:7854973:-1 gene:OB02G20920 transcript:OB02G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKAYGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVAEYDKGNAYAQIAIGTDDVYKTAEVVKLSGGQVVREPGPLPGISTKITSILDPDGWKSVFVDNIDFTRELE >OB02G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7867324:7868121:-1 gene:OB02G20930 transcript:OB02G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGHKNQMIRRPRRALTSAKRMEEPFFSQPLHLEMQLGYPTGYSSWKGRSFTVTGVGERTKKKTQSEKDEIQAVDEQPEWSEEAREKKGNKLRGIIPAKNLQHAEIAKNREEEKRRAACHAAGRCGAVDGGGSRARKESVTGKTKEEEEVVVVGIPRVAAACGEWRWGAEEASPGDNATAPSPPPPPSNS >OB02G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7867436:7876268:1 gene:OB02G20940 transcript:OB02G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MGCSPSKVCSCPHYKGCLCFCGCFGQTPDSPRESRGKSSRHRGKTDSSASDASSDDLDEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRVPIGNYDMRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTNPDDHFFGVFDGHGEYGAQCSQFVKRKVCENLLRDNCLRTDAVQALHSAFVATNSQLHEDSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDIVAVDLSIDQTPYRTDELERVKECGARVLTLDQIEGLKNPNVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFTLELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDVESSQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRHDLSRARLRALESSLENGQLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQKGGEGECFYVVGSGEFEVLAVQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTILQLSQLADSLVEVTFADGQMIVDKNHDASSLYIIQRGHVKLKLGADQVNSDAWDLLSSQTKVVQSSQEDGNYVFEIDEGGHFGEWALFGETIAFTATSVGDVTCSTIGKEKFDSIVGTLPKVSQSDAKLKDSLIPKGHRDDDDSAFRRVQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEAQVTDEKDLMKSLSQSTSVPEVLCTCADQSYLGILLNCCLCCSLASILHTPLNESSARFYAASVVVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFSKKLEGERTYTICGIADSLAPEIVLGRGHGFSVDWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPATFSTEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKRIADGTFTVPEEITDRVDSYVETLTEDMTASTSMPSEETADQAAPEWIQDW >OB02G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7881839:7885826:1 gene:OB02G20950 transcript:OB02G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAGDEAWCREMVPRVMELVSPRLPQRDACALLAVSPWCHRALAANPKLWEVLDLHEMNKAGDRLISALSLKRYCRLKVINLEFAQDIDDRHFFRLKEMGCTSLQELEFLNLNACQKVSDKGIEAITSLCPNLQALSIYWIVGLTDLTISHIVKNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTEDGLQEVLQKCSSLESLNLYALSSFGEKVYKKIGSLTNLTFLDLCGAQNLTDDGLACISRCVGLTYLNLSWCVRVTDVGVVAIAQGCQSLQLLSLFGIVGVTDVCLEALSKHCSHSLTTLDVNGCIGIEKRSRDDLIQLFPFLRCFKVHS >OB02G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7890298:7893305:-1 gene:OB02G20960 transcript:OB02G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein L53, mitochondrial (InterPro:IPR019716); Has 50 Blast hits to 50 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 6; Fungi - 0; Plants - 42; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G39600) TAIR;Acc:AT5G39600] MLKFLSKVVVEYCPLDPRKAAVVELLAQCNGRKAKDSNPACSVELRRLPSPPDAGDAKSHPPPRVLVTYFNGVEESFVAAEGATAQGIRDQILDRGRLLDTEQLFRDGGEKWPVVIPEEELTMSFPGIKPKKAEEKPQA >OB02G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7896924:7903725:-1 gene:OB02G20970 transcript:OB02G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRSSRSRIAVVAEDRCRPSNCGLQCRKRCPVNATGRQCIEVTPSSRVSLISEDLCIGCGICVKVCPFDAIQIVNLLSDLDKETTHRYGPNSFKLHRLPVPKPGQVLGLVGTNGIGKSTALKILAGKVKPNLGKFTDPPSWDEILRNFRGSELQKYFTHLVEDKLKATMKPQYLDHIPKSVEGKVGDLLSKKDERHVKDLLCDILELNQVLDRDVSALSGGELQRFAIAARAMEEANVYMFDEPSCYLDVKQRLKAAQVIRSLLQPKNYVIVVEHDLSILDYLSDYICCLYGTPGAYGVVTLPSSVREGINIFLNGFIPTENLRFREEKLTFRVTESTEEIIEGQTYQYYQYPTMTKTRCGFKLSVTEGSFNDSQIIVMLGENGTGKTTFIRMLAGRLKPDKVGDEEVDVPEYTVSYKPQELISKCSSTVRDLLFEKILGSCTKAQFRSDVMKPLKIEELMDRQVPTLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRLLAAKVIKRFILHEKKTAFVVEHDFIMATYLADKVVVFDGKPSVECIANAPESLASGMNRFLSRLDVTFRKDPTTYRPRINKLGSIKDAEQKAAGCYYYLEY >OB02G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7910776:7910946:1 gene:OB02G20980 transcript:OB02G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGDTRNSRIGSAGFKHGQFRDADGQGWAYPAHEQWGKNHIGPTHDVLWANMGQCLS >OB02G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7916272:7920901:1 gene:OB02G20990 transcript:OB02G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKLVGGLGAIAGINEIASFYQLVKSTITSRWSSDRKKQLQGMALDLESGLRRLKDITLPDMYNLIARAEWKIHQEDVAKLLRQLQDAEDLLDKFRWYELKVAIEGNANQSAHVEFLDHEIPQDSSKVKDIQEKLDNISGQMERMGLREATQRFDESVRPETSSFPTETTLFGREGVYEQRALKKKMENKKFLIILDDMWDDALKEDGQFWKKFCAPLTNPLEGSMMLVTTRSPKVACAVKTMEPITLEGLNKNDLWKFFKHCTFGSESSNNYPELEDIGRQIVLKLKGSPLAAKTLGRLLRTSLKTTHWNDILQSELWQLEQNNTDILPALRLSYLYLPSHLKRCFSFCGVYPKDHKFDKNNLAKIWIAQGFVEPEGTESILDGYQYFEDLVNRSFFQKVDGKFVIHDLLHDMAQLVSKHDCFILKDVSDFERVPQSVRHLSILSSTDFDLTNLLSISRKCKLESLPSDFSKLRNLRRFESWGFKCSPKGPQCFNASSGNGKGIRLMKNANQICGHLKINNLGAISTEDAREGELKNKEHLSELTLEWSRLPQEQGEVGTPVEILQALQPPESVKSLILRSYPGESLPYCFEPHDLPNSSSRDFNRRSDSVHESTDLKDISRALAEHDNGWVGIFSYLTELVIYGCNNLLNLDQFVQPPYLPAIKKIMILCCNVLQSLPTEKFGIFCELSELIVFDCPSISNSHWLRLQALKRLTLYNCGDFGDNINCCSLTYLRYSNGRVRSFPLQAWGSNLPALKELEICNCNNLEFIKNPESSISSSSSGDSSSTITFSFLTTLQISSCNKLSTLDDLLTKESLPVIETISISSCEGLLSLPGERFGSFPFLKDLAISFCQNLNRSRGLVLPSSLQRLTLNDCGDISTCFAHCLDNITSLVSLRIISCSGVVSIPDELWISNITSLQELSIESCPDLESICDVDTIAKINKVSIRDCRKLAKPHTVWVNVLGVPRTSRTYFNLLEAGSKLGLVVEVDMETLNATDGIRIRIVMINPNGLPRCFPATSPDLLTGELCYRLEETVEAMTNIQENVATSDEDMDNNAQMAGDRRMVKQLQLDQQSHGGHINSAMGNKKMKEVVVAGQISMDVEPRMSLQSSTQELVEKGYQGGSSTDVAISDEDMNRNVQMEYDRRLAEQIQLELDQPRSTSGNVILEMDIERDLFV >OB02G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7922733:7922885:-1 gene:OB02G21000 transcript:OB02G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPLTEAFESFKHNQCIPPLIGLTITNWQNNSVLQELFQTIRDFVAAGL >OB02G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7931957:7938216:1 gene:OB02G21010 transcript:OB02G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRLAVLIIAASLPSFSASDRQGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDSNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLASNRISGGIPEQFGNLSSLASLNLEDNLLVGEIPASLGQLSKLQLLLLSDNDLNGSIPDSLAKISSLTDIRLAYNNLSGQIPGPLFQVAHYNFSGNHLNCGTNFPHTCSTNMSYQSGSHSSKVGIVLGTVGGVIGLLIVAALFLFCKGKTKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLDWPQRKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDGEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQDAIELSGGR >OB02G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7942372:7943025:-1 gene:OB02G21020 transcript:OB02G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIENLSRADTYNPRARRTTSLNSQKFPILNLVQMSATRVNLYQNVILSPFWNVNAHSLVYTTQGRGRVQVVSNFGKTMFDDELRPGQLLIIPQHYVVLKKAQREGFQYIAIKTNANAFVSQLVGKNSVFLSLPVDVIANVYRISREQARSLKNNRGEEHGAFTPRSQQQSYPGFSNESESETSE >OB02G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7961853:7968750:1 gene:OB02G21030 transcript:OB02G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLMSNGWQNCLNAGLAWSTKFHSVAMEVSGFFVLDFIRSWDGKKLSSIFSDGIKLRTEFFISKLKFNENEAKENIPVDIQEFVDRISGGGGGGGGGEGGVHVPRRGLRSDAFSFWHVRARSESMSPNPKQLHVQGRDAELLTRGDSPIDVVPPLGIVPDDSEQVLAHVNSISIPGNPGNEVSPLQAWNLPDLHSEKVLQASKNDGQILITPLELIKKPVDKQVIAEGRLQLTGDGHQILSSNGMDKTAHELYLDQLGRQTNIMDQPEQRTEEQSQSHDNMESDEVSCNDKSSDGESDRSLGNESDKELGTHYSPSFMDLDNARPPELGMKFPTLEDAQRFYEAHALKTGFVAKRGTNYRRKKFTLECHKTGTSKLTPNPQRKRKKNIIERTQCQAKVVVKLHSGQWEYASVRNEHNHPLCPSYSLRFSKRKRRQNPPSQKQLYVQRNGELLTQADNLEEQVVQPLISANFNEVNNKRTPGHSENSIPTAEQEPVNEQSLKDRRLQSADNYQEQSSNGIDKTAKQPIVDKLVEQNNSMDQSLQHTEEQNRSHDNVESSEAPSDDTSSEGDSGSSSGNESDKELRKYYPSFEELENSRPPEPGMKFPSLQAAQKFYYAHALLTGFVGKRGTNYKRRKFHLECNRSGKSKSTKSSENLLTKRKSNAIEKTQCKARVIVKLDKGEWLFTAVRHEHNHPLCPSPSLTKFLLDHKQMSTAEKSFLRVMKQNRIPPKKILKVFRKIRVCFRDTPFENKDENNIPQTEHRIANSDVESTLKHFTELQMQNPEFFYMLQKDENNTVTSIFWSDTRSRIEYDIFGDFIMLDAIYSTDMCNMPFVPIIGINNHARPLVLGCALLKDEKTETFKWMWRTFLQVMGGKMPRTVMANQDTSMEEAIARVMPHVRIRFCKRHVMSKTQEKLGAFMAAKGNINADLHNLVHNSLLEEEFEEEWAELIERYNASENHHLQLMWQTRKSWAPVYFREYFYPFVESVGCNEAMNSLFKDNMLPKDTIDKFIGRYMEIQENVKKVDDEDRFHSGADLKYVSMQPIEQHAAHIYTREIFLKVQKELLHSTAFNVQEIQTGTVYILEKVFNYENPEFDRNSFEVLVEPGIKAFKCQCAKFTRDGILCCHIFRLFTQFGINEIPEQYRMPRWTKMFREEQLKKNKENKLDKHDIKDSENTLRYAMLMNKVAEIGRQICHDEIKFSSFMLELGRIQERLIMERGENAENNDDTC >OB02G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7969431:7972335:-1 gene:OB02G21040 transcript:OB02G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIGWAPVVMCSVSCCFPGLTASRWIFRALLLDWAQRASLVRAHLVGAYACATVQPWIPTSYCISWILMTGPKSRTGVKYKLRMTDTVGQSSISKTVSESKSTDDTRLLATIRQVNFQLPLGRPKLTVSPILLLFSEAWPNKYSQAQPTKARYARKAKAVSEDEEDEDDEFEAMGSDGEFDGDFDDEDLEDFDDDDGEVSGSGSDDEDRKPVQKRRMR >OB02G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7972893:7974479:-1 gene:OB02G21050 transcript:OB02G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLPKIKVVFAKGGAAKKAAAGEIIKSFDESKEGINGEFEEKKAGLQPKVVEIYEAAPAPLKVLIKDRTKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGIAKVGPALLSGPVFATFEKVSTLLPAATGEEEETKPREAPAAEEAPAAAAEEKKEEAAAAEEKKEEAVEKKEEETAAAADEPAAGGEAAEPAAAKEEAAETPAAPEATPSEPAPAKAEEEAPKA >OB02G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7987192:7988905:-1 gene:OB02G21060 transcript:OB02G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMDKSSLVSRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFCCLLMEFCSGGNLHSLRQKQPNKCFSEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGGGSLVPGCVLPRILPGRNGKSKKSKKPGKGKAEQDATAGGGGRTKKPPPPTSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPPPGGLPLAARRRHGWRRRGAGRHAHQERRREGQPLATG >OB02G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:7994838:8003034:1 gene:OB02G21070 transcript:OB02G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT5G13650) TAIR;Acc:AT5G13650] MLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGEDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVPVETVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAVEVPEEYMGSVVELLGKRRGQMADMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAVLNTIFDSYGPWSGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKISKKNR >OB02G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8003165:8004331:-1 gene:OB02G21080 transcript:OB02G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLGLSVGFKFEPTDEMLLQFFLLPYLRDGRPPLAGLVLLDDPRALPPWMLLQRHGRGEEDGAYFIAPAAGEGRQVRSVAGGGRWVKQRTDAKGAVRLAGETFRWERFSLNFHCDDRRSGSTGWVMHEYVVFPPPGSAIATSHRACYISFTGHGQNRKRIPDGYGLEASQSTSSSLAADVEASQSQSTSSSLAAAPEQQSNQEYAYGEQNQCSIVPQQQSNQEYAYGEQNQCCIVPQQQSNQDFAGVNDGGATVSPEEEDGQSLDDMEMDGMISALMKTLDAPEDEPPGFLPEQQSNQDFAFYEQQQQQFFLSDQLVDEVLAGVNDGGATISPEEEDGQFLDDMEMDGMVSEWFETAAGSEEGSLAGAATLPSFVQDEPPCFLPEL >OB02G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8011111:8012685:-1 gene:OB02G21090 transcript:OB02G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAGLLSPGFKYDPDVEQILRFFLLPYLRHRRLPVDDAVLLDDPTRAPPWTLLDSHGRGRVDEAYFIGPAPGAGRGGRQKVSRSVAGGGKWIKQSTEDSGEVRCYGETFKWEKCRLSFHFNVAAGDRRRSHSTGWVMHEYVVVPPPGSAIVANHSACRISFTGHGKNRKRVPDDYVADAVEDVRATSTAAAAGAPPLSHEPSSHGYCADTQQDDQQSLPATEQSNQDHDYAYTDHNHQQSNQHHFPAAEQVYQDYLLSEIQSNQEHGCEQDDDGDDEQYHVLYGDLTSCQEPVVTSQPFLYQEQVTFTGLDGGHVNSDDGEHAGPRAVEPLLDAHLTRRQQDEIVNVDHDNHEAHESAAATASHSAGAPPPLQQPSAPPTLNHLQEFVDQLRAAKLAGGGGLNGAPLAEEPAAARGYHGPMPTMDSACLEKIEAYLTAAAKKLCGTGHTSGEHCDALPPVQPGTAELARVVGLFVREVVDVVKVAAAGDHGASSDQPLSDFDKAQNVLLTKLMVIINRIAMG >OB02G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8017876:8018028:-1 gene:OB02G21100 transcript:OB02G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVMFLKKNFGTKQGLTEAYCQKKCLHQLPYDITFKTKEIILKENRWPLLR >OB02G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8017356:8021014:1 gene:OB02G21110 transcript:OB02G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGIAGPTYLIPVNWNCEHNRRSVAACLVQAVYVLERDRQLNRQSVEALASPWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKESSADNAPRFVIAFRGTITEKETISRDVALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSMIWLTGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSNERSVAEDSFNMLSAWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNISPSPDFKYAHGISQWWQPEMNLQCNKYRYS >OB02G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8028429:8031906:1 gene:OB02G21120 transcript:OB02G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAVEGQRRRRRLPSSVDKVLGNDDLLGVILLRTGGCPVTLVRAALVCRGWYRHASLAAFLRRFRERHGRPRLLGFYVQLGHWTSLPRFSPLPGLPQELAAMVRRARLDVESYGGAEAPWICTDLGCWNGRLHISVCSLSSSSSSDSTVVCHPLLPGREWVVLPPSPDRMSFYCDGVRHKFLQFHGFVPNLGGSVEDGLPYIFLTIGTKQNQTIAHVYAARDGGRDDSSWSVLATAVAEKPFFLMSNQQSKVVLLDGAVYAVAKHDDGIAILKLCISSSTFSVTAVPDHVLVEGEFKGAFMLSPADDDSSSGIYLINCIGTQLNIWLHKTSSRSSSNGETNDDWTMVDTIMLETFWGTEEDDYQVDQEEVDVDEHVVIHAVTANADFVFFERYCDGIIYLLDVRARAAQKVYEHEASLLHHRVLRIRPFTMLWPPTFPALKEDDPDATSTVGRCKCNDIHFGEKLATGIPSPSLGRIMLIPMSIVGTILLSVVTDGASAGVTIISVGTNRVSIGQCILRFDTRFIGD >OB02G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8034388:8034977:1 gene:OB02G21130 transcript:OB02G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSIIYKVYMLSMQSYIILVLDLVSMDTCFINLPNKVCHEQDEDLDLFWSHDFGVNIIHLPPSNAIASDFLMINWVLVDDISLYRGIICHPLTVFYVDVRRNIVEGVRGDIEGGALPVIHQLMMVWPCYLPSAGIDQGS >OB02G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8037589:8037885:-1 gene:OB02G21140 transcript:OB02G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRPEVGVGAAGGGAATAGAGAGAPFLSVVLVGAEEHGLTPLPAVVSPAACAACLLPAATSPTXXXXRRPRRAPRAPSCRRRRVPLQSAVSPAADA >OB02G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8041423:8042148:-1 gene:OB02G21150 transcript:OB02G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEDGGGDDTSYTLVVFTRKGCQLLATAVSVRGESIEIRTSRSIDLPTHWPSRMRGGLLLHGDLYMLGKKHILVFNLKSSNTFLIKLPDGVEQLDRMGNLKLIRRGDSGLHLVHLSGLQIHVWHRVTDCNNSNGNGGDWELVDTLCLRRWIGQALRPGWESGNPSVGDAFVSLRRVEDNAELFLVVDRVIFHVHLADRTAHKVFEMAPEQDVRFEIHPLMMVWPPTFPEILKHDHDQYE >OB02G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8049617:8050855:-1 gene:OB02G21160 transcript:OB02G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNGAHPPSSPPAAISSVLGDDDLLREILLRLGFPTTLVRAALVSRRWLRLASDQAFLRRFRACHPPRLLGFYHTARENFRDEIPSFVPLPQPPELSAVLRRAAFRLAPGATGPDAPVILNCRNGRLLAAEFPPDEPRVTIISPLRPASEPPALPLIHELPRQPGETRHASCMLLPEGGGGGDDPSYTIVEFLRKDQEALAKAVSVKPGILDPSNISQSSSVEIHGGWTRNIRRDLLVDDNVYFLGQKERILGLNLASMRLFLIKLPDGVEQLQRMGNLELLRADDSSLYLIHLKGFQIDVWFRTGTVDCGSGGNWELVDTICLRQSFGQVAEPNWESGDAFVALHRVEDNVEVFLQVDRVIFHIHIVDRTVNKVFELPPKVSRYFDIFPLMMLWPPTFPELIYDPDDVE >OB02G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8054421:8054711:1 gene:OB02G21170 transcript:OB02G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGVSSTYNVHLLGISIDRTRLPIPDGMFVLDPKTGHGDVTFGTSTPVTLLVDLAYNLIVEVFMARMLAAASMTAFNGTRRLCFLVDPGVENIG >OB02G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8059798:8060688:-1 gene:OB02G21180 transcript:OB02G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVSSTAARRAQEAQRCALTRVSMKRSPSNRCANRPSALDGASDVANKNKSRQKQRCGDTNLPISKHYIKLSTFSPSLHVHHQHALKNNTPPLRPQRWTFISRPLTGISARRFIDDGIDRIIYDGQRLYQPGITTVVTSYMTYGVQPKIGGPMSHPEFNRKPNS >OB02G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8060337:8061257:1 gene:OB02G21190 transcript:OB02G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVVLAALPLIVLVTWPQPKPQPEPDIGEAVHPPEVRKTRMASSTERVRVERHLSGGLEPEYNATSPRVISGRFVSTGDAVEPAQSSYDLVEPMLVRVVRVRGGIRACEGPTPLRHLGQMRREEVKNGWRVEGVGPTVYFV >OB02G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8066575:8069752:1 gene:OB02G21200 transcript:OB02G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIELLMGSIPEIRRAETPFMDRDFRFLPFPVGGVLSCSSVSWFVGVYGMTEFLAYVVPVPDVMDEEKRARKGDISPAGSPRKSPRLGRPAAPTVAGSGGGGAAPHEGANPYEDLNDPNGAFIAGEEPHPQEFLDAAEEEEAVMPLQALLGEVAMNADGGPHWDELDNRAEHREEKEKVTVSAAKTQQEDRLFSYFWKCELQGKGEMPCTQCFEEKRSTPRCFRKGSMMNHYFDCHRQLMRVKTRETLAKVQSVMLRIDHKRKSRALITKACEMPFCACQSKLSSQFAMAEPTRRPQLISTKLLIVNRYLMPMSWHWQVYDVTSFMDEHPGGDEVLLAVTGKDATNDFEDIGHSESAREMMEKYLIGEIDASTIPVKRTHVSPQ >OB02G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8070511:8072689:-1 gene:OB02G21210 transcript:OB02G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGVGGGGGPNDGAGPLSLDCYPAAGGGYSEQEADRVAFLPGQPTSPQVSQFSGYITVNSQNGRPLFYWFFEAQALPSQKPLLLWLNGGCYGSIIYFSFQFVPFSFICANCPYFNKDVNLSEHSELAGMDLGLSSTNLLGTKNLLFLESPVGVGFSYANTSSDLTKLNDGFVECVCTRRKPDWLYALEPAVLFNYCFQKMVLGISRIQIYSAEGQSVPCFHMGVIGLWLGWYAGQHIFILEPFSYWLITFCLLFLIPLAPWIVKQSSTISSTVPL >OB02G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8072450:8072920:1 gene:OB02G21220 transcript:OB02G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDELLPVSRLGKKKKKPYCCCFFCCQVKCATVTSSSCPPRAAPASGAPGGRVGRQLPHQWTGAVVRAAAAAHTGLVHRCRSVSAEMRSLLSSSSVSTSSAATLSTPARPRRAGRLRKSSRSAGMPRTRPLASTETGWMRCWSRRSDQAMLATE >OB02G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8082943:8084638:1 gene:OB02G21230 transcript:OB02G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVRSSATAAAAGGGGGSSDWAELLEDILLTVMEQLDVPDLASLPPITGIHHVETVPGGALRYNVYLNGGPGLDRVPRLLTAGKAQKRMYHRVVLSRSPSSAGPGACVALMAHMSYGELSFARLGDERWTWISPDEHPHLGPSACGFRDFFHDDDDGMFYALRLDGSIYTLDLNGDSPVVKQITGGVPQQWFPSTYILRAPWGDILQVRRWRRFFALMETETPSSSQHTLNSLKVDDDDLESVTGSDNDLESVITGSDDDLESITGLDDDNDLESVMGLDDDDRVTSYLQLRTTHIEVLKVDFDRRRLVKMGSLDDHALFLGANTTMCLSTKDYPMLKPNCAYIADNSSEYIGDHVKGLPEIGIWDMEAESLQRFKCAEDSPPWLNWPTPVWIKPSLL >OB02G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8090188:8090421:1 gene:OB02G21240 transcript:OB02G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYTNDEEIVISSIGNHIYVFCLMSHCLCDFWICEPLSTFCFILYYLVGYLILHYYVLLFRPGRILCINLLGCYCL >OB02G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8093314:8094166:1 gene:OB02G21250 transcript:OB02G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIYLYIHIFILLVHRCLSAAAYAPASPPSASPPAQPVRVYREGVEVFFDRETEVRTFECMSRHGHGPRLPGHGPRLLGRFPNGRVEEFIHTRHPKIYRPAVARCAQVTDRARPTPGDVRRDRGRPRATKARGGGGGGQRRPRGRS >OB02G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8095383:8096195:1 gene:OB02G21260 transcript:OB02G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRNLSPSLAQHRSTHAQKLRESSEMAEAVAAVPAEVRDAIATAQEAAAALVTVGFQLEVAGWSTVRVGRATLYKAAELVREDIHDTKIVVAHAFAVVPTLNGRDPAATLAASAKLVASVFSEAPVLPGAIAAAMDLAASVSAIAPPVTGTLWDVLVIFKAVSEDHHRARTLFVDCVPYIGIGEDYEAWEEFSYRRRHALTCAVVAEMRLNGAIGDAQHSVRIHRFCQNEAPRRGRRMREAWKLKGILRSAIAAEEEIVHQVIDDAAP >OB02G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8104209:8105429:-1 gene:OB02G21270 transcript:OB02G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDVPDLVRSGAVCGWWRAASAAVRRARFPLPSSAQQLPCLFYACKDCSPAASAAVHCPFTGESVRVPFPLAPVAGHAVVGAGHGWVVTADENSNLLLFNPITGAQASLPPITGIRHVETVAGEARRDCIYHRVVLSRSPSSAGPGACVALMAHMSYGELSFARLGDERWTSISPDEHPHLGRSACGFGDFFHDDDDGIFYALRFDGSICTLDLNGDSPVVKQITGRVPPLWFPPTVYILRAPWGDILQVRRWRRYFDLVDDDGLKPITRFDELVNPYRELRTVDIEVLKVDFDRRSAVKMSSLDDHALFLGYNTTMCLSTKDYPMLKPNCAYITDDTSEYIGNRKNGWREIGIWDMKAKSLQRFECAEDSPPWLNWPTPVWIKPSF >OB02G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8108747:8109573:1 gene:OB02G21280 transcript:OB02G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGESQAVEINIADMSERIHSSHIVSLDHRKCSNTTASLQQICEHETAYFRIFKGVRDGIHARAGDDKITEIRHREAAEHVFEPRDASRCGYTSAAHNSGSSPSSAPTTMPDTITAVENARFEGGEGWCSVAGREKDADGR >OB02G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8113040:8114508:1 gene:OB02G21290 transcript:OB02G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATDSGDGDGDAATMVTDDTGQATRGRGQEGRGAAVRYATHLPFVLKGLTCTLPERMKTGIVGRTGNGKSTLIQALFRIVDPCIGQVLIDGLHICTIGLHDLRTRLSIIPQDPVMFEGSIAMRKSGSYRKWRQLECGSEATCLFGEGGFKEKKGFGFGRSNFFSGSNN >OB02G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8116544:8117995:-1 gene:OB02G21300 transcript:OB02G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYPVKWSPRSVAPPKAPGIFAQQSKGGEDQFADAAYPVKWSKRSDAPTPPTTLAHAAGQSSGIHVRLGMLFLMRSLFPGAVLPEGTKLAATAPPPRFISKADADTVPFDYRDLDTILGMFGILPGSDKASQVADTLRTCRNLDSGEDLEPRTCATSHEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVPCHPMPYPYEVFYCHRPSDVVALRVELVAMDGDDDDALAMGATAVAVCHTNTTTWDGRYFHMLGASARRGEPICHYMPKSYVLWLAN >OB02G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8133523:8135564:1 gene:OB02G21310 transcript:OB02G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3LBW4] MVSDCLAMYAEAASATLSANSKDGLGGVPVWNGANGKGKSKKQRKRSFFPRWLTARDRRLLLGPAEPLVENADLVVAKDGTGTHRTISDAVKAAPERSERRTVIHVKAGRYDENVKVGRKKTNLVFVGDGKGVTVVSGGRSVADNYTTFHTATFAASGRGFMMRDMTVENWAGPAKHQAVALRGWLAWNATFALDTLYYGEYMNYGPGAGVAGRVAWPGHRVINDSAEAERFTVARFISGASWLPATGVSFLSGLSL >OB02G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8136938:8144889:1 gene:OB02G21320 transcript:OB02G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 45 [Source:Projected from Arabidopsis thaliana (AT1G77140) TAIR;Acc:AT1G77140] MYRAPTPKTQASHLLVITKGRPDGPLPRDGRPRSSSDRPHQGTDRRTLALTARGDLPPLASAPLLSLPLTTPAPPPPPPPPLSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPLTLAVATPPPIPTNRRNQGRCRKRAMALIALVRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPRFAEYHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIHNNHVYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPLIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKLMLISQTEQELACTSGQAAAFEAVTTLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLIQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGESQRISKSSSLV >OB02G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8146389:8146845:-1 gene:OB02G21330 transcript:OB02G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQSNHPVQPSHISSYMDLLQGKGDLTELLSQHING >OB02G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8146990:8149693:-1 gene:OB02G21340 transcript:OB02G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHIFDENVVHTIFSYNYRTTIDGVGRNGDTNLCFDDEQDHVISDDIFAVEEVESDSSALVADKTKLLESAKGMLFDSEDSATCFYKSCARKIGFGVIRRGSKKIEDGKVRYFTLACSRQGKAQYTSTNKFKPNPSTRQQCPTKVNFYLHDEKFCISTLTLDHNHAVSPSARHLRCHKELDLQAKRRLELNDQAGIRINKDFSSLVMQAGGYENLQFGQKDCRNYLQDVQKLKLGAGDAHAVYQYFLCMQSKDPNFFFVMDMDEDSRLKHVLWVDARSSATYESFSDVVTFDTTYLTNKYHMPFAPVGVNHHGESVLLGCALLSNEETETFVWLFRSWLSCMSNKAPNAIITDQCRAMQNAIMEVFPEARHRWCLWHIMKKIPEKLRGYLEYEDINSTLSNVVYDSLNRDDFDRGWMKMIDEFSLQDNEWVVGLYDNRDLWVPTYVKDTFWVGMSSSQRSESVNAFFDGYVNARTTLKQFLEQCDNALRDKVEKENKSDCKSFQEAIPCITHYEFEGQFQVAYTNKKYKEFQDELRGKIYWYATLRKTEGSVHTFSVREDRKIGEQRIVSKLLVLFNQEDCDLHCECRRFEFRGILCRHIISILPLVEIEKVSSKYVIQRWRKDFKHKHTFIKCSYDDQLDTPIVRRFDTLCKRFNEVAENGSVSDALYNIVMDGLNELQIKIDAHHQQEIQQYQQNEKNKDMVPKQGKVVLSPISVRRRGCPPSMRKQ >OB02G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8150816:8152603:1 gene:OB02G21350 transcript:OB02G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPPLPPPEAEPSDDSSGEEEKEEGESPAAPAPQKLSDHGAASSEVNSDTDTYVQGFQLRMLGGAPHEEEEVGEAGSGSSESEPENPEPLRKEAAKKAKSEAASPEPAPSGKAKKAKVEKAAAPERTLSVKSKKAAKAEAGKAAAADAETAPSRKAKKSKSKVEPGNAALDPSPSSKSGKARTPWTTADAIKILEIHVAHSKNHGTLPNADEIIGAAGDNLDRKNIRNTHIYEKVRSLKNRHWATTKKFEESGNLPAEEDDLRIYQLSSEIWGKDAKKAISALASQNNGTPTKSKKGQVQAKKDKVDGDSKEDATAVNENGGTLAENKKGKATKQKTGAEAKIGSSKETAPTASPTKSKKGNHKDKLKEESKSGKSKETATIATQDDGTLVGTNMGKADKDTLYEDIEIVVPKEATTITTQGDGTLIVSKKRKADKGKLDGRTQNAMATEATTIGTHGDGTSVVTKKGRVDRGRLDGDTQNVMSKEATTNSKGGENHEEVLHREANETNIRREFIELERLYPNLASFVNRMEVQDQRGSIFKRSFELISDDKACTLETKIKKQRIDEVKMQLCRADTRKEVVEAFLGLLG >OB02G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8157060:8159980:1 gene:OB02G21360 transcript:OB02G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHEFFFIFENMEFNLYDVVRERQTAFLEEDIRNFMVQILQGLVYMHNNGYSTSNLMALLKLLILGWQEKFLPVLVILAMFPPDADFVFKNSLFLTGEYVSSNLTGLLSNEDMWAVGAIFAELFTLSTLFPGQRFPLAALADKLHIFVTTIQYNYTYLFFFCTNMNDWTRRIGNDRHLLPPAQEEVCASIRCGLG >OB02G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8162284:8162448:-1 gene:OB02G21370 transcript:OB02G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLDVLNGVIFYQKKYRKILEKSYPFLKKFTAPNLFIHACRQAPPVFIGGDAC >OB02G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8167191:8170412:-1 gene:OB02G21380 transcript:OB02G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEETVPSHGGRLDVRTHSLTEKQSILPHELACMRSERYNRHELTNYSFIMEQQPLLDRPASCSSKASASRSVFTDAGFFSIITFSWMGPLLDLGRRKSLDLDDVPNLHDNDCVHGILPNFEAKLVSVSATGKYTGVTAIKLVKALVLTTWKLLLVTAICALLRTVSSYVGPYLIEYVVDYLNRSPRSPKEGYLLVFTFVVAQFIEGLSSRHLVFRAQQLGVRVRSALVAVIYQKGLCLSRQSRQSSSSGEIINAVSLDAERVADFNWSMHELWLFPVQIILAMLILFSTLGLAAFAALAATVLTMLVNLPTGRVQQNYQEKMMNAKDARMRAMSEMLQNMRILKLQGWEMVFLSKIMDLRKVEMNWLKKDVYTSAMLISVFFGAPAFVAVVTFGTCLLLGIPLEIGKVLSALATFRQLQGPINSIPDMVSVIIQTKVSLDRICSFMHLEELSSDAVTKLPGGITDVLIEVSNGQFSWNTSSEVPTLRDLNFRIQQGVRVAICGTVGSGKSSLLSCILGEIPRLSGEVQTCGRIAYVSQSAWIQSGTIEHNILFGTEMNRERYEKILEVCCLKKDLEILPLSDQTIIGERGINLNGGQKQRIQIARALYQDADIFLFDDPFSAVDAHTESYLFKECMLSFLLW >OB02G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8185384:8186010:-1 gene:OB02G21390 transcript:OB02G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALLQVWRVVMPRGARWLVVEGGAGARAAGRSQGHVTAAGKSPGCGRRGGRRLAERAPEQRRRPGRVAGSSLGRGRSDGRGVGERVAPDLARADAELLEERRLVVEGGAGVQAVGRSQGHAMAAGISPKRGRRLGRVAGSLPGRGRSGGRGQPRGRRTPSDGRGGACRRGKRMRRPWRGTSSGRPHRLFFHRHGADSPRSLTSVPV >OB02G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8187556:8189291:1 gene:OB02G21400 transcript:OB02G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVYDDQVDRLDPLLREGCTYYMSRMSIEPIRMMLHQWLADHAFVCVFTHETTVRMITDMSERILPLFPPFMPLNQVFEFTYHNDIFVDVIGMVIFVSTIGFVNSYSRRIPYRNVLIIDGSFKPVKLVIKDKVITNHLTKWVRCSDEQPIIVATMLRAKREQDHSLHTACFSRVHFDPNVAVARELKRCLNKELTLSSP >OB02G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8195157:8197031:-1 gene:OB02G21410 transcript:OB02G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWDNPRQGSSRQCRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNAPGLVYIIQGRGYIGLTFPGCPPTYQQQFQYFLPQEQSQSQKFRDEHQKIHQFRQGDIVVLPAGVAHWFYNDGDVPVVAVYVYDVKNSANQLEPRQREFLLGGNNMRAQQVYGSSVEQHSRQNIFSGFGVEILSEALGISTETTKRLQSQNDQRGEIIHVKNGLQFLKPTLTQQQEQAQAQYQEVQYSEQQQTSSRWNGLDENFCTIKARMNIENPSRADTYNPRAGRTTSLNSQKFPILNLVQMSATRVNLYQNAILSPFWNVNAHSLVYTIQGRGRVQVVSNFGKTVFDGELRPGQLLIIPQHYVVLKKAQREGFQYIAIKTNANAFVSQLVGKNSVFHSLPVDVIANVYRISSEQARSLKNNRGEEHGAFTPRSQQQSYPGFSNESESETSE >OB02G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8205905:8208603:1 gene:OB02G21420 transcript:OB02G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSAGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLANWQRDYTMENILVQLKKEMAAAQNRKLVQPPEGTFF >OB02G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8211267:8219455:-1 gene:OB02G21430 transcript:OB02G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHWAEGSKHSSAQASYSSSFSRCQATSARRSMQRNIFDLLAQREISPRTKHQAKKLWSKSLARDADFFELRYAVTDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLHPDILASGSLDQQVRIWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTMILRTRRSLRAVHFHPLGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCVLWPAYLRDDGTLRLVRNDLVTSSTNAHQRSSSLAQNLLVSDVENQQPDQLVTPMDVCPGESSTSYGIVGTASASGLSGVEMQIDRGQPSSRLQGSSSTSNHENSTARDDVQMPSLSSSMPIPATSQPSGHDGRRGRPMNSSSGLDVHMFLRNSEGGNHHHDLFSDSRSWELPFLQGWFMGQNHTGASPSIPIDIGSSRGSNQHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAVSLGVGSELTTSLLAAGAAELPCTVKLRIWRHDINDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGEVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGVPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGLNCFIEENMLEIQRYALEG >OB02G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8221945:8222304:1 gene:OB02G21440 transcript:OB02G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGKDDRKRMTLTGERGRTAAPPLLAARRSTAAAEGGGRAEAEGAPAPPASPRMGRSAQQAAGRGEMGAEGGGGGRKQKDGGGGHRFWQDGWGIGAAGRRRARREREEAERRGTRISV >OB02G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8232996:8236064:1 gene:OB02G21450 transcript:OB02G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar essential protein-related [Source:Projected from Arabidopsis thaliana (AT3G57000) TAIR;Acc:AT3G57000] MGRPYAVKGRKKKRKLDDGGAPPPGPRVAAKEAEELPPPQPEPGGGGGGGEGDEEKGKEEEDEAAAEVADGLPVVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGARKIGLSYSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYSDDYIQICNYPLSAACCLNRICSALEQKWKIQ >OB02G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8240090:8240377:1 gene:OB02G21460 transcript:OB02G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGACDRGGERAARRRSRRSGRRGTRSRPSSPPKQRVSVGRCLTCFLVLSLPKAPKSEETACMVLSYIHLIVIFRNRRIHYSVYLSHHFGSCIQAL >OB02G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8249596:8253417:-1 gene:OB02G21470 transcript:OB02G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G24260) TAIR;Acc:AT5G24260] MIAYVRDDELHTVGFSDGQTTQLTYGASESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVPSCGGETTWMDLLCGEPNSIHGDEEYLARVNWMHNSAIAVQVLNRTHSKLKLLKFDITSGKREVILEEEHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYIHDKNGVCLGPLTQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWGLPLQDPKRLTQGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVIMPLYEQPLTVQPLQKFQQLSPEIVQIEAKDGTALYGTLYLPDEKKYGPPPYKTLINVYGGPSVQLVSDSWISTVDMRAQFLRSKGVLVWKMDNRGTARRGLHFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFCCAVSGAPVTSWDGYDTFYTEKYMGLPSERKDAYKYGSIMHHVKNLRGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYEILLFPDERHMPRRLGDRIYMEERIWDFVERNL >OB02G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8264728:8269899:1 gene:OB02G21480 transcript:OB02G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10950) TAIR;Acc:AT4G10950] MAPRSPLLLPLLLALELLVLPLPTPPHCCSAAPHVSPSPSPEAEAVTATAATRTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTRRPTGRFSNGRIPVDYIAEKLGLPFVPPYLEQNMRMGVGSVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLALALGEEATTDLFRKSVFFLSIGSNDFIHYYLRNVSGIQIRYLPWEFNQLLVNAMRQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIDYINNVVIQFNYALRYMSSEFTRQHPGSMISYCDTFEGSVDILNNRDRYGFLTTTDACCGLGKYGGLFMCVLPQMACSDASSHVWWDEFHPTDSVNRILADNVWSGEHTQMCYPMDLQEMVKLK >OB02G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8270278:8272627:-1 gene:OB02G21490 transcript:OB02G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFKGAAEHEDPAVLAKETTFSVSEVEALYELFRKISHSIFKDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIDFGEFVRSLNIFHPETPLADKISFAFRLYDLRGTGYIEREELYEMVLAILNESDLLLSDEAVEQIVDQTFRQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGVDDEEL >OB02G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8298800:8300996:-1 gene:OB02G21500 transcript:OB02G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFKRDAQHEDPAILAKETTFSVSEVEALYELFKKISHSIFRDGLIHKEEFQLALFRNSNKKNLFANRIFDLFDLKRTGVIDFGEFVRSLSIFHPETPMGDKIAFAFRLYDLRGTGCIEREELREMVLAILNESDLFLSEESVEQIVDQTFKQADLNDDGKIDPDEWKAFANKNPALLKNMTLPYLKDITMVFPSFVLHSEVCEEEL >OB02G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8304023:8307831:1 gene:OB02G21510 transcript:OB02G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVYREAAMAGDGEHYSWLIRELCALLVAVIDISPSSSAAVAARVSGGVPAAAASMLLGASMALMLCGSVTFAIGFLLMPWVAGVALLFGFAAAVSAGVFGKSATASMENDFTVGYVSTPTKASYYTQKLLSQVSALLDKSSGDKEHDLTPVENMLGNE >OB02G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8308304:8311989:-1 gene:OB02G21520 transcript:OB02G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAAPSCQAPPTSLPLLTPPPALLPFTRRLRLPAAAQSASKGHLFLSHVQPRCSNLEAVGDVTAVPDDYTENMPSSSGYTNVAMSVSSHKDHLAGRVTKTNKTSKDNEKMIKISDKLIGVFMVDKPTPTDWRKLIAFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEVVKYTPSDKINAVVAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMVDDPEERMSALNDAFTPGPELQGENVDTLYTSPEALHTWASAIVDAYYNSREGTLLRQARDLMNPKIIKRVEEIVKIIKDKYL >OB02G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8322091:8323585:-1 gene:OB02G21530 transcript:OB02G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESIISWKDSPPVLFAFPTSDSDTTSALGIRSTYSSLVTFLEYRCLHLTPPRTAAASPPEGSAALAAERPRRACEAASTTTSPEAPLRSLLGSPDPRVVFWKLIKLRDSIHRVHLEITSLCGGGGGSPSPADAAFFRPRAVAAYLGLRYITQIADATITPHPDTLLLLLRMYDPLADLFDLSLASFLPPGAAVRRARRQLLRPTTLSVLVARISARSSKHAGSTAAGGVDEITRYLLDYIKLLLSHSSLLSMILVGDNPERGMESLKDVVISLISSLDASLHKKSRRQHQQQQQGLQWLFMASNAHHVLKQAESSETMRLLLGHGWILERREQLDGHIARYLAASWEPLLSCFQEAADDDDGDHHAGTSRIPLICFGARATSSSPSPAAAARTMITRFNVEFEETWSIHRAWKVEDGQLRDRMRRAVAGTIVPAYREFLEKRRRRVLPEFVRSMPGRGHVPGPERKHRARWQKRASWSGRR >OB02G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8326441:8327217:1 gene:OB02G21540 transcript:OB02G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGSREIPAGGNLAPLAWGRKEWPAADDAAASVFHPRTLSSGSPRGAFSPDQYHDPRTFSSGSPRGAFSPDQEHDLSLAWQAAGGDDALLQSPLGLSVGGWPRD >OB02G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8326829:8330763:1 gene:OB02G21550 transcript:OB02G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMEHFRIKVLSLVIVTSFQMPGPVQGHKTVVPAIFVFGDGMLDVGNNNYVPSDAQQADYPYYGIDFPGSNPTGRFSNGYNMADFIARDMGFKMSPPAYLSLNTSIKMNANFTGVNYASGGAGIQTIINDEATIPFHYQVSNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGTMDLSVNIWMLSRHSPRPSPFNIPNTLSSYKAIIMQLYGLGARKFGIINIQPFGCQPWARQNSNDHVQCNDTMNNLAREFNDGLKPLFSNLSSELSGLSYSIADFYAFSNAIFMNPLAYGFVNVNSTCCNPPCTPENEPPCENRKQYWFWDLSYTTERAAKLASCAFYDGPARFTMPVNFKRLIKIK >OB02G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8334922:8338583:-1 gene:OB02G21560 transcript:OB02G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3LBY9] MDMASIECVSYSDSMDDDDDDAGVSHLPRPILVKPSSAAAAVNVVVVSSGGGGGAAGGGAGAPPPLGAPAVPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >OB02G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8340507:8348548:-1 gene:OB02G21570 transcript:OB02G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVSAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPIRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAIAKNTGARGLRSILESILTEAMYEIPETRTGKDKIDAVVVDEESVGPTNQHGFGAKILCGEGALDLYLDEHNKESTPRRPEKLDGEPDIDTEAPSRVASM >OB02G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8357756:8360188:1 gene:OB02G21580 transcript:OB02G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2043 (InterPro:IPR018610), ENTH/VHS (InterPro:IPR008942); Has 308 Blast hits to 279 proteins in 95 species: Archae - 2; Bacteria - 30; Metazoa - 120; Fungi - 19; Plants - 44; Viruses - 2; Ot /.../karyotes - 91 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G61800) TAIR;Acc:AT3G61800] MPRPTASSSSRPPPAPAQEGELGSSRASSSSSADSSIASLIDRATSTTDPSVDPALLRAIKSAARASDGAIRDAFRVLLSLMSKPHAHALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRPAVEARAAREARTQEILTAKVEQLRQNLAAIKAEIRSTVDEIRNGLDIIRIESEKFDNHANDENEEQEIASLAMRTIRMASLMAGEWVHETQENEAVFDALRESYRLLVSKHLVTVQEWISVLVRVNLADNRFRDSALKELIDVKNEIQAVRNRCSEIGLNLDNVHRRKGVQEEEDDGFWVEGNIEARSPARVDTSTDVASSSKDTRKGKMPVGGAISDNGKAPNVGNRSRKLDPEMAKLHAEAPVLPWSSVLDRWGSNGDAHVNQRGLEFESHWGRVDNDAVIPAAKIAELNVHSSIYKEDPVEILPCRAPLKKGGLCQRRDLKVCPFHGPIVPRDAEGNPIAQSDGHCDEEGNPIGQTSGCYDAAVSPTEQNEDSSDARENMIKPEGSSDGEYMHDSSPSRMANRNSDVSDSEVAPDPRKITGEQLARQAVQNVRKRDRDDKARERAQRARIRQHNQDVLREAALASTSHSAAAYDLPPSTVARRGRRGKTKAPTLASMLKKKVTSKDRIAERLLNARARDATIREVSHSEDMSYREAFPNQW >OB02G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8360861:8361355:-1 gene:OB02G21590 transcript:OB02G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHPERQWRGITPPGGGGGASLPSSNAGASSQFTLKEREVAAGLLMLSGARPAPTSARKGKAKRRLAATAAAPHHPPQSCDDHKCAVCHLGFASGQALGGHKRCHWDRSCAVATAGSSTTSASSPAAKNATALDLNLPPPLPQKNLQDCGLNDTLDLKLGLLT >OB02G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8362899:8363735:1 gene:OB02G21600 transcript:OB02G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSCDRRRDSRAPGIASAPSPPAAVDQESTGCHPAPIDEEEDDDAREERRRWTRERALRLSRQQEEELLLRQQNEQSDQDDEMDSDSGSGSGSDSESEQMASVDGDVPVPLFIPRPQRGTIGLKEEELQRRRLEEELTKKRLEDRKVQTRQILLREITKAELLVGNTAPNEEATNAGVVDTDDEVDQAEEHESWRLRELARIKRSRQQSCTGETATEEDNQVADHRPRKKKKKTKKQMRFMQRYYHKGCFFQDDAADGTAQTAGACEIYRRDFSGPTGLD >OB02G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8367372:8367557:1 gene:OB02G21610 transcript:OB02G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSDGRRRWPEAADFGWGAIDGVERWRNLAQLKEVVRQTIPGTKLFPPNEVLAPFPAERD >OB02G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8367839:8368087:-1 gene:OB02G21620 transcript:OB02G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFISLFGRGRSSNASSRPQQCTMHYLGEPMLLQKVFFLFLSKSQSGHITFTKVFTLNCHINNAFTLDYPSKHVEARGCRRR >OB02G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8371191:8373934:-1 gene:OB02G21630 transcript:OB02G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSASLPLPRFVPLPQPPELAAVLRRGNFDLDAGDFHLGTGIYYCRNGRLLVCNRRGWESTFQLRRPLHPAGDRIAVSTVRTPPLVDNKRHSRYMLLPDRDGDENGGVACTVVTVVSSERDAFAKVETILQTGVWVGARTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYVLGLEFASMSLFVIEVPDSVRDDCPESFQLSVKSSQAEKSGLYLIHVEGFKIRVWLHGTDGNITGNWTLLNTICLREVFGHLVKPSWESGDLRISLPGSGDNAEFVFLEVDGEVFCVHIVSRTVQKVYEMEMKDDFLFEIYPFMMVWPPIFPALVKTHDQE >OB02G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8384236:8390416:1 gene:OB02G21640 transcript:OB02G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G08560) TAIR;Acc:AT5G08560] MGGFEDDEPPSKRARASTVEPASLLDRFSCLKSTPPLGSTMARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRKQVLDGNWDSAVVTLNKVGLLDESIVKSATFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLDIESSNSRLKLLEELQKVLPPTVMVPEKRLENLVEQALTVQREACYFHNSIDGLSLYTDHHCGKDQIPSCTLQVLRAHHDEVWFLQFSNSGKYLASASNDKSAIIWKVDEDGELLLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDAESGNCLQVYEKSGVISCGWFPDGKHILSGLIDHKFCLWDLDGKEVDSWKGQRSSKTSDFAVSKDGKLIISMNRESTIRLYDRETKQERLIEEESTITSFSLSEDGDFLLVNLTSEAIHLWNIRSCPLRVSRYSGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLSGHTGTVNCVSWNPVNPHMLASASDDHTIRIWGLKKASLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >OB02G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8392963:8400900:-1 gene:OB02G21650 transcript:OB02G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGDTKFDASQYAFFGNNVVEEVELGGLEDDDAVDAAFVGPGDEEYPSAYGRDMFEDEGGGSFADVDDFAGAFSKLTRSVNEPTQSGIVSRGGSISRQSSTPDWAQDSYWPTQPIFGAEQGLNNESQWSQPPHLARFADSRLHRTSSSPQQDGQYNPNEPVLGAKPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFISYPPSGTVSSVSPGQPHHMNVPSPAFQMAVSAQNELHNPQFPRGGMPPGPLFGRNMADLDSAGLSTNNLQQNHALNSGPIRANGAMLTPGLMQHNLQRPNGLMPPQILSRRQPHGVAPVQHSPPQFSQFHAQMLGPRHSPPQSLQMYNPPRPSQLMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFEQRMDNGWPRFRSKYMSTSEIENIARMQQAATHINDPYIDDYYHQACLARKSAGAQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDITEKSTSKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPGKNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDRSAAETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGQAAGDGASVIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSAIHALQTQPAAAAVISREMPVELLRASLPHTNERQRKQLLSFAQRTVPVNNHSSHGSDSRPITSESTQRSVGRNI >OB02G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8405594:8406143:1 gene:OB02G21660 transcript:OB02G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAGGSIAHYVAARGGEHGSLDPLSNPFSARGCGEGQGRRVLAVYLPRGSPGLDDPLSNPLLGNHRQRCRLRQELGMYLGGEKSWLFTTTLLVLQRDENDDGGGLIDAGIQGLRADRGAKGRGGPAARVRGVEGVWEDHTAQSCYRVLS >OB02G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8407134:8413447:-1 gene:OB02G21670 transcript:OB02G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSDVPNPSDPELVERLVLDLLDPELKGHALSELRKKREMFQDLALLLWDSFGTITSLLQEIIVVYPALSPPTLSLTSSNQVCNALALLQCVASHPGTRSRFIEARIPLYLCAFLETDSKTRQFEYMRLTSLGVIGALVKADDPKIINFLLENEFVPLCLHNMTIGSELSKTVATFITEKIVQDDAGLRYICANADRFYAVGSALATTVTSLVDQQPSKRLLKHIIRCYLRMADNPRGYAALQTCLPPKLKDGTFNSCLRDDPSGRHLLQQLLNKVISGRNVGQAHNSATRMSWG >OB02G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8418071:8422139:1 gene:OB02G21680 transcript:OB02G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirohydrochlorin ferrochelatase B [Source:Projected from Arabidopsis thaliana (AT1G50170) TAIR;Acc:AT1G50170] MHTTISTPHQPLAAATHTSRPTSWNSDVGNSISFIKLPRTGPSIRNLAMSATPNSGSSSGTAGESYTVGEKDGVIIVDHGSRRQESNLMLNDFVAMFRTRTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNVPYIVTAPLGLHELMVDIMNDRIKYCLRHVSGDVDECTVCAGTGKCRLYS >OB02G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8422919:8423092:1 gene:OB02G21690 transcript:OB02G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYVVIESPNKHIYVCLYLLKTGWIITYGLTLEECSDGLNSSETCTKMHSSLMIKNVK >OB02G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8428168:8436402:1 gene:OB02G21700 transcript:OB02G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRTEGGSSAGDGYGHGRQTVDDAIEIGAGTHIQTKWLIDGNKTKKDQNDILVQGGCISISWEWVDGWAAVANIGGVPSLCISMNFVLVWVSLRRCGFRQQQLKQKRKKGGRFAVHMAKLPNSIGRSAPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHKKNNSDSSAEKIPKIYVPTNPKGAERLPPGIVVSETDLYPRRLWGDPSEDLNSEPRYLVTFTVGISQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIGLVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYISQGITPPNSTLV >OB02G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8448751:8450748:1 gene:OB02G21710 transcript:OB02G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPKYVSWWLPLLLYGFLHLVAGAGLEDDGQFLYNGFAGANLTLYGTARIMPNGLLKLTNGTVQQKGHAFYPAPVQLRRVSPPNGTERAVRSFSSSFVFGILTADTQDLGGHGIVLVVAPKANLSAGLPSNYMGLFNSTGSVGSASNHLFAVELDTIQNLDFRDINDNHVGININDLASRSGEAGYYDDRTGQFHDVTLISGNAMQVWVDYDGDATRIDVTLAPLGTKKPARPLLSATHDLSTGIAGESYVGFSSSTGTLSTQHYVLGWSFGIDMARLPKMPKRRSGGPRSSKTTMVIALPMSSVLLLLFIVSCFALVRKRYGFVELREDWEVEFGPHRIPYKDLCRATEWFKNKNLLGVGGFGRVYKGVLPKSHFEVAVKRVSHESRQGMKEFIAEVASIGRLRHRNIVQLLGYCRLKNELLLVYDYMPNGSLDKYLYDHDNKPVLSWAQRFLIIKGVASSLHYLHEEWEQVVVHRDIKASNVLLDSEMNARLGDFGLAKLHNHGAELQTTIIAGTLGYLAPEITRTCKASPLTDVFSFGAFLLFEVTTGRKPLERDAEGGGMRMLVDLISAHLVRETPMDVVDPRLQCVYDDHEVNLVLKLGLLCSQPLPELRPSMRQVLQYLDGQSPLPELMASQTSLSMSSMAEGQVTVGQLSSFSSGR >OB02G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8457901:8459946:1 gene:OB02G21720 transcript:OB02G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIVNPVCIFLCLQCSLLHGLHLAAVAAAASGDQFAYSGFAGVKDLALDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLLFGDSSSLEGGEKKKAVRSFSASFVFGIITASPGVGGHGIALVISPTKDLSSGLASTYMGFLNTTSNGDSRNHIFAVELDTIQSPEFSDINDNHVGIDINSLASSNASEAGYYDDNTGEFKSLTLVCGKAMQVWVDYNDNDTQIDVRLAPVGIKKPVKPLVSTRFNLSSVITNEAYVGFSASIGTMTSRHYVLGWSFSVGTLAPAIDIDKLPKLPVRRTKKSYPTKTMVISLPIASVVLVIAVAAGIFLLVQRKFQRFVELREDWELEFGAHRLSYKELLQATEGFKNKNLLGVGGFGRVYKGVLPTSSSEVAVKRVSHESSQGIKEFVAEVASIGRLRHRNLVQLLGYCRLKDELLLVYDYMPNGSLDKYLYSHDDKPTLNWTQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPPTDVFAFGAFLLEVTSGRRPVEQDIEGHRLMLTDWVFQQCSREQILNVIDPRLNGEFNISEASLALKLGLLCSHPMSNIRPTMRQVVQYLNGDMPLPEMSPMRFTFSMSALIQNQVFDSSTKSLGTISNLSIGR >OB02G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8466841:8471224:1 gene:OB02G21730 transcript:OB02G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAAAAGGGGGWFSAVRKVFRPSSSKDAAAAPKGGGGEEEAPAAVPEVLLLEHFPAGGGGAGTETTSSSPEGTVNEAAGKTGGGNDEYGEVERARALAAAAEAAVAAAEAAARVVRMSALRRASREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRAHRLTDHLHPAATHSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPRAGGRRAPPATQPHARSRSGAVLAGDSSSSGQSGRGSGAGGGQPCTAYSPSPELSCTGEWTSPRLAVGGRATRLLYT >OB02G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8472173:8476335:-1 gene:OB02G21740 transcript:OB02G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPAAGGEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDAGGAADEGVEVCCFDNRGMGRSSVPPHKSHYTTVIMAKDALALMDHLGWRKAHLFGHSMGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAVVDLDVHYTREFLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGFEGQLNACWTHKLTQKELDRIRSAGFLILIIHGRDDVVAQLHHARRLAEKLQPAAKLVELHGGHLVSHERSAEVNMSLMEMIKASKSNTDQEEWSNLPKKSNDQLLAGSDNRVARRECNIIVLYNLQLILLFLFGAFYIILEHARRVLRVLKPVRVSATSL >OB02G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8480787:8484564:-1 gene:OB02G21750 transcript:OB02G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTRWAQHPPSDLNPTGREEAKRPTSSPRSVPRRRRPPSLAPRRPPPASSSPDPMSALFNFHSFVTVVLLLICTCTFLKMHFPSLLVRRTGFRGFFWKAARIGERLSPWVAMGCSVMGISIIFY >OB02G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8484053:8486682:1 gene:OB02G21760 transcript:OB02G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREGDRAQTKGCTKNKKAKESRTLAHPVRRTRREGKCILRKVQVQISSSTTVTKEWKLKSADCIHHPRTAPPPPSDQKAGGARKGEGIRFYRLGRRRAPQDKGREEQGFGGITIGSGEEEAGGGRRGASDGGRRRRGTDLGEEVNQIIYGAGLWGVGIETNLRAVDDASVCEIPGKLRSEDILWMRRPPLLPSSDAAVRLLISRACQLRRCPRHGHGGRDGDQAALCLRDGMQWVATGFRLC >OB02G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8492198:8492630:1 gene:OB02G21770 transcript:OB02G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDHVRLNEGVVDEDDAAAHDDYIQFEDEDIDKI >OB02G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8507279:8510932:1 gene:OB02G21780 transcript:OB02G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGGGGGDAGSGGSAPVCSFVRKPPKNIRKRPTAPAGSDDEDDDSGAIAAARAKKAPSSTSKLFFSSADSSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPGSASGSGSGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMRGGDGSDEEAGEEDDDDDDEEALPFACYICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >OB02G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8519750:8523731:-1 gene:OB02G21790 transcript:OB02G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3LC12] MVSPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYLALFLAFSLGAVRRRVLLLLAAEVAFVAAVAALVLTLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVAQLILYAIYYKSTQEILEARKRKAVAMTEVVVDSGDAKNNPSSGAAANGHY >OB02G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8582584:8582955:-1 gene:OB02G21800 transcript:OB02G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNYLKMSRVFVPLIGFQDQIWINKYELRVDQGETGATVTQYHHYNHYCEGIFFASIDSIMTGITHRFNDVSMELLVHFSCLDPTNNFCKFDEVKLARLTEIYAEDFSMDYCVVIKHEHQN >OB02G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8585386:8586449:-1 gene:OB02G21810 transcript:OB02G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSISIKISTSVLISVSPPFIISISILVSIAAIITATISIPIPGSVSIPISVTAMVTIPSTVIVTATVIMAAVMVAVPSALVTAVAATVVPGRCGGCGSGGLFGVALELEAEGAGAVGVVGAGEAGGLRXXXXXXXXGARVARVGGRREDGVDDSEERADEVVREVGAVGLELGVEVGDGGGVAAELGDELGEHLVADADVRLQLRAHLGDQREVLQLAEPVVAGPRRTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAVGAVGEVRRWRWSES >OB02G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8586249:8590979:1 gene:OB02G21820 transcript:OB02G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGSASGVGGGSGKRLGLSGIMIAEEDEVAPISRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDIVSAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >OB02G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8592887:8600044:1 gene:OB02G21830 transcript:OB02G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGAGLDKNGPDPEIENRAKRSPGPDPDGSHDSNRGPWCLRRKSALREMGDSPPLAGEDPKLKEHALAELRKKRELFPDLAPMLWHSFGTIIALLQEIIVVYPALSPPTLSLPASNRVCNALALLQCIASHPETRRHFLQARIPLYLCAFLQTESKTRPFEYLRLTTLGVIGALVKADDKEVINFLLQYEFVPLCMHAMTTGSELSKTVATFVIEKIVLDDVGLGYICATADRFFAVGTALASMVTSLDDKPSPRLLKHIIHCYLRITENPRGLEALQTSLPITLKDGTFNNLVKDDPTMQEWLQQLLVKVTSGKVGGWPPSVLGGLPKI >OB02G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8601424:8602557:-1 gene:OB02G21840 transcript:OB02G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSIVVPSSPSPLQHDALEHEHAVWEVDAEDDGLFAPPVDRELEEPRLTDARLGEARVEACADELGQQQLRQPNLAKEGFELGMLRRVAQPVIDIQVALLNLNMQEHVNDFRYEALRREAQIGGSTARKVLSYLTPHNPLQFHAAMSMKLSGVLEKMNKLVVVKLLLEQRDYRGIFVPPSWQKQQKCLE >OB02G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8603616:8608385:-1 gene:OB02G21850 transcript:OB02G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTTLIAKLTTLLMDQYKLQRGARGDIMFIKEELESIQAALEKLFEVQVTDSQVKIWERDVREQSYDIEDIIDTFMVHVETHLLARPHGLLEGFVKGSLSRLKRARIRHSIATDIRRIRRLVNEATARRERYKVDSIVAAAKMETKIDPRLVGIYGEAAKLVGISGPKEELARLLMESEGTTKNKLKVISIVGVGGLGKTTLANVIYQQFRQQFDCHAFVSVSLKPDLKKILGSILRQFSQQGYNWTEAWGAEEIINQIRDEIKDKRYLIVIDDIWEKPAWECIECALIENDCESRIITTSRVLDAATPSSSEVDHTIYKLQPLSYDNSKKLFYKRIFCCEDGCPSELKDISEKTLRKCDGVPLAIITIGSLLATRPQNINQWDRVHNLIGSGLEKSHHVEDMRHILSISYYDLPADLRACFLYLTIYPEDYNIQRDQLIRRWISEGFILGENVDALYEQGGNYFSELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFLTVLGGQQCTYYPNKVRRLCLQSSIYGYGHTIQQKKVKWPRLRSLILFPHATNLLPSLSRFRILRVLDLEGCQDLKCCQIEGICDLFHLRSLILKDTNISSLPPKIGNLSCLHTLDIRHTVITELPSTVVYLRKLVTLLIDASVKLPDGIGNMECLQEISLVGISKSPNFLKDLGSLTELRILQISESSGVWYKSYEKTLSDSLCSLHKIHDLYIHGCKLSAVFVSNIRCFPQHLRYFSCGQLSMLPRWINSSLLHLSTIDLILNILRQDDLQSLGALHFLHCLRLNVFKIEPERLVVGTGHAKFHNLAEFSFTTHALGLIFRQYSMPRLENLELAFNVQETMNFDIGLEHLSSLKAVTVRLDCRDSRIFEVQSADAAIRRIAYMNSNQPNVHVIRHYEHNMIKDDVKVQKETKEGKEILENKIGPWGGNGGVTCDIKVASKRLESVTICSGIIIDALAFSYFDKDGERHTTSLWGGLGGSVQLINLDEREYLVEVIGTVGPFNVSSEAITSLTLVTNVRSYGPFGQPQGTPFRTPLKKNSCIVGFFGRSGTYLDAVGVYFHPL >OB02G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8610109:8610432:-1 gene:OB02G21860 transcript:OB02G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTMTEPMMLVRSKKIYLCNKFFHDKKFPAEAPACRHGGRVMGQPGTAQAQATALRCAAPLRRRRQPPQEQDAPSLSPSESMSPNPRRALPDRRDDTSMANPLDPW >OB02G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8612149:8612487:-1 gene:OB02G21870 transcript:OB02G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWSSTVRGPTSRVVRMSATSHVSSLLRRFNNIVVPELAPVVYEFTTEDITIVESGLNSVVLASNTVSSSPRHPPPFRHPVLIVLLSCPHSRYRLASSSVAVALAITERKG >OB02G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8614911:8615398:1 gene:OB02G21880 transcript:OB02G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGNGNEAPAWRFGAASPGLEAARAQSIRALVYRMYDCLDRGDARPVAPAFACFRTAPAATDAVAAAAASGAHNTYAPAAGLAEACRYVYTHELLFSVCDFFTIYLLWFFKVPFHNYESSVSLDITDLIYAATSRNFIGVIRTKIYKFAYKFMLKWMNC >OB02G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8626910:8627131:1 gene:OB02G21890 transcript:OB02G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGPSSDQMFENFNSINKIRSLLLDNNLKATRKLKKITYMPSRSPHILREEKNQLDTNINFTIYTKSTKIRP >OB02G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8628480:8629579:1 gene:OB02G21900 transcript:OB02G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYMRMCSAVAAHLSRELPYEVSPADVVLTAGCKPAIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDREWEVDLAGVEALADENTVAIVIVNPNNPCGCVYSRDHLAKDRGDGEEAGDHGDQRRGVRPLRVREQAVRADGGVRRGRAGGDAGRHLQALDGPRLAPRLDSRHRSQRNPQEEEGVHSLHLPTNHRYAMETIDGAGGFARDPREDGGGVLQRGAGRGEAGRGDMLREAEGDRVHRLPSQAGGLHVRHGEAGPLAPGWHRG >OB02G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8632813:8646496:1 gene:OB02G21910 transcript:OB02G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3LC24] MAAMAIDRDHGPASSPAPPARLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAGTPPRRRRRAGGEQCAAPAVASPRNGRRARRRVEKEIEVEDDAARRARRRKSTRAAPKAAPAAAEKAAAADKEDKSMALVLASTDAIRGADALEQSGWEDLWERVVELVMWKNVAKSALWFGLGSMFFFSCSFSREVTFSPISVLCQMGVIVLGLAFIKDSVHQKQQVERGRSFRLTEEDVLRAARAVLPVANSMISTAQVIFSGDPSMTLKALPILLFGAKYGSLVTVWRFLATGFFTSFAIPKLYSCYSSQIHKRVEVLRDQALEAWKSCPRKKLVAGTAVTMCWNLFSVKTRIIAAFISIVILRYNQKYRKAFMNTEHESCPDEQGQKMEIED >OB02G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8649927:8651231:-1 gene:OB02G21920 transcript:OB02G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRSGCMATQHFPAPVSFWPGPARPDPSSSVAFVDKIPLQFAARVKRDAGAPHHPVMCVFLRAQDTIQAWVNLKKTYY >OB02G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8654763:8656196:1 gene:OB02G21930 transcript:OB02G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLDSLMKKNIVLLEAEVKLKFRDITIEAKDDSRVLRNYKNAHMILKIMLRQLLPDNVPEDIKHLLELMSSSRSCQMGYVIYTHASLVPLGNRFQFFLQMYEKLKSVLGREAHKHILDDLPYGGDWHKKLEDNHLLEESFQGSRTPYDINGGPIVLLNFYRNTLMHRMERHGQPLKYSSDKKLKYTADEFEEILAVTFPLYLPKIQEVLEESKMLRALHLENLFTMVAHSSHAKYIYTEISYQNTEPLLEYIVRVLTVYKNVKSAGEKNGSRECWILTEEAKCLIRSLLKALYVFHHHGLCPGQFDESNIVILTKTGKAKFTRISVEDKDDSRILRNYQDTHAILQRILHQQPTDNVPEDINHLLDLLSSPRSINMGYLIYTHASLVPLGNRFQFFLQMDEKIMLVLGRAAHKNILNDVPYGDNWHKILQCNPLLKESFHIRRNPYNTNGGSTVFLHFYRNTVAHRMEKNGQALKN >OB02G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8658236:8658460:1 gene:OB02G21940 transcript:OB02G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERQNNRDPLRTLEKKPKNSRLLDPPQALSVVGLRERAVAAPAAWSGGQIATKSATGGLRRREDERWKIPD >OB02G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8662588:8662950:-1 gene:OB02G21950 transcript:OB02G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQLLLLALVLLSSNRLARASIESSITPEADCSPAAIMIGDKCTAKVCQDKCLALGATRGKCIEGPACNCDFCGPNAPPPSLLQ >OB02G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8664388:8668616:-1 gene:OB02G21960 transcript:OB02G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSLEVGQGTYSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREMMILRRLDHPNVIRLDGIATSRMHRSIYLVFDFMYSDLARLVCRPDGRLTEPQIKCYMQQLLAGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGPARRRPLTSRVVTLWYRAPELLLGATDYGAGVDLWSAGCLLAEMFSAKPLMPGRTEIEQLSRIFTLCGSPPDDYWRKMKLPSSFRPPRTYKPPMMDKIGFLPPAALALLATLLALDPAARGTAGQALQSSFFSTPPLPCDLSSLPVVYKEEEEEEVPDPAASRDGRKPKLRERSQKRRDSKKKAEEQSSYKGQNHNSNSPNREEKAMEDTTKSGQKSTRFSQVQEMLPPEGSPASQAQQVPRASTYHAVMSEDHRSNPMAALVPRDHDEQKTSRRHGGPEIRSASISEHEALWSAGGPSNAKQIVVDRV >OB02G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8678315:8679928:1 gene:OB02G21970 transcript:OB02G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43820) TAIR;Acc:AT5G43820] MARRLPPPPPRRYSASVSSSAEDMVVSSLRVLSSAMPTKPAALPPPTDPDPDATATTPTLAATTLLSPAERLRGVFLLKPHGHAALHGALSSTGVDAAVALSPEVLSDVVNHGNFSGAATVDFFGWAITNSKLSPPIDTCNIVIRALGRRKFFAFFEPILEIMRKNNIFPDISTLEIIIDSLIAARHVNTAVQLINTDHFGLGVWRTCHRKEVFTVLINCLCRRSHIGLASSLLQATRGETIDLDTHLYNEVIGCWARFGRVDKVEHFWAMMLDDGVVPSEVSYCHLIEALGRANRAEEALQVFEKMVNEGYGPTTMAYNALIFNFISVGDLDRSIKYYKVMLDNNCPPNIDTYCKMIRAFLRECKVADALQMFDDMLARGILPSTGMITLFIEPLCTFGPPHAALLFYKSSRKAGCTISIKAYKLLLERLARFGKSGTVLQIWEEMQECGYPSDKEIYEFIVNGLCNVGKVDAAVSVVEESLRKGFCLGRVVYGKLNNKLLDMNKVETAYNLFKKVKYVRALSNSRSYCRANGWHF >OB02G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8684458:8685227:1 gene:OB02G21980 transcript:OB02G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSILDVELVNGPLAGESEGEHCANCGGLDDETECLDKVIAWTLGETTKNPTCILALQRTVSKELVLEDPLLGENVGVLSRCTEQGPHVVTQGSLVETTVPHMAWSPCRDVQEKPGWSRMAQHLRVGVQEILVASVVQGAAAHSHEGVRQPYGDGPWVREFI >OB02G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8686933:8687763:-1 gene:OB02G21990 transcript:OB02G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAKARADATTTIDPIDLAVGGPDHDMDVSHAMLFHEAAAVLNLHTQAVSMQNTRNVVPVVLDTSSGNYPTTSALPDWTWINVVVKSWFYSTISADLANVVIDHRTTTHEAWIAIEGHFLGNQETHALHLDAKFRAFVQGDLSITNYFKCFKKMADDLAEHVTDRTLVLNVISGINECYKDIDVHFRRGHPFPSFIAVRNELLLEEINMMSHPTTPSTALVATGSSSTRQSTPTNSGAPQSDDSKQKKKQKD >OB02G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8694124:8699055:-1 gene:OB02G22000 transcript:OB02G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWILRLMAAKRQYALQRAQHENHHHAATVSHSHLDRYGYDDIQPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVACPVCSARISKDLLDHITLQHSYLFKLQKHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNASSVTDSLLSSLVLNLSSSETEDTAKFCAPPVVENNWFKRALPSKTWKSSSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >OB02G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8700178:8701316:-1 gene:OB02G22010 transcript:OB02G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRKWVHITSSRKFRTDSSIVVKTTSCKNPSDVAASTRSTTSLVVMARDKSPVGTPQGGGSGGGSSGDGGSGVHGGAMVAACKVVSMHYPMLSDTNYDLWAVKMKLILRHLRAWGAVTVRFGPRN >OB02G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8701252:8702077:1 gene:OB02G22020 transcript:OB02G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESVLNLRELVMCTHLRNDPIAAVLFVKARAAAHRWDSPYLLFLHSPALAVKSATPPPEQPLLAAKQGSPDTGVNAATGMSSATFRFSAEAMRALLSSVESGTTPFAALFWLRVACGAAKERELTLTLDFRKRMQAPPPTGYPYYGTAVHFAPARADLSSGLVAAADRRVSTLSEKELWPAIEWLHTRQAAGDEPFQMDGPKLTCMALDHVPLYGAKFVAGAPPVRAACRNREVTGEGLVVVLPSAEGEAARDMVVTLPAEAMIRICHDG >OB02G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8704835:8711833:-1 gene:OB02G22030 transcript:OB02G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDIEILMNRYESTKKSQSKSFPESNVKALQDEVQLLQKVVRKVNENADSIESLANGAEKRVESLTLEVKKMEGIIAEQWIQIRQLEQAFVLTKMMASKVHQRSRLSDSAYKWPGKDLVLEYVRNLHGTLLMGVSYTRSCISHTYKHCRSFVQAMNRPYNEVSRFYKAICSQYIRDADNPNVFFLGGSISPSCISLPYNHFKMSMISAQKFHHKVQIFLQDAMRSNSYSRGFATELITFCLAYFVIISPMWILWFLYSTRFVPKK >OB02G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8712827:8714720:1 gene:OB02G22040 transcript:OB02G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPEPELFDLRPQGVGGARVGGGCGMGGGGEERGDGEQRHHRGGSGGGEAEPAGGLGVCAAVSKYERESKGSGIPTLLIYPTRLSLDHMLHKVWKRKTRLEPSNLQLQLAKTAPHHRHSRRRTEPYFNLGNNLLTETLALALALVGEKLSKAEYKPPYSTSNTQERGNNE >OB02G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8717839:8718165:1 gene:OB02G22050 transcript:OB02G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATTKHREDGEASASNIAVWACWRRRSKAGRAEDGTTPTGGATCRCPDEEGGGLDLAHVGNWAGLAQPGVVREGERRGGGSELGREKSWAGPEKREGGKVGAGETG >OB02G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8722975:8723322:-1 gene:OB02G22060 transcript:OB02G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVRPSRPLGRHPSSRSPGAPAPRSTALPIDKLHVSRTLSNFAFSFANILMLTGVTVTYNTGLRYGGPVSMSLGWLLVALFNGCVALSMAAICSAYPTSGGLYYWSAKLAGKE >OB02G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8724814:8731189:-1 gene:OB02G22070 transcript:OB02G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRAVRYKISASVDDLGPRPVLPLAHGDPSVFPEFRTAADAEDAVADALRSGDFNCYPAGVGLPAARRAVADHLSHDLPYKLSPDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEARAAFNNLEVRHFDLIPEKGWEIDLDSVEAIADKNTAAMVIINPNNPCGSVYTYEHLSKVAELARKLRILVIADEVYGKLVFGSTPFVPMGCFGHIAPILTIGSLSKRWIVPGWRLGWVAICDPKMILQESKIATLITNFLNVSTDPATFIQGALPRILKNTKEEFFKRIINLLTETSEICYRELKDIKCITCPHKPEGSMFVMVKLNLHLLEGIHDDVDFCCQLAKEESVILCPGSVLGMENWVRITFAIGTCSLLDGLDRIKSFCLMHKKKIPLNVI >OB02G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8733955:8739207:-1 gene:OB02G22080 transcript:OB02G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDAAILAVVVAFLLPLRLLSLLVRLKSTGTAGDLRRSCTSLAIAAALLAAIFALPRDLNSGQARECAAAVHDDGDAGGGLRGEVWSDIEQLKLQLARLESLWDNNSKPLDRKSDALEEDVEIVRAMGLDIQSLIDEQENIKESFCGSHSDKNIKAMEEEVQNLSDESRKMNSNIHNIWSMVKDTDKRVEALHSDVKMVQVLLDEPRQMNSDVRELWLLAKDTERRVEALHSDMKKVQILIDESRKMESSMYKMWSFAKQTEKRVEDLYSDVKKGFKQKGIKVPSWMDW >OB02G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8758304:8759416:1 gene:OB02G22090 transcript:OB02G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSSSSTSAIVAGGASGSHVLRIDGYSRTRALLENGRFTSSSAFSVGGYNWTIKYFPNGSKTTRGYLSIYLVLDSAGAKDVKAQFSFGLVGGDGIIPVPSYVSRCSVATFPCRGADWGFTKFIKHDELEESEHLIDDSFAVRCDLTVLKEKEIRLEETRILQRKKFVAVPPPNLGQQLGEILKNMDGADVVFEVGDERFSAHTCVLAARSSVFKAELLGAMSGGSLRRPLRIEDMEPAVFRRLLHFMYTDSLSLPSAAAGQDELAVADVVMAQHLLVAADRYNVERLKLMCEERLAESVGSCMVATVLALAEQHSCHGLKEACFEFLASRPNLLEMMASDGYEHLKSSCPSVLMELTARFLPPEPKKK >OB02G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8769708:8770856:1 gene:OB02G22100 transcript:OB02G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDCTTFSAIIAEAMSGSHVIKIAGYSRMKALVSNGESLTSIPFSAAGHTWVVRFYPNGDSAESQDYLSFYLILDSANSYDVKAVFSLELLEHSKNGRPAAPASYSFTTDLRTFSYKGSLWGYNKFIHQTALEEEPSPHLRDDSFSIRCGIKVFKEIYSTETQGGDRKRFMEVPPSNLRQNLGSLLENMDDGSADVVFEVGEERFSAHRCVLAARSSVFRAELLGAMKENAHRQIQIDDIEPSVFRSLLRFIYTDSLDGMAREEEEAGEEEDLVMAQHLLVAADRYNVERLKLMCEERLCESMGSAMVATSLALAEQHGCHGLKEACLEFLASPSNLLEMMASDGYEHLRISCPSVLRELIARFQTLDMKATREITLGLS >OB02G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8771225:8773726:-1 gene:OB02G22110 transcript:OB02G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic protein of unknown function (DUF872) [Source:Projected from Arabidopsis thaliana (AT3G29170) TAIR;Acc:AT3G29170] MWCLCHRVLGWGGDRWGEDIGSGGPHHLQFRGARGYRVKGGMWYGRGGECVALAYFIVIMAARRTVRGYAPLPTENRHDNSLNDDVDLQFAYTPKSFRKIPWKSIALALFLLLLGSSLLSLSYFIFTGHIEGDNSQAYGLLFLGILAFLPGFYETRVAYYSWRGAPGYTFASIPDY >OB02G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8785949:8786767:1 gene:OB02G22120 transcript:OB02G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQQGTFFLALALTLATTVFVTASGQQQPAAGGEAPSPAPAGPLNLTEILTKATHYNTFVRLLKDTEVSSQVSSLLNNDRNADGLTVLAPTDAAFGRLRPGTLNRMDAQAQSELVLFHILPKYYGFVTFETTTNPVRTQASSQRGVCTVNITTAGEEKVNVSSGVMETTLGKPLRDGHPLAVYSLDDVLLPPDMFGPGAKKNLGADAPTAAGKPGKQTASSSSSTVAATPDEAPSKEADATATAAAGRIAIGWPVFAAAVTALAMSLL >OB02G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8787333:8787557:-1 gene:OB02G22130 transcript:OB02G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSNIFNSALTFCQTRSTPETPNPKKSWSWRPTPRFSWSSSRGSPKTNLINQNMELRGNYPPIPPLHTYPFTKEKK >OB02G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8797500:8798850:-1 gene:OB02G22140 transcript:OB02G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQCCHAIVGSVARQCCHATDNGVASRLATVNRGFEHLRLADRSLWLPLQQATHISSKTVKENRHNRLGLRVFGTEVTHLDANNLATNTSTSVAIES >OB02G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8801707:8802744:1 gene:OB02G22150 transcript:OB02G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCTVVVLLLPFIAAAAAVDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAASAMASPCTVVVLLLPFIAAAAAVDDAAPAPASPQLNLTGILEKGGQYSTLLRLLNATRVNEQLSSQLRSTYDGLTVFAPTDAAFAALRPGTLNGLTDQEQVQLMLYHVLPRYYSLTTFQTASNPLRTQASGPGGVYTVNVTTTTGQSLVNVSTGLAAVPVGTTLFAQFPLAVYSVDGVLLPEQMSGAGGKANKAPAPAPASAGKAKERRKGGSVPKNEVVATAPTAGDGEDSAESTNAAAVAGAGVAWGAVWGVALVGVVNLVMA >OB02G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8807916:8809736:-1 gene:OB02G22160 transcript:OB02G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHYDADGDFSRYMNFDDMEFPTFDEPGAAVLPPVPVEPPSCSVPMAAAHVEPTSSSVPATHVEHPPADLGLGHPMLVDLGIDVDLDFFPEMSFQPQPSAMNAGGYVHQVPEAHLPHHQQQPLAPLPAQGLDGGQSTTDAARGNSAALPGDHGFFYDPLPDAGPSTDFNFMDLSFSIDDVNIDGVLTWDSYDAMHGGGGGGAGDTPPVDEYANFVRFQPGDINCHNCHLVREVMHANDTRTIYFFVHATGVGSYQHLIVDRRCPAVADGHVPGSELLYFDLTNYTDELASDFITSNVEKLRNDTCGQFLDTGYPNFLAAMELDMLQAIESAPSDQEAPMQRHSRRRLRLLQQQSFQWRRQRRRREKRRKRRRVMLPLLLLLLVLLLRRCCFTLKNSTPRRTAVPCRGQTQGSYSNLLKWHSKMEVAAAPLLPCTRAWKPKRGRGR >OB02G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8818942:8819786:1 gene:OB02G22170 transcript:OB02G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLAHFGIAPSQLAPNGWRVLVGFAVLCHFRRVAPSLPVFRHFFTLAPLPKGKGWYSFRARESVPALFTGLPSSVKAWKEEFLFVSPPPGAPWRCPVRWGTPSKEATSDPALTEREAAVARGLTQGQGVVDLRTYLSESNLVAAKISSAPAYLGTEASSRGSSVAAGKKRTEPSGGGVLRSELQAKDKALAKAQGEISRLKAELGNAKARELAEARQALEYERRLGTQVIMSEGAGSRSHGAAGASKRRRGGQ >OB02G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8824141:8824705:1 gene:OB02G22180 transcript:OB02G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLVEMNSHANPTRRRTDGSILIEKILLPSQLEVKAWEVKHPGLFKRHFGRIDDNKAHALDMKIKKQRLPEITLEMRRSDLTKEVGKAIAELVE >OB02G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8825419:8829282:-1 gene:OB02G22190 transcript:OB02G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSSPRLLLLLRHRHRLPPCARNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPDDDGDGPVELRTPTLFSIDENPTQLQTATSLLLTGAISVFLFRSLRRRARRAKELRVRSGGVEKPNNLRQEALEGLRLVSASPIEVDKPPSPVQALLGGIAAGVIALILYKFTTTIEAALNRQTISDSFSVRQITITIRTIINGICYLATFVFGINSVGLILYALQLTFASIMGDDDSSSSAGKINEQSNTAAPSNSSTNATSDGESTSSDKSKSSTE >OB02G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8834404:8844505:1 gene:OB02G22200 transcript:OB02G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADALESYSQIMNMICKYILLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGMVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRIAYDSAAEVYASAFDRTKQADEDTLRDAHEAALRKAFDAYSTAAVGTGTSRMHYEKVLNNFCRKTFQEYKRNAFLEADKQCSNTIQIMERKLRAACSAPGVKVSSVIQVLESLLTEYETSCSGPGKWRMLASFLRQCLEGPILDLCLKLINEAESERTSFALRYRSNEDQLDLLKKQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDRFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRHKYETAAAQAKAALERLASVQEQINKIAQERESGIRAEFAAHLEEKEEEMKRLVAKIRHAESEESLLTERLQVAESKAQSHNKETAVLKDEIRELTSKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKTAEKEAKRATGFSDVARSEAITAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKLNLLDEVQKMRKSETDALSKVALLEGRVAEREKEIEDLMMQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTRDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKLVLGK >OB02G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8844891:8849509:-1 gene:OB02G22210 transcript:OB02G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSTMGGMGGMGGMGGMGGGADRGMRGSKFGMFGDDIFGNFSQFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTKKMKISREILDSSGRMMVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLAMTQKISLAEALTGCTVQVTALDGRNLTVAINNVVHPGYEEVVPREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >OB02G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8857959:8860868:-1 gene:OB02G22220 transcript:OB02G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKEVPSPLELHKMMDWRDELLIRLGHPCIFENGMFVPDPNWDPYAGFSPKLQSKYLFVDRTNFHNVDINDFLGLNRVCARNIDIVHPRNGGFTCGSQDGLKVFLHSIPGLLNHVILRKINQPYLKVVEPCYPQEGRKINQSHLKLSLN >OB02G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8861952:8862359:-1 gene:OB02G22230 transcript:OB02G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPRRRPPPPPPPRVSSSEETASDKSSSSSSEEEEEEEAVLNKGEDSNKGEESDSSDEGAPPLKNREGEEEDEPSKSEKAPQLPTKKQAFQRIWSTEDEVRILEVLPWTQN >OB02G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8865765:8866701:-1 gene:OB02G22240 transcript:OB02G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLRRPKATRGSAAQATSANAPARQSLFARNLIFEATRDSQLAAAGVSAPLFHGLPPGSRDSMYPPGGFTNFLQHNSFLNNQGASQLPENSHFVGATSTEHAVSPTENISMDANVVGLVEKEPIDIDGDETPQAIRSEIRLNWTRKEDERLASAWLLNSIDPVDGNNKKLDRYWGDVTTTYNSTTASNRTRNRNQLKIR >OB02G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8889737:8889997:-1 gene:OB02G22250 transcript:OB02G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERKEIEPAVDPAPGGEEEEEDWLNALLRRLGHPFTVDGEGRSVPDPDWCPQACLDPNSVLFVDRHNIGQVDVDAFFRRQMYVY >OB02G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8897620:8898453:-1 gene:OB02G22260 transcript:OB02G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHLQLQADAGAAGHSHVIIEMPQLDATSQSDAADDHNHAMEEMARIDNNGRRRSSCSAADDENHVVIDIDGGTISSSDETPSCVVCTEPLEWVAVGRCGHRAVCSACAARIRCPPKPDLQCCICRTLCPYVVVTKVATTAAADGEPSFSDELPAASQDGRVGDYWYRAAMSAYFDNEQQYEAAAKAASLKEHPSASSVADGEHGQHSRMPPFLIYCLYTALLGVAIGLMFAMDATGWGQTLVILSRSAAVSVAIVLWFLAYYGKSRQGEQQQET >OB02G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8903610:8907975:1 gene:OB02G22270 transcript:OB02G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMSKPIAIRLKTEASRHPQFRQLIINLAQANHRISTNIQRRIYGHSTQVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEIEALRQKEDQLAHEIEIVKQKLSEIEHHANARGLSGVLFRSSGKPDQTKAS >OB02G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8908456:8910963:-1 gene:OB02G22280 transcript:OB02G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 [Source:Projected from Arabidopsis thaliana (AT5G45970) TAIR;Acc:AT5G45970] MPTKANLSSPLLGLLCFPLYPPQFTSKPNPSNQQVSLGRLGVAMSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKSTRKQRSCWIL >OB02G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8945165:8946248:-1 gene:OB02G22290 transcript:OB02G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGLERRERSAALHGAGGRRAYTGRGGKEEHLMANGGGGLRTTLSTHFHGGGDDLLRAQRRPANGGVSLRTAAAPDFMGGSGDCLVTTRWRCRYRSQLERGAAVGWLDLEDGGGVLTGWWWGEGGSQDGSRGGRTDGGESG >OB02G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8986805:8990474:1 gene:OB02G22300 transcript:OB02G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCLLDCNSGALQTCSPTLIRSATVSLGVPKEAAEHVKAPAAELEIHRESINSASESTE >OB02G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8995697:8997723:1 gene:OB02G22310 transcript:OB02G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding AADHIAGCAEPTISYVELHELAPQAVLSHLHSSKSSVRQLLELEVVNFSSR >OB02G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:8998746:9000880:1 gene:OB02G22320 transcript:OB02G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKEYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFSSEDIMNTPPDKKEQSG >OB02G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9002428:9003403:1 gene:OB02G22330 transcript:OB02G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYQIDSSNSRAWGANGRRDGAETRRSNSASAAEDRPYATIAIKSHVSITLELKNPNFKKWKAFRSMCGKFGLLDDTLSPQETVDPGW >OB02G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9013792:9017001:1 gene:OB02G22340 transcript:OB02G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVGDDVLSKMGDACKELHGYYMERSNARRKNRDTLLTGQHDIQPFLRPPAFIAVDFRDLWDLYRLRSINTNLLKCYSLLTWKHVHRHAPHVALLDPSVVNETTLKTDRASIVDYLYDCLWSHQDKDFLMCTYNQQCNQNAEKVSQNVWNCSICRGMCSYRLCRKKNWRMLAEVTDHNVNTLYYTSVHKLLNKGSYMVAAADILISTPPEVKCQ >OB02G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9034222:9042376:-1 gene:OB02G22350 transcript:OB02G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLLALWAAVSAGWAHPAVFLAALVYLFAVNERYTMRLRRRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEQFLLPIFPWFLDKFKPWTTRKAVIQDLYLGRNPPMFTDIRVVSQSTDDDHLVLEIGMNFLSADDMNATMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLQQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDMEKYASSASESTDNWFSVDEKPPIAHAKVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWMEEFKIPVTSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVIEDENEKLPDDEEEQCGTPQGGKASTPRSSFSSRINNESESSEEFRKMSDEYESVDIEGLEKADVWVHRPGSDVATTWEPRKGRARCQDSKILRVNDAYCDSPKSCQSESQRSDSSTEEPSNGKSHRHLRKVKKGLGKLAGAVFKNPKKESDDEASPCVTPHPNIKPLGEKRVLVTYVVDEDLCENLPDDQHSSPENGELESPTKRHLRKKAVHLVKHAGNKTAHNLKNMFSRKGSDKSKEGQDDQIDDPDTTIVVEPSKVEKDDPDVAELDLSKVEKDIPISTAIDPLKVDSDVPNSNVVDAQEFASDGKDKPQ >OB02G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9042945:9043106:-1 gene:OB02G22360 transcript:OB02G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCLIRFQRALRTLVEVPVWPIPIPRLRVEFRVQLFWPHSTQPRSSPSSRRR >OB02G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9051465:9061651:1 gene:OB02G22370 transcript:OB02G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPESTHHHHHLLESGLLLDAGKAPPPAEEEEKEEQGTSSSAAMAAGRKKSEASLLRGRLPRLPRGLRRAAAQLQEVFLGTKLFPLFSAVPLAVAAESLRLGRAWVFAFSLVGLAPLAERVSFLSEHIANTAGPTAGGLLNATCGNVPELIIALLALNKNKMEILKWSLLGSILSNLLLVLGSSILFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIAALVSKYTSSTGDNIGLSSSVLQLSRASAIVMIIAYFGNLLFQLKTHRQIFEVEEDSSESNSSEDDDTDKSVIGFASAVVWLIGMAAVIAMLSNYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDITLGITLGSATQISMLVVPVTLIVSWVSGIPMDLDFNLLETGSLAMTVITTAFTLQDDKWHYLKGFNLVLCYIVIAVCFFIMKALPTPTKKEDA >OB02G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9086025:9087549:1 gene:OB02G22380 transcript:OB02G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAVRALLLLFLAVASPFLVSPAAAAAGGAKLNASSSSPLYGIEFPPFNAGVADGGCDGKMVAQEVEEEVVVLSRSPSLKLHMTHRSAAEAAAAGRTRKESFLDSAEKDLARIHTMLRRRVAKQGGQRATGAAANPSPRRALTERIVATVESGVAVGSGEYLVDVYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFEQRGPVFDPAASVSYHNLRAVYGHAFSYCLVDHGSSVGSKIVFGDDDALLGHPRLNYTAFSPPAAASAGDTFYYVQLKGVLVGGEMLSISASTWDVAKDGSGGTIIDSGTTLSYFAEPAYQVIRQAFIDRMNKAYPLIADFPVLNPCYNVSGVDRVEVPEFSLLFADGAVWDFPAENYFIRLDPEGIMCLAVLGTPRSAMSIIGNFQQQNFHVLYDLQNNQLGFAPRRCAEV >OB02G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9110305:9111058:1 gene:OB02G22390 transcript:OB02G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCRRQQFAGQWQDAGQQQGAANEFISQGCDDELVPETQLHVTTEEIGIGTMLHEWRRIVSDYEKPSIDMTSIEKAWADEKKAIAHVETDSKGNTSSLVNRSTEKKAYAIVFDEGKSISDAETDDEGVNV >OB02G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9111570:9113937:-1 gene:OB02G22400 transcript:OB02G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYEIPDLNLDPGVQVQVLHDDHRVQEILQVEGHEILDFNMYNVVQGDAVRHEDDELPNLNQIFGAYEEDVVQDQHQDPAMQEASFFNGWSAQEFCHLNMETASYGEDDITFEDYEIFDVQDQSNYTDKEDFQVYSSHVDVVFDEEELDTSSDDSATEPEIIKRRKYYSEVEKTSIYGALLASSINGKLVARDTIAKIAAMFDVRRRVVQDIWTKAKKCAAAGVEVDIKSKKPGNCGRKRSAIDLAQVLTVPLNSRGSIRSLAAALSATKSTVHRSFMDGRLRRHSNSIKPYLKEANKKQRLEFCVSMLDPGTVHDEPRFTDMQNIVHIDEKWFNATSKNRTFYLVFNEDDPERCVQNKNSIDKVIFLSALAKPRYDEEGHCYFDGKLGIWPFVRKEPAQRSSHNRPKGTLVTKSITVSRETSRAFLITKVIPAIASSWPREDVGKTIWIQQDNARTHILPNDEAFALAVAQVGLDIRIMNQPPNSPDMNVLDLGFFASLQSKTYLRNCSNMDELISNVEEEYNGYDSNLVNRVFLTLQSCFIQVMKADGGNGYKIPHMNKERLERLDMLPTSLTCDIALYNKVKQTLLN >OB02G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9120980:9121684:-1 gene:OB02G22410 transcript:OB02G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDQGYYGGRDSPPQGYGYGYGYDAGGYYSNGGYPAVAAYEDPMVGRRPHDFPATMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFDGGSSAYDNNNAHDAGKGAYRDHVEYNDSCSVRLKEDTDEIDALLNSEDGDEDDVVSTGRTQGYRDSSSPDSTCSSSYGGGGQARPGNKKKRMQKMVRTLKGIIPGGNQLDTPAVLDEAVRYLKSLKVEVKKLSVRGSDN >OB02G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9134896:9138482:-1 gene:OB02G22420 transcript:OB02G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPEGLTRTNHKPEWATVLAPSQRRSLSKSLPKFLVGKGGRDGVWTGHRGVTMTSAASGFTKAQEGTTRLGLKEGVKPEVRLFLDTLLHSLFLLPWVLLIEYGDVCHPIDVTRTFVVAVVRKSFRSSVKIEESSSTRGEESGVRYFKRRAQGEDGVV >OB02G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9152271:9152453:-1 gene:OB02G22430 transcript:OB02G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQCHDLAKLFLCALLHGYGLYVPSMMRESMDGAQRRGNDHHQCVLVLLATSALLWLV >OB02G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9152651:9153403:-1 gene:OB02G22440 transcript:OB02G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYYGGRDSPPQGYGYGSYGYGSGYGYDAGGSYYSNDGYPAAVAAAYEDPMVGRRTHDFLAPMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFGGGSSAYDNNNAYGGGDAHDARKGAYRDNVEYDDSCSVRQKEDMDEIDALLSSEDGDEDDVVTTGRTPGYRDSSSPDSTCSSSYGGGEQARPRHKKKRMKKMVRTLKGIFPGGNQLDTPAVLNEAVRYLKSLKVEVKKPGVRGSDN >OB02G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9165577:9167386:-1 gene:OB02G22450 transcript:OB02G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGEDNGGGQEVAALRTFVVTRTFVVEATSNFSRSSVKTTESKFCQRRDSLNLANAITVAWRNVGLTPPPEIKAMQPHVRCQVPPELKPRLGGWRCAESS >OB02G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9179893:9186055:-1 gene:OB02G22460 transcript:OB02G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03030) TAIR;Acc:AT4G03030] MASSSSSAGGRLAGTLSLRPGVASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWSLPPIPCSPQLYGLANFAAVAVGGQIYVLGGSRFDARSYPLDNPSSSAAAYRLDLVLSRHRWERLPDMRVPRGSFACAPAPSGGVIVAGGGARHPTFPSYGSRTSGVEWYDTAARAWRVTAAMPRDRAGCVGFVAHGAGDGREDEFWVMGGYDGYTTVGGVVPSDVYCRDAVALGLWSGKWREIGDMWEEWERARLGPVAAISAEDGRVTEVFMLDGNDIFRYNFSLNRWLKEATLRRKIPSTQSCGFIAMNGELYVLTSAKVPVEASSPWRQSKKRLALEFQVYNPAAKTWRVLTTHPPVNVPIDFRTAALCTVEL >OB02G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9192757:9193767:1 gene:OB02G22470 transcript:OB02G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANMEWAELPRKCLATILSHLPCILDHIMFSGVCKRWRTVAGRNLPLMQPWLLMPSTSATSFFCVACGHTHQGPRMPDCARGARLCGSFLGGWLAAADIPGTSGPPLPWNRAPAMLNLCTGERVDLPRSLLGNNPGVTNINFFHVITFSAYYAAATVSGKPNIVFWRGDMSSWTPPMLKWDAPMKKWKKLLPKDPIEDVKYFVISPLGNGFHVLTNREDLLVYTPNTNDKRRELTMSSVERYRVRKNPSPTMPEPGEVLARFLVVSRGKMLMVVKFVSSENATVAFDVFRLDRQQPPSWSLKKVPLDTLTDRSIFLKRGCSVSLDDSPRYLLPG >OB02G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9196135:9197404:1 gene:OB02G22480 transcript:OB02G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLWFSSSFYSSHYSLPAGHIMCDVFRTKVEKKWESMRGGVNGVARLFVVVLARRRQRGAGHDIQARRQKGDSQNQMETKPSGLWPVSA >OB02G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9198825:9201007:-1 gene:OB02G22490 transcript:OB02G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGAAADGIERWTGPQQPLRCFALQIWGDGAAAVRSSRRTGAVADSVARADWGGGGWRCTGRRVFQLVCLVGGLRMSQTIVGFVFDTSCWTTSLLARDFNPLIFQDPGRLGRRNRYSFLSSNGHCEATENCYSTIFKMCLRPYAILDFAFARMPLLNNAACQNNIWPSCYI >OB02G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9214480:9215202:1 gene:OB02G22500 transcript:OB02G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWTPPMLKWDTPVNKWKKMLSKDPIEDAKYFLAGPFGKGFHVLTNKEDLLVYTPNTNDKRRELTMSSVKTYHVRRNPRPTMPEPGEVLARYLVESRGKLLMVVRFVSSEKATVAFDVFRLDQPQPPSWSWNWKKLTFDTLVDRSIFLKQGCSVSVEMRCPFPLNIYFLDDSARFDGAGTSQTPQIENPFPCRDAGRCCLVDQSIDHCLPREPPSDCSPWVWFFLPESGAMHRSSAMESV >OB02G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9218461:9219741:1 gene:OB02G22510 transcript:OB02G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANKGWGELPERCLGRILRHLPCLLDHAAFADVCKRWRTIAGRNPPPMQPWLLKPSTAATSFFCVACERNHQGPRMPDYARGARVCGSFPGGWVAVADIPTIGGPASPGNRSPALLNLCNGERVGLPRSLRDNNPRVTNINLVHTVILSDDPSDSIGPYCAAAIVSGKPNIVFWRPGMSYWTPPMLKWDAPMKKWKKLLPKDPIEDVKYFVASALGVGFHVLTSNEDILVYAPSTNDKPRELTMSSVETYKVRRNRHPTMPEPGEVVARYLTQSRGKMLMVVRYVSAERTTMAFDVFRLERQPPSWSWKKLAPEALTSLTIFLVQGSSVAVHSLLDESERKPFPLSIYFLHDPVRFDDAGTSQSPQIENPFPCSDAGRCRLFDQNIVHCLPREPPSDCSPWSWFFLPPNNAVRHLYSVEVIRELL >OB02G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9222654:9224197:-1 gene:OB02G22520 transcript:OB02G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMNTDDQRRCYIIISGRITSALHETRTEIPCSGIHWLRDWGFGILAHGNQGKSTEEEQVRRGFGERTALRPPPKGGVIVCLHGIAADVEVRVAPWLYLLELNQLGTDLGGVQLECLFELFESCCIRDTISFTKRDTIKLKIDFTLHILITRKWALYQNMQNN >OB02G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9224652:9225665:1 gene:OB02G22530 transcript:OB02G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTISPTPSSRRTPSSGSNAKNKETIDVDANDARTEKRLNWTKEEDVRLASAWMHNSKDPVDGNDRKSDQYWADVTIDYNKTTETCRKRNQNQLKIRWDRVKKLVSEFHGCWVKTNKVYRSGVSDDQLMEIAEKMYASDHCDKDFMLKHIWKVVRGERKWSAYVKKIEHEKDKNKGTTNRPAEVVNSEDNPNIRPIGHKRAKDEHYGKKKTPETYSAISDKLDKFIEVSTMARKDHEKMSETQQNLANSKVEAARLNDKAAEKQLKCKMLDTYRELLLAPINNMNANALAEREKTLESMRLALFASDN >OB02G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9229995:9232900:-1 gene:OB02G22540 transcript:OB02G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPTGAAEPGVESRDWAEMPSDALAAVFGKLDVTDLLTGAGLVCRAWRRLAATDPTPWRRVDMSHQGDIMEDDEAEAMARAAVARAAGTMEAFWADSFVTDGLLLYISERASSLKSLQLSMCLNVSNEGIAEAMKGFPQLEELDITFCSLYGDVCASVGKACPELKCFRLNERYTFPMDYAAPGVMDDDTGALGIASNMPNLRELQLIGNKLTNDGLMSILDHCQHLESLDIRQCYSIQMDDALKSKCARIRDVKLPHDSISDFKYRAYIVSTGYSGSDLEVDMYDDLLDVVTDDDDADFDDMDDDDDACSDGAIYDDEFDI >OB02G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9243015:9245780:1 gene:OB02G22550 transcript:OB02G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >OB02G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9248039:9250040:-1 gene:OB02G22560 transcript:OB02G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRTTCMKSLRLLDCMEIADKGLVAVGKTSPCLEELELTTCSIDILLKVVGQAFPNLKCLWLNNRWFDIQLDEFRDNFHALGIACSMPKLRHLQLFANRLRNNALAAILDNCLHLESLDLRQCFNVYVDAELQAKCVRLKDVRFPNDSTKDYEYETFFLSKYIKMVIWKFYASYSSQASSEPQLHPSITRKSRDSGLFVLMENQRIQFLRIKFL >OB02G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9258409:9261181:-1 gene:OB02G22570 transcript:OB02G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFVKLQKTHAYHKRFQVKFKRRRQGKTDYRARVRLTNQDKNKYNTPKYRFVVRFTNKDITCQIAYATIAGDIVMAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKLRDLDQEYEGNVEAAGEDYSVEPSDERRPFHALLDVGLVRTTTGNRVFGALKGALDGGLDIPHGEKRFAGFKKDEKQLDAEIHRKYIYGGHVADYMRSLAEEEPEKFQAHFSDYIKRGIEADDMEALYKKVHAAIRANPTMVKSTKEPPKTHKRYNLKKLTYEGRKASLVQRLNALNSSAGADSNDEDEDN >OB02G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9261591:9265080:-1 gene:OB02G22580 transcript:OB02G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT5G54855) TAIR;Acc:AT5G54855] MAAAGPRWRALAPLVVLAFLLVAAEAWTGEIRGHVVCDVCGDAAIGPEDHVLEGAEVAVLCITKSGEVINYQAFTNSKGAYTVAETMPESDRWESCLARPISSFHQHCTRRGDTHSGVKFTYSKPSGNSHIVKTFLYKPANAPLYCS >OB02G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9281332:9282732:1 gene:OB02G22590 transcript:OB02G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPTPPPPEAKPSDQSSGEEEEEEGESPAAMAPQKLGGAPHQVEEEEGGGSSESEPMRKVAAKKARSEASAYKKKRAASPEPAPSGKAKKAKAEKAAAPEPAPSGKAKKAKAEKTAAPEPAPSGKGKKAKAEKAAAPESTLSGMSKKAAKAEAGKAAAADTETAPSGKAKKSKSKVEPGNAALDPSSSSKPRKAATRWARADEIKILEILVAHFKNHGTQLSTDGIIGAAGDNLDRKNIKHRNMYKKVGRLKQRYEATAKKFETSGNLPYKEDDLRMYQLSSEIWGKDAKEAVSVLASQNNGIPAKSKKGQGQAKKDKVDGDSKEDTTAVNEKGGTLAENKKGKATKQKTGAEAKIGSSKEATTNSKGGENHTEGEANETNKRRKFIELQSLYPNLAFFVKEMEVQHQSGSFFKRSFELISDDKACTLETKIKNHRKVQVETQLRRDNIRKEVFNAFLGLF >OB02G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9285927:9286091:1 gene:OB02G22600 transcript:OB02G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKAKNQNTPLFCVLCSTKLPIRSDSLLIVSLNTVPQIYRHSSFLVGGKKWTN >OB02G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9286547:9293693:-1 gene:OB02G22610 transcript:OB02G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPARLASDGEDDGINGDDAGSGGMALVKTDAPTMTHGGHGRARAPAATPRGSGGDGGRCTRTVSTHHPKTTVAVKKMKGKFFQWEECISLREVKEFNLYDVVRERQAAFLEQDIRNFMVQILQGLVYMHNNGYSTSNLMALLKLLILGWQEKFLPVLVILAMFPPDADFVFKNSLFLTGEYVSSNLTGLLSNEDMWAVGVIFAELFTLSTLFPGRRFPLAALADKLNIFVTTIQYNYTYLFFFCKNMNDWTRRVGNDRHLLPPAQEGSSLQLLLRFRTKSFEAFIFLASVCASIRCHLG >OB02G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9294346:9296057:-1 gene:OB02G22620 transcript:OB02G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAADFGSLVATVEDQSNSVHRLNTFNLLELLAWGLSASQCYLILSRFGPINLCQQQASERKTGRGRDGRRRRLAQPEQWRWPGVTTARTASDGEDDGIGDDDAGSGGMALVKIDATATTHGGPNSIQI >OB02G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9308500:9311116:1 gene:OB02G22630 transcript:OB02G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:J3LC96] MTLVQQSARRLFSPASVAGGRSPVADLPKALSSLWMAGAVPRRFAGTAAAGGVDVTAKKEEPEKEAASYWGVAPTRLVKEDGTVWKWSCFRPWDTYEADVSIDLTKHHDPVTLGDKVARWTVKSLRWPVDLFFQRRYGCRAMMLETVAAVPGMVAGAVLHLRSLRRIEHSGGWIRALLEEAENERMHLMTFMEVSQPRWYERALVVAVQGVFLHAYLAAYLLSPRFAHRVVGYLEEEAVHSYTEFLRDLDAGKIDDVPAPAIAIDYWRLPADATLRDVVMVVRADEAHHRDVNHYASDIHYQGQALREVAAPLGYH >OB02G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9321160:9328646:1 gene:OB02G22640 transcript:OB02G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDHTKSSEPAFIPSKKTHQMRNIWAWGGPSATTVNGSSDAVLFSSSLPVQFVKLSGKQREYNAQPKDDMFPIMKQPSTNTREADPMDDVAHHLIGNLLPDDEDELLAGVVEDFDHVKLRTQVEESEEYDVFRNSGGMELDVDPLESITFGTAKASLVNGTGSSTNQYSIQNGTGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQNKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAECALRSLNKSDIAGKRVKLEPSRPGGARRSFIQQFNHEFEQDETRHNSFHLGSPSANSPPSLWSQLGSPTEENKLNGLSETAFNGGMSPLGGNHLSGFSSGYPPMKSPIGKSSYWNNHTDNMFHGSPTFNNSHSFPEHHGGIISASPIVSSAASSTSTASGFTALTGTSFLWGNNKNLREHAQPASFQSQALSNSLFPNNQPQRQSSLYRNLRGSFGPSEHFSQLNVGSAPSVFPFESNLGYFSESADTSYMRQGNFGGTGPNRVGGSLMTNFGAYPRVNVPSMQNGSVGFEGLLERGRNQTVGNSGFQEDSRVQYQLDLEKIISGKDTRTTLMIKNIPNKYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPVYIVSFYKAFAGRKWEKFNSEKVVSLAYARIQGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTESNNQILLNGTISSMDQQDATQERHDISENPKVDNFSEKIKVIGLPGTFSEGG >OB02G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9329740:9334351:-1 gene:OB02G22650 transcript:OB02G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRLRRGGNHGGLLTLCNFRLPVSSMLSAMASRRRCRNFLALPSPGGIRHRAVGVTGLCVRGVEGGRGAEEVVAAVAARIGRGRSRGGIGDGFVSPVGCRRMSSEAGRGGDIGRRTHSTTCPLSRTKFIPPPIGQMLARLPLPSRLVFLFPSRRLSAAGDSPAVKMAARSALDEVTDSGAFDRSPSTFRSSVSRDGSSRFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTKETDDHLGWVFPATGDEEPAAEPDPFNGAKSIRELYEIASPNYAGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIARNPGLDLYPAHLQASIDEINELVYDTINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSKQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLIREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPFINPYGIIPAGPNIDYNAPHDREKFGA >OB02G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9339376:9344474:-1 gene:OB02G22660 transcript:OB02G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54520) TAIR;Acc:AT5G54520] MDLLSAAYGATSDDDDGTEATPTPSWAATGFSSAAGPPPKRPRWERPQYLPPHPHPIPEPALPCAPPLAHPASGRYVSKRERALLAASLSPVESSSPLPPSAATQRDSPAVGSICDSNLRDDIFHSLRCQPKPGSTRRLPLKLSVSLASHTKAVNCLDWSPSHAHLLASAGMDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGFSLLSGGFDCSLRLVDVEQGKEIKVFKEDQAVEVIKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRSLGTILDIEFSSDGKQFICSTDTSSSNISENSIILWDTLRQVPLSNQVYTEAYTCPCVRYHPFESSFVAQSNGNYIAMFSARSPFKLNKYMRYEGHGVWGFPVKCNFSFSGKELASGSSDGCIYFYDYKSSRILSKIEAFKEACIDVAYHPVMPNVIASCSWAGQISVFE >OB02G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9351903:9355671:-1 gene:OB02G22670 transcript:OB02G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66180) TAIR;Acc:AT5G66180] MANAGSTPESLPPPPLPPAFLEFLSENGLDPMMYSMADTIPRYIRLKPGMEREIPEIESELKCHLNKVSWLPDFYAIPPEVQIAGSKAYQQGKIYGIDAASGAAILALDVKPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLAACRTMLQKYSIGNCCRLFVADGTSFSILPVNSSAGSTGLNDNGSIFSEWTSKRSWKDRQKSKKAKTVDGSPHLTSTSEPELIYYGKHSGLVGLRKCDVLRPSADDEALTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDSLLHLQLCLLTNGFKLLKTGGLLVYSTCSLTVAQNEDVVQQFLCKHSSAELQKIDSADNWPCRSGRIFKTLRFDPATSQTSGLFVAKFTKLQT >OB02G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9360664:9360858:-1 gene:OB02G22680 transcript:OB02G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFINFYRQVFILPHSLAFTYIVYTPPFIVYTPHCIMPRIFKIYPPDKLDNSQVYHKCSGITSS >OB02G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9364109:9365581:1 gene:OB02G22690 transcript:OB02G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3LCA2] MNVLFRESGQVPYIHLYLHEDPKKMNKSYLHMINDIKRHIKLKSAQENGGVARYIEAVEIHCGANLGSEGDAPHFVHKIPMLPTAPNDNMYFVVVMHRPDSWVGGPREPVKLLFAFRDLYLLGFLHGNQWNVFCDAKLGSDITLEEKLLWTVTLPFTGSYRDLDGDRSTLDLWFQGQSDTYGSLSSFNSQVNTKRGLLRSVLSIAEALRFPDLLIRISIGFAAGLHGATLPAWELYWPSLTPTTHQPRIPRIFKCPFDESHFTNWSDYCELAKLGPKAFIPIPGFATYSSLVSFLGVCLD >OB02G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9367046:9367945:-1 gene:OB02G22700 transcript:OB02G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCLHPIPIATLPFLDPHSTTAWDASRDDAAALTQSQGVTCLTEYETQKSGITLEQVKERLRSVLSLTDVTRFPVPKNPQTVIFVRATDDGYTP >OB02G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9369152:9372025:-1 gene:OB02G22710 transcript:OB02G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:J3LCA4] MSKLQSDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >OB02G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9374631:9378162:-1 gene:OB02G22720 transcript:OB02G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAALLFKTPLRKLAVLGIDCLKRGRRAPVAVKTVAGVVTALLTSTLYSMAEISGRAGGDPESGGGGGGASLSPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVEAVSKHNKMLEEAKHGSIEETKKYQEEIAALNEDMKKLKLQVQEKTEEAHIAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLHSIDLRLSSS >OB02G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9381026:9384646:-1 gene:OB02G22730 transcript:OB02G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G63060) TAIR;Acc:AT5G63060] MAAAWSFHSVARAPPPLRGFHGRKFLWDGCFCLQSLSIYTFGKKWRQDFHVSELSEESVKGLYQTGKAYVHDFFDIYGRPVLIVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLYWVVALKRLLQIPVTGVPNH >OB02G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9404694:9408515:-1 gene:OB02G22740 transcript:OB02G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFTDGVLRLDGGVSDQAEREEDDDESGCLEPFFYDEAQAVAEAAVAAEKRRLREEERARVQEQRAKEEKARKALFKRISKYDPKRGIFYFTRYSFGNPLTFDLNEESPLGPMRYTDKIFHEHEEIPFWECSSANILSVKIVSSDVGFPINVYGSVIARDSLDKKCIYLFRRHRNDCQLINSKDDPLILTGPNRGLVLIDDIDFEVDLRIKDDRLRQKKKELNRGTLRIDGILSTCGIKMEVENDTLEGKLSTVEMKYAVVKQEAVEATVEIKVLEGCFHGEVSACTTNIQDIFMLLDGRTCGARAANHDIQLSRRVIAVHYKEKLLLTIVNQDDVVPSGCVTQTVGFTPNINGSEESEVTCGSVRMLVKVTWSLMLVR >OB02G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9409645:9412653:-1 gene:OB02G22750 transcript:OB02G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRMPLMLVEARRDGGRSSSWRSGDEPGDLTKEHEHEGREAYQMQECGARRIEKQEKCSGGSASLRRKMRSYRTGGRRRAVSTVHQNRVTVELYHYGAIEAAAPPQPPWIQADVVVMGYVPCNNGTSMKSSSAPGFPNAVVQLQCADAVGAAAGNATTDNKGWFRMATNTSAALSSVASGCSLQVVDMPLATCNASPPETGTLESGLRLLVSMVFFPRGFSYVAPGLSIS >OB02G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9457620:9460805:-1 gene:OB02G22760 transcript:OB02G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRRDGVWTGNRGVTKASPASGSTEAQEGTARLGVKEGVKPEVCLRAVVALAGVVAAVVAFAASSNIERGDTFLGHGVAEVGIIRISGLGSSGAPGVPPLEVIGKMPARVKRIRFADLQNECEVVLLQTLASGGQSSSMVSGDDAQRKPKHRGHAASFDDGALHRPAMAKQMMIHRLLYAINIDHKRVDVMDSNNYQLIGTAVNDHHGALSKRIMKRLIDAVQKTVPKSFCRFGGFKKNFMDCPKMQVCSNDHAFFS >OB02G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9488383:9488904:1 gene:OB02G22770 transcript:OB02G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWQRGPVIGRGAGGRVSVATSRRTGDVFAVKSVDVARAGVLRREQSVLSALSSPFVVSCAGSGVSAAAAGGGGGARYELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPGRAGVCPRLRDRALRCQGPERARRRRRARDARRLRVRALDGRGK >OB02G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9489069:9490321:1 gene:OB02G22780 transcript:OB02G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHVAHSTDVPETPSWLSAEGKDFLARCLVRDPAKRWTAEQLLEHPFVSSAASNPTPNSKAAQIEHRVSPKSILDQRPWEDTSTDSDTTVCDGELGRGGRATTWPKRWGEVRCMRCGCWRPATAIDGRKGKQRDYGRELNGRVLFPAKPDDGWLSGCLDPRFF >OB02G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9524901:9532376:-1 gene:OB02G22790 transcript:OB02G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFLALLVGELVDAFGAADRAHVVRVVSKISVRFAYVAIGSGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDITFFDLETSTGEVTERMSSDTVLIQDAIGEKVVSFTGERRATDKYNKFLKTSYRSAVHQGMAMGLGIGSLLLIVFCSYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATIHRKPEIDTSDRSGLVLENFVGDVEFKDVHFSYPARPGQPIFSGFSISIPRGMTMALVGESGSGKSTVISLVERFYDPQSGEVLLDSVNIKLLNLGWIRQNIGLVSQEPILFTTTIRENIEYGKKDASEEEIRRAIVLANAAKFIDVLPNGLDTTVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHVELIKDSNGAYYQLLQLQEVNARRNGTHEADYNRLSDATNRLSDASNSVSRHSIRKLSFERSMSRHSSLGGSRRNSQTYALNEHEIEGCDDTKSGKNVIQRLLHLHKPETAILLLGCIAASANGAILPVFGLLLSSAISTFYEPPQRLRKDSVFWAEIFVILGVVSFFVVPVQHALFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASIRSIAGDVLSLIVQSISTALVGIVIAMITNWKLAFIVLCFVPCVIAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGERIIQSYQNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAVSIFRIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKSVALVGESGSGKSTVIALIERFYDPDSGAIFLDGMNLRSLKLTWLRQQIGLVGQEPVLFNDTIRANIAYGKQEQASEEEIVAVAEAANAHRFISSLPRGYDTSVGERGVQLSGGQKQRIAVARAILKDPRVLLLDEATSALDSESERVVQEALDRVMVGRTTVIVAHRLSTITGADKVAFIKNGAVAEEGRHERLLCLPGGAYASLVALQSSSW >OB02G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9538289:9539247:-1 gene:OB02G22800 transcript:OB02G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCGYTDHYFKSVIYFGMLVASGGEDTPVRFLFIFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFAC >OB02G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9544775:9545005:-1 gene:OB02G22810 transcript:OB02G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPLLPWREDKGRGRGVTCAVAASYSFFLKPAPPQPSAVAAASLAAAAYSPLNSRQRPASFPKPRRLPQPPHQL >OB02G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9556477:9556683:-1 gene:OB02G22820 transcript:OB02G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPPYSPSTLVHACVTVNRNCSSLWFSLLGFYKYDQYKFICRAILPSFKRYQEVSFFLCKIWYLLVS >OB02G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9570056:9571615:1 gene:OB02G22830 transcript:OB02G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGITNALFVVALVFIVTVAAAAKVARSRTDLPPKTAAPPPPPEAKGAPLVGVFPAVLSRGLQAVIREQHRELGSVFTLRSLGLAVTFLVGPESSGHFFHAPESEIAIDDVYKVTVPIFGRGVGYDVDLDTRNEQHRFFAKTLRPAKLRRHVHPMVHEVEDFFGKWGNSGVVDLKEEVDHLLMLIASRCLLGKEVRENMFDEVSSLLHELIGGLHLVSMFFPYLPTPAHRRRDRARARLEEIFSEIAGARRGSGRVEDDMLQELMDSRYGDGRATTGAEVTGMLVALLFAGQHTSSTVTVWTALRLLTHPTHLHTAMAEQEEWLVGKEEQIDYDVLQQMGFLHRCIKEAMRLHPVTQMILRRARRGFAVRAKEGGEYSVPAGRLLASPLVVNNLLPYVYKDPHVFDPDRFAAGRAEDKGGAGAGDLAYVAFGAGKHACMGEGYAYQQIKVILSHLLRKFELKLESPFPEPENMLSMRPRGEVMVSYRRSE >OB02G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9574470:9579319:1 gene:OB02G22840 transcript:OB02G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLRKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEERKSARKMGLGVRLLPPSAEDATAAAYVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMAGRVKPSSWQSTSSGSSRTAMPIMATRK >OB02G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9585998:9588259:1 gene:OB02G22850 transcript:OB02G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18020) TAIR;Acc:AT3G18020] MATAASSTTTAAAAALQCDQSDLGSLVDALCTSGRSAEAHRRVSLLFFSSSAPSRHLDGRAANSLLRRLLRARTPLLTLRLLQAAPPGAFVPSLPNYNRLLVALCSSSSAPLVLVLLAHRLLLRMRATPDAASYAALLGGYGRVPDPCAVQKLLDEMPHRGVAPSSLARTYLVKALLRSRDVDGAMDLVDNHLWPSVVRSNGEDQELKNAAFANLVQCLCSEGFFHIVFRIAEEMPQQRCGVADEFAYAQMIDSLCRSGQHHGASRIVYIMGKRGMHPSTVSYNCIVHGLCTSQKPGGRLRAHQLVLEGVRFGYRPREVTYKVLVNELCRENELTKAKDVMELMLQPQYGHDKAAGPDDETRTRIYNMFLGALRAVDNPSEQLDVLVSMLQEGCKPDLITMNTVIHGFCKVGRAQEARKIFDDMQNGKFCAPDVVTFTTLISGYLGVGEFAEALHVLQALMPKWQCFPNVVTYNCVLKGLLALGQVDRAIQVFEEMKSSSVVPDSVTHAVVIKGLCDAGELEKAKSFWDNVIWPSGMHDDYVYTAIFRGLSKLGKLEQACDFLYELVDCGVEPSVVCYNILVDAACKQGLKKLAYQLVKEMRRNDLSPDAVTWRIIDRLHLCSNEKQDGELQMYTNDVDPNSVDDIVDTPISNGDEEHALSSPSEASKTGQVMHKNSNQGKIEVVDYSPCVSEDPTNLIKPAKEEACLVNNSTSGTTMNNSGMTRKEGLMKADKQPLKRESLSRVARKVFGLA >OB02G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9590817:9591185:-1 gene:OB02G22860 transcript:OB02G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHDDGFTLPAISAAAPPAFIFRLFSARSSLFFEVELDDTGKMEAALIAALLSRLLLSNFDANFTEAAAVASSAEGGTSHTPRPIFLADFLSSATLLLALHKKDSKWIRIMTLSKANLENI >OB02G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9593863:9594117:1 gene:OB02G22870 transcript:OB02G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVASLDASSSPSSSATTDHHHHDRHHHHHKHHGYGHGHHRGGHDRWNRQGIPPTAGQGEEVDPRFGVQKRLVPTGPNPLHH >OB02G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9609329:9611943:-1 gene:OB02G22880 transcript:OB02G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENSNQELKSELKDLYINNAVQMDVTGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKEVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRKLCGKDVAFEYPMTENA >OB02G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9616554:9616823:-1 gene:OB02G22890 transcript:OB02G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWYQPCMQEPKPRKEADEEGLSGCGGEAEEEEVVQAPTIHKGVLVFARGDPERVSASGSAVVAVAAASRMGRRTAGLGWAGARRSRI >OB02G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9617040:9617627:1 gene:OB02G22900 transcript:OB02G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKEDAYGKVAPAGSGGRARMLVTVTVLGSAGPLRFLIDEGETVAGLIRAALRCYAREGRMPLLGADAAGFLLYTANGGSDALNADEKIYFNGCRSFLLWQKAARDAAAKGGRPELANVATCKPCKKRVGGWKGGLNKFFFSFSFKL >OB02G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9621800:9622132:-1 gene:OB02G22910 transcript:OB02G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIRLWRCLIAKSINQPCSEGKSNQEHQTLLYCYYLSQVNCCFLFNLYDFSNFYVSKVISTLKNSEYKVHTVTICWYGYIFFGIVHLLKELPNHTKTWPHGNPGEFISSLL >OB02G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9624658:9625356:1 gene:OB02G22920 transcript:OB02G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTSCLVDDASSDASTGKKAPATIATTKALQCLGSSASAAMDATDPGVEADSGGEWRVDGAGSCRRPRTRAWCHNGTGWWGMQIYEWHQRVWLGTFIGEEEVAHAYDVAAQRFRDHDAVSNFRPLDEFDPKAAVELRFLASRSKTKVLTCPASTPTSRSSQRTSATSPPSPRCRRTTPVVVAYDDLCRRGVRAPVRQDNDAQRRGEVEPTGDPEAARREVIPTIRAIERAR >OB02G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9625589:9629953:1 gene:OB02G22930 transcript:OB02G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle triple-A ATPase 3 [Source:Projected from Arabidopsis thaliana (AT5G58290) TAIR;Acc:AT5G58290] MSTAATAPPPAAALPAAPPPSYPATSAPSASASAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >OB02G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9639031:9639240:-1 gene:OB02G22940 transcript:OB02G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDFLERPGDTSSASIRVQVDRNMKAELYYLDPVLKAGLLRRLKGGGLRERVEAAASLASGDPAASAS >OB02G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9653855:9655551:1 gene:OB02G22950 transcript:OB02G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDHAERDLARRRCREYLLALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDGVGSEETVSDHGPPPVLEEFIPLKPSLSLSSSEEESNHAASDKAGKEEGAQTSERHSSPQTLPEAKRVTPDWLQSVQLWSQQPQQPSSPSQTPAKDLPCKPVALNARKTGGAFQPFEKEKRAELPASSTTAAASSTVVGDSGDKPADDTDKPRETDNDGMDGKDKDKEKESQSQPHRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSSTGQSSAAAGVAAPPAPQFVVVGSIWVPPPEYAAAAAAQQQQVQLAAADASVSANPVYAPVAMLAPGLQPHSHREQRQQQGQRHSGSEGSGDTGGGRSSSPAVSSSS >OB02G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9662139:9663529:1 gene:OB02G22960 transcript:OB02G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRSQEVDLINLTHIHQQLELQHQAQDTMIADLKAQLKSRDDQLGQSARRIQQLEDQVTTLLRMPCLHPPPTTAPRGLDPYSTRAAPPLLLPKAESEGSVEVKPMRTDITQFGGSETAPICLDDDSTEEDPEPKLYYGTDTETESTFQITFVGGPSAHHSTPEADLSRGHHRQLPPPALQDSWSSSLQEVMSQQTQLLQMLANNQGGRNNSAYGEFMRARPPTFVGSEEPMEAEDCLRIIEKKLTLVRAHNGDKVIFATNQLEGTASDWWDTYKAARTDDVLEPDWEEFVTTFRENYVPAAVTRMKKNEFRRLLQGNMGLQEYLNKFT >OB02G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9663815:9668838:1 gene:OB02G22970 transcript:OB02G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPATPKATPTKFQTPAATLPTLGIKRSLNCFNCGELGHYANSCPKACNTPVRTGANAVTVKDHSIPNPGRGLFRTPLSPKTTSGFPQAQVNHVQAEEAQEASDVLMGMDWLNQHKGVIDFSRREVTDFLDVFPEELPGMPPDRDIEFIIELMPGMAPISKRPYRMPANEGAPVLFVKKKDGNMRMCVDYRLLNEVTIKNRYPLPQIDDLFDKLKGATVFSKVDLRSGLLWSSSLIFSSVVFIDDNLIYSRTPEEHKEHLQLVLEKLRGQKLYAKFSKCEFCLKEVAFLGHIISEGGVSVDPDKISAVTAWKTPKSLKFIEGFSKIARPMTQLLKKEKKFVWTEQCERSFEKLKDKLTTTPILVLPDNRKDFVIYCDASRNGLGGVLMQDGKELQADLHHLNLEVVAQGMVQSLEVQPLLSDQIKAAQGMDPEVVQIKEKIRAGKAMGFRVDENGTLWYKQRVFVPNHDELRQLILKEAHDSAYSLHPGSTKMYQDLKEAYWWSNMKRDVAEYVALCDVSPLRATQRFKVKGKLTPRYVGPFPITARRGEVAYQLELPEHLSDVHPVFHVSQLKKCLRVPEEQVPVEGIELRSDLTYAEQPARILEMAERRTRSKVIRMYKVQWQNHTVDEANWEREDYLQREFPHMLTEMQEPPQE >OB02G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9671299:9672095:-1 gene:OB02G22980 transcript:OB02G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELGVARLGEEVKRGVTGFLERRGNMESGDLGKGDICGGGKGKGSSAREKGGRQAGRKWRRRKEEEKGKGKKRERKKGKRKERKGKEKERNYLQFC >OB02G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9671301:9671567:1 gene:OB02G22990 transcript:OB02G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKIGGNFFLFLFPSFPFFFLSFFLSFSPFLSLPPSFSSTCGLPASLPSPWLNSPFLSPLHKYLLHAKNQSPHISTLFPIPLRLNQFIL >OB02G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9581881:9704944:-1 gene:OB02G23000 transcript:OB02G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRLRPFPLLCPPPPGTPLRSRLPAYSIPKCSFQSAAAAARARNPPCLAAVSGAGFGEQRRRVGDDYDDDEEEEEDEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTAVATVLLLFTLSGPVKASTYLLMHGVVGLAMGTMWRLETNWIVSIMLCSITRALGACGYVLLSSFLIRENILALITVNIHASLTYILAAAGVNTIPSMDAIYVLFGTLLLLNCGFFIFLLHIMYTIFLTKLGIKPSLRPPRWLDKAIGVDR >OB02G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9705336:9709498:1 gene:OB02G23010 transcript:OB02G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPPPTAAAGPAPAAFRRGPPPXXXXXXXXASPRLGKSGRRDHEPVATSFGEEDVDDEDAEEDGDDDGEVEVEVDEDEFLKNRPKPVGFGTGKTYSTDVEEQLLREMGLGGGRRRSGSGPIPANSRTAANSAKGTGEDVNNDGVHVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAAARFVELYSQKDVSFGKVQKPIKCCIVDAHSSVDSENQPSSGQSIRQPRLKPQSLVEAS >OB02G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9713236:9718443:1 gene:OB02G23020 transcript:OB02G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSGGGAGAGSDPTPRVAMACVLSSEVATVLAIMRRNVRWAGVRYGGDNGXXXXXXXXXXXXXXXXXXXXWDSPQWRDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPDAPNVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVVRCVFARLPDVDATVVADGQMAGSKNQGMSAGGIGNGKSDFVCLNSSGDEVGGGFGVVQDQAMMELFGVPCMVEILQFLCSLLNIAEDIEVNPRINPIDFDEDVPLFALGLISSAIELSASSIHKHPKLLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCWQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVRSPLSTLNVLALDGLVSVIQAIAERTDNGPQHHEQTVLEISEYFPFWQLKCENTNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGAHLLPEKLDPHSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGSGCAEMSFSRWVDLMWKSKRTSAYIACDSYPFVDHDMFTVMAGPTVAAISVVFDNIEHEEFLTGCINGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYIDDPVTTFGEDTKARMATEAVFTIASTHGDHIRSGWRNIVDCILRLHKIGLLPGCLTGDAADDQESSSSDMLHSKLASSPAVPQVAPISTPKKTYGLMGRFSQLLYLDAEEPRFQPTEEQLAAQRNASEAIKKCQIGTIFTDSKFLQADSLLNLASALTQAAGRPQKITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMGESVNCLTRWSREVKEASGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGISVSSSAWLMSFDIVFQLLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDLSSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEAIPELLKNILLVLKANGVFSNTSTSEENMWEATWVQVNKIAPSLQPEVFPDSEGDVATQSSQNKSDTPAQSEGVNA >OB02G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9723336:9733467:1 gene:OB02G23030 transcript:OB02G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-related intramembrane serine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G38510) TAIR;Acc:AT5G38510] MAGVIKWKMMSCGWQDRAQNGRKIAKVAGGTLSVAPNRYKFQNYEHFGYIGDSAESFICRPLKSELRSKVGLYVAVKVHNKDADGSCNSEVADEDNETLSKASREMEVNHLGALRCYFSKLNTEKTQNPYYSLHLKNNEETLSKTTEEANVVNDYGNFNNTLDSLEVRFNRRNTGTKGYLSTAIEDYTNYLMFDEKNFLDMQKEDQTSSFCLTNLLAAINIAVLLFEIASPVRNYDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGLLHIALGCWVLLTFGPRVCRAYGQATFLLIYILGGVCGNLTSYVHTSELTVCGTGPVFALIGAWLVYQSQNKDAVDKNVSETMFRQAVVATALSFLLSSFGRIDNWTHLGATISGLLFGYLTCPSVQLDKEGQKEAVVLVRRQASPCKSIAIFVISIIVLSLFAFAYGTQA >OB02G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9746125:9748270:1 gene:OB02G23040 transcript:OB02G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVVRGVNLAVRDLRSSDPYVIVRMGKQKLKTRVIKKTTNPEWNDELTLSIEDPAVPVRLEVYDKDTFIDDAMGNAELDIRPLVEVVKMKIEGVADDTVVKKVAPNRQNCLAEESAIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >OB02G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9749042:9749200:-1 gene:OB02G23050 transcript:OB02G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGERAERRWTGGLAKKEKVIFSWDPSEAICTVEGGASSYVASPFFFFARTTP >OB02G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9759172:9762352:1 gene:OB02G23060 transcript:OB02G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNKNVQQQQQQHRVDVLVPAMVVAAMATPSSPAVKLLRCAIPLALGIAVAVYLVGSATPAVVQSGYLERLFPLPPAAASPSAMGRQKQAPELEQTSAATNSAPSWPVDDGASGGNRTQRAGKVEAAAAGGFVDISDEELMKLAARVAPREAAGAPKVAFLFLTRWDLPMAPLWEKFFEGHRGLYSVYVHTDPAFNGSDPGEASAFHRRTIPSKEVKWGQISMVEAERRLLAHAILDHSNARFVLLSESHVPLFDFPTVYSYLVNSSKVYVESYDLPGATGRGRYKRAMGPLVTVAQWRKGSQWFEMDRRVAGDVVADDVYFPVFRRFCRRNCYADEHYLPTLLSIRHPSRVANRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRTGAATCDYNGGTTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >OB02G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9766398:9770708:-1 gene:OB02G23070 transcript:OB02G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKTSKSSMKQGIWRRRIGAPFAAVLVAAVLAVVVFSGQFAKGPNDFGRTGSSNPQDEDAELKNENEQSPNKEEATEVQKLSQAAGIEQDDDSSNPDSLDKESPSQSEEGGSAAESAPYTKCTTPSDTKICDLSNPRFDICELCGDARTVGQSSTVVYVPQNRASNSEEWSIRAQSRKHIPWIKKVTIKSVNSTEPEPKCTSKHHIPAIVFALGGLTANVWHDFSDVLIPLFLTARQFNGDVQLVITNNQPWFIKKYSAIFSRLTRHEIIDFDADNQVRCYPHVIVGLRSHRDLGIDPSFSPQNYTMVDFRLFVREAYGLPSAEVDIPYKADKDDPDKKPRIMLIDRGKTRKLVNAAHVEQGLDWFGFEVVKANPKIDSKLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSRGVVVHIVPYGIEFMADGFYGAPARDMGLRHVQYNISAEESTLLEKYGWNHTVINDPETIRKGGWEKLAEFYMSKQDIMLNMTRFGPSLLNAIEFIM >OB02G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9791962:9792456:1 gene:OB02G23080 transcript:OB02G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPHLLHLHPRRPHLLLHLRPPTHPRLLHLRPPRPGDKPTTDLTAPIPVLPPSSNTCGSDRRWWXXXXXXXXXXXXXXXXXXXXXXAGGGVYCSPAWGWFLPARGGGSQATGLLLCSGVDGYICTVIVSSSPSNTTPTALMAGICSFFSLSLISVTCKCQTRNR >OB02G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9801733:9802761:1 gene:OB02G23090 transcript:OB02G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHYALLHLPNPLHSRHLFFSSPTPRCRLFLAPSSAARPRRASAGPLVFETEEERPGSGWSSATADTPQSDYDDEEEQGWAGGNSAGRGESHEEDGGGGRPRRGGGGRASSSSATCPAAATSTTSTSSSGPTAPSSPSRFPATLRLDLAEDVVLSQCVLSQKLGQP >OB02G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9804307:9806262:-1 gene:OB02G23100 transcript:OB02G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >OB02G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9878099:9883612:1 gene:OB02G23110 transcript:OB02G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:J3LCE4] MATAVASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSSDKEVIITNDGATILSRMSLLQPAARMLADLSRSQDAAAGDGTTTVVVIAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHSMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAIIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKISGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLSSWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >OB02G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9910010:9914469:1 gene:OB02G23120 transcript:OB02G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G18200) TAIR;Acc:AT3G18200] MEMESTTAKVKLLLGVLALQCCLAGFHIVSRAALNMGISKVVFTVYRNCIALALLIPFAYFLEKKIRPPLTFSLLVHLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEKVNINKRYGMAKVIGTVVSIGGATVITLYKGTPLMNFKPSHILGIVSQNVVLNWTVGCLFLLGNCIAWSGWMVLQTPVLKKYPARLSMLALTLTFGLVQFLAIAAFWENDIGKWRLHSGEELFTILYAGMVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVILGDQLYTGGIIGAALIVIGLYFVLWGKNEEKKSSSSNRSNEPDLSRHLLSEESSRPTPVISDVP >OB02G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9916612:9918057:-1 gene:OB02G23130 transcript:OB02G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGGGRRNETQGCRQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAGVGAPLSKPVCYESSRRSDTCEATGDVRVHGRSQTIHITPLEQEWKVKPYCRKHDAFALSHVKEWTLRPLSGAAADCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSFKSWWTNRYLQIFQRLSKYEVVDIDNDDEVRCYRSVVVGPTFHKELGVDASKTASGYSMVDFRAMLRGAFGLERAAAEPSGDRWDIRRRPRLLIISRHSSRAFLNEHAMADMAMSLGFDVRVGEPDVSTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPKDDPVLKDPYSIHKQGWNALKSVYLDKQDVRPHLGRLKNTFMEALKLLPHGQAN >OB02G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9942151:9945321:1 gene:OB02G23140 transcript:OB02G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGDSSMSRADDVDDWVSTKRAAPPAPMERRDRGVAFGGDSHSRADDSASWVSNRGYSAPPPPPSDSRRGGSVWGFNRDGGPDADSWGRRREEGGSTGGARPRLNLQKRTLPLANGTDGEGKEDNKEEEEKGELQVKSRSSNPFGAARPREVVLAAKGEIVRKEDEKEEETLEIQPRTRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKVRDAPPERRSFGRRGSPVRGEENESHPLPESRVERAWKKPDAVEAVRESEEGSDKPDKVEPARESDEGSDVTAEAVPAN >OB02G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9947002:9947334:-1 gene:OB02G23150 transcript:OB02G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGSQSKFFSWFVREIKKCTVNRLPSCGQRGACLDAVLSISPDSGVSLRRPISVAYGWIDVPELERSGEGMNQAGPPCVFVLSFCGSARLDEAICFYWSDDATSLSH >OB02G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9947283:9953879:-1 gene:OB02G23160 transcript:OB02G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocation protein-related [Source:Projected from Arabidopsis thaliana (AT3G20920) TAIR;Acc:AT3G20920] MAGKGAKATAAKSADKDKGKSRSSRAGSQDKPAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFMKNHPEVREILGPDKDLEAEDIVSTLLSKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHREQVFTDNDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYATVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMEKHSGANATETSNDTSGTASSHTPPTDEGKTTKADQDMDSKTNSDEVEDGDEYGDDVRTRFSEV >OB02G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9957088:9964182:-1 gene:OB02G23170 transcript:OB02G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTFAAHPAAAAAARRQRRPVCPRQPFCSQIGVATPRPLMARLSITNALASQSLESSPAAPPKLSFPILVNSCTGKMGKAVAEAALSAGLQLVPVSFSAIEVPDGKVEICDREIYIHDPSEGERILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAKQFPGAFSGYKLEVMESHQATKLDLSGTAKAVISCFQNLGVSFDLNEVKQVRDPQEQIALVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLHKKIQSGANKKLYDMIDVLREGNMR >OB02G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9968078:9969981:-1 gene:OB02G23180 transcript:OB02G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVKGTVKWFNTTKGFGFITPEDGGEDLFVHQSSLNFARGLCTVLIIYGRHLLRHMYVEEKYWIGFVRRKGGRVKTKKAKQGEMMAGMGKAKRVRVVCMDVLGKYRARVQRFV >OB02G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9974138:9974422:-1 gene:OB02G23190 transcript:OB02G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRDGGEGQGDGEVVQVQRHPRGSASSPPTAAAMTSSSTSRPSTPTASPAASTRGRRRRVRRRSPPPTAPRVRRVTCPGLHQGWWVRWGRLPERR >OB02G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9975128:9977324:-1 gene:OB02G23200 transcript:OB02G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGKRRTCAIIVADMVMTALAKGLSSKHDDGSFLLKVNRLSKVMERSNMQRCLVPEKKWAAQQCKIEQEVALEAGAAPQRDTDSEVNCIFKPEITGREYKGRRQEASAEQVTNDILNTSCYSLHV >OB02G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9987978:9992423:-1 gene:OB02G23210 transcript:OB02G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFATHLRRLSHRALPPRPPPPRPPTTFSTGLPFLLSRRRLLSQDEDAAAAPPAPPTPPPVADVPNEELKRRLETYYEVDDETELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELDNLYNARQHVEKKIKSDEFFNMDDDKWNGMIKEAVDNGHLSNMKECENILEDMLHWDKLLPDEIKKKVEAKFNELGDMCEKGDLEPEQAYELFKEFEDKMVLECTELMEAETPTDVDEFSQMENKNVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLTRHAFRRLREIVGKRYNSGKDELTIISERFEHREENRKDCLRTLYSVVEDANKANKLAEDARNAYVKNRLKANAQFMERLKMKTEKLRVAA >OB02G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:9994502:9998484:-1 gene:OB02G23220 transcript:OB02G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSFFASNRKKSGGGRGSSGGNPFDSDSDDGGREQRPARASSVPPPADQQRGSLLGGGAEGFSSSSSSAAAAARSRYRNDFRDAGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRETASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRQKLGLADHPPRSNARQFHSEPTSALQKVEMEKAKQDDALSDLSNILTELKGMAVDMGSEIDRQTKAMGDSEKDYDELNFRIKGANTRARRLLGK >OB02G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10002804:10003118:1 gene:OB02G23230 transcript:OB02G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLALTVERTQSLTPAVWAASLLKEDVASSKLDGVPILFDGDDGALKLRSGKRTTSREVKLEVKMEDDVWSSAGGTRRTISCPSLKTCFHDFASHVTREYSFI >OB02G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10004648:10013335:-1 gene:OB02G23240 transcript:OB02G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRSARPRTEGVSGFEGSSHDERKEQKSDADNSEDDRTTRMGSLKKKAINASNKFRHSLKKKSRRKAENRGSSISIEDVRYFEELQTVDAFRQSLILDELLPARHDDYHMLLRCLKARKFDIEKAKRMWAEMLQWRRDFGADTIIEDFEYTELEQVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMERYVRYHVKEFEKSFLIKFPACSIAAKRHIDSSTTILDVQGVGLKNFSKTARDLMTRLQKIDNDNYPETLCRMFIVNAGPGFKMLWGTVKSFLDPKTTSKIQVLGAKYQSKLLETIDASELPEFLGGSCTCSELGGCLKGEKGPWMDPNIVKMVISGKTHHKRQIVTVCSDDQKVIVYEKPQYPAIKGSDTSTAESGSEADDMTSPKAIKTYGTNLKLAPVNEERVKLTKVASISADRSRYDVSVPMVDKVVDASWNKEISNELRLRSKGPSFSEAEKSPGSLCARAIALLVSFVMMIFTLVRALTTRIEKRPLDKSTELDDNYSVYSLDYSTTKEEFRPPSPSPGFTESDILSSVLNRLAELEEKIDVLQSKPSEMPSEKEELLNAAVRRVDALEAELIVTKKAFYDALMKQEELLAYIDRHEFSKFEKKRKFCF >OB02G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10018450:10022322:-1 gene:OB02G23250 transcript:OB02G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTISKLSCEQMYSWYFTREELEKLSPSRKDGITEKMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLHQSLAKNGWQTIATVCIFLASKVEDTPCPLDHVIRVAYCTIYRRDPATARRIHQKDVFEKQKAQILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGITQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLQNIKLPVHAGHVWWHQFDIAPKPLEAVLQQMREMVHMKAQLFAHPSPAKQKEALFEGMPLISNSPDSVLTQSSLLVSSSSPEISDPNDHLQVDSSQDIVSMHIEDGGKSYSERNLMNMTSARNNPSKTHNKESLGQDLKIKHGSGVISCNQQIPLESTAKIGISTDKCVEQNVSICSNSRNTFNAEVLNQVPISWQSVDRANLCSEGGPLHTYVDSKSTQCVEPPTTNSNYSGDSFNVNSLCFDQGANSSAGTMEKASSVSPVHMRVDHLCVERRKVDVVRIKDLLMKRKRQRERQEQHIPSVDLSEEAWIEKELESGIVIKKADHAVALDDLSVEGWIERELESGIIIGKTNDKPVAFDGLSEDDWIERELESGIIFEPGQAGKKLKSSFC >OB02G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10023218:10023457:1 gene:OB02G23260 transcript:OB02G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGDAHRPARMWREQRDAPRGVGRGSAGEEEDTGGEAEEGIETTTTTTEEGHQLRPRVGSSSDLRVDSYPSQARWAR >OB02G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10033035:10036330:-1 gene:OB02G23270 transcript:OB02G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEVVRGDERRQQLQTQVKLTPTATTTTTTECDCDCDPPSFTLQLGEKQGSDDGLQSQRGKILVLGGSFGTADARTSKGTPPVTARPAANGIPRHAAARAAERSPASTEKTSSPSADRRSPKMASRVNTPPSAEKQGSAVKKQSMELQAQLAAIQEELKSAKEQLAEKEMEHHKVADDARRTADEANAKLRDALAALKKAEEASETEMFRAVELEQTSIESAQRKQELQRRLDATRRQQEADAAALRSTVAELEEARLELADAIDAKNLALGQAGDALRASEASAAKVERLNAEISRLRESFDSELESRVKESAEKTRKLEAEASVLRIKLKKAKVTEEKVAELEAVVEGLRADVAIAIQARRDADESAAEWKKKAELLEIKLEVANQSSILKAESLSSVTMELNTANASLQEKESQLSLLQRKVESLEHEVVKQNEDINASGQRVDAAQREASGLRAEIHDLRSRLRAMEQEKADAIINHGSSASSQIDAIREEKDRLARELEHSRDECEKVRDAMEDLASALQEMSAEARDSRERYLGKQEEIELARARIEELSVSLNNSREHYEVMLDEANYERVCLKNKVEQLEAEAKNSSEELRSKELSFATSVTNSEEEIVSMRIQLGNAAQTARDLEKRNAQLEQKLRELEEAQVAAANRGSSRDAKAACKQNNEQQQNDGLHAKESSAGSEKIRDLYSLIGSDRGDTEKDGAPVLLVSKMWENSKIADYNLSKERDDGEPEADALDSTNRDSASDGTTHGRANNSNTKLVIKQNQQKKALMKKFGGLLKKKSQH >OB02G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10043172:10043381:1 gene:OB02G23280 transcript:OB02G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVHLWGSDVELKCDIADDSRHRNTSTCWPFGFGFVPPIYLIVVLCHFLLFSFFFSLFIVVQMDRKLGT >OB02G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10052767:10055898:1 gene:OB02G23290 transcript:OB02G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRGIFPMGYKWVFVRKRNENNEVLIDVVTTYLYGSLDSEIYMKVPDGIDIPNEKKSLYGLKQSGRMWYNRLSEFLSLKGYTKNDDCPGMGFQRKIKILAKRTVQEAEAVTRPPHPAELPSLKTKRPRGEEEEEEGEAAAAASTPTGCGGGGGGAEGALRCPPAPKKARLVKGCSLDGFKVLSVLDLRFFLR >OB02G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10062584:10064232:-1 gene:OB02G23300 transcript:OB02G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLKARRSAAASSLQFALVFALLISNSLAGRQRSFMIDQGSAQLQQGPEAEKKNGARIQVHGRILSVKTNDYGSYDPSPSMDKPHFKLIPN >OB02G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10074198:10089430:1 gene:OB02G23310 transcript:OB02G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPPETTANHHRHHSALPASASRRTPSWGSAISQSFRQVEADDPFRRAQSVREHDEEEENLRWAALEKLPTHDRMRRGVVRSTLLQGGADDAGKPVELVDIGTLAAGEAARALVERLLQDDSERFLRRLRDRIDMVGIELPKIEIRYEQLSVQADAFVASRALPTLSNAAVNFLQGLVGQLGSANMRAIDILKEVNGILKPSRMTLLLGPPSSGKSTLMRALTRKLDTNLKVSGNVTYCGHTFSEFHPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGSRYDMLIEISRRERNAGVKPDPEIDAFMKATAMQGQETNIITDFVLKVLGLDICADTIVGDEMIRGISGGQKKRVTTGEMLTGPARALLMDEISTGLDSSSTFQIVKFIRHLVHIMNETVMISLLQPPPETYNLFDDIILMSEGYIVYHGPRDNILEFFEASGFRCPKRKGVADFLQEVTSKKDQQQYWFLDQEPYQYVSVSEFAERFKSSHIGQQMLKELHIPFKKSKTHPAALTTMKNALSKWESLKAVMAREKLLMKRNSFIYKFKIAQLIILSFLSMTVFLRTKMPHGKFSDGTKFLGALTFNLITVMFNGLSEMSLTVQKLPVFYKHRNFMFFPAWTYGLATILIKVPISLVEAAVWVSITYYVMGFAPAAGRFFRQYLAFFATHLMAMALFRLLGAILRTMVVAVTFGMFVLLVVFVFGGFVIRRNDVRPWWIWCYWASPMMYGQNAISVNEFLASRWAIPNNDTAIDAQTVGKAILKSKGLFTEEWGFWLSIGALIGFTILFNTLYILALTYLSPIRTTNTLVLDEGNEIELYAKTRNKGQMSDNASNDRSIIKSNTTSSISMNTNRARNSPTQSQIGLPFQPLSLCFNHLNYYVDMPTEIKEQGLTESRLQLLSDISGAFRPGILTALVGVSGAGKTTLMDVLAGRKTSGAIEGSMTLSGYPKKQETFARISGYCEQADIHSPNVTVYESILYSAWLRLSSDIDSNTRKMFVAEVMALVELDVLCNAMVGLPGVSGLSTEQRKRLTIAIELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGELGDHSHKLVEYFEAIPGVPNIIEGYNPATWMLEVSSTLAEARLNIDFAEIYANSQLYRKNQELIKELSIPPSGFQDLLFSTKYSQNFYNQCIANLWKQYQSYWKNPAYNAMRYLITILYAFFFGTIFWQKGKSLNSQQDLNNLLGATYAAIFFIGATNCMSVQPVVSIERAVFYRERAAGMYSPLSYAFAQASVEFTYNIIQGILYTCIIYAMIGYDWKANKFFYFLFFIVSSFNYFTFFGMMLVACTPSAVLANILITFALPLWNLFAGFLIVRKAIPIWWRWYFWANPVSWTIYGVVASQFGNNGGSLSIPGGVPVSVKEFLDDNLGIQHDFLGYVILAHFGFMATFVVIFGYSIKFLNFQKR >OB02G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10097724:10104853:-1 gene:OB02G23320 transcript:OB02G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERTPFYLYSRPQVVRNFTAYRDALEGLRSVVGYAVKANNNLRVLQLLRDLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNVDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDIKLVGVHCHLGSTITKVDIFRDAAVLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEDDGSIAKIRHGETFDDYLKFFDNLSA >OB02G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10128857:10132098:1 gene:OB02G23330 transcript:OB02G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHHLSSATLDGHYEEKRKSNVEYSEDERKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKEFGADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVREFEKNFAVKFPACSVAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEVMKMVQSGAGWCGNLSLNHSETEEKMMICEDDAKVAKELPAFRSNIFI >OB02G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10141672:10143883:-1 gene:OB02G23340 transcript:OB02G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAHQALVSILVVLFASVRDAASKSFAITNSCEYTVWPGILSSAGSAGMDSTGFALAPGESRTMSFFKNACPRAYSYAYDDATSTFTCTGGDTTYAITFCPSTASVKSAGQNSAGLPLMNDTMVYLAGDQVNAAAPPRPLVALLVAAVYLAVACTLH >OB02G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10142951:10143652:1 gene:OB02G23350 transcript:OB02G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGRAGAEGDGVGGVAACAGEGGGGVIVGVAVRPRARVLEELGVGRRPARVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAVAGHAGELPGAVCGAERAAPKPTRPPRRHRHGPRLARREREACAVHPGRAGA >OB02G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10147047:10148681:-1 gene:OB02G23360 transcript:OB02G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAHQALVTLVVLLVSVSGVRSKSFTVTNNCGYTVWPGILSAGNSPALDSTGFSLAPGESRTMTVPHGWSGRFWGRTFCSTDPSGRFACATGDCGTGRLDCAGNTAKPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVTPQSGASGGSCVPTGCMVDLNGRCPAELRVALPAAAAGGDGVACKSACEAFGSAQYCCSGEYGNPNTCRPSSYSQFFKSACPRAYSYAYDDATSTFTCAGTATTYTITFCPSTSSVKAAGPNPLINGTTVNAGGNESAAAAPRPPSRLVLVCVAVFALARAFL >OB02G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10173646:10174532:1 gene:OB02G23370 transcript:OB02G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRQSWTFEVAFIVLAGFATRGFSKSFTITNNCDYTVWPGVLSSAGSVAPESNGFELAPGQSRTMSAPAGWPSPYSRFFKDACPRAYSYAYDDATSTFTCAGGDTSYDIVFCPSTASVKSVGSDPGMVYAGGAHVASSAPRVGAAPWLRPGAIVVGVALLASI >OB02G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10197414:10197704:1 gene:OB02G23380 transcript:OB02G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGESRRRYVVPIACLEHPDFLVLLRKAEEEFGFEHDAVITLPCHEADFEALLAALTA >OB02G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10219197:10219532:1 gene:OB02G23390 transcript:OB02G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSKKPGQAAQLKQMLRRCSSSLGRKGGDGLPGDVPRGHFPVYVGVSRSRYIVPVACLAAPEFQGLLRKAEEEFGFAHDMGITLPCDEATFHAALASASASASAAAVR >OB02G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10260945:10261916:1 gene:OB02G23400 transcript:OB02G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:J3LCH3] MDPVTASIHGHHLPPPFNTRDFHHHLQQQQQQQQQHQLHLKTEDDQGGGTPGGVFGGRGTKRDHDDDDSSGNGHGSGGDGGDLALVPPSGGGPDGGGGDSATRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGGGISASIQTFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAAPFANAVYERLPLEDDELLAAQGQADSAGLLAAGQQAAQLAGAGAVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAAGGRPAPF >OB02G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10301539:10311297:-1 gene:OB02G23410 transcript:OB02G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTVLGFFGFGVGLAMGLVIGYYLFIYFQPTDVKKPVVRPLVELDIKLLESMLPEVPHWVKNPDFDRIDWLNKFVETMWPYLDKAICKTAKEITKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSVKWAGNPNITVVVKAFGLKATAQVIDLQVFALPRITLKPLVPNFPCFAKIAVSLMEKPHVDFGLKLIGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPEKAQKKPVGILDVNIVRAVKLTKKDFLGKSDPYVKLKLTEDKLPSKKTSVKRSNLNPEWNENFKLVVKDPESQALELTVYDWEQVGKHDKIGMNVIPLKDLIPDETKSLTLDLHKTMDANDPANDKFRGQLTVDVTYRPFKEGDSDVDTSDESGIIEKAPEGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRIGFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKFHLIDSKNGRIQLELKWRTS >OB02G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10317988:10319831:-1 gene:OB02G23420 transcript:OB02G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDGSVGEAIAAVVERYAKEGRSPRLDPAAAEAFQLHHSHFCLQSLDKNDKIGDVGGRNFYLHKNDGYNRIYLQSEESDINLISGEFAQSFGGQQIVALNHDQLFAIVIKKLDKIGRVTKRIWRLLTCNCT >OB02G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10347345:10348038:-1 gene:OB02G23430 transcript:OB02G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAETETRRRGRRLGFARTKRRPKVEWSLRCGPWNDRAATTTSDKNNVMRTQRSDHVFFVVGAIAVEGKEARSQHPRPKATSADHVGGSSGS >OB02G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10419722:10422076:1 gene:OB02G23440 transcript:OB02G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATKEEAAAAASAPAPAMGSEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPAADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPHFSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRADAALALLADWFVESSGGVSLSSVAHPKLRSFLRHVGLPDLQRTDLAGARLDARLAEARADATARVRDALFFQLAADGWREQVVTLSVNLPNGTSVFHRAVPVPALAPSDYAEEVLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLESKHHWMVNLSCQIHGLTRLVRDFARELPLFRSAAAKSTKLAAYFNAKPTVRSLLHKHQIHELGHASLLRVAHVPFNSSSGSDFRAAFEMLEDVLTSARPLQLAVLEESYKLVCIDDSAAREMAEMVQSGSFWNEVEAVHLLVKLIMDMVKEMETDRPLVGQCLPLWEELRGKVRDWCDKFNIDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSREEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCSPSMVRWLSAPGSLAGGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLTEGEDDVLNEPGTLERSSV >OB02G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10423425:10423676:-1 gene:OB02G23450 transcript:OB02G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSRGEKGAAGGATEKTSEKSICTDAGTACITQAFLSSSPVQEHVPAAPIKCSFLHQNIDEKGRRVSSHGRSDPKARRALR >OB02G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10467972:10469439:1 gene:OB02G23460 transcript:OB02G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTYIMASGETQEGESKGKVHSIDVWDKAHQKKDPHLKAALEMVYNELAKRNYTKCGNVSTKDYEEVFEENIDEQVNNTKSYNNVHSKPSVEQQLHSFSYATATDGHVNLSVPQEYMIAKQVSTASTAKRLKASHRSTEPTMVGENETNKVHSFEQANMAIQMDLLIT >OB02G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10505020:10505175:1 gene:OB02G23470 transcript:OB02G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQDGNYSKNMPARRPDSTRVETIADAPIADAPAEAAAHDEEKSEIERTWLQ >OB02G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10514454:10533168:-1 gene:OB02G23480 transcript:OB02G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIMSYSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLNDMSGRNPLYKKAYVFFSSPIQKELVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVMTTLRDLVPTKLAASVWNSLSKYKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLSMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHVHIADASERLHDKMSNFVSKNKAAQLHQARTEGEISNRDLQKLVQALPQYSDQIEKLSLHVEIARKLNSIIREQGLRDVGQLEQDLVFGDAGTKELINFLRTQSGVSRESKLRLLMIYAAINPEKFESDKGEKLMQLAGLSTDDMIAVSNMRCLCGPEIKKSSAGGFTLKFDVHKKKHGLRKERTGEESTWTLSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFIIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSLSADELSLDDLQI >OB02G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10553036:10554050:-1 gene:OB02G23490 transcript:OB02G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSIHELSSTSLPTVPPQPLRETSPSTATDEFPIIYYHTSHFLMSVCVLKDEPILNLDPIISLSISDLWRNSLLRKLYMLEEL >OB02G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10563650:10580464:1 gene:OB02G23500 transcript:OB02G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G06210) TAIR;Acc:AT2G06210] MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAHQKEAHFKEATQYYNRASRIDETEPSTWIGRGQLCVAKRDLQMASDSFKIVLDDDGSNFPALLGQASVYFLMGDMEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQPDKARQAFQRVLQLDPENIDALVALAIMDLQTNEAGGIRRGMDQMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWAAAMEYLKTARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFCVSIIDGSVGSSVVNWSVQYRDQSFFHQLEEGMPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKEKNNVQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQVHEAASGSIFVQMPDVWINLAHIYFAQGLFQQAVKMYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNNPGKRKDRSKHEDEEGGSEKRRRKGGRKRKDQKTKAHYGEEEEDEYRDEPEAEDDYPNTARGNDGDDSEKAPGHLLAAAGLEDSDAEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENNLSD >OB02G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10582761:10583441:1 gene:OB02G23510 transcript:OB02G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQASIAITIHFRQRRGHPQSAIERKRRAWSESEDDEPVQRPVQPSADDGQM >OB02G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10602981:10604816:-1 gene:OB02G23520 transcript:OB02G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTVLPLCLSIFLFFQVSIAQYSFSESPLQIRRGFRGDQESRQQCRFDHLAALGATHQQRSEAGFTEYYNTEATNEFRCAGVSVRRLVVERKGLVLPMYANSHKLVYIVQGRGVFGVALPGCPETFQTVQSSFEQQMATASEAQSTTKKLVDEHQQIRQFHQGDVIAVPAGVAHWLYNNGDSPVVAFTVIGTNNNANQLNPRRREFFLAGKPRSWQQQLYSYKGEQQSSNQNIFAGFSPDLLSEALGVSKQMALRLQSLNDRRGAIIRVEHGLQALQPSFQAEAMQEGLSQQQQPTWLQSGRAGGQRNGLDDIMCSFKLRKNINNPQSSDIFNPRSGRITRANSQNFPILNIIQMSATRTVLQNNALLTPHWTVNAHTVMYVTTGQGHVQVVDNRGRSVFDGELRQQQILLIPQNFAVAVKARHEGFTWVSFKTNHNAIDSQIAGKASILRALPVDVVANSYRLSRQESRSVKFNRGDEMGVFAPRSGQQQSVEWQINEQ >OB02G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10608574:10611024:1 gene:OB02G23530 transcript:OB02G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASHEDRRNKRRKKKSSQGGEEGHILTADAAVCPQFKNLAKHVRQYEQEKKEGREGKAPATPAETKREKADQPALDDNDDDVLSFQDGGACAHASRRSFNAGIGEVHGGHPLRLPPAQGAGPSDPITVHDDVKTALACAEMRADTLAVAIAAMFIDQDLEALASLTPKKRITSSDEVHVKLIQLGDDPSKTSKIVNAGPTFQRSTCITLSSQIGCNVEAYVDDLVVKMHNRETLPLDLAKTFDSLRTMRMKLNPKKCIFRDPASNLLGFLVFKAIQGIHPLGSIGTCRPFVWTEEAERSLSNLKQYLSSPKAGEPLLLYIADAPSPRRGHEAPKRLGGLMATDPVGACPRSTQATTALTPPLRKSNPGQRRRKEALGPNDGLGPPQNLRGTSPPCSVASNAPSPQRGHEAPERLGSPMAPDPVETCPSNPKPDTPEPNAPNALARALPKGRHQSTSSMRP >OB02G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10618837:10619895:1 gene:OB02G23540 transcript:OB02G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLMDSEVVSLAKVSAAVWAASFCARLAAANLRPGAPRLAALLPVVALFCGIPFSFSTTTFRGSSAFLLSWLGVFKLLLLAAGRGPLDPSYPLHQFVFSASLPVKLRQFTSAKSKYVDAAADDKSAAGKILVSGAVIPVIIYLYQFKNAMSRYQLLILYAGHIYFSLQLLLAAVHAVIHGVLGMEMEPQVDRPYLASSLQDFWGRRWNLMVPAILRPSVYRPVRARLGVAAGVLAAFLVSGLMHEVMFFYIMWRPPSGEVTAFFLLHGVCTAAEAWWAGHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMIKGGLDEMVLRECQGMVAVMEQSGRWLAGATNVTFATR >OB02G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10634267:10635226:1 gene:OB02G23550 transcript:OB02G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYARLVAAHLAPGIGRLLAFTPVLALLLVLPFAIPLYGARGTAAFFLVWLGEFKLLLLASGRGPLDPSVPTVAFVFSAALPVKLTQEPPSDDGVVAKRASLPIVSLAIKLAVMAVAIFYLLREKNEMNGYMACTFYAVVMYCFLDFLLPCVAAVAGALGMELEPQFDRPYLSSSLQDFWGRRWNLMASAVLRPAVYHPVKARLGAPAGVFGTFLVSGLMHEVIAYYISFRAPTGQVTAFFALHGFCVCAERWCARRCRRGPPRAVATVLVVGFVAVTAFSLFFPAIFGDGVDDIFLAEFGALGSSFVFCGQRLLGHDH >OB02G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10676683:10676868:1 gene:OB02G23560 transcript:OB02G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTRVVRSVYLPVGKGVEVLHVGVGKGPSMQNRRGSGGTSRWIRHVDHDTGEEHVFRRQ >OB02G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10680298:10682197:-1 gene:OB02G23570 transcript:OB02G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRSSGGAHGDGSGSGGGNGNNSGDNGNNFNGGFVEKALLGVVGSWVVLFSTPKEDARYMFDKMPTRIGGEQEKFSTALTFGQEGNVPGCLPKCGYVGPTGTSSPLRVDENVDVLESGHVLMGPRSLSKENMKTEDGTVNPSRKRQRCAMLEKRIGQRKKFYPWDLVGGIGR >OB02G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10703293:10705583:-1 gene:OB02G23580 transcript:OB02G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRQTVATAEKRQTATADKATAEKRRWRRRRGLGGGGVPDYRNARTWFAYNGSDGFEVPSSLVLGKVFIDKCSWETFMTVATPCLLTSFDDWWDEIHQHLFAVPVSFYCNRIDSDYDFSDYESQWETDRIFDLGTSSSRAWSSPPPRGTSRTFLSHQALRAKIAVEAIPMEATSADIDAAIDAMADEQSGDNADQTTIPQPPPTGTTTSSPPKMGCKHKVITHRPYLRKPKDLDDFFSFDVGQYLDPSKADVDASATYTEQLTNILARLDYPVDTLINDGSPIK >OB02G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10715521:10716914:1 gene:OB02G23590 transcript:OB02G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFMKEPRSGWSGWTMTRFRKELRSGWSRNHVTWLDKSHDFWRLISVNGGGIVTRLKEELRFGRWRMSGDDIEYEVAYGGGTPCRMKIVAGFICCMYKRRSIILRGVLLIQLREVRGGMPEQGRGRGAAAGRRVVAAADVDDQGEEHEDQADREGDRLHAAGASPRRQAQEEAQRELRLRMVRPPFCFLSSLSFIRCVQQQQ >OB02G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10726554:10727333:1 gene:OB02G23600 transcript:OB02G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLPLPASIDGVEVLDDMKVVATKYLFGKVLMPSDVFWVTEQLVIPDEHAGKLDIVTKVKDREGFFVIMAMDGMVPGKLWRFRYWNHNSIHRLTSGWGCYVKEKGLTAGDAISFFHGTTCGRLFISCNCKRDTRTGSSSATMSHPRNEGSMPVRSRNVTSKFGGAGAQHSRRSPRHRRRSLVHPEQEPTDMPPILESMTLVPTPPPTVKRVRLFGVYIDAPPPLSFDDEPNQESNSHCGRAIDRDTDLKKRRQARGD >OB02G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10736043:10739781:-1 gene:OB02G23610 transcript:OB02G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYIKNLLSVVSSVTNAAGGNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMVQDLTGFYVILEEFFMVENVRKAIRIDESVPDGLTTSMVDDAFFILQSCCRRAASTGSINSVLAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCSEAFHSPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRVRPVLDTVATVSYELDDAEYGENEVNDPWVQKLLLAVNSNVVWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >OB02G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10741508:10743797:1 gene:OB02G23620 transcript:OB02G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMSIVVPVCLTIFLLSQVCIAQVSFDGSPLYSSRGFRGGSASQQQCRFEHLAALEVTHQEKSEAGSIEYYNTEARDEFRCARVSARRLVIESRGLVLPVYANAHKLLYIVQGRGVFGMALPGCPETFQSVRSAFEMATGDAESSTRKLRDEHQKIHQFRQGDVIAVPPGVAHWLYNNGDSPVVAFSVIDFGNNANQLDPKPREFFLAGKPWGWQQVQYSYQSEQQSKHQNIFAGFNPDLLAEALSVSRQTAMRLQELNDQRGAIIRVEQGLQLALDPSFQAEQEQEEQPQEYLSSQQQQPTWSQRSGACVQNNGLDEIMCAFKVSKNINSAQSTDIFNPRGGRITRANSQNFPVLNIIQMSATRTVLQNNALLTPHWTVNAHTVMYVTAGQGRIQVVDHRGRTVFDGELRQQQILLIPQNFAVAVKARHEGFSWVSFKTSHNAIDSQIAGKGSILRALPVDVLAKAYMLSREESRTLKYNRADETLVFAPRPEIQLYAESEK >OB02G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10750758:10751164:1 gene:OB02G23630 transcript:OB02G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLLRSPSSLKGRKVIAIEVVAFAVTTFSYRLPLPRLPPLALPTAQLLSSIQQQQGSSLFI >OB02G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10750782:10751039:-1 gene:OB02G23640 transcript:OB02G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKLGCGQSKRRKTRKRKAIAKGGDSKCHHLNGYHLPPLPIFQSKSRNRSNNESYELFRSVPVRNCSTSSLIDPIDSERNLQAGR >OB02G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10751504:10754770:1 gene:OB02G23650 transcript:OB02G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVKRCTASLEDFVGQEACAALGIGDDVRCLLATLQRVQAIVSHEERSRVLSAKIDGWVAQVKDAMYDADDVLDVSMIEGGKMLAEDSPPTRRPRCSLIFSCFRPASAPRFHHEIGFRFREIDARLVEIEPEMPSLPAGSAHPESTREWFSKSIICGKCSDAIKPHAVGTQVQKSLDGLVPRMIREGKKKVDVFAIVGAVGIGKTTLAREIYNDERMAETFPIRVWVKMSRDLTDVDFLRKIIIGAGGGANAREIGSKKELLGHLSSALSKRFLIVLDDLDNPGIWDDLLKDPLGDGVARGRILITTRNEQVAANMKAIVHRTDKMDAENGWALLCRQVLPGCSSEELAVLKDVGVKIAERCDGHPLAIKLIAGVLRSRGKSKAEWEMVLKSDAWSMRPFIQELPQALYLSYVDLPSQLKECFLHCSLYPEELPIQRFGLIRHWIAEGLVNDTETKLLEDSAEEYYAELISRNLLQPDTDNLEQCWITHDLLRSLARFLITDESILVNGQQRFSTGPLSSLSKPRHLTLWNMESGLEDPISVKQQMSLRSLMLFNSPNVRSIDNLIESASCLRVLDLSKTALDALPKSIGNLLHLRYLNLDETHVRDIPSSIGFLINLETLSLQNCQRLQKLPWTIRALLQLRCLSLTGTSLSYVPKGVGDLKHLNYLAGLIIGHDNSAPEGCDLDDLQTLSELRHLHIENLDRATSGAAALANKPFLKDLQLCEQAPLIEEQQSEQEQDNQEDKKETEEEKEVFDVTSSQSGREESIKVSEKIWNELTPPQSIEKLVIKNYRGGNFPNWMTSPKLSISFPSLVYLNIDNCMSCTALPALGLLNQLQSLQISNADSLITIGSEFLSTATSTSPTTSFPKLEVLKLKNMKKLEVWSLAVEENQILLPSLKSLHIEFCPKLKALPEGLKNVVMRELYVEGAYSLTEIKNLPRLCDNLELKDNRALQKISSLPLLQSLTIDNCPKLKHVSGVDTLQHLRLVFPPSTETFYFDELIIFWSIAFPRWLEQLMHKHNGLRRFELQCSLPLLRSCLDGGKNWNIVQQIPEVRITSTDGKRYIRYNKRRCIYETNAQSED >OB02G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10759700:10760096:1 gene:OB02G23660 transcript:OB02G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESDSWALATDPSGEVKVLGHDGDALGVDGTDVGLLEEPHQVRLRRLLQRQHRVALEPHIALHSRAISLTSLWNGSFLFSNSVPF >OB02G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10759712:10760583:-1 gene:OB02G23670 transcript:OB02G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCRMARTKQTARKSTGGKASRKQLRTGVRSEIRKYQKGTELLKRKLPFQRLVREIARLYKVATLILWVIGHSAVQWSMCSMDRLYYCMRSEASTLIERFISGTYMQSDMRFQSHAVLALQEAAEAYLVGLFEETDICAIHAKRVTIMSKDLHLARRIRGESP >OB02G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10763779:10774674:-1 gene:OB02G23680 transcript:OB02G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAAAMAAAAREEEEASPPQRLVEAALRGDVAAVEACLAAAADDAGGGLREEAAAEVIVEFRELKTDVSPLFAAAHAGQAEVVRTLLSAGADVNQKLFLGYATTAAAREGNKDILAMLLQAGATQEACEHSLSEAILFAEAESARLLVCSEMIGPEAATHALVTASSGGFDDVVVILLQNGVDVNSMARVLLRSVKPVLHANVNCTPLVAAVMGRQISTVKLLIEEGSYLDCLVQVSSWCWDAATGEELRVGACLVEKYNVIWCAVECYESSGEILKLLLDKAPWLLETPRKGRNLLCHAILCQNLNAVSVLLHAGANARFPIMTKLRHVSYPIHFAARLGYAPVLKQLMLDGAQINAQTSTGDTPLMISARSGHSDCFLELIKFGADLGMVNNAGDSAMMLGKKSSFRSAIVDILSRALSSGACITTSDISVFSPLHYFAASDSPEALLMMLHYSAEDLNKLDGSGLTPVMVAAESGHADIFRLLVMAGADIAVTSAEGKTVMSIIRSRTPETRDFFEQMLLQASLASVITSQTSFRPPHYAARMGDVSSLLQLLKMGHDPNSQSQDEDGYTPLMHAAAAGKLGSCKALVSHGGADCGVGNGRNETALSVARNSGRSKKATEEWLLDHLQSRAHVLAGEELMKHTRGGRGSPPPPPPMRSGVLTWGATRRRNVACREARAGPSAAFARNRKASIEAPARQLIILVETVTGREIHFEASRASSVELWVRGINLVVYMCAWSRAQGQTVGLQISSSVILLKALNL >OB02G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10779085:10784784:-1 gene:OB02G23690 transcript:OB02G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEKLKEDVANIIATSVTCTLLERLQLIDALERLCVNHLFEEEINVLVMQISGINVTDCDDLHTVALWFYILRKHGYRVSQDVFAKFKDQEGCFAVSNPRDLLSLYNAAYLGTQGETILDDAISFTRMCLESAHANLEGSLAREIKCALDIPLPRRVRIYEAKEYILIYEREQVTHETILELAKLNSNIMQLHYQDELKIISRWWKDLQIESRLSFARDRIVECYFWIVGVYYEPRYSRGRIILTMVIAIVTLLDDIYDIYGSSEDCELFTRCMERWDQEAAHDIPEYMKFVYRKVLDAYKYMEDLLAEEEKFRISYLRNLTIELVRAFNTEVKWRDARYVPASVEEHLHISTRSGGCYLLSCASLVGMDHIATKESFIWVSSAPKIVRALCIILRLSDDLETYEREQVTLHVASTINSYMKEHNVSIESACEKIKELIEDTWKDFNDEWLTLGNVQQKQLLERIFNLARTMEFMYKQEDKFTNSHSLRDRIQSLFIEILYSPNDS >OB02G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10827159:10829690:1 gene:OB02G23700 transcript:OB02G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLRVTLARAVPSRPSGPQRLRSSCSRWNGCGRHARAYMGHALAHVVCKFLSGHEWCLGSTQSRTGSSHFAEVCVNPERLCMYRLARVGAARRIPLRNIASRTTGTMSSDVEMRRATVSDTINVFLAKTSVASLSIFRTKKSGYALYYGMEGVGCAPAPFTVNQVTNENILVLFVSYVAVGTIFRWNRWFRSNHNSKGRLFQP >OB02G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10838020:10838256:1 gene:OB02G23710 transcript:OB02G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCQYRGVRNWGKWVSDISQPGRKTRICLGSFELVEMAVVAHDVAALRLRGHDARLNFPGSVNVISRNLHQISEQ >OB02G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10842677:10842933:-1 gene:OB02G23720 transcript:OB02G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDMIYVGDLGYMSTPCPSPPSGVDNLYPHEDPNDTVILHLAFLDNDDINIINEGIYNLRYDQTLPQDTQSLTTRFKQLKHD >OB02G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10854214:10863617:1 gene:OB02G23730 transcript:OB02G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAELLGLLLLVQMLLWPAAVRARVTALIVFGDSTVDTGNNNAVPTVVRSNFPPYGRDFPGRRATGRFCNGRLATDFYSEAYGLRPFVPAYLDPDYGIRDFASGVCFASAGSGLDVATAGVFSVIPLWKQVDYFREYKRRLAAHLGAAEAAAVVSDAVYAISVGTNDFIENYFAGTTRRFTQFSVGEYTDFLVGLARSLLVELYGLGARKEYNAAARAFNAALCGMVAELSDDLPGAQLRFADVYDFFADILGDPTRYGKATLAFFSCSCVQLE >OB02G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10864881:10865159:-1 gene:OB02G23740 transcript:OB02G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRSLQCAAVLLAVTLLLSSSSAATATTERYNYPRKLLVAPVRTSPETAWTRGQQQGMDVSGWRTAAPFRRPGASLGRRLPGSFANPSHN >OB02G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10869031:10869498:-1 gene:OB02G23750 transcript:OB02G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLVPYTHQNLTWTQHVFNEKVDELRLVAVDVFARLKAWWACLQKHMKTRGEVLDAELRYKLVDDETLPEISVRSETAKRSRDNIAHNLFHRGLAGTTKTRYIQFNLQQGHKKTDMIEFKKYLQHLHCYRVM >OB02G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10871870:10872217:-1 gene:OB02G23760 transcript:OB02G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEQCELLRHLSHYSSCHLVIIHVALFGSFCYDEFVSSFINDRRTIVILLMTMSSGGHKRWLGHKRWLVTHLHFINDDVLWWSQKMVSDDDVLWWSHKMVSDDDVLLWLQKMVT >OB02G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10883895:10885130:-1 gene:OB02G23770 transcript:OB02G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin family [Source:Projected from Arabidopsis thaliana (AT3G05330) TAIR;Acc:AT3G05330] MARLQELQYTVAGGAKVVSGVSLSPRSMRGYLRTSLRCKQETVRMRTTPAHKRSPNSKFGGGDGGAAQWRRMSLPAMLLGETVLEIVQASKFAGDIVAVVDAGANKSRDAPKTPKPVTRTRKVAIEATPLRARRAREKHSQRGTAHAEVSTPPSRGRVRSRIQFKATSPLGRPSVSANRVSPRNRPWAKKTVMFPNPTFIASTSSAAYDSPSPSKKQKRFYKTRSPVTARQTPHKFLVKSPPSSLGSKLKSHGKLHPSRPVTVSPPEKVQAAAAAAAAGAAPTRRCTFSPSRLASRLVSSPAKAQAAASNNKGRRCSFSPSRLATRLVSPIKARLSLTRSRDGGVQGGGGMVSGLKQRPGVSMTVRTVSSRIPSY >OB02G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10893759:10895831:1 gene:OB02G23780 transcript:OB02G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G42120) TAIR;Acc:AT5G42120] MPSRRTCLALLFLLLLAVCPLSAAAASNVAAAPASSYRRISWASNLTLLGSASRLPDAAGVALTTPSRDGVGAGRALFSEPVRLLLPYDAASASSSGPATPASFSTRFTFRITPSPSYGDGLAFLLTSSRSFLGASNGFFGLFPSSSASDESELRDVSTVAVEIDTHLDAALHDPDGNHVALDAGSIFSVASAHPGVDLKAGVPITAWVEYRAPRPRRLKVWLSYSPTRRPEKPALSADVDLSGLLRTYMYAGFSASNGNGAALHVIERWTFRTFGFPNSSHAPPPTKNIGPTSPNQPPPPSPPPPPSILQGGPPRRRRLFYKVLGGVLGGMVLLGLVVVSSVVWLARSVRRKQQEPAGHDEDMPEATLSMEVARAATKGFDSGNVIGVGGSGATVYEGVLPSGSKVAIKRFQAIGPCTKAFESELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAVYGVASALAYLHDECEHRILHRDVKSSNVMLDAEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGISVVNWVWTLWGRRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPAMRRVVSMLDGTAPLISVPDKMPPVLLQPLTNQVSSMNSADTANTAFFSCR >OB02G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10900217:10901570:-1 gene:OB02G23790 transcript:OB02G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPSTFKMKMKKLKLRMETGKSSVVRIQWHTRTSLHSRCSVHRNNGEGKETLREGLRGMEDQREASKSRWRPKPSRLRVRFGVQDKSALKRVARSHMNLD >OB02G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10912290:10912979:-1 gene:OB02G23800 transcript:OB02G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEHRAMSKLRVDIDSSLDSNDDRDRDDLRQEVSWSSHHHPAVAAGNIKQRRLLSKQLSMKETTREAKWEKRRRQILRRSSMVAVNEAGGLGRSPVDERAQRCLTDEDLDELRGSFELGFGFDEEKGGTDLCDTLPALDLYFAVNRQLSDPKLRSASTSAAVSPTAALSSSSTLVSDTSIPRSPDGSSSPAPADAWTIFSPGDNPQLMKTRLRHWAQVVACSVKHGC >OB02G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10920621:10921259:-1 gene:OB02G23810 transcript:OB02G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHILLPLLLLTAASPAAFAAFDVTQMLADKSQYGAFSKLLTQTKVGEEANRLKSASLLVLPDKAVKPIASLPADKQRLALANHVLLKYFDPIQLGEIKGHTAMLPTLLSNSDKRLGFVNYTKAEDGQMYFGATGASSVSKLVKVVAARPYAISIMEVSEAILPPEIWGSGGLGGTVGGRRPKGGKGKLKPKSVDEATAKSAPTPSTAVPK >OB02G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10930844:10931212:-1 gene:OB02G23820 transcript:OB02G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGEAKSISGHQTLQLRKRPYGVKGSVYVVTLPAPQRCLEDGPDAAERRPRSGFPTSRGTGDGHLEAHHDLQATPARPGKVTRLGVRGSIVPAASRTSYSSFSKERVRGLFSATEKNQRS >OB02G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10932310:10933033:-1 gene:OB02G23830 transcript:OB02G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIRQRSRGIPLLHSSGQSKVPSGVQQAVSRSGMSVLKTKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNISDDIVNWSTGIAISPLSYYRCCDNLSQVRTIVNYQIRWSAIFTLAHKHKSSARNIIPKYPKDSNIVNQEGGKTLAEFPNSIELGKLGLGQDPNNNGALNYMFNK >OB02G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10936657:10936821:-1 gene:OB02G23840 transcript:OB02G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLADCLLHSIKQLIEIEGKSTSSSWARGVRTIYGTSPVYLYESCLFLTFDTC >OB02G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10945093:10945509:-1 gene:OB02G23850 transcript:OB02G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSMGLNKRLIHRYLNSWKGPASKRDLLHPNKQQIERTSAKALARASVFLLLSLLFFMSLYRYSCVYALLSLVAIYYCYVPTRSYRPVMSDLLPSKGKDNKIGENTLVEFLELASYHSFLYPKTNTDWGCSILSGVS >OB02G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10945840:10946256:1 gene:OB02G23860 transcript:OB02G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome C biogenesis 206 [Source:Projected from Arabidopsis thaliana (ATMG00110) TAIR;Acc:ATMG00110] MRRLFLEQFHKQIFPPTPITSFFFLSYIVVTPLMIGFEKDFSCHSHLGSIRIPLLFPYYLSAYCLPKILLLQLVGHGVIQISHVFCAFPMLQLLYQFDRSGMDRLDILLGSPVLILLCGIHSGLALGTSSSGWNMKD >OB02G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10957097:10957361:1 gene:OB02G23870 transcript:OB02G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRNKSNGKAVPTCFKAAVLASLSSTSKPISQKLFSARPCAYASLCSLVSGLLLDLDGLRSSSLVIPNVARVFHAYKVLKNRFFFPSV >OB02G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10959279:10959563:-1 gene:OB02G23880 transcript:OB02G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKDFSVHLCRKNQIWKSVQCILIFICETFLFAKSRADTTGHEELEAKKEGNNALAYRLLSQCVPLFLFFLALFWKLTTSPEATSTTYARLLL >OB02G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10961641:10961796:-1 gene:OB02G23890 transcript:OB02G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFPFFMELHKSMDRFYRLLASHPREPKGWVLELLALLTLYELHKMLVIE >OB02G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10963354:10964847:1 gene:OB02G23900 transcript:OB02G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3LCM3] MEFSPRAAELTTLLESRMTNFYTNLKVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSSSNPELLKAFNELLNVTPRCSSPE >OB02G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10967384:10967971:1 gene:OB02G23910 transcript:OB02G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFKKLFTQRRWVHTETTSELLLAVAPYLPQITDQIVDCLPQIAETVGRDVHSVAETTTGLPQLQGEAGPSSSSTLFNPTVEALPFLEMDVNKITTNFKNSYVGKLNEKEIVLLASQTFQLKRKILNELALLAGEENGPLLFNTTGADDILTTLSRAEYKRSYLELISKSLVRKGTESCYYKTLLQKRLEEFPNT >OB02G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10968275:10969575:1 gene:OB02G23920 transcript:OB02G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3LCM5] MEFSPRAAELTTLLESRMTNFYTNLKVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIIVFDSDTAIKEGDLVKRSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFCDNGMHALIIYDDRSKQAVAYRQMSLLLRRPPGREAFPGDVFNLHSRLLERAAKRSDQTGAGSLTALPMIETQAGDVSAYVPTNVMSITDGQICLETELFYRGIRPAINVGLSVNRVRSAAQLKAMKQVCSSSKLELAQCHEVAAFTQFGSDLDAATQAVLNRGARLTEVPKQP >OB02G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10973255:10973501:-1 gene:OB02G23930 transcript:OB02G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFVPVVSLGFLSKKNNGQLSLQMRRLVLTVEGKRKGKERQELQTMVVNQEEQGF >OB02G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10974115:10974363:1 gene:OB02G23940 transcript:OB02G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSQNLDLDMQARDSLLPDIETLKPSHSTCRLKDVDLLLAMRLQRRVQPWAIELGLDRESEEESSTESLRFSMELARFDL >OB02G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:10975091:10976197:1 gene:OB02G23950 transcript:OB02G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEDLYVKDFYFKGADLLHSFIGKDGFSGLYLPLNSCHMAVLHVKGPVLVVIYQAIHFELVAEDQPGYTKRQY >OB02G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11005831:11006521:-1 gene:OB02G23960 transcript:OB02G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSGTPTQVAAAGRAACSCPKSDGQLLACCPYWISLMENAVRGLRHGAHGRGESVDILRCIESWSPDEQRRAYEVIAWFKQEGIDRLQIMPDDLRSTVVNGEDLD >OB02G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11043503:11047469:1 gene:OB02G23970 transcript:OB02G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGELEGARRLSDLAFDELSRLDLFSTSCSAPPPADLPQLLRLCLLSLPSSADSDLAFRRCTSLLVSLRGILSRNPGPSLLPALEVFLENIVSCNQLMSYFTVANAAMPKHSRVASVGSVCRGGNHFVLELMSHHFISAVQDEESFLSALSWSVKTKLEIPQIGFSGALSLLQKSYLLSSSPAIQAHFLLLACKCVGNGDLDMHLLAFEHAMNVYLSYLPALGVFRRTTSVKSSLVCFMETRPLNSCIQAATHQNLTCDINRLLSFCKLHSSDDLPTNDSDIASFIEEIQQLLHVESRQDIVTAVKSIVSNAIVLAKEEEMGRLDLNVSEGIICLAAALKLMASSFLQIMHGIRKIRVADASQITHYLEPSKLFNFISETIHLLGHYEANELERNDLFDTIGNPGDRELGAMLMLSHFATLSVHCLRMKFGFLWKGCIFMMMMANLCATEICRVLIDGLKESGVCCAGQDATLKGCIPRRSSTEIALRFKNIQKVYSQDKLGHGSGEGCSSDTLRRCTSTNGRDDVRAFLKYLPGYDENSSDDLLDFIECTPGLDCSNFWTQHKKFKKYKDEKWIRSKRQYSMRRLRTSKRWFK >OB02G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11050149:11050538:1 gene:OB02G23980 transcript:OB02G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSFLGRCTLLHSSGHRGGGGGASGWGCSRRCHHLPEPHRRHHPGAMDARSGHPGVVDARSGHPGPVAITWPRAANPRSGHPMVAGYMRYVPVVLSSMVSEEEGRKGEGMKRRGEWRLNGEDMVVGL >OB02G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11075463:11076029:-1 gene:OB02G23990 transcript:OB02G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSEGDDEKWAPGEDGEAPPLLAAIKRISALAGALPAATAEGSKYTIGVHRVTGVLHRAMTFVEDEFHGMLDDPRATKAAQAGDTGSATGKSIRRPPSFGHAGAAAEAVAPAVLGDSSPPFPPETVDRLRSMADAMMAAGYVTECTQVFLVARRNALDASLQSLGYEKASIDDVVKM >OB02G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11080228:11082557:-1 gene:OB02G24000 transcript:OB02G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNNSSGDGGNSIITDGDSPEKIQGKCQQSSQVSEFKYSPSPNRHYNSSSERVTNGIASIAAVKHRPSPVPVHHNARQISNTHHSTTTSSAPARMVKEQKDSPLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKSNGSSGFPARHR >OB02G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11095086:11098361:1 gene:OB02G24010 transcript:OB02G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLFQAHLLKKGKEKLMLRRRLLLSSGVTSPAAPELRRAFRSEASLEAIRSHTQPSKDTADSDGPAAHLALYNYPTFAGAYAALAAHLFHRRLHRRLLVLPFSSVHPFRAEDFESAGFQTCYLLDFIGPNNFALELSRFIPSVIAFDHRQSTLARIPRLGHCPSNLELNIDTTRSSAQATFGYFSKKLAGTKSDSVSWEYFIFQFIIWCRLSNKKVSFAQYICENLLEQEDEERVFNILKYIEDADLRQWKLPNAKEFQTALRDERAKLNCITNPLVFEQLLQLDVCNLLSRSKLLAHDRLEAAVKLIHKPFKIQLGRGSYGECLAIRADGNSKLSHEISLELSQRSAAAGLRPIGAVVFMQRGLLKVCLRTMDNTINTAEIAKAHGGGGRHSSSSFVLRMDEFNAWTSVNS >OB02G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11100565:11103087:-1 gene:OB02G24020 transcript:OB02G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRALPPRSDAPPPPPARAPLPPPHRRCISSQAAAVGAPGAYGGERWRGEELRQSQGAGEEETRAVKVSVWWDFESCRLPRGANPCRLVPRVTAALRAAGIRGPVEITAFGNAYVFPRAVQEALSATGVAFSHVPSSGKDGSNLSCMAYLTYWIAQNPPPVHFFLISGNKGLANILHRLRMSNYNVLLACPSAESSVLCGAATIMWPWDALVKGLDFAPKYYNQPPDGISFSSYGHYREPLDDPFLTSEFKDSMALQPHTKQVKPPILPKSVANGVRQALYSFPEGISLQNLRAELKKNNVSMDRRYFGFKSFSSLLQAMPDVVKFIDPLPGERSQPAVVGIFNRSMEPATQSYKGMSSAQSSGEVKRLCKTLNEKPSSSHVPSLSDTLSADHKKILPVDASSSQYDSLCRSQREAPHVDLNTPTETPANSTEAGVEGAAGTSPAFSRAQSTVNKKGLFEGISILWNDPEPVKPMLYPSQDDIHSKGSNDLPTQDDNNNQHNTLLRRTLKIFSGTDNLDGNNLHSTSSISSSFSNMSANNQSDNLNVKENVGNTTIHSNRSVDMSNAEHKVEFGEKSKGIFSWAAKWWASGKPDSDNKIQYISMVDKGRIRKRICICRNSCYCECTASRN >OB02G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11103387:11108251:1 gene:OB02G24030 transcript:OB02G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDECRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKFSRQDIFLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTIENRTEIDDPDDIYFVPVHEFRIPYTALLGLHTYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSPQKAPEQGLCSKACYIIKALLTSREILLEEVMKMSAGIGKTLEDLDDADLTLVKHDSNDSSKAGLPKYSKGFSAPTKCIGHLTGVLHNLIERSDNVVRSTNDILLYTLSKEDLLELFQTVSSQVSFLWNAFLKFHRENKIKIMNHLHSIWDADRKSEWSIWIVHSKVDIPHRYLRSLSGKSSPRHSLLRSPSSRKSHPDPVQSSSSRAELHRRSISQMKINGRSIQDLQIYANPSEIPVVHIEQHVMVVPQHGSSKLLVRSASEASNTTVQHKIHGKHVGRMPSYGGNIGHTLRAVVFVHGFQGHHLDLRLIRNQWLLRDPGAECLLSQTNEDRTSGDLKEMGRRLSNEVVAFLKRKIDRYSRNGGFRELKLSFVGHSIGNIIIRSALAEPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYRLCKLKTLDSFKNIILVSSPQDGYVPYHSARIDICPASSSDSSTRGQVFTEMLNNCLDQIRAPSSEMRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >OB02G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11130844:11131277:-1 gene:OB02G24040 transcript:OB02G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLCLASPAHPESIGQVKRPNPEILKALKIKLKKHGDSWTEELQAVLWANRTTPSCPPIKANFGLTSGHNDQPGYTKRQC >OB02G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11140481:11144386:1 gene:OB02G24050 transcript:OB02G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSLDTPYEIPNQLKNQIIISSPNPRFYFLGPMGNLDPTDIICSEANRISFKNAQLNASDWTQSFRFFPARMVGQIGYSVPPLDILMLTGLNIMTHVNPLNLRGTFQHRLQTKGVGGWSGDISHRKDSGTADHREHSAFLNLWLERFVFCGQTLGPTTNFENMAERLADGGPVPLDFQDYEAPSILRLDEVQTRQMLIGKLLFCPYPVFVTERALCSIWKRMQRKTLNEMSNITFTISWMSPIKHQESTGVRVASEWKGPSGSASTSVGVEDASGSVEGWRRHQRDAGEQRRETADGGWDAYEQ >OB02G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11142404:11143562:-1 gene:OB02G24060 transcript:OB02G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIINVNGRSLSMEQSVFEAHMESARLGNHISVAISTSSQGLGTSTTGLNEESGVTEDTAKSTFWFQISHIQP >OB02G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11166157:11166922:-1 gene:OB02G24070 transcript:OB02G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVYGSPVANQTSDGVGTKRKNGEGNDAVSTMVVMMTKATCATMAPAGDERRWDSGRRCMSHFRLDLDRTSHRKAIGRCQGTYQWVIRGRRWEGAWTLPCRPWVTDGTHKS >OB02G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11208488:11208903:1 gene:OB02G24080 transcript:OB02G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSTEGKASQPTASPAGLLHGAGGSDELGHSSSVYCPASGRVPFEWEDEPGKPKSPPPLDAVPPLLCPSPAMQSAQLASQGSAAGDKSAAGQSQSWKDACR >OB02G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11208698:11208943:-1 gene:OB02G24090 transcript:OB02G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHLFRALPRCNFTGRHPSNSGSAPPRFCPRQLPPXXXXXXXXXXXXXXXXXXXXXAALFPPPPAATAALAGELCALHRR >OB02G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11213164:11213793:-1 gene:OB02G24100 transcript:OB02G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREEPREGDRDRDRDRDRDRGRDGERDRERARELDRPRDRGREREKQRDRDRERDRDRDRDRDRRRDRERKRSRSPSADRSHRRQSHSHSHRGLSPQSPDAGRHKRRRDGSPATDQKDNKKPEAPVVTESIEEGAVAGDGAVDMEELEMMRMMGIPAGFDSTKGKHVPDADISGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >OB02G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11214816:11215897:1 gene:OB02G24110 transcript:OB02G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMSYSINGENAHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGCSVRVPTAYCGIFGLRPSHGLFSAENVIPMAQMFDTVGWFARDLSTLSVTKVQLPLSNETVKKPTKATIPMDCFDINASVAKIINASVAKRFGSQAIDNRNLGDIPDDVPSMGKFIAGFFDSELPSVPTLCIVSHVMRGLVRFHLQLTQDMM >OB02G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11220781:11224514:-1 gene:OB02G24120 transcript:OB02G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVCKCTFNAREFWYMISHCFPTPRASNVTFYVGPVDEVLKTWCMRLFWGNFQSFLQANKSFIPLVCNGVSSFPTSAPPLLALGRAAENPTTFLFYVIGMGSTSSPSSPPPPMIGRAGNLTVFITPPSPASKPRSSRRSESLRSGLSTPAPALRTPASPSPSLSSDQKTASPPAIPMKFSPHAAPVKAPPHPVQVPPPQYEKASAEGKHDGSTFGFFWDALARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKEVESGKPGKPKDLIASKVQKV >OB02G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11318254:11321781:-1 gene:OB02G24130 transcript:OB02G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKTQVSSDLNKPSLQSQTMSPLCSPPLDASSTSNLVHTLVDHITNPMPILATGKEEEERARQVGVHMEGGVGGAERVEDGERLAPDLARVDAELIEERWLVVEGGAGARATGGLKINFHKSELFCFEEAKVNEHFYSNLFGCLMKVKDSYLALGSLMVLFRVTYWLRAWAILQKHDESKEAISIACQKLKILAMQVFGHFGWRFSNRIE >OB02G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11321489:11321725:1 gene:OB02G24140 transcript:OB02G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASTRARSGARRSPSSTRSAPPTPPSMWTPTCLALSSSSFPVARIGMGFVMWSTRVCTRLLVELASSGGEHKGLIV >OB02G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11323761:11324018:1 gene:OB02G24150 transcript:OB02G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVFFFTPKETTTQKSRNPTRTAHTAHNPPSIVARPTHXXXXXXXXXXXXXXXXXXXXXXXXXHAICFPSSVCLIRRPACPLA >OB02G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11332764:11332946:1 gene:OB02G24160 transcript:OB02G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWILTDKMGSYRNNGVLDKKIFYNFNVKSVYAIRLEDKYQVVATLYFFYFFYFIYSVG >OB02G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11333100:11335070:1 gene:OB02G24170 transcript:OB02G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3LCQ0] MLPKMLSSPWASASLLMVLLSLQAGVNAFYLPGTFMHTYSPGEAISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNENESVYLCTTDPLTKEQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSSEDYIINHLKFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYEKVDTVNCPLELEKSQAIRENERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLLLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >OB02G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11338903:11339333:1 gene:OB02G24180 transcript:OB02G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELRWPAAGRTDGGCRKASWRARRRIRVPAISSRNADIPADGYSWRKYGQKPIKGSPYPRGYYKCSTAHGCPARKHVERDPGEPAMLIVTYDGDHRHGEPVAGGAQDDAV >OB02G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11343292:11347249:1 gene:OB02G24190 transcript:OB02G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PREFOLDIN 1 [Source:Projected from Arabidopsis thaliana (AT2G07340) TAIR;Acc:AT2G07340] MADEANRAAFVELQGRMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELQQLPDNTNTYKTVGKFILEPKSLLLNEQEQKLNDSESAIASMQTSKEYLEKQLAEVENNIRELLQQDPGLARQILSMTVQ >OB02G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11362897:11366203:1 gene:OB02G24200 transcript:OB02G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYFYYGCTLCLLFALLLVRHGRATKQQPRRRLPPGPWQLPIVGSLHHLVRGLPPHAMRDLALRHGPLMLLRVCERVMVVVSSAEAAREIFKGHDAAFSERPSSPGIDELNREGQGIIFAPYGDHWRLLRRIVMTELLTPRRVESFREQFIADSAVRAIFGDMLPDRAAFLRMVKQGTELSSLFDLRDLFPSSRLARLLASWSGGKAERHRQEMFRLMDDILRQHDGRKAAAGDGDEDEHVDMVDVLLRIQSQGNMRVSLTRGVIRTFLGDVLGAALETSTTTLQWAIADLMANPRVMQKAQQEVRQAFADQAKVDEAGLSKLRYLKAVIKETLRLHPPAPFFPRVCLEDRTIQGYDLPRGTIVLTNAWAISRDPRYWADPEEYSPERFEEGGGGGGRATVDFRGSDFEFTPFGAGRRICPGMNFSHVNVELALASLLFHFDWELPPGAEPEKLDMTEQLGITMRRKSKLSLRLVPYVPL >OB02G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11374764:11375048:1 gene:OB02G24210 transcript:OB02G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRASTTTLRHPMRTMRLGYLDINFPTSATMTMATLRTASSTTALSHPSLWLCQQWRKGLSFVLSTLAGFFSSPGVRAAHVWTAGGCWRYGS >OB02G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11380547:11380882:1 gene:OB02G24220 transcript:OB02G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGVIHVRGAGVRRAGARGGDVPGARALPLLRRRGGGHRRRERPAPLLRPALLPRPPPLLLLPLHPPPRLRRLSTQAPPASSSTSRSKQSIDHVKVALFLVVSDQIVSTCTN >OB02G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11381160:11381600:-1 gene:OB02G24230 transcript:OB02G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACCRGKLQTIAVYMNEVTGPPFSPQQLSRATLLLPSWSCSAHSTDPPNSSQLPTADQSARSMERPHGSHGGGEPANAHPQQQQPAPRPCDDTQLPASSLNPTAARLLREAIVSAPADAEKPAAEGSSDILAFARAVDRVDSTLE >OB02G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11384444:11392114:-1 gene:OB02G24240 transcript:OB02G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARAVAGAALAVLLCAAAAVSAAPAGAEVAEFPGFHGELPSKHYAGYITVGHQEQNRHMYYYFATSERNPTTDPVIIWINGGPACSGFSAFLHSIGPFKIEGPMIHASDEPRAKLNPFSWTKMSSLLLVDSPAGVGYSYSENEDDYVSNDTSRVLDLYEFLSKWFNEYPEFLSNPFYIAGCSYSGVIVPVLAQEILERNEDSGRIKINFKGYSLCNPAVDVEIENNAFVPYAFRMGLISDELYQNLSSTCNGKYWNNKVPSCLANLDQFHKQISGINMEHILCPPCRYQMGITKEASEEYDFGQMFELISESSEYGLECNNQEVVLERLFDTKSSREKLHAKPIEMSKKWKRCPNYIRYTRDIPTLTEYHLNVTSKGYRVFLYSGDHALLVPFSATLEWLKTLNYKEIEKWHPWFVKKQIAGYAVRYENNILFATIKGAGHVPSDYLPFEVFVAYQRWIDGSDSL >OB02G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11407900:11410474:1 gene:OB02G24250 transcript:OB02G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVYTVHQALSADAAAVLKLALALARCRGHAQLTPLHVAFTLLRSSSSSSDPAPFACSGDASSSSSSCCAYGLLRRACLQSLQAQQQSSQQPLLAIKVELDQLVISILDDPSVSRVMREAGFSSAAVKTSLEEEGAMLPSIGHHICYSSSSPASCVSPVFSHDPHIDFNASGAGSSWPAQFLHHPGTGSSCEEDVSAILEVMMRKQGARTNPVVVGDSVSVADALVAELMRRLNTGDVPDELRGVHVLSLHLSCVHLRLMTRADVDAQVAELRRTANSIADDKAAGLVIYVGDLRWAIDDDGDQALADHSTSEDHMVTELARLLAELRAASRGRAWLVAAASYQTYMRCQQRRQPVVVPADAGTSLALGRRAPLAPAPSREGEDGKTTKLGEISMVDVASGEDGGVPAFCADCADGYEKEASLVKAKAEGTTLALTYFPGWPQANEPLTSHKAELMELRRKWGSLCQRVHCHRLKHQASIASPLPWWCTSVGRRDVEERAEWNPTSPGLRLSFGTPAGHGRSESADEQG >OB02G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11427022:11433937:1 gene:OB02G24260 transcript:OB02G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT1G50430) TAIR;Acc:AT1G50430] MLSLLSLCPPFVILLWYTMVHADGSVVRTYEHLREHGLEGLKAIWPMPTMVAWKIIFGFALFEAVLQLLLPGKRFEGPVSPAGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNAIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYVNYDCDRQRQEFRRTNGKCTIWGKAPSKIIASYQTTNGETKTSLLLTSGWWGLSRHFHYVPEIMSAFFWTVPALFDHFLPYFYVIFLTILLLDRAKRDDDRCSSKYGKYWKMYCNKVPYRVVPGIY >OB02G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11438402:11444527:-1 gene:OB02G24270 transcript:OB02G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELANKFSILRLDGEVDGAGDTAEAPIQASSSIKEAVADKNVLSDTIVVNYDEGSIASSSGDYHMPLVWIDLEMTGLDASKDRILEIACIITDGKLTKQIEGPDLVINQQKNLLDNMDEWCRTHHSASGLTERVLQSTISEHDAETQVLDFVRKHVGSAPPLIAGNSVYVDLLFLKKYMPQLASIFSHVIVDVSSIMALCIRWYPKERKQTPRKEKNHRAMDDIKESIAELKYYKDNIFKPQKSKR >OB02G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11455062:11458117:1 gene:OB02G24280 transcript:OB02G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQLRRVVLACLGCCVRCVHDLTSCMVDRKDGRKPASRVVKSILQMLQLSQAPLHFKLKGETLEQQTLKYSSELLKAIESGTRSCGQLALSFISTTFLHDRSYHLPTRLQRKILCAWDNCTDMLQKHTNPQGHALGGP >OB02G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11457233:11457364:-1 gene:OB02G24290 transcript:OB02G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKSSCVRHIQPNNLGLITVCCSKVSPFNLKCKGACDNCSI >OB02G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11464115:11465290:-1 gene:OB02G24300 transcript:OB02G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADAADSVLHGDLLECVLLRLPHDDLTASPALVSWEWRRAARAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPARQLQLPGNNTTTTSVASWAACGVAAGGGGDADERLLVLAGGDGGIVSLWFMDGDTLLPDGETNASMLPPEMSEKLALHTTGGGNIAVAAAGAASGYVYNASEPGKGAARYELVAAGVGGGGHDRDSSSSSKNGCHGKTSTWGRRGSSSRWEWLPCPPAAAAAMTSSAVVVFACSGSSSDSAPNK >OB02G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11469157:11473164:-1 gene:OB02G24310 transcript:OB02G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:J3LCR4] MPDVRRCGAIVAAGGLNLTEWSGAYKMVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDDDAICWGIAYCVKGGLKKEQEAMKYLERRECEYDQKISVDFYKEGDSSNPVVKGVLVFVATPDPVGNKYYLGPAPLEDMARQIATANGPTGNNRDYLFSMEKALSNICHEDDSIIELADEVRKVLSRPKEKITGSDVPLKSHALVHLSALPEGTVVDSR >OB02G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11496564:11497700:1 gene:OB02G24320 transcript:OB02G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALASTGLLLLLSVAAADMSIVSYVGRSEEETPDHQRSSNNFSNAVAGEGDSLRFVGVCYGTLGSDLPPRSEVVQMYKSLGINGMRIYSPDREALDALRNSGVGVILDVGGIDAVSWLGDSFANAAAWVQDNVRSYYPAVNIKYIAVGNEGLGDGATQRILPAMQNVNAALAAAGLAAGIKASTSVRYDVFASTSPPSAGVFAYPYMTAIAQYLASTGAPLLANVYPYFAYRDHPEYISLDFATFQPTATPVVDRNNGLVYHNLFDAMVDAIHAALEKAGAGSVRVVVSESGWPSAGGFAATMDNARRYNQGLVDHVAQGTGTPRRPGQLEAYVFAMFNENQKTGDAIERNFGLFYPNKSPVYPITFPNLHLEI >OB02G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11501970:11502461:-1 gene:OB02G24330 transcript:OB02G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAEETTSSGSTTILLVSSDGRRFEVAEVAASMSRLVSHMIEDGCAEGGVPLPNVTGDMLAKIVEYCNKHAGAGVSSEPEREELKKFDADLVNVDLVPLFELIMAANFMDIQGLLDTACQKVADMMKGMTVEQIRETFNIANDLTPEEEAAIRQENAWAFD >OB02G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11505778:11507421:1 gene:OB02G24340 transcript:OB02G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQYSGDQRRRRQQRRIGGTGERAVEALPVGRAGVQGRVGEGEQRAAAEGVVARVAERRQGARGVPQRPPLMDYAANRKKADSASMSLLSPYLHFGELSVRKVFHQMI >OB02G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11510232:11510567:1 gene:OB02G24350 transcript:OB02G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGFVDDVARGLRRGLGLHLFNFDMIRERSDDEHGDRYFIIDINYFPGYAKMPGYEKALTDFFLDMVRGSRPAAHEQLGLGSGLDMEARRLEHGLGIGLRELETSRAQA >OB02G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11514836:11516597:-1 gene:OB02G24360 transcript:OB02G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVRVAVATGASFAVHLFVKSFLQAQHPAVTLLLPVAVFVGIAVAAKSGGGGGKMPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGPGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRAEIKEVLSDEEPITESNIHKLAYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWETPEEFRPERFLEKESSVDATVGGKVDFRFLPFGVGRRSCPGIILALPILSLIVGKLVRSFEMVPPPGAEKLDVSEKGGQFSLHIANHSVIAFHPISA >OB02G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11531697:11533149:1 gene:OB02G24370 transcript:OB02G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYQGKGEPDGVWTGNRGVTKASTASGSTKAQEGTARLGVKEGVKPEVCGLGLSQRGLTLDRGLTLSLRGVTFDSDVCHPIDEPVPSSQQSTTTSADQVSRPKSQVLPEVKSQVCATPSVALKLKTSRSRVKRCFFSRRSRFEP >OB02G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11536379:11537951:1 gene:OB02G24380 transcript:OB02G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVRVAVATGASFAVHLFVKSFLQAQHPAVTLLLPVAVFVGIAVAAKSGGGGGKMPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVGSGPTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGPGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQRKVRAEIKEVLSDEEPITESNIHKLAYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWETPEEFRPERFLEKESSVDATVGGKVDFRFLPFGVGRRSCPGIILALPILSLIVGKLVRSFEMVPPPGAEKLDVSEKGGQFSLHIANHSVIAFHPISA >OB02G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11548722:11550625:1 gene:OB02G24390 transcript:OB02G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRERRTVFVTVGTTCFDALVKAVDSSQVKEALLQKGYTDLVIQMGRGTYVPSKVSRDGTLQVDYFTFSPSIADYVRDTSLVISHAGSGSIFETLRRGEPLIVVVNEDLMDNHQSELAEELAARKHLFCARPQTLGDTIQRMGIDTLTPYVPGDAKPVVTLINKFLGFPDD >OB02G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11550749:11550919:-1 gene:OB02G24400 transcript:OB02G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSLALQTEVKVVKPHVTCQYENSLCQPHLPCMLLPLFPNVRLSDIVYVHMNAN >OB02G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11560591:11561361:1 gene:OB02G24410 transcript:OB02G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQDSGQDASAPSIMLMRHAAAIPLATTVAMLYARLAASLTRPGARRLAALLPAMAILLVLPLALPYYSYRGMSAFVLVWFGEFKLLLLAFGGGPPPPPAPPPSFSPAALPVKLVDAAAGASRPPAGIFRAVVSSAVKVGAMAAVVRFSHGKDEMHRYAAFALDGVFIYCFLDVVLSGLGAAGGALGMELEPQFDRPYLSASLQDFWGRRWNLMASAVLRAAVYDPVRARSGSPEAGALAAFLVSGLMHEVVTLYMTS >OB02G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11563574:11565530:-1 gene:OB02G24420 transcript:OB02G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) TAIR;Acc:AT2G34570] MRVKRRSKHRKVVKFYATCFGFREPYRVLVDGTFVYHLLLHRLLPADDALQSLLSASRPPPLFTSKCVLAELRRLGKSHAEAFDAAGLLATAKCEHDKVVSAVDCILSLIGDKNHEHFFVATQDSDLRAKLREVPGVPVIYGLKSSLFIEQPSVQQRKFAQLDEEKRLHMGKTEYQMQLKVASDGKMAENENASDDEKKRRPVSSLVQNALGVADKSKFKKKRPKGPNPLSCKKKKPKPQLSAAQNQGPKADGEAKRKRVRKRKKSHTVSKPTEATTIVHPDMTRLECDGKGWLDAMCPGVVYPGK >OB02G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11572734:11578514:1 gene:OB02G24430 transcript:OB02G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIEGLVTEGDLRELVGNLGVAAREPESEGWQQVIAKGNDDVSYRVWCDKPMEGPPRYLSITTYERCSTELLRDFYMDNEYRMEWDSTVIKHEQLQSDENSGIEIGRTIKKFPLLTPREYILAWRVWEGNEKSFYCLVKECEHPLAPKQRKFVRVQLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQRHISSISILTMQRLIKKFPEALETVVDANDQPQGATVAPSHFERTSSRQLPVKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKKERGSSSEEQK >OB02G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11582478:11584004:-1 gene:OB02G24440 transcript:OB02G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCACLPDARRLFDEMPRPTLVSWNCMVSAYGRSCLVEESVAVFNAMRRAGVSSSEGTLVGVLSGCVDSSLAINPGMCVYGYSVKSGLDADLQVLNSVLTMLVRGCYLDSARWLFDRMGNKSVVTWTALASGYLHIGDYLEAFDLFSHMRAAGQTVDSVVLVNLISAAVLFGNLSVAKGVHALVSKLGFESEQDLAASLINLYAKCGDLESAHEVFGAVQVANVVVWTSMISGYVEGGHLNEALMMFDSMVCANIEPNEATLSSVISACAKLGCANQGKKVEEQAIAIGLHSDPRVATALIDMYCKFGSIKLARNIFDSVSNRDLAVWSAIINGYACNGEGSEALVLFKEMINKGFQPDAIVFTHVLTACNYSGLVDEGLECFHSMTVEYGIEPSIEHYMCMVDLLCKAGHFRSALKFFKQMPSQVQNKVLAPIISSYSAHSADSSIELAPEELLNLDSQDSGHCVLMSNMLSCLGEWKKATSYRRQLSKEGLIKEPGWTCIELSG >OB02G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11610625:11610816:-1 gene:OB02G24450 transcript:OB02G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFMLLLEVKARGRANNPTAGDETNPADECPCCHADMQKDDARKKGRSLLHLQLPFNVQMLI >OB02G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11611189:11611947:1 gene:OB02G24460 transcript:OB02G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDISAWLSEPSIPEEQPEASEFDDVVPAILESIRSNEKAFEPSPEEAAWADSCFVQTSELSDNDWGAMKKALLNALEKPTEIPNDTSEIVHEQGAHAILEAKPHSLPPEIVSQRDDMQMEQKDNSDDDTDTTEASEVANVIRGANEHGKQMDRYTARSEDGDEVASSEVLEQTESRETIFKVWDLDVSLSDDEDELELIKDLKKLLKDNPEEPEFQPPGGTSKTLSQITIDELAADLKDLSLQQTIE >OB02G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11614390:11631289:1 gene:OB02G24470 transcript:OB02G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRRLTAPLVFPLALLLLSLVSSPEAAESTPGTRTRKIGGAASVFSLFNLKSESKFWSESVIRTEFDDLEGSASRDSSKKALLNFTRAGNIANYMSLTEVDSIYLSIPVNFIFIGFDGKGGHEFKLGPEELERWFTKMDHIFEQTRLPPVGEVLTPFYKTSVKKLKQYDLPLISHINHNFSVHAVHMGEDVMSVFQHAIKVLSRREDIADSREGAEALWQVDSGQMEHLFSTLVDHLQIQEAYNIFILNPKPIDKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKKLYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMGDWSKKCKEALNNFEPLKDRKSKDDIVYDKAVQILHGKKDELHDILESALKSSDLKGLHAECLTDTWIGRDRFTFIDLSAGPFSWGPAVGGDGVRTELSLPNVAKTVGAVAEVTEEAAEEKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDTSEEHHSYTVARDSFLAHLGSMLWDSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTDGLSSMLLQFQKPMFSQHMLSLSEDPALMMAFAMARRAAAVPLLLVNGTYKSTVHTYLDSAILQHQLQRLSEHNSLKGEHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSDVDSWESHLQCNGRSILWDLRRPVKAAIAATAEYVSGLLPSHLAYSPAHETASEDWTWSVGCNPLSITSEGWQISEFQRDAIARNYIVTAVEESIRIVNSAVQRLITERTTERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSLLEDASHGFAHAVNSTISSLHPVQCTRERKLDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >OB02G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11646164:11646478:-1 gene:OB02G24480 transcript:OB02G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGLEDGQPVRVLVGVPVRLPEPLHEAREVALRVQLQLVLVVAAADHLPDQGLLLVAGDGHRRRDEEEEAEEEEEATIHRGIWGCFACLDWLLVSDFGGVVVAL >OB02G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11646242:11646456:1 gene:OB02G24490 transcript:OB02G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLLLLGLLLLVSPAVAVSGDEEEPLIRQVVGGGDDENELELNAERHFASFVQRFGKAYRDADEHAYR >OB02G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11648967:11650367:-1 gene:OB02G24500 transcript:OB02G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPDTYTYNTVLKGLCVAKQWEEAEELMAEMIKNGCPPNEVTFATQIRSFCQNGLLDRAVHLLDQMPRYGCTPDVVIYSTLVNGFSEQGRADEALNLLNTMLCKPNTVCYNAALKGLCIAKRWEDIGELMAEMVRKVCLPNEATFSMLISSLCQNNLADSAIEVLEQMKKYGCEPDTVNYNTIINCLSERGRVDDASCLLNSMVCKPDALGFNAVLKGFCRAERWHDASELIAQMFREDCPLTEMTFNILIDALCQNGLVNYATQVFEQMPKYRCTPGIVTYSSLINGFSEQDLVEVAIELFRSMPCQPDIFSYNAVLKGLCRAARWEDSGELIAEMVRKDCPPNEITFNILINSLCQKGLVDRAIEVLEQMPNYGSTPDIFTYNALINGFSEKGRLDDALKLLSTMSCKPDAISYNSTLKGLCRAERWEDAEKLVAEMLRNKCPPNEVTFKYANQLLIMPNRG >OB02G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11653954:11654109:-1 gene:OB02G24510 transcript:OB02G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIYLGAQRTPGGYNITTHHDFSLESTYSISFDLEGCAEFLQGFLLLTLFH >OB02G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11655402:11656694:-1 gene:OB02G24520 transcript:OB02G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIERQIERAQQSMAKTNGEHSDNANLDGSEGESGSEDEYSDSENDHDEHGDNTKEANKAAGKIAIAIQRAAPGPKVNPFDDKPKVKFGFEEEDEVGMWDKEKDETAKKKGKDSINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGAVKRVIDKYVAEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVIKAIEYEDISKIVQ >OB02G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11670719:11672590:1 gene:OB02G24530 transcript:OB02G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCGDFLLTRLEDFGLCTLEDCNGGLLLFSTRTELIISDPMRHHLVPIQRARQRGFPDHGYAAHTFCLLPDLTTGGSKAACFRVISLQQRGQVVRAEAEAASFRIISLRQHWHVVRAEVFDSGTKSWSIHPVTGTALQLARSKGNQFFQAMHAAGRIYWKNRTEPILLALDTKAMRFHHLLAPPGVTSRSPYAVGETDDGACCLVHVAHAHGHPDGGYPRLQVWLHGVDDEEVQPWVLERDVPLRLRPTSVSRGKVRQVCAVAGGVVLLSFTSLSSHLPHVAFRLKNLEVEAEFTCNGLARPYLLDPMVFFRDHQFVYPEE >OB02G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11674745:11675204:1 gene:OB02G24540 transcript:OB02G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEIKERAATSRGMGERYEALKELGPGNFGVARLVRDTERGKKIDENVQREIINYRSLRHPNIIRFREEIHVFSSHQWFDSFTDHLLDCY >OB02G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11677868:11719257:-1 gene:OB02G24550 transcript:OB02G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent lipid-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G48090) TAIR;Acc:AT1G48090] MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIETAEAATLEATSRSSKGGPVPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSNSWKPAKKWEDLYPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGDPDIPVEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRSWWRYAMLAGLRQKKLCYLFSWERTRHLCQLRRRYVHLYATLLQQASIVDMSEIREIEKILDTKVIILWRLLGHAKVETVKSKETLHKKGASKRRWWTFGWNSAELPSEENALLEPQLDDEERLTKEEWQAINKLLSYQPEDDISFPLEKVAPNTIRFLVDVSIGQAAARIINIDETEVLCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPIGMDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTVTMETATALQMKIEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTTGQSFLGNEHFVLDLGHFTLHTRDGMERQSLYSRFYIAGRDMAAFLVCDTAEDIYSVPENRSQLELSGPSVDANQFCSLLDRCGMSVIVDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYCKIIELLGVLCKLKGSDIEDGNSYGNCNLVPWYPADLAGDARTLVWKGLGYSLAEWHICYVVLSGMYLYILESEVSQSYQRCCSMAGRQVIEVPSTSVGGSLYSIAVCSRGLDMQKALESTSTLIVEFHNEIEKTNWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVIETKLSLYGKLDRKKKDPEELLMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSTSSNYLACSVINDNLETVDSSTPDEEGHPKSFSVEEDSFMDALADFTPDQSPNLHDLEIPSSSISDPDVHTELSLKDSLYFDGDQQKVKPTEVFYEAQDNSVNDFVVLTFLTRTPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGLDVSMVNSVPKGDSDTTPAVHNAKPTGKEDNAHNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFAFQSYSAEDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKISNDFSWHGGEESDPSAVRLDILHAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPILSMKFQIGFLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELAVEGLWVSYRTTSLFEMDLYLSILNFSVHDIRPDTKSEMRLMLGSYSETSKLSSQDPSSDVGISNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEFFVPNLGTITGREESLDPKSDPLIKSDDIILCEPIFFQKENFIQLSPGRQLIVDACDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSILENLVNDNEDDRAEDKEYKGTNALQSGADTPSAQMLNFTFEAQVISPEFTFYDCSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIILASTDVFIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCTNFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSVEQMNSSQAAEDNECSIWVPVPPPGYLALGCVVNIGRLPPSNHIVYCLRSDLVTSTAFSDCIHTLSSTPGLISGFSIWRIDNVIASFHAHNSIEQPSRAEALDLHHILLRNPNCYIVKDMNVDSSVRSNQTADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPCSIWRPLPRFGFSSVGDCITEGFEPPTLGILFKCDNAIVSERPTQFRKVAQIDRKGFDEIFFWYPVPPPGYASLGCVATKTDEMPNKDLVCCPKLGLVNQANISEDPISRSSSSKGPNCWSIWKVENQGCTFLATSDMKKPPAQLAYSIADHAKPKARENITADLKLGCLSVSILDSSCGMVTPLFDTTVANINLATYGKFETMNAVLICSIAASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNINLSSANLDLLIETLISWKRQINLEKKSSIRIDDTVDSTKKADDLSCSALDEDDFQRIVFENKLGCDIYIKKLEDDEDIIELLQNENQISLFMPPPRFSDKLSVLSNSMESRYYVVIQIFESKGLPIMDDGNDHSYFCALRLLIGSDVSDQYKVFPQSARTRCVKPVKTSESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATTLKRAASMRIIQQAADVKRVLTCPLTRKGQALKDGDVKHCGMLVLSSSYVERSTQTNFQSGKDSLSNTQSGFWIGLSPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGSDIKLEVSVCPVSMLSSSVSNAGSTSSTIIIDEVFENQWYRPISGWGSNPAGDQGCDVGQWSTKDCSYSSKAFFEPRLPPGWKWMSPWKIEKSNSVDTDGWAYAANLQNLNWPSSWKSSKSPHDLVRRRRWVRSRQPVQEQSAEIPRKIIAVMEPHSSTALPWTAMIKDMDLCLQVRPFPEKSLESYSWSQVLSLGSESLPKQQQSSLSRQSTLKQSSVPSKSSVLRLADLEKKDMLSYCYPPVGIKQYFWLSVGIDASIVHTDLNMPVYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIISSGGSAFIYSADIRKPIYLTMFVQNGWILEKDTVLILDLLSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAATKTLRLFVPYWIKNNSSVPLSYRIVEVEPTENSDADTLTRPDSLSRAAKSSKFSLRYSSKSLVRRGPVAQRNVHILEAIDHCSTDYVMLSPQDYMNRSAGRRFESQDSNFSPARVAICVAVGSCKQYSVGVSLSELENKEHVDVKAFASDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIVLAECHSETEEHLHPCNPPKVFQWRSEFGSELLKLRLEGYKWSTPFSIDANGVMCVLMNSTTGNDQALVRVNIRSGTKSSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLATSSGVKKALCVTVLKEGKFHVTQISDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPELDSEFHVSLELTELGLSIIDHMPEEILYLSVQQAILAYSSGIGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQKMENQSDYVIKFSMTMQTNNSLEFCVYPYLGVQVPENCVFFVNIHEPIIWRLHEMIQHLKFDRISTSQSSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALVSSAISNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRSIGGDSLLYPYDEHKAAGQVILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRILLLQVPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNCREVVRSVKCNRGSDQATQVYSSIERARKAYGPNSTKELLRWKVPRPYAPRNTSVRTGQDISFG >OB02G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11728773:11733094:-1 gene:OB02G24560 transcript:OB02G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVWENFCSREDTIFCGVFDGHGPNGHLVARRVRDLLPIKLGADLGTDEGRQTSSSNIKSNGEEIGSPENMERDAQQNGEYPAIFTAFRTSFLRAFHVMDRDLKLHKNIDCFFSGTTAVAVLKQGQNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISMPEVTYHRISERDEFVVLATDGVWDVLSNTEVVSIVNRATSRASAARLLVESAHRAWRARFPTSKIDDCAVVCLFLDTNELSETSSSMYRAMANAVEVSSGQHSNTVQLSTGVSSDATGLVTDSDDLVAVEAVPKLVTLTDLPNSASGAKQSIT >OB02G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11733464:11733952:1 gene:OB02G24570 transcript:OB02G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDEVVPLFLYYYYPQCKPIFLSPHPPFPNRGKSRLRPEAGTCGGGGAGEEKGRRGRAPVGGESGWAREGWMDERKRAREKGDSGRGGGGRESLVAACRYGNNVLFPVKWRGCCLCGSRGKDAGFACRWPAAAAAAASKRAMIVGRPRRTDDPDRGSRCSQ >OB02G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11748943:11754485:-1 gene:OB02G24580 transcript:OB02G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G47390) TAIR;Acc:AT3G47390] MLPTPCGVAPAAAASPCPLLLLPRRHRRLLLLPALRAASVSLSAAASHAHDAVLLRRAAGVADRSAGLTSPHPNFGCVIARPQLETDNAEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSTLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLVVNAPLLYRAAFRVPFSVLKYAMTADGKIAASSGHASWVSGKSSRGRVFELRGRSDAIIVGGNTVRLDDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVNDAYTIVATQRGARRDLQKKLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFLAPKIIGGVNAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSIDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDETGDYFTWLTVEHYYQAHKFIGVDSPQAREIIQEIKLAKSPEEAARIGRTRQREFQELVRPDWDSIKTDVMYRAIKCKFSTYSHLTDMLLSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTTVEVGEPA >OB02G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11759194:11761365:-1 gene:OB02G24590 transcript:OB02G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGQEIAVKRLAKGSKQDKRREQLDWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDNITRRVAGTYGYMSPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETTWTEHLLSYVWENWTRGTITEVGDPSLNCTSAESEVLKCVHVGLLCVQENLGDRPQMSNVILMIIGKSSRPAFLFRLNDENHIHHGIKNLAEHPNNLNQSLNKMTITELEPR >OB02G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11763509:11763906:-1 gene:OB02G24600 transcript:OB02G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHPQNQARADASHGTTRWVAAYARRYAATRKGGCLLEHSNGPGHTARTGRTAADAVAAWASEKNYYNCSDDSCGGMGCTLTHYKQMVWKVGCAAVNCDAAGGTFIVCEYDPPGNVPVGCGHFNQTGK >OB02G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11767861:11773754:-1 gene:OB02G24610 transcript:OB02G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGARDRAGTTPRASSLLLCLVLLLAPSAAAEAAEEATPSGVFEVRRKFTRHGGGGEGHLSALREHDGRRHGRLLAAVDLPLGGSGLATGTGLYFTRIGIGTPAKGYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSGSGQLVTCDQDFCVENYGGVLPTCTTSSPCQYSITYGDGSSTAGFFITDFLQYNQVTGDGQTTPANASVTFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVQKKFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKGIDVGSTTLQLPTDTFDSSNSKGTIIDSGTTLAYVPEVVYTSMMAAVFDKHKDISLQKSQDFDCFQYSGSVDDGFPVITFRFEGDVSLTVSPHDYLFQNGKNLYCVGFQNGGLQSKDAKNMVLLGDLVLSNKLVLYDLENQVIGWTDYNCSSSIKMNDEKTGSTYTVNAHNLSSGCEFQWHKSLLLLLATTVISYLML >OB02G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11786282:11787070:1 gene:OB02G24620 transcript:OB02G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIRFYPRARVWVGNSLPVAFKLLAAGNFSTRTKPDPLPSLNTSRHSLAECRSVKNLAKHAQEYEREKKEDRKDKAHASCRSFKAMKRELLAIVSSHEAARRSRWLALVKFMLATHDAYRQLKMPGLSGPITVHVDVKTALA >OB02G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11813411:11813875:1 gene:OB02G24630 transcript:OB02G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGNRGGGVGGERLDKHGEDDQLNSSSDSAGIVEGLRSLCTSMDSMGFFTFLVARRKEVNALCTEMLTLH >OB02G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11830037:11830201:-1 gene:OB02G24640 transcript:OB02G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHDTQLCQSSNPFRENYMVTYYAPLPSHYIYCFSLNNILKRIYKYNKLILFK >OB02G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11873743:11878030:1 gene:OB02G24650 transcript:OB02G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAARALGAGFDLTSDFRLRFAKEGRRLVELDEAGARDVPVPGGGAGGGAAVLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQNSSVQGKVPSGYFSTLFDLSGAWMADAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRRAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISPTDLKLHLEDLGDFLFSDVKNLSPIHRKTRDGKSKVPDVFVRMVQQPNNLHLSSYSESSTKDGLTITCSKRGGDVTLASHSKWLQTVPRIPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEDMGEGYIEPIQWKSYSSVCTSKVDYNPEWLKRISGGVFIVTGAQLVTKGTWSRKVLHLRLLYTHVPNCTIQRTDWASAPAASQRGSFLTTISTTLSSPFMQPAAPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWMVTAAKLVKDGGKIGLNVKFALLNYDNSTQPTAAMGGDAIGY >OB02G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11882517:11884761:1 gene:OB02G24660 transcript:OB02G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGIKLSIDDFALAFKRPVPLTIGYVAQYILKPALGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMVCTSLCIGSPLAINRSMILSSEGFLLLLPIVTFHVAAFIVGYWVSKLPMLRQEEPVCRTISVCTGMQSSTLAGLLASQFLGSSQAVPAACSVVIMAIFGLTLGSYWGNGSRIRDIGSRFYPQASAGVSS >OB02G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11886398:11890837:-1 gene:OB02G24670 transcript:OB02G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRPAVPASGNAPLIGREKDKSQLIQLISQNSKQQRQIISIWGMIGIGKTSFARSVYESEKICSMFEQRAWVTISHPFSPHEFATSLAVELGAQDDSSVHGNVLQKRSCFLVLDGVLSTEEWDLIQPHLPVEANTKIIVTTAQENVAKHCSMTGKDIHKLEGLAEDAALALFKNKVFMDNLNIDLDLDMTTQAKLIIKECDGHPLAITNITDLLVTKPKTATEWKKISDSFSVGSGNKALEMIRAALAPSGDDLPYHLKLCLVYLSVFPKGYNIRRKRLIRRWVAEGYTSKTRHLSAEEVSENCFEELINRSVIQPSKTLATYNVGTVKYYQVHSLIHHISFSISVEENHGFVVGGSSHDQDTIRHLSVTDTCDAGKNTLENKDLSHVRSMTVFGEWRSSSLDFSKMRLLWVLDLEGTTGLRDHDLKQIGNLLHLRYLSLRGCTDIYHLPDSVGNLWDLLMLDVSGTSIIKLPKTITKLKKLQYLRAGNAPNDNVTSSSELKESKDLSKKLQESIAIGLDMVEAYRSRKNHTNHVKKHDIYHKGKVLLTSIMLGEDLEGVEAPDGIGKLSVLHTIGVVNVTSNKAILGELEKLTQLRKLGLTGINKKNSQSVLSVINNLALLHSLSLQIEGEQGLLDNLDQKFSPPAKLQSLKICGNLVTLPIWIAQIQHLSKLKLLGTQLELARSMDVLEKLPHLAILQLWKNSFQGEKLNFFFQYRTFQSLQVLELADLDGLKSVSFMQGAVPRLELLQVKSCMHIENNGLSGLSFPPNLREVMINGDYNDKFMENVLSQLSLRQNQPIVKRA >OB02G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11893127:11894072:-1 gene:OB02G24680 transcript:OB02G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVALKTAISLASLALTELHRMSDNKKKKAAAEAEADTLEEDVAFIKREFEMMESFLAEAAEKRSGSGSRSASTWFRSLRGLSQSVEDCLQELRLLLETPSRAGSKSLLLPRDAIAKQMRSLRNQIEHVNQSCGIYYNAVKSHPDTTATASMMKIYPID >OB02G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11906651:11913071:-1 gene:OB02G24690 transcript:OB02G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSDPGLEPVECIAWDAHRGPVFALTTSLYGDLWSGSEGGVIKVWYGEGIEKSLALQREEKRKTSSLVERSFIDLRAMVSDGGASPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSCTKELLKVINVDGQVDTRYDILSSQDYGYEAKQNLFSSPRREKARSPVGFFQRSRNALIGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMIWTGSANGCLAQWDGSGNRLQEFQHHSCSVQSIFSFGIRIWVGYMDGTIQLLNLEGNLLGGWIAHSSPVLSMSVGGSYIFTLAGHGGIRGWNLSSPGPIDNIMRSTLIEAEALYKKFEYMKVLVGSWNVGQEKASYESLRAWLKLLTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKGYSFERVGSRQMAGLLVCIWVKTHLKQFIGDIDSAAVACGLGRAIGNKGAVGLRMRIRDRSICFVNCHFAAHMEAVSRRNEDFDHVFRTMTFAASSSGIMTTSVTGSTGQLFRGTNGSRMPELSDTDMIVFLGDLNYRIYDISYDDAMSLVSQRCFDWLKYNDQLRVEMRSGRVFQGLREGDFKFPPTYKFEKHTADLAGYDASEKRRIPAWCDRILYRDSRVSSGNECSLDCPVVSSISLYDSCMEATDSDHKPIKCVFNLDIAYADKQIMRQKYGELISSNNKVVHLLQGLEAFPEVNINNTDIILQDRNPSAMKFQNRSMKATACFEIIGQAPNLSSTHFSAFPTWLKVSPSIGVISPGQTVEVTLQHRDLRGQQNYNDSPLDILPGGATQQKAATLLAKITGVYSTVAKYYEIHVQHQNSRSTLPSRGFNFGDRLF >OB02G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11940637:11944843:1 gene:OB02G24700 transcript:OB02G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKNYMELYQELRENWERLYWDEGFSKKIAEDHANYDSAEEDDMDFSPYSRKRRPNAEPNKDNVFGAGKHGETWERVAQIRDKFEYDRERRMRERAFAPMNMENRFRNQHDSNYASANKEDNFGSHGPDFGNEANRSFQRDTSFRNRPNVNFQNESSIRNNNYPNFRNQRDTRNHMMSDDQDF >OB02G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11945486:11947789:1 gene:OB02G24710 transcript:OB02G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OB02G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11959120:11960740:1 gene:OB02G24720 transcript:OB02G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:J3LCV5] KTLLRLNRVHAAPPPPPPRASSSSSPPSSAAAAAAPELVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKSD >OB02G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11965760:11967661:1 gene:OB02G24730 transcript:OB02G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDALAVAVAVAFDTPALSGGGSASDPDRFAGYETSIAGATEDDRDRDHDASGSVNPAARSLASYSGHAAAFPREADQDGLPAGKSRRVFDREGEYHRRHLTRGISPDRHDPFAHADSTPDPSMRTYADAMRENDPQHQQQQQPAAGTKRPNRWDQSQQNDGDDAAAAMGAKKAKTASQWDATPVRAAGDATPPSVVTPTPKKQRSRWDETPAGVGDTTPGPTPLDAASLATPPPCRIAPGPATPEQYQLLRRERDIEERNTPLADDQLDTILPQQGYKILQPPASYRPVRTPARKLLATPTPLFTPLYAIPEENRGQQFDVPKEFHGLPHMKPEDYHYFGAFLNEEEEEELSPDEHKERRIMKLLLKIKNGTPQQRKVALRQITDKTRELGAGPLFNKILPLLMQPALEDQERHLLVKVIDRVIYKLDDLVRPFVHKILVVVEPLLIDEDYYARAEARQVISNLSKAAGLASMIATMRPDIDSTDEYVRNATARAFSVVASGLGIPDLLPFLKAVCQSKKSWQAPHTGIKIVQQIGILMGCAVLPHLRPLVEITEHGLTDENQKVRTITALSLAALAEAAAPYGIASFDTVLRPLWKGIKSHRGKVLAAFLKSRWFHHPSYGCCLCQFLH >OB02G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11969795:11970736:1 gene:OB02G24740 transcript:OB02G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYRVPELNVRNGILKSLSFPFEYIGEMGKDYIYSVTPLLEDGLMDRDLVHRQTAASAVKHMALGVAGLGGEDALVHLFNLVWPNIFETSPHLINVVMEAIDGMRVALGAAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYSALDIDGDNIYRPELAMFV >OB02G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11977476:11977997:-1 gene:OB02G24750 transcript:OB02G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTGGDEESPAAKAPLLAPEDGRSPATPTPGIVGKALSSTADLAKHLPTGAVLAFEVLSPSFTADGSCTAANRPLXXXXXXXXGCARGAADGRYRLGARDVLHGVLSFAVFLAVAMVDRNVVACFYPVESPATRQLLAAVPMAAGAAGSFLFAMFPSTRRGIGFPVVAAA >OB02G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11986366:11987088:1 gene:OB02G24760 transcript:OB02G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHSYALWSRRFGDCFGLQREDGVAGARRRRRRRRRMVSPVKGGVMLAAPSSTSPSTPYLHPPRPPAPAPSPRAAPPPPPAPPPPGLPAPPPLPPPPPPPPSPPPRPPPAWAARPPPPPAAAVTEQLPCSASTVDLDGWDRVVVDQHWLSPASVAAFEEEEGGRRHRLRRRLKRCKRRPSPEVTGAASVPTFELGGRGREVAGTSTGKEGGGRSPVVDGRGDRAPERRGEKRMLGTVCC >OB02G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:11988219:11992653:1 gene:OB02G24770 transcript:OB02G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGEASVASGEAGNVAKQRSGGRGDGGRRLRLGTRPGEERFVGANPMKGRIEGSIDELCRHVRRNTRAKTKAGLKPNSLATLFSDDNSSDEEAEAQERILKRELTNEPSESESASEEE >OB02G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12003486:12004628:1 gene:OB02G24780 transcript:OB02G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTARMDSHAKAKFFSPDVVDDKLLATFTLSRDNLQSIKDIVAGVAARRGVPAPRCTSIVATFAVIWHCHIRTAQGDEEAEPQSDGRAHLVFLTDHRSRMEPRVPDNYLGNCVGPCFAAAPRKDIAVTAGTDGLFTTCSVIAAAIDEGTRYGHDYWDRCSELAKEVITADVPPLSVAGSPRFRVYDVDFGFGRPAKVEVVSVAKTGAMAVAEVRGGSGGIEHTPYRYCQWPTTRSVFSLAPCTEFEKFRVII >OB02G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12012139:12020750:1 gene:OB02G24790 transcript:OB02G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase class 3 family protein [Source:Projected from Arabidopsis thaliana (AT3G07400) TAIR;Acc:AT3G07400] MLLRLNFVIWFQRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVPHRYLLAETGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAHDLSDAVKCVQNDDQMGEENLGTSYREKSKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPNRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQIPEASIVNNTNVKSDEKKETTSVRPKENNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSALQKQTNIFGKAPSQLDSFLQSKVDESEDGLQCLEIQEGSDGIALTPLSDKDGALTEENNRTDKTNVSDVGGSKRWNRVPSLPSYVPFGELYLLGDSSVNALSDSEYSKMTSVQSVITELRERLQSHSMKSYRARFQKIYDMCMCANAPLFTGIEQLPQFSHLQELLGLTAADSIELGHIVEPPTIRTATSILPLGWNGCPGGKSAEPLKVDIIGHGLHLCTLFQAQINGNWYSTVIETLPIASYSSDQEVQPTLQKMRILVGHPLKQPPNYTSEDFMVPVVTGAENPDYGFDLLFEDKDCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSTTVNLQELPLEVAQFKEELQLGTHDLSKKTDLIIVVHNLAHRIPQYHQSNASRPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALISSAMEAYQASPDMTKVVNSSPFLMPSATNSVRPISSASGSLRNEDPSGRTAFCPVNFALSPFQRKDIVMHVEGVTALRQLVHGVIRSNEEPAFEELARERLSLELEREKAASLQAQQKPQKRDGSVTAAAVGASLGAGLGIVMAVIMGAGSALRKP >OB02G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12036713:12037318:1 gene:OB02G24800 transcript:OB02G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTTVPSNLRKQLDAADKCFADGNIKGGKMHDMAVFLFASAPEAQCVHAAFKVHAAAAAAPKDKLGNANHYAVLGFKLDAAGKPEAAATTDAVRKQHRALCAKLAHSKDTSAVVAAAHRLVDEALSALTDIKKTAVMAPPPPSASYQQQVARRKAMQKQQDEEFRARAARYQEEEEDAYYGAGDRENAGRGGRRRGR >OB02G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12042594:12045256:1 gene:OB02G24810 transcript:OB02G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVRAMGLLRRSLGLAPLSTQRSLLSTSPAAAAEGGAAGGGAAGGGAAVAETAKESKRRKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKKLQDTPKVETPVTA >OB02G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12054219:12054389:1 gene:OB02G24820 transcript:OB02G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYHVGFAIILFLLYEFLVAVPYWAFISSCCSKCSLRMPNELWAITFSVRASHPLLV >OB02G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12054680:12061477:1 gene:OB02G24830 transcript:OB02G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQTMAPRASANGFPHRKLDKEGSGRHDNKTHLLRSSSGGFIGAENGGKLGHGSPSRDRLTYILTQLIGYHVHVHVKNGSIISGILHAANYDKDLAIVMKMAQVTKDGSGRGQKNAVDVVKKPETMIIPGRELVEILANDVTLGGDEVPKGPSHEKRKDLMIDSVISRSHYPEERELERWAPDEGDSECIELDNFDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDTHLAEERGLCLDDDFDHDEEIKYSAVRRDTDNTKYKSSTNVLSNTNQVDSLTRAGNTDPKALLSTMDEESSSHVFGTDLPATFPSNSVSQLDESRLDDKLTKDSSGGDRGTRNLQTENIMSEGGRSSISEDLEVPSSSSHASEPSSSGQVKKSSESLPAETLSGKLTYSAEYVHSSQRPGSSASSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSLRPPQPPPASDGPYYYANNMPTAPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >OB02G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12071249:12072685:-1 gene:OB02G24840 transcript:OB02G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSQSQGDSFRVLRTARVAPSWTDGAAPLPERAMPLTFLDAMFLSTPAVERVLLSRLGAADDVDAVLSRLADSLSRVLRDFYPLAGRLRLTPGEGNRYELLYRPGDGVAFTVAQHGGVDVDELARDDPREHAAIAPFVPVLPAGGAVLAVQATVLLLPIRRDLALGVTVHHAACDGSSSTHFLHTWAATCAGAAVLPQPPVINRTCIRDREDIYDLLASMAKDSQPHMLESTIVVVAVDKLLATFAVSGDTLQSIKDRIAAVAARRGVPTPRCTSIVATFAVIWHCHTRAAQGDVDVEADSTPSPNDGDRGLLVCLSDLRPRMDPRVPDKYLGNCVGPCFAWAARKEIAATGADDALFTASSAIALAIDGATRCEADYWDRCTEQGQEMRTLESPPVSVAGSPRFRVYDVDFGFGKPAKVEVVSIAKTGAVSVAESRRRGSGGIEVGVALPPEQMERFRRCFGDAMAWLSSSSPQ >OB02G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12079504:12080030:-1 gene:OB02G24850 transcript:OB02G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRVVVRRRFSACPKGVIVEKVADDIAVRRQPSRQLRTPESVERVLAERVLPFIQHPFDRRAVAAASKQICAYVSGACADPRLAHHGVRVLVLVDTFACVTLLILPVPPRKRSSDDDDSVQFGTVVRTCPCL >OB02G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12085313:12086767:1 gene:OB02G24860 transcript:OB02G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPHGGFRVLRTEHVTPSASGGRALSGHHAVPLTFLDAKWLHFNPVERVFFYRLVSGSGADDVDGMLSKLADSLSQALRTFYPLAGRIRLTPGETNRYFGNCLGPCRASMPKNGAAIDTVTDGGLRAWCAVGPALDQAVRREPGYWERWPERIIEACRDGAPFTVAGSPRFRVYGVDFGFGRPAKVEVVSVAKTGAMSVAGGRGCAGGIEVGIALPPDAMDSFRRCLDNVIACLSRQNDVI >OB02G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12085624:12085936:-1 gene:OB02G24870 transcript:OB02G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRSMTGGSGETSAPAQAAAQAWRKCVELAPSQAAWCMVTPRARPAGAGSRTVACTARTAPPSGSAGTSGTILETSLSTSVASSSMLTPSCSATVKATPSPGW >OB02G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12093813:12094190:1 gene:OB02G24880 transcript:OB02G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFDSGITLMHANILKQNRYVFSPKILANQIKLLVFLYKLHTPLTLVHTQLNHGRKTSIVYHQESSYRVFHTDPDHQGSPYHTSHQNRGTVLPRIPHGNRKNRDEFESKKFEFKLVRFSRLTAR >OB02G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12113746:12115246:1 gene:OB02G24890 transcript:OB02G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPHGGVRVLRTEHVTPPASALSEDRAVPLTFLDATWLHAKPVERVFFYRLVSVSGADGVDGMLSKLADSLSQALGTFYPLAGRIRLKPGETNRYELFSQPGDGVAFTVAEQDGVSIEELATDVEREVAKIVPLVPALPEGGAVLAVQATILLPAPAGLALGITMHHAACDGTSSTHFLHTWAAACAAAEVSPEPPVIDRSFIIDRKDLHDVFARPSGPSPWTRRCAASPGTGRGGRSASSRRAGTVRPSRWPDHRGSACTAWTLGSGSRRRWRSYPWRGPARCRWRRAVAAPTASRWASPCRQMAWTASGGASTTSSRASRPRDKMTSSSITIPVFNNLNSGGCRTQGWGLSHPRFVSQAKINKKIIK >OB02G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12118090:12118876:-1 gene:OB02G24900 transcript:OB02G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKPPSSTTENAHDESPTPTLTFIFNDNRWYHWHRATRAARKVRVKIGDGVRVGTKALAAPPSSMEEQCWSAWIKQPYAGAQVSCPEISHTNF >OB02G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12129676:12134181:1 gene:OB02G24910 transcript:OB02G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTPIPTTHTTPNHDDEICDLLEEFRVSGPPPLSSPNPCSRSPSMEEDVMIYAGDLAYMSTPCPSPPSDVDDLYPYEDPKYTIILHLAFIDDDDINMINEDIYNFRYDHTLPRDA >OB02G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12131069:12131963:-1 gene:OB02G24920 transcript:OB02G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEVTRKMPARVKRIRFTDSQTECEVVLPQSVASGGASSSTARDEATQRKPKRKQRAMLASEGASGDDPPESKGPNLTCCSTVLTSEGWSLREHTPEKSGKFR >OB02G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12156403:12158538:1 gene:OB02G24930 transcript:OB02G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIKELFNVNHFIVSQTNPHISPLLRLKEIVRTYGGRFAGKCARLAEMEVKYRCNQILEIGLPLKGLAKLFAQAREGDVTMVMPATAAQQKNTVNLSQRSKLVAHPAFLPYFLPVPMIGSLRRPYPAFCKQSRLSDEMHDSMKNITLLYIVFIGCPCWLLYCGQSVIVCSK >OB02G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12183990:12187137:1 gene:OB02G24940 transcript:OB02G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLWLSAPPVERVFFYRLRPGDGDVDAVLSRLEESLSRALHTFYPLAGRVGATPGEANRYELLYKPGDGVAFTVAEHDGAGVGVDELATDELRELAKITPFVPKLPKGGAVLALQATVLPPKRRGLALGVTVHHSACDGVGSTHFLHTWAAACAGDRAMPKPPVMDRTLIRDRNNMLDVFVSPTNEAKELFTAPVAGKLLATFTLSRELLQGVKDAVAGEAARRSVPAPRCTSLVATGHSALELTLNSVHRKQDEPTYDYRVRVKLGILNKRSGGWSASWRRAATSRRSFSVAGSTRFCVYGVDFGFGRPAKVEIVSVAKTDAMSVAEDRSGSGGIEAWIALPPVQMDTFRSSLAEAIAWLYSSPFPQCNHRGICRESFVQHYSIFINSALFVSVS >OB02G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12186705:12187019:-1 gene:OB02G24950 transcript:OB02G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLLRNVSICTGGRAIQASMPPEPERSSATDIASVFATDTISTFAGLPNPKSTPYTQNLVDPATENERRLVAARLHDALQPPLLLFRIPMDWTIHWDFEEK >OB02G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12213311:12224690:1 gene:OB02G24960 transcript:OB02G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSAARVLGSAYFASRVDVSGNNLSPELTMVGPIVHGGGGGPSNPMGTIEGVCILSQSPWDLPYETDNPNPLEDPFDKYMVRIPHRASFTFNNDNNDKMYVDDILEQKKQEEDEEVKDHKTAHKEKQGDDIGMKKTMNKETSMQEEKDMVVEEMENNDERQAGVWYCHKNDGKRWHCRNIVDGPKTLCDYHLAKSRSYYAPTGEKGALASSKSSNTKTSTTRFSLPKSTAPAASSKASAIGKAALAKPSCRSRPTTAGAASSSKAVAPGKSKAATSSTRPTSQRRKRKSTNGNGNGNGSCGDYYFYDLFGPFRGKDRRNSSSHRPASAGAEDEEHLQQQQHNNLDGDNLSNESSITGGDKENDGDYVVRGGGAGNGKGKGKMSAVEKVQFPKITKKRIKERSLKSLL >OB02G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12229962:12233628:-1 gene:OB02G24970 transcript:OB02G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LCY0] MRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTVLIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKAFSELFDRISSSSDISEKKQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNAELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAVTFLISALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKLHENKSRATRSGIIDVVLKSISDESLIDEALTILALLSSDHETVEEIGETGGVPCMLRIVSDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >OB02G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12257210:12259786:1 gene:OB02G24980 transcript:OB02G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:J3LCY1] MGISRDSMHKRRSTGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRVLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAEGQDAEATTEEAKKSNHVIRKIEKRQEGRTLDPHIEEQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >OB02G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12261258:12269779:-1 gene:OB02G24990 transcript:OB02G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04240) TAIR;Acc:AT3G04240] MCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKAMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVYDPQFVEAYNNMGNALKDAGRVEEAISCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRNSMFCDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPPFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARIINQDKIQILINLNGYTKGARNEIFALQPAPVQVSYMGFPGTTGAAYIDYLVTDEFVSPTCYAHIYSEKLVHLPHCYFVNDYKQKNRDCLDPICPHKRTDYGLPEDKFIFACFNQLYKMDPEIFDTWCNVLKRVPNSALWLLRFPAAGETRIRAHAAARGVRPDQIIFTDVAMKNEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEEMVVSSMKEYEDRAVDLAVNPGKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSSRHREPFKVREDDTEFPFDR >OB02G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12278987:12281126:-1 gene:OB02G25000 transcript:OB02G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGCSQPRTVSVSVAITQNARQLGVGVPRPHQKGHVTAGGYEVAACSDAMNAQARTSASILPVSPKPTGYGRAPNDGCQCHSTMWSGHCFIESDMIPSRDVFNDFRSWSPLIGDIVTILGGTLVMPVRGTHRLKYMLSLRFVADCAHRSYKPILSKANKAKGKSSTSARPSSSQIPERSSSGSLSPFKKTLSVIFGICKKTAVKLLRESGHEIPSESEDEAYEDPFAAYEAARTIVRDVGASSSRPAPVDSDVDTEEEEYVEEDDEESEVPAAESSEEAESDEGEAADDEEGDAHAEGEPEQHAEQLPEQAAPEPQVLGGHSTQQEFVFGAPQMEETAQPEVDVEAEATESDGIQTGQHCEHRLWRH >OB02G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12297362:12309939:1 gene:OB02G25010 transcript:OB02G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LCY4] MRSLFSSKFSRAPASPPPHAAAGXXXXXXXXXXXXXXENVDPSSSPSPSPAPAPYDHSPYRSPSGKPLAAKNRSLPPRPPLKRKLLDVSAVPAPDSAPAACDSGVQVVVRVRPPSRAEEEDEGAAKEVCVRKTGPGSVEIQGQGFTFDSVADEASTQVRGSTILVPNSNVVFELAGMFQEDIFQLVGQPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPLSALSDDTASRERGLTPRVFELLFSRIKEIYNEQITDLLDPMQRNLQIREDVGTSSVYVESLTKEFVFTIKDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNIEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIRQLKEELHRARSNGSVPGSNGSPSTGWNAQNSLLLKMSLSRPTTFPTIKDDSDEEMEIDDNDIEKPSNLENKSLSSHGDVEENRCKSDLAASIQKGLQVIESHRNSVAWRRSSLGFNTRLMDAHLSVPICKVDVAIQTDPEESEPRQNTMALIPSSQAEATMDENRGISGCLDLQLIAVDGAIPSNDLKQQEQVFKAVEKVLAGAIRREMLRDEQCAKQASEIQQLKRLVQQYKHERECNAVIAQIRDEKIARLETLVDGILPTEELMHAEYLSLQDEHKILNQKYENHPEVLSAKIELERIQEELERYRNFKDEKKILQEEIQHLKN >OB02G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12315418:12318687:1 gene:OB02G25020 transcript:OB02G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LCY5] MADRLRLDMEIREKIKVRREAGRFSVGSSNSNSSTCSSNQAAAADVARNQEPLCPPALRSEEFFHHGWEGTAVDAAMEPEELAERMESRRHLQRGYSGLLGSPSSSPLPLPPRLWSSAPTSPRTTPWSSLHDPPAARAAGTSSSSPRDNGPSRTAAADEARSKQHPAKGPTRSDYVAMMRTALAKFQDDASAEDGEATAAASAVMEQAMTGLMDLTYRKAKPPELPYEFATRWPIPVDDDEVLQARIMSDPVILASGYSVDQSYHNYQKQHSPRTNTITDHSSPHTLSIPNHLLHDMISAWCLDHWDLSPPITPDEGSVPMDSTEKHIERILEKFSGNYASQIEALNQIQELSKTTKGVQPCLAKFPDLIPVLINLRKKYKSSWTRDLEEARLTVILNLTLHRQNREILAGRSELPGALKIIAQKAYSLGRPASSLAKVASVVAILSEFCVFRKRMLDTGGMKMLRDMLKMKDTVVITEAATAILALCTDYESKLSAQVYNVPEMLLKCHLFTDEILRLLDCVPRSPYVFKKICNQALQLVNIIMADHAFGPVTSKGIHSAISLVYDIVERDVGKMKVLKNMEDFKERLRQLSSDRMPMQTMFQVESIISTLSEVFPATTV >OB02G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12331734:12344262:1 gene:OB02G25030 transcript:OB02G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAAPAPAHAVFFPFPVQGHVALALHLAKLLHARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRGATALDGAPGFRFAAVPDGLPLDGDADAPPGMLPLLVAIRSTVPHFKNVLDDAAASGDPATCVVSDMDHILLAARDMGLPTVVPWVPSACGLLSSLHYQQLIDRGLVPLKALLLSIEAGAFVPHFKKILEEEAAASGAPATCVVSDVDLALLAAKDMGLPAVAFWTPSACALMASLQCKELVDRGIVPLKDAEQLSNGYLDRTVVDWVPGMPADMRLRDFVSFVRTTDPDDAVLGLVVSSMERLRTASSAVILNTFDALEGEVIAALSRIVPPIYTVGPLPQLAGASAASHVDPPPAAAEDASGGVAASFWLEDGGCLEWLRRRRPRSVLYVNFGSIVVVTREQLVELAWGLAASGHQFLWVIRDDQAKNGGGGDPADMFPPEFAEAAAKGRCYLTRWCPQEAVLQHDAIGAFLTHCGWNSMLDGICNGVPMLCYPLGADQETNCRYARTEWRIGAEVGESIEREAVARMVREVMGEDRGKEMRRRAMEWKEKAAMAVAPGGSSWVNLEKLVKEMFLSTD >OB02G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12351973:12353394:1 gene:OB02G25040 transcript:OB02G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAPAHAVFFPYPAQGHVAAALHLARLLHARAGIRVTFVHSERNRRRVARSRGEVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRPGRHVPAGVRGGGRQGPVLPDEVVPPGGGAPARRHRSVPDALRVELHARGGRQWRAHAVLPHGRRPADKLPVRLHGVARRRGGRRRHREGRGGEDGEGGTGTRG >OB02G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12355823:12356092:-1 gene:OB02G25050 transcript:OB02G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGRPVMTKSERQAYRYGQAASPKQQALGQLGCIRKSRLREDEEEEHEELLLVVGGGERRGGESKCERKTTTWFGCLVDMIHMGCHAT >OB02G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12369150:12370789:1 gene:OB02G25060 transcript:OB02G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFRLSLLLLLAPLVPTATSHSHHHPPVGGAAPRRHHRSAANTATAIFYTAPSMHQNHAEAEEGQSLHVLDPFVVAAAAEAPSGEGAIAAGGGGGGGGGNSPTQAAAPPPSPPPPPPPLPPPPFTAPDLDSAAPSQPQEEGVEGYASATAPPPLDEPASSSTTTTTRTTLPLARYRHPGIVGSGDEQRLEQLARVLASLGYNEMASAAPLLRDSELLVMWPGAITVFAAPDVFLHASCPMCSHHHVLLEHIALGYFPYSDLTTAPTAKLPSASPGFCLNLASERGPFAIHHARLYVDGVEVSHPELYNDGRYVVHGLHGVLPPLSHGSCSHGWHHRHHYNHHHHITTSSAATSASVLRIMIREAIARLRDGGYGFVALAMRVKFAELEKLTNMTVFALDDQAIFVGGGHDYVSALRFPIDPGPRLTHADLQRLHPGTMLPTLAGQGQDLVVTQGAVGSGSGPNDVRINYIPIRDPDVVMNSRIALHGVYVPFPRLHLANLAAAGAVASTNGTACGVRGPLGDCASAAATSTAVPAAHGYGEGQ >OB02G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12373562:12379147:-1 gene:OB02G25070 transcript:OB02G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LCZ0] MEDDDDLDFPPAAGMGGLDAMGMGAFGGLGAMGGLGGLGAMGGLGGLGGMEDLYGGGAGGAAEGEEEEGEMEVGEEKEIGKEGLRKKLVREGEGYVRPDSGDEVQVHYTGTLMDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGIFKKILTEGTKWENPGDRDEVFVKYEVRLEDGTVIAESDGVEFTVKDGHFCPAISKAVKSMKKNEKALLTVKPQYGFGDEGRPATRDEAAVPPNATLHINLELVSWKAVTEIGNEKKILKKILHEGEGYERPNECTIVRVKLIGKLEDGTIFVTRGHDGEEPLEFKTDEDQVIEGLDKAVLSMKKGEVSLVTIPPEYAFGSDETKQDLFVIPPNSTVYYEVELVSFDKEKESWDMKENIEKIDAAAKKKDEGNAWFKMEKYARASKRYGKALNFVEYDSSFSEEEKQLSKPLKVSCKLNNAACKLKLKDYKEAKELCTEVLELDNMNVKAFYRRAQAHMYLVDFDLAEQDIKKALEIDPDNRDVKMGYRRLKEKIKEQKRKETKLYGNMISKLSKLEDSETEDSTSLAPSKKHGLWPPSALLRRLFTRSDGSKESTLWVVLRLLIPVMLVVAVCVAFYMRSGPPEVDCINC >OB02G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12384052:12384809:-1 gene:OB02G25080 transcript:OB02G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHSLAAVALLAILCSHGTLASDADLLQDFCVVDKTSQGRLHANGFPCKDAKDVIADDFFFSGLHMAGNTTNKQGSNVTNVNVAQVPGLNTMGISLARVDYASNGLNTPHTHPRATEILTVLEGSLYVGFVTSNPESKLFAKVLNKGDVFVFPQGLIHFQFNHGTSNAVALVALSSQNPGVITVGNAVFGSKPSILDGILAKAFQVDKNIIDRIQAQF >OB02G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12387961:12388149:-1 gene:OB02G25090 transcript:OB02G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRSRWLKTMAVQGASEPVECTSVYEEILLLQASNSALPNTEVVHGRATTVYKYKDLQSI >OB02G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12388413:12388595:-1 gene:OB02G25100 transcript:OB02G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPHVAIYRSHQSILIENALNWSTVLAAYISADCIAWLHAWLHQLDCIYIYLLSALHA >OB02G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12393303:12393584:1 gene:OB02G25110 transcript:OB02G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGFSLLLAAAALLAMWCSDHCSGGFVVASDPSPLQDLCVADRSFPVRVNSVASCKDTKDVATDDFFFSGLHVAGNATSKQGSAVTAVNVA >OB02G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12400388:12400939:-1 gene:OB02G25120 transcript:OB02G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAPSHSHAPRSPLPWTSPPPASSPAAPTVAPLGSATNTTTATTRSWHASGNTNAAIVVIGMTNGCKNMVTCTEDGNVSIWDLASGLLAASFWIGGGAVTDATVLKKSAAAVTRDRNDGAGFTFRGGEDWRGREGRSDRVSLLARVGRRPGPRMPSPLAPRLHPRRWRERGEEGREGEREN >OB02G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12400764:12401492:1 gene:OB02G25130 transcript:OB02G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLPLACHERVVAVVVLVAEPRGATVGAAGEEAGGGEVQGKGERGAWECEGAEVGISLLQEINCQALEEERAEAGASAATDRPTALKTKKPCRPCKADSGVLLVADELLRVEELAVARH >OB02G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12401602:12402853:-1 gene:OB02G25140 transcript:OB02G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWSESHAAVACVRAHNGGFPVSCLELNGDDSILVSDDYDGEVAVFVLLPVLDADADDTSGSTDLSLYRIPAHAAPVTQ >OB02G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12408274:12409330:1 gene:OB02G25150 transcript:OB02G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVVGHSHRFSAMQPTFRRLIETINRYFKEVLYAVGELRNEMIKPPSTETNMKITSNGRFNPYFKNVMAAVDFDLRFTYVLAGWEGSTHDALILADALERDDGLSVLAGKYYLVDARYAARPGFLPPYRGTRYHLKEFDSRNYPRNSRELFNLRHSSLRVPIERAFGALKNRFKILYSKPFHPYKTQVKLVLVCCILHNWILQFGNNQHVPLEAKWRANVRDEDDLGDIEEDNRGMAQIRDDVATDMSLNQKKPTLAIKSKPQN >OB02G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12415596:12418583:1 gene:OB02G25160 transcript:OB02G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAGEGNMEVNPSIETGIRNVTPVPSEKVSTNLQAVRSDGGLAEGTDRTRKTVQKPKRKKHRPKVIKEGKAAKAQKSTTGEPSTEKGNKPAGKRKYVRRKGLNTPTEQLPSEFADAHTIDVPSPARRCLNFDREDQDENVDLVSQTQVKEIPTCHGGAQSLTSAVERSHIQVVQPWSGISSSISASVDPMANMQQLWADSRPNRATFDLNNSVANHIPSKFPNHTDSSGQNFQFGSREQLNQFQDFYNSIPDRSVYLKSSAKQMQSCSIDYDLYLFVPQSSTEELSRPDQMFCGYKILENPAVPARHTERVWKAGNFNHEVSMTVNPMPQGYKIPQSPIIPSTCSERNTMSRNLSKFPVKNDYLRFATNPNDQGAAFDLHNSRFSDVHAIGKKREFNAINGHQVSFGVNLEQSNSERQFYNDPLPTSSQTYLPETCKRMRSENHSNWLNGLAGKFSSSSAYLSGNWNINNVSAVNPGVCTLADVQRLMSHEKSKSSQQMIGSRTVVNNMVQQQADPTLQNTSNKYFIASSDKHFKDFTAQETELPDSAMNSRGENIVRTNGDHQLESLEIRPPRHYTSECFALPNELSGYTTAGHTQLRSATMNPSIKKNYFSSNGIHQPQSSENQSVIKGPDLFEPHNSFIQYGTDGTSCINIPAQKIDRTSAEVGSHFQSINQSTRTENCHLEASRETTSASPTEKPKARGRPRKDAEPGGKPRARGRPNKEAEPDGKPKPRGRPRKAADPDGKPKARGRPRKKAEANGKPEDINSTMIEHDGREKHSSTKGRHTDHASISCALEPSSGISPRLTPVEAERSGVIRSRILETYYHDKYNSLSSMQTHTGTIPEIIQPSLDYVEAIIEKLNLLSISMISDNIVEEASKNSLVPYEDKLGALVPFEGKSKKKHSRVKVNIDPVTNLMWNLLMAPDMCDGAEGMDDDKERFLEEERRVFRGRIDSFIARMHLVQGILCL >OB02G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12431639:12433034:-1 gene:OB02G25170 transcript:OB02G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQLIFSTFVVIAAATLLPRPCALIEFHRMLSSCSNGGAMCPDGRKRASGSPKHARGDDAPGPGDGNRVYGERGPHRQRDSPSPNWRHGYHGIQAVVRDFGPGGGWPTLTKANYIERAAMMRVRLQVRHMWEAARYGDVC >OB02G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12434274:12435438:-1 gene:OB02G25180 transcript:OB02G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSAIFVPLQFDSHNYREWAFCVQTVLGGYGLVSHLTGTAPIALADNSNASAVTSCINDDGRCWQWILHLLQLGCSKKTNFIEQFMMYQFVMGLSKYPEKLADYRARRATHGCVHDGASVQTADGSSKQDCD >OB02G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12436584:12437785:1 gene:OB02G25190 transcript:OB02G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAYKYSARLALPGPLERSLVVKPEDPNAAERCHKKYTDSRPIGQLSWNTNHPEHVCGDQQPIIEEPSTPEPEPENAETKEAEIEDFFGEDPDEIPTINLNVEEFAQNLKSYIRSNNIEIEDADMSMALVAITPQAASVPTSKLKNVNRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGKIMCSNFYSGETAQSTDAPKTFCNSQETGIC >OB02G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12459174:12462543:-1 gene:OB02G25200 transcript:OB02G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKAQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPGVVEALCNIARSSDDWQYMAVDCLFWLVQDSNTCHKVIDRVASTLIDLADISMLGDYKKLGDTIVTMLQECMQQHANSRNSISTHTKEQIDELLSSKQSLKLEKNMPKGDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESDAENDATGEADDETEWKKDGHQENLYEKS >OB02G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12463655:12463888:1 gene:OB02G25210 transcript:OB02G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRRRRQAHRDEGGEEGSGGSRPCEERSRVASPEKTRGGVAAAFAGGADPAGDNHGLMWCGPVLGRWGPHHTHLI >OB02G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12471227:12471448:-1 gene:OB02G25220 transcript:OB02G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARARDFFQVDDSQHPNQAEHDYYCVNEQSDILLVVFDGIIFSVFSWEGKMRYHSPFCQCLKQLQFNSCSNYV >OB02G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12471386:12471700:1 gene:OB02G25230 transcript:OB02G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLIWVLGVIDLEEVPRPRRLEVPPPVPPEIVSRAAGSPAAWKPHRPHRREPSRVTAAPPGLVDRAADPYRFWQCTGEKREKDGEEVRASGRECVRSLGRPIR >OB02G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12478816:12482599:1 gene:OB02G25240 transcript:OB02G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNEQLEARLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVNKQATAESEPVNKQATIQSQPAKEQATHENAKAK >OB02G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12483770:12486797:1 gene:OB02G25250 transcript:OB02G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:winged-helix DNA-binding transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G13980) TAIR;Acc:AT4G13980] MGGRRDASDASFVVWNHPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFANEYFIKGQKHLLKNIHRRKPIHSHSHPPGALPDNERAIFEDEIEQLSREKTALQSDIFKFKQQQSGAMMQIEDLERRVLDMEQRQIKMITFLQQASKNPQFVNKLVKMAEASSIFTDAFHKKRRLPGLDYSTDNTQTTSFYDDHSSTSKQETGNLLNQHFSDKLRLGLCPAMTESNIITLSTQSSNEDNGSPPGKHPECNRMERECLPLVPQMMELSDTGTSICPSKSSCFALPINDEGLLTCHLSLTLASCSMDVDNSQVHDANGSAIDHGRDNPTEPATATMEKDDAIDDRRFGDIQNTSVDDGTAVATTPRGDARGANEAPAAPAAVVNDKFWEQFLTERPGCSETEEASSGLRTDPSREQMEENRQAYDHSRGNREDVEQLKL >OB02G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12488994:12489158:1 gene:OB02G25260 transcript:OB02G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLNLPPDEDGKNLLQLNDKVHVDAPIHEYAQVEDDDQVGMLTDRIISDLI >OB02G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12490292:12491667:1 gene:OB02G25270 transcript:OB02G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNDDHEFENNLQELEDAMHEFGVYASDVQIVSEQEELNDSNYEVKHTNKNLTDIQRKDIYAALVHKLARPRYNEENICTFDGKTGVWPFTRKIAQPLNQTLVLKFHPSWQENARSYGVMVLSYELVKERPENNRQHVYMEAEVVESFVSQL >OB02G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12495416:12505307:1 gene:OB02G25280 transcript:OB02G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATPDIGRKPAARRAKAGASGSSRSSVSAATPDWRFGVVKVGGGDLGSAPSVAGERPQAEGKAREEECASSTQESTVSPPVSRCCDGTAQQESNTQDSAASPPLVTECGDKNAQQESSTQESTTSPLISECGDKVTQQEGAASVIPTPEKVEDTPWRPRKRSKKGMTRLKVFKDESMKRGGRATPRTVTPVKTKTKRKAKENARQPRYVSGGKSARRKLDFEDDRVTVEGDREFSRAQLMEDLRCLAKVHGLCNDLGAGNGSKKGRKRKKMSGEHQDNGELAIVPFQKTPAAASSSELVPIQNSTELAIVNHKNHMKNLRAKVLGLDEKTLQVYDVLRKWDETDSESFEGLDIGSGPEWDETRRYFEHCVDVFIATVHGLLGPRRFSEWGGSVMDSVVGTFLTQNVADNLSSTAFMNLAAKFPPTKRHINAEVCSNLSPLIDDMRRKLNLNEQSNGTDSGNSGFTKPDNFEKENGYNKELKGNYGRDYKMVIENFITIMKKHKHKDISTWDNERLKNMVKNKSGTPVCSINTLKKFIATLKQEDTSHWDKLRVEAYSKGYNNMKGTRTSDSVDWEAVLCAPEVEVAKCIAERGQHYVMALRIQAFLMRVKKDHGTFDLDWLRYVPRESAKNYLLSVLGLGDKSVDCIRLLSLKHKAFPVDVNVARIVTRLGWVKLQPLPFSAEFHLVGLYPIMRDVQKYLWPRLCTISKEKLYELHCLMITFGKTICTKVSPNCSACPFSAKCKYYNSSLARASLPPAEEHAHEHGEDQASTVTPGSLLLSNVSHIPGSPYVWHPQIEISMPAGRESICNSEPIIEMPLSPEYEYGESPNEQEPYEDDLCDIEDIIPEIPYDIEVDLLKHMINNGSWTPNSGKDLALVNPRHASVQNRRLRNTGRLRTEHNAYVLPDDHAILEEFEERVPEDPCPYLLIVISCPDEYTVKGTILIPCRTATRGKFPLNGTYFQDHEVFADNSSSHCPITIPRECIWNLDRCIVYFGSSIHSITRGQTKEDIQDCFKKGYICVRGFDRNTRYPKPLCTTLHATRERNKAGENSRKRTKTSQEGKIDDKSSSAEK >OB02G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12524064:12540379:-1 gene:OB02G25290 transcript:OB02G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTATGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNIQGVEDKVRRTEKSLTDLRGLQQEIKSGSSARTTYFTLQQQQYAALSEENEDTDDELREWQMKFEERIASLDIKISKLQRDVDDENTTRSSLDEAINGLSQDIGRLQAEADAHMSLKRERDTTIRKISTKHNLGPIPDAPLTDAAAMHLTNTIKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKENERDAAELDLSKHNLPRIDEKERYLQIEVERKALALGDRNYDLIITQKRTEIFSLDEKIKALQREKDNIMSDSNDRVLLDLKKDELEQCKKKIKKIVDEHENKIRSVLKGRLPSEKDLNKEISPVFGSVEREYDDLNSKSREAEQELKLVQMKIRDARSHLSKLQKELDAKRSYVESKLRSITKISADIDMFPKLLKDAQEERDKQKNNFNLAKGMREMYDPFEKVAREHHMCPCCQRAFTPEEEDQFVKKQRTTCATTAERMNMMALECSKAEEFFQQFNNLQSTYDEFVKLGKETIPLGENNLKQLLADECEKAQTFDDLVSVLAQVKMDKDAVHVLLQPIETIERHIQEIHQLEPQVQDLEYKLDCRGQGVKPLEQIQLELNSVQRTRNTLNNEVDDLRDQQRTLTDDLTNAQMRWHDVREEKLKASGAVLRFKKAEEDLERLAEEKEDLTLEEKHLEEALGPLSKERANLMQEHEALKEKLDQEYHQLAERKMEFQQEIDALEAHNDRIKEYLNSKKDEKLKSLHEKRAQKQSDLQKCKETKNEKETELKRSQELLQSQDQLKRNIDDNLNYRKTKAEVERLTREIELLEEKAISIGSLHTIEADLKQHSQEKERLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSDGAGSRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEHQHSKIEAQEIFD >OB02G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12543203:12547556:-1 gene:OB02G25300 transcript:OB02G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQPSPSPSAQVVGNAFVQQYYQILHQSPDLVYRFYQDASRLGRPPADRCGDMVSVTTMQAINEKIMAMDMSRAEIKTVDSQESLGGGVTVLVTGHLTVRDGLCREFSQSFFLAPQEKGYFVLNDMFRYVGDGPTPAAVEMQPEADAMAPPLANGIATAAVQQAPPEHDVVPQQQERVVEHAAVPPEEEEEVYNPPLEEVKGVVVDEEQSAPEVINEVPNNVVPVVATPAAPVSHEEAPKKSYASIVKVMKEAPLQAPVPAARPAPAARPAPPKPERQSPAPQAPTPVADVTPLSSNAESSNTNEPEVDAHAIYVRSLPLNATTAQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVQSAIEASPVMIGGRQCFVEEKRTPGSRGSGRGGRFPPGRGNINFRAEGMRGGRGNYSGGRSYGRGDFGYRSDYGGRGGGRGGSARGPDVGYQRVDGGRGGRTSAGSGAPAK >OB02G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12554065:12560349:1 gene:OB02G25310 transcript:OB02G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3LD14] MESSRKSNLMLNISVFKSNIRNVSFTLTSWTVLGCRNKFIGSHFRRHGIIYRQCERVDSGNIIKCIYWFELCDQENWAQESWGFWGSGGFSYLYEPLWWLGMITMILGEIANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSMKEIWHLATEPGFIVYSCVAVVVVLFLIFWVAERSGHRKMLVYIAICSIMGSLTVISVKAVAIALKLSFSGSNQFIFIQTWFFIFVVIVCCLVQLNYLNKALDSFNTAVVTPVYYVMFTILTIFANMIMYKDSFSRNATQIATQLCGFVTIVAGTFLLHKTRDMGNAPPPPSDEICLDGENERSSHL >OB02G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12563291:12566631:-1 gene:OB02G25320 transcript:OB02G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LD15] MFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSFIRQCNFAPP >OB02G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12589057:12596325:1 gene:OB02G25330 transcript:OB02G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13040) TAIR;Acc:AT4G13040] MVSLRRRRLMGFCSGKDSLPVDCAKPIENENSVEVARPNIKPFSVHPLPLTKTSDVLPESSNGSDSLKEEKNQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQKYTWKAFLDMTRDTITSKKQRKVGLLRRNKTDVLVGQSDGDTEMINGGGSSHSEDGDAETSAS >OB02G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12598856:12599893:-1 gene:OB02G25340 transcript:OB02G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSPLRRWKPFLAAFSSVDAAIEAADPGNLSRAEFRRARGRVVEMLCGAADDAEAEELCLVLDEVMAESLLTLQMVPVAPERLATTDLAEVLGAMRKRHESERIRGLATDIVRAWKATVKSDLVRMTAALERIPHSPKRIDTGRPNLEAKVMQGSPASKKATTNNGGCHVNPTKTSAPSPPKRSAPVVGCARVKADDKGAAAKPKESAHPAKKLQPTGISGRQDQDGIKPYLSDPEKLAAAALAAPKRKLHEGHQDQEEEAKKRRKMADTGAATKPREPALPPKKAPVLVASAGRRRESIGHRNGDEMVASTTRRLRESYQEAEEARRRSGGRSMSSRTRRW >OB02G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12607475:12611270:1 gene:OB02G25350 transcript:OB02G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40980) TAIR;Acc:AT2G40980] MEPQRGPSPDHGSDASGPKQSSVSSHGRHRNSSSSICKDFLRKFVDNELLTSSLEDWFSGHSEDCGFKKPAFDVPFDLNELQNFDYAIEGVTFQQLVRMPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSPKFYPAEKAISSGKLDGVCATAVLLKNLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTVLQNSDCVYVLLVDSQFGVVVNVQGDLNKLDFDLNNVYDSAAEWIKKHAQITVSSIDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQANANGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVLMEDADWQKSFQINDILTDSEPPIYSATPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQLVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFCVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLYFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >OB02G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12623998:12624558:1 gene:OB02G25360 transcript:OB02G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSASTVEVLPPWPSSSVVVLLPTLLLLVAVVRRHLQRGGAGARARKHNIPPGPRPWPVIGNLNLIGPLPHRSIHALSARHGPLMSLRFGSFPVVVASSVDMARYFLKTNDLAFLDRPRTAAGKYTVYNFTGMLWSHYGEYWRQARKVWVTELLSARRLASAEHVRAEEVRAMLRGLRDAAAAT >OB02G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12624566:12631370:1 gene:OB02G25370 transcript:OB02G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKKYIVEGADPAAAAAATPEQFRWMIEEIFFLNGVFNVGDMVPWLAWLDPQGYIGRMKRLGKMFDRFLEDVLEEHDERRRRGGAAVVAADMVDLLLQFADDPNLKVPIQRDGVKAFIPELITGSTDTSSVSVEWAMSEVLRTPRVLAKVTEELDRVVGRGRLVAEADIASLPYLEAVVKESMRLHPVVPLLVPRVSREDASVAGYDVPAGTRVLVNVWAIGRDPAMVHLALANLLHAFAWRLPGGMAPEELSVEEKFGISVSRKLQLEAIPEPRLPAHLYYDDHDGGHTH >OB02G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12633228:12633785:1 gene:OB02G25380 transcript:OB02G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKEKKKENTRRRKESKTIDKKRKRDKEKEKTREKEILFPFPLPPVIFPSHSLLPPLAFPPLRSARSASLFRHLSCFLAHRSSSASPAPAPARSHAAGAAVAVAVVVVARRGVRPGGALDYACGPAGAADCAPIQASGLCYLPNTLAAHASYAFNSVFQRARAAPGACDFAGTATITLTDPSQ >OB02G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12639636:12641809:1 gene:OB02G25390 transcript:OB02G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGASNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >OB02G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12648459:12653696:1 gene:OB02G25400 transcript:OB02G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: plasma membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: hydroxyproline-rich gl /.../tein family protein (TAIR:AT5G52430.1); Has 353 Blast hits to 231 proteins in 60 species: Archae - 0; Bacteria - 6; Metazoa - 57; Fungi - 22; Plants - 125; Viruses - 4; Other Eukaryotes - 139 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G76660) TAIR;Acc:AT1G76660] MTHVVVKKNVAYVDDPDDNLYAQERTRYKRSRWAGCLSGLSCFGSQKGGKRIVPAARIPDGNASTSRGNAHQSGGNSNQNAALNLSLLAPPSSPASFSNSAIPSTAQSPSNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMHLKTAGKDHNMQYLSTTYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQETTRWNKTSRSACDTPYSRASPIPEQEVPTAEWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRLSVSRDKQDAEEVEAYRASFGFSADEIVTTQPYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITSPKKPADQLSIESPQNVVHLDIFKGTKGGDLSEDEGIVKDCHPFRKARDEISLKPIEVRKKVPPGQSCSDAEIEYRRARSLREANGVLSWRSTLARQLQ >OB02G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12664187:12667954:1 gene:OB02G25410 transcript:OB02G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHAGTLFASLFLLLLPLLALMEAAREDDEDEVSEEARWFRAMVEETMALSGASTVWDFLPAALRWLDVGGVGRRLWRLREGRTRFLQGLIDDQRKEMEQRSTAAAAGDERGRRTMIGVLLSVQKKDPDACPDQLIRSLCISSLEAGTDTSADTIEWAMSLLLNNPDVMRKAREEIDACIGQPVRLLDAGDLTKLRYLQCIIMETLRLYPPAPLLVPHEASTDCTVAGFHVAHGTMLLVNTFAIQRDPQVWDQPTSFIPERFEDGRNKGKMTIPFGMGRRRCPAENLGMQMVGLALGTMIQCFEWERVGEELVDMTEGSGLTMPKEVPLQAFYRPRALTMHLLS >OB02G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12670641:12672938:1 gene:OB02G25420 transcript:OB02G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHWPGRRASQANTDTATYSSAGSLRAADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSWRHIRRIAVTELLSAHRVQHFADVNVREVRALVRRLHRRGAAAGGRARGELKSRPVELLMNTMMAMICERTFYGADDDEVSEEARWFRSVVKETMELSGASTVWDFLPEAVRGLGAGRVRRGGRGVSDSRTRFLQGLIDDQRKDMDEKATDDDDHTPARRRTMIGVLLSLQRKDPDTCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPDAMARARGEIDACVGQPPARLLEAADLPKLHYLRCVIMETLRLYPPVPLLAPHESSTDCDVAGFHVPQGTMLLVNTFAIHRDPQIWDDPEAFLPERFADGKNEAKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFDWERIGEELVDMRECSGLTMPKVLPLEAFYQPRASMVHLLSKI >OB02G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12681446:12686600:1 gene:OB02G25430 transcript:OB02G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSSASTPTPPSLSSSLRSLSLSSPRRRRRPPPPPRGAATARQPRPFNKIIHAAGCVSRWSPLPPFPDDPGDDDGSLRLEPFLCDPIERKTGAKPLALVSSSSSSSAEASPCSAEAAASAIAERFVPDLLAAAERAKAGDVAKETEEVKLSAVAKVGKVLFQGGGSPISMNSLREAVRAGEEGSRSHLHKSFYTNVSSECLDGMERSATEKMGLEFDSSKEHYHVKVFDKRQSDITISCKCTVQEDGKLAIHKVELNQVRHLVEDISCLFKDLDLRLMLSTKRFPKNLDAEVENAINCLVSSAVIDPDVKGGLRWPLGKESVDERFSIVGVWHTNYKAYRNENLRLKLRHADRFDHQSSTGEVSNEVTFKLIGISAKLEAGHQESSVEEMLESAVRRIWESALSHKMAP >OB02G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12688350:12695889:1 gene:OB02G25440 transcript:OB02G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSRRGDAATVRAGVRRGGRRRRRDVRGARAAVASRRAEVGLGHSHMQYAPPAPSTSPRIHSPISTNPNSFPGNAFQPFCPSLLCLISEGLE >OB02G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12692557:12695742:1 gene:OB02G25450 transcript:OB02G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTLAQVICNDKKVKDYFDLIIWVCVSQNFNVETLTRKILQDVPRTEIGMIGLNALLNALQEKLSSKTFLLVLDDVWDDESMHDWETLASPLRYGKTGSKILLTTRMQSVADHAARVMQEQCQCLTLSGLKETELLLLLERHAFFGVNPDDYKNLQHISKKMVRKLGGSPLAAKVLGGLLNNKRDSGTWNRILVSGVHNIQQGKEGIMTVLRLSYQHLPTHLQSCFRYCSLFHEDYEFTKKELVYLWMGSGLIPRLVDGMTPEDIGMEYLDALTRKSFFDIKSRPHTNRAIKCNLFDEYYEERFVMHDLLHELARSVLVNECARVGISSERIPNTVRHLCLEVINPTVIEQISQSKKLRTLVMHFQEQDQAEQEHMLKKVIAVAKGLRVLSLTTNSPCKLPDSVGNLLHLRYLSLTWGQRNMTHFCWLPQSVYKLYHLQIMKFEDPKLAVPMKGEMERVCNLVNLRHLHLSHGIMPMIPSVGKLTSLHELYGFNIQQKVGYTIGELKNLRDIRHLYVSGLDKVCSAEEAAEIKLDERESLCALALIWSPGSSDLCDPSKAEILLDMLQPHENASKLRLEGYPGSRPSCWLQDPILINLSYIHLCGCQRMKFLPSLGHLPSLQYLYIIDMKLVECVDSSFYGNGENPSGLQSLKVLEIEGMPVCTEWVGLEGKNLFPQLDTLVVRDCPELRGLPSLPISIRHIEIHHAGLQAMPTFFVSSDASSSSVLDLALFKLMISNCPYITTFWHGCSLYALEELSVQQCASFSCLPEDSFRSLSSLKALEIVKCPNLIAKETMLPHTMRNITLGSCAGAEVALLKSLKGIRSLKRLFLDGFAVPKLPPEAFERLTELTDMMLSACSTAELPEVAVFARLINLENLTIWDCKELVSLNGIQGLASLLSLTIAGCDKLVEDSSVQSTDDAGSSALPLDLSELDIDHPSILLREPLRSITTIKKFQISGGPELTLLPEEYLLRSRHTLDELVVTNASHLKCLPQQITNLTSLQSMHIQNAVNIQTLPDMPTSLTILHIYGCSSEFKKRYQKDVGPDWVKIAHIRDVEIR >OB02G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12700912:12708333:1 gene:OB02G25460 transcript:OB02G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWQGWRSDGRRRATAVERGRSRPSDEGRCRSASKQFYWEEEEDGEATVAAVMARPTASVEEAQAHAEATSHANFSESTEAVLNLVCADCGKPCRSQTEVDLHTKRTGHTEFTDKTMEAAKPIDLEAPQKHAAEAMDVDASSSAEPQEMVAPEVNKEMLADLEAMGFTTARATRALHFSGNSTIEGAINWLSEHQDDPDIDEPLMVPANTNTEANKPSLSPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEDNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAAKPSAPPPVEEKKSALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLQVCGFEKLEGNEFLFLARDKVDKAVLNTAGAELNSAITNPFFGVL >OB02G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12724563:12728102:1 gene:OB02G25470 transcript:OB02G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHGVPAFGNWSMAGDTPYTQKFENLRRSKKTGVYSNPNETTMAPIPEPPPPPPLRSPLHPSSQPDAGLGQRQRHQQPHERKVSAEADHPRPAGSPLHREMVAPRRHANPLLQPQHHSGHGGSPRSPYREAAAAAAGAASPRHRYRSAGMKTPDRKGALHFASEIFQASDEATVPPFGDWDGANAESGEKYTGIFNRVRRDKLAPSSFVKQEQQPPSSCSSRQERKVQVQQACSCCIL >OB02G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12726019:12726810:-1 gene:OB02G25480 transcript:OB02G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSPHLKPEKSQKQNAMHLIRRRKKKPLNNNTMWHHFLKVQSEQENLRRKRVTVQVQRLCLLASACSFLESVGHGPRTTTNPSCQESSSQLTCTCALETQHQQQQQQLLCKGFLVSHHGHYGVAVAAVDSHGASAPPFHGGEATRQAGGDRPQQRPSSRVAAGASASGPGRRQVERMDAAATGEEEEEEAQGSAPSSSRSGWSRRRSSCSSAGSRTSACRGCRRPSTSSRTLELHAAAAFGEMNGFCQSVGVCTFGERTKN >OB02G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12729575:12733765:-1 gene:OB02G25490 transcript:OB02G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:J3LD32] MASTAKDSFLIIADLVILSWRVDSLLYILLAVAVRSLVGSPRAGGANKRFAPRREVAAQVTHTLPPEKKEVFESLEPWAADSVLPFLPRRQRLPLGRLDAHLDRRGEPPRRPCSGSFHDEVRELRERAAELPDDYLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGAGASSWAVWTRAWAAEENRHGDLMNKYLYLTGRVDMRQIEKTIHYLIGSGMDPRTENDPYMGYIYTTFQERATFISHGNTARHAKRHGDVALARICGTVAADEKRHEAAYARIVAKLFEVDPDYTVRAFAAMMRKTVAMPAHLMHDGRDDRLFHHFSAVAQRLGVYTAADYAAILEFLVARWDVAGLASGLSGEGRRAQDFVCSLGPRFRRLEERAQAAAKRAPAAAPFSWIHGRQVQL >OB02G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12733880:12738056:-1 gene:OB02G25500 transcript:OB02G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67480) TAIR;Acc:AT1G67480] MLTLFGTRDSFAQSRAHLCAGMQLKPPTRAKFSQGFMPLGECDPYCALIPGLPEDLAKICLALVPRSHFPVMGSVSKRWMSFLESKEFIAVRKEVGKLEEWVYVLTTDVGSKGSHWEVLGCSGQKHSPLPPMPGPTKAGFGVVVLDGKLFVIAGYAADHGKECVSDDVYRYDSCLNRWVELSKMNVARCDFACAEVNGMIYVAGGFGSNGESLSSVEVYDPEQNKWMLIESLRRPRWGCFACSFEGKLYVMGGRSSFTIGNSRFVDVYNPNNHAWGEVKNGCVMVTAHAVLGKRLFCIEWKNQRSLAVFNPADNSWQKVPLPLTGSSSTRFCFGIHDGKLLLFSLDEEPGYKTLMYDPAAPTGSEWCTSELKPSGLCLCSVTIRA >OB02G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12754209:12755107:-1 gene:OB02G25510 transcript:OB02G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRRMCSTKPKSATRRSAMCRRMCSTKPKSATRRSAMDSHSFHSRNQKGIRAAYALEKDCRIRNEDKSPLYDVMRKEVGQAV >OB02G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12773181:12774776:1 gene:OB02G25520 transcript:OB02G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEEELRHLMVRHAVPLDPTGLFFSLRRLSLGSMDDLDTSSEFDAATPHSIDAAPETARGGPLVNPFEDQVFDPVRPEAVDDLRAIADRMARAGYSRELADAYCGIRRDLLDEYLSALGVERLSIDEVQRIEWKHLNDKMKKWVQAVKTVVRVLLAGERRLCDQVLSVSDELREECFIESTKGCIMQILNFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQANLDRLGDTIRGTLFEFGKVLQQESSRRAMTAGEIHPMTRYVMNYLRLLVVYSDTLDILLDADADDQVELARAGDQDQEHLESMTPLGRRLLRLISYLEANLEEKSKLYEDSALECIFSMNNLLYIVQKARDSELGKILGDHWIKRHNGKIRQYSKSYLRISWMKALSFLKDDGHGSGSGSGSGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTTWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLESQLSDLFEGAPGSANHSRRRT >OB02G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12795720:12796531:-1 gene:OB02G25530 transcript:OB02G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASKFLKDLFAAVVAAVKARSTAVRAKTSVVRTRLVVVGILRNRKLLLSAINRKIHAVVSSGGSQATAFSHHGGGGGGAGGEQQQHSLMSGVHLLGGSRKAAVLQSLPSFVLEQERSAVVLLSSLPSFAMDRDSGGGGGEEDDEEQETGGKQQQSVIELARGAAECGGVEFRLEDEIDHVADVFIRRFHEQMKLQKLESFKRLCEMLDKN >OB02G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12809095:12809397:-1 gene:OB02G25540 transcript:OB02G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKINDAVVVWNHQQTAASGTALDESCPHRTDQRGESKRRKKMAALDFSGRNKKQEPSKASLDVTFTSLQGEKNSNDKKKRSASLMISFEQRKSSWNWR >OB02G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12809613:12813134:1 gene:OB02G25550 transcript:OB02G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGAVVVVDEDGEVVATKIRKRCALSSSGASDPLRKLRLKKRGVVVLGRRGGGGGVVFSKRSRTKSKALEADEQRSWHNGHGRWFSDMFSNGSTMEKTVVTPGTSSKMMLTKLIASNKVHACRPPDCASPCAGGETMEPHLKEMYGSLAASKELVKALAGIWGPGDLNPSTASLLSALRAELDLARAHARQLIKEERRRGDEAERMRRQLAEEVREWRGRQREKAAATVRVVVAELDGERRSRRRAERVNAKLGKALADAERELAAARRELERERRSRERLEKVCDELVRGGLAVGGGSVDGRGGDDVEEMRREAERAQEELEKEREMLRLAQGLREERVKMKLLEARLQFEEKNAVVEQLRGELEAFLESKKDRQQEPHDADEHRLDGRQLQSILANKNGEEGNVRDGDVEDDGGRGECVADDSDGSETHSIELNMDGNSWSYTTASKDTTTTRSKNAAAVHGSHISDRGAELAAGPWSIAAIDQRSQEDAGEELDGDRWHDGGCSDRSKDLDEEDAERYQAIKNLREQMLAGHGFVFVSQEWGQC >OB02G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12816490:12819746:1 gene:OB02G25560 transcript:OB02G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHAIFCSRVALVHVLSSLRWRSAQRCPVGRETAAERRPAPAGGPRMEHNQQAGRRRRQTADGRRQTSSPRDRIVRHCPTGDRRVRATVRVASALAKRRPRQWPVTGCRRGGQSPAKSSNMKKDGDDQTQRKMGLKKNMKKDRPTKRGRTITLRVLAGRKPVAERQLLLSNRSDCKAAMDDDPTSINYELRTMGMRRVDTDEFDEESVQARLERFKTMLHAFGTSISFMNTSHQHIVAYKNLCIAKGFCSCKIGLDMDVKWNATYLMLNHLVPHREPFFGVYYYTTFFG >OB02G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12829393:12830796:-1 gene:OB02G25570 transcript:OB02G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDEEGNGAEKRRRTGVDGVGGGGGGGITGAFDMLPDELVVSILADVAACRRFREAGWNRLVLARASAACVAVRAGSWCNEARRFLVRCAQAGNAESSYLLGMIMFYCFENRKLGAELLGAAARRGHVEALYSMAIIQFNGSGLAKDGRNLQAGAHLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVLRVQRGDLLLPRVPGAALEGGAQEGVRPDGILARRRQRQRQCRRGRRGSCAGGGGGCSDGDAVTRA >OB02G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12851490:12858016:1 gene:OB02G25580 transcript:OB02G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05350) TAIR;Acc:AT1G05350] MAEELRALLRDLDALKQRPDPAAIDRMRERVAAMVSPPGAAAAARSKIKDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELCQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYMQSKPARDAAAKAKMEAEAAAVADECPVHLDNDWNISVVDDSDTATASILSTGADSLPEGLVRELPTADSYQEPVVPVTSGAIDDDLDLEELQRQLDALNSS >OB02G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12875526:12876014:1 gene:OB02G25590 transcript:OB02G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHRQAAPCPPHRPPAAEPFVGARTRRRLQLIASNNIAMKYTHKVYICTCPVHSCGSSLIYICIMSIFQETACSSTRSSIHTCMYVMCCSSWDY >OB02G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12903736:12903918:-1 gene:OB02G25600 transcript:OB02G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGLVYVRIYVRSTYESHVRIASCYVRRSTYVLARWLPIRRDRWRETTATATATARLIS >OB02G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12918128:12920468:1 gene:OB02G25610 transcript:OB02G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYCRQLRSAVILWGEVASSKGRVAQTTNVIMGGTVTDDATDEWLVLDKQVNSYPTVRGFTAIGTGGDDFVQSMVVAVESVVQEQIPKAQISQKISSKGKYVSVKIGPIRVVSSEQVQAVYNAMKKDERMKFFL >OB02G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12929688:12929999:1 gene:OB02G25620 transcript:OB02G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSSPVQPPAEKVHEPSPPPSSLTLSSSPPPLPPAPEATTTFESDDYEKVPEDPSAAVAAEENASEGEEEEEERKRGWADVMGMLNLRLWGIWSPAVESAT >OB02G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12942998:12944519:1 gene:OB02G25630 transcript:OB02G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACRRPSSVKSGASLPRLPGRCTGERCCQSVIPPTLNSYAPRMFNFENGTGRRLRGSTMPCRYVFLVESSWIETVYDDRKDFNRSDGEFEVPVVLDWAIRNVYNCSTAMRNKTDYACRSTHSECFNTSDGQGYRCNCSEGYEGNPYLDDGCTDINECLRPKEYGCHGDCTNMLGSYTCVCPPGTSGNPKENNGCRPKDKFTSALKVVTGVSVSIFLSVFMCFWLYLGVKKRKLIKTKQKFFELNGGVILQQQQMHSSGGAPGFRIFSMEELKKATNNFATDRVLGRGGHGVVYKGVLEDKMLVAIKKSKMMDEAENKEFVREIFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHFIHSKNPKADVPLDIRLRIAAESAEAFSYMHSSASPPILLRLSPTCIHRLHHQSSMEMSRQQISFLMRSSTSKF >OB02G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12947316:12947981:-1 gene:OB02G25640 transcript:OB02G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGDEGDLEAGVAGHTPAPPDRPGKTKQKRRSCCCRVACAALVLVVAVAAVAGAPYRALAPKLPLYAVEVLNVSAFDMDYEMTARARFDADVRFENPNRAIGISYEAGSSLAVYYGSYRLSEGSLPAFYQGHGDAAVVRVAMSEAQLAGTGVVEAMRHVNEDDGELPLVFRGVVPVRVKVGRVTAAKMTPRIRCDLVLDRLSTEGEIRVKKMSCKIKLW >OB02G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12949105:12953251:-1 gene:OB02G25650 transcript:OB02G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPADTLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRVPTGELWAGNPARFVRKLTNEEIMEIPKLSVAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSISL >OB02G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12955745:12955954:-1 gene:OB02G25660 transcript:OB02G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAVLVHAVIYFALITIFLIAIGVHIYAG >OB02G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12959952:12964353:1 gene:OB02G25670 transcript:OB02G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGPAVVAVTLFILLSPGLLFQAPARTRVVEFGNMCTSGVSVLVHAVFFFTLFTVLVVAIGIHIDQGRRQVMADWAPVVVGVVLFVLLSPGLLVELPGTHRHVDFGSFRTNGKAIFVHTLIFFALFAIITLALHLHIYTG >OB02G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12967815:12968265:1 gene:OB02G25680 transcript:OB02G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTEYHLSMSRCNVILFWTERRRRARPREEASSQPAASSGSGPLGAGGRGVGEPTRRPAGPGAQEEEEDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECSSQREYDM >OB02G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12970303:12971977:1 gene:OB02G25690 transcript:OB02G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDMVIGRRDGAGGAREERRRKAELVQETVRELLEDRRRERQRRDGDGGTEEEDEDGLLSSLLSKVDAMQKNDDLNFHANSEDRQETGNEVKLRDIAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKKKLSNPFKSLGELIKGSLKGRGRPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNDDD >OB02G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12972148:12973856:-1 gene:OB02G25700 transcript:OB02G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSLDEARHSYKTAVAALRASITAVSSCRAQEIGPTEDKGDTAEIKKLEDRVSVLRKEIESKNKDVKCLVDHLRDLISDVSMWQSSELGLQTVNGLNVCTKLLKFMKPYNASALNMMHFLP >OB02G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12973861:12974220:-1 gene:OB02G25710 transcript:OB02G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRQELAGEGQRHLEEAIAAAFQILTSIDHELSDPALWSSSQHQQQQQDPPAAAGSDAAPRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPWRRARSEP >OB02G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12978291:12980483:1 gene:OB02G25720 transcript:OB02G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELNSRALMDEALKARDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKIDGENDWYRILSLSASADEEEVKKQYRKLALQLHPDKNKSVGAEGAFKLISEAWGVLSDKSRKMIYDQKRKDHPATNGANGLYTYDKKAHKRARKNATASAAAAAAAAEATTRPVGLDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVQGTGHGIYQQENSYESYNSQSFQWNQYSKTNSSAGANVYTGTSSEKSKRKHEESYIYNYSSSGNEFGQERTTSGRGRFSKRRQNINNGYVSVDCNGDNKETVAATAGTTVLPDVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRSLLIGKAKAAIHDKLQDLNISATRHIAAKGKAERKNHVDTDVKGNGTLPHNPSNKFKICNSKGADVDNPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNTELSPISWVASGFSKTCGDFRVGRYQITETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVSVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEAAVTEISE >OB02G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12981967:12987007:-1 gene:OB02G25730 transcript:OB02G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGEKSGSVGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTTKRTLTDGA >OB02G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12988328:12989776:1 gene:OB02G25740 transcript:OB02G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:J3LD57] MVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDSLMNLPGYIARLPKPPATELLEQVLRLVGESRRPILYVGGGCSASGDELHRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLEETTIKKTSDFSAWHIELDQQKREFPLGYKTFGEEIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPECESEIYPDFVTIAKGFNIPAVRVTKKSEVCDAIKKMLETPGPYLLDVIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >OB02G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:12991531:12992133:-1 gene:OB02G25750 transcript:OB02G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTHSPSPMAYDSLVGNHCRTTQFRTPMSGLRGPPLGAPPPFAFGPYSAPPSQGPYPHSPSFAHRNSNPGQDSGGRMNYGPRGGPYSHHGRGRGQNYHGSPGSTGRGQRGGSGLQNFSASRGQRSYYNKSMIDDPWRDLQPVVGNILIPRDGSKSWLPNSLRAKKDTSDRGQIKSTPSGLSLAEYLDLSFNEASNDT >OB02G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13000199:13003305:1 gene:OB02G25760 transcript:OB02G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKAKEEAVVVEKGAKEAAEEEEGAGGGEEKGEEKKEDAPPPPEEVVMRVFMHCEGCARKVKRILKGFDGVEDIVTDSKAHKVIVKGKKAAADPMKVVQRVQKKTGRKVELLSPMPPPVEEKKEEEKKEEPEPPKPEEKEPTVIAVVLKVHMHCEACAQVIRKKILKMKGVQSAEPDLKASQVTVKGVFEEAKLADYVRKRTGRNAAIVKAEPVAPPENGGDTDAKDDKKAAEGSEEKGESKEEKNDGDDTGGDEKEKEKEKDDSNAADAEEKDKEKDPTAMAAANLYMHYPRFSSHPGGYGAPGYAYPYAPQLFSDENPNACSVM >OB02G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13020075:13027337:1 gene:OB02G25770 transcript:OB02G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGILDQCLMYGTMAQSRRVKTKRKQSHEANEVKTLLDTVASLPNVYRCKLKKDVNFWGAVYPTYYALPHLKAGRRKLVVCSSAAGTVATSRMSFYNASKAAVLRFYETLRAELGSEVGVTILTHGYVESEITMGKAVQKHGLLVVDQEARDAQIGVFPVGSVEGMCRVAMDGIRSGDRYVTWPSMYRPLQLIACLAPELVSWLSHTMYNTKAKAAAGGGHLQAPPLSKRILDTTGAKRFFPEALRRDPVVIKTDEERDDGGEGASAPN >OB02G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13028754:13032666:1 gene:OB02G25780 transcript:OB02G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGGQAAAPIEAARRAAAPALTSGMVRSKPRKPRSRRMSGGAPRKKAEMWSAHEHSQFLRGLEKCGKGKWKTMAREFVKTKSPIQIASHYQKFCIREESRRLNQCKRTSIHDITEPTTPAPETTPAAATAAVVNFGTGNDLAFQRRYQAIN >OB02G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13033388:13033582:1 gene:OB02G25790 transcript:OB02G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding EACERRKTYLICLLPIDFRDTYLILVVAVKKQKNLKLDQFRNFTNYEVLETCKHPNLCPISVEK >OB02G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13037381:13043866:1 gene:OB02G25800 transcript:OB02G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYLMFHSAVMHVGAALVVLVYIPLSAAVKLLVWALVKPLRREDLRGKVVLITGASSGIGEELAYQYAAQGACLALVARRKKALDGVAAAARGRGSPDVLVLPADVSDADQSRRAVEETVAHFGKLNHLVANAGVWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASRGKLVVSSSAAGTVATSRMSFYNASKAAQLRFYETLRTELGSEVSVTILTPGYVESEMTKGKAIQSGGELAVNEEARDAQIGVFPVGRVEELGEVAMDGIRAGDWYITWPSLFRPLQLIACLAPEVLHRACRLLYSTGGKGGQPLGKRIMEATGAKRLFPEALRRSPAIKTDEEEEEEEYDDSGARDVTTVNVLHCRKGS >OB02G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13048542:13050383:1 gene:OB02G25810 transcript:OB02G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAFVFSLLLASVCNATAQGEVAAACRATDLVVRQRATGRVVEGKPEYAVEVANRCRCAQSRVLLRCYGLSSVESDLSLNRASAVDTILGRTSNNYKGHEVLKK >OB02G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13052783:13074446:1 gene:OB02G25820 transcript:OB02G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGRRRKPSAGGGGGGGGGGARGGGWRSGSSAAKEQRLRLGAEELLESRLGFAPYTDGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYASTKDKMELEVEAYLRRRYEGDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRNDLLHVVEKNEEDLNAAEAFESIYGVKRVERPQDYINCIIDLREYDVPYHVRFAIDKDVRCGQWYDVSVSSSDVLLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINREVTECVGEDIEDLEYTPKPEFEGQFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADMEKFFNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPAAYEQLIVNLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPTREERPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRNLEWVWRGETYMAKRSDYHHIKRQIESELIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLVEAKANGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTNAGKKFTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGVLLKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDKGMRTLDGTGDMEDLLMLESSLRKTHVPNGLGKENKPNGAPSAEAGSDNNKNHQKSITRSNEPLALHIQNDASDEQVDRSTDYQGWLDAKKRKWKYVREQKKRQRLGAAATFDGPTKDLSSARNIIQLAPSTLPGRFFAWVVAEGIMFKIPINVPRVFFLNSKAPVTEEFPGRRVKKILPHGRPCFNLIENILTAILQVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKIPAELNAILQIGCVCKVDKSAKRRNIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSFRIHAVVVNPFLNKELSSSFLEKQYRDACQALGSLHDNITFHVDYHTSLDAGSKHVQRMLFEYRQQHPGPVIGVLECPKLQAIKAAVRALDDFPCVTIPSNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVAVELKIHHLAVNSLLKCSQVDELEGGPVGSFANDTSTGPNGTETEFDDASLCIPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALMLAEFRKLGANVIFANFSKIIIDTGKVELPSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQAKMQNETSEDGADGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVALRSTTRDDTSCTPSITILAAENLEVQVIGYLRNQISNYFADKLLRIVSDILHHFKGKGKSESVEHANQEPDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFSPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSQYLRKCNPAGYEGLDFLQGGMQPDLSQQLAPSLIVIACLVSRIHFGSLPISMSIVKLVLLFAVTRPRASLQGLQVAGSDKPVPHARGTAQLRR >OB02G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13075572:13075994:-1 gene:OB02G25830 transcript:OB02G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGERRNILAKTIDRCRSLGHRTSRRPPAPPPSTASSGVPAGFFAVLVGPEKERFAVRARCANHPLFRALLDQAETEYGFAGCDGPLELPCDVDAFMDVMWQMEQADPTASPRCRGYHQHQGYQMMSTPARFLVAGRS >OB02G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13076146:13083870:-1 gene:OB02G25840 transcript:OB02G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCGLVAEGYRCGRCSPAAPEGWTASPPPPPPPPPPYQAKNLSAPPPPPYQAKNLSAAAAAVEVEPRGRGGCSAQQQFNAGPKSMVSRRMVDGGRRAARTRWLDGAAALSEHRNRIENRWRILIKLARSLAAAGLCLGHSRISLSQSIARVPPTADADDASCRAPRAMNCTDVEIRNTLRLLVPKATEDMSRLHQAYDRG >OB02G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13083720:13089007:1 gene:OB02G25850 transcript:OB02G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G51140) TAIR;Acc:AT5G51140] MAGGAAPRGFSPGMAAGAGGGGGETPSNPPERQDYIFRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVRAVNCGRLQVDDQMVHTDYIVQSSQKISHFLHRHEPPVLGGDITILQNEVDVVTVCKPALVPVHPCGQYRKNTVVGILQAEHGLASLFPVHRLDRLVSGLLIFAKNADKAESFRQQIETSLLQKEYVAKVVGVFPDGEQIVDANVHFNAREGRSTAEVCDGNGKAPIGKQACTKFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSEPDSCADVGNKDTEVGEEFSIDPMCTNCPNLAPLGYDADEEGLWLHCVRYTGPDWSYECPYPDWVFLDNVSGKKLKS >OB02G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13110689:13111096:1 gene:OB02G25860 transcript:OB02G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAAAPVPMVESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLVGYGGPPAASPPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >OB02G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13130241:13134565:-1 gene:OB02G25870 transcript:OB02G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3LD70] MAVAEEQEEAAVGVMRRRPKTKIVCTLGPASRSVEMIGRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITVSTDYSIQGDDNMISMSYKKLAVDLKPDSAILCADGTITLTVLRCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKLLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLQAESCVDHAAVFKYITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSLDWTCSDEGPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAIGNAKATGLCNAGESVVALHRIGTASVIKLLTVN >OB02G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13136919:13140599:1 gene:OB02G25880 transcript:OB02G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLTPNSSVTPRPLGSPLISEISPTHDIESSRRQRRYRRKVATTDWTNDKGRGRHGRHGGGLGRGKKLAAEPSTTRPSSAARGRVKKASYKPPRPSGSGATDGLVSGDTDATDYAYTDGFVDSEAPNAHEMKEEFDKADWSTTNNRIFCELCIEQIEAGNRPIGIMKNIAYQNIAVKYLQKTGLRHSKVQLKKRWDILKGMYSFWLSLLKDTGLGWDSTKGTVAASDDYWKKVTKDVGGVDERADVQGLDNTPKDLSLDNNSKNNKSLNPQKKCKNPIVKVMKGIQSTLDTNCTIANKMSMYGSDDEDEDETVVVARGQLDMVRKIGPVLTTFGMFYAETYLNKSKMSRPLFERLHNLLVSSYELKSSYKMDSVEALGMFLWPIGAPQSFVQVKNRFERSKETIDRKFKEVLQSVYLLSKDLVKLRDPNFTTIHPRLLGDRFEPHFNTCIGAIDGTHIPVVVPASNLVQHVGRNKYPTQNVLAICDFDMRFTFIVLGWPGLAHDMRVFNDALRKYAAIFPYPPPGKFYLVDLGYPNRLGFLAPYKGTKYHLPKFRAGPSPSGKKEVFNHLHSSLCNVIERSFGVLKMK >OB02G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13151206:13154657:-1 gene:OB02G25890 transcript:OB02G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3LD72] MAGAMDLPQKGGFSFDYCRRNAMLAEKGLKVPGFRKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESNYKEGLTREEGIQLVSNAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHELPNPRTYISSKGYSFTKGQTEVLSTKITQLKPKVDVTEVDAMEE >OB02G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13174881:13178995:1 gene:OB02G25900 transcript:OB02G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVEKLSAGAEKGRVKSNAIAREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESSGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAPHASRKPGGDQRSKVGENGRIVSRNDEASSSGHKSPNKDREDSPKEPPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAIENGQGSLDSPTENG >OB02G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13192857:13194966:-1 gene:OB02G25910 transcript:OB02G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3LD74] MAFLSMERNTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAAKSTRMLTTRMLLGLNVGLFGLIALVTLLLPQGALRVHVLGWVCVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFGYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRTKKPPASSSSSAAAGQEIKLPEHVKEVTTIVAKAGAAPPEGRASCGAEVHPIDDALPAPTVVEVKVDEEANRTDGVDVDDGDHGILLRPEQIIKPDMAIVVEV >OB02G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13228188:13231485:1 gene:OB02G25920 transcript:OB02G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKAAPAPAPPGPPAGAAAATAAPAILAEVDAAAGVRRGAAAAGGGVGRFAVIGHRGKGMNALAAPDRRLQGGKGDSLRSFNEAARFPVDYVEFDVQVTRDGCPIIFHDNFIFTEEDGKILDKRVTDLQLEDFLQYGPQNEQGKGGKPLLRKMKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNVELKFDDNLEYQEEELTRILQAILKVVFDQAKDRPIIFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAIKLCLASGMQGIVSEARAIFRHPAAVPKIKEANLALLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVSELIAVPEPGTNAENLSFGAAKDAATPNFSQREISFLLRLIPELVR >OB02G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13233475:13237967:1 gene:OB02G25930 transcript:OB02G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAARLLNRXXXXTLCFLRNCRNVRHFSTQLLEGVPRCSQPTRGRYFLSNASPYQLWTRSFASEKGDMVEAVVPFMGESITDGTLATFLKKPGDRVEADEPIAQIETDKVTMDVASPEAGIIEKFVASEGDIVTPGVKVAIISKSAVSNKTHAQPSEDASQEQSSPLPSAEKNKVEAKPHKVESKIEHTSKLASSSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDQFVEKHGVKLGLMSCFVKAAVSALQNQPVVNAVIDGDDIIYREYIDISVAVGTSKGLVVPVIRDTDSMNFADIEKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSSILGMHSIVQRPVVVNGSILSRPMMYLALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >OB02G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13238395:13238601:-1 gene:OB02G25940 transcript:OB02G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKVKTTHLVETNSLIQVRKTSTSRKACLCHRLTALCQQVAGQILRLQNIICLTKMFIIFSLVNVK >OB02G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13246770:13250872:1 gene:OB02G25950 transcript:OB02G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLATLRGQHRGRWVHSHSKLPTRNMSINLPKRNHSHKIQLPCQSDLGRKQKTSAFTPFGFHGRGYRMETSVKCYFLQSLVNSESMVSPNLLLLSDEALLTISIVFAYLAGVVPSGHAFPHARNHNPNQHLGAPSPSDSGRDVKWLPGGNTGFDPSETWSEVRMKLSEALQSNGQGASLDGSDSELKNNRKNYPLSMLAIHGGPRLRLLLATFQLLEMEVRNVHETSELVDGIKWLQISTTLIDGLIKPAFMKWIQEEKAWENSKINEELMKTIARKVKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSDSYFDAKFLAEHGSRILEDLVIFLADAIASIYIELISVDGDMATDVVGSSLALCSLSTRELQKLRNEVAINGWLHQYVESVVSMYEDRFELYVLSRKVCEKTVDNQAEVTNWWRLGFGNPSTITLMDYIHISSFSLPVRRTKELRALAGWRYYFSLFLEMSDIALPFVRAVVTKVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWR >OB02G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13258017:13264056:1 gene:OB02G25960 transcript:OB02G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLRLKGFGQHQQHRERKARQQPPXPPPAKLDELADAAQDVEEMKNCYDGFISAAAATMNGVYEFAEALEELGSCLLAKTALNDDDDDSGRVLMMLGKAQYELEKSADRYRTNIIHTITTPSESLLKELQTLEEMKQQCDMKREAYETMRASYSGKGGSRHSKNESLSAEQLEASFVEYQEDSALFTFRLKSLKQGQFQSLLTQAARHHAAQLSFFRNGLKCLEALEPRVKEIAEKYHIDCHFSGLEDDVSDNDGHSTYDSCSDDGELSFDYEINDRDQEFLTSRGSMDLDKNDLTTSPKPVKENKQEQAKQAEAEIVFPQLKPEFATHSAPIFAGNLHDQTDRLRQMRPSSTRHSYKLPTPVGDNNSKPSGSHRPHHSAQFFETKPCAKTNLWHSSPLMKDYNSTMHTTTTKPSSSTDDLRKLKRESWSGPIPIKAGSGKPFFQADHRPSSTMAYPGAMPAKPHIRHASSSSVSPKVSQKMSPLPTTSLKISELHLLPLPPANVDPVRPTGLVGYSGPLVSKRQAPPAPPAHLSPTASQTAAPLPRPPAALARSYSIPSNSQRTPIITVNKLLEARHSREGSDASSPPLTPLSLSDLCHQPPAKATADSTRRKETL >OB02G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13264709:13267835:-1 gene:OB02G25970 transcript:OB02G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: LPS-induced tumor necrosis factor alpha factor (InterPro:IPR006629); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eu /.../es - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G13190) TAIR;Acc:AT5G13190] MAATKAATDEPAIGIPYHPAAEAHGRYYYAPDPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQSCGAAAVSSVRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFAVPA >OB02G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13273150:13273476:1 gene:OB02G25980 transcript:OB02G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTYLHYKSVHESIHTDAYSTVDQSASNQRNKSLHYISHSLLACIASVVAWAISLLVSETKRSVLEHCCSGEVSMTWGDRLKSDSYSGSSIGVGKSEAKSYCRGDLC >OB02G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13306520:13307299:-1 gene:OB02G25990 transcript:OB02G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSIDVGDADGASVLHQLGGRDPDDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAETPPSSPSAATATYETPPPPPESSPAPAPPLKSSSAIH >OB02G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13308329:13308589:-1 gene:OB02G26000 transcript:OB02G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCLAPLLSPSPCPLSLLFSCSWILAAPSRIPLSFLATNCFPEIFDISGVFFFSVSFRFHLHSLGREELMMMLTKIEAFFPTLD >OB02G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13329624:13334271:-1 gene:OB02G26010 transcript:OB02G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26730) TAIR;Acc:AT3G26730] MVPATRECVDSSQKVVGFRISGEENYDSYTQEVGNFSECHSSEHGSSCSAASNGTSGQATPQRPELMESLKIDQSTTNSSGNGTQVTARKNQSVNANHLLNFHYDPISRPQPRGPKTYPSRKQRKIRPYNKDLFLQANFKFVVLDTGSYQMELMDPDKMLPWEDIVCVRYYSPCEVQCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDCKGDSWKKCPLCFMMISAKELYTIYITQVQHFHVGDNVTFSLLSRSKNSLTPTKNLTDECPSIDEDDCNAFSKFILTSDVELSVREAKSDLINWLHMADLGLVDDLEKLPYVSTALEQLEERMKYWSEYRNYSVSPPLKESCSPGTSSKLRNLNSTRPSRQNSEHKLSPLSDEDIIAGVSDISISPESNKIFNKRMPSKIEERCMAPIDSNEKDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSETTRKRYRYLSHFSLTTTFQFCEIDLGDMLPPSSLAPFMDEIKKRGKQRKQTAKKEERDRVKAEVAAAAQASAMRFEHLNFSHSSGPHGDFMFSLDDFEALGNNPGPSTSPPASERKLFSDVTRLGFASAQDSPPLRVETGVLTGKSESTGEQGPAATSALSFASIISSTRAADNSLDTHKPNGVGKKGKKPTKVLLSTGGGRRY >OB02G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13338206:13339546:1 gene:OB02G26020 transcript:OB02G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASLEKKPREKQHESVNLPNERTSSYPNGTSHEHKSETKRTPGKLFRNSRKFNLRTINLSTEDSKFAAIQLINAKEDRLNICATGTGKIPTFLRPMNSVNTDSSFKSYVFWQFFSVLQKESATNSNSSRKGASGQELCFITKKIAILPPKGKEKKSQRAAHRISARRSPLETAPVPAQLRRRRRSPPALPPLPPLRRPRTATPRRRGGHCRTPSPRPAPSSPSPSLPLPPRARPPPPPPRHYRRRARRGRRGRVPCRRVCRTPPGRRTPGAAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGERGGAS >OB02G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13339681:13340007:-1 gene:OB02G26030 transcript:OB02G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTMFITIAHLFVFVDHSERILPLKKTHKLKINMKSFVKNLEYITKISISLALKKDRHITRTIIPDQIKFIKKIQKAISNMHMDWVLWAWMLEIKITTYNLQRVPN >OB02G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13357179:13357391:1 gene:OB02G26040 transcript:OB02G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEGKKKIEKERVNQSASRIFPLLPSSSTPSELALRRRRRQSPPREQSSRAGALRRGGAAAAVVVVLLL >OB02G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13357122:13365856:1 gene:OB02G26050 transcript:OB02G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAPAPEGLEPIGCLEDDGGDGNGGGFGGAEDGLYRLASVGEEIDNLSNLSEIDDLASTFAKLNRSISGTHNPGVIGDRRSISRGSSLTVDWPEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLMQQGGDKSLSRTSSYPQQPLQHRASEPIIAPKSPSFTSFPPPGGRSPYTAQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHMAGLSHGLPYGGSMSFGTPNLPINSAMQNDWPNQANMYAGDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPHQNYLNLPSHIFYQHHSPELTGRLESVSSVPSSRDKRSRSGRGKHNIRFSQPLSDTTSQNGDGGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGVNSRPKANFSPTSMKDLPSKSRSSGDHHAYLQVDALGRVSFSSIRRPRSLLEIDLPSSGDGSHDPKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNGFQLRRRRQVLLEGLAASLQLVDPLGPSTSGHSSGLASKDDIVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVRHMELGALSACLAAVVCSSEQPPLRPLASSSGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCISKYESIRQMFVMQPPGSVVGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNPTDANGGHITSESVPG >OB02G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13392388:13392669:1 gene:OB02G26060 transcript:OB02G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGCFKHTTKDRLMHWRVRFGTKPDGMSDTEATVIHMHMHCILSCIFAVADLIAFTGCSIDCHSLSCLRARTKGSYGCLCPYILADVEERG >OB02G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13405238:13413498:1 gene:OB02G26070 transcript:OB02G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHLSQYSHPTLAASSFSEELRLPTERQVGFWKPESLHHHMGSKSVASSPIEKPQPIGTKTAGPYKLRDQGAAFSLEHKLFGQDRHVNLPPSPWRPDQEPGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKADLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVLDEVGYATNANNRDDADDDIFYSGGGMELETDENKKLQEFNGSANDGLGLLNGEHPYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKPLRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAESALRALNRNDIAGKKIKLETSRLGATRRLSQHMSSELCQEEFGSCKLGSPSTSSPPIPSFGSTNLATITSTGHENGNIQGMHSGLQTSISQFRETSYPGLSSTIPQSLSTPIGISSAATHSNQASLGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGANNGTPYNVNNMAQVVNGSNSRTSEAVDGRHLHKVGSGNLNGHSFDRAEGALGFSRSGSCSVRGHQLMWNNSNNFHHHPNSPVLWTSPGSFVNNVPSRSPAQMHGVPRAPSSHMIENVLPMHHHHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHTLELNNIYSQTGGNCMDPTVSPAQIGGPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSNANQSDNKKQYELDIDRIVRCDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGANIRARSGRSRASSGEESHQDISITSGNCDTSSNGVDITGPTKDSE >OB02G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13415791:13417262:-1 gene:OB02G26080 transcript:OB02G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEKTKGWKRMSEYQTSRASYSRGQFLLEFFSNYDTTDDIFSFLRLVAATWMCALRVQYGRRLNLGGRPVEDWCSRAVLPPRETANWIVVRALAEAFRVAVRVEDVNSRSTQNTHYSIPQGTPIVTLLFIDGKYDIIYPINPVPQAGSQQHPHAPAAETSSGGGAGQRAQSGFWWFDCCFAVPRDIHPRAADQAKPH >OB02G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13424489:13424686:1 gene:OB02G26090 transcript:OB02G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTRSMTQITYVHSTHTLTSYKHCRYIRERRGTEVVHLDTDATLTSHNAGRTQKLSIVRTYVHVA >OB02G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13424688:13425279:-1 gene:OB02G26100 transcript:OB02G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRCRRSGGSAAAGTTRRRLCRLLALAGDYLKYLFMKRGRLLGRVARRALAQLVLQSSSSGGGGGHVGKRRGLAQAAQPWPPSCAPALAEREFSCSNSPSPAFLAARRLSRLGRGACSSCFGALRPSCGSAATSTEAAAADEEDEEEEEEVDDGGWARGMELDVDYRAEEFINMFYEQLRAQSFQAALCHCRSP >OB02G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13437485:13438510:-1 gene:OB02G26110 transcript:OB02G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWWLQRRRPEPAAIDITWVSCRGVKSSVPFHTPCLYASIYVQHASSPSSSSSSSCGRRGNRVKTATDRTGGGNPEGAAPLRLYLPVDSSSSPASSCGERESAASMAASEKEGGLLVRFELKSEVAVLGDVLSATAAVPVAELVADGTTRRVSYQLTWPDGKHPNGVISFSYAFHAVGSSSSSPNSDADDDRSTISSECDSFTPPCPAASRAITTLPPPPTQSASATASPTMYPVIDWPLTEQVTLTPLLLYPPAKVYPPPPPPPPTTLPLFPPPSPASSIYPPTVREPAVSRSSMYPRVDLEPVSCYPPPPPPPTAAAIYGGVCGYAAAPGWDGRCSNC >OB02G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13440924:13445209:-1 gene:OB02G26120 transcript:OB02G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT3G26670) TAIR;Acc:AT3G26670] MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTTSNQGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCTSLVFVVAFNQYLYRSGETILSDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSMLLLFLFTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIVMFVLGMTSVFIGISLLAPDDSKVDTKDGSSANQEPAIDASRPGKMQMEETEVDGTSSFTNSVKVKAKRILSKAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGSNTDRSKYIPLRSTDWDNL >OB02G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13453859:13457298:-1 gene:OB02G26130 transcript:OB02G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLKNLEQQPKLEDCAAGSSKGDTAMPVVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEITCPHHDATRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNNHSLATMVGSLHGQQQDMFSHGVPSFSSSPDMIANLSSNDHNTDSHLRSLSPYALITRNTALFSNQISPKESGFPLDGSVSSYPYMPMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNTLTSPRDHMNISSGSQGDSMGNSTVNSVCMKTTEDENSYMSGRGNTLINSPWSSGIMRAGTVPLIGLMSNPFSMRAEKESPSIFPDNQTQHNRQENISVVGDAGLTQDFLGLGGSGNLDISSETYNANVTALSYSDEPEKSQEHIYSYHQSSLDPTALEKPIWDS >OB02G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13460182:13463910:-1 gene:OB02G26140 transcript:OB02G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LD97] MSIHQVESPAGPDRLQRHDSLYGEAEKVSHDKHHGTGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGIKHQDDLVGVLSLILYTLILIPMIKYVFIVLYADDNGDGGTFALYSLISRYAKIRMIPDEQTEDADVSNYSIEAPNSQLRRAQWLKQKLESSKAAKVALFTITIFGTSMVMGDGTLTPAISVLSAVSGIREKAPDLTQLQVVWISVAILILLFSVQQFGTDKVGYSFAPVISVWFILIAGIGMYNLAVHELTILRAFNPMYIVDYFRRNGKDAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFNCVLFPSVALCYMGQAAYLRRFPKDVGDTFFKSIPAALFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVQVVHTSNKYEGQVYIPEVNFLIGAASVAVTLGFQTTTNIGNAYGICVVTVFAITTHLMTVVMLLIWKVRLPFIAAFYAVFSFAELLYLSSILSKFADGGYLPFCFSMVLMALMATWHYVHVMRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFLRLVDKIPSVHAVFLFMSIKHLPIPRVAPPERFVFRRVGPAGDRLFRCVARYGYTDPLEGPKDFAAFLVDRLKAFIHEEAVFACQSAAGEAMEMAEEEKRFVQAEAERGLVYLMGEESVTAAAGSSVMKRVVVDYVYTCLRKNLWEGHKALCVPEDQLLKVGITYQI >OB02G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13464476:13464661:-1 gene:OB02G26150 transcript:OB02G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPLLLLSIQSSLVAQHTAFSFPSSKTAHTHKKRETNQRKWKLKNGWCRKNSLAKLFHVKTM >OB02G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13480276:13484884:-1 gene:OB02G26160 transcript:OB02G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSILICISCRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDKVVDILYPLEQPIVCEFNWEVDDYEDFADEKVKEEDLPENEKEKFMEFLKEKVRKRKRELKQAKDARKKAIDDMDAKAKEAFENIQFYKFYPAKTQDTPDVSNVKAKYIHRYYRNAHHLMQFASNVAFSISIAKELSYASWKSWHRNFEQLWEVLQGVGVRLFGEEGCGG >OB02G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13488473:13489448:1 gene:OB02G26170 transcript:OB02G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLAGDADGDGVREWRFPTHSFTLMAITTRFLARGEAMLGADGKPTASYDVHLDEPDPSRPPAGARLRRLLWWQLVLPRQLLLRGRPPCIGVAISPVGLGPRRVPASTVRHYSMRVSGSPSRVAGSQSGRKMGDGFGSILVQPTPTRPVAIPSRVGCSGCNDASLTDVGVALAICRIVSAALEATARCGDYKRSLVAFA >OB02G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13499448:13499654:1 gene:OB02G26180 transcript:OB02G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMASAGSGSSSGGRGAGPAVQRRRQKKKKKEGKSYRQGKAKPFFQVSLSPSKSVFGGGGGGGYRVS >OB02G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13504502:13509189:1 gene:OB02G26190 transcript:OB02G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQRYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKESDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLDPTSQAATLVLDIRKRKGLKEQMTPLSEFEDKL >OB02G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13511461:13512171:-1 gene:OB02G26200 transcript:OB02G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYFFSSASAPEKKTKRRQQQQESGGGGNETRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARAIRGASARTNFAYPDLPPGSSVTPYLSPDLSADDLQLQLQQQHCCYGGGGDKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGTATRARRRPTGCTSRRGTCTARCSRRCPRPTRSSTGSSSAGRRPRI >OB02G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13522101:13524832:-1 gene:OB02G26210 transcript:OB02G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVIARTGRLRQRYDNEYRLVAGCVPYRVKKDDEGNPCSLGDDPQQVEVLMISTPNRADMVFPKGGWEDDEDVYQAASREAMEEAGVKGIIDRTTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYDWMREALTALLERLSMIEPVASAQPVASAQELTDQSTSMYRMLQASSDSAVALC >OB02G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13541058:13551886:1 gene:OB02G26220 transcript:OB02G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRASAVLLLLLLVVAVAGATATATAASSSSSSPQVVGTAAADDMEHVKGMMECMIGCFTQDLNYDNRPRSLRTYKNHTNGSCKIAFSPVA >OB02G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13552263:13554707:-1 gene:OB02G26230 transcript:OB02G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSISGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIIAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEQADWFYAPVYTTCDLTHAGLPLPFKSPRMMRSAIQFLSRKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVNEEDVPRLDSILTSIPIDDILRKQRLLANPSMKQAMLFPQPAQPMDAFHQILNGLARKLPHSDSVYLKPGEKHLNWTAGPVADLKPWK >OB02G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13559963:13570856:-1 gene:OB02G26240 transcript:OB02G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3LDA7] MAAYGAMLYRYPHDEYLAAPGATPSSETDWPPAAAAAGAQAAEAQGFSSQTIVPRNQDVGLAELPATVSAVKNPSEKIVYDEYNHERYQPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDVGSLRDPQQDAERVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLEENKLLIG >OB02G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13575607:13575780:1 gene:OB02G26250 transcript:OB02G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPMDSEKNGHNSEDSEVHSSRYFVLYLVQDSDIALAKTLNSFSDNTNKSIKLIKVN >OB02G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13576253:13583219:-1 gene:OB02G26260 transcript:OB02G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGGHAGAGXXXXXXXXXXXXXXXXXXXXXXAGASTQVELSFSASNLGDQEFFTKSNPMVIAYSKSKEGALEELGRTEVILNSLNPSWNARINVNYQFEVLQPMVFQVYDIDPQFHDVSEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNIQAEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISESGVPVPICKTEVRKNDLNPKWKPVILNLQQIGSKENPLIIECFNFSSNGKHDLVGKIVKSVAELERMYHSQDGENFFVPASTAHDSHSKEVLKSQVYVEKYLENNRQTFLDYISSGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQKAILEVGDILQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIANQSLASNQQKYFVLLIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPGQFMTYMRTREIQAIS >OB02G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13583617:13583817:-1 gene:OB02G26270 transcript:OB02G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFFLLIYYLLRKIDISAPADNLLHFGLGYNFNQDHHLFRKGGGSIEERRQHPKTERELRKLRARYEA >OB02G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13597584:13597981:-1 gene:OB02G26280 transcript:OB02G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILVGAGSRHHSDVHLCRWPRSCFGCPPRGVCPTAGGPRQRPLTTPPQRVHRKGIAFDLQIPPEIALAPFCALICKGIATSPKSRSAQSLSRATLPPATAIREASK >OB02G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13601696:13614948:1 gene:OB02G26290 transcript:OB02G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPPKDEKSSAQRSSNPSEGKLLGSSMRAHRAHAKKPYIIGQRAFRVREVDDAVMEIEMAEARNRIPRNKDEELPEIEEEEVVDEEKGLLNPVSERTNSPGSIILDYIISVFLGREGSLDKATKPLLLVHHQKFSLAYAPEHYCLPGLRGIISSNFLILLTKYSEFRVVRGDGECFYRSFIISYLEQVLDREGTDEEQRLLATVEVKIKPMAMQINYPELPTVFSWGYEWCVTHVLPLRQYADHIPMRALAAALGVPLRVENLHGGPAHDIFTDDGMNTPRVTVLYTGIHYDILYPRLSSSSGGGSTQRAGCFWRFW >OB02G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13617228:13622576:1 gene:OB02G26300 transcript:OB02G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELSDSKQGTKGELLLISNLGMYLVPGMSLTLFGWWLEGMGWSNSSHQNGGTNTSQDDHDTQRRYKRFTLILLAYGVPWDHPTVWVDEDEEEQRAKKKRSPRSRVLLYAIGSCLCAKGCTVVLSDQKYRTDQNERTEPRPLLHHQKVRLEYAPEHYDISDLRKMICSNFLILLNKYSDFRPEQVLDREDTDEEHRLLAAVEVGIKPVAVAMQMDYPEWPSEFARSHTVFEKLIENIIRWKSTQKGAASTDSCKKELVKFFSSEIMSNAIFVFLRLVSATWMCAHKDEYEPHIPSIGVGYPMELWCGVYVLPPREYTDHIPMRALAAALGVPLRVENLHDGPTHDIYTSDGGDDVPRVTLLYTGIHYDILYPRQQAEQGER >OB02G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13624402:13625047:1 gene:OB02G26310 transcript:OB02G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G29590) TAIR;Acc:AT2G29590] MAKGEEEYSRVRQALAVSAHERSLVDAVSSAPAATPPSASGDVGEGHPPPHAASFFEGFVLGGIRVDSVQPGLVDCSFTVPSRLTDRSGCLAAGAVVSLVDEVGSAASIADGRPLKVSTDMSVSFVALARARPGDRLRVTARALGHKGAYSGTHVLISNAATGEVVAEGRHSLFGKMKVVSSSSSASTASKL >OB02G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13627842:13634717:1 gene:OB02G26320 transcript:OB02G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLISRFTNVVTLRGSAAAEAAEGEAMEEDGAADAGCAGLEELIARFVDVRVRDDAPAPGEAACAVSVITAASARVYEEAAAPRRPLTPRRRDAGSSNAGHVRRRPASASRSAWSIEYEAPRRRRLDAALVRIDQRRRLAGGGKRRR >OB02G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13636979:13641856:1 gene:OB02G26330 transcript:OB02G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGSGPPKDDEGVQGREGGRGRGAASVEPRGKEKIGEGSTTRAPPLDFEELAKNVRIADYPPRGPRIRRRRRKAKKPDSLLTKLLKRSILAFQSVKKKLSVRKGKQLDEASTPKSPPHVDHKKIPMDEAVYHYYGDLNTAIQKCIALPAAQSFGLGRLVSLDSHYSELRPVHRDGESFYRSFIFSYLEQIVDRVDTREEDRLLGAVRGLARRAEHFQWASEFSKRREAFQRLIEKIKGWKLMSEVPTSTRRFFAIYNRGEFLLEFFSSYDTTDDSEKKALSLRSFNHILIHGSDMCISVVSVFAFLRLAAAIWMCSPDNRSMYAAGVTELGNRSLEDWCLTQVVPPRVHADSVTMNALAAALQVAIRVETPDFGGRQDMYYISRDTPQVCMMRVEDPHYDIVYPLSPDSIHGRHVPEEEEEASWFKSCCTGGGDSDLPRPARPRQQRGGGGGGAKKHRDPEAGTSSSGGAEGDGAESSRQGAARARWLRSCVGGSKKKQS >OB02G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13647177:13651605:1 gene:OB02G26340 transcript:OB02G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMQSHLHITFLSLGSRKPCRSSHGVASAAPRISSRALPKTKTTPKVDSRKDLSSMGHAKFEPSIWGDFFLSYSNPLAASDNQRRMEERAEYLKKEVAKLIVNSRTGSLSEGLHLMYVLERLCLDHLFEQEIAAVLDEISDADVTGCELHTVALWFYLLRKHRRRVSADVFLKFRDETGAFAAEDAKDLLSLYNAAHLRTHGETILDEAISFTKMHLRSLMPNVIEGSLAHDIKCAFEIPLPRRVRIYEAKYFMSTYEKEASVNEMIMELAKLSYNIMQIHHQEELKIITRDRVVECYFWIAGVYFEPYYSRGRIILTKVLAIVSILDDIYDVYGSREECEQFTKCIESWDPKMGIYLPDLLKFAFEKILDTYQNIEDELLLEEKYRMSYLKNFEYRDLKTL >OB02G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13652369:13652533:-1 gene:OB02G26350 transcript:OB02G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKTIYILVQNNKYDGTEGSIHLSCVPYHNAGHHSVRTNLWYLHCYINNNPNL >OB02G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13656448:13656765:1 gene:OB02G26360 transcript:OB02G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLLQRERLTSHVASTIDSCMKEHSIAIEIAREKIHVLIEESWKDFNDEWLDSSNTQPMQLMERIFNLTRTMEFIYKKDDAYTNGHTIKDNIHSLFVDPVMMT >OB02G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13659279:13663525:1 gene:OB02G26370 transcript:OB02G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPHPFPHSLASRRQSNVPSTPTPCSRLTTTLSGCGGYALRKDLGGLNGLDREQQCCNEGEGPEIWKTGRRHSMKLSSCACARGLLLFISTKKAKAMVAVVVSVVAKCRELWERLLVVTTGSGCAAAGDAGGYYFGRSYEFSCSATPVVFAPAKGGGGRRRRDRGRCLPPWVGGRKQAREMLMETSAAAMGSPGPVAAGRECSPPERSPQCWREQEIDGLAEEFISRFYDQLRSQVAEERRGGGGVTVSMTARLCAFRITDSPCNVNYSTLVCTCASDLCVFFGFFHERGFVGAVLNFFFIFMSSCAGIDPM >OB02G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13664661:13671571:-1 gene:OB02G26380 transcript:OB02G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAASGWLRGKVKAVTSGDCLLIMGSTKADTPPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTVPNVGREFGTVYLGDKNVAYSIIAGGWARVKEQGPKGGEQSPYLAELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQSPSMGRRPPNPTVVAEAESSVDGTTNGGDSEGTPAPLTTAQRLAAAAVSTEIPPDRFGLEAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYSEGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKSAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKLGNPRRDEKPDNFAREAKEFLRTRLIGKQVTVEMEYSRRISTVDGQPTTNMADARVLDYGSVFLGSPSQADGDDVSSVPSSGNQPGINIAETLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKESPVMHITDLTMVSAKKARDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNMSSVLLEAGLAKLSSFGLDRIPDANILTRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVIVTEILGGGKFYVQTVGDQRVASIQQQLTSLKLKEAPVIGAFNPVKGEIVLAQFSADNSWNRAMIVNGPRGAVESPDDKFEVFYIDYGNQEVVPYNRIRPADPSISSSPALAQLCSLAFIKVPNLEDDFGHEAAVYLNDCLLNSQKQYRAMIEERDTSGGKSKGQGTGTILSVTLVDAETETSINAAMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARKAGGRR >OB02G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13690037:13690729:1 gene:OB02G26390 transcript:OB02G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:J3LDC2] MSSDLRPPEHQVAGHRASADKLGPLVDGAGLFYKPLQAGDRGEHELAFYTAFAAHPAVPPRVRDAFFPRFHGSRLLPAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRRVAPGPVGAEGDGRGRGPPPAPPLRVDVRRRRRRPGLRPRRGGVRRGGRCPGAAARAQGVVRGADPVPLLLGVDSARLRRQCGFLRGRRRC >OB02G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13710951:13711355:-1 gene:OB02G26400 transcript:OB02G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEDDSAPCSRKISAGGGRRSPPWAAARKPVLSGTSAGGGGSSSACRVWGRGCGGGDAGAAARRKNGVETDLLRGWAGSRGEENSLPGVTRPIEETSRSLAGGDCGGIERSAATAEGRGKIAWGVAGPNVRVF >OB02G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13711252:13716882:1 gene:OB02G26410 transcript:OB02G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:J3LDC4] MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLSMDMDSFSSKPKGGRPSAAATAPSKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASTTFSDLKVTGIRPLKDGNPPKYSQRARLVTTNYQVV >OB02G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13738007:13738327:1 gene:OB02G26420 transcript:OB02G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVEDQPRCPAAGTGGGRAGPAARRTRACCCCRAGTSACADAARRPPTPAPSARPPRTPPSMSSSPDRTDSCKQLNDVGALPRSTGRAFQGRVKIKKISLFFSSFP >OB02G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13758988:13760692:-1 gene:OB02G26430 transcript:OB02G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRESPTQPAEPEPTKQKQMGPAHQASTAAAEMQTSPQPPTRVEVVQLDESSGDIAPVLSFEHPFPPTKTMFVPDPHSVRPDLLATSADHLRIWRIVSPDDAADADANSNSNSGSVRCNGASQPSVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDIEREAVDTQLIAHDKDVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGGSNSAGADGGAVSPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELPRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSNKLQILRV >OB02G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13762422:13762755:-1 gene:OB02G26440 transcript:OB02G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRRRRVPAGRRRGVVALPLLQLQAPSTGKKAAAAAAAAARRLPPGGSPPASATELQDSPWDQPAVGAASPSGDAAAGSPRPAATTSFADHLLGYL >OB02G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13767502:13772824:1 gene:OB02G26450 transcript:OB02G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:co-factor for nitrate, reductase and xanthine dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT5G55130) TAIR;Acc:AT5G55130] MRRRGNGFAAADGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGKSKVKSAADACCEINSSIKVMEYHHTLKPCNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSNVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDLLPESARVTCTEYKRLVDNGEPHLLLDVRPAHHFQIASVSQSLNIPLAVLEEKLQIVETSLKETMDASTPDKMPSLYVVCRRGNDSQIAVQILREKGFLSAKDIIGGLQSWAQDVDPDFPVY >OB02G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13776253:13777048:-1 gene:OB02G26460 transcript:OB02G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDCSMRWRKQKRISAVTDHPAIRRSEKEEMASNYVDTTGDEGRFHGXXXXXXXXXXXXXXXXXXXXXXXXXXXSACARRRRTPGRSSAASTAPTPRATATRTPPLRPPPPAPPRPSRPLPPPAPSRPSEPHHHRQTKKSIKPTGLDNLEE >OB02G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13789892:13793451:1 gene:OB02G26470 transcript:OB02G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Oligosaccharide biosynthesis prote /.../14 like (InterPro:IPR013969); Has 640 Blast hits to 640 proteins in 277 species: Archae - 4; Bacteria - 281; Metazoa - 94; Fungi - 127; Plants - 57; Viruses - 0; Other Eukaryotes - 77 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G18230) TAIR;Acc:AT4G18230] MGLDISMAVCCALPVLVSLLVIRFAYVMYHSGHLPSKLSASAARVPCLIILGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVQPEIDKEEAIENAQFVQIYRSREVGQSYITSVATTLLATSHAMWIIIRIRPQVIFCNGPGTCIPLCVSAFLLKVLGLGWSSIFYIESIARVRKLSLSGLLLYKLRIADQFFVQWPQLQKKYPRACYAGRLM >OB02G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13804710:13810778:1 gene:OB02G26480 transcript:OB02G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGDQPAGASSDKLRHVESMSELPSGAGKISGINAVVLGESLAAEEHDLVYPSAEFSADALVPSPKQYRQMYERSINDPAGFWSEIAEKFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDSVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAALAESEKGGVSVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGKEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEQLRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVDQLIALKDC >OB02G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13810095:13821073:-1 gene:OB02G26490 transcript:OB02G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNAGALPGGGASCRQRRAAAAAADAMGGYELVRSDDSAAAGPPDLELGGSVSAKSPSPPLPRPTSQVARQRLGSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFIVGLSLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVHSLTFGVDLTKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDCGLDLLRRYRYQLVVALLLSTVYTVILHGVYVPDWEYQISGPGSTEKSFFVKCGGRGDTGPACNAVGILDRTILGIDHLYRRPVYARTKECSINFPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYTLAASGAAGLLFAGIYTLVDVYGFRKPTIPMEWMGKHALMIYVLVACNILPMFIHGFYWREPKNNLLKFVGIGA >OB02G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13834245:13839009:1 gene:OB02G26500 transcript:OB02G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSAVAVLGAGDLAGRRFAAAGVAARWGAVGIGRAVVLAHPLRPVLRAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHLLLGLVAEDRSTGGFLSSGINIERAREACRGIGARDLTPGAPSPSGSGLQMDIPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPTQLASVALTRLQGELAKDGREPAGASSFKVTKKSPAEAGRSAFSKSLNTKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQDDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQTMHEVVSSNQMKYSPDQESGSATTEAPDEDKTELVSELQVEEPIIVGTEDIARVASLWSGIPVQQLTADDRKLLVGLDDELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSMGFSTEDTESSSYVAMKSLVNEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQEVKGRLLALGIGLEVSDAMKDLICHEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAAGKPCLSRLNEKIVQLSDPTRTF >OB02G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13839986:13843925:-1 gene:OB02G26510 transcript:OB02G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSLAAGQARGGNPLEEWSGRVKALEAGFRKWMAEQPTHVEAVVPAAVGAVQGAALGGLMGTLTADGGSPFPVPQPPPNANPEAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGGEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDLYYSRARSMLQKLGLEKYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDQIKRDPEFAKAR >OB02G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13844127:13845269:1 gene:OB02G26520 transcript:OB02G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G48040) TAIR;Acc:AT5G48040] MAWRWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDCLVESEPDLVSRLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRYPEHFALEQPEGDERVWLHLLSWDDGLAVSELEKSAGVGDTTCLPFPVSFTKGFGLRSKCVNWLREWQALPYISPYADPSGLDHRTDVSEKRNVGVFHELLHLTVAKRTERHNVSNMRKLFGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGDGSLLLEKHAHPLVAIREEYATVMRAALPPRRKRLRENDSCSKRDEDYEGGEEFELTE >OB02G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13848189:13849336:1 gene:OB02G26530 transcript:OB02G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIHSFSRKVDDHVKSEVFGMAKAPTGSLRVRGTIFALLITCYYRTRRGVNDMHTSFIMDSYCNAVKV >OB02G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13857285:13857677:-1 gene:OB02G26540 transcript:OB02G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLLALRGGGRGRIRWRREEVGRPEPPELGVGGAGQPNESAEADELHHLHEDHHGRSFQPRGRAQQRQHAGGGIVHIIAGATVVDGGGGDGLKRRAARPVNYPPLFPPLLEPYTFPHLPPSFLSFAFPRL >OB02G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13857450:13857671:1 gene:OB02G26550 transcript:OB02G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAGVLPLLCATTWLEGTTVMVFVEVVELVGLSALIGLASAAHAQLRWLRPPDFLAPPPDAAAPSAAESQ >OB02G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13871270:13871597:1 gene:OB02G26560 transcript:OB02G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYGCPPGSHSNVAFEHISYRELVARGFSTMDVTAITFCEENNIPVVVFKMLEPGNISKALCGDQVGTLIDQSGRSN >OB02G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13873163:13881073:1 gene:OB02G26570 transcript:OB02G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGEGTPEMEIIRALHMAGGDPTRAINILLDSPPPPSPSPSPPAAKPAKTPTESTPPAKTPAPSKAAEKPRSSVGRVAPATTPAPERQRRPPPRTRHGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAAAGKSRPGRLPLVSSSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKKGKIKIEGVCNSAPEVLSIMDTVLLTVSVYINSSLFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLEMKSNVPPTKLTTEKLRLSSDGKEDDEGEGIVSDSDLDDIIGISDSSALEEREPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRGLVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTISLLLADSSKGCISAQNSTQICEETNGLSESPIQSQDAVKKLASPFSFSKLRKHKAPLIAGGNLIVCPMTLLGQWKAEIEAHTTPGSVSIYVHYGQNRPKEASLIGQSDIVLTTYGVLSSEFSNESSTENGGVYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWALWHKLVQKPYEEGDERGLKLVQSILKPIMLRRNKNSTDKEGRPIIVLPPANIEVKYCDLSEAEKDFYEALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLNGGSGAVNGDSSVPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSTTAGLCPVCRKSISKQDLITAPTDNRFQIDVEKNWVESSKISFLLRELEVLRSSGAKTIVFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKTILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >OB02G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13881719:13882182:-1 gene:OB02G26580 transcript:OB02G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLHVMARTEWRVADLVAAAVELYVREGRRPLLPSADPTAFGLHFSQFSLQSLGPEEKLTELGSRSFFLCPKNAAAAAATVSSGEGAGGLSGDEEANSAKKPNVLAPWLGLIRFCPLL >OB02G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13890896:13891162:1 gene:OB02G26590 transcript:OB02G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRNQLSASNNQEGGSGSGSTEEEAERTIHGGERIWSYALIKKPLDETRRRRKKRRKDAVGLSCALYNYWQTRGGPRVRRRGARDKC >OB02G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13897537:13898409:-1 gene:OB02G26600 transcript:OB02G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNQRLESAEDRQKQMVSFLAKLLQNPTFVRQLKLHRQQKEIDATRVKRKFLKHVPHGNFDSPESSSQHTGESNLDFPSCSPALLDLPATHDDISDLQNFLLEDGDLNLAMLPDNIGLDVVEAPVDIGALVPGFDTQEELELGSGVELMPPSSGPHGQDPTTGRSKLKNVLSPGLDAPSSEADCLGSFSDSMGVLPATMLQTAGKLMDEEDEGIWGVDASSALQSSCSGTSQQAYGDLVSDPYLMEMTNKPEKFWELDFQALDEEELQLDKCVIDDPALQQQKGNMNP >OB02G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13908149:13914661:-1 gene:OB02G26610 transcript:OB02G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSLSGKVNGSLSYSDKVPDGFYLIQGMDPFVWTLCNDDTRVPSIESLRAVNPTESSIEVVLIDRMADYDLRQLISTAIDVSRSRADYKEITTRIAGIVSSKMGGSVASTEEHELCPQWRDSAGFLNISSGSVVLPIGKLSIGLCRHRALLFKTLADTINLPCRVVTGCRYCKSAGAASCLVHFGHDREYLVDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPTTGTVVDLDEAIGSNIGPNLLPATNSDFQATISYHSRGAQSSSQDGKNVVQKSFLEDTQSAHSDPFSDISIDSEDLIIPWSELVLKEKIGAGSFGTVQRADWNGSDVAVKILMEQDFHPQRLKEFLREVAIMKSLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVARGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLDIPSSVDPKVAAIIESCWTKEPWRRPSFASIMESLKPLIKTPHQLQEDIS >OB02G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13934966:13935640:-1 gene:OB02G26620 transcript:OB02G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDGRSKLCHTTPGDEPLWIWRLEVLRSTTRSPAAPADQRTLLAARSVSTRSPSKEREARANHCAGRSGMARQSGNEDGRWSTARSSMVAFTRRNPRAKTPAGSDSTGSNCEFDPSCAAEKPRRSSCTATAAASAATPPKEASTTHSTAKHLPPMASCSTLLPLTKTLLFGLGLLPTSGQPEEEEEQLRVPEELYLYRTRQWAPMAGGLGSGGGQEEQEKPKS >OB02G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13935174:13936709:1 gene:OB02G26630 transcript:OB02G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRCFAVLCVVLASLGGVAADAAAVAVQELRRGFSAAHDGSNSQFEPVLSDPAGVFALGFLRVNATMLDLAVLHLPSSFPLWRAIPDRPAQWFARASLSFDGDLVLTDRAANKVLWSAGAAGDRVVLLSTSNLQIQSGSSPGVVWQSFDRPSETIVQGQNLTSAAALYTSDRRFAMRLGTNYFGLYIEPPPSSSGGVAVAMYWKHTALEAKAQIVAGGGPTCWGSVYNNGTGYCYFMDYPAQMMVAADERKVGYFKVRSLEEAGSGGGGGGRVAGVKVALLAVGVTVLAAAAAFGAYRVWKKRCRAAADASRQGGGDDDGLSPGPYKNLGSFSSVELSSSFRG >OB02G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13940156:13940651:-1 gene:OB02G26640 transcript:OB02G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAESTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGHSTAAAAAAHADVFPAFNVERGIRGLEPAVVTTFPTAKFGDGSRPRAAALEESQLRHHQSPPPLLSCTSSTVFTTWLN >OB02G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13943259:13946718:-1 gene:OB02G26650 transcript:OB02G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGREQQMQMVCVRSASTGGGGGEEEEASEWDQSSSRSALSLFKEKEEEIERKKLEVREKVFSMLGRVEEETKRLAFIRQVSVLLCSSPPSLHELEVMADPTRREVDTIRKRIDKVNRQLKPLGKSCLKKEKEYKACLEAYNEKSNEKATLVNRLMELVGESERLRMKKLEELNKTIEGLY >OB02G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13948443:13958806:-1 gene:OB02G26660 transcript:OB02G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEGENDGMPASAGSDDLQVPSLDDELSTEVGAEVEIETTRGIVASDVEGVKRVAEESPAEQKPRVVPPTGDGQKIFQMDPMLNGYKYHLEYRYSLYRRVRSDIDQYEGGLETFSRGYEKFGFNRSAEGITYREWAPGAHSAALVGDFNNWNPNADCMSKNEFGVWEIFLPNNADGSSPIPHGSHVKVRMETPSGIKDSIPAWIKYSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFSPSSRFGTPEDLKSLIDKAHELGLVVLMDIVHSHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVIRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGFATDVDAVVYLMLVNDLIHGLYPEAISIGEDVSGMPTFAIPVQDGGVGFDYRLHMAVPDKWIELLKTSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQVLPDGKFIPGNNNSYDKCRRRFDLGDADYLRYHGMQEFDRAMQSLEEKYGFMTSDHQYISRKHEGDKMIVFEKGDLVFVFNFHWSNSYFDYRIGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTADCSHDNRPYSFSVYSPSRTCVVYAPAE >OB02G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13965020:13965618:1 gene:OB02G26670 transcript:OB02G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLGVADLHLEKAVEVAGATMNGFPPAGRSFTCSSSDSEDDSDNRDDDGGDEQNMSAKDEDEPETQTSKGKKPNKRQKIIVLN >OB02G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13967746:13971780:1 gene:OB02G26680 transcript:OB02G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHTMPGAGAFAGHSPASSLPLVADARGRRRKVNINSLPNSRALRGPARYSGLRSAVCQCQRLDDLARVTEGNGTWVKDAVDKASHALGDVSVPPGQAIGGNGSLNGSAVKSPPQRCKVSSVEDEGWELLRESMVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGVAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTFIV >OB02G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13977365:13977535:-1 gene:OB02G26690 transcript:OB02G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWDMDLKKLQRRSEKKTPSMCITCPLKMQKCLPTNRCSLPPYNRTCISYDPIKT >OB02G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13979902:13981347:-1 gene:OB02G26700 transcript:OB02G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNKGKGGGGGGGGGGLPMTAPRPRGASPHSSHHHRSRKIHRTFNNVKITVLCGLVTILVLRGTIGLNLSLPNQPTDADALAGAKAVEDIDRILREIRSDSGPDDVDDAGDLGGSAAYNATALNATEAAAAYAAAVGRYALGPKISDWDGQRRRWLRQNPGFPASIAAGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYDNRNLIIHGYQDLLFDKHSWIALNTGSFLFRNCQWSLDLLDSWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDDKENLDVKAKISTTS >OB02G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13989518:13991217:1 gene:OB02G26710 transcript:OB02G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLAALSFILISTITLTSSSISTINGSHNWWNFNCSGAKKFDLSPVISMEFGEVIGVYSRVMLNSIEKSPRKFITSEIYLGTQETITREKITIIRVALSIMSVISISLILIFWWRKCKTPKSSTRIIKATIDNEITIGEETEETIDRQVEHPSPLASFEQITTSVDEKTIALFLDYNRTLSPIVENPERDFMSHECSMKDYFGRVQ >OB02G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13992831:13993067:1 gene:OB02G26720 transcript:OB02G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRILIEDLTATSPCGQQLPWSAGDWCFLFPLDDQPRWALQPPHRPQLFTAEIPIAIYDDMVRWLQVRQSWHTSLQNRL >OB02G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13993364:13995150:-1 gene:OB02G26730 transcript:OB02G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLLATLAGNPNPSAEDLSTILESVGAEVDQGKMEFLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPVVGGGAAPQAEAKKEEKVEEKEESDDDMGFSLFD >OB02G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:13998070:14000819:-1 gene:OB02G26740 transcript:OB02G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMVVALALGLSVLLVILSKLVSFAARPRPNLPPGPWTLPVIGSIHHLASDPNTHRVLRALSEKHGPLMQLWLGEVPAVVASTPEAAQEILRNQDVRFADRHVSSTVAAVSFGASDIFFSPHGERWRELRKICTQELLTASRVRSFRAIREDEVARLVRDLAVSAGGAPVNLTEKVGKLVSDIVMRCSVGSRCRYRDEFLDALHTVKNQLTLLTLADLFPSSKLARMLSATPRRGLASRKRMELIIADIVQEHKDHMDSGDQPADAAAGKDCFVDVLLRLQKEGGTPIPITNEIIVVLLFDMFSGGSETSSTVIVWIMAELIRWPRVMTKVQVELRQALQGKVTITENDIIGLNYLKMVIKETLRLHCPAPLLTPHRCRETCKVMGYDVLEGTCILVNVWAMGRDVKFWEDPEEFKPERFENSNIDYKGNNFQFLPFGSGRRSCPGINLGLANIELPLASLLYYFDWKLPNEMSPKDLDMQETPGLVAAKLTSLHMSPVTHIAPLVSA >OB02G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14002036:14003370:-1 gene:OB02G26750 transcript:OB02G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFSMIKDGLRWSSGMVDTTKRSSPKSTFRTKIYPNFLAIAYHPTRTVREESHVIIFGVVNKVICKSNIAMADVGMLIYDRPYFKAVRGDASIVSDGFKLSNP >OB02G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14016210:14018398:-1 gene:OB02G26760 transcript:OB02G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMLVALALGLPVLVVVLSKLISSAVKPRPNLPPGPWTLPVIGSIHHLARNPNTHRALRSLSEKHGPLMQLWLGEVPAVVASTPEAAQEILRNQDVKFSDRNVSTTVAAISFNASDIFFSPYSERWRHLRRIFTQELLTTPRVRSFQGIREDEVGRLLRQGAAPADAGVPVNLTDRIGELVNDIVVRCSIGSRCKYRDEFLDAMNTVKTQLVWLTLGDLFPSSKLARMLSSAPRKGLASRKTMERIIGEIVEEHKDQMDDPAQAAGKDSFVDVLIRLQKEGGTPIPITNEVIVVLLFDMFSGGGETSSTVLVWIMAELMRSPRVMAKVQAEVRQALQGKATVTEDDIAGLKYLKMVIKETLRLHSPAPLLVPHRCREACKVMGYDVLEGSCVFVNVWAMGRDPKYWEDAEEFKPERFQNTDIDYKGNDFMFLPFGAGRRICPGINLGVANMELPLASLLYHFDWKLPNQMAPKDLDMQETPGMVAAKLTNLDIIPVTHVAPPASA >OB02G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14028946:14031321:-1 gene:OB02G26770 transcript:OB02G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPPPPPPSSFPHSSRLCAGVSHSHAVLHRSSEPVPDAAAQLSLMRAHARAGRMQPARRAFDAMPPRDRSLVAWTVLMSGYATHGPASEALELLLRMVEWPLRPDAFVFSVALRACAAVGSLGVGRQVHAAASKMGCVGADLFVANGLVTMYASCRSLGCAEKVFNGIASPDLVSWTSMLSAYTENGRDNQALMLFMEMVHGGVSCDAYTLSVALRAASSLACVSLGYQLHCYMIKSSFVNSEFLENCLIEFYGRSSELQLMQKVFGELKVKDLVSWNTVIQCYADNLCDMEALVHFRDLMYKHTECDEYTLGSILHVITRSGSFDHGREIHGYLIRAGLDSDEYVMSALMDMYVNWATLRKSRCMLPLRMLRYYLSVQGRLDQFIVASSLKSCASDLDLAAGRMLHAFVLKFDMISDSFVTNSLVNMYAKCGSLEEAHLLLSRTKDPCTAAWSTIISGSCLNGQFERAMNLFRTMQLEHVQPNEFTYTSVLTACMALGDVVSGMEIHSNSIRNGYGTSDPVLRSLISFYFRGGHFHKALHLCLSLSNSQISWGTLFQEFAELGDHLGILNLFHAIQRSSGVLDYQTACLILSSCGKNAHLTEGLQAHAYLLKRGLVSRACMCNYLIDMYSGCGSLKHAFEAFRNTSVRNSSSWTSMIIASVENGCPETAIRLFVQMLRKEKPPTSLAFLSVLKACAETGLVNEAFQFFVSMTEVYKIQPSVEHYSHMIEVLGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAKQNGNAKTMRLAADRLAKLMPSGY >OB02G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14033308:14034631:-1 gene:OB02G26780 transcript:OB02G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVLKVGMSCQGCAGAVKRVLTKMEGVETFDIDLEQQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAEASSAPAPAPAPATTEAAAPPTTTAAEAPAAAAAAASAAPEAPPAKADA >OB02G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14042522:14042977:1 gene:OB02G26790 transcript:OB02G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRWQEGHRLCANNCGFFGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPSPGAKAGRCASCRKRVGLTGFACRCGSTFCGAHRYPERHACGFDFKAAGRDALARARANPVIKGDKLKDKI >OB02G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14043282:14043485:1 gene:OB02G26800 transcript:OB02G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVAFFEREEVAGLVGRWQHVDANEGGRSRKLQRSGLKFKNDMRMRLCAHFTRVPCASWLVHWGRN >OB02G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14060558:14060737:1 gene:OB02G26810 transcript:OB02G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNAKLYLQNCYMLKENERLRKAAVLLNQENQALLSEPAGAGQGRHRAHVGYPSIRP >OB02G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14060753:14060908:-1 gene:OB02G26820 transcript:OB02G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPHTSEQNLKIQQLSNQNGFLVDIQSLSQTHPMHHARKAKLRIYASASF >OB02G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14067981:14072674:-1 gene:OB02G26830 transcript:OB02G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ ADP-ribosyltransferases;NAD+ ADP-ribosyltransferases [Source:Projected from Arabidopsis thaliana (AT5G22470) TAIR;Acc:AT5G22470] MVRFAACSLLIRDYGICFPNHDIREEIMTIYVLLSLYCKQEVHETRSRTLAASQEDGKADPKKQKTESKEQEEGEDVPSKNKKSADNEVHDGEEEAPSKSKKHKAEESDLNGKATAVKEFAEFCKATREHLTVEDMRKILQANEQDASGSEDAVVPRCEDVMFYGPLDKCPVCGGQLECKGSKYKCTGTYSEWASCSYSTIDPLRRRGPIKVPDDVKNDFVRKWLKQHEGKKYPKRDMDIDAHIFSGMMIALSGRMSHSHAYFKEQILKHGGKVNNSVVGVTCVVASPAERDQGGSGGFAEALERGTPVVSENWIIDSIQKKERQPLAAYDIASDVVPEGRGLPLGKLDPTEEAVETLAAEVKLTGKRAVYKDSKLEKDGGHIYEKDGIIYNCAFSMCDLGCDINQFCIMQLIIIPENRLHLYYKKGPIGHDQMAEERVEDFGSRVNDAIKEFVRLFEEITGNEFEPWEREKKFEKKSTKMFPLDMDDGVDVRHGGVALRQLGLTAAHCKLDPSVTFIMKQLCSQEIYRYALTEMGHDLPDLPIGMLTDLHLKRGEEILLQSKQDAESVPESGPVADAFWIEMSNKWFTLFPTTRPYTIRGYEQIADNVASGLETVRDINVASRLIGDTFSSTLDDPLSQCYKKLGCSISRVADDSDDYKMILKYLEKTYEPVKVDDVVYGATVERIYAVESSALPSYGEIKKHPNKVLLWCGTRSSNLLRHLHQGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGKEIQEITGTPGTEDVKRMEEKKMGVKGVGRKTTDASEHFTWRDGVTVPCGKLVPSGNKGGPLEYNEYAVYDPKQVSIAFLVGVKYEEQNMEVVADE >OB02G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14075259:14076852:1 gene:OB02G26840 transcript:OB02G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQARTEDASNEEDVGHDINVDGDMDQAAIDVTDDVLREMTMVNDANKPSLRFGTVYPNMIDFRLAVRFLKKKKVGNEAFAIIAESELDLKATYTETTDKWTWFMSQLHKAIGNVSPLAICIDALGIWLNTYHGSKWYRSSFNPDIKCDYVTNNLAECFNNWIRDFKDLPICDLADKYRELVMILWNRRRRIAQKFSGRILPVVLHQLKARTRELDHLSVVDATSDIAKSWDNNSSNCRHVVKSGELYCICEE >OB02G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14077736:14087386:1 gene:OB02G26850 transcript:OB02G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G56160) TAIR;Acc:AT3G56160] MVTHHLCLLRPTVLSAPFGLRTRVPHPRPPTVVASFHGPTHLRLRPLRAAAGGSSPGGFDGGKRPEAATSSSLGAAVVGFARSNFLPLALITGVALGLVDPTLGCLAHKYSLSKYSTFGIFLISGLTLRTKELGAAVEAWPAGLFGLASILLFTPFLAQFITQIKFFPHEFITGLAMFCCMPTTLSSGVTLTQLVGGNTALALAMTAISNLLGIMIVPLSLAKYIGAGAGVSLPTEQLFKSLVTTLLIPIILGKVARETSKGIAGFVDRNKKGFSVTSAILLSLVPWIQVSRSRSLLLSVQPKAFAVVITVGVLLHIALFAFNAAALQILSSLEQRGESVFARNEYARAVILVASQKTLPVLVAVVDQLGGALGESALLVIPCVAAHINQIIIDSIIVNWWRQRDQQFSNAK >OB02G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14097077:14098275:-1 gene:OB02G26860 transcript:OB02G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDNFNPTVKMMATYGANKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFIFVLFRQKRRQAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >OB02G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14114332:14118790:1 gene:OB02G26870 transcript:OB02G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDKKRPGSANGADRKASPTKPRGSSATSGLMSTVFPIPFVLLLAVLYYQLQPPAPTTCGTPGGPPVTGPRVQLKDGRHLAYHEYGVPRDQARHKIIFVHGFDSCRYDVVQVSPELVEELGIYMLSFDRPGYGESDPHPSRTEDTIAFDIEELADSLQLGPKFYLIGYSMGGEIMWSCLKNIPHRLAGVSILGPVGNFWWSGFPSNVSTAAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKMFPASSVISFNPAVLSREDMAAVPKFGYRTYAPQVRQQGQHESLHRDMMVGFGRWGWSPLEMENPFPGGEAAGPPWHGAEDLIVPVQLSRYIAQRLPWVRYHELPTAGHLFPIADGMPDVIVRSMLLGDE >OB02G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14122337:14123026:-1 gene:OB02G26880 transcript:OB02G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10080) TAIR;Acc:AT3G10080] MAHRRCLLLLLLAALLPAPAARGDPDAVQDFCVPDAGRGRPVELGLLPSYPCRNPTNLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRLFAKVLEQGEVMVFPRAMVHFQLNVGDAPATVYGTFNSENPGIVRIPATVFGSGIREAVLERAFGLTPAELRRLEKRFGPPKKAELED >OB02G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14137146:14137379:1 gene:OB02G26890 transcript:OB02G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVCRTAAPDRRPRRSIDRVHFAKFLPLRSNRSIPRPACFLIDPVIICFLLPMHAKPIRSIGVLVMDDLIDRRQVLST >OB02G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14149970:14157882:-1 gene:OB02G26900 transcript:OB02G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03900) TAIR;Acc:AT5G03900] MEAPVSEEGEVLYVFPKDYRAKLAGKSFRMRVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDENNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRVEKEDGMNFIESIFSFVFGDGDPNDGLEDKRWKMIGQYISSNGGVVTAEELAPYLDVPPLSELSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSGVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPAGLISFAAQLFPLLQIYAGSFFAIPLFRWFLLRKTNNDIERRNKAREQRAQELALPEPSLRRKLLSARDMAQLKVITPEEIVYTTEKDFSDQDYEVKEWERRFKELESD >OB02G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14161486:14167948:1 gene:OB02G26910 transcript:OB02G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRCPEELDSISMDHPSRSMCWHLTYLNTNSEQMEMTTPVFTRKGEPNGEKMDMTTPVITRKSANENKWKMSFVLPSKYGPDLPVPKDPSVTIKEVPSKIVAVAAFSGLVTDDDISKRESRLREALQKDTQFQVKDDSVVEIAQYNPPFTLPFTRRNEIALEVKGTLLLAQYYLPFLCVSMCEFVWGRIFMKYVIADVLFTLLLPEADGVRFGYSGSTGPKYWGSLSPNFTMCSKGRYQSPINILKDDSVYNPKLEPLEMDYTAANTTIVDNVFNIALRCDDTAGTVKVDGKKYKLKQLHWHSPSEHTINGQRFAVELHMVHYSDDGNITVIAVLYRHGKPDSFLFQIKGKLAELHLEGCKAEKGDPLPAGLVDMRELKQGAHRYFRYVGSLTAPPCTENVIWNIFGEIREMSKEQAGALMAPLHGSYRHNCRPTQPLHGRTVQINHM >OB02G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14173424:14173813:1 gene:OB02G26920 transcript:OB02G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFKFRLCIVRQQANATRSYHTNCDKFYKGSEVVIRSCCLHSKKRSAKCCQMQNKQKKNTASGPVSTIDWETELNIAISFQIKRQPNHCPVTATVPPFFKSSSPNHWRHRPSLSHSHTRKLIAPSTLR >OB02G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14173904:14174608:1 gene:OB02G26930 transcript:OB02G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVTLDSLLRGRGGEPEEACEDEFSCSDDGDGGEECGGEGDDPYWPVESLWLRIGEDIDWSEVGAVLEREDSTKGASNPKSACARASCCAAAPGFL >OB02G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14177097:14178232:-1 gene:OB02G26940 transcript:OB02G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTAVARVAAPHQPAWVAALARRMEGAGAGGGRRVARYFSDGTGRVLSEEERAAENVYIQKMEREKLEKLKRTADKDKADAAKRAAAAAAAAKGDKKGEDARPT >OB02G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14178403:14183145:1 gene:OB02G26950 transcript:OB02G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRFAPRHQLPRDRSGQRRGDGLSRTHCHVGPAAPTDRPLRPPLVKNGGVGSRGERKTNARSRSREGKGAGQCAAKKPREMAGRKVSVAAVQFACTGVESENVATAERLIREAHKKGANIVLIQELFEGQYFCQAQNLDYFQRAKPYKGNPTISRFQKLAKELEIVIPVSFFEEANNAHYNSVAIIDADGSDLGLYRKSHIPDGPGYQEKYYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGKETVETEHGNSTINFFGNSFIADPTGEIVKVANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGEK >OB02G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14183026:14183589:-1 gene:OB02G26960 transcript:OB02G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTCCRGHAAVGMPPPPLFELDLEQRRRCPGLGLCTEGLGSESSESSGGDVDLVGGAADDGASDDTDVVGDHALPCKRQHRLDDDDDQTAAAWPLPAWTRRAFPPPISVIGAGGKPWLYLRPHREDGRLVLREVRIPSRELLQACREEGRFKLHFAHPEEQQQQQEQLLLAADDPDPADAMLQE >OB02G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14192539:14193012:1 gene:OB02G26970 transcript:OB02G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNGGGGWHRLASGGGTERRRRSTASSCPVESGASAVSKLGVIITGKWELAMKQLRARILKHIEGDELGGNEFAKVLPGSTRRYTDAVAATIWSVWSVGATRDIWRAAARFVGGQEITDRRRVWRRTPTGVTLSGGGGEGRRAVTTNVTVGVEWRH >OB02G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14211806:14216070:1 gene:OB02G26980 transcript:OB02G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRLLVLAPWALLLPLLLLQLAGASHVVHRSLEAEQAPSSVPASIVSPLLRTGYHFQPPMNWINDPNGPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALEPAIKPDIPSDEHGCWSGSATILPDGTPAMLYTGIDRPDINYQVQNIAFPKNASDPLLREWVKPGYNPVASPEPGMNATQFRDPTTAWFADGHWRMLVGGLRGARRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPVHAAGLQAGLDTSEPSTKHVLKNSLDLTRYDYYTVGTYDKAAERYVPDDPAGDYHRLRYDYGNFYASKTFFDPVKRRRILLGWANESDSVTHDKAKGWAGIHAIPRKIWLDPSGKQLLQWPVEELETLRGKPVSVSDKVVKPGEHLEITGLQTYQADVEVSFEVSGLEKAEAFDPAFADDAEKLCGVKGADVRGGVGPFGLWVLASSGLEEKTSIFFRVFRGGYAGKPVVLMCSDPTKSSLTPDLYKPTFAGFVDTDLSSGKISLRALIDRSVVESFGAGGKTCILSRVYPSIAIGDKAHLYVFNNGEADIKVSRLTAWEMKKPLMNGA >OB02G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14232106:14232465:-1 gene:OB02G26990 transcript:OB02G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRATAQEAAWCVLGHKLPRSAGNDGRRAGFMRWQLVAVSTMEDVEVGAESRLLAIPVEELRLDHRPGRRLYVPLDCRPGRRRDVVSRLMGRCNLHWSSSRAAMDRSPPAALEELQQN >OB02G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14232602:14232922:-1 gene:OB02G27000 transcript:OB02G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLVNNTVKNYDWFEYHERRFLETKGIIVNSFVELEGVVLVTIAAACPDSAMHAISLVIWFDSPLSDQSHECVRWLDGQPPASVVFLCFGSGSYLEAAQVSEGP >OB02G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14233252:14235928:-1 gene:OB02G27010 transcript:OB02G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >OB02G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14237136:14245432:-1 gene:OB02G27020 transcript:OB02G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT5G06130) TAIR;Acc:AT5G06130] MYCLAASPAARAAAPLLFPPAPPPPRLASRSPDELHRAVWGRATGAGAVRRQRKALRRCSSAGESRPAGDGGLSSFCIIEGPETIQDFVQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIKSSERRGSSSEENEMPEIPSSIPFLPNTSPKTMKQLYLTSFSFVTGIIFFGGLIAPVLELKLGLGGTSYEDFIRTMHLPHQLSQVDPIVASFSGGAVGVISSLMLVEIRNVRQQEKKRCTYCHGTGYLPCARCSASGILLNTKNFSLSCDNHHNMWSTTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >OB02G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14251723:14254398:-1 gene:OB02G27030 transcript:OB02G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3LDI6] MAAMTVLCSDKTGTLTLNKLSVDKDFIEVFADGVGKEDVILLAARASRVENQDAIDTAMVGMLGDPKDARRGIEEVHFLPFNPVDKRTALTYIKVDDGTWHRVSKGAPEQASIHGFDLISPVASSCLLASPPWLDDIKKRAHVVIVKYADRGLRSLAVARQEVPEKNKESPGGKWEFVGLLPLFDPPRHDSAETIKRALHLGVNVKMITGDQLAIAKETGRRLGMGSNMYPSSALLSHDMDDSIASVPINELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILIIAILNDGTIMTIAKDRVKPSPQPDSWKLPEIFITGIVYGTYLAVMTVVFFWAMRSTDFFTNTFHVKPLLEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCVAFAIAQIVATCLTVYLDLGFAHMRGIGWRWAGVIWLYSVVTFIPLDWFKFAVRYALSGRAWDTLIERKVAFTSKKDYGRGEREAQWVTAQRTRHGLPTTPEVEELANERRSYGELSELAEQAKRRADVARLREHTAHPNQN >OB02G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14254563:14257737:-1 gene:OB02G27040 transcript:OB02G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3LDI7] MEMETAKASGLEQIRNEAVDLENIPLANVFVFLKCTEKGLTTGEGNERAAVFGPNKLEEKKESRILKFLGFMWNPLSWVMEVAAIMAIALANGGNRPPDWQDFVGIVVLLLLNSSISYFEESNAGSAAEALMANLAPKTKVLRDGRWAEEDAAILVPGDIISIKLGDIVPADARLLQGDPLKVDQSALTGESLPVTKCPGESVYSGSTCKQGEINAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCIAAIAIGMVIEVIVMYLIQHRHYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAAMTALCSDKTGTLTLNKLGVDKDFIEVFADGVGKEDVILLARASRVENQDAIDTAMVGMLDDPKDARRGIEEVHFLPFNPGGKRTALTYIKVDDGTWHRVSKGAPEQILSLCNCSDDMKKRVHAVIDKYADRGLRSLAVARQEVPEKNKESPGEKWEFVGLLPLLDPPRHDSAETIKRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGHGMDDSIAPVPIDELIEKADGFAGVFPEHKYEIVKRLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILIIAILNDGTIMTIAKDRVKPSPQPDSWKLREIFITGIVYGTYLAVMTVVFFWAMRSTDFFTNTFHVKPLLEKEEMMSALYLQ >OB02G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14260290:14260478:1 gene:OB02G27050 transcript:OB02G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTARDFRSSTSSLSCRSSASPERTQVLNDCCCSSSSLQQSLINYYSYLLSVSLCDYACNKHI >OB02G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14261713:14265665:-1 gene:OB02G27060 transcript:OB02G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G51700) TAIR;Acc:AT5G51700] MASPSTEAEKNASSAAAPARCQRIGCDAMFTDDSNPDGSCHYHPSPIFHDGMKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPITKAVSTNPPKAVPVQTSKQGVGADTCARCRQGFFCSDHGSQPKAQKSSVTNDTNVVPVEKPAVPPPKKKIDLSEPRICKNKGCGKTYKEKDNHDTACDYHPGPAVFHDRMRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAA >OB02G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14268266:14272822:1 gene:OB02G27070 transcript:OB02G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGGMETPEIFTGGAATVVVRRAVVLGNGSPGAENQCLGLVRALGLADHLTLYRVTRPQGGINEWLRFLPISLHKLVDQVLRQFFRNTRFAPVVEGRKPYRVPNGGPVGLSSVLEADTKKIVAVARDTFDKEGPTLIVACGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTAGGQQEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTGNCKYGVDLARQLITSVYNVLDSCGTVRVSFSRRTPRKVSDIIFKEFAGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREVIAERGWSVG >OB02G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14274575:14275774:-1 gene:OB02G27080 transcript:OB02G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRHGTGPRPRPAMEITQTGRAPGLPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAASVSPAELELSWIVLDPASGRAVNVSSRRPVALERHWYTGDTLVRYAVVLGGCKFEATVSCSEEAGQLTEGSPAVDDADGAAVSGEGCLRLLAAAMAGPRKGGQNQEQEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVLSFLAAVVLR >OB02G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14284448:14287908:-1 gene:OB02G27090 transcript:OB02G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAHRWRSGYHELGRVNHWAARSHRPRTREYRRRGGGAMLAVPPPGMGRFEDTRSLPKPAWGAWGDGGGGARRTPLTEGRGRRKKVGGRGPQVHSPNPSDKWAADTSHWREGDESCECVWVQLLGQMVDDFQLKIWVEGKNKKTGVEEEECRRILEEDDGEWVLIFFMSLFISYCVIVPPVMLKLLASLETFRNQDLSHVAECFVFRLLISFLVGGGMAPLILPAETCFKGAAAWRAGAGGGVWCEAGLGAGYEEASATAGSGDTRGPRRREESRE >OB02G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14284564:14287202:1 gene:OB02G27100 transcript:OB02G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAVLVFLLVVLIVTSQLEWKQQLVNELESAAPKQKHISSRGELVKEKIILSQEKMIRLLNEHIRNLQQQLLQCRDNNLTVNSSRSSFTSYVSEIRRQQMMDD >OB02G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14290263:14291705:1 gene:OB02G27110 transcript:OB02G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LDJ4] MVKICCIGAGYVGGPTMAVIALKCPAIEVAVVDISKPRIDAWNSDRLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIIVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPGGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPRFLNASVGFGGSCFQKDILNLVYICECNGLPEVSNYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNMVDPEKLREIGFIVYSIGKPLDAWLKDMPAVV >OB02G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14290968:14291504:-1 gene:OB02G27120 transcript:OB02G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGFICIPDHAHLLKRGRASWLQVHRVVPVELAHGEVPLDLILSDLWVIDADLSLVTQQAMAHINGRCLPGVTGVLLERKAKDGDLLAGDSVEHGGHDTVDKPALLVVIDLDDLLPVVGHFREAIALADVHKIQDVLLETGATKANTSVQEPGADPGVLPHSVSHLRHIGTSGLT >OB02G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14308658:14309122:1 gene:OB02G27130 transcript:OB02G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASTPTAAATGGHDHHGANKRRSICTHLDRRIKEKKSHLDITFITQLVDHLGPLVPLD >OB02G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14313426:14315556:-1 gene:OB02G27140 transcript:OB02G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIGRLSPDVHQVYLEHLFQRFGNCTVKLKDGYGFVVFDSNGDAARAMQALQGKYVCGERITVNWSKQQPRFSKDFKSSRIVESSPNRATRDSNFRFRDSIPRKNHPASHDQGHSPNVAPEKKSFDGALENKSSDGAPEKKSSDGAPKKKSSDGTLEKKSNDDIEVLKDAREIVGEDPVGMKRNEDDISGANLIEHDRWEETGKGNPDFHRYEPYHGYVRQEESEEVVRGSSHPGERYMNHYELKYPPACYNRVTAGHTARDYPQKTDSRLEAWRDALIRQEKKMVRLRRSRSSSRGQPDTPDCPQKTDGRFEAWRDALIREENKMVRLRSSRSPSRKQPDTPDCPDRTDGRFEASRDALSRQEKEIVMPRRFGSHSRKQSELHVDMVVETRCMIQDGRKHFSDRTSHAHRLSNVSRVDKSRTDRSEGIPQTPNASRKRSRCKRSRGSSLSPDRTTSYSTSRCSCSPYSHSREHSPSHSAHSSSKSFQPTQPEGLKSMAVSNASYPGPLLVSSKNANLDGYTETNLNKNLVCDDNVAKGVQVQKTNSEYASSVKSNKDTLAKNEKSNSLKLTANEVVSALKHCGMEARGTDLLNQPVEKYFGAARMWPWEVIYYRRFKKGPISTENYAKRLEQNKEYGIVDQYVRSSSGWWEYH >OB02G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14322508:14323062:1 gene:OB02G27150 transcript:OB02G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCASRRVAAAAAVVVAVALAAMLASAGGGGGERAALERCAELYDRMGSAFAAAYDDINRRDYAAGKEKAGEAMSLARRGGGAFAAGGLPSPLERQSSESVKIAVVCTAITSLVK >OB02G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14327378:14327929:1 gene:OB02G27160 transcript:OB02G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSAAGLLAAAVLALGSVVVVVDATVVTTCRAAADRDGRGDYGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGKGKAADAASLARRCDAAFARDAAVPPVIAQHSSYASKIAIICTAITNLIE >OB02G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14330365:14332958:-1 gene:OB02G27170 transcript:OB02G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSWAPGPPGPRVLVSPLPPPASNGSHFSPGKKHGARTPNADTITRRITAGDARRTYHDVFDRWMCMLSRKKPRSVKIKFYNGDKYKIPSSLFSISGLDYLHLKRCIIGLPQKFEGFKQLTVLNLKHFSSTDSDIHNLVSSCPLLTTLCLKYFEGINCLSIKAQALQDLDVKGNFQDFHLHAPNLCNVYVTLGKTENEQSAVVAGNRKNYLKQAFVGPSNIYRLVIKRCIVALPLEFEGFKRLLVLNLKYFSSTDSDINKLISSCPWLNTLRLKHFDGISCLRIQAQALQILEVEGNFEDFHLDAPNLSHVYLTLDKIEAQQSVAVAPDMKNYLKQAFDSLTSIEELTINGSFLTYLSKGCLLTKLPGVFNRLRKICIEKCSWNWAEVLGACSIFQNAPTFRELEIWSFFRPEASWCQPIWDEDQTEIEEPTLHHLVTVTMNGFVGLEYEIALVGLLLRWSSALEEMKIIRFEDEDDIYDECMCNALTKLLALPRVSNKAKITFI >OB02G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14335082:14340737:1 gene:OB02G27180 transcript:OB02G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGSLLPIDVDDAPGSSSARIREPVGLGQSPPDATAAAAPRGVSVKVEPSDGQTTPGDGGAPVDRRALSRTMDILAMGKRLRSQVATELVVAAAGVPGGLPGHGVASPSVLPAVAPAPAVPANAATDAAAAPGYVAPTPTFLLPELTPPPLMLPPLPPALFAPSVPPGFSVLGNPSNFNFPIPPPPMPLLPPPLPPAGCSTFATSSSLMTPLLLPAPLPFAPSPAVFSLFGNGNSSSSNTSMPPPPPPPPSSSDCSDVLGRPFSFLDLLRKGDSGKPPADSQPEPNVAAPKAAALGEYGRDLTATAGMDPVLGRDDVIDHVVCILCRRIKNSVVLVGEPGVGKTAIAEGLAQRIAAGTVPAPLASARVVEVDLGAIVAGTQFRGMFEERMRDVIREAEESAGKVVLFIDELHMLVGAGQGGMGAMDGANLLKPALARSRVRCVGATTFEEYGKYIENDGAFERRFQKVLVEEPSVAATIAILEGLKTKLEEHHEIKIQDDALIAAVHLANRYVTGRRFPDKAINLIDEACATAKMQGYKQLNGNNEQHNIENAVREATEVTSDQVAQVVNRWTGIPVGTLGKEEKQKLLGLADRLRERVVGQDEAVNLVAEAVIRSRAGLDDQSGEPVGSFLFLGSTGVGKTELAKALAEQLFGTEEMLLRFNMSEFVGANAVMRLIGEHPSSHSSHVDGGGQLTEKVRQHPYSVILFDEIEKADPAVLSIFLQVLDAGILTDGNGRTVDFKNAIIVMTSNVGAEHMMEALVGRKSIEEAIFLVVKEAQKYFKPEFVSRLTEVVIFKPLSISELKEIASIQLKAMAARVAEKGITLTTSDAALDVILHKSHNLSGGRSVRRLVKKIVTTKLSEMLVKGEVEEGTIVTIDATQDRKELKYNVLKKAAPSEHQFAESSSRRAAGKMPAVVEISSDDDSDSDVAVAAPMAKRMKGATIRSSLCM >OB02G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14346483:14347381:1 gene:OB02G27190 transcript:OB02G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYSVILFGEVEKADPSVFNVFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLIGGVTGEITMEAARDLVMKQVQKFFKPELLNRLNEIVIFEPLSHDKLKEVVKIQMKSVVDSVTAKGVSLLASDDALDLILSESYNPMYGARPIRRWVQKNVMTKLSEMLITGEAEKGSTISIDATDDKKGLVFQVSKKAVDLRGKKPVEDLPSDSDSDDDVVEIFPVPKRRKGD >OB02G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14349713:14364371:1 gene:OB02G27200 transcript:OB02G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPGSLQFGNEHLLSCFQFSIQTSLQESRCHLCTFCNPCDPRVDACVVPASAARPLQLAADXXXXASSFVARAGGVDDAPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLDTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIHDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >OB02G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14349187:14352536:-1 gene:OB02G27210 transcript:OB02G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSPGFTCSPLRTPVSAKAVKTSKSKTKNNKAGPQTPTSNVGSPLNPPTPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLSALQAEVENLSLKEQALDERISDMREKLRGLTEDESNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEELGGGATPSGNANMPKHQPTEVYNTTDVGTGQCSNSIAVNNNIQHSQITPQDPSASHDFGGMTRIIPSDLDTDADYWLLSEGDVSITDMWKTAPEVQWDEGLDTDVFLSEGVTTPRAHNQQPAEVGGPQMQVPHTHQP >OB02G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14380854:14382648:1 gene:OB02G27220 transcript:OB02G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKEHESLSGALHSGAMAMAAVNQQSAKARCDRGGSGSTSPCLSLLDAAGAACCGDCAAAKALPQSVLPPPTTTSIPPWMQHLHCRDPQETAHMKKWMSAHGGSPSRRTALNISTVVSPCSSVSSYEQYYPLHQPYQPWLVADDDDAQEAKQHPWKSRCRGDVVAVGGADDGGKLLLLPAVKVKSHDSSASNGSVEVECRSRFKEVSAENLKVLCGALEKEVPWQKEIVPEIASTVLRCRSGMAKRSRVNDASSKTSASSKEHTWMLFLGGDMDGKVRVARELASLVFGSPKSFVSIGAASSSPARSDNSTEQLHRSKRPRPETTTSGRDNDHLEALYDAVRDNPRRVILIEHVDKADRRCQTGIREAIESGVVRSRRDGGEDAVLGDAIVVLSCESLSSSSTTASSPPAMIKKAKTDSSTSGEELNVDDDHQRKAAASSSSSCFDLNMSVEDDEAAEERCSGEEAGKLLLKAVDRVLVFRSIEEL >OB02G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14393245:14401525:-1 gene:OB02G27230 transcript:OB02G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRSKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCVCTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRTTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGAMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >OB02G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14407385:14411372:-1 gene:OB02G27240 transcript:OB02G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVQRLFPNSKATIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRKNLPLVREEVSREEAQKRIQALNEPYKLEILEGIKEEPITIYHIGEEWWDLCAGPHVESTGKINRRAVELESVAGAYWRGDENNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQHGYDLLYTPHVAKAELWKVSGHIDFYKENMYDQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGGDDIWEKATLALKDALEDKGWVYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNTEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELPYCNEVASELRSRGIRAEVCHGERLPKLIRNAEMQKVPLMAVVGPKEVQARSLTIRSRHSGEIGTMPVDEFVSRIQLAVMNKSSSL >OB02G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14416520:14417482:-1 gene:OB02G27250 transcript:OB02G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHETSISIRERLNMNSPKNLLRLRRCFPRYNISLLSPALHLKRFKSQKEPHYFFSARTHITCALAIAITAASKQAAHSSLTLSRGGGGGGAIIVMRFIGKEKHHKREHHLRRCCGGLAACILALVLVVGFVALVVYLALRPSKPSFYLQDLQLRSIDLGDPSLSATAQVTLAARNPNDHVGVHYRRLDVFVTYRDEPVTVPVSLPPTYQGHRDVTIWSPVLSGQSVPVARYVADAMRQDIAAGYVAMQVKVDGRVKWKVGSWVSGSYHLFVSCPAMLASAGPMPLGGAAAAAAMMNGTAAAGTVASLKFTQPTGCSVEV >OB02G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14422817:14426763:-1 gene:OB02G27260 transcript:OB02G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP protein [Source:Projected from Arabidopsis thaliana (AT5G04840) TAIR;Acc:AT5G04840] MSRSPHLPPRYPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLADSGKSHNLPPLRRACSDSDAILDVLTTFQSPTYSIEEGNLQPLGEAGESFDAVVEGGGNAAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLMIDATNGANCNVGATNGTGDTGDAACHTDQEKSLKRRSGQRSRVRKLQYIADLERTVDSLQNIGADLALRNALSMENKQLRRQITSLQQEKLVKDGQTQMLKKETERLKQVSARHRRSRSVASCFEANSSFGAADPSAISWQMLDDMSRLSLNGSAAPPRGGYGI >OB02G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14431503:14435928:1 gene:OB02G27270 transcript:OB02G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAASMTGAGKGGGDAGAGTALSFLSRGWREVRDSASADLRLMRARADSLRTLADRELEQLLVSASTTVAAPAPPVAAGAPIAEVEFVRNRIQPRISELRRHYASGDLADLAIGRGVLEGWAVPTQPRGATTARVDLSGVTAIRNALVSDVGWRRAAAWGGDAEEDKEWEVVRMIRGGLRELERRSQGSEILGGLPGPGELVDKFKSSLKSFNMEPLGSKEVPPLDLNEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSRQNHSLSADSSLLGDDSSTDELDLRISSVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARSAKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGAVQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGDKLMADRENGQQSFSKGAYFLGKMVWAKGYRELLGLLDKRRIDLQGFKLDVYGSGEDSHEVQSTAKKLNLNVNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTAEQRYNLSWEAATERFMEYSDLDKVLSQPAEGVHRNKARRALQPNLSDIMDGGLAFAHHCLTGNEALRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >OB02G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14436307:14436486:1 gene:OB02G27280 transcript:OB02G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center protein J [Source:Projected from Arabidopsis thaliana (ATCG00550) TAIR;Acc:ATCG00550] MWRKVGEMADTTGRIPLWLIGTVTGIPVIGLIGIFFRVHILDWVHLYSNRRDQIGNMKK >OB02G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14440978:14442507:-1 gene:OB02G27290 transcript:OB02G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LDL2] MEAAAEVPSYFLCPISLEIMRDPVTLATGITYDRCSIEKWLFGDGGVGGGKGTCPVTRRQLAPAEREATPNHTLRRLIQAWSAEYLFDSVLDSPMSTSSPEEEALGVLYSLKPSQATLLRILDKNGGFLDTLASVLRRPSYRSRAYAILLLKAMTSAMSPERLMTVSSELVQEVVRVVADGVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVAVDGGERARARAREMLKANARVWKDSPCLQAHLKASYPS >OB02G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14461422:14470268:1 gene:OB02G27300 transcript:OB02G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-metabolising metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT5G63420) TAIR;Acc:AT5G63420] MVALASLSSLCPCGLARRRSSSAASASITCCAAASPLPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKLEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKNFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKMVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPKDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSNDLCIDERFRIASDGIIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALLSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVITVATENTTINFVEDSEGKSSGKFGSFSASRHPSRGSGRGLEDNDKTRPENPEGEAKEILPDVSRTTRDDATTSTNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGNKPKLSKISIMGKDSSDPPSAPVKSSKKNKWKPEEIKSLIQMRGEMNEKFQTVKGRMVLWEEISSSMLNQGISRSPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSGQGEMATQ >OB02G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14471301:14477096:-1 gene:OB02G27310 transcript:OB02G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGNVAHRISRARTGVVGDARGFKRAGFMRPRPNFISVEEERRLNYAAAAASSSPSSSSWLAARRAGSDMAGRRRCRFFGIRVAWAAPAAAAAAGFPFPDVTARLPARTALQKGVFFLAIGCRRIGCHAIIGNRTEHLQASELASPPAHSGSDFPVLVVNKPFGLRSDSGGEDDVFFDACDDDIIRGHSAAEIGSSLSPAGCTTGTEAGYELWAGEPTMSVRERRQRFLKGMGFLEPGPTGAVFPQWLAEITTNDCSFHDLEERISSICSSFRSCFSDGVLAETAAPSTRDMDNCNGRRSAARDHEGGGQHNVLSEILEEVGSDEILAPDDDVAGSSPVARRFLRSRSSGRSHASGDPRQGEFRSLWGSFRRKKDAARAPMDDVHARSSNSGALCRTKVHHQNKKWMDFTAVYMCQEIQAHEGLIRVMKFSSSGWHLASGGEDCVVRVWQITEIESSANLYVRDPEDMDKNKGLKTKPLAIIPNKVFSITETPLHEFHGHGSDILDLAWSKSDFLLSSSKDTTIRMWKVGWDDCLAVFRHGEYVTCVDFNPVDERYFVSGSIDGKVRVWDVSDKRVVDWDDIKDIITAISYQPDGKGFVVGSVKGKCRFYDQSGKNIERGKLMRIKRRKWFAAANRITNIQFSKGHPSRMIIASKDHKIRVYEGLKFTQKFQGQWKSKALVPPSLTPDGRYLISAGSDSNVRIWSFDGGRRRRAVCSRELFFSEGVTAVAPWERAAAASGRRSSAAADAPTLCYDRERCSFGTWFLAPDGPRASAATWPEEKLLPSLRYVNCAGMDDCRAKVAAAWNTVVVTGSRGGAIRAFHNYGLPVRL >OB02G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14496489:14496800:-1 gene:OB02G27320 transcript:OB02G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVADEEAAAMVAAAASSCCRRHGVEVRGDTRHAAVQGEAPGDGQLQQLLRLLAPGPGAAVRPVAAAQRHWSRGPRQPMNPPKKTYTCRERCIDNIDRYHRLS >OB02G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14496591:14496896:1 gene:OB02G27330 transcript:OB02G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCRDGPDGGAGPRGKKPKKLLELPVSRGFPLNGCMPRVASHLDAMTTTARRSSSRHHGRRLLVCNKHLPAMEKRAHSTASWSTDRQTVEQQRKLKQSS >OB02G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14516853:14518133:1 gene:OB02G27340 transcript:OB02G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKEGSGRLAAEYQGLEVKVPTFFRCPISLDVMRSPVSLCTGVTYDRASIQRWIDSGNATCPATMLPLPSTDLVPNLTLRRLIALWASTAAPSSSSSSPAPSAVGPTPAAAEAELLRQVAAPGMDPCPALRKLAAFLSDDDVDEFDKNALVRAGGAAETVATVLRRRRGKDAGVEAVEAVLRGVAMMKGGMGGAEAAVSVLWAVCHRYKDRRAVDAAAASKGGLTKLLLLMQSGCSPAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >OB02G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14528981:14532630:1 gene:OB02G27350 transcript:OB02G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43710) TAIR;Acc:AT1G43710] MVGSVGNGLADLGAAVNGARGKGMGMEVGMGMGMLPGAAEAALAMEVEAAAGEEDGVESPGRREIVLGRNVHTASFAVKEPDADDEETGEREAAMASVLALYRKSLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGVLYASRESHYSVFKAARMYRMECVKVDTFISGEIDCEDFQRKLLLNKDKPAIINVNIGTTVKGAVDDLDLVIKTLEENGFKDRFYIHCDGALFGLMMPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLREAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGSIAHVVVMPNVTIDKLDYFLNELREKRATWYQDGGMCQAPCIARDVGQDSCLCSLHKK >OB02G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14536388:14537509:1 gene:OB02G27360 transcript:OB02G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGIESESVRRLLMQRMQQGYVYSRRALLLAPAYGHGESSGGASQGMLAAAGQEAPAADGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARPFLVPLRAEGFVTPYDF >OB02G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14546160:14551839:-1 gene:OB02G27370 transcript:OB02G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPEPTSHPPPAPPPPPPAPSGCTTVDDREAGPSIPNLQAGTGDYTPWLGQEFASEHDAYEFYRYHAWKLGFSVRREYANKSRKTGEITSRKFVCSREGFKAPDKRTNHTRTPQPDTRTGCHANLVIRRKNDTSKYEVYAFEAQHNHTLFVPSCANPLQRKLSDVQSSEADNSASVTHASEPENRNSILAEKAINSIEGSQRSLQTRRQWETERGEASALLNYLQDQSRTDPLFYHAVQLDAEDKVTNVFWADAKMVIDFGQFGDVVSFDIVSRNNMSLRPFASFVGFNNYGETVLLGMALMYDDSLESFQWLFETFLHAMSGRAPQTVFSRQGSTVAKAISLVMPDTCHAICTWNLKQSAKGNLNHLTRGDCGFIREFKACINDYEEEVELFAAWEAMISKYNLHNNVWLKNVFEEKEKWARPYTKWIFSAGMKNTQLNERLHSDVRDYLKSDVDIISFLKHLKKVANDRRYRELEVEFSSRLKLPDFKIRAPILRQASEAYTGMIFQLFQEEYEEFQSAYIVSRDESGPDREYIVAILEKERQYKVHGNPCEQTVTCSCRKFETLGFLCSHALKVLDTMDIKYMPDRYLLKRWTKYGRCLTAPHVESRKVQADTTLEFSSRYEYLCPVYVRLVARASECEESYRVLDQCSVELGKKIEEILQKQTSIDASAPQSDVEDITISSSANGTDNELERALDYSSSTRLKRRKKKGHNTKSQRKGCIEKGLQKDKKVQLEQSPMQYTMLDAAQPGNVLFQGLDISNPFPMGQLNYGGVQQQPGSCPSFPTVSRGL >OB02G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14558589:14559824:1 gene:OB02G27380 transcript:OB02G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRALVHGSCGGGAFDLEASMQQQQPPPPPFHFAQDPHLHRGGMVPVRANPMLDLGNVVKASPSDEEDVDDGHHHGGSSGGGGKGGNQWHRVKWSSDMVKLLVSAVAYIDEDVDMDYGTSSSRRKHAMLKRKGKWKLVSMAMTERGFPVSPQQCEDKFNDLNKRYKRLTEILGRGTACQIVEHPELLERMRLSGKLKEEAKKHLISKHLHYEEMCSYHNRNRMCLLEDPALQKSLRLALRSGEEHTKKNPFGYDDEDFSDDDDEDDEFDDLEVSAEEHHHGIHGAKRLKHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSPSPFGMHNIRFEKRRLKIKAQMLKIEQKHFKWLRFCKEKDRELEKMRLENEKMKLENERLELELKLKAIEMGIKPKKMFSD >OB02G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14561573:14561851:-1 gene:OB02G27390 transcript:OB02G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAWIHTSVTQNVVENVTLQCHCIHSELWNLEENATGMESFRRLRSAPELWGRERDDERMVRSFVVGRLSPPHSSCSLLLLGICRTISRIN >OB02G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14562735:14563683:1 gene:OB02G27400 transcript:OB02G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGELKAKGGEAPAPLVVDEASVAPSPPAAVKEEEDVKKVQEAPAAAVVETEPADAVRRRSLSDLLKEDAERDGPEAAASADADKNVHQESPPAAAAAADDDGGEAGAAEEHATDEAAPPADEETSPAAASEQPATGEEEETAAVAAAAEEKPDAGQEEGGGGNVEASAEAGAGSAEEEQPAAVEPAPTSEQRTTGEAEEEEEEAEEEKETETRQEEEEEEEVEAAAKPSAGEETGGDAQAQAAEEEKRVDPGSLQVAAAPSAGEEESMAAADASAPAAET >OB02G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14568031:14568473:-1 gene:OB02G27410 transcript:OB02G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVPVPRGVTDTATILPMPTPGEKQQEVAAAAASARPGVVLTVVGLTMMASFWA >OB02G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14574620:14575141:-1 gene:OB02G27420 transcript:OB02G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQHHHLFHHKKDGEEESSGVVDYDKEQKHHKHMEQLGALGAVAAGAYALHEKHQAKKDPENAHAHKVKEEVAAVAALGAAGFAFHEKHEKKDAKKHAADQY >OB02G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14579385:14582902:-1 gene:OB02G27430 transcript:OB02G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G43580) TAIR;Acc:AT1G43580] MALMLTALLCEAVSVRFASTVLGLQWHRSTSPLPDTGQWLLLALNEKLPRIVVDLLRAHIISLHHYLMLFIMLGFSTLFDCIKGPGLGIAARYMFTMAVGRLLRTVTFLSTILPSARPWCAQARYQIPYHPHPWAQKYYVPYASDPYAIRRIIQEDMPYAFVEEYPGEYRPKWGHMNFLVDILRPTAEEGSSWYHFLKKASGGCSDLIYSGHMFVAVLTAMAWTEAYGGWISVVIWFLVVHSAQREIRERHHYSVDCIVAIYVGILLWRVTDFIWSATDTSHARRLAKLDKVHNRLFRAAKDSDIDEIRDLLNEVELAGQERKGFSRRVILSFSSAMIVFTLLFVLLAFALTSDG >OB02G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14599833:14602749:-1 gene:OB02G27440 transcript:OB02G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLWLLVRAELLNESVDAPVVAAGVGDDLLQLLGVGGEHPPALLVHEDGPDGSGDAVPVERPVGLAVPGHPHPYLLGLLEVTRGGDLVQHEGQHDERVAEQEPLDGGAAAAVRQERAHGGVRQDLDLRNPPCLHEPAAAGALLEPGREDVVPAVAAGLPQGPEERRAGEVQPQRQLAQQLDGDGRLAPERDVDDRSGRLLVEPRRAGAVTTPATDGGATAALGFGGAATAPLDSGSGTASTSLSTTDAGSRGTGAAATTVATTPAQIVGDDPGAECTGHKQLLVAATGGHDMMDLGGSPPLLASKPHWFSVDVNVQAYVTIDNDGRKVYTKGASRQWVVDSESFSLDFLMNSIKAEFTWGLNQSASVWFFQKNLGRMWHYYKTVNYQKYLKCMQVRAGSTLL >OB02G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14602156:14602717:1 gene:OB02G27450 transcript:OB02G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSVVYVALGSEAPVSVELLRELALGLDLSGAPFLWALRKPRGDGGDDVLPPGFEERTRGRGLVETGWVPQIKILAHAAVGAFLTHCGSRPSSWTSKAGGCSPPTPRSCRRSSPTPAATTGASTLSFSS >OB02G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14605825:14607117:1 gene:OB02G27460 transcript:OB02G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDSGEDLVPEVLDAEENPDAAGDEDMPDVESTGSNEDALPEVDQGAFYHVLIRMSAAEVRNAAAVSRTVRDLVRTDAFRRDHHRHSSSHMPLFFYRYWDYYLPAVVVNLCFVDIAARVARKVIYFADAPDPPIPSFDPRAFIIEGSCDGILLFSYRSRLYAFNPCTRHWGNLPPLHLTNEIVAFYGHGPLDNREYRVLYHPMEGQFDSRYWIFSPTFPDQPPRCIGRAVNMEVVDLSLERGITPSYEMPPVTVGQRLHWRPQIGQYNHNVLVFDTVAEVFDMIPPPRMLEGDLWVDVDGDQLLEINDKLAMTFISRERVDVWVLQEGEVWAHQYQIPLPVPQLAIFEGYDDEGFLSAAVFAVSEQRNALVQCPAIILHCDTGGDVLKFYSRTGNLTVLSRYTLQESLLEHPFLPMRRSDINHPQFFL >OB02G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14621902:14622305:1 gene:OB02G27470 transcript:OB02G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LDN0] MKIDLRMYRSYKDDDWMLVGDVPWDRFVNSCKRLRVMIGSEAIKPAVRAPVRVGMKMDKYTSGIGTPYSYPPLKFRPIKIPIPIMDIKNSPYPYPPG >OB02G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14623100:14626819:1 gene:OB02G27480 transcript:OB02G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64730) TAIR;Acc:AT5G64730] MSSSAAAQRLPRSEARVLSGHEGAVLAVRFNRDGNYCLSCGKDRILRLWNPHTGALVKEYKSHGREVRDVHSSSDNGKLVSCGGDRQVFYWDVASARPIRKFRGHNSEINSVKFNEFNTVVVSAGYDRTVRAFDCRSQNSDPIQTIDTFQDSVMSVNLTNTEIIAGSVDGTIRTFDIRMGRETVDNLGHPVNCVSLSNDRNCLLANCLDSTVRLLDKSSGELLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGFIFFWELVDAPVVASFRAHSSVVTSVSYHPTRACMLTSSVDGTVRVWT >OB02G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14629611:14636289:1 gene:OB02G27490 transcript:OB02G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLTMVWQDCHARTSGVTTLSCHATSTGVAKRAFWVFFETELRSQIQRTHDIDKNGQKQYEKLLIKCTISTRNRTEGLDIRGWMHRSILGKWPIEIEIEIEIEMERYYSTRAGGDVAGGGNKTAWVCATPGPMLLASFAVSPDLRTTEKFMVTTTVLMTFLGAALFAVGILGRFSGRHRGHSAATRIFFRVSFALFLPFMSFMFSQAKGKDVPFRAYLILLWMLLVELLRKKVYAMVAPAGDTFSRGVGRYSLFDAVEDATRMVWIGYLIYSYVHGFAVKALFVILWIFGVVKLCKRSISIHLANRSLDLAKNAALVSGYMAQLVGAHRQLELERPXNGADVRGNSTMRACNYTVMGESQLKTRKTPHGIEVDGLHDILAGGGTEQLVRVSTIWKLAETDPLFKYDVHRKQKLEDICLGLALFKLLRRRIERCHMAESNTPEARAFVLKGLLALAGGQEDDAANAKRAFDVVEMELRFMEEYYQAIITLALPKPTIFIANFVFSIVFILLYCVAVLLVTGNGNIFRVLGSLFRGLIGLSIDMVVQYRCFRHQVSVLVGMVCSSSDLVVTFLLTLTLFSVETYELAQYLLSDWFAASMLCNYARKPALQKQVRVQRTVRCGLWVRHRSRPVIKVQQVTMLKLHHLHPRRLWILLSRILAHRLAGLSPAVVTTEAKVAIVAALKEALESGGGDAGDLHFSSCVAVLRKNGFAAPEWACDSSGGAATVILVWHLAAEPGEKPRPPKGDAAVTLSRYCAYLVSYEPGLLPDDPEWTEKAYRDMRRDLGGFFQSCCTAMDRRRKLLQLCDDWHDDEASAMARGVRLGKQLEQHASRSDGDFEKVWTMLLDFWGRLLVVVAPRPSAGPEGHALALAQGGEFITHVWAMMTHAGVRVHRHHDYQSIPVTDVV >OB02G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14655577:14676956:1 gene:OB02G27500 transcript:OB02G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAYLHEPGVLYNLKSRYGMNEIYTYTGNILIAVNPFQRLTHLYNNHMMEIYKGAEFGELSPHPFAVADRAYRLMMNYGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKAQSDGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEERERYKLGDAASFHYLNQSNCIKLDGLDDSSEYIATRRAMDIVGISSGEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEKALEESLCKRVMATRGESIVRNLDPRAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQDILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKGNEHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQILLHASSCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSTEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTYDEFVDRFGVLLPEVLGESYDEVTATNMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSCSASKIQRKVRSYLAHKQFIQLQLSAIHLQAICRGQIARNHYEDLRRKAATLTIQTYYRMLFARKKYRDLCSASTTIQSGLRGMAARKELQCRQQSKAAVIIQSYYRRYLARLRYMGLKKAVITTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKEVEELTWRLQLEKRIRADMEEAKSQENKKLQQKLQELQLQSNETKDLLKREQETAKAALEKAALVPEVQVDTTLVNELTAENEKLKTLVVSLETKIDETEQRFEEVKKAREELLKKATDAESKIDGLTNTMLSLQEKLINMEAENQVLRQQALFRSPVRTIPENTSPKSNVPNGSPHGDEQMTPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGATPRRKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVRGRSFGTSSLPRGRSFSNQGSYWQAIVDNLDELLKILQENCVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELRHIRQAVGFLVIFKKFRISYDEIVNDLCPVLNVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKASGQDSSDSTFLLDDEISMPISLEEIGDSMDAKEFQHIDPPPELVAIPAFQFLKN >OB02G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14676769:14676921:1 gene:OB02G27510 transcript:OB02G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEYEYTNSSFIYRHNRFEDIQRHAWVVVNTIFYSISFYCTELFGNTNK >OB02G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14685044:14685214:1 gene:OB02G27520 transcript:OB02G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTLFSPILQYNSNEDLRDILGNFQQSSQIVVETLKAYYLRSTRRYSRKNNGSGRR >OB02G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14712247:14712817:1 gene:OB02G27530 transcript:OB02G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGTSNGGAPPAANVNSMVSGGDNNNEGTGDSQAGNLQPAADKGKGVDEGGSNGKSTATAAAAAASSTNGRAAGGGGGRSRERMHIFAERERRRKIKNMFTDLRELVPSLTSKADKASIVGEAISFIRGLEETVTDLERRKRERDSLTA >OB02G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14713706:14718075:1 gene:OB02G27540 transcript:OB02G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSGPSVVLNLCGGDQAFINISVPRSPGVLTMIVDVLERHSVDVVTVQISSDQSRSLFTIHTRVDRERGMFMDTATSEEIHQLAVSEMMLPHLPAAAENEIDDVVYVDARTGKRKVRDRKEAGAS >OB02G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14722490:14722762:-1 gene:OB02G27550 transcript:OB02G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLRCVGASRASRSSSPVVLGSVACGSLMSLGCGMTLTKCPGTRNTRYIHLKNMRKWSEIFVRLQCTQIGKCLQIKLTLVKWKHQII >OB02G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14731664:14732869:-1 gene:OB02G27560 transcript:OB02G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAPLPLGLDTAGLQVPWYFRCPISLELMRDPVTVATGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSLGVERIPTPKQPAEPDLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGGSEALQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPAADSIANSDDFLQPY >OB02G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14760554:14760721:1 gene:OB02G27570 transcript:OB02G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHDSSHSKTICRHSFLLTVIHLIKKGIKLPLSFFQPFQVAALSAFAYSIHACQ >OB02G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14761428:14764575:1 gene:OB02G27580 transcript:OB02G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGIAVGAAFVLFLVLLSVLCLVASRRRRRQRSTTPAQHHLPVSAPPKNPQKELETATEMFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEIGPVSPLSWDSRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKIPQKPTSRAMKKALLVALRCVDPDARKRPKIGHVIHMLEVDDFPYRDERRGARPPGQGQARLADKPAIETGDRESDSSGNNSARQTEPFRWRNPGS >OB02G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14776636:14781857:1 gene:OB02G27590 transcript:OB02G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERLEKLSAIGNLKNLRYLGMCGLSKLTELPKEVGKLLKLEVLDVRGCQNLTCVSSSISNLRQLTHLDLTECYMLEQIRREITFLSELQVFKGFVFSTDAEGYWNKMCRLQDLGGSMKKLHKLSINVTTDANVDKNEMAQLRFLDALKSLTITWGELPSVLTSAGRSKQKDQLLDKWTSLVLPPNLEKLDVRCYPKKEIPSEWFKPKRRVTHEKLMKLYVRGGAVEKLDLPEDNKIEILRLRYLKEFNMKWSKMHDMMKNLRYVEFVVNDSKVMKSKKGKQQDANVELKPNIPEYILDEHGVWELDKLEPRQNKKDSGDPQGPTTEQPTNNNVETGGVVAYHNEDIPKTHDSKKAHEGMKIERSKTTSSKYPLGEMEEKISM >OB02G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14789570:14797174:1 gene:OB02G27600 transcript:OB02G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPEKGEKVAAIVISFADVGGGVSLFGFAHRNRAPPQQQQQREDPGFYGRRRYDDEYSNNPGSRPRRNSSSSSSSSSRDDYSSASYNGRGKRKYGDYRRGYSDWGRDREREKGRGRPSSNGWRYEENDDEDGAPGFRRERRSIWGNDDARGSAAEVYRDATSLMGKELGDLEMNGTESKAVNSSGNVKEADVPQMVQSENEEGEMEEDGMVLSSQPEVVELGMGTNDDANNSSIGVDMKTELQHFPDEVPNEKGEDNDKVLVESSLDSIALHDEATNTENNLHGDENLLKYCDYAKAPTKRRSSRAQRNAIAIQREPVVSETTDQVSVGEDSQMVPDEAANYSSMTNLKSENREDEIYQENADFSTSCNETLEPIILEENKESAVTGNTIEEKNDTQIHVVKESKEDISASALVPSHKDSLMQETGLSPLTASHKDSLIQDNLSPLTDSRNNSLIEETKPTLTHSHEDSLVEETNLSSLTTSHKDSLMLETDLSQTISSHENNLNLQFKEGCDIDMMPQDVDLIELSDQRRVDGDELFSNVGAGTASKMDDENLEQSSPFKICDQNLIGGTEVSVIHNNPCLAQCSAEGSCTESQKKHQQDFVTTSGDIAGSTNKICQLPLDNKGVQVIDIEDDTPIEVGGYDSSKAKSDMICSSMDNMMGPVVHGGDLPGIQDGYNLAISDYLGADISCYPSMPSDLHAGIGGNESEGITVMDDPIYGSLSDIGFMDVWGQPTQDYGKFF >OB02G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14789934:14790206:-1 gene:OB02G27610 transcript:OB02G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEILPTPTNRPPWGGGSKLPLHSPTQSLLPPYDSIALLELVRIEGGEGGDDDDDDDDEEKKIIIRKSAEESSIGKRRATASPFQVGIL >OB02G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14798084:14800582:-1 gene:OB02G27620 transcript:OB02G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:J3LDP5] MAGATTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OB02G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14802274:14806164:1 gene:OB02G27630 transcript:OB02G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPTAQERFEAAVRAVDLLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLGTPIIIAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTGSRKADLLVENLKKIVAPDVSVLESDSAIKSFVEAAGMGFPLFLGFGVDESLIVEYGAKYKNRAWFSVAKGFSEDMMVFYDFDKVPALVSVNPKYHEQSIFYGPFDDGAFLEDFIRNSLVPLTVPINRETVKMLDDDGRKIVLTILEDVESDENSPRLIKVLRSAASANRDLVFGYVGVNQWEEFTETFDVSKSLELPKMIVWDKKEEYETVEGSERLEEGDYGSQISRFLEGYRAGRTIKKKVGGRSPTLLGVNAMYILIFLVAVLLVLMYFSGQGEEDQRPRQRAHED >OB02G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14805959:14806171:-1 gene:OB02G27640 transcript:OB02G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRDLGDAIKVLEGALESHPTAALNETVVVNLCSMYELAFVNHADVKRSLTDWIARVAPDDFDPSCTRM >OB02G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14809027:14812752:-1 gene:OB02G27650 transcript:OB02G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGMGAGGGMRRSASHTSLSESDDFDLTRLLNKPRINVERQRSFDDRSLSDVSYSGGHARGAGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDYSLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDNEGNEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSMAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMLLEDPSHLGMISLEEDKAMKPVLKRSASWTN >OB02G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14820659:14824025:-1 gene:OB02G27660 transcript:OB02G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRWRPTVNERDFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGETRVMGYVTAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGRHVWSVRVDLHILDNGGNLIDTANIAALAALSTFRRPECTVGGDDGQQVTVHDPEVRDPIPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVTSYTSAKALQKVKRLPASVLKKDSVPVVAMKGSADGALETQSTKASSEVQQISKGDEDHQNIKRNSSLTVDRTSKHKQTSKFIGGPSNWDPYSKGVSLSSLRISQLPEPPPTVKDNKQEDVEPMLTECNPEVQSESRLTAGGSDETQAVSPKSLKDAVKPKHKRKKKQHNKS >OB02G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14827649:14830695:1 gene:OB02G27670 transcript:OB02G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3LDQ0] MAGAISMAYQNSSSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQNFLVARSELTATAMHYRDGSLEADMLKPLYPAATMVYFQCMFASITVIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPILCSLFLSIPNSKGAFYGGVGGSQFGKQIAGALFVTAWNIVITSVICVIISLILPLRIADQQLLIGDDAVHGEEAYAIWAEGELNDITHHNESTHSGVSVGVTQNV >OB02G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14828759:14829034:-1 gene:OB02G27680 transcript:OB02G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRPVIMPCTAPITDGFPKKKTSRIVHTSRLVDAQICVLRTAMDESILAEYGSPPLKPVHPSHSSPAPARTSNMLLGGNRSLSDADLGPT >OB02G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14831594:14833777:-1 gene:OB02G27690 transcript:OB02G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNDGEGGSGGGGGDPMCVDDSANAVVEMGVGVDQGVVSQHDDVGNDRVMEGQGVVSNSGDEMGRRGYGDEAETEEAATVQGSKEGTEELLRKVVYSEEEAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGMKEGEKLTDANFNDPHTRTNCKAMVRFRVNDQGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVEAMLYGGYQAPGAPSRITLGSTDVTNNTDTSKQDSLPCYSSMARSPAIGNGDLQSLVRHLKSRANEDGMFYWDVQIDRGGRMTNFFWRDGRSRIDFDCFGDVVVFDSTYRLNKHNLICAPFVGVNHHWQSTMYGCALLADESMSSFVWLFKSFLEAMGNRHPRSIFTNQDQVMSKAIEEVFPNTCHRISRWHIQKNAASRLGALNGSKAFNKLFTKCMQGCESEAEFEEAWAEMLSEFKLGDNKWLKKLYKLKQKWSGALNKHTFDGGVEYEPQCDSLSNIFHCIADKLTSMSAIVVAVDKLTEDWREKEFDEDMRCSQKPPACIIKHSDILNHAAKVYTHRIYKLFETYFLDGCGATKFKQLHCEDDNRYQFEMTMQGRGSRVCIVHFDMSTMQLNCSCSKFETMGLLCPHALKALSIKNVCSIPETYILKRWTKGAKKWVFNPKQYESSYQECMDDEAAYCNHVMRYAYDLVTKSQGHEELRKSLWETLESGEKELEKYLENVTQYALSYAT >OB02G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14836385:14841479:-1 gene:OB02G27700 transcript:OB02G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRDKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVLLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHISQDCRQLLSRIFVANPSKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDTSAPTFSDQTVEEIMTFVEEAKAAPPSSSTPVAGFGWAEEEEQEDGKNPEDEGDREDEPGEEEGEGCESEDDDEYTKQVKQAHASCDLQKI >OB02G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14848229:14848393:-1 gene:OB02G27710 transcript:OB02G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSRQLTLWSLVSCQVARFDPYLPLAVDQTRWLFEADLELLLEIYFEKYYFVIL >OB02G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14849061:14852739:-1 gene:OB02G27720 transcript:OB02G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEFPGQAPASAAGGAGKRTRSFSEDDTYLLIHRYQPATILTMLQEVGKHVQAGKAIDWRALVRKTSTGITSPREYQMLWRHFAYGHGLVDTLVPGAQPLDDESDLECEIEFVPAPGNEALAEATAFAKAIISGSLREQASGQRVNSEAAALNTPNEKIVRVPSDKQLVTSHRLTNDTGPVSSSKQPSNAGSSPDLLDPNGHAKKKKKPKPWSKEEDAELAAGVHKYGEGKWLDILNEYKFDSTRTHFQLQQRWALTCRRQGSTKPANPKIAITTSSEELKAAQKAFTMAVIMPMGRSNTPKPGGLQPNTPMVTTAAPEVKPVTAPLPSSVPVPVPVPVPVVPVPVPVQPVQLPPSQLQQAPVQSAPPPPKVSNASNKSRNNSKKQIAQSSPMNGPLSLQEVALAAGGRIATPSVATNFLKAAQSTKAVHIRSRGTGSSKYSTGSKTPTAAGEPGTQLGSDQHLELQSTGGPSPVLTAHATEQVRSVSEVAGVNPLGQSAGVHLLEAKKTLNTTPVSVSSDKMEIDDNSNYFVVTMEDLFPEDVKQEDVEDPKIEEAIDPKDADMIEFDRFVAQGCLNKDKVDKGKTVKIAPEAQGVAGSQKKQLKVLPAAGKSNPIFAGAPAAAKKTRTPSPQGGVSSGGVGAGNVGVLNRSGGKAPAPATPGSQNTVQKQQSTSSKGNLLMAKNVAPGTVTPASNRANTAVNGASKVNLPASRKPA >OB02G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14868618:14873062:-1 gene:OB02G27730 transcript:OB02G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMGLVMEQELKAFPVAGKVQGKNRTAPVEEAAEPEGGGDQPHRLSPVKSPRLSTGAAKARRSVSKEPQLARSSSEKLKSGKSRTSTSGKASDIGSVFGRASTSGIGKAVEVLDTLSSSMTSFSPGGGFVSGAKAKGSLEIVAFEVANTIVKGMSLMQSLSKESMRFLKGTVLRSEGVKRLVSSDMNELMRIAAADKRQELTLFSREVIRFGNRCKNPQWHNLDRYFSKLESEITPQPDLKEIAEAEMQQLMNLVRLTADLYHELHALDRFEQDYRRKLEEEKKSVGFERGDTVQIIKQELKSQRKHVQNLKKKSLWNKMLDDVMEKLVDIVHFLHVEIQESFGSYDIAAQSNESSESRQTLGSAGLSLHYANIISQIDNIVSRSTVPPQSTRDALYQGLPPTIKSALRTKLLNSPESQEVPIIEIRSSMEKTLQWIIPIASNTARAHHGFGWVGEWANTGSDVMRRAPGQPDVLKIETFYHADKEKTEACILDLVVWLHHLISYSRPSTGARSRSPSRSPVRSPPLTPPQQLMTPSSPPPPARPSDXXXXXXXPPARPPPAGGGGGGGKLFPLTRRPSSALVSPAVDFDIDGIKALDGQKRQ >OB02G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14889798:14892046:1 gene:OB02G27740 transcript:OB02G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGGSATNRSAPVDHSHHNNTLKPPVAPPAPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQTASSKSHMSKRRKKPVLTTKMPLPKIPKRRGYTAPYQPVSVVEEHQWPNNNVLYEDDSEETEEEGENAEDGARYRAASSDDDEETEDEE >OB02G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14896810:14900821:1 gene:OB02G27750 transcript:OB02G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3LDQ8] MLWVNKVGPYNNPQETYNYYSLPFCHPSDNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKDTICSMELDPDKAKQLSDAIESSYWFEFFIDDLPLWGFVGEADRNNDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSALVGIGTQLAALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPDKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >OB02G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14902189:14903499:1 gene:OB02G27760 transcript:OB02G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYGKGGDLVAAREVFGRMPERNLVSWSAMVDACVRAGEFGEALRVFDRMMTERFRPDVVVLVSALKACAHLGAVERGRWVHGYLETESFGGRPANVLLETALVDMYCKCGCLEEAWRVFHGVQQGDVVLWNAMIGGLAMNGYGQRALELFRKMVHKGFMPNESTFIVVLCACTHTGRVDEGKEIFDSMQNYGIKPQREHYGCLADLLGRAGCVEEAEALLLDMPMEPQASQWGALMSSCQMHNDINVGERVGKRLIELEPDDGGRYVVLFNLYAINGRWEEARAIRQMMEERGAKKETGLSFIEWNGLVHEFMSGDTRHPQTRKIYALLEDIERRLQLIGYVKDTSQVIMDMDDEEDKGITISYHSERLALAFGILNIPQGIPIRIVKNLRVCRDCHVHSKLVSKIYQREIIVRDRHRFHVFCDGVCSCNDYW >OB02G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14904388:14907493:1 gene:OB02G27770 transcript:OB02G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPSQSPSPTTPPLHLTVSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLWAGVVTPAAASGGGAAASAAATAATPSLPRTTSAAPPPPPELQRPPGFRPHHHLPLPYQQIH >OB02G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14910275:14913378:-1 gene:OB02G27780 transcript:OB02G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSTVAAFYATLARGLDELDRSLSSTEFVSLASLRAALGLIRAAHAGLARLVGAGDAAAEVALRADALRSGCEDVIALIDDLFDEVAEGRKKLLDLCSGGN >OB02G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14913671:14913989:1 gene:OB02G27790 transcript:OB02G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLSLSLSLSLSLSLSLMWPWLLRSGGERWPPGEEDTQGPATAHSESEQGLHAFSCYCSFCHTYCTNQGWRIKSIN >OB02G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14923274:14925776:-1 gene:OB02G27800 transcript:OB02G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAALAAAAWELAVVFFLRPLLALAFVLSIIALSWYVAWSTVLVHVPLVQEMAGLRPKKPVKPKPDNSGRIIRFYQSMAKSKNKSEGTSSL >OB02G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14925973:14927695:1 gene:OB02G27810 transcript:OB02G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAALGGGGRGKESSCPRAWQSGGLRLDDTWDPQDASKLEEGSPYFLSSSSSFKRPRENDDDSCDARKKRSCTCTRPRRKQQQQRQHLYLALDDWDSGYSIHRLDDGDILDQEQEPAAPAAAVRRLRRLPEPAAVRIA >OB02G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14927711:14928596:1 gene:OB02G27820 transcript:OB02G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAALGANIFVTTNPLCSPDHAPPAFVYNAETAALTVGPRVPETMSDLGSCMGVGDMLYDLKSMNLDDAFLRALSYPEQWDPAMEWSWNPVPLAAAPNPYEGSEILSYALHPDGRTIVVSGGPSTHTFDTSNGAWKHLGGWSLPFRGQAYFDADLDAWVGLHYEHDGHVCCCPVASMAARPPECKMLVDRLIRRDDEKEPKHWPGVWRQAVSLTYMGDSRFALVENVPRSEDFNDGAVLHVTVFGLRYDRRGELQTKARRATRSYAVSKNTPLFCHAAFWM >OB02G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14928796:14931964:-1 gene:OB02G27830 transcript:OB02G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTLAGYGERLGEVGGFVFHQFPTIERLARLSEQELREAGFGYRAKYVVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVAACVALFSLDQNHAIPIDTHVWKVATQYLMPELAGKSLTPKLSVAVADAFVAKFGSYAGWAQNVLFIGQLSAQKLMVAETTIVSTKPTKRKRSGNNFKT >OB02G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14935148:14936031:-1 gene:OB02G27840 transcript:OB02G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKRAREKDGYYSATPAREQKRPRRGKQHLYVALDDWDGGYSIHRLDADDILDDQEPAARGVLHRLPEAAPRVDGGPIIFVDANPLLRGDRAPPTFVYDAETAALAIGPRVPERLYDLGESIAVGDGETLYDVTSVNFHDASLHAMSWEPNTAAGREPWEPDREWSWSRRPLAQPVPCEGGEILGYALHPDGRTIFMSTGHTTHSLDTIDGTWKELGDWGLPFRGQAYFDADLDAWVGLHRKYDRSVCCCTVPSGTRPPRCKLLREKLSRRKEEDPKLARPT >OB02G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14946375:14947178:1 gene:OB02G27850 transcript:OB02G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDTVGAVVVERVDRAIDRKDCPKSSLLWLRLLQSDLEPDPRRAVRCGPQLLARALDDLDSIGDDAWRNGVGIGSSKGELPPPVLESGVCTEDGGVLEREQTPLYTEDGGVLEREQTPLYTEDGGVLEREQTPLYTEDGGVLEREQTPLHLRAAVRAVCSIRASTTSSTGSPALTPSSSVSRATPPVVAAPRPPLGSRYVAPQQRRQWKWRPVGRGVGKVKIGGKKMNLMSGSHVQMGREIENMNGSNFINLSGTTTNRRIVKIFI >OB02G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14947461:14951317:-1 gene:OB02G27860 transcript:OB02G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAAASLLPAVSPSPAPSPSPCPRVSASSFPCCSTTRAGALRLRSRRPSRFPQWVRDAECREELAMAAASDAAQLKSAREDIRELLKTTYCHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRVPADHLREVFYRMGLDDKDIVALSGAHTLGRSRPDRSGWGKQETKYTKDGPGEPGGQSWTAEWLKFDNSYFKDIKEQRDQDLLVLPTDAALFEDPSFKAYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDEPAAEEKAADPAPAPPAAAAAPADEKKEPEPTLVPVGAAVASAPVDDNNGAAPQPEPFVAAKYSYGKKELSDTMKQKIRAEYEAIGGTPDKPLQSNYFLNIMLLIGGLAFLTSLLGS >OB02G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14956413:14963671:1 gene:OB02G27870 transcript:OB02G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLMQELLDSSSDDDDEFILATAAILAQHQCELDNAPRHSGSRRRSNMKIAWDKNAKTNRRPSVASSQPGLPFGVDSDNDEAEKEETIEATTDCPGTKPADTVKSLQHQGNKLAEEGKYHEALGKWEAALTLMPDNAILHEQKAQLLLELGDAWRALTAATRATELDPLWPEAWVTLGRAQLNFGEPDSAILSFDKALAIKPDHDEAKADRETASRLVKKRGQLHSSGLSANKRRFTVGENVDTAKEKDDAQTENVEKYNEDEEKEKDNAQMENVESIRTFLQTPEEEDEKMGRKGGKRFGGGGEPAAKRRAAGEDGPSASADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLSMDQWKILRDNIKSIDEAIKENA >OB02G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14970700:14987646:1 gene:OB02G27880 transcript:OB02G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPDGEARGREDHVAVGRLGGQEGAVGGGGGGLALAAAPGMGDGLADEEKVCGGQERRLLTEEDEVQNNGGDSTAGLAESAEKVSNPFEGRVQIGEESSLQEGSTDMAGEKHGGFPVESAEHSNLQTCHSPNGGMWNKTLSAPFCEDIFSSDNGRVGYLPEKATDGSIRAHDCLVGNEDDLDGVAEVKTNTKELHMVCLKPHSEGLSDSHHDSERWPQVVDGVEFMINANNELKQDDSIQKNKAEVSRSVDDDSIPSFSGSIDDSLDGKAGCAGETLRDLGACHVANGDPWSSALYVPFSEGCQSKDAGHIAVMVQGRQCGQGDLAFDGAVLHGGVEIKKSLDNLRTCSKEPRCDTKGLPHLAGVGIQQPPYGMNAMCLNTAANHELEKDGLLISTRVEVSSPIHEDSVPSISGSSIDVPFDGKVAQVGEISEHRTTMEKGSCGLYGDVLSCEESRADENQCFSMEVKTCEEGLQAGRMEPCHENVTLLDSRKHGTDKLPRGGNDLRSMTDANHVKDGFLPKVDAVVSCPVDEASFPSIYNSPMGVLLDKEAGWVAGMSENKTCVDKLAHDLLGEAMLSCDSTSQAEASGDENQHFWMDVPKGSTASVVKVESTDDTRSCDPCAEIELQLQQSHGKYVTSEFPPERDLPSSSHNQPCENEPCYSGRETPAFCLGHQDSAGVELESFDRMVQELDTCTSTDGKACSVNFVENGNDSHSQKEAPMIFFRRRNPVRAASSRNSNSEKSDQISKASNNSRKSKKVDSVSSLLKSTTIKFPNKITRGRSGINRPLNSCAWGSIQKLMSGFNQNCGPSTSQYHQNCLGKEGSNRGSSERKQRIIRKTRSSRCSKNKNTSFSDIGYAASEFNRPPTFSVMADTNVSSNDIGNDASDGAHRTAQCIEGNHTLKLTSTLTGTQYLEGGLENGTQKPCPVYIHGESGTSTSERSQNNTNVGFSPDSVLDIASVTCESNTSASLDVAHENPSGLGGLPGGGLRAPALSISDCVKDHASSLMDFEQQARAVKENDMRNEDATPSHAMMDSDACEGKQTLQKSNTTRKSRNARKQKCQKKDGKKGRSMNTNRSSTKIPSSEDSKHVAFSNDLSSVDPSELLLPAKPPKFGSCSEVLTSAMHDVGMHGNDSMQGPFVTDSNGEGNAFDDVKPLRRKKKDGHGGKKGKVRDPHGKGRSKKKNIAGDLCPAAELAFKNSSAIATELPTNVACKTDGASVPPAWVCCDDCQKWRCIPAELAERIGKENLRWTCKENKDKTFADCSIPQEKTNAEINAELELSDASADEANDDKSNSKASGEPNYASLRSNLFLHRNRRTQSIDESMVCNCKPPQDGRMGCRDGCLNRILNIECTKRTCPCGEHCSNQQFQRRNYAKLARFHTGQKGYGLQLNEDVFEGRFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTNKCRGYIGGDISGADMMAQDDAEAGSFESMAVEEDAEEVLGANGLSSHDTNLDIIDHEASTKTEDSNDFPSANLELEPEQQTSGTLFEISELENSLVALSPQDDEDVVRTPVHVSRTFENMSQQLPEYGSRSSENLQRNPCTQDVPKAPSILNGILTSSDLGSHLVPGFHSNKKNSIKHHCNVKPSSALVDSEHILGVEGRLNILLDGNGGISKRKDATNGYLKLLVVTAAEGDSTGGTSKSVRDLSLILDALLKTTSSAVLLDIINKNGLQMLHNILKQNRSGFHRIPIIRKLLKVLDFLASKKILTSEHINGGPRCAGMESFRESMLSLIRHNDAQVHQIARSFRDKWIPRNVSRNEPTEYSRASTSAYDVHGISAGGSVPTSTCSMDWKSIRRKRKSRWDYQPDDHYKMVGQKIQKVYHVQSESQTGFMGNKLHANWGTHSSHSDVPVVGISAKGADDEAPPGFESQQECHSGQACLDLSVSPGLYLERYQHNLNISYGIPVAFVEHFGTPEVEGGQGRKKWKVAPGVPFHPFPPLPPYPRGSPCPSTQMSQHEQNSSGYCGRTTDRSGRVHRNWRNGARTKFPYNQQGRRFPNNNQRF >OB02G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14993595:14997375:1 gene:OB02G27890 transcript:OB02G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAADYDEVVPEAPERSVVLISAGASHSVALLTGGVVCSWGRGEDGQLGHGDAEDRPAPTALTAALAAPGIVSVICGADHTTAYCDEELQLYSWGWGDFGRLGHGNSSDVFNPQPIQALQGVRVAQIACGDSHCLAVTVAGHVHSWGRNQNGQLGLGNTDDSLIPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRDDRLIPEKVSSVEGQKMVLVACGWRHTITVSSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDTTISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCSPVHVNFPNGQKIVQVACGWRHTLALTETKNVFSWGRGTSGQLGHGEIVDRNTPKMIDALSSDGSACKQLESSKAVPMSAKVWVSPSERYAIVPEEKAGKSNGTETRVPQGEVKRMRI >OB02G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:14997943:15008364:-1 gene:OB02G27900 transcript:OB02G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGAPPATGCLLSEAPPRMARFGRWMRGCRRSPSVAFPRNHVYTVASDNPLPCTITRDLLSHQCDRSHLTIVHAEGHPSMGGANDPSPSSKLHTRLRLWEFPDRYVFEPVDGLSDLYLSANRSDGSMNLVKELPPRDSSTNPKCQTVYGVIGLLKLAVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCNNSRSSSAKQSKMETEFSELLHAAEKTIGLYFSYDINITLTLQRLHDLGDEFKSLPLWRQTKVSLFTRELCTRMWRRGADAEGYAANFVESEQIMESKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPHILERHFRDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSGDVRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNDKGEKIEDQTGTVRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGANDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYVTSVSRDMAGPSKAGILENYASFRLAFALVMGALMFMMMSLRQVLTIQYIFAFSRGFGIPVTFLLNPFHIRQQLLLMEIAVASRAVVDTSRPFQSVREAVEVFGERRLSSSRASSESDGGKPLAPAVLAGCLRKLEAELAEARGELERLRQRQSHMEVAVSSITVQLSGGLAILDKGKELAVVDVEDGRVGGGGGRVRSDRWDESRAEEWMASLEYLPSLSEALSIKMVDDDHLGERRQTKVYSSNNNKKKAAMNKKKKQQQQQKKKNDVSLVSRIFSRKDKSR >OB02G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15014684:15015433:1 gene:OB02G27910 transcript:OB02G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDDFTFPAAAAALPRSCQEDGLGLGLLWPRLPSAASTAATAAALWPFSSNSSSSSSGTAEEEDAPAASGGSSGRVAARRGAVGEGEEERMDMLWEHERAAAGEERMDLLWEDFNDELLLQLRRLQRAGSGGAAGTGAPSLADNDGRHSPPPADEEETSSSPGGGLYGCAPTMLRASSRAGAVGQFYGGPXXXREQGDRVGATAAALPEALRRRQVVAAAVPTPPPPPPREHLRAMTMIGQLARPK >OB02G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15017406:15020408:-1 gene:OB02G27920 transcript:OB02G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAFPLLLLLLSSAWCAYSEAEAEAVLTLDAGNFTEVVEAHDFIVVEFYAPWCGHCKQLAPEYEEAAAVLRALEHDPPIVLAKVDASADGNKGLAAEHRVQGYPTVRILRGRGASSQEYSGPRDAAGIVAYLKRQAGPASVELTASPPPEEPIGADGVVVVGVFPELSGGEFESFMAVAEKMRADYEFRHTTDAGVLPRGDRTARGPLVRLFKPFDELFVDSQDFDRDALEKFVEVSGFPTVVTFDTSPINQKYLLKYFENAGTKAMLFLSFSDDRAEAFRSQFYEAAKQYGASNISFLIGDVTASQGAFQYFGLKESDVPLIFILASKSKYIKPAVEPEQILPWLKEFTDGTLAPHVKSEPIPEVNDQPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLGPILDEVAVSLQDDEDVVIAKMDGTANDIPSDFAVEGYPSMYLYTSGGSLLSYDGGRTAEEIIGFITKNKGSKPGEVTGSGGTVEEEAAATPEPVKDEL >OB02G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15020804:15024560:-1 gene:OB02G27930 transcript:OB02G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22370) TAIR;Acc:AT5G22370] MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECSINIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGSLAFNLDFYTDVEDLSYLQRHLDQDPRSAKYRKLTKELCDVIDDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVVEFSKIAAAPLDWDYYRTAEVQEKYMKDDEFVQETSKMQ >OB02G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15024687:15026414:1 gene:OB02G27940 transcript:OB02G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAVLPLLERAISAGDVRRLGPAAHALLVKTALTHHTLLSNRLVALYALLPCPAASLAAFADLPHKNAHSYNSLLAALARRRGSLPDALGLLDEMPPATRNVVSYNTVISSLARHGREAEALRVFARLVRDRSLGQQQVAIDRFTVVSAASACAGLGDARPLREVHGAVVVSGMDVTVIMANAIVDAYSKAGRVEDARKVFDQMTIRDSVSWTSMIAGYCRGSMLDEAVQVFEMMPEQDAIAWTALISGHEQNGEEELALELFERMVGEGVVPTPFALVSCLSVCAKLGLVARGKEMHGFILRRCIGSNPFNIFIHNALIDMYSKCGNMVAAMATFDRMPERDIISWNSMVTGFSHNGQGKQSLAVFERMLKAEVQPTYVTFLAVLTACSHAGLVSDGRRILESMQDHGVEPRAEHYAAYIDALGRNRRLEEASEFIKGLSSKIGLGTTGSWGALLSACQVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDDARQVRALMKGKGLRKDQAYSWIEVQRAKHMFIADDTSHCEANEIYEMLDKLFHHMLIAGDPVEDKKGELALC >OB02G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15025304:15025741:-1 gene:OB02G27950 transcript:OB02G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQEMMSLSGILSNVAIAATMLPHLEYMSMRALWMKMLKGLEPMHLRNMKPCISLPRATSPSFAHTLRHDTRANGVGTTPSPTIRSKSSRASSSSPFCSCPEMSAVHAMASCSGIISNTCTASSSMLPRQYPAIIDVQETESRMV >OB02G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15030615:15031931:1 gene:OB02G27960 transcript:OB02G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGATNLPPGFHFFPSDEELVVHFLRRKVSLLPCHPDIIPTLLPHRYNPWELNGKALQAGNQWYFFCHLTQSRASSSGHWNPIGADETVRSGGRSVGLKKTLLFSIGEPSEGVRTNWIMHEYHLLDGDCINGGSSNSTGSSSNRKSHRKRGHSSMESNSWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDEVSLPNK >OB02G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15049941:15053061:1 gene:OB02G27970 transcript:OB02G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 [Source:Projected from Arabidopsis thaliana (AT1G76990) TAIR;Acc:AT1G76990] MLPYFDPEYENFSQRINPPRVCIDNSTCSDCTLVKVDSMNKNGILLEVVQVLSDLDLAISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKHIEKALGPDSNLLGGAKGGSSPVRSVGMHSIGGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEERPAVTVEHCEEKDYSVVNVKCRDRSKLLFDIVCTLTDMEYVVSHASVSSDGIYGLQELYIRRKDGRTLQKDEAERVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGEQAINVFYVRDASGQPVDMKTIEGLRVQIGQTVMLNVKKVPSSSAAKSPGQRPASGGAMSRTSFFSFGNLFAKLRA >OB02G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15053511:15055994:-1 gene:OB02G27980 transcript:OB02G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G77020) TAIR;Acc:AT1G77020] MAKETEFYDVLGVCPAASDDDIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISRENMLDGTVVFALLFGSELFEDYIGHLAMATMASSEMTSDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDKEGFANRAESEAKRLSNTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQGGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQREKEALPGPSKPTILDDDSSSDESSDDDVARTVPYRTPAVTQGIGRLFRCLCNPAYDVDDDFEPRK >OB02G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15061778:15085526:-1 gene:OB02G27990 transcript:OB02G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRGRPTSPTATGASPSPTLAGASAPAAARRRARXSAADSVSSRSSSDEATDHPNYRYTDPVVHTSGRTVLPNDHNSMVEESRFVSSGALLEHQKFFEVPIANVKEVHHMQNFEPSTSDSNYSRGRMLAEDTFGSRTRSHSPGPRGYAFAGSGARDFGFSPRSPVKRMDDPNSPSQPLPLPPVPVASSSLPSSSITSNQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDSNSKERLRQLNQEIDILKQLSHQNIVQYYGSEMADEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTRQILSGLAYLHGRNTLHRDIKGANILVGPNGEVKLADFGMAKHATSFAEICSFTGSPYWMAPEVVMNNKGYNLVVDIWSLGCTIIEMATAKHPWYPYEYMAAIFKIANTKLIPEIPECFSKEGKDFLSLCLKRDPAQRPSATWLLGHPFVDPQSVRAPTCNGTQLRNGISSPAGIGNRKPNRESSSKRNIAPLHGIAGLSAREFAGFSTAHPSPHNISSSPTAVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPSHPALSPGLAAAYPNNHPQNQSRRIAAVPDPLLESSQLRPPSPYGSPKRF >OB02G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15096707:15097141:-1 gene:OB02G28000 transcript:OB02G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGHSVHCFQRVQTPRCSTSSSSSSSSCVAPSSASSTHPLSTMTTNTSPCKKNNLQIIFIFAKKKELLKPLFTKKRWTRLFASAYIYKLKFKYLIFNLYILGFFNYSLFFSLNFEIPMKMYTKILGINYFLFTNMSFELFVK >OB02G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15106793:15109463:-1 gene:OB02G28010 transcript:OB02G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGHGNTYEELERAVVEYPEERKLPYLTPESTFKIVVDSFGKVISFQEQNEIMKSLTYIPFMGRVNLKNPDHKFFVMETDDYGCNNGLPPVVQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLAWPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVTPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFKLIATCEQILSMRYSRVLLTMVKVGPYTEEVEKAAEQRHREFRENHHKWMEEGNLHSTVFSPADQGAPVDRDSKPKYRGKYV >OB02G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15107362:15107598:1 gene:OB02G28020 transcript:OB02G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGEAVRCGRWDVAVPLLVWNCVRSNGALDELPATGLAASSPDSIWRITDDGIKNLIDHKASTVCLSYLVKTFKRGS >OB02G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15111255:15111608:1 gene:OB02G28030 transcript:OB02G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMFLQMVGLSSNTCRRATKRLQMNVSLNQKESTRLRAFQFHLHILLFFFFFTSHSECLPAQSFQCYGGKGHVQAMCGCKFSDYREGKELLPAKCLGDSHMAQFGIHLVESISGA >OB02G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15111106:15117729:-1 gene:OB02G28040 transcript:OB02G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRYLGVNQHGHDVGVVQANRPAPTRRAVYYFEMGVKNAGQKGQTSIGFTTENFKMRRQPGWESNSLGYHGDDGLLYRGGKSESFGPKFTSGDTVGAGINYFSQELFFTKNGSLVGSVQKEIKGPLYPTIAVHSQDEEATVNFGKEPFCFDIEGYIFKEKMKQQSVSDKLFLQTDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKLLRQLIMNGDIDSAFKRLGEWYPQVIKDETSVICFLLHSQRFIEFIRAGQLEDAVKYARSNLANFLTHKAFDGLLKESVTLLAYEKPAESCIGYLLDSPQREFVADAVNAAVLSTNPSMKDPESCLYSCLEKLLRQLTVCSFERRAFNGDQGDAFLLHKEVQTCDRSRCS >OB02G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15119460:15124868:1 gene:OB02G28050 transcript:OB02G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAGEEEEEEGSSPPVAAARVPPRIRRRLLQGRARGGAPATAEEIEAKLREADLRRQQFHEALSYKARRTVRCPPCSPQEEDPKQRLEAKLVAAHQKRLSLLAKEQNRLAKMDELRQAAKNDTELRFKKEREELGMKVESRVRQAEEKRIQLMHARSQRRAALEERTIKYLVQRVVWENKYRERVRSAIVQKRTAVEKRRTGLLEGEKKKAQGRLSQVQLAARTASCQRETDRSKLKEQLEDKLQRAKRQRAEYLKQRGSPHSFIYNASIKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINKESVKPMPFEELALCIESATVLQTTRAFLDRLESRFILSQSSSPSSPENIDPLLKRLGSPKMTLSNSGGRTRVAPTKVARNSVVSKLPRYSPRVVLCAYMILDHPSAVFNERGEREKLLMDSAENFVKEFELLIKTILDGSNGACILKQPTLDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVLWKAKDAKSLEEDLVRAACKLELSMMQTCKYTAEGQPENLNDNLKAIQKQVMVDQALLREKVRHLGGEAGIERMEVALSETRTKFFEAKGNMSYLPIPIKNVASTSSSGESHISDIMENSNTNDGRPSQVIQSLFRVPSSPSRSNIGEITISNPVTVSSTVPEKQPTENEQIVNEILHDFIADNNIENVEGGFKEKVRETMEKAFWDVVMDSLRGDMPDYSYLVQLVKEVGDALHEMAPKGWKEEITNNIDLEILSQVLESGTQDIQYLGQILHYSLGVLRKLSSPAKEDEMKRSHDKLLGELTVHTECNNGGPNSFVIAVIKGLRFTMEELKALKADVSRARIQLLEPIIKGSGGVEYLQKAFADRYGSPSNASAALRSTALWISTSKDTVEVEWNEHINSFSILPATDNAQPLVATLRSGHGVPDQQQSTIPAADDLELPECKGERLDKLIRIGLLQLISGIEGVQMQSIPETFKLNWLRLRSVQSQFQQVIVIATSMLVQRQVLATDDPSITPTELENATSELFNALTELLDNFANASTAKIMEAMIRSSTSTAGSTSSDEITESRKQMLTRVFLKSLQTDDAVFKKVSRSVYCAFRAVTLGGLGGGARGRKLGNGALRRIGATKLTGRVVKTAGVLIRAATISEQVHGPWYSHLL >OB02G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15125192:15126718:-1 gene:OB02G28060 transcript:OB02G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAQSMLSASSCCMFLRSSSKPAQGAAAAVAAALARGGCGGGRLYLLSCNASSQQQQQSSPSPATSPPPAEESDCNEEECAPEKEVGSLSAEWLAEERTKVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGVAGAAADGSENTAAIAGGLALIFVAAVSSILLQVGKTQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPRPGPQRRGPLAGARRRRRRAALLMNLLPIEKNFSFRYVKKCDDLKHQLVYVSSQRSSNPMYARANKLLIIWRICTCTW >OB02G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15128891:15132949:-1 gene:OB02G28070 transcript:OB02G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFSLLEPPKDIDPKEKVFQIRFTREIFREYQDYISRLNLYRQRVWTCKISGKSNLTFEEALVSEHHAVAKAQKLPTELMAPVLRMIQYSTVGLYELVDKIYASLQEYVFEGLELHAKQDGLEAACKILKILESGGTKMYEVGWLLRDKTIISISVIKGEDLIHRRPPVSRNTLKIFIRDATSQNNPWVIHENLAKRYGIPTEPPNDMMFDEGLQKKGRKRHEDGPKGNARKKMKNDEEHINVPVKYPMDDLLVRPSADDHVLSKRLPLATDFRVPRYSVGDLLMVWDFCLSFGRILNLSPFSLADLENAICHKESNTLLVEIHKSIFHLLIKDEGDYFTVLRNKKRKLKVTLVTWAEYLCDFLEMTKSEELSSNIATVRKGYYSLIDTDVKLKILRELVEEAITTSPVREKLSEWVDQRQTLAATKRECTRKAKDEQNSSIDAVQDDNGNVDEQGKGKEEKDKNNISRSKKNGKRHGHLEMEIDKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSREWGYYSTKEELDALMCSLNMKGIRERALKQQMDKLYSKISNALEKRSKEITNKLLLEEVVLRRSTRVQAQPRDNPSMAFLKYVNKWKDN >OB02G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15134968:15135183:-1 gene:OB02G28080 transcript:OB02G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKTKNKTKRIHPNRRKKFPPFLFFFSLSLSLSKISRSPRRPVSPIFSRLRPQKRPISKARAPNESTPKLRR >OB02G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15140197:15141341:1 gene:OB02G28090 transcript:OB02G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKRAREKDGYYSATPAREQKRPRRGKQHLYVALDDWDGGYSIHRLDADDILDDQEPAARGVLHRLPEAAAVRIASPRVDGGPIIFVDANPLLRGDRAPPTFVYDAETAALAIGPRVPERLYDLGESIAVGDGETLYDVTSVNFHDASLHAMSWEPNTAAGREPWEPDREWSWSRRPLAQPVPCEGGEILGYALHPDGRTIFMSTGHTTHSLDTIDGTWKELGDWGLPFRGQAYFDADLDAWVGLHRKYDRSVCCCTVPSGTRPPRCKLLREKLSRRKEEDPKHRPCLRGRPIYLAYMGDSRFALVEDIPAPLSDYDDGAGAGAVLHVTIFGLKYDDKGELRTKVRRATRSYAVSNNAFKFSHGALFWM >OB02G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15162464:15166811:-1 gene:OB02G28100 transcript:OB02G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCLHDDDDAVATPNRHFGSLCLSTDSIQSASRAKEIFDGQLRYVILSLRYSKLFFFSGCISIQSDMAFQVLMLLDLLQQIPDVSTDLDRIE >OB02G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15167940:15168191:-1 gene:OB02G28110 transcript:OB02G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCNGPGCSSSFVDGNGDKVDGTGAYPENAISTTKYTSASFVTIIGRAVLLYHFFLIIAYVSFSPLAPYYATSVLLQLIYRC >OB02G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15169615:15169933:-1 gene:OB02G28120 transcript:OB02G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LDU5] LILFAAVAIAIDAVATDTVGSWQTVDVIDPHVQELGRWAVAATGLPGLTFDRVTDGEKQVVAGVSYRLTLEASSSGAKDGRYEAVVYEQDGSNSRKLVSFEPIH >OB02G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15171564:15172574:1 gene:OB02G28130 transcript:OB02G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39920) TAIR;Acc:AT4G39920] PPSPPPPPPAAPRKPRALLVRLSRRGAAAPSLETPDASPVAAFLSRFAAAKLAAESALSACSRSSPEEDAQRSLSGAAAAIDDLDRLAAEASHLLPPYELRSALAAVSDLRAAHRLAASELRPKKSFSFRNKSKAPKNLPQDPPTLPPPPPPPEQPNPAVEAILPGLGFRGREGAILVKDLRASDEKDGDFTLADLVSCQVYLKGKYRALYIHRLRDCRVFVGAVFGSVLIEDVERCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCSGVRFAPHALNYKGIEEDLRDAGLEDDTGNWANVDDFKWLRAVQSPNWCLVPEEERLQTIDISDVLE >OB02G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15175994:15180649:1 gene:OB02G28140 transcript:OB02G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFCYWEFTIKLAMEGDEYDNDSVLSAANITLVVVGKLGCGKSATGNSILRRKAFVSEYSHVRVTNTCHIEGAILEDGRTIDVIDTPGLFDTTISTEDAGKEIVKCMSMAEDGIHAMLVVFSASSRFSLEDYSTIERIKEYFGEKIVDHMILAFTHGDLVGETKLKSMLNHAPEYLQKIVDLCQNRVVLFDNMTKDRRLQQKQVEKLLDVVDSISANNGGKLFSDPVPAHNKLSDKEQDCPRQNSDAITSKSMPHVDHKKIPIREATNHYFGNIHNTISQSSVIVDSVSFDDSHYSELRPVYRDGESFYRSFAFSYLEQIVDRKDTYEENRLLAAIGELASPAELFHWTSDFSRRRDTFQAMIEKIKGWKVMRDFPKSTISGEEFLLEFFSSYDTTDDIFAFLRLAAGIWMCSDDHRGMYEAPDRPVCSACTQWCLTQVIPPRVDAEGVAVSALAATLQVDIRVEHPNGENTEDNCSTASGTPRVTLLCVDSHYDILYPIPPAAATTADPAAKTSNGGADKREGDPAKSSSETAASASWLNCLLPAGCKKKQA >OB02G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15185994:15190782:1 gene:OB02G28150 transcript:OB02G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEYDDEWELPGADITLVLAGKLGCGKSATGNSIVGREAFVSEYSHSTVTNTCQMASTMLKDGRTLNVIDTPGLFDMNISSEDAGKEIVKCMNMAKDGIHALLVVFCATSRFSREDSSSIETIKEFFGEKIIDHMVLVFTYGDLVGENKLKRMLANAPEYLQNFVELCKNRVVLFDNMTEDPRLQAKQLEKLLDVVDSINSNNGGKPFSDQMISCVKEAHAREKEVRDVTGYTEEQMSELKREIYRTRDEQLSHITNMVEEKLSITIDKLQEQLMEEQNARLQSEVLAAEARLRSDEEIRKLKERLEKAQQENEEFRRMAQGRCYIL >OB02G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15191216:15195549:-1 gene:OB02G28160 transcript:OB02G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMSSCDCDDAAVDWRGRPCRPRHHGGMRAAAFALGMVALEMAAVAAVGNNLITYVFGEMHFPLAEAANVVTNFVGAVFLLALLGGFLADSYLGCFPTILAFSLVELAGLVFVAAFTKRKLIRHSSSSNNLAGDGGFRHADKFRFLDKACVRAARRSDGGGDAPSPESPWELCTEADVRQAKTLLAVAPIFACTIVFNTVLAQLQTFSVQQGAAMDTALPGFGFRMPPASLQAIPYAVMLVLVPAYELLLTPLSRRLLGRDAGAVTPLRRVGVGLFTVAFSMLRLQQKAMPWRSLPEN >OB02G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15216428:15222045:1 gene:OB02G28170 transcript:OB02G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3LDV0] MASSGAAAAGGGTGNSCDALYRELWHACAGPLVTVPRRGELVYYFPQGHMEQLEASTDQQLDQHLPMFNLPSKILCKVVNVELRAETDSDEVYAQIMLHPESDQNEPTKPQPDPHEPEKCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSLNKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMPTSPWANSDWRSLKVQWDEPSVVPRPDRVSPWELEPLAVSNTQPLPQPPARNKRARPPASNSIAPELPPVFGLWKSPAESTQGFSFSGLQRTHELYPSSPNSIFSTSLNVGFSTKNESSALTNKHFYWPMRETRADSYSVSINKVPSEKKREPSSAGCRLFGIEIGSAVEATSPVPAVSGVGQDQPAASVDAESDQLSQPSHANKSDAPAASSEPSPHETQSRPVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRCKLEEMFDIQGELAASLKKWKVVYTDDEDDMMLVGDDPWPEFCSMVKRIYIYTYEEAKQLIPKSKLPIIGDAKQNPNKQSPESDMPHSDLDSTTPVTDKDC >OB02G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15222653:15225493:-1 gene:OB02G28180 transcript:OB02G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAASPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGIKKEMREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPAPKAASVDPVDLEAQAADEDSSLDAQYQESNGGENTRQDGETLEQGSEGQTHQAEEPRVDTETASSVRVVATKPQLEAEGSQSRVSRPCKPRK >OB02G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15228710:15233616:1 gene:OB02G28190 transcript:OB02G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPKKAKENQPIQQEDRPTSNPFPPQSIAFASQKTESSLSAQEVLEKVAQETPNFGTEQDKAHCPFHLKTGSCRFGVRCSRVHFYPDKSCTLLMRNMYNGPGLASEQDEGLESTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVNYKSLDSALLAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPKYWIRKMAALFGHSDDAVYGKGSDTPCFDHSWSSDRRRRSYDPSRYTPSRSRNEDVHKQHSSRDYSHSKQERRSHTEHIRDRRESSASDKHRSREIKDKISKYSSNIESERESQKNARGERHRSAHEERHGSDPGEGEKGDHGKVRFRNNGSERQDSLEPGSSDRHFDFTDADSTKSPSGSKSTGTGRHRRKSRRQSLEDPNLERHRPTSRKSTEEHGAKMSSRRRYIEDSYHEKYDGRGQSVEHRDHTDASGDRWVATNSDVDSDLETRHEKSSSKLERKGDAPSDAEKTGYERSSGRTTKSRRERKRQSGSSSEHGDSEEEVTSDQDARDMSSGAWRSRSRSSDEKLSSHRSRRKRSRSSHDS >OB02G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15236339:15242461:-1 gene:OB02G28200 transcript:OB02G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT1G71350) TAIR;Acc:AT1G71350] MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVEVTVAKYPNRVLVYGIEGEFPMLFDVDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFQAGQPWSVKVPGNPAPIAVGATTISSNEALQAGLRGKALRIVHYFKDSLWDSADGRYVPNEGFYDDIVIEDPNYASLSQPSGSSEDHAEGIHDSTIESEEAAVDVSESHTTDHPIHAEAIEDLTAGVNEVKLSEDRATEEPTEEREHQNMSTEEIDSLLDKCLLQALHMSIKDKDLPIPGSTLWSNHVLPCRPPGVTLDIKKSSHKKLSKWLQSKSAAGLISAKEDKYKKEVMLLGINRGHPDYMAFKPEKKVQEPVEQRENVVAEGSSTKQLEVAEIYKPSSHVNPIFMAVGADTGKYYSASEASDVAFRYVEKEILVKPTDKAKVILDAALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARENEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDADSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRFIEVLDKTKR >OB02G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15243519:15246868:1 gene:OB02G28210 transcript:OB02G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAKAVVEKKQVPDIIVNNAGTINKNNKTWCVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSAALYQSTEQW >OB02G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15249429:15252306:-1 gene:OB02G28220 transcript:OB02G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAAVRVLVVDDSPVDRRVVELLLRAHCCGGGEAAPFHGSVHGDEKSPLPPLPCSTLLSPSLMNSCCRTEEAIDIVLTDYCMPEMTGYDLLKAIKALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKINDVQRLRKCSGVRPKGSAAAAAVAAADDDRCNTLSSSSTTTRKKMASDHTAKKVMNASSFEVSHYFQIIFKFILLAYAVLCLSHLLHRWSNGSLLSLWCA >OB02G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15271880:15272116:1 gene:OB02G28230 transcript:OB02G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRYHCLCRTICLHTWAASWGHPSCKQRVWRLHFCLRVRVFLVVHEYYCIATVSYYKIILSYLILYDTHIKHINRSINK >OB02G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15272569:15277851:-1 gene:OB02G28240 transcript:OB02G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3LDV7] MDGHHSPRRPPEREGSHNYDIESTDGGGGPWRQNVSSSEALLRYSNNGGGGGSAREPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKTQIFQYVILKWTLVLLIGLLTGLVGFLNNLAVENIAGFKLLLTGNLMLKERYLTAFFVYGGCNLILAASAAAICAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGKGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKLLRVYSIINERGAPFKILLTIAISIITSMCSYGLPWLAACTPCPVDAAEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGIVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISNLDPGLFALLGSASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADIFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVANIVHALKITGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLKSKMFMKDQVKTSGSFVLQRFGAFDFAKPGSGKGLTIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >OB02G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15285047:15286439:-1 gene:OB02G28250 transcript:OB02G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPALCGLSALFPLSRRVAHKVIDEMPPSGLLPCRVVSAGAAAMEKPLPLMGSWSRLLHGGRGGGQEKEGEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRARRENPCRVLEQPPENGLLVPHFVGVAHQVHGAWESLLRGLTRLVEGGDAAVPVRRCRFCPEVHVGGAGHEIRTCDGAGSAARNALHVWRPGTVRDVVGFPYCYHLFDRVGKPREATVDDLVRPNYVWHAPAGHDGDGNGDPLANELKRYYGKAPAVVELCVRAGAAVPAEYRSMMRLDVVPPARDEHDLVA >OB02G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15287073:15287515:1 gene:OB02G28260 transcript:OB02G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALNEEYAAEDASVGDGDELAVIPPISGG >OB02G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15288524:15291865:1 gene:OB02G28270 transcript:OB02G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAFGAMDSVISKLTGLLTFEYKLPNKVKEDIISMKSELESMRAFLKKMSEVEDELDEQVKCWRMEVRELSYDIEDYINKFVVRLKDEPRCELHGITSFIDQVGKVIASIRNRHQIAKEIQGIRACVGEASRRHKRYKVDDTLCKPTKVTFDPCLPALYKDASDLVGIDGPKDELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTANQVYHNLEGIFQSKAFVTVSQKPDIMKILREMLSGIGYNGLEVAWDEGKLIHEVRKHLRFVRYFVVLDDIWSISAWEILRCALPENNRGSRIVITTRIIDIAKACCAPHHCDIYHLKPLDNATSRRLFFKRIGGSEDSLPSHVKGVAEKILKKCGGMPLAIISVASLLATKEQTKEQWENVKISLESGLDKHTGFEGMNWILSLSYRHLPQHLKTCMLYLCMFPEDYIISKDMLVQQWIAEGFVCPEHGRSLEEVGYNYFSELINRSMTQPVDIEYNGEVMSCRVHDMIRSLIISKSNQENFVNIFTTSKVASVMTSGKIRRLSVQYIDEECVMMPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENYHLRDIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPATIVRLQRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSIASLQELGSLSNLRVLVMAWRSFGMIGNVRSYNDNLISSLGRLGTCSLQSVYIQGYNSSLQDFSLDSWCPTPSLLQKFVASKCLSVIPNWVGSLINLSYVHVDVLRAVKRDLDILGELPNLLFLRLGSGTAPQENLIIHSQCFRCLKEFRFMCLLSEGLEFQARAMPRLERLCFQFVAWEIASATGCFDFGIQHLLSLKEAVLKIDCFAAWAGEGDAAEAAIRNSARALPNNPSLNIERFSASDGDMEEDLGFVVLGRRMQQRMAPQAET >OB02G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15292416:15295545:-1 gene:OB02G28280 transcript:OB02G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEAEVEEEEEDGATRSRGELEFAPGEENLDSDATVGAYLSQFESLEDSDVQLGDGGVTAAGGSSPPETLGIDGAMETLQEEPVQSSCGEPKGLAKDGSLPLVQSLDGRSSLPEAAEVGDAMGTVEEEPVQGNSGNAASGCGEPDGEAKDGYLPPAQAPGVGEGDKQVVVWWRLPFRLLQCCAWKVKPVWSFSIAAALLGIFVLGRRMYRMRRKARGLPHIKIAFDDKKTSQFADRTARLNEAFFVARRIPMLRTTSGAVFPWSMVQER >OB02G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15297506:15301337:-1 gene:OB02G28290 transcript:OB02G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGHRFSGWSYSDVPYNDHHTQEDASVQKMVLDHGSVSFGRFAADSLSWEKRSVFDHNRRQEELSNLTMPGLVAQKKAFFEEYYKRARLLKAQEAICQTEATSEEGTDHYDAGGHNIQEPKLPSDCSEDPVVGAPSSSFEPSTGVSSSDGKKCQDPHGLGYLTFNPLFSQITGLQNTRQEEGSVSDQKQHADGDFPCATHTNTKDVLNCELLERKVLAPKHVASNDNGENVAVSRIVLPIASLQSGCLKIDLEKKESRKNNVITNRSTKISKEPSTSLIHIPRIDSRRNSKNKNSQDLRDPFHKRVEMKLRALSDRMNADRAAASSRSAFHQHIDRAVTSSRSSITSCRSSTYQNGDRVATSSRSALCQNADRVCTYSKSAPQASHSSLREPHGRSTLSRAVFVNKGSYVSHIALSNASTTEKFAASRPKHSVVPNSSQNTNTFRTSQVTLKRSAGVSCINNGPQNKRKQLSTPSKWDESKLNRGYARMSSPSSARSSSVGILPYKTVKAPKISNVKNVVAKKSISGSHPAGGTNVQSKCVASCNEQKRKVIPLRTSSLSSSTRNNLTCGPSMSKSNPRQERPRWR >OB02G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15304475:15304582:-1 gene:OB02G28300 transcript:OB02G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMLLDPPKWGPDGGDAADGSQSWAHGSLWDGC >OB02G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15304744:15304995:-1 gene:OB02G28310 transcript:OB02G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQAAAAPGMAGGGGGGPQYRGVRRRKWGKWVSEIRQPGTKVRIWLGSFDSAEMAAVAHDVAALRLRGRDARLNFPGSVDRL >OB02G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15315374:15317279:1 gene:OB02G28320 transcript:OB02G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLAGLAATPGLRFTEPQVKCFMAQILAGLRHCHDRGVLHRDIKGANLLIDGAGVLKIADFGLATFFDPARPQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSHRGTAAAALDSDFFRTKPLACDPARLPKLPPSKEYDAKLRGKEATRQNTAAIGGQGSMSVKPGRNESKAAPAQDAIGGDHQRRQAARVVNPKSASHHYSSLEDSVPGFRMEPSAGPPATMQSGGFGSTWYRKDAADPRAPSRTVSSSVRVSNAAAGAPHLISQRSYAQSRGTDLHPSTSAARHANSRYNRLDVAEPANALDRPGTTSAHQKDLSAAAPATGFGGRNRRIHYSGPLVPPGGNMEDMLREHERQIQQAVRKARVDKERTNSSSSSNNRQHY >OB02G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15318005:15320239:-1 gene:OB02G28330 transcript:OB02G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPLLTPYTMGRFHLAHRVVHAPLTRSRCYNSIPREHVALYYSQRATEGGLLIAEATGVSETAQGYPNTPGVWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVGRASTNDYQPNGQAPISCTGKKIAPTVLKDGTIEEFSAPRRLREDEIPQIVSDFQLAARNCIETGFDGVEIHCAFGYLIEQFMKDSVNDRSDKYGGSIENRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGIYMVQAMNKLGVLYCSMAEPEVVKVDGRVQIPYKLWHFRKAFSGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPKRFELNAPLNKYDRSTFYTSDPVVGYTDYPFLIPSL >OB02G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15325591:15326371:-1 gene:OB02G28340 transcript:OB02G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like [Source:Projected from Arabidopsis thaliana (AT4G21192) TAIR;Acc:AT4G21192] MHPPLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKFKEQLLAYKREIAETNQG >OB02G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15334517:15335838:1 gene:OB02G28350 transcript:OB02G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVVERAESLRVGGVALNLHANGWRALEELGVADDLRRTATLITSKENRCLRRKDVVEALAKNVTAGTIRYGCQVVAIHQDPATNGALLTTADGKTIKAKVHAPPPRPYVKIHSSWRRSRRRCRRWCWSRRRSRRRNTHIHVKRVGYGSNFLYPLKIQRVWVYPQTKRVWRYFCPLCPIIPVPNHVFDSSGELVMASAAAGHGAGGGFGGGGGGGIGGGR >OB02G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15338328:15340310:1 gene:OB02G28360 transcript:OB02G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIRDDFTVGVVPVDGNLVHFFASRAARGDTCAGAGADDVKSEEAAARAYVLEKVEEFPAGGEVAEMVSRRRFDPATSWTLTKAWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDSRPDENYDCGRL >OB02G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15344767:15345692:-1 gene:OB02G28370 transcript:OB02G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVEQGGSSSSAAAAAAVPPAGQAAMTVGGIVTVATVLLVFAAFTLAFVLLQCYCDDRRRAVSTSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAKGEDGVECAVCLAELEDGEEARFLPRCGHGFHAECVDVWLESHSTCPLCRLTVVPSPPPPLRPPLPPVPPEPPANYTVNLPASFLLGLSDQHQGAVTMTPEDSTSPSAGATAVLVIEVPDPEPAASTPRNAAARSPGLARLRSLKRLWSFGRQGAAAGSTSSCNSTAVRVDGAVDSATPTLPLPEADETGARTTAAAVYVRNCH >OB02G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15354598:15359167:1 gene:OB02G28380 transcript:OB02G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPARHPSASSSSYRQQEPRAENPLLLLPSSRAAKLSLGCPVLDRLLSGGLPAASVIEIAGESASGKTQLCLQLALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAPHPPPDLISLLSRAQRLLDHPGGSPRRLPVRLILIDSIASLFRADFDASPADLKRRSALFFRISAKLKELAHRHQCVVVVTNQVVDVVEGEAGNTVAWSSGRRVSPALGLAWANCVNTRLFLTRDADGSVNTRRMKVAFAPHLPERACEFVIRRDGVFGVEPSESYYTVMGDMLVHSGNKKSTCLACKASYPKSKNILTRRKSKQCVRCMIICKMVQLKFMDEQMDNEELNLSLSLQPSYPSRFRAEFLCCYCPKRFWSSQALGGHQNAHKLQRNLAKRNREAFLAISQRKGANAGIEDGSSAESACKISGGKKHHEEVWQALQGSSGSSSSSGTVMHKDIKQDVQDEDLSNGMVDLSLKL >OB02G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15369407:15374632:1 gene:OB02G28390 transcript:OB02G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGSGGGGGGDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNKEEEEDWTEEEFEGHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASAIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNNPASYGSPSTQKQLSQPAKAGQTSTGTTSEADGSTISYNGNQAGHYTANSQSIPANVTTQYERSSLTPESQLNGSALQNQASRGSNMLALGPPQQHQQQNFEFSALGGQSMQPTGMNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFSFPNYMPSTPPNFNYADDRARPSGRAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >OB02G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15374936:15378327:-1 gene:OB02G28400 transcript:OB02G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHECSASHVHGSGPVQESNGCMANRRTDEHGQIDFGHSLQLVLFSRQWDLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLKGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGDRLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYRANCSTHQEVQFHLSAFKTFLDIAGDNLSGKIFTEAFDAACFPLTLFSTLFEPGWSSGSSAVAIKGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYCKFETMECLVDEGHATSFLCPLVKASERGCLQVAQWFVNRGVPDIEMCLAVTTAASCGHFAVASYLLAHIPRHVLEALSTQILKAARGQGRGSFEGVAFLLRSNFLNDAASTYAAADSIATTSDMDVPQDLVAFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPILLHDLPEPITLAITYLPLYRACAAASGQLLPQRLRGELVEAVGRLGIPFPANMENNGKDLLAVLEHYLPSFVI >OB02G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15384001:15390755:-1 gene:OB02G28410 transcript:OB02G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3LDX4] MAGGGGAKAGGGDAPEITLEHTPTWIVAAVCSVIVIISLLFERLLHRLGKRLKNSRKKPLYEGLLKVKEELMLLGFISLLLTVFQGATQKICVKEGVMRHLQPCKLDFGTSPAKATAHFAGVLGGARRLLAGAAKSDYCEKKGLVPILSVQAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRKWQHWESKIQTSNENGPQMIKHVQEFQFIKDHFRGHGKRWKTFGWLRSFFKQFYGSVTEEDYITMRLGFIMKHCRGNPKFNFYKYMIRALEADFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFVMLLVVGTKLEHIITELAHQVAEKHTAIEGELVVSPSDELFWFHRPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFNSCIMGNKGYVIARLVISVVSQLLCGYSSLPLYAIVSHMGTSFKKVIFDENVAEGLANWAQKARNNARGGARTQNVGDSPVDESNGGAVQMTSPPTRAVQQGTARLI >OB02G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15404532:15409586:1 gene:OB02G28420 transcript:OB02G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G25530) TAIR;Acc:AT3G25530] MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKCQELVALGAAVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGDGKGYVDMSTVDATTSCQISQAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKVLYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLSLADTSGLSPQTLLDVLDLGAVTNPMFKLKGPSMLQGNYSPAFPLKHQQKDMRLALSLGDENAISMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGAGGSGQA >OB02G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15420186:15421321:1 gene:OB02G28430 transcript:OB02G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAIERQGALRAAPWREPEAAELIPGLPDDVAMECLARVPIRSHRAMRHVCRGWRRAAAAAAVFVFGKADDGKHAAWVMDAGGARWRRVPVPPGFGGFVYSAASVRV >OB02G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15426580:15429219:-1 gene:OB02G28440 transcript:OB02G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGPGLSRSGAHETTLSGSLARSLADVYARRQMAMAEAAAAAAPAPAAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFIKVNPMRFVPALVDGDAVIGDSYAIALYLEDKYPGSPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEQKVGAGESIPWTQQQIDRGFTAVENLVKDSAGKYATGDVVQLADVFLAPQTYAAVTRFQINMSDYPTLARLHDEYMKHPAFQAALPERQPDAPSST >OB02G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15429449:15432570:1 gene:OB02G28450 transcript:OB02G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGGGGGAAANAAVHHNARSAEDVFRDFRARRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGIAKKQSKVSNGSSKSNKSNSKPSKQPNPNSKPAKPAQPKDEEDSGQDQEGGGEDEDQAYMCGACGETYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >OB02G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15435032:15436534:-1 gene:OB02G28460 transcript:OB02G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDCPPDEFTYSILLEGICERSGYKSALKHLEKMRVEGCTPNIFTYNVLINAMCKEEHIDDAIDLFNALPSYGCKPDAVSYTTVLKGLLTAGQWEEAEELMAEMVQKGCPPNVVTFTTLISYLCQKGLVDLAVKVLEQMPEHGCMPNTVTYNCIIDGLCKERRIDNAMKLLNSMQSGDCKPDIVTYNTILKGLCIIEQWEDAKEIMTEMVRDNCPPNEVTFNTIINFLCQKGLLEGIIEFLQQMLEYGCTPNSVTYTTMINGFCNTGANRLYQNGQPPKALTPHVLVSSLCKKGLLIQAIEILRLMPEKGYVPNLLTYNIVIGGLSKAGRMQEALDMLDEMKRFCVPEVFTYSKIIASLSKAGKMEEALDLLNDIVLKGLIPDTVTYQSLALGVCRENSIVKAVRMFHRMEDMGVSPNSMFYNAVLLGLCKNQKTDHAIDLLAYMVGSNCMPDESTYVILVEGLAREGFLEEAKELINKLGCKGVLNKSFMEEVRQLS >OB02G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15441290:15443083:-1 gene:OB02G28470 transcript:OB02G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYCRSGDIGAARRVMGAMPFAPDTFTYNPIVRALCVRGRVRDALEVFDDMVHRGCSPSVVTYSILLEATCKESGYRQAMLLLDEMRFKGCEPDIVTYNVLINSMCNEGDVDEAIKLLNCLPSYGCKPDAVTYTPVLKSLCSSEQLEKAEELLTKMVSNSCDLDVVTFNAIITSFCEKGLVDRAIEVVEHMSKHGCTPDIVTYSTIIKGLCNERRVEDAIKLLRDLQSYGCKPDIVTYTTVLRGLCAIERWEDAEELLAEMVRNNCPPDEVTFNTIITSLCHKGLVSRATRVVDQMSKHGCIPDIVTYNCIIYGLCNKKCVDDALKLLKSLQFYGCKPNIITYNTVLKGLCTVERWDDTEKLILEMIQKDCPPDEVTFTTVITSLCKKKLILQAIEILKQIHEKGHIPNSSTYSIIVDQLTKAVKAHGALEILDDIRNGCTLDMHTYNTLIASFAKSGKTEEALDLLNVMVTKGLYPDTATYKSLAYGLSREDELHSAIEIFRRVQDIGLSPDTDLCNAVLINLCTNSRADPAIDFFVYMISNGCMPDESTYIILIEGLAHEGFLKEAKEVLGNLCSRGLLNKSLIEGVEPYSSG >OB02G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15443783:15444037:-1 gene:OB02G28480 transcript:OB02G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSPGVAWRSWFPTIGCLLHSSLRRKNSPVAFSPFPPVAIASSSAAAASLLPRSLSLSPRRALDTHAHESPTTSSRMQPERP >OB02G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15446452:15447855:-1 gene:OB02G28490 transcript:OB02G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARFFPKNAVHSVQPYALLGGDAESPLDARGNDGDGTDRSDRVQGVRENAAKKEMLGIRGDHEPAVEYIERGGVWEPVDSLDDGDDNNSSDHGWNFDNITSDLEEDMALGDVGFRPKQRAMDGREGRKGGMARWAATTSMAASVNGVRDRYGNDFSLSPEGTSQYHLGQRWQARNSGGRGNRPSGRGPALNTGVSGAIRKDRTVGGTSFSDSEVTRDGFEPKWRARNREGTTNGVRRWKPNGSSANVPTKGWMGDDFGSNSDSGRDFMLEPKWKTRNRLNRSENNDGHANAPKKGWMDDDFGSNSETTRDTMLEPKWETLNRSNQSKYDSGKRELKYSPNSYNRERPERSMRGSNGNGRRDRFVNRFASDLEEPKWKPRRKDGTRTNSGSREHVDSTNGRFRRSSNGAARLLDARPLDTNSGASGEDGGHRTSRNGGRRSRGNGYSLRPTSDLRSPGRERGSDEM >OB02G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15447574:15448359:1 gene:OB02G28500 transcript:OB02G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARIVVITVIQAIYWLPHSTSFDVFNRRLVITTDTKHFLLGRILTNTLHAVTPVRPIAVVTASVQWALSVATEKRVGLDAMDSVLGEEAGGGHAGARGREDLRPVAVVPEVDAAGAVETGGQSVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNGRGPPPMRMARSPRRSGARGRASQGNGIRGPWEESERSEDNGAAAAAAAARSCMVARAW >OB02G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15449546:15458606:1 gene:OB02G28510 transcript:OB02G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT1G33360) TAIR;Acc:AT1G33360] MRTSICKREACEGATLHEEEAAKTRHRGLMEQATIPYYLEQQLINRNEEMWRSFLPPFFSDTIADLGCLVLVKSGAEWVPCRIGFKAFSIYHSLTPVVENVLAESRMMIPEDPEFRNRLVTALFDLKPTLAELELKEPHEHGAEVQEAERTVAGIEDGTFVEIGRVRADVLPPDAVRVRDPSFWEAIRGSSSSRDDGEGGGVAVRVPPGPPFHPNLNVVRVAGGGGGGGGAGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSVQKGSGADLGGFDGEADDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGVSSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIISKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGSVDQPGCGAKILYGDGAFDRYLSEMKAAGDAAGSEVDREAELSSSRAMGM >OB02G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15461668:15465246:-1 gene:OB02G28520 transcript:OB02G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G23550) TAIR;Acc:AT5G23550] MDTMRGALERAKMLVGMEVDEESALPPPDEQSFFDDVTRHCALTTTERLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAVYIASIIIALFCALFVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF >OB02G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15467891:15470326:-1 gene:OB02G28530 transcript:OB02G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETHQSFGFGRLSNGDLLQEADGSKYQQLLGAGRSSSQLLLHDDIDFDSGKLLSFAPGQQVTPCIDSLQVDQKEFSGLHHLNLSSLMSGSLASFNATQSHRQPTEARSDKNDSAAPFVNLSEVLPNGNGGSAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKDLQELVPNSNKTNKASMLDEIIDYVKFLQLQVKVLSMSRLGAAEAVVPLLTESQTESSGLLLSPRSGERQAGAGLLGGGQPELQDGATFEQEVVQLMENNMTTAMQYLQSKGLCLMPVALASAISAQKGTSSAAVRPEKNGGGGGGEEEEEVKGAFHALRPPPLGRPKEMKSRA >OB02G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15479398:15479781:-1 gene:OB02G28540 transcript:OB02G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRLEAARELEDQRVQFFLKMQMELSKANTASSSATAAAVAAAATAVAADVNGTRRTPVATDVGASSNHHVRYRFKDSGRHRQPAQQPQYSENNVAGAARGTGNGSDTDNKDDEDEAEDEEDESQ >OB02G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15482240:15484940:1 gene:OB02G28550 transcript:OB02G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:J3LDY8] MGCAATSPPATRLLICLLLLLLFHLHGCWSCGAVERERTLAMIKPDGLSGNHTERIKGVILESGFDIVKEAVVRLDAERASLFYAEHSGRSFFDSLVKYMTSGPVLVMVLERPDAILHWRVLIGPTDARKAKTSHPNSIRAMCGVDSQKNCVHGSDSPLSAAREISFFFGDVRSDSVEHDEL >OB02G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15496024:15499587:-1 gene:OB02G28560 transcript:OB02G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRAAVVAVAALLVLLVLVFYPGRFPENRGVLLVCIALYAALNVVLQIVSYTKEKNAILFAYPPEGLFNSTGLVLSSKLPRLSDLYTLTIASADPQYKSSTDRVHFTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNRSK >OB02G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15501757:15503421:1 gene:OB02G28570 transcript:OB02G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPPPPAISSLLSFASYHPYPSLPAHRPASSPRPRLRIAGSASAAAAAAPNNAVSHRASSSSSGDRLRALVRRGELDEALRLVESSRGLEGGTCAALIKKLCASGRTAEARRVLAACDPDVMAYNSMVAGYCGAGQLDAARRLVAEMPMEPDAYTYNTLIRGLCGRGRTANALAVLDDMLRRRCVPDVVTYTILLEATCKRNGYKQAMKLLDEMRAKGCTPDIVTYNVVVNGICQEGRVDDAMEFLKNLPSYGCDPNTVSYNIVLKGLCTAERWEDAEVLMAEMGQKGCPPNVVTFNMLISFLCRKGLVEPAMEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAIELLHQLKDKGCTPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIASGLCREDRIEDAIGAFCKVQDMGIRPNTVLYNAILLGLCKRRETHGAIDLFVCMIGNGCMPNESTYTILIEGLAYEGLIKEARELLDELCSRGVMRKRLVNKGAIRLLD >OB02G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15506229:15508177:-1 gene:OB02G28580 transcript:OB02G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGDAEEDSYGPPANQAAPPPNFNAPGNRGGPRGPGAPRQGGPPKPGNIDVPAIPFDELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFTAQIAMVSKLKNEYFLELMGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPPQQNA >OB02G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15509949:15510428:1 gene:OB02G28590 transcript:OB02G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRSGGRRWRWRRRLLLRRWMGAAPAAGRMGERREFESSRLRRWTVPKVSWAMTPLMTSFGGVDLPVLPPLPALQRVRGGEHQPVPLVGAGGRRLLALLHRPCAAEVALQLFVQALEVDLVGPHEPTIIAALAPSFILPAVWWCRCAWRQSKQVSI >OB02G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15510777:15510938:1 gene:OB02G28600 transcript:OB02G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWIGTMASDEEGNIMRRPFCRHYLDILSPSREHLQMYELAEIGGFGSLPIL >OB02G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15515852:15517955:-1 gene:OB02G28610 transcript:OB02G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLCLLDMELELSLGDSPAPVKATTVVPTPVPPPTCMSEGEGLELVLGVRASSRDEQDSQATCTQSSEEAMEGSVEDEARTHGEAPLESLSLPLFVFFAEPLTGSENSELCTRGLDVNTRPADGGAAEVRPSSSPPSMLEASTRQQAADQLEAADEESGGGGARKKLRLSKEQSSFLEDSFREHSTLTLKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQMEVDCEQLKRYCERLTQENRRLQREVAELRVLRTTPSTYPPLYGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVWSPAAGSPAASAALFARRPHFGPFAAVIPPVLRRQPSATS >OB02G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15524949:15525194:-1 gene:OB02G28620 transcript:OB02G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWTVIFAVNSLPVYQHAAMFAFFSRVQFLVGQHSKTRIHCFLLCSVQTASSTMYVISRKSGSTLYLSSPSFYLIAGGRR >OB02G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15539887:15541872:1 gene:OB02G28630 transcript:OB02G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAGSFRVLACLLFPCALAEAAYKAYWYCAAPPFRSPWWSAAACVLELASWAYRTAVFFIVCVLFRTICYLQILRMKGFVREFCRFTDVAAVLERHRVIRKQLHKISHRYRRFILWCLVLVTASQFSALLATTRPHAQINLATAGELALCSISLVAGLLVCLQSAAKITHKTQAITSVAAGWHADATINAFNNDQENPNPDLPAIIGYMAPANAYWMASGEESDYESSASSSSDDESGDSKYIPFQNNNICFQKRQALVTYLENNRGGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >OB02G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15543142:15544230:-1 gene:OB02G28640 transcript:OB02G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRSRNLPARRHRLSSSSCELPAFCWKTKGTGEQNDMALVSEKKEWKGATCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNYQHSNCLEHFKEAYAKEKLAHGVLTESSPGLPLSSNAQPASKQPCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSYIGSYKELCKHVNSKHPSAKPREVDPAHADEWKKFECERERQDAISTVRAMTPGAVIMGDYVVEFNGGSNNNLLSDGDDLEERLNFFTSLDRTLNERLDFYESSDGSLDESIDFLASLFGHGRRIATSDSYNRAYRRYRERPRRNITASSVATPDIQHDPANARRGRVGSIRAIGRTSRRHHPMLSHARSTHGS >OB02G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15552380:15556590:1 gene:OB02G28650 transcript:OB02G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLMQGQVTTTGATNLWTVASTRPGPDNQGRGGSSKNHSSGHSRDQNSRASSSRVSGSNGPRKHDRDGMGKSRGYASFGRNREREREKDFDSRDRESRSVTTERDGFASFSTCRPERDRLNRSRPKTDTWNKGVVSPKNCNTSRSNTGGVSFEREFPQLSFEDKNGRQDISRVPSPSITSPIQRIPPVAAPDRWNSVLADVPVSSEPKKNFVVSSVSRPAPSKQPEAAPSSGTSLSMAETVMQLPLRISVGPQVSTEAQKIEEITLRQNTLRPMTSPAIKSSITSSSKTKGARNGDSSGPSKATHQSLIPSTNGSARAPVKTDLSKLSQPGSFKILTREQKCATNTAKDCPDNPMSPPPAPIASVEPLKKPCVSQKLKVAPHDIPLSPLQGAYVDKKLNPRDKHKFFESLRTKSSNGANSTVESGCPLLSSVADVKCVGNGKCSCEESNSSDGSQRHLSDNENNSSLEHTADGVSHNLLLERSNSSCEPADRGAEFRVFLSNNTEGSSSSAAADSDDGYKRSHSGSEEASSSSEATELGDDEHPAEDSLPADFVAFMISLGWEKDKVVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDNELQKPNAGTKTKA >OB02G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15556947:15562051:-1 gene:OB02G28660 transcript:OB02G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LDZ9] MKGKGGALDRRSSARWRVLLLCAFCFGLGMLFTDRFWTAPDTSNHIMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRSGGSPVTSESSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGAKLQQLEDQKGIVIRFTIGHSATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWTCSGICKSVERIKEVHARCGEGDSAVWSALV >OB02G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15567918:15569481:-1 gene:OB02G28670 transcript:OB02G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNCVKREDVNYNYYSPYDDSEWRLKLWLFVSYVVSFVSLAGAVGFLVQDALTDTGPSAWTGVAGVLQSVFVLISGLMYWTCHSED >OB02G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15570203:15571959:-1 gene:OB02G28680 transcript:OB02G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDDDGGGGEAYVPSSPLAAQQAEEADPSDLNRLFGECYDNLMKALQENNPSWPSLMLKLCTALKTADKLVISANKDAEQLLQKVETLEHVLERGDHAVAAIVESLQSSHLSENSQSSKSKSGGK >OB02G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15572248:15574534:-1 gene:OB02G28690 transcript:OB02G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07700) TAIR;Acc:AT1G07700] MITASLSLPATSSSSSVSGRRSLPPATAFPAAPPLRPRLRHRLLCSAASASSTGSDEGAGGSTNGSLPGLPPVAEEEEEEDDDDEFCPVECVTEFKTDEEFLRVLERSKATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDDGAPVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKDGVLLEAFATRDKGRIIAAIQKYTSSSSPPPAESQESQEG >OB02G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15578459:15604164:-1 gene:OB02G28700 transcript:OB02G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEREREGDAPXELQTGLFSQAKKGEDFTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNILSAVPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNIVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIVPVPYVKQIKLSTTGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKV >OB02G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15582675:15610963:1 gene:OB02G28710 transcript:OB02G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQPPAGGGSGGNGAGDENRTIWVGDLQYWMDENYLHNCFGSGGEVVTIKVIRNRHSGVSEGYGFVEFCSHASAEKALQNFSGHLMPNTDRAFKINWASYSMGEKRSELASDYSIFVGDLAVDVTDEMLMTLFAKKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGEYCSSRPIRIGPATPRRSSGDSGSSPPRQSDNDSTNRTIYVGGLDPNVTEDELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPPFYGGYGYASPLPHPNMYAAAYGAYPYYGNQQLVS >OB02G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15613762:15615517:-1 gene:OB02G28720 transcript:OB02G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVRGFMKFNLEKESIFLSVINCSDVHGVFRGLYIPFCAEPEDYSSR >OB02G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15613943:15614722:1 gene:OB02G28730 transcript:OB02G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAFITRWSSSTFSGPSSPPRRRRAPCHLLAPPRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGVRRRAGLDERRGARQRVADLDLLGEEHLHHHPQRQPLVLRRVHARVERQLLAVHHPAQPLPLVLRQRRVRLHPPIAINDDNTPATMTTDRSIDRSPETSLVTLR >OB02G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15617755:15619210:-1 gene:OB02G28740 transcript:OB02G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESHGGERGLVPVGGGGGSGRHEALKNDGFVRRDHSWYVNSDIPSDLLTKVGEVNFYLHKYPMISRSGRMSRAIYESATAEEAAAADGGAAAVVVELDDLPGGAESFELAARVFSRGGGGPIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAAGTRRSRARAGRPCRRRTGGSRTCPCSGSTISCASSPPSRSKVAELLIYTKVYGFNLHFGTLHSLGEFLTKKLTNTGFLNSE >OB02G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15630214:15630519:-1 gene:OB02G28750 transcript:OB02G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDEEVLLDLIEMGAAGKESRLRGEGRRGGREAACRREAQGCGRGDRWAALGSEETRAGGRRRVAGRGAGGGRGGGEAVCRRAEKRREQMAAEGTRRRTS >OB02G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15631985:15633463:1 gene:OB02G28760 transcript:OB02G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGRLGDLLPHHRRESTPRRRQALGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMSSCFFSVDMADVGSESALGLQHLIEGIVELVSKPNVSDFFPFLRQLDLQGLRRQTGRSLDRAFSILDGIIERRLDDSRDNPAGKHGDFLDALIELFDAGKLQRYHLTYLLFDVIVAGADTMSLTLAWVMAELLHNPSVMAKARAELIDVLGSKEAVEEPDTARLPYLLAVVKEALRLHPVGPLLMPHFAVEDGIEIDGYTVPRGSSVIFNVWAIMRDPAVWERPDEFVPERFLERSPLLDFRRKEYDFLPFGSGRRRCPGIPLAERVVPFVLASLLREFEWRLPDGMSPEEMDMTERFSSANMLATPLRTVPIIVR >OB02G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15637116:15638192:1 gene:OB02G28770 transcript:OB02G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLGLTTAVVVSSRDAARVAYEKHDQRHAARAVPDAFRGNGYTERSVLFSPSSDPQWKHLRGINATHIFSPKSLAAVHAIRERKVREIVAYFRAHAGEEMVFGDVLHNGILNLMSSCFFSVDMADVGSESALGLQHLIEGIVELVSKPNVSDFFPFLRQLDLQGLRRQTGRSLDRAFSILDGIIERRLDDSRDNPAGKQGDFLDALIELFDAGKLQRYHLTYLLFDVFAAGADTMSLTLTWVMAELLHNPSVMAKARAELMDVLGSKEAVEEPDTARLPYLLAVVKEAMRLHPVGPLLLPHCAVEEGAKRGGLSTGPSASWTASSNAGWTTAVTTRPASRETSSMHSTAYFVARYP >OB02G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15637391:15637741:-1 gene:OB02G28780 transcript:OB02G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKSPCLPAGLSRLSSNRRSMMPSKMLKALSRDLPVWRRKPCKSSCRRKGKKSETLGLETNSTMPSIRCCSPSADSEPTSAMSTEKKQLDMRLRMPLCSTSPKTISSPACARK >OB02G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15659060:15660560:1 gene:OB02G28790 transcript:OB02G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEMWLLCAALAVSVPRPRSLAAVRAIRERKVREIVAYFRAHAGEEMVFGDVLHNGILNLMSSCFFSVDMADVGSESALGLRHLIEGIIELVSKPNVSDFFPFLRQLDLQGLRRQTGRSLDRACGILDGIIERRLDDSRDNPAGKHGDFLDALIELFDAGKLQRYHLTYLLFDVIVAGADTMSLTLAVHAIRERKVREIVAYFRAHAGEEMVFGDVLHNGMLNVMSSCFFSVDMADVGSESSPHFAVEDGMEIDGYAVPRGSSVIFNVWAIMRDPAVWERPDEFVPERFLERSPPLDFRGREYEFLPFGSGRRLCPGIPLAERVVPFVLASLLHEFEWRLPDGMSPEEMDMTEKFSTANMLATPLRTVPIIVR >OB02G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15664190:15664420:1 gene:OB02G28800 transcript:OB02G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRARDHGEERVKKAWVQTLKRQLDSMYMGNSEKFNGFALKVTKVTNKIRSLGTKVDETTVMENLLHSVPNKFQ >OB02G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15665478:15666013:-1 gene:OB02G28810 transcript:OB02G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGSGSGAGAAARAVPLRPLPPPAPTQVVDSEAGKSGRSCGGAGCSRSAFLAEPGKPVKKPKAGVMLVEETAAATAKERKPLAAVGKARFRVKVRRYKLLTEVISC >OB02G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15670412:15671713:1 gene:OB02G28820 transcript:OB02G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLGLATAVVVSSRDAARVAYTKHDRRHAARAIPDAFRANGFSERSLVFSPSSDPQWKHLRGINATHIFSPRGLAAVRAIRERKAREIVAYFRVHAGEEMVFRDVLHNGMLNLMSSCFFSVDMADVGSESALGLQHLIEGIVELVSKPNVSDFFPFLRQLDLQGLRRRTGRSLDRAFSILDDIIERRLDDSRDNPAGKHGDFLDALIELFDAGKLQRYHLNYLLLDVIAAGADAMSLTLDWVMAELLHNPSVMAKARAELMDVLGSKEAVEEPDTARLPYLLAVVKEAMRLHPVGPLLIPHFAVEDGMEIDGYAVPRGSSVIFNVWAIMRDPAVWERPGEFVPERFLERSPALDFRGREYEFLPFGSGRRLCPGVPLAERVVPFVLASLLREFEWRLPDGMSPEEMDMTERFSTVNMLATPLRTVPIIVRG >OB02G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15670687:15671037:-1 gene:OB02G28830 transcript:OB02G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKSPCLPAGLSRLSSNRRSMMSSKMLKALSRDLPVRRRKPCKSSCRRKGKKSETLGLETNSTMPSIRCCSPSADSEPTSAMSTEKKQLDMRLSMPLCSTSRKTISSPACTRK >OB02G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15682596:15683655:1 gene:OB02G28840 transcript:OB02G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVLSLRLLLVVVVLLLVVVGGGFDVANAQSSPPPQPATRTVSRTVSTVITVAIGVFFVLVFICVLVNQCCDCSTGAAGGQGQSVLRRRRGLDPAAVAAIPVVPYAEVRKHRSGGLECAVCLTAFDDADDLRLLPRCSHAFHPDCIDPWLEGHLEIRH >OB02G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15690515:15691000:-1 gene:OB02G28850 transcript:OB02G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAYIAASVLLAIAPGAAAQSPRTGSDESLGFADVISISFFMAVFFPVFVVLLAFACLRLFRPLDEEPPLADTSSSEWSRRGSKAGLDAAEIAALPLVSYRDVKQHRTGDDALECAVCLLEFDDDDALRLLPACPHAFHPECIGLWLEKHVTCPLCRANVL >OB02G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15695322:15696362:-1 gene:OB02G28860 transcript:OB02G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPSKPPEPPKPGPDGGCKDDCPPAPSSNGHHRRVVITLVTAASVLFVVLLAFSVYCFIRRRRRQRLRREALLTDPPAAAAGGGPPPAAPEANGVGAGGEAEEEEVLHHAWHIRTVGLDEAAIESIALTRYRAGAGMLGATDCSVCLGEFRDGELLRLLPKCGHAFHVPCIDAWLRAHVNCPLCRADVLHPAEGQDGDDDGGEHVPPAAGANGGTATDQDPSPTDETADHENPGQQHELRIEIDRRDQPSSPEPPHRSPASRAQNFRRVASMASPPVSPEEAQEQEDEQSSKEKQGSGDAAPWCKTSSGSGRSQHMTRSLSGGGRRSLAARHSRSSSSMLPL >OB02G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15695839:15696134:1 gene:OB02G28870 transcript:OB02G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTWNAWPHLGSRRRSSPSRNSPRQTEQSVAPSMPAPAVPREGDGLDRSLVEPDGPDVPRVVQDLLLLRLPPGAHPVGLRRSRRGASSRCSCRGVR >OB02G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15698219:15702156:-1 gene:OB02G28880 transcript:OB02G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITPPSSSVAALRGHPVQFLKGGAVSKEAKGSISFLAIANSNNASVKCKSLRVAASLKRDGAFPGDGYSGNDNALVPKSTSVRGQDHTTADSILPTDSVIVPEISHVGLARVADMFSDEDEDTELDLDNPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPSDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLVERASVARLPLRWGNVRAYCYRSIIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITTENRKYLETKRTKMGHVYGFANGQASHPTGSNGAKGDH >OB02G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15717530:15722400:-1 gene:OB02G28890 transcript:OB02G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAWPDGDGDVTAVATERQFGEPNDYAVGDALTNRSGSWGYGTRTPPAAAPRSRHERSKSVATFSSPTSPEEPDGLRQSDGGVVDKEVGGDAACSDDRVRLLEREVATAKATEMKMLESLIQQTKEMEQAKIALEEAKIEVATLRQQGRAAADQPAQWSVMDLMFGGVDEEMNGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLKESLHAAEAELWSTTEQLDGLTSDWKEAAAAWRAREKALLGRVRAAEDEAHAARQENVELAELHRVVDDENGSPRRAPERAAGEGNAANESLELATSENSKLQDAVAEKESALETLRQENEALKASEAEARGRVKELDGQLAAARKAAGGKAPDTLSLEKWRGDMQGKLSAAFLDSNRVMASRKDRMFASLSNIAELKSAAAAAAAMDDFDYEFDHFDGGQYGDLEHAMKQKKRRSILRKFGDFFRRRSLYKHNLAPAIHY >OB02G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15726885:15728017:-1 gene:OB02G28900 transcript:OB02G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTNLLAGAGDAVAPAAAPAARGGDPSGSLVDGACDGDPRAALLRLVALGDRMAAGPAVRRRIAASMSGESEPLSSADIHSVSSEISSAAHLVVLNAASLLSSAIPFRAPSPSPPPPPPAPVQELPPVVPVPQELSQEAAKGDGDYDVVELDASELLAEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQPKQPAGREVRFSCPYAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHKPAIAEPNKGVVTAPAEASSISMMEEGGVDANCDREEDDEGGFDPEFFQEWMEELRGGAVTPNWSGPSEVGH >OB02G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15742677:15742952:1 gene:OB02G28910 transcript:OB02G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPSSMGGAGEAGVRTVVWFRRDLRVEDNPALAAAARTAGEVVPVYVWAPEEDGPFYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRS >OB02G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15743380:15746518:1 gene:OB02G28920 transcript:OB02G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVWSNEGNHAGDESCALFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGIELGSNYPLPVVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTTVHGPTTAGRRRQDQMVPSMTSSLVRAETELSADFDNNSMDSRPEVPSQALFQPRMEREETVDGGGGSGGGTAARTNGGGQQQHNFQTTIHRARGIAPSTSEASSSWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTMMNWSQLSQSLTTGWEVEH >OB02G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15744526:15751786:-1 gene:OB02G28930 transcript:OB02G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSAAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQGVIVTSLVLAIEIAKVICAVILLVAEGSLKKQFNDWSIIKSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIVAAVLLSIGESSSKGSGGGNSDYVLLYGIIPVTVASVLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTSRSPDGEAMRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGVRKGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYVDRKKKD >OB02G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15754319:15758792:-1 gene:OB02G28940 transcript:OB02G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASKLEKALGDHFPEGERYFGLENFGNTCYCNSVLQALYHCVPFREQLLEYYATYRNKEDTEDNLMTCLADLFAQITLAKKRTGALAPKRFVQRVRKQNELFRSYMHQDAHEFWSFLLNEIVDILEEDCRTANSSPETTTPEEVSNGAVNALPNGAREGPLVTLVHRIFQGILTNETKCLTCETVTAKDEIFIDLSIDIEQNSSLTSCLKNFFSTEILNGDDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYVEQLSRHKKLSYRVVYPLELKLGSISEDADCEYSLFAVVVHVGSSPNHGHYVSQIKSHGNWLFFDDDTVQISEESTLQTFYGSSREHCGNTDHGYILFYERLGGKS >OB02G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15762808:15767040:1 gene:OB02G28950 transcript:OB02G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGAVAAAEDAAGAGVASGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRKVGRRNSMLIGASLFFVGAILNCAAVNIAMLVVGRVLLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIPVWGWRLSLGVAITPAAVILVGAAFIPDTPNSLVLRGKLEEARASLRRIRGDAANVEVELKDIVRAAEEDRQHQSGAFRRIVRREYRPHLVMAIAVPGFFELTGMIG >OB02G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15766698:15767047:-1 gene:OB02G28960 transcript:OB02G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSKNPGTAIAITRWGLYSRRTMRRNAPDWCCRSSSAARTMSLSSTSTFAASPRMRRSEARASSSFPRRTRLLGVSGMNAAPTRMTAAGVMATPSDSRHPHTGMVLAR >OB02G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15772383:15777434:1 gene:OB02G28970 transcript:OB02G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLTAAAGGLLLGYDIGITGGLTQMESFLEAFFPEVQRKMANAKQDAYCIFDSQVLNAFVSSFYLAGMVASLLAGHLTKTLGRRNSMLIGGVLFFAGTLLNLAAVNVSMLIVGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTTSLGLFTNLGFFMADMINYRTTAIARWGWRLSLGAGIVPAVVVIVGAASIPDTPNSLALRGRLDEARESLRRIRGAAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLITVFFEMTGAVVVGIFTPLLFYTVGFTSQKAILGSIITDVVSIASVAVAALVVDRHGRRTLFMVGGVVLILCQVAMAWIFGAQLGADGGRAMPRGYAVAVVFLVCMYTVGLSVSWGSLSSVVTTEIFPLEVRSAALGLGGAIASALTFMQSQSFLEMLCRFKYGAFAYYAGWVVVMTAFVAVFLPETKGVPIESMGAVWAQHWYWRRFVKPAPGKQLDGPE >OB02G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15783631:15785553:-1 gene:OB02G28980 transcript:OB02G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPNIAEAKQEMHSLYRNYAHLFDISSENAGVGSNIVRRQPAMLDRSVFLTGSAIWTIALHYKAHIYRWLLQKFSAIQGAPIACAMILRSLCRRSSLPFFLGISVLTARIYNYVTIPNHIYAPQLCARHDANLQGDLFIQDTELCNKQSLDSSFLGSKHDFIRLALQCVCSTANLKVYTTPKQIGFNGKCVQKNTETGSFWRILPTNEQCLMYIGALVTLQLFMQLSRVNITTLLLPMLYQTTNSQGNAAAVSNIVILLVNSFGILGSSFTTKHHGREVTFTVSAILMVFCQITIPLLVEAQIGLGGGTRILTGYTTATFLLTCVVSYGLSWSWGSLFCSVPGMKIQSAGQVFGMGLSFVLCFVQMQYFLLMLCRLKNAVLAYYAVWIWS >OB02G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15785714:15785965:-1 gene:OB02G28990 transcript:OB02G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVWKKNDFFDDKIVVEVELLQGYRCLAHGISSCVALVALAVLQGDTHCTPLCISTSSLDLPLDLGKSHVRVLGLGPTFSGCVHK >OB02G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15790253:15793581:-1 gene:OB02G29000 transcript:OB02G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLSWSETGPDNAAAAAATAAPPSSRPSLKPAGGITPAMFGAPVTELEAEDLSKRKTCSGSKMKEMTGSGIFSAQSAGDSETGSGASNPPSKTSLRMYQQTVTGISQISFSAEGSVSPKKPSSLPEVAKQRELSGTLESEADDKMKKQNSEAKSKELSGSDIFGPPPEVPARPLAARNLELQGNLDFALPQPRSIHTSVKVSNPAGGPSNIMFGEEPAVKTAKKIHNQKFHELTGNNIFKEEAPPGSAEKALSTAKLKEMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >OB02G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15806128:15807452:1 gene:OB02G29010 transcript:OB02G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAEDQYGRGGGDGEQEDAAADAPELEQEELSDSESGSESIEISDLKKRMWKDQMLLMKLEGRAGGGRGVGAAAAAAGMSVGGEGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAQLPAGARAGAAVVADGAGAVGEMQAHQGAPPYRKPHDLKKAWKISLLSAVIKHMSPRYDQMRKLVWQSKRLQQKMSVKESDTWSKVLRQEEALNRRLKSSLQITPLDGDEDDDDEKDNDGLEDVVRGAQDKRKREYTRSDSGSSSSSSSGGKFPRPSGGGGGGELELQLPIILPELAADEGRSPINELMKLYYSCLEGAGGAEGDLAALVPLPPEVLVGVDEVAQDVLFDIIGSCPEVDDVSRLMDD >OB02G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15824564:15825946:1 gene:OB02G29020 transcript:OB02G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSASNSNGRSMDRYEKSGFNSNKRVKISRYEYDSFQRIIPTLPDELSFQILARLPRIYYLKMKMVSQAWKVAITSSELSQLRRELGLTEEWLYVLTKLEPNKLNCYALDPLLCKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCTVGVADGCLYVFGGFSRAVALNCVFRYDPSLNVWQEMSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPESNSWETMPDGLGDGWPARQAGTKLGIVVNNELYTLEPSSSLDSGQIKRYDSEQDTWITSVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQDNIENSPSEENIIWNILASKNFGSAEIVSCQVLDV >OB02G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15828247:15828513:-1 gene:OB02G29030 transcript:OB02G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEAAAAAGGKKEGGVAVAGSAGEKKAASAGRSRGGGMFGLMKKKVHPKAAPATAMETSSA >OB02G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15832005:15834496:-1 gene:OB02G29040 transcript:OB02G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEENPESFFAAAPPLRDADAFAARLAEFLARNSAGIREGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFLNRRGSCQPYCRFLPDDSFLKFFDVDAESKVQVAECHAPVVKKAIGDYCKAIEGGSLLKVPFTTIFEYLQLLKLVATSISSIGPRGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSMLRNQWAPLAFCISFKLETDPDILIQKADMALNKYKMNIVVANLLATYKEEVIIVTDKERSTIRKINKDEDLEMQMIKILSQNHSKYICGITNECNQSPD >OB02G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15838175:15845128:1 gene:OB02G29050 transcript:OB02G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 13 [Source:Projected from Arabidopsis thaliana (AT5G64940) TAIR;Acc:AT5G64940] MSASAAAGAVSLVATSASLSVPDHLRLRCFRPPPHPRPPPTAQPTQLLRGDRHRRRSRFVLAVLQEDRAASASPEEEARRYGLNGSASGVGYDDAAVEAYLGSNGNGRGNGAAMKPPPGAQTQGRPXSAVLVSASAAPRDDERRRKERVEEIGREDAWFKQGGGESMPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFKFIFRAWLNNQKFTYRGGMTEEKRVLRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRACLNGKEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPEILWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLEAFYGVYEKDPDRVLQSMIQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATEQLGFKKQLTKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLKFNEAGVEVIVKDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFKRVAAVQKTIGYGVAAGSLVNLATVLYLNSIRFPATIAYSLCAFFGLQVLVGLIKVKKLDQQERLITGTA >OB02G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15858133:15860079:1 gene:OB02G29060 transcript:OB02G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGFAEIIRRGNQPLMDSSVVVIMGHSVASYTLDSHGQSSSCMDHLDSSMQNSIHGRRGNRRSNHGVSAKDDGCGLVLGLSPSPDTGNAAPARRSKAPPATLFRQSFSFTEPGMLSLGLHRGGNGGTIQHLEAPAGNIISFAAAATAVDEGSTSARRSSGGYMPSLLFAPRPSLSAPEEAHDLVDHTDNTVSGGAYHARRRLQLSPEPSATMTESSFGVSSDVVTVATNPVQPAAQPQRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCMQLGCTKSAGGSASPPPARGGGRRCGHQGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQFPDCRKGAQGSTLYCKAHGGGKRCVFDGCMKGAEGSTPLCKAHGGGKRCMFEGGGLCPKSVHGGTSFCVAHGGGKRCTVAGCTKSARGRTNCCVKHGGGKRCKVDGCAKSAQGSTEFCKAHGGGKRTVSESDCDVTPVATTMPELIPPQVLVPNSMKSSCSSAPPPPPPPSSSGRGRERGGIAVPEGRVHGGGLLSLLGGSFRNVDVDKL >OB02G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15858277:15859227:-1 gene:OB02G29070 transcript:OB02G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEPDPPLGAPDATLHRTPLPSAVLDAPPGLAPRGFRAALVPAPAAAAAGGGGRRAPPRALGAAELHAPAATSMGLAVGRAALGALVAPGLLAPLPAAMGDAQPRRTSRALGAPFEPALLRMPPLRLRRRLHRIGCHRDHIGAHTKRALRHGRRRLRAELKATPRMVSATTDGVVRVIYQIMRLFRSRQAGPRREEQRRHVPTGAPPRRRRAFVDRGGGSSEGDDVASRRFQVLNRPTITSPMKPKAQHPWLGEGEALPEQRRRRRFRPARRRSVASVRRRTQSKNQPAAVIFRRHPMVRPPVTSPSMDTVLHR >OB02G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15862493:15865641:1 gene:OB02G29080 transcript:OB02G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLPLTVLALCLAALVFSSRAGADAAAGRKMVGVYELKKGDFSIRVTNWGATLMSVVLPDSNGNLGDVVLGYDTVAEYVNGTAYFGGLIGRVANRVANARFTLDGKTYRLFRNDGNNSLHGGHRGFSKVVWTVREHVGGGASPCITLFYHSFDGEQGFPGDLDVYVTYELRSPYVLAVRMNATARGKATPVNLAHHAYWNLGGHGSGTVLDETVQLFASRYTPVDAALIPTGRLAPFYTGNGLAGVRGKDGRVYGRYGALCLETQGFPDAVNHPSFPSQIVRPGQVYEHNMVFRFSFF >OB02G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15865420:15865641:1 gene:OB02G29090 transcript:OB02G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWADQPGVQFYTGNGLAGVRGKDGRVYGRYGALCLETQGFPDAVNHPSFPSQIVRPGQVYEHNMVFRFSFF >OB02G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15867823:15870941:-1 gene:OB02G29100 transcript:OB02G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSGGGSGKQRGPSDGHEGGVPRSLVRGRILQEEMKLSATQMHTLRGAKPALAIPEMSAAAAVRAAAAAERV >OB02G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15874015:15878170:-1 gene:OB02G29110 transcript:OB02G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKIVGALVLVLLLLAAVSEGQVLPTPCCRIDCCDGQPECCDPGAAADVSTAVTLQAAVASIAGAKARPATARPATPAGTMAREGAFALKKPQPTYYLLEPTLELDNLSNVGGGWGEVEMASFGGGGGGRQQGPSDDGGGVPAKPSINGGRLGLPPPLTPAQLEWIREMYIKTLTSPQPRVNHLGPYPAGGGVVIPADEYEVAGAARAAKRMKHGESSGENKQQEEANTTAPKK >OB02G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15881411:15884656:-1 gene:OB02G29120 transcript:OB02G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGDREKCTDGGWTAQRASNGGVVVGGNGDGDGDDAGKSLRRIVRLFFACMVAGGIQGAGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTMKMGRRRPFILVGCLIICISVMVIGFSADIGRHLGDTKEHCSTYNGPRWSAAMVYIVGFWFLDFANNTVQGPARAMMADLSAGRHGPNVGQSIFSLWMAIGSVVGYLSGANGKWHEWFPSLKTAACCDACANLKGAFFTAVLLIVVSMSVTMYLADEVALDKQDVGASSGSGGGGCAVFVDLFKSLKNLPPTMFRVLAVTAITWLSWFPFIQYNTDWMGREIYHGDPQGTAAAADVYDAGVREGAMGLLFCSVALGATSFAIPKLCRKLTSKVVWSISSFLVFALMAVMVVVGTVSMRGYRPSLAAGLTGPDPTLKGVALAVFALIGIPQAVLFSVPWAVASEVTAEEGGGQGLAIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGVGGAFAFICGVLALIWLPKTRGVSNAAVVAGGH >OB02G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15885978:15890706:-1 gene:OB02G29130 transcript:OB02G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGAEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRSDVIQTIPDFWLTAFLSHPLLSELLTEDDQKMFKYLESVDVDDSKDVKSGYSITLTFSENPYFEDKELTKTYAFADDGTTTINATSIKWKEGMEIANGNAKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEAEELGEDEDEELSEGDEGEEDEEEEN >OB02G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15892438:15892596:1 gene:OB02G29140 transcript:OB02G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDVVIVLDQQKEYIALRE >OB02G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15897670:15898002:1 gene:OB02G29150 transcript:OB02G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGACCHQLAILVVLVLLASSSPEVLAVRSLGISARTSSANASPAEQPRELAEGNASVSAAAAAARFDTSTEKTNTAATGSSSPSTVFDPDRMSKRRVRRGSDPIHNKC >OB02G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15901425:15905074:-1 gene:OB02G29160 transcript:OB02G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVVGIMEPRAGAGEGAGEEVTEALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIVCEICHVSYKPGYTAPPQVHHDETTIEISSGDWSISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPESPTAPHPEQGQ >OB02G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15911038:15914063:1 gene:OB02G29170 transcript:OB02G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMQMVTKRLGIAVLITLFSALIVHYLIVISPASGLSQYQVPHADPLSWFIDSLGKPVDQNPENTPEEVIQIDASASSGSDSGNSSLEGFQWVHTWNHMKRLANISNGLPHANESIDNARTAWENLTISVHSSGSKQIERERQCPYSIRRMNASKPDNGAFTITIPCGLIVGSSITIIGTPGTLSGNFRIDLVGTELPGESGKPIVLHYNVRLTSDELTGDPVIVQNAFTASNGWGYEDRCPCHNCNNATEVDDLERCNSMVGREEKSAINSKQHLNTKKGDNPSTNFPFKQGYLAISTLRIGQEGIHMTVDGKHITSFAYRAGLEPWFATEVRVSGDFKLVSAIASGLPTSEDLEKSFDLAMLKSSPIPEGKDVDLLIGIFSTANNFKRRMVIRRTWMQYDAVHEGLVVVRFFVGLHTNLLVNKELWDEARTYGDIQVLPFVDYYSLITWKTLAICIYGTSAVSAKYLMKTDDDAFVRVDEIHSSVKQLNVSHGLLYGRINSDSGPHRNPESKWYISPEEWPKEKYPPWAHGPGYVVSQDIASTINSWYERGHLKMFKLEDVAMGIWIDEMKKDGLLVQYKTEERINSEGCMDGYIVAHYQEPRHMLCMWEKLLRTNQATCCKPATD >OB02G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15912502:15912657:-1 gene:OB02G29180 transcript:OB02G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDCSNQQRAALECRHMCVLHPRVPCLPGDLYAFKTNKKLSYHVNVKMRQ >OB02G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15915169:15915503:-1 gene:OB02G29190 transcript:OB02G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPAVELPEFPHYFAFSLEGRIRPRHEALRERRVQMSLKDMLTSSDDEFRERLVDAALSAARKIAAVLWVQEYQRLNSYLMKKITFRLDQWHQQ >OB02G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15916134:15916751:1 gene:OB02G29200 transcript:OB02G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWVLGEERKWKRTEAGVHREGSRMRYRLALLVEAGICNGTGREPVGRRFERWTTEPVTCVVTRAGLTFGVYAATDLCVPRALLWTVSACSSLGYRH >OB02G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15916947:15918510:1 gene:OB02G29210 transcript:OB02G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHARIRGFPSFLRMIDPSDAMLNYVLGETDHMADADAIIYNTFDELEQPALDDLRAALPPTVYTVGPLNLLADSVLPAGGGPLGALGSNLWREDGACLGWLDGRAPPSVVYVNYGSIAVMTKQQLLEFAWGLAGSGYAFLWVVRPDLVVTTGEAAALPPKFLEATGERGLLASWCPQEAVGLFLTHSGWNSTLEPVRRGADAVVALHRGAADQLPVQARGVGRGHGGRRRRAAGGGGGEDTGGNGRGEGGRGEVGATGDVGLLASWCPQEAVGLFLTHSGWNSTLEPVRRGADAVVALHRGAADQLPVQARGVGRGHGETTQHLFKECPITKELWTALSPSIGYTSATDDQVTDGGLLPWWEKAGSQQTKRLSKAVRSLQLLLAWELWSERNRRIFQGEFRDKQQMVAMIQDMIRMWAQCGAKHLMRIDS >OB02G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15923851:15925415:1 gene:OB02G29220 transcript:OB02G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LE55] MVAADEKPHAVCLPFPAQGHITPMMKLAKVLHSRGFHVTFVSTEYNHRRLVRSRGAAALAGQDPASLCYSTMTTCLPHFTRLLEELNRAPGLPPVTCVVADAAMGFAVDAAKEIGAPCALFWTASACGYMGYRHFRFFLDEGLSPLKDEEQLTNGFLDTPVARPPRGMSKHMRFRDFPSFIWTTDRGEILLNFLMHEVERADRAAAVIVNTFEELEQPALDAMRAILPAVYTIGPLGSLADQIVTDDAPASAIRPSLWREDHACLAWLDGREPHSVVFVNYGSITTMSRDKLAEFAWGLASCGYGFLWIVRPDLVRGDSAVLPREFLEATEGRGLLASWCEQEAVLRHQAVGAFLTHCGWNSTMESISAGVPMLCWPFFAEQQTNSRYSCAEWGIGVEVGGSVRREAVAATIREAMGGEQGKEMKRRAAEWMELSARSTRRGGRSLVNLDKLIAEVLLPSKKQV >OB02G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15936211:15937309:1 gene:OB02G29230 transcript:OB02G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALDAARELGVPCALFWTASACGYMGYRNFRPLIDMGIIPFKEEEQLTNGFMDMAVDWAPGMSKHMRLRDFPTFLRTTDRDDILMTFQLRQVERAEEADAVILNTFDELEQPALDAMRAITPAVYTIGPLAFLTEQIAPGGPLDAVSSSLWMEDGACLGWLDGRKPRSVVYVNFGSVTVMSGQELEEFAWGLAGSXXXXXXXXXXXXXXXXXASWCDQEAVLRHPAVGVFLTHSGWNSTLESLCSGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDNVRRDAVEGRIREAMGGGGKGAEMRRRAAEWKGGAARSRGPSLANLERLIADVLLSGKRRAS >OB02G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15936661:15937269:-1 gene:OB02G29240 transcript:OB02G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLASDGPLDRAAPPFHSAALLLISAPFPPPPIASLILPSTASRRTLSPTSIATPHSTHLYRQLVCCSAKNGQHSIGTPLHSDSSVEFHPLCVRNTPTAGCRSTASWSHQLAXXXXXXXXXXXXXXXXXLPASPHANSSSSCPLITVTLPKFTYTTDRGFLPSSQPRQAPSSIQRLELTASRGPPGAICSVRNASGPMV >OB02G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15941679:15942498:1 gene:OB02G29250 transcript:OB02G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LE58] MMLRQSLRKTKSIATFFVTKLKITGSLGSMEHGETSGRSKAPPGANRVLSVADLVLRFVAIGGTAGSAIAMATTSETLPFATPFVQLRAEYSDLPTFMFFVVASSVVCGYLVLSLPASVLHVVRPTARCSRAVLALLDAVMLAVLTAGASAAAAIVYLAHRGSTARANWFAICQQFTSFCERTTASLVGSFAAAVLLVALVFLSALALARRT >OB02G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15942922:15943651:-1 gene:OB02G29260 transcript:OB02G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXVPSPPPGPGTVPRWGTRSYVRERFFEPGLTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEARRSDYVRVANELVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVTEFLV >OB02G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15952507:15955050:1 gene:OB02G29270 transcript:OB02G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHGGGGGILDLERHYAFYGAYHSNAVNVFIHTLFVWPIFLTALLLLHVTAPSAHAATAAAAIYGAFYLTLDRRAGALAALLCFLCWAASGALASRLGFSAGWKVVLVAQLFCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEALHKFVAYEPYPGFHANVGKLIVQARKKWKEEKAKKMT >OB02G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15966260:15967625:1 gene:OB02G29280 transcript:OB02G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKCLVMSSQVYYMRIARQQRQVVDTTTMEHDVQHHHRHHGVQRQMMQQRQQEEGEDMDLPPGFRFHPTDEELITHYLLRKAADPRFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHATAVVTSREWVLCRVFRKSLEPAAAGRRGAAAGTAVADVGPSSVSMPVEDVVGQFLNPPYLLPTADPTTAMAQGAIAGADHLAMSSRSPFLESIQMQYAQDAVAGGMVHELLMGGGGGGDWYCNKRERERLSGASQDTGLTSDVNPGEISSSRQQHMDHDASLWAY >OB02G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15976062:15977866:1 gene:OB02G29290 transcript:OB02G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPTKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPARLAREAKLRALASPPATATAALSGVDSPTSTLSFSESALFGAGGAAPDIHSAARAAAVQALQSYGEACQEHHFGGGAAAETSFAGAGALAGVLLDCSVTGADQRFAARTEAGSGELQGEDDDDKGYWNSILNMVNSSMSSSSSSSLTSSEVVADPEMFLPTAAAATQF >OB02G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15994624:15994821:-1 gene:OB02G29300 transcript:OB02G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLHYSGGGKSINKQQQQLAKQREGRNLARNVPKKARGDLASQLGGESRKKNKKKKLSSKERTRPP >OB02G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:15994886:16003785:1 gene:OB02G29310 transcript:OB02G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSLDEQQAVANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLEISIRSVRTKKDQLLIDEVHELNRKGSLVHQENMELYKKISLIRRENAELYKKIYETEGPSEVNRDSPTPYNFPVVEKTNVAVQLGLSTLPQHSDAEQSAVPKLGLQLNP >OB02G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16001735:16001971:-1 gene:OB02G29320 transcript:OB02G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQYIKNSIIKYSLPGFHNSIPLWRLFAVHLQVHFSITHDMNRFFNLDLSIISSQRIVSLQHTRVEQTMFIWSWIQL >OB02G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16011910:16012695:-1 gene:OB02G29330 transcript:OB02G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKPEDGGFPAATGGGNAYYHQAGGGGAFAVQGQAPLAAWSTGLFDCFDDCHNCCVTWWCPCITFGQVAEIVDRGSTSCGTSGALYALVMLLTGCHCVYSCFYRAKMRAQYGLQERPCADCCVHYCCEPCALCQAYRELKKRGFDMNLGWHANMERQGHTPATMPPHMHPGMTR >OB02G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16015751:16020648:-1 gene:OB02G29340 transcript:OB02G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25500) TAIR;Acc:AT1G25500] MRAAVHSLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGIEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPEFFDQMSEALHQRLQYRSARARQILNSRLDQLPNTSSI >OB02G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16022732:16023136:1 gene:OB02G29350 transcript:OB02G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSDAASMVAVGLVWGATNALMRRGALVWDRRLRSLAPSTTSSSSVAGALRRWAELLLTCPYSVPFAANLSASAAFFSLLGAAPISVAVPVTNATTFAATAVAAALLGEGTRAAPAAVGTALIVLGVWVCIS >OB02G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16032550:16033385:1 gene:OB02G29360 transcript:OB02G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRADSSGGDEQRAPAAGDGDGGGGCGEPAKRQRTDPSSSSSHGEASSSSQPPPQQQEEEEAGQPPEDAGEEPAKRQRTDPSSSSSHGEASSSSQPPPQQQEEEEAGQPPEDAGEGEQPRVPDLGEDLVFEVLRRAEARTLAVAACVSRGWRQLAEDERLWEAACVREWANLGFSEQQLRAVVLSLGGFRRLHAVYIRPVQRRGAGVPRQQGRRQLPVRLGRDQVQLSLSLFSIGFFQNMPGPPPRKKDEGNDSDKNGGGKCG >OB02G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16032097:16037104:1 gene:OB02G29370 transcript:OB02G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >OB02G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16039453:16041555:-1 gene:OB02G29380 transcript:OB02G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT1G23220) TAIR;Acc:AT1G23220] MDPASEELEQRSRYLSSLIRRTKLNSAPALAPPTPPPEPESKLVVPVPVPERAEEEAASAAAAGGEKRGVKGEGGGGQGGKGKAKGKEKGREERKVVPVRVRAADMPLELQRRAVRLAYEAIVSMPRLDSKRLALALKKEFDASYGPAWHCIVGTGFGSYVTHSVGGFLYFSVDKVYILLFRTAVEPLAHPQ >OB02G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16043745:16044596:-1 gene:OB02G29390 transcript:OB02G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRAAVSLLTKAAQAAAGLGLAASAAATALYTVDGGQRAVIFDRFRGVLPETSTEGTHFIVPWLQKPYIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVERLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAREFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSKFLVARAEQERRAAVVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGVLARSPNISYIPAGDSSQMLLGLSGAR >OB02G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16048698:16059069:1 gene:OB02G29400 transcript:OB02G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3LE73] MAPPRRGGTGDADALQWVVRSMDAGIWRQRADDDRVAEAQCVEEVFDAVVVATGHYSQPRLPSIEGVEDWRRRQLHSHSYRVPDPFRDEVVVVVGCGDSGMDIALELRAVAREVHLSAKSVEAAMTPAMSKMLARHANLRLRPQIERLCADGRVVFADGTCVVADTVMYCTGYRYSFPFLDTDGRVTVDDNRVGPLFEHTFPPSLAPSLSFVGIPRKVMVPWFFEAQGRRALPTEEEMTRSVEDYYRARELAGVLKKYTHDVEPHHRLLDLCVFMFDPLSFGGQKMYELGEKYCDFPRTEDWKRELMAIISRNTSDDMETFRDRDDDSDNVRKCMQEWYRLAEHQAQDDDEEEDPAAAAAVLVSMPSITFFSKFLLSNPLWVNNGCIDNFLRMSVTGMRAQQPYAHMLVMAARVEGMRKAYAEIMLNMAQESAARVLAAERRAAALAGGLAAAREDGVAALVRLKAIMEARIKEVESQSLAHIKKIKELQEQLHGAQDTVASLQFELQRSNSELEQARSTLAEERRKDLRISDKISYNKNSSSASKKPLQDRLSSKSKSTAKEGGAVENVEILYRCDSDLGSFMARTKNPELYRNGCTQRIRAIKQRSPSADTSNSKQISAVNSHSKTGKTGTDRNPCSTRSIMEQILQTKFLANCKRKRGRRSRPSYMHDNSVEHGQTEYKSSDTSGGNGCLLLLQALEQDLSPLKASAEHGSDGLADQKDGLAIDGKDADWNLHPASPGPNDVLSVNNMQMKRRKRSKTIRVFESEFEAKGIPELGNTLPKSTNNMFISEQSSDTPPGNTSSVLQCTAENVMHVTDAANTGQLKYENSSPLVSQPTESEIVDEGNSQVDRQECRTPNNNGVDLEEMNVDKNCILASDGADSSIVSTLDKEENAKEAPSGVAVQAERARCIKYTFNRRKRKTASLDSTLQVAVPEKSSSLVCPAENHESHAKPETQDLLIESTPGDNQLIHVAQQLIMLSAQK >OB02G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16062475:16062672:-1 gene:OB02G29410 transcript:OB02G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDELCMPLSVGILNWIYLVVWYLGTSASFSRLFSVLECNIYLFCYWSYYFLFDITRLMFQAFHQ >OB02G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16062775:16064642:-1 gene:OB02G29420 transcript:OB02G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDAMAHDASSPAAAAARDAKKKRGNRSAAKLKQSKLDVRREQWLSQVKDGKEVKAVVSPGTAAGANSGSPILASPHPPLPRRRAEIRTREGEPEDYKEDSVGASQDVGSSDHDSPLHSPVSCNAPVGCLQQKHCSGNGGGRSFSSGSSAWSSSRSGTDSDDDTGDSPENDDGVLDDWEAVADALSVDDNHNHQDPMPASPPVIPTSCPEPANGATRQEPIKSRTRAWSPDDVFRPQSLPSLSKQVSFPASMGNCWVAMGIGSAQKGILSLPISCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYTPASSGGGTIGNECEMGNLRLSRSCSMGPRY >OB02G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16068870:16069919:-1 gene:OB02G29430 transcript:OB02G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRIHLVFLLLLTRTLAEKASATYADTSAKLLLTGFVPCCRCLDRAAIVEADLAASPAKKQASSSASAMTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAASGFAIPAGSLSVFLFLSILLFTSVNERVLVPGPTRLTRRPQGLTSLQRVGAGLFLATVAMAVAALVEKKRRNATNNGGAMVSAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFVVDAVTRGAWIRNNLDAGRLDLFYWMLAVLGVVNFAAFLAFARRHEYKQPGAATVVAPAADDGARGGEEKEMDDVVVVKEAVEGMDV >OB02G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16069165:16069956:1 gene:OB02G29440 transcript:OB02G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTRNSPVLMDFIRSGASRMKNSSCPTYANASPAPTRKNCGTSQNALTMAPPLLVALRRFFSTSAATAMATVARKRPAPTRWSEVSPCGRRVRRVGPGTRTRSLTEVKRRMERKRKTESEPAGMANPEAAPGRRWRSMRVACSTEKVVIWEYTVQKRMQVDQMGSSFTTIFTSSTSVTVIADADDDACFFAGDAARSASTIAALSRHLQHGTKPVSKSLADVSAYVADAFSASVRVSNNRNTKWMRMLGICVIKQRKFYGY >OB02G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16072781:16075975:-1 gene:OB02G29450 transcript:OB02G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDADLAWSSSGDGGGQRNPSNVRSRATNKRAGVHGGELERAKDKEKEKQRSQRIKAYTSSYRMTINCGRPACQPYSSRGSQLGRLRRAERLSASGRMTRSRQGQPVAQNFLCNNLWPKTPLHPKDVCQATLHTRNATRQIIIIARRPVHSAPKPWLLYQSMRCSAACVHACCGLDLDMVSAGGHGGADDGTVIDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTLTASGNVQHSLNYYLGAIKKLHFFTSVSNVCRKI >OB02G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16080145:16088154:1 gene:OB02G29460 transcript:OB02G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MLSIFDIYFKSPIVHGMDPEPPRFSAPPARRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISFGSPDIVPIFCSSLPHSTWGSYPHEYEDFATDASFLDQWSFDQFQGLLNRSFEDAKFRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESVYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLDYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSSVLDEIEDLISARDYETAMTYSEELRSMALAGLHYFQTYDWLMLMTTITLGYIGWMVNLALHVLQSYTSIPANLKRTQPYAKNTSIKVYIGGCLIMGFSSIILLLEKSPPLYHAYVFMTIFLWTRIIQHIEFIKALWRELSNAPFKYIVNLLSISVIALFILEFLVMSFFDRKLYTWCFLILGILASTYVAILIQTSSALAVYIWFACWFLSLFTLMPAEIPENNNLVIFSGALIILVAMASRWMATNNTSFWLYLTRANKRDPQFSKLFFVQVTFVAISSIMVWLSTSHRSRNKELHPLHQLINWLTAGVAMVLPLFSPPSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLIGWIFVESANLYCSEQTGPAHRSSVVEGSIFGYDERCLHLSDLRIPLLFLILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKIMRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIVVSSRQLNSRKAM >OB02G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16090151:16090309:-1 gene:OB02G29470 transcript:OB02G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLSTTSGSIVASSISVVADLGGGHGDADGRVLLLRLGGPLLHVRLRERGA >OB02G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16097967:16098221:1 gene:OB02G29480 transcript:OB02G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFLCPIFSFLACKSRRYDGEDGEMSDEWEYGRPAARYGMSKVRSSDEDYGKWWVGERDVDRKASDFINSFHQRKQVAAA >OB02G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16104284:16108672:-1 gene:OB02G29490 transcript:OB02G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAAGAGGQLLAAVVDWEWEREAYPAYGDFVALPLFAVFFFVVRYLLDRFVFERIGRKLIFGKEQDKVDFEKEEAKKKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGEQVWPDQKIKWKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANVAFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDEHED >OB02G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16110312:16124332:1 gene:OB02G29500 transcript:OB02G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVSSARRLLPTTTTPPRPLSPRRPPPPPLFAFASRRRRCLCSSSSTRRPRGGGLVLRAVVAGRRAGIVVDVDEVDEVLVPPSTKALKWLCTQFKRSTLFPQFYLSRKPPTYSSIQLEIAGAGSAICFHGSSRVDNGFGLISRYLSFNSCFIRAYGSIGVKYDRELLSIEERMGSFYFFIPQVELSEFDGYSMLSSTIVWDDSLSHTFEDSVCSFESCFSQIWSSYDSSATMCYENMVSRYIGESHMLEIRNAQLVYLDAEFLAVIDGKAGMEKEICPTSDQSFVRFSTQFLFCANMDLCFRSNKTESFIKSRSNINLAWASFIVEEFVRLGLTYFCIAPGSRSSPLALSASGHPLTTCISCYDERSLGFHALGYGRGSQKPAIVITSSGTAVSNLLPSVVEASQDYVPLILLTADRPPELHDVGANQAINQVNHFGGFVRHFFSLPPPDDQIYARMVLTTVDSAAYYSMQAPQGPVHINCAFREPLDYRHEDWNTDCLKGLDKWFINGGPYTRYVRMKTVSALGNFSCSVMEVLEVIKNANQGLLLVGAIHSEDDIWAVALLARHLSWPVAADVLSGLRMRKVQNSFLGLDKSILFTDHIDQILLSESVKNWISPDVIIQKDKEMVGYFDGSEFSGMAHKRVSEEIMFQVHSECSLTEPYVAHVIGEALYGDAAMFVGNSMAIRDLDMFGEGWTDHSKSPNNAMMHHFPGFLGSPVAGNRGASGIDGLLSTAIGFAIGSNKHVLCVIGDISFLHDTNGLSLLNQRVHRKPMTVIVINNHGGAIFSLLPIAKTASPQILEKFFYTLHDISISKLCAAHRIKHFVVQTKTEFRDALVQSHAGHVDCVVEVENRIVDNANFHRTISMFTDHTATIHLDYLLGAPYCKDELDGLSVGRIHAAEYMLYRIQLAAPRTSGRSESSFSHEGFILKLCVGENIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAKLDVVPLLRGSFSNWIWTNIGIPPSSIFPSVKCGLEMAILNLLAAQRMDRLYDIFTGSNVVECNQSSTASIQICALVDSNRTPMEVALAVVKLIAEGFTTVKLKVGRRESPAEDAAVIQKIREIVGYKINIRADANRIWTYEQAIDFGSRVKDLCLQYIEEPVDSVNDIIRFCENTGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSAYESSVGLATYIQFAHYIDRQNAIISRIKNKGSCGTVVHGLGTYQWLREDVSEQKLKIHVPPLGDGIRASAEDAHGYLQHLTINSKKIERTYSEEKLRSYFMQVDGDNFSYQVKLREAGDCKNEKVVLFLHGFLGTNEDWVPMMKALSPSARVISVDLPGHGESEILEHDVENSNQISITVQSIADLLLKLLRNITDGKVLVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRHRSAVDKSRAHFLSYCGLESFLETWYSAKMWASLREHPKFDSLVRTRRKHNNIKTLSKVLADSSIGRQKSLWEDLKHLRSPLLIVAGEKDLKFKEISQKMCTEIGKYSHCESDGLCEMIIVPDSGHAVHVENPLPLVRAIRKFLLKIYQT >OB02G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16129944:16130415:1 gene:OB02G29510 transcript:OB02G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPKAASCNLLVVVLVISLLALDRPIAHARHLKSTSMSSPDSSPAKGLEGDPKKKLDEEKNTKKVQTVQAGSSSSVDLASGSPGVDELAKIVVVDLRGPTPHPKKHNL >OB02G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16132996:16135283:-1 gene:OB02G29520 transcript:OB02G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASKPTQDMIEITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKKPEEKKLDEKSPPNFDFLMIDANCDLRYEGKGDGRKYAHELKQETALLPVGLAADDDTEKQCDLEGKKSNASFASDISEKKISLQELLKLESAEESQERLKLQSAKESKTEHQSTTIATIDENHMPPVHGEAIEQVSVNDSHDVATASDEFIASDASSNGNTNGSSATISDRHDATTELDKPISTVEVTQSLSGSKEFNQVGTAEARPDPLTSSSSSEVQPSEKTNNHCESITSEPIADAQDENAVASSSSPHVAESSDANSQNNDNNSDNDGATDACDFNKIDEENCTDSTNERKISKSSTDAQKESANAGELDVPDNNAKGKCLIGNGYPLEQCSFGPSIMCNPVSTSGYIGNVSIRSDSSTTSTRSFAFPVLQWDWSSSPVRMAKAERRRAMRHRGWKKGKSFLCWKF >OB02G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16141268:16143357:-1 gene:OB02G29530 transcript:OB02G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNSNTSKGQRRPGTCELHSSLYRFSDHMSCYHCNSGFSGNGVGVKQTPASPASKPIEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQHLKKRDEMIMEMQSQIADLKNSLNIQETHSTNLQSQLDAANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGRWQSGGTNGHANGYADSSSIDDPELHFIGLEKRKGEVERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIKELQEKFSTQVPNIL >OB02G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16148250:16148768:-1 gene:OB02G29540 transcript:OB02G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCAEWSNWMVPGHHLGKEKDGIYQRTAKIRKAPRQAGASHRRRPTGCHGHGLFMYGQSLLHVSGSWAPGSACPRGSLLETLPVHVTSGRQQGRPKRPVVVGPSSQGEQTTVAVSAGPRGGRANRPSARATNPEARYTYCIQYSRSKFNKGVFGWWSRWDGTGSFIFLVIW >OB02G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16152228:16152798:-1 gene:OB02G29550 transcript:OB02G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKDVKPSTVNYTIVIDKLFKERNYGLATRIWGRMVSLGCNPDVVTYTTSMRAYCIEGRLNEAENVLIEMSKEGLTIDAMACYTLLDGYASIGQTDNAVSNLKQMTGVASVPNQFNYFILLRHLLRRRLAEDVQHLAPVGVWDAIELTDVFGLFDPNSGVYSAILEGFSEGGRAEEVTPLVSRMKEDG >OB02G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16153209:16154196:-1 gene:OB02G29560 transcript:OB02G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASGAGDVGRERSRHRRRRRWRLEESIVLPGGWSTHAREARISKQGQRQPGQRGAWETSKPRSEHAAAAATASPDSGVRRSISHGLPRPPRRSSCASSPTCTGRPVWSDPYNAVIRALCRRADLARALRCLSLMSWSAPAGAPPDAYTFNSLIVGYCHSSPVDVPRHLFDEMPARGFTPGRGLSYTALIEGLRGTRKD >OB02G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16154822:16157424:1 gene:OB02G29570 transcript:OB02G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEEEDDEMMLLIFPALYLASTRPNKIPCHTSKLSGAEYISELLNGHPRRCCNNLRMESHIFQSLSDHLRSKNLLQSTRGVMVEEQLGMFMYMLSRNASFGALTDRFQHSPKTVHRHLTSCFSAMRSLTYDIIKPPSTQCHWKISSNPKFWPYFENCIGVIDGTHVPITISSCEAAPYRNRKGSLSQNVMLACDFDLNFVYISTGWEGSASDAGVLHSAIKYGFKVPEGKFYLVDGGYANTPYFVAPYRGVRYHLKEQGRKNCRPKDYKELFNLRHALLRNHIERAIGVLKMRFPILKVATFYPIETQMALRAKWTSKYEKALVDILMEYNVSHYRGQNGWCTEGWNKIVREFNKLFPEAKFTKSQIQDKETQLKKDFKAIKSIKSRSGFSWDHNALMIKTTPEKWEEVIEEDPKLKRYENKSFPLFESLDHLYEGKTPANTIARKRSWDGCVSEEAQGTGWRSLEVTREQDEEEYTVHSVQRQEDIGRSFGNMARQSGDDDLSDEGEPDIEHQQERGEQAKARRDALGSHKRKSNKQGAPIPRVEETMSAFLNLKREQVRSQKQASKEGKEFSIACCFEVLHSMEDLSDDIKVMASDVFKDPLNREMFIRYEPRLRSLWLKKEVNKLGSLGTSMSSSHI >OB02G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16158623:16161767:-1 gene:OB02G29580 transcript:OB02G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPKVLVIVRDAAGYGAALADALRPTPGLTRESATLELPLAKYGLDGEKASGELVNFSDSSGTPQVSFFILPDYKPPVAACAMNEILALISSETPSTQPVLIVPSITRSSNYYHGAKTGQLATLHCTEIGATTEFTEMLVDGTTKPPQSLQIRSEPILCLLEMVRVLNIPTVLVGASGEQHQGKSSTDSDLEVLQCVGDHLARHINLEFSKETVLEKGIEKSPVFQEPWRELYR >OB02G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16161419:16161773:1 gene:OB02G29590 transcript:OB02G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRCLPEECHWNRRSSRAHRTPSPRRGRIWRGGAPGVLGVPREPRRWPESVGEGGAVAGGVADDDEDLGCHPHGRWSPPPPRMIGERRGKR >OB02G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16164666:16166073:-1 gene:OB02G29600 transcript:OB02G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIIIRISVKSDKCQKKAMKVAATVTGNHQINYAIMLNFISNTKIVSFVSMVFNYSWLIRGRAGVQSVTLAGGEKNLLLVIGEGVDTSKLTKNIKKKVGLAEIVELRTVDSFEAAAAALPGGFIPATKEAAARAMAAARSSPYLHHHQPPSPSHHHQQWQYHHPYAFQFHPSPMMAAAHGGYGGSSYSRAVALSHPANYSPLVEKHDYHPMNHSSSKKKTTTTGTTTTTTTTGAGAGNGNGNGNVASLKAVPRSRRHGSGRNFCCIL >OB02G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16175479:16176172:-1 gene:OB02G29610 transcript:OB02G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSICARTRALILQDGYDGDVDQNAGELGEGAQQGHEGRGGDRRRLRRKVGHADIVELRTLHDYRGSAGAGATTSGRAGTGYCYSQLSSSGGRSGGGHYYSGSYSPYYHQQQPAAAQHPYDGYYPSPYATTVVQHEYYPTSSDDPSGCSIM >OB02G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16179864:16180373:-1 gene:OB02G29620 transcript:OB02G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYQIRHRSSVQTTITAAGADPPPRPPRPPPPPPPPPRRSGRETAEGLRGPATAGGGGRPAPVAGPRRPPPPPPAVAGPLRRGRGGAGGREGGGGGGAGGGGAPPPPSPDHEDPPPSPDHYGGGGYDVCKGKGCCDWSGGECKHYCDGHDGSSCCDDWSGDCHKCCSM >OB02G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16196057:16196758:-1 gene:OB02G29630 transcript:OB02G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQTTAAVAAAGTAAAVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAATPASAGVTATVMRARAASGTATATGAADQHVGWMTNAPMTTTTSL >OB02G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16205243:16205693:1 gene:OB02G29640 transcript:OB02G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVINVPMASDKCRSKAMALVASTGGVDSVALAGDGKDQVVVVGDVDSIKLITALRKKVGHATLVTVGEAKKEEKKPEPAAAAAVVEYPYPWSCYTYAPPPPVVYSGTSSPWWC >OB02G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16209676:16210781:-1 gene:OB02G29650 transcript:OB02G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVINVPMSCDKCRSKAMALVASTGGVDSVALAGDGKDQVVVVGEVDSIKLITALRKKVGHATLVTVG >OB02G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16214761:16216296:-1 gene:OB02G29660 transcript:OB02G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIISGEATLLQIKLSCFPSFSFLLCPNTNQWPKLLVVPSSFDSVLQEAAAAAMAKKIVIKVPMASGKCRSKVMALVAATVGVVSVELAGDDKSQVVVVGDVDSVKLTSALRKKVGSAELVEVAEKKEEKKEEKKKEEEKKKDEPPAPPPVYYYYPNMYHHHHQPVYGCPCGCNRSPDSTCTIM >OB02G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16240519:16243343:-1 gene:OB02G29670 transcript:OB02G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAVVVFALLLVAALCFVASAQDPLYSIASVGVHRRSGEQVSGTIVLVKFYTGRVRIRWILKRVACEKKIISLNQETISWFTEIMPTEVINQ >OB02G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16246149:16246358:1 gene:OB02G29680 transcript:OB02G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGLYRWQCKRRYTISIASLIAAVKGIFLAVSRLSFPSAPRELSPQHNQTPEKPYTTPLPLQAEVTSPCY >OB02G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16261128:16268172:1 gene:OB02G29690 transcript:OB02G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSGDPLAVFLCGSSTAGCVGFVADIYLVIILNPIQEAGSRGASAMATAVCRVWWPRGRLQPEPPARLVLFGWLFARTSSVDVVVAAALPQEEILRSFPTLEALQTIILSSNTRMPARLQECAAFTILGDCVLPREFEVCCSKQSHQPLGAQSVQKGHFDMTQNSPVVLRGSLECGNKDRSDYNSKWEYDYTILDGFLDACETSFVKEGNWVHLCCKSSKSLKCKLNEVPVLHHLYLDGQKIEINHCHVILYDVPTAGRNHFFLGEDAPCRLKSSFRKPSWINNLEHRHLEFDLDPIVLALNCSNAARLSVSQERSTSNSVAHFFFASVFFAIVQATWHCVGIFLASISTIFYIIIQVFQTYLSKISQYFMLQKVFRHSWKNMHLRCCQILYWPVILQDGSLSSTVNVQYLHKAAIQKHALWSNIVMDLLMGLFLGAALLLKTEIICSWTFALVHHITDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFIVGGFLRHIVWGLAFSGILFGLTVPVSFFIDVIQLATLHVTLLQWLISVICSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTTSIFYIFFSILSTAIICLCILLEITVSIIHSTPYAESIMWVARRQRFPTGLFFQPVELSSVSAVDGDGLFSVKGCRKTERLLLGQSEPSVSELRCNYATFGHMIGPSYAKNFNGVALSFCKQLAYGILSGERIPTTLHLQFSQFPWMHVGIREYWMLCRGSVLSCAPKR >OB02G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16272093:16274869:1 gene:OB02G29700 transcript:OB02G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGEAGSSSQGGGCCS >OB02G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16275024:16278531:-1 gene:OB02G29710 transcript:OB02G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGKPLGRGRGRGRGRGRGRGR >OB02G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16281701:16283729:1 gene:OB02G29720 transcript:OB02G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQHAARRADAVGVGSSGQGRKGSFSRPTRGAFGVRRVAFAVGEMSSLARRRLAARFRSELAGVRALLKRAAEFLAPGAGKGGLVSSSSSPAAPRGGKDGRFLAAGLRSGGAPVVAGSKMSAKKRKACFLLEREDAPQMAPDGRKRLAARLASLSAAELPAQIGELSQRHRQGSSGKAASEVHSVDQAALFVLKQKLDKCTEESMNHGHEPTMDASAVIHPSPSPSPSPRQRQAADGKIGVEEEEDVDICGGVSPLPTALSPIFLDVDYSELVGATGVKLLSPLHRKYIALAKRADVCGGATAAAPALPSSLLPAGYSELIGATGVNLLSPLPRKHVALAERVDDCVLVSPTPTDLPSLLLPGLGELAGASETKMLSPLPRKYVTLAERVDVCPGVASTATTLPSLLSEHEFVADATTTGVKMLSPLPHKYVALAEDDDEYVDICGDASPVVLMLPKNHAEIISDSSSPSSSSSSDSDSGSSTSSDSDSGSASAAGSTPAPAIPTNACVISAQPWEPSEPEVAQSAKQEETKLPGHPAAAPPSPPKPLTNLIARAQGAVARRRQEEKAKAREKARRELLDMERHRTAPPSSSTATNAIHPLDMTLLGLAAVEYMVSSDASPEARPRSVAPSLLEKLGLFLKADDDDDDNKPKQQQQPSSVGGADDDVEEGEIR >OB02G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16285229:16286680:1 gene:OB02G29730 transcript:OB02G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASWVVDATHFQGCSVQFSLFTRMYRSVQPPKRAEAWPNAVATSKPQNEGLEVLRSAKRLEKLASLG >OB02G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16289342:16289689:1 gene:OB02G29740 transcript:OB02G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGDIKAVVTRWLGYYNLSFDYAGECWNLCWIGSNGMSKGKERRPTALSLVPNQAIGGTPNRIGFSPRSCNVHIRGSSGSWNAPIVPKLGQGPKFLKVHWSDWKTISCTTTTSG >OB02G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16287908:16292207:1 gene:OB02G29750 transcript:OB02G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAVPLEASAETCQPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNSQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLTTNLRNGKAYMRNEDLDMAAREFRTALELAESIGDRFEEKKAARGLGASLQRLGKYREAMNCYYKVLQLSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGD >OB02G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16294294:16294761:1 gene:OB02G29760 transcript:OB02G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKALFVLALLVASAVLLATAAEQPQNEGQKSGGVGVQDEHGGGGGGHHGGGGGGGGGGHHGGGYCKYGCCGGYGYHGSCRRCCATAGEKPDPMPRPEAHN >OB02G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16294793:16295008:-1 gene:OB02G29770 transcript:OB02G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRRSGFLLTYIRYQYTYRQTYARRCKYVCAWSIHIYVRIQALICMKLLDLRTYVYVRICVLFLFTACYE >OB02G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16298096:16298556:1 gene:OB02G29780 transcript:OB02G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKALVAFAVLLAAAFLVAADETQAGKKEEAKVDVQDYWRGGGYPRGGYPGGGYPGGGYPRGGYPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXRCCASPDEVPEPMYRPEVELHN >OB02G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16321169:16321953:1 gene:OB02G29790 transcript:OB02G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGLLVFTLLLAAAFLVNCSRQEPHSKVNDDKFKKNERQPMHAEPNAGGNGKVSGHRPAGKYGGYGGGGGGGGGGGYGDGGYGGYGGGGGGGGGGYGYSGYSGGGGGGGGGGYSYGGCNGGDCAPCHHPHCEWGCCRGAYTDRCHRCCDYGEFTP >OB02G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16324032:16329373:-1 gene:OB02G29800 transcript:OB02G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDPWLQSQFTQVQLRTLKTKFASVKNSDADHVAIKDLPPVMEKLRGIHEVLSEEEISKFLRESYPDMNQTIEFEPFLREYLNLQAKGASKTGGKKKLKGSVSFLKASTTTLMHVINESEKTSYVNHINNFLGEDTFLKNFLPLDPASNGIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSREAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPELNSTPMIETSDPNERAKKVLETAEKLECTRYVTPKDIVEGSANLNLAFVAQIFQHRNGLSDNNVAPVVEDTPDDVEASKEERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVNWKQASKPPIIMPFRKVENCNQVVKIGKELKLSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRTHSKEKEITDADILIWANNKVKESGKTSHIESFKDKSIANGVFFLELLSAVQRRHVDWSLVKKGEDDEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMTLVLTASIMYWSVQKQGPYQSPDQSASSMPPETLAEEEEEDDEEDFEEDEEEESIEDGVSNLTT >OB02G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16346146:16351444:1 gene:OB02G29810 transcript:OB02G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSYGCSVVGSLLLLLLLVCLGSAVAQKASTWKTLSGGPPLVIAKGGFSGLFPDSSDFAYGSAPGAALWCDVQLTKDGAGICLPDINMNNCTGISSFDPKGIKTYNVNGVPVKGWFPMDYKSADLLQQVFLKQPILSRTDKFDGLAIVPVEDVLSKYKTPAVWLNVQHDSFYSQFNLNMRSYILSVSKQFAVDYISSPEVNFLNSLLGRVSKKTKLVFRFLDEQTVEPSTNQTYGSLLKNLTFIKTIASGVLVPKHYILPVTKDNYLQPHTSVVDDAHKAGLEIYAADFANDFSFSYNYSYDPLAEYLSFIDNGAFSIDGVLTDFPITSSEAIGCFTNLNNSKIDHGKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVANSQFSSQTSVIKDIKASPGVYSFNLTWDDISKNLKPKISNPMSTYKLYRNPRNMNAGNFMRLSDFLDFAKGKDLSGIMISIEHAAFMAQNLGFGVVDAVTKALDDSGYSKQTTQQVMIQSTNSSVLVKFKQQTKYNLVYMIEENVRDAAPSSIADIKKFADAVSVNTMSVYPVTQKFLTNQTNSLVQSLQSAGLPVYVYVLMNEFVSQPWDFFSDATQQINAYVKRPGGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMFPAQPGGLIENMPGPVQPPAIAPLPPLTESDVAEPPLPAVNSNAKAPASSRAAAIRVRADVSVLVTFMLCLCASLMI >OB02G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16361128:16361814:1 gene:OB02G29820 transcript:OB02G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTMRWLTSSARGRHPLAAHASSVAPPPSSPSKRMVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARHVLLTQLAPPPPPPPGSGNHLPSCFGFSLLAASTTTSTDRSLHFTPRTRHGCWENELRRDMERKHMGVYMLAS >OB02G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16375352:16378928:-1 gene:OB02G29830 transcript:OB02G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSACIVTSYCEGGDMAEKIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDVWSLGCCMFEILAHRPAFKAADMASLVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYFQPYLAESCSCSPIYLPVKPTKSNLGDKQSRKPGSGRKRIIKTNGSREALETAAEQAVDTRDSSTYFSDVSTIGTQDAYISQVSVDPEARNKEHPNIDALSLQHTEESLMTTDRQIDETIFLQAVRNSSLVDVAVTNAIQKPNEAPIPNEELTIGVVQEQRKEVKAHSCQGPKPGAGDVAIVTEESSPKSAMKLAHADSTPAEWDHLNIVQQRADALESLLELCAKLLKQDRLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLGGSPKLT >OB02G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16406505:16408867:1 gene:OB02G29840 transcript:OB02G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRSSGRTVLADPSSGGFFVRRMASPGAVAVGGAVKPLARRALPPSSNKENVPPSWAVTARATPKMRRRSPLPEWYPRSPLRDVTSVVKAIERRSRLGNAAARQQLQLREDSSRSVDPATPIQTEQGVPQSTPTPPTQKTLDVAAPCPGSTQVVASTSTTYLAEGKLKAPSSPPDCSLQTPSRPNDPALAALMEKKLSSSIEQIEKMVSKNLKTAPKAAQPSKRTIQRRTLMSMR >OB02G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16411066:16420028:-1 gene:OB02G29850 transcript:OB02G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAAPPPTGDAPAPLPPRRHRWSRIAVELDGRVDARFRHRESVRLLDSFSEIRTFNHNYYMEGQERCTTYMNRGVNDSGLGFQAVREGISAMEFDKKGIYLASVTAAGCLTVHDFETLYCSTYGPSHSLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCASRQNDMVLLFDIGCVSSRPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGYPESQFSSVKLNIDNRTVFAGTKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLSKIPSLKDQTNIVSSEILSIDFNPTCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLESMNFMLQKLQRKPTWLPTSSIYAVGSASNSGGMHLLDFHPDTSSACHVDYNEEVRDSEEKKPAVNKFIPLSQRVFSCAAHPFYHTILAGTQFSSLLILSQKQESIKDSE >OB02G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16272446:16427135:-1 gene:OB02G29860 transcript:OB02G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:J3LEB9] MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDAKPRTPSTRKANPTKLRSTITPGTVLIMLAGRYMGKRVVFLKQLKSGLLLITGPFKVNGVPIRRVNQAYVIATSTKVDISGVKVDKFDDKYFARDKKAKAKKTEGELFETEKEATKNLPDFKKDDQKALDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMQF >OB02G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16429258:16431994:-1 gene:OB02G29870 transcript:OB02G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRPPDAPASACAAEKPDEFAPERPERTLFGFKDKPADEPEPEAASEGGEDAAVAPFRNKEKVLVTCSRRIIYRYRHLMLNVVSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWKLVKEMLTQIFATPKDHRKAKPFHDHVFVFSIVDDHVWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGTFGGPTLYENPYYVSPNQIRALEKRKKAGKYATKVKAKVRRKMHEMENTLEPDEFAELWKGED >OB02G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16434821:16435897:-1 gene:OB02G29880 transcript:OB02G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACRGSPSRFSSASAIVADTETGYHLLKIGAYTRTRATAPPASFLSSSQFTVGGYRWRIDYYPNGASADCADYISLFLLLDEKATNSSVKVQAQFQISSTDQVMKPPPLASTRVNRYCEGSWSCAHRKFIKREDFEKSSDLRDDSFTIRCDVAVIGKIRTEEVTTTTFVVVPPPELNQQLGGLLETGMGADVVFQVGDETFAAHRCVLAARSLVFSAELYSSMKEGDAAGVVRIEDMEAQVFKMLLRYVYTETLPEMEEDVMCQHLLVAAGRYNLERLKLICEEKLCRYIAVGTVGNILALADQHHCAGLKKACFHFLSSQANLSAVIAADGFEHLSRSCLLECITIYSNTAPLL >OB02G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16453287:16458813:1 gene:OB02G29890 transcript:OB02G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNVKITVRNAIAQGGFSCVYLACDTLHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGNGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDKPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTAVTKLIKDMLEASPNDRPDITQVWFCVNELLPLELQKSLPDGASSSISMCLQDEGAYKRTHVMPRRNPPPREQSSSSLSHGSSKAGDGPLGAFWATQHAHGSEVTDNRNPLFDEEPIKPSPSSKHNQSRGDVSISAPGVRHGSGQAVRGTPSNSASNNGLASGANTNLFMESQSSLKTKPSQPKFEKDPFNSFIADFEANNLHSGTNAAGKESELEAEVSNLKEQLKKTSLEKAEMTAKYEKLTAICRSQRQEIQELKRTLAETTPPPSNKVSSRIPESGSLRKEKIEGTVWELEQGMLANNSSLPSSEAKTWQAFPEPKSQPAQVRPKVDHTANGGQNLGRNTNSRQPPEGWGFGPDSFRTSGTAAAQINRATAQGSSSHRFSSGAAKKVEQPSGWAGF >OB02G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16459707:16459925:1 gene:OB02G29900 transcript:OB02G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPCQQLGLLGPKQKEVSGLLRDDVFTEQGLGVWPRLVGPVKKGWRVKIGLVAQSPIGVQPGLNTEPGYFDPG >OB02G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16467846:16468713:-1 gene:OB02G29910 transcript:OB02G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSATNPLYEAFGLYDAASPADVDAFCSCLDAPPHAREAIRRYAEKTHEMIVDIAAKLASSLGLDCSFQDWPCQFRINRYNYTPDTVGKTGVQIHTDSGFLTVLQEDDCVGGLDVADPVTGEFSPVEPLYGTFLVNLGDVATAWSNGTLHNVRHRVRCVAGMPRISIAIFLLAPSDDMVRAPEAFVSAELPRRFRDFSYEDYRRLRQSTSEHAGEGLARLVA >OB02G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16469259:16473820:-1 gene:OB02G29920 transcript:OB02G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42370) TAIR;Acc:AT5G42370] MAACPRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPPLPLPLLLLLLLSRSLRADRDAVVSRIAFGSCANQSAPQPVWEAVVGFDPQVFIWLGDNVYGDNKRPFRAWGKERTVGPWRNVPRFYPSPEDELRRRYEMAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFSGKVINQRLLLDFLDEARDSSRRQQAGVYTSYMFGPEGKRVKVILLDTRYHRDPLLSDGAILGDPQWQWLERELHGPRSEITIIGSSIQVISNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEISRYDCGAQYPLYDITSSGLTQSVENSVPAVFQPLFRLLALLTPTTTRVFSPNCRYKSCTYGQPNFGAIEIHWDAVPPKIKLDLRDIQGNSVGDVEFPISELELSNTHDIKKQGHSYQHCTLETELSWVVRYRLALLFFGTVAVSGIAVVLIVIVCLSTTNIFTKKSKME >OB02G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16476764:16483528:-1 gene:OB02G29930 transcript:OB02G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSPAIRPIGRSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRGYGEDSFTVSDNGTGIAPSNFQALALKHHTSKISDFGDLSSVATFGFRGEALSSLCALGKLTVETRTKDEQVGTRLEFAHSGLVTGESKMARPVGTAVTVEKLFSTLPVRSKEFRRNIRKEYGKVISLLNAYALISKGVRLVCTNTTGKNSKMAVLRTQGSSSLKDNIITVFGSNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDRQFFYVNGRPVDVPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEQTILLSLREGIENMYCPQQCSFSINNIEDPEKEMDPAIGGSDENMCLIEKENVSATENDDHMDETDSDDEVAPENQKVTSSVTETVATGSKPRDVSPLPRGPPAQVDRSAWLSAYRHKQPEKMPTQVKGYLTRANQVRTGLATKSSPSSTVQPSIMNFLSQNKRKHEDSCNLISEAPVLRRETCLEQVRRTELGANAPDTLTSSISNIVNTPQGTNPLRHHSPQSFVPETTEDSPQYLEPPNIFSRTDEVPHLHPSDVHTTESEADKQHDRRHSKCGSPGRCSEVEPQNELTIISVHDAHPDGHGNAIHSGSLSFPVMKFTLADLRRRRKHSFMISHTNKGCFPEKTTRCYKAATLDNNVPDNEEGKSKSLAAATSELDRFFSKDDFGEMEVVGQFNLGFIIGKLDHDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLELSPEEEVIVSMNMSTIRKNGFVLAEDVHASPCNRFFIKAVPFSKNITFGAQDVKELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKAEMKKILKNLTGLRSPWNCPHGRPTMRHLADLRTIKNEGWKATFS >OB02G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16484733:16487505:1 gene:OB02G29940 transcript:OB02G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSKAESMRKWVVDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDQKYGTSGPKVDKYTSQYLAHSHKD >OB02G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16488504:16491070:-1 gene:OB02G29950 transcript:OB02G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: response to oxidative stress; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Folate receptor, conserved regio /.../erPro:IPR018143); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G27830) TAIR;Acc:AT5G27830] MSPTVASRAAPALVLLLLVLAPLLLICSPVALAGEQKGVCISPGGRFPAFSSEGKPPGRAAKGRRDLALCRIFRQKTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSVCDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKSQALSPCGLGDILCGKAHKWVSNGTELCHSAGFSVQASEINSGGVDDTFCYGGKASFDSISSSWASSKERPSLSDVVSWNLEDFRRWAREMPAIERVPWAIGGMVLTVGLMFISKRKSYSRHQKQAVIARNVRKLESRVRPQQLR >OB02G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16492325:16493170:-1 gene:OB02G29960 transcript:OB02G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETTHKNRSSSTKHPQVISKATLHSHPKISSTSPSAAAATTSSFLQRCFLCRKELAGDKDIYMYRGDRAFCSVDCRCRQIFMDEDAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRTDRNHRQLQGKKKKKRTQRRLSSLTKKAQEIKHNKIEMCLINHSLMEMYLLINSFCFSYYYYYLSFGLGFSNRVRGSSSAWDPRTRAYLHTCLQNKRDKMVQDLDSWTPSS >OB02G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16507500:16510688:1 gene:OB02G29970 transcript:OB02G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSPFFSIARAHAGAGGRAAAAALLLRHPVAQLPPRIHGLRYYPSAIVSPAKTLNSHLVLPRATISSFASADNGSSGKAEAEEEQNGESELSEMAKAFHISTRMAMSISVVIAFAALTVPLGMQSLVFHGTPKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAGVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKCIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAIIVNRVIKKQLGDLLSSEAEKIASADKMNAQQVGFLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWVVTIPVGAILSIFYTLLLTKILAYFM >OB02G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16511685:16512253:-1 gene:OB02G29980 transcript:OB02G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPHAAVAPPRRRSAFCSSKTAASGGGALDSTRAPLLSARPHSPRSPTRRRRRAEMLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAMAESDLEDKLNEIRKTNSNLVIIGKPTGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >OB02G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16512931:16515753:1 gene:OB02G29990 transcript:OB02G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAIRARVCWCICVRGAIKLCGILFLHLERKWIKNTCLNTTIPPIKTARPFSRLPFLWPPGSAPRANARYVATHPSPAARRRSPVAAMDPTPQSHPILAYVLSRLPSLLPASPTLSTPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWVVRVLREAEEGKVVERVVLADRQLRHLPEPFGRIRGLLVLDVSRNQLKAVPDAIGGLEHLEELRLASNTLVFLPDSIGLLSNLKILDVSGNKLRSLPDSIAKCRSLVELDVSYNVLAYLPTGIGQELASLQKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPAGIGRLAALESLNLSGNFSDMRDLPPSIGDLLGLRELALTNNQIHALPDCFGRLERLERLRLDENPLAVPPKEVVAGGVGAVKAYMARRWRDARAEEERRGAAAAESPRVSTPKEWLGRRVSSLGSWVSGAAGQQDKAAAEEDAYLQQNL >OB02G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16517297:16523344:-1 gene:OB02G30000 transcript:OB02G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGLAARPDEWDVVLKVKYGETLKRFNGYVQGPQFSLNLSALRSKIASAFKFDPNIDFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNSSHTAAPQAKQQDSNNTPLRPTTIEDPLTQIKSVIEEVLKPISYPLRSTAQEDRLSQVKSAIDEAIKSIQEPVPDALAKLSHEVLEAAPPHIAELIKPFVKLVTPSNNQPSNGRTDGSSSSTGLPQTQADLKTNEEPKIDTSLVSGPLDKQNSKSSGARGLKTVPVEAPATLNVKSSQGRQSSLYPSIEELLFSTNLTNSAEDKSISKGINDAQSKGKSVMTSDTPPAPPAPVFRPAPPIQSPNGWSQLPARGSTFHPSIWQSEADSKANSDSRWRLPLYRAGTFRPHTPLSHVPPQVPPAPMSYGHSPHFPYPGRLLSSNHLHGDLANKTESSPVCTFHRWIQCDGCGVQPIPGPRYKSKTKEDYDLCGACFHRMGNEVEYTRIDKPLLPQRLVGDSTLCRKIHSRAAMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSITWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDQEIDVAVDFVAPGRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAAINLNLPPESNNTNTNLIDVNIEPVDQVFGQHVNSRTKELLEQLIHREIDEPENPEPAPLPVPLVSSTASLHPIIDVDVPSSSTPAAFVPDAVVPPPEPAVSPVPPTVNVPPGNAPASAGASTSDHYGINNLTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMVRYMSHSEQRSCTRLCFGKVVNLAVVSPFGFDDSEINKEVLAKNGGSIKRAVMDLIAREKKDQ >OB02G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16527163:16527402:-1 gene:OB02G30010 transcript:OB02G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAQFGGGTLGHPWGNAPGAAANQVALEACVQARNEGRDLACEDSEIIRSACKWSPELSAACEVWKAIKFEFEPVDKLDN >OB02G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16528366:16533871:1 gene:OB02G30020 transcript:OB02G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPREAAAAGGRKLRRRGGGRTRRKPQASSSPQGSAPPPAPPAKRKRGSDATPGGGGRGCKPTKKPTCLLDKMRARLSGGHFRMLNEKLYTCSGKDAFDYFTNEPDLFDVYHGGYQEQMSLWPEQPVNVIINWLNSNSAAWTVADFGCGNATVSKNVKNKFSIDLVSDDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPRYIEEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFCEAISKLGFSLVSKDAKNKMFILFYLQKKEKNKVAKTIDWPQLKPCLYKRR >OB02G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16536460:16538404:1 gene:OB02G30030 transcript:OB02G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILQSAEEKWPEALSKVGGDRWGHGYERGQGEKAGNAATTELTTADGRRDDGCGEAKATSAGGSSPHPEGRRPTQGGRRPTQSTAVGGRHTDHGDEDSGGEWTTRRWLRVAGPPHLERATGRHSRRRRAGGASTAEAKTADAGGGVLAADESAGFAALHGLKIWPLGATRLPSLRAVDVPWSIAAIEPKPSPLDLHKAEAVKLQPINHTGGTVTLDNTGTGMRASSRNKRHNVRDERRQRNCDTPRHGTAMRQAGQQQSVRLAGWPTGGRASDRGDKKPVPV >OB02G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16545692:16546276:1 gene:OB02G30040 transcript:OB02G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPHGCLLLHHAGHGHAHALSHSHSHGRAHTSTRSPSSAPPPPPPAASPAIATTAIAPPVTKTAPPTSAPGADEDEWGGLLRREPPEAGLLQDVLHGFYPTPTRRPHDDDLRDARPALKHERLYDASPAASPWGIVEGDRDEGDYNEDDDDDNDGDYRVFPMMPQGLLEDVIQCQPYLEVFAAHHPQRPKLN >OB02G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16563733:16566238:1 gene:OB02G30050 transcript:OB02G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGEVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLNSTRHYAPLCEDIGLTSRCVDAVAALISNPAALPAHSTSASPWWAHDVAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGIISADQPFDGASGNADDGNASVKQIATRHRLLLEKIVSLIPAERDAVSCSFLLKLLKAANILSASATSKAELVRRVAWQLEEATVGDLLIPSLSCVSETLYDVDAVAAILDEFALRHAAAPAAAAPXXXXXXXXXXXXXXXXXXXXXXXXXFDGARRSSSAAPVSPDALVRVGRLVDGFLIEVARDPNMPLDKLLSIAEAVADTGRPEHDGLYKVVDTYLKVHPEMSKNARKRLCRVINCRKLSDKACAHAAQNELLPLRVVVQVLFFEHARAAALAGGHAAATELPSNIRALLQSKSGSEADDDAVDRVDEQRLRALAAGASPGDDWSVEGLRRAASQIATLRMKLEEDDHDGADDDEFVRKQAAGLARSASLRFRAFCAIPAGKPKRMLSKLWPLARGVTTERH >OB02G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16584416:16587864:-1 gene:OB02G30060 transcript:OB02G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGPEAQMECPKCKHVIDNSDAAIQWPGLPAGVKFDPSDLELLEHLEQKIGIGGSKPHMFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGQRKRRKISSCDHHIVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYKSSQRGARPDKANWVMHQYHLGADEDEKDGELVVSKISYQLNGKQTDKSETENVDEESDAFAARVGPKTPKTNTPQPCRLNNSPCETENYVPVLEDQEGEESNIPIVSLKDDSGNPAWCAGESHAVQEAVQTRPNLDESLHCHEVLDSFLHETLLPSDRPILSQGRNEMPDRNLNPLYGLCDLFNVDLGTPPDFQLADLQFGSQESIGHWLDSI >OB02G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16593175:16595740:-1 gene:OB02G30070 transcript:OB02G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYGYKKNDGGICGGVCGGGSEHGSKGVLSMSRLKCALRRFDFRALLILLIGVPTLIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKESRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGIADDDLLIMSDVDEIPSGHTINLLRWCDDTPEVLHLQLRNYLYSFQFLLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMDQYRYLLPGNCMRESG >OB02G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16608054:16611087:-1 gene:OB02G30080 transcript:OB02G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYNCKKTDGICEDVCDSEHGSKAVFSMSRLKCALRGFDLRALLILLIGLPILIFVIYVHGQKVTYFLRPIWEKPPKPFNILPHYYNENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKESRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYISDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICHGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAYLLEKVDQYRYLLPGQCMRESG >OB02G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16621862:16624217:1 gene:OB02G30090 transcript:OB02G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQSLWDTYELVAVARKLESGLVLADHPGAQERAAITHRQGGGGVKRARESSRSLRNMFLRSSSRRFEESSS >OB02G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16623275:16624171:-1 gene:OB02G30100 transcript:OB02G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLRLLSLARFTPPPPCRCVMAARSWAPGWSARTRPDSSFRATATSSYVSHSDCAKSHAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRARVVSASRLLSNLYHPPLHSTQSGGLFDPKTTNPP >OB02G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16624608:16628892:-1 gene:OB02G30110 transcript:OB02G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTELGGRVSAVDLGGGSSGALGAAEVNANLVGCELRGFNLSRLLDLMHDKLITELDDISHFALDVLQHKQQLSKLRLTENSYQTPLYASCARKKHRKCSLTLCDFSFLSYNVNLVQVNGGAATDAVSTIQTSGGTATVAVAQAADPPAAADGAFHPTTPTGTGGSGGPQI >OB02G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16632679:16633281:-1 gene:OB02G30120 transcript:OB02G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRRDEEAEDDSMDLDAGADAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEVRTRRASALAASRKPTPLEQRVREKSLKRAYQARVADLKEEIRQNKVAKRKQREEREKRKKENVLRSGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSEASRRMQVPGLEN >OB02G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16634758:16638012:-1 gene:OB02G30130 transcript:OB02G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPTPLLRRLLSPSPSPSPSHHPLAAAVSRRTVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVRGDMPTVPAEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNVNILEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVTDAVIDHLD >OB02G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16641621:16644577:1 gene:OB02G30140 transcript:OB02G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:J3LEE7] MVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPRIVVFLNKKDQVDDEELLQLVELEVRDLLSSYEYDGDDVPIVAGSALKALEALMANPAIKRGDDEWVDGIFSLIDSVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRETRNTTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPASITPHTQFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINTILKCSTNKGEPTGLLGDVLTPENHFSSLLGNDKLAKYVGYLYIGKEPLNQNQDKMVNNKDYSCTGGLSQASAMLFDSVDGIFRSSVLEQEQ >OB02G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16646965:16650688:1 gene:OB02G30150 transcript:OB02G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYPSLGSGSGCGFRDSPTSADSDRWSRAAPAPQNGERERPRLVLDPPKRDASATPAPPPAEAARSRPSPFGAARPREDVLAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSRPGSPGSQASAVGSEGVPRTRPKVNPFGEAKPREVVLQEKGKDWRKIDLELEHRGIDRPETNEEKLLKEEIDLLRVDLKDTETNISEEEKKGLSEKLSQMERELKRLTVELDDKARFGQRPGSGSGKVTAQLPTNSAEESHITESMEQPRSHSNIDQNQKPVEERWGFQGNRDRGSFGGNRSSDRSLTGQRW >OB02G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16651447:16653327:-1 gene:OB02G30160 transcript:OB02G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT5G50200) TAIR;Acc:AT5G50200] MARLGVALSLSSLVLVVLLGAGLPRPAAAAATQVFLSKLPKALVVAASAKHGEVLHAGENTVTVTWSLNSTEPAGADAAFKSVKVKLCYAPASRKDRGWRKASDDLHKDKACQFKVTALPYAAGGGGGRFDYVVARDVPTASYFVRAYAVDASGTEVAYGQTSPDAAFDVAGITGIHASLKVAAGVFSTFSIAALAFFFVVEKRKKDK >OB02G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16657620:16660731:-1 gene:OB02G30170 transcript:OB02G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MATPPLGLARPGAGAAAVTPAAAWSSSCSRRPLRRLLPSKRWSGVVRMGATVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSFDLSGVGNKVTNFVMGGWWSGSSTMSVNKNFVQQVEEKFTKDTDIVVVCQKGLRSLAACEQLYGAGFQNLFWVQGGLEAAEEEDFEREGPQPFKLAGIGGVSEFFGWTDQQRAQAAKEGLGYRLTFTGRLVGALVLLDALFLGAQRIGPLLQELQSH >OB02G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16661553:16669894:-1 gene:OB02G30180 transcript:OB02G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1745 protein; Putative uncharacterized protein At1g13120 [Source:Projected from Arabidopsis thaliana (AT1G13120) UniProtKB/TrEMBL;Acc:Q0WPZ7] MGFARVELRCPRALDPRPSWTLGDVLAQLDSPEVDSLEATRRSAQPTPLKLPPEWASVGSAREKAFVMRVEEEDETDEDDDGSDGKSRALVANGARFSCNDLESSDVEESEDELDGRIAPYHLMEKRSLEKSILLELEREHHLKVQEEVRSKLSALEVCHQNEIQRTVSAFVRLQKYAESRKELDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKIRQERAKQEAEARQKAAAKLAAEAQKAAAEAAAKEAAEKEYAKVRDAAASRSSQNSQSNVAATMAKKNEIESELPGIKVFADNSALEAESRRRALLDQVPANINSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLAEFHKVCIYTVPKHLHALNAQARNRDYYRLIGYQEENGQLESTESYLTYVAAYIKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNALPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVISRCFLPALKEQGSRIQAEATSNLQNYLTDKVYLEEPEGQYLAQQLLSKELFT >OB02G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16673427:16676308:1 gene:OB02G30190 transcript:OB02G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRPSDLLLLRRVALCVVLAFAGQQCWYLGRGVVAVTLSTSSRWIVDEAGRRVKLACVNWPSHLEPVVAEGLGRQPVDAISKKVASLGFNCVRLTYPIALATNASLSSLTSLLAHGLAETVAGVGENNPGFLDLTLIESFRAVVDSLGENDVMVILDNHVSQPGWCCADDDGNGFFGDRYFDSDVWVQGLANMAALFAGVPNVVGMSLRNELRGPRQNHGDWYRYMQRGAEAVHAANPAALVVMGGLGYDTDLSFLGARPVDDTRAYDPVVKAVASWYADLTEDGLDAFLTDNGHILYDGK >OB02G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16680229:16687639:-1 gene:OB02G30200 transcript:OB02G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQVPYAIPLCGSFSSFSASRPLLPLEKVIEAEKEAARQLVQQKKKDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVLAEFENLEAQLAVESLPDAPVTEVQPEQKSQTPADTEAAEDIDEVIELPDVPTKAPERPEAAEKAKVYIIYACRFSATAAWHGILSILSGRVVGNGICKDTLHANKNSTQWGSAALLRAGGTFPYRGTWGGGSSGVITSVPANVEHVLKGNFGNYPKGPYYRERFLELLGEGIFNADGEVWRGQRRAATAEMHSSQFVEFSSRSVEQLVYGRLVPLAERLGDAGAAVDLQEVLLRFTFDNICAVAFGVDAGCLADGLPDVPFARAFELATELSLLRFITPPFIWKAKRVLCAGSXXXXXXXXXXXXXXXXXXRRNEMRKVGSLHGRCDLLSRLMSAPGSDYSDEFLRDFCISFILAGRDTSSVALAWFFWLLACHPDVESRVGADVPAAGGDTKKRDSPPAAPRGAMPLSPPVPVDFKEALADDVLPDGTLVRARQRVIYYTYAMGRDPASWGDDAAAFRPDRWMRGGAYAGGESPFKYVVFNAGPRLCIGKRFAYTQMKTVAAAGVSGDIAIL >OB02G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16688376:16688836:1 gene:OB02G30210 transcript:OB02G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPGNDGGTGACTYTCTHRRGLLQLTRHVAGGCPHCRRFFQLLRLHSSVCARPDGDSCGVPLCSNFKATMEEDKVDKTWKLLVKVTRAWASRQRPAPLIVQKSWARYSSSNRSRAAARFR >OB02G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16696524:16697023:-1 gene:OB02G30220 transcript:OB02G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGHIYNISMLFQALTNSAESKFYRGKMRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAASSGLFLHEGDRRRQHQHAREWEEERKKMRWFMVRDYAHARRHTPRNNRLDP >OB02G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16698498:16702804:1 gene:OB02G30230 transcript:OB02G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDTFAGQTRATTNKGNWMAQHSAGVMADLDFFIGEDALARSRASSTYSLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPQEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYIKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNNFTTPLHVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHSIQRYAVWFGGSVLASTSEFYEACHTKAEYEEYGASICRTNPVFKGMY >OB02G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16703676:16706783:-1 gene:OB02G30240 transcript:OB02G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIGGESGEIVSGFLIVLSRSAWDGIREFWRGDADGDRAELGGIWVLEWGVGVLDVSKMGFCDARGGSGGGTTEFFASTELQGRALQRSMQCPMDAAASGTSPVMQFHGIVDEPTSHSSPLHAVLERSQRHCYGHETPGEFPLAVSPSIVLHVLSTCELDPKDLAALEATCTFFSKPANFAPNLALSLPEVAAFDMCHKRPMIKTMTQEEKEHLKQRCGGSWKLVFRYIVVRERNYSRVVAGPGHSIVLTTRGDVYSFGANCWGQLGLGDTEDRFTPCLIRSLQGIKITQAAVGTRQTMLVSDTGSVYAFGKGSFVGAEFSDAADHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTFSWGRAERLGHSSDPSDVEPRLLSGPLDDVLVTQISAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHFQTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYKALPTVVQGLSNVKAVHVSTGEYTTFVVADNGDVYSFGSAESLNLGFQEDEEAADDADFSTPTLVESLKLLNEKAVEISPTNSSYWLNSEMGFPHTFALMESGKLYAFGGGIKGQLGVKLSEGQDRVQNPERVPVDIC >OB02G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16712482:16714279:1 gene:OB02G30250 transcript:OB02G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACVGGNAVAAGGGGAGEIEWEVRPGGMLVQKREGRGGEEVVLVRVSTGFSWHDVSIGATSTFACVGGNAVAAGGGGAGEIEWEVRPGGMLVQKREGRGGEEVVLVRVSTGFSWHDVSIGATSTFGELKVRLSMVTGLEPREQRLLFRGKEREDGDHLHMVGVRDKDKVLLLEDPALKDMKVRAALAAAQVLQSPCHPFIQV >OB02G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16715883:16716068:1 gene:OB02G30260 transcript:OB02G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFYGRVFDNSDNCSVLHICQTASMAPAIPALPSTTSVVVLGRMMNYATFWRQKVILGFY >OB02G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16721876:16725998:1 gene:OB02G30270 transcript:OB02G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGIGASVWTFFKFLPFFVGLLLLGIIKGALLFPWAWLIMMIGISSLVIGLWPMHVIWTYYCIIRSKLVGPVVKLLLLLAASVVLVLWLILGIVGSVLAGLVYGFLAPVMATFDAVGEGKERPLYHCFVDGTWSTITGSCTVVRDLKDLLFHSYFSIMDDLRFHAPPDGKPYEIRLLDIPGALFAAACGLLVDGIMFTLIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVFGAFLASVISSVPLGAYAAVVVYQESSLVMGLNYVLSSVSIFDEYTNDVLDMAPGSCFPRFKYRKNEASTESGSLSRPVSFKDKQDGKKAPSRVTSFKGSFEEFNPFKLLDHLFVECKHHGEVLVAEAVITPKDIEETKSGKVGILNVGLPAYVILHALIRSAKSNSDGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKESLPHLPSLNERKQAEIDALSRRLQGITKTISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSGSQMRKLRSGIGRMLSQRSMGKRTSSRGDDQEAQLTSDP >OB02G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16726605:16729133:-1 gene:OB02G30280 transcript:OB02G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHAEFFRAQPAWALALAGVGLLGLAAGVEGLREAIRGLEVGVLVNNAGVSYPYARYFHEVDEELMRTLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGSSSVMPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPKCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKEQ >OB02G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16731281:16738075:-1 gene:OB02G30290 transcript:OB02G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCEEEDVGLAILFLEANAECGGTGEPNIEPQRQAKLSLDKRDEPCLRDTGDQTGPQELGQKQKKDEKRRCRDGMKKNAPNDAGEGEETSNRDKRRNRKAGSDAEEREKKMFNGGNKAKSRKVSSPFFEKERKKKMLGMSSHQNGEQKKSNDDSDKKRTVPKVRHNDIMEMTIKEKKQDVSFVQPEERTSQTFSTNNKGKKRKALSTLFKREQKMREASSDDKKETKKTRIVAKGNDRKVCSDGKKKKRKASFTFLKFMCNKFKELLFIPPAVASSFKDLINHHVYLEDSEGMSSKIKLSVVDGSLAFYEGWNKFVSEHFITWGDFLLFEYTAESTFSVRVFGKDSCERLHFNVKSGRKGTMKKRNERYTLSDDLESHYDGQDSEDVHDAPHVSGESLRNKEPKITVATEIRTSNLAAKSINAASETQDSERVESGIGHGSVGALDNMDRDVGNIVCKTRSDSVLFIPETTKRSEVIMIIDESSSTQENEDTMTQMTSSEDSETRHMTINAKKDPERVPDGVWCKSSVALNNKTENVFLGECKNKIVSPTCSTEKTNGSETIPITDVIPLTWGNVDTFSHLKEGGSTREPELAAATPTKCTEIHDSDEDLRQRHQWSTVQVKSAIAMDRYSNNSDMDISGNISRIYEAPGGTRCLEKWNKSIISDRAALDDIEQPQVRPEELQKADKKLVDNCGAMGQNSVDPWLESDVTDTCLKPIENLLILDSRTEIDHFVNQKGAIVQLQTKTEPLKPTGSTGSIQGDKIPVCVNHILAQQSEEIPQQENGKFTSCVIPVALLVSEAKLLDLDDHSLQFRIPSTIQKWLELPKSLPITFRHKGRLDRRVVILKDPMKRLWPVFYHDHPIFVGFTSGWKAFAAANDLQSGDVCKLVKEPDEYEPAFQKIYMAQDWRLGAGTKKLFLLVATCNNSNSAAAGWTPTRLCESRRCRGRPGLAVPLHMCLD >OB02G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16739437:16746807:1 gene:OB02G30300 transcript:OB02G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSSPSARRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDTTSGETFEDAPDDLAVGSSRSARSLDESIAVIDFPDESSVAAECRKYKEEREVFARETVVLRKMLRELVLGEAHESLPAEDSDERVLASPTPLHSMLDDCSRLVLELNSVVRAREQEIERLRVGYAEAEVTREVVDANLGSSREGSEQAIGRIIASVDAVVGQYEVSSEGADEDGISLVERKTSLLAERYQHILLGIEQLEQVLAEVRPDFVATGRCDHATILGIVSEELVSSKRNEADFLQKVNTFGEENKNLAEELQTLKASLDAANAEAKKAKADFEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQNSYAMQAAESRVEELKVLLDEKSNEHEKCLDELRETYNAWEAAKAAVEQLTEQNTALTSVQVSLSAKDGILQRIEQVMSEASFPQDVLSFDMTDRLEWLVEQKKIADMIFSEHRKVKDILGSADLPHAVLTGELDSQIHWLLNSLYQAKQDAARMQDESSSMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILTNEHAELISMYNAVSDNLSVVSSQYTELVKALTEFSDVQLEGNEILDGTKLVEQCLINIQGRGKSSPVESETFEKLQTQIYTLDQELTLCKIILEEDKVDKSEMMRLSDELQRMVQETYVLKNERDSLQKDLERVEEKSSLIREKLSMAVKKGKGLVQEREGLKQVLDEKNSDIEKLKHALDEKNSELDNLKQTLDGNSSVLEKLKHAWNELNSESESIKQALDAKNSEVDKLKHALNENNSEIENLKETLNEKDSETDKLKQGIDAMNMEMENLKYEIASRESAVIDLREQVEHLSSKVTHSEKLQLDIISLNDERGKVESMLTESKASWGALVESISSIYLPFDNPCEEPIDKIGQIVQYIKELQAAKSSVENELHKANEQVTSQDSRLADALSTLKVTEDELSKLKEHISSSSEEKLQVQLHIAAVEEELEKTNEELAMTASKLEDANVTINSLQDALSEARVNLSVLDAEKKVAEAKHETETSALNAKLAEYLEELDKSHGNLQSHSTEHHGYLEKLNTLAMRDNLLSLMAEEFRKKVSSLGEMGLMLRSMHEQLAVKGFQIDPIMEDSETGMPFSLPDYDNFVTERMASSKIRKGNADGVLSFSTIVEQMSNQAEYLSEFFKDLSGFMNHNIMLVHRSLQLASSNVAHTLEEHGTLRNELQNKDTHNRAQEAELLYLQKELRAMSSSCINCSQQIQTISDDLLELGYAIELATGNSNAVSKVEGSLSVLKDMDDGDYIKVSDALLSTVSKLKSESEKLSNQKGAVFTLLDELKSRLKQMESAAETSSQEHEQYVKRVCLLEKDLETLKDECKGMEIKIQEYQERENMLKEKELELLSLEHAQSKIDRGMAKVISKDQLEALFEKINKLNISSAESHLQRELAISSSPIEKLFTLIDEVDALRHEVDTLRYENEDLHLNLESHAREIEQLKEASRNSDSNRRELESKNSELLEVTVSMERMIQRLGYIGGKEALEDNKPTSTHALLSKLEKLIISSNMESGNAKSLIQELGAKLQAREKAIEELSTKVKVFDDLHHVRLVQPEANVDRAFEASSSAVGSEISDTEDLGPAGKASISSVPTAAHSRIMRKGSSDHLVLNIGRESERLITAQDSDDKGRIFKSLHTSGMIPAQGKQIADRVDGIWVSGSQILMNRPRARLGLMVYWLFLHLWVIGSIL >OB02G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16745384:16745943:-1 gene:OB02G30310 transcript:OB02G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPLSSESCAVISRSDSRPIFRTRWSDEPFRIILECAAVGTEEIEAFPAGPCRQYQISLNQPLRKMLRMLY >OB02G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16748477:16755794:1 gene:OB02G30320 transcript:OB02G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) TAIR;Acc:AT3G48470] MASKPSSSAAGAAASRGGGGDYGGARREDLTLDKVSEAADAVATASSAGEVVRAIHAVAALVFPVDSAAVAGTVDEPFRSQIINAISLSDDEQGSWMHAFYHGPAFPTISKILLSNVALKWLRQISASARKEIYDSFFVKGPPTEVIQALVPALSHKGGSKEDHNTICSNIERLLILCLVENKGVGQIIAEFTVSSKHDEDNLNPGRATLISRVAQLLASIPDKTRMGASPALSSSSFFKCVVDQLLLGAEQATVELDADEDANGSDASNSVLLFVGETISRVSRRGSTGILVAELIPRIRSHLKRCMESDHKTIGPDMIKRVSQSRFWFNMVEAIRDQHSIERLAEEMLRQLASQRTSDEEAYWILWTLFNQSFMHNTVMRGMFVDKFLLWKTFPLCCLRWILHYAVFQFPPNSAIETQKQRTSNFLGTLQTLVSAWSKKECVQSYPVEQQAYITAAIGLCLEKMSKRELETTKDVLNNILEGVSCRLESPVDLIRKMASAIALTFSKIVDPNNPLYLDENCLENVEWDFGVLSPKEITAPKDVEHRSKLKASLPENKKHVAEKKAKAIKHGISDNRAKIVEIKSLDSCETSGHAMNGDFEEEECDEERMNIDASSESSLEPYDLSDDDTDLQKKFTQLTDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLARALVHVRCSDVAMEGEEDSTEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDRGPPGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLEKAKDMQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCIAMHPEASAIAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASALIEGNQDISAGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHGNASGSRSLPSKLDRIIIPFANMM >OB02G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16758146:16761642:1 gene:OB02G30330 transcript:OB02G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSNSLPVESKFTDAKENDGIKYAVSSMKGCTAKMEDAHAAFLNLDDTTSTSFFGVYDGHGGPEVASYCAKQFHNELRNHEDYHNDLTNALKSVFFSMDENLQRSDTWRELVIPRDHGWLYFLKTGVCANLWPFPQATYTGPAHEGGTACVVLIRDNHIIVGNVGDSRCVLSRNGQHIDLSFDHKPGSDFAFKKNKDLPRERQLLVCDPEILTVGSDGYWPDLCQDYITEDTEFLVIASQGLWYCVDSEALVAYIHDRLFARADLRVICEEVVEFGLPSGENTTVILVQFNARAFQYELVDPATFPTSNIASTSAGAAGASDSSATSGEVDDGNTDDADEVDPTATAAANAATPATRSSLTPPPSWPLARSSI >OB02G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16763123:16764259:-1 gene:OB02G30340 transcript:OB02G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHWSSLPLDMLVLILERLGWSSHPSFALTCRHWRSAVSPFYPAWISPLLLSAADVGATNIRYYSPYYHKSFEVADTLEVPNAKICCSNGQRLTLCLPKLALQADLMAGTVHELPEMPFYMSEFTIYDDAARRMFCVNTKFALQLARMTQDDDAGGEWELTDFDLEGPQLLPASTSNPVIHGGLLYLLGRDGKLAVYDPCNHGGNFKVPDKPKSFGVDHQVDSHLFESDQGELMAVLVGYSGSPVHVVKLNEETMEWEKMGSLEGHALFTGTRTTMVRKTRLKSMQNKVFLPRLYDWPETIHVDLVTRDGETAFVPKPCRSSAATKEIASDMNIWSYELGPGQREAREFWGSEKVDYSIWVDFSTGECDSQVKSCI >OB02G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16764771:16768625:1 gene:OB02G30350 transcript:OB02G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDQSPSVEFMEAAGDASALDPKLAPLLLFRHGDATFLYSVPARAPLPRRAADMDDMMRGHRWWTTAQGWLLMARRGSPDTFLWDPFTGRRVALPPDHDGTVLADGRHRRCLLSRRPPTDDPTCVVAVVVHPADMVLWYSRPGDQRWVKHHHQFLQPGTHLPEHPFSVISSLCRLTAIGDGNLYADLGEHFFGVLEFSPEPVFTLTAVSGGDDRRQGVYAFRTHSFVESNGELHCVCLYHPADCDRVVERVSVYKLSTGTGTAAQQEQRPRPAWVKVDSLGGRAFFVEMDSFGASLDARGGLKGNCIYYSGLNGKVLIKGFGVEVVAENTSAVAENPPPIDPTSIAAVDDSTTGDQIEVDATADTDISNNTGDQIEVDVTASSSALVTVAAEEGTGKGGETMARALASAVAANNNIGSEVDVDVPETSSLNKLECWICRKTFDRIMKERHVGTRHNFFAHVAKCEDKKDLEVKNRDF >OB02G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16769255:16771729:1 gene:OB02G30360 transcript:OB02G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEDAKQERHLVLAHKLFLLSHPDVDDLAKVALRSDVLDAVKSDGMAPLFESLAAAGVLEPDDTLLTEMRARIDDEVRKLDEKIADGEENLGESEVREAHLAKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAVNLFLDSISTFTTYELFPYDTFVLYTVLTSIISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >OB02G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16776460:16784406:-1 gene:OB02G30370 transcript:OB02G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGGVSSLSASSPAPARLRQLWHGVYFWYPLNVVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKEQYRVLKTENEFRYGYKVVENANLRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTSGAKESFGQITGTVSKEAEAPVEEEKPWVKRRNERKRKQKEKQQQNQGSKRREFHLGAEVENKAHVSSISKYTIRHQKIAAPRGRKMGAVDAAGGGEVDVFDAGRCADGYALGLAVGRRFGEAIRSRMRGDAVLRQQLLPFAATAPGRPRRGANRARYPRYWDELVGTADGSGVPLLHVILVNFRKEILPFITNEDHHHDEEEADDDCSDVLLVSESTAIAGHNEDANVALLGHTYVVKATSPDGSSSFTAYTYAGELPTCAFGFNSNGVAFTLDSVPPARGEVVAGAIARNFVSRDLLEATSLDDAMNTVTSPDMSVGHSYNLMDVRRRRIVNVETASGRRFSVREAGAAPFFHANMYRHLQVEQVHDENSMARERRAAEWSPDSKEKALSLLGDTADGKYPIYMTGPTLYTLCTVLVDLDEETMTIYKGNPMNRDAVRVFRML >OB02G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16781828:16782229:1 gene:OB02G30380 transcript:OB02G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIHQESIIKKGREGHLARGHGEAVDAGKYAADNLRGRGELAVLRGSRSRRRGRRRRRGEDFWRGRSGRKTKRGHLPLAASGRARQPEEEEALMTRGCGTAGADEMGRWPVSGTGNPILSVRPAELWPVTRG >OB02G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16788125:16794523:1 gene:OB02G30390 transcript:OB02G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LEH2] MSGGSGDSSPASGRASFSSLSDLKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSDISDDGLRERIRGYLKGASDEHISEFLQLIKYVSGSYNSGEGFELLNNAISENETSNSNKPGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSHTGWTRVIVEKPFGKDLDSAEQLSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPIMHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVHNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPLESMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDAGNLKALPYKPGTRGPPEADELSKRMGYVQTHGYIWVPPTLSKF >OB02G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16800958:16803992:-1 gene:OB02G30400 transcript:OB02G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:J3LEH3] MENGDGKSDVPADANEHCPGTQSDEAGKAEACAGCPNQQICATAPKGPDPDLVGIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQAAGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQAISDFRFVKQGEGGEMDATEWALNYIKERAPELLSMVACSEVFDSSKGGAEKMCSEMGVPFLGKVPMDPQLCKAAEEGRSCFVDPKCSASAPALKSIVKKLIKTE >OB02G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16811843:16811998:-1 gene:OB02G30410 transcript:OB02G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLKSLKCKLPFLSLKRQISRNRSSKFIYTLWITSSRICRHGFIRNAKLM >OB02G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16817232:16817619:-1 gene:OB02G30420 transcript:OB02G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHQGAVELAGGDPHGHQLGPARSNDHPEPPGAGDLRARDDSGLASVAGQLLGPDDSGLDGLIAMAAAAGGDVPPGPSVPSIARKDTAPVHRLMEALVSSHRKNSVA >OB02G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16817412:16817633:1 gene:OB02G30430 transcript:OB02G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPWRLARLARCRPDRGAVRLRRRGRSRPVRGDPRPRVAQGGRCSGQVRVDVHGDRHLRAQRHLGARPFQNF >OB02G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16826968:16830165:1 gene:OB02G30440 transcript:OB02G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LEH7] MGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVKVKDSKTLVFGAKEVAVFGCRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKAASYDQIKAAIKEEAEGNLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDKFVKLVSWYDNEWGYSTRVIDLIRHMHNTN >OB02G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16832749:16833475:1 gene:OB02G30450 transcript:OB02G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSREPKAQRRVTLPCHARWAVAHCNGTTTVGDNQREFFLPTLMPISPAMSSSSGATPSGSSQLKWYKSVASMSSMVEWPNVIPGQLLLPAPNGIYSKFAPLKSILPSLKRSGLNTSGSSQCFGSLLIAQMFTNTVVPLGTSYPITLHVWRHSLGRSNGTTGCNLRVSLMTSFRFLISSDKAHWIMLEDVSLPAP >OB02G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16832823:16833188:-1 gene:OB02G30460 transcript:OB02G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDVPKGTTVFVNIWAINRDPKHWDEPEVFKPERFNDGKIDFKGANFEYIPFGAGRRSCPGMTFGHSTMELMLATLLYHFNWELPEGVAPDELDMAGEMGINVGRKNSLWLSPTVVVPLQ >OB02G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16833333:16834175:-1 gene:OB02G30470 transcript:OB02G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQADGAMEEDLLDTLLRIQKEGALDVPLTMDNIKAVIQDIFGAGSDTSSNIIQWALSELMRNLKVMQKAQDEL >OB02G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16836717:16837810:-1 gene:OB02G30480 transcript:OB02G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVIGGRFERREEFLQAITEAVRSFAGFSLDDLFPSSRLASAVGGMTRLAEASHRKGDELMDVALRQHQQLRNAMAAQADGAVEEDLLDTLLRIQKEDTLDVPLTMDNIKAVLLDIFGAGSDTSSHMVQWILSELTRNPKEMQKTQIELRSTLQGKQMVNEDDLANIEYLKLVIKETVRLHPVVPLLASNMQETPSIGMTLRCSKPTERFDSAKIDFKGVNFEYIPFGASRRICPGMTFGHATMELMLASSYSTLTESSQKELRRIN >OB02G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16841824:16843533:1 gene:OB02G30490 transcript:OB02G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04130) TAIR;Acc:AT3G04130] MNLNMLGLPHCPKNLSGRVFADPNLSVSGPSSLPRIPLLCPACSKFLSKKFWGLSLNPHAPSQVRPWMHLLSLGCRHGAARGALQRLPLCSSLSQSSCIHSNRDVSSVTLTVEEEAAARRIKNSLSRARKWGVQDLIQRLGADCSGIQLTGSIVDTLLTKFGDDWKSALGFFQWAQSRDDYRHTAYACNRMVDLLGKMRQIDRMWELLSDMHCRGLITVETVAKSIRRLAGARRWKDAVLLFDKLEDMGLEKNTETMNILLDAFCKERRVEVAREAFAVLSAHIPPDAYTFNIFVHGWCSVRKIDEAMWTIEEMRSRGFPPSVITYTTVLEAYCKQRNFRRVYEVLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSHRMKSSDCKPDTLFYNSLINLLGKSGHLFEASQVFRMEMPTNGVSRNLATYNTMISIFCYYGRDDDALNVLKEMEVQSCKPDIQSYRPLLRLFLSRRGQDDTVRHLLSELTSKHNLGLDLDTYTLLIHGLCRVGNTKWAYQLFDEMVSSEIAPRSKTCELLLNEAQRTNMETYVERIRNYITYFGISV >OB02G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16846400:16852111:1 gene:OB02G30500 transcript:OB02G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWNQSSQLQTTFNSLDRIAGCFRFSQLRRRVSTGYVLFSLSIVDYRGKVLSRGSELWCFYFFLSQVSSHGVFFFFHFGAVLWHWLHVIDELSLSSLHHCTASGSAASAEQISPGDSAMEQQPCCYFPLRWESTGDQWWYASPIDWAAAGGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFAGAAGHRAGVARSLLMECECKNGSENTLLRAGYGGWLLYSAASAGDMAFVQELMDRDPLLVFGEGEYGVTDMFYAAARGGNAEVFRLLLDHAMSPRCSTNCANGEGAQGRGGGRSSVFRLEMMSRAVHAAARGGSVEMLRVLIERRSDVSEYVDFRGSTVLHAAAGRGQLEVVKYLMATFDIIDSTDNQGNTALHVAAYRGHLPVVEALVAASPSTMSAVNRAGDTFLHSAIAGFRTPGFRRLDRQMELMRHLIRERTADIQKIINLRNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSSTSDKLIKQIVSAGGVLNSSVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGVGTAESRRPSSCSSNGKCDHAHHGDAKCANEENHGSSEKRLSSASRAKDRLKLMLKWPRQKMSRAHKKSDDGSGAMDSIKKLSEQAVETPAPLRQAFTKTTALNNKRTLAVKTSTPGSAATKKKLNSKLIHGIMEAMPHLASPSPAAAFPKSSTPQPPPRSGKMKGVCLELDDENSTTTTPVFGKLKDIVLDNDDDDDCLGEPSSSGSSMNDDGSAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSKLFKQQCLRVS >OB02G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16851803:16856660:-1 gene:OB02G30510 transcript:OB02G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGVASVAPRGYAETVGESEGVVGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRRLRKRFRKELDKVRDLLKKPQFAVPVPANRAPALSSSAAPRAKKGQRGSHVVRGAKGRFLPTKPRPEASTVLTEESILKQCDAILKKLMTQKYSHIFNTPVDAVKLNIPDYFQIIKNPMDLGTVRTKLDSGSYTSPSDFAADVRLTFANAMTYNPRGHAVHDMAIQLNKMFESRWRAIEKKLASVVTETHIEVDRADSKRRKTPPVDCSEVSTECVRPTESVKPKMTFEEKESFGNCLSSLSEDPELPAHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKQVDKYLQERGPSQQAKSEPSENEAANVSGLSHSSTNPCKGGEPIEEDVDICGNASPILIEKDAHNKPSKGGSPSNSSSDSGSSSSDSDSGSDSESEQEKFGSPAKLAKGSKRSMQLVEQEKSDVISPVDANHPTDDVELRELDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEMERTVEINDNLHLKDMEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADDEEEEEDPSSVPSTKDAEEGEIN >OB02G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16860884:16861165:1 gene:OB02G30520 transcript:OB02G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGICLLELDDENSTTTTPVFGKLKDIVLDNDDDDDCLGEPSSSGSSMNDDGSAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSEMF >OB02G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16861430:16865751:-1 gene:OB02G30530 transcript:OB02G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGVASVAPRGYAETVGESEGVVGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRRLRKRFRKELDKVRDLLKKPQFAVPVPANRAPALSSSAAPRAKKGQRGSHVVRGAKGRFLPTKPRPEASTVLTEESILKQCDAILKKLMTQKYSHIFNTPVDAVKLNIPDYFQIIKNPMDLGTVRTKLDSGSYTSPSDFAADVRLTFANAMTYNPRGHAVHDMAIQLNKMFESRWRAIEKKLASVVTETHIEVDRADSKRRKTPPVDCSEVSTECVRPTEPVKPKMTFEEKESFGNCLASLSEDPELPAHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKQVDKYLQERGPSQQAKSEPSENEAANVSGLSHSSTNPCKGGEPIEEDVDICGNVSPILIEKDVHNKPSKGGSPSSSSSDSGSSSSDSDSGSDSESEQEKFGSPAKLAKGSKRSMQLVEQEKSDVISPVDANHPTDDVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEMERTVEINDNLHLKDMEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADDEEEEEDPSSVPSTKDAEEGEIN >OB02G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16868982:16869746:1 gene:OB02G30540 transcript:OB02G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCYAKAKPRPSKWDDAQKWLSRSGDGGSGAARRSSSCADDGLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPASSHRRRRPMSSRGAPFRLGNATVDGETAALALRRTTVPLARAPTGATKLRARGRPCRPRRRGARRSAPSTLPGNRSRSLLIHFLFISPPSKPAHVTNRKICSFTCYPLQKSSKFH >OB02G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16871197:16874016:1 gene:OB02G30550 transcript:OB02G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYAVAREREHDLGVSRRSKDYYHHRHPSRHRDSERRRDGGRSSGRELLNGHSHRRSPHPPSRRCSSGGRTEDREPGEVSSGSGSERSGEPPLKTRELRENGVARISKEDTKTSPSKKRKQSPVVWDRNGLKLQARHPVGGEVDAVASEIVMHQSQSLPVTSSPSSVADGHSPMILDVSVDSRKPQEHEKSMIVDDEEEKYPTMRNILTSRWADADDEEEKVVVPKKKKSVSPMDSIEQRFTKKVTSPELGELLVPNSAGSSSRSSDSGVVQGSASRDLEVEKVDNVHAEEEAGDDYPAGHLLDSDSEGDVYRSGTPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEREREGFPLTSLREINILLSFHHPSIVEVKEVVVGSSDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKGPLFNGKSEIDQLDKIFKTLGTPDENIWPGYSKLPGATAVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLAYDPEKRISADDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHIKSPDPLEEQRMKEQGNNGDRGLFG >OB02G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16881251:16883020:1 gene:OB02G30560 transcript:OB02G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKESKKPRDHVKWDEDNLNDIESTKPVREKITEPKTPYHPMVDEDDGPVSPQRSIGESVGKSSPHADAIKNALMEAVSSGKFSARDSWEPCSNEEEQRTDFEEHRKAHYDEFRKMKEMLKKGTPPDEGDEDGNHKDSKEGC >OB02G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16884294:16887005:1 gene:OB02G30570 transcript:OB02G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G14605) TAIR;Acc:AT4G14605] MTILEAAAPLSYRMAPCGPRGSPQLPPWRRIISPSSCRLCTLISRQFPICNAQSYTDDLWLSSGSAQSSTAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIVNKADGFIEHLVSKLQITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMFLTPTSSNKHKAIARISTPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENIGVNKDQWSKVLSRFPALLTYSRQKVETTVSFLTELGVPRENVGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFSIEEIGIMVNRFGIIHTLSMEDNLRPKYDYFLTMGYPRNELVKFPQYFGYSLELRIKPRYARMIDCGVRLILNQMLSVSDSRFGDILQKRMNGI >OB02G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16889372:16890307:-1 gene:OB02G30580 transcript:OB02G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Isy1-like splicing (InterPro:IPR009360); H /.../7 Blast hits to 965 proteins in 236 species: Archae - 12; Bacteria - 13; Metazoa - 351; Fungi - 230; Plants - 49; Viruses - 9; Other Eukaryotes - 483 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G18790) TAIR;Acc:AT3G18790] MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDHSRSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLERLEAAAEKRMRHEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRQEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQEEAKEMLNVRR >OB02G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16892451:16893705:1 gene:OB02G30590 transcript:OB02G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKRKRRRTRRATKAELSEEQPGREMAVDEAVEEEEDDTEMNPASAAAAAAGEREEEEGIEGLLEPFTQDELLGLLLSSLGPTSPDRKLFVDNVPACAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKSPKGLTKALEERKVVFDGCELHCRRAHRANKEKHHMATHADAGDQSNDFVSAASPIVHGQPKEIALTSSKQTLLGSNRPVELMVKGPSSGTVPFCQNAGAGLLGAFPVAAVSPSTLDQSTMVNYSRDSTSTPRNDRLEMS >OB02G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16895443:16898017:-1 gene:OB02G30600 transcript:OB02G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSATLRVLYSNRELTNGSELKPSQVANEPRIEIAGRDMRTLYTLVMVDPDSPSPSNPTKREYLQWLVTDIPESTNASFGNEIVSYESPKPTAGIHRFVFVLFRQSVQQTIYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >OB02G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16908428:16912358:1 gene:OB02G30610 transcript:OB02G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT1G05785) TAIR;Acc:AT1G05785] MAYEISEIKKIGIGLVGFGILFSFLGIILFFDRGLLALGNIFFLTGVGLLLGWKSMWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPFIGWILQYPFQLFGQLRRKRA >OB02G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16915367:16915756:-1 gene:OB02G30620 transcript:OB02G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIDRFPRCCRLAATREREKKRNHRVSWIRSAHKAGKGSINHCAQAARHHPKPTNLKDKTEHGLGARNRNKNKIGQQNHLQRSGTTSTCMYTRICVCFSLVCAVRMVEVGGREAWIWGFICHIWRRM >OB02G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16916290:16917767:1 gene:OB02G30630 transcript:OB02G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFLVDLACCRHAWNKFQFMWSEPNSGYVLMPMGLHLHHQYSVACGMNAWNQKMKILGPMLDHRQLPRFAALLGEGKFYLICRGIYLFTSRRFFVVLMGIALLCEGPSVTVWPNLALSSCVYTCTRVICMETNKTMQQGQFAPQHTFTINLSVA >OB02G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16919637:16920431:-1 gene:OB02G30640 transcript:OB02G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDFDLSTTLPPTPPPPPPDTAPPPQSSSPSSPSSRARSRRNSTKVMAVFGCFSSRHTASREPSSSSSSQSPPSTSRAASSSSSSTYCSSGTRTPAKSNSFVGTEDYVAPEIVAGSGHDYAVDWWGLGVVLYEMLYGRTPFRGRSRRETFHRVLAAQPEMPGEPTPLRDLIACLLEKDPGKRIGAHGVKRHAFFRGVDWDGILDVARPPFIPTPDDVAGAVAEEALDVEKVLHEVFGSSAAVETPAPEAISDRGRDEDFSIFF >OB02G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16931532:16931729:-1 gene:OB02G30650 transcript:OB02G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSAGIRFLFIHQLYLNLLAHQLPEHSYFLCHLNYYSKPLFFLLLVDIDIIQCIALASGCHAKAEL >OB02G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16932714:16936423:-1 gene:OB02G30660 transcript:OB02G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G65380) TAIR;Acc:AT1G65380] MESQMIIIYLPCSLPRSLLDLDLSRNALSGAVPTCFPASLPALRSLNLSSNALRFPLSPRLSFPASLATLDLSRNALTGAVPPRVVADPDASGLLLLDLSHNRFSGEIPVGITAIRSLQGLFLADNQLSGEIPSGIGNLTYLQALDLSHNWLSGVVPAGLAGCFQLLYLRLGGNRLSGSLRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNFSGNKITGELSGAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPTLQWIDLSGNRFVGFIPDGGFNVSAVLNGGGGSQGSTTEAVLPPHLFVSVSADMTDRQLELGYDLQAATGIDLSRNELRGEIPNGLVAMKGLQYLNLSYNYLDGQIPSGLGGMVKLRTLDFSHNELSGVVPPEIAALAELEVLNLSYNSLSGPLPTTDGLRKFPGALAGNPGICSGEGCSAYSRMPEGKMAGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSPKARNFVFRPARMEY >OB02G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16941926:16950786:1 gene:OB02G30670 transcript:OB02G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFLPAGGGGGGGGVEPSSSXXXXXXXXXXXXXXXXXGGDISLGPHGGGGGGGGHHLQDGSVDLLARHSSSPAGFFSNLMASNGFPGSKGGGGSGAEAHHHPFHGSMATPGSGSSSGGGRKMKSQLSFTAGPGHLSHIAEDGGFPVDRAGHSAGAEASVPRTFSAGGSSSGGFSIVGPWEESRDIISTLGGYESQFGGMASTSALEMAGMDRYLQLQHDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSQLQALKHEQEKCTCCSRP >OB02G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16952850:16956579:1 gene:OB02G30680 transcript:OB02G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFIQQMINPPVLTYTPPVTAKVSDAGENDRVKYASSTMQGARPSMEDALAVELDLDETTSFFGVYDGHGGAKVAMYCAKQFHNMLLRDEDFLNNLPKAIKSVCSRLDDDLRRSNAWSVSLNPHGSFNCFQFLNTGVFASLWRAMELGFSPCQGTYVPPLHEGSTACVVTIRGNQIIVGNVGDSRCVLSRNGQAIALSIDHKPTIPNECERILRAGGQLLRPDRVETGPSYVQGMLAMSRAIGDFALKQNRNMLPSQQMVTCIPDIRGANITDDTEFLVIASDGVWDHMSNKQVVSFVRQKLRSGRNSLGKICEMLLDRCLPPRDNATVILVQFKHIAQESNEVADAISTDEQLNVDNPIDNPISTEQPHKH >OB02G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16957119:16959440:-1 gene:OB02G30690 transcript:OB02G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinamidase 1 [Source:Projected from Arabidopsis thaliana (AT2G22570) TAIR;Acc:AT2G22570] MGSDGGGGAAALEVLRAAVPLRADADLVLAPGGGGGRGQVGLVLVDVSNGFCTVGAGNLAPVTPNKQIETMVEEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGTGEENFVPALEWLEKDPNVTIRRKDCIDGYLAAFEKDGSNVFSDWVAKFQIKTVLVLGICTDYCVLDFASSALAARNIGRVPPLEDVVIYSGGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKIVDRIILED >OB02G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16960247:16962481:-1 gene:OB02G30700 transcript:OB02G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPISTAVFLDENLPIHRGPAGKRADGLKAKPLKPLAKQGLQEKKALRDVSNIGKPPMSTRKPLQDVSNTGKPREQRNISDGAALKKTALRSHEATKNPVKKTVIFSDETTKCHEWAKDGVEGTHFTGNDSQKLEKDRQDKRVKKKVEKIMSALHDWPDVVFDHVLFPSEVVAAFFKDIKELELEPEILPDSNSCLSSSGDKMKLSEDPFADDEFDNYPFLDNKPVEFQLRDELPLLEPGMN >OB02G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16962862:16963626:-1 gene:OB02G30710 transcript:OB02G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRIGERRTSPDIYSQPGRSQDEAPGLGLRRVILGRPIVPAGGPRLRPMLRNGSRVSPAQPESDRCTAPARLFFVFVFPPSLSPPPISQRKKKKLPGFPQLNPCLRGAKNATTPRGDKARGPSTYDRVRPPPAAASTRSLRVPPRRRRAGVGRSSSFFLPDTYVSSESSLGLVARCPDFFSLSGHREKSLPIFARFLVVGDQIQTLVFSGTFRLNSCKRACYWVIPLANLLAPANLVKCICRNRLKTLGLAV >OB02G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16965326:16966045:-1 gene:OB02G30720 transcript:OB02G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQSSSVQPCLTPSEESTARSSSTVMNPSPSRSNTSNASRMDASSASPPPSQSTRMTDAYSSANSPRSTHPSPLASTRAMVAASSSPATGIPSLSSDSVSSSRVIRPSPSRSNSSNTRRSSAWSHAIVSAAAGLWCSRLHVRGHERAGGRSGGGGRRKAWSLWAWKVRGGCRWRHWVVPSNWWWTWPRAFDDCFRKMLRNIFSSDINGSNVTVRKTEEKHNDIYQHYDITNIISNPLK >OB02G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16965663:16966139:1 gene:OB02G30730 transcript:OB02G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDQAELRRVFELFDRDGDGRITREELTESLERLGMPVAGEELAATIARVDANGDGCVDLGEFAELYASVMRVDWDGGGDAEEASMREAFDVFDRDGDGFITVDELRAVLSSLGVKQGWTLEDCGRMIGQVDRDDDGRVDFLEFKQMMRGVGFSALR >OB02G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16967544:16972967:1 gene:OB02G30740 transcript:OB02G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56120) TAIR;Acc:AT3G56120] MEKQLDESRFEQRLQLWALRIPRELASAVTRLLRSGYLFDMPRVKPVVEDPESDKNRLVVLSEKIQSADLSDIEEKVYDSLKQLCNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVANKVGSITNEFRVPQFEILAGKSDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVHYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSGNKSESHVAAANYSSEDINPTNESSTSNENHSDVRESCQKDINGSSMMSTTTKRRQETSNGGGAYCQEDANHTKKRNNKRVKGSGPPPIKPWEHFDHVVMNLPASALQFLDCFSGLVQKKYWTGSLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFRLPSECLKGESEDHIQSVDC >OB02G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16973150:16974533:-1 gene:OB02G30750 transcript:OB02G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEGAAAAVVCCADEAALCAACDVEIHAANKLASKHQRLPLEALSAGLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGKHQRYLATGIRVGFSSVCSANADQLPPPAPDHHAPHVACSEQHHHQHPPALAAAAVDTQQAPSPQFLPQGWAVDELLQFSDYESSDKLQKESPLGFRELEWFADIDLFHNQAPKGGAARSTAEVPELFAPSQAANDAAYYRPNRTASAVVSAFTGVRQSKKARVEIPDDDDYLIVPDLG >OB02G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16980028:16984911:1 gene:OB02G30760 transcript:OB02G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein [Source:Projected from Arabidopsis thaliana (AT1G73180) TAIR;Acc:AT1G73180] MASQPPALGVLVREPDGFSVWSGPPYPPGSAPPLRLPKAACSAAYFSSDGSRLLATVASASATVYDCRTLSVVRLFELPGLLAAALSPTGAFLQTFQKSSSPQEKNVTVWHVDTATPLYQHYQKNMSKATWPMVQFSADESVACRMMTNEIQFFDPKDFTKGFVYKIRMPGIAAMQLASAPGSHVAGFVPEAKGIPASVQIFSCDKNAQSQAVARRSFFRCSTVQFHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSHSGSEFAVVYGFMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNCIKIFDHNGSLQFKKMFEKLYQADWKPEAPERFGDIADLTTALVSIKIEEKQVSAQGSKSAQTSSKAPTNIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGAPDDN >OB02G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16982839:16987703:-1 gene:OB02G30770 transcript:OB02G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPIAGLGRNCKLLPHPQYSLRPQSVRFAKLQKQVYPRLVLVAASQKRLTPLCASSGKVNPEADNDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRALQKWRNFSEGFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >OB02G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16994852:16996533:1 gene:OB02G30780 transcript:OB02G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASWLSSLMLSIVLVVGVSASTAAAQNCGCAADRCCSKWGYCGTGKDYCGTGCQSGPCDVPATNSVSVASIVTPEFFAALVAQAADGCAAKGFYTRDAFLSAAGGYPSFGRTGSDDDSKREIAAFFAHANHETIKFCYIEEIDGASKNYCDETSTQWPCAAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSAVLTFQTALCTQWPCAAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSPVLAFQTALWYWANNVHDAIVSGQGFGATIRAINGALECDGKNPAAVNSRVAYYQQLCQQLGVDPGSNLTC >OB02G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:16997270:16997422:1 gene:OB02G30790 transcript:OB02G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFHKNSRRIENEVFILYMPDHELVTASNLRKVPIVSIFGMGYLRNPHE >OB02G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17002338:17007482:-1 gene:OB02G30800 transcript:OB02G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEDDDAKAAIAISVTEVTQSKEVLEFSKQIKEKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKLLLEAFNKDRVSLMTSLQTANQSTAPAAAPDARTQEMIAEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSMYDSDRRLADHFGGKLHMGYMLIREKLPELQEEKNKRRKMDRAEYDRSRSRERSLERDRASSKDRHRGDRESSRDRDYGHRRSHDRYHDRESRPGKDRESGRSRSYDSRGHRRSRSPRDSSRDYDQYGRDDRRHRR >OB02G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17021767:17023987:1 gene:OB02G30810 transcript:OB02G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLECTVGEDSLNLQCNLCDSEVVHSMTEILLRGLATASVDSTTGDIFKNPSSVAVGMKAELAEYLIQRSTMLVGEAVDGGEDHSEELIKASSAPTEFLSDLIDGFVAPKRNLLSHVSGFLSSESRLNKIKDFIHKMEAEDCWALDGRESTAGTILKSIDMKCTFHCPERFDTQDKLAKHRSMCRFRIVNCQNDGCIASFSANHIERHDSVCLFKALPCEQLCEQHVMRCEMDMHCASVCPMKLVNCPFYQVGCETAFPQCVLNKHCSELLQTHLLYILQLTTRQEASVNDMNQRLHLLEKAQSLNELSGALDVRTLTLTTKEQEAKIKQLERDLKVQEAKLKKLENEFKLGKV >OB02G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17024640:17029317:-1 gene:OB02G30820 transcript:OB02G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGFGSWMRGFLLPSLWEAEVAVSAAALLVAALLLFFLDQAVQSSTKSLPSSSSSSSSSLAVAAAGYRRDGGDGRRRRAKGRQEAAELGGTSNIVLPGVSSHSRDRTGYVINLELLSAKYLIGANLNGSSDPYAVISCGEQKRFSSMVPSSRNPLWGEEFNFLVRELPVEVTITIYDWDIVCKCKVIGSVTVAVIGEDETGATWFDLDSKSGQICMRFRSAKVFPTSESLFDQCVGIESQRKMILNKQYLPMMEDSGPIQPTFGLPHDEVIIPLQDIDERFYVEHTWLDFSGRIRYKFTSFWNRNRTFRALENALQSYRATLEAEKQVRMHSLQQGGSSDAICSKTDDLKTAETSIERAKAFKPFINENVLVDATSKTFPGTSEKFFSIILGNNSKFFQQYRSGRKDTDLKLSKWYPSDEHGGNIREVMFRSLCHSPLCPPDTAVTEWQRASFSKDKTDLIYETKHQAHDVPFGSYFEVVSKNNLQFNM >OB02G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17026765:17027678:1 gene:OB02G30830 transcript:OB02G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPLKSTRIALVNNLEVQESGWMFQHKEQEYPPIMRKSHFWSNQVAPVSSSPITATVTDPITLHLHTMSQSYIVMVTYPYKIGNHPSTQCSGIKRSFLRFH >OB02G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17027902:17028111:1 gene:OB02G30840 transcript:OB02G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSTIVQKYSFVSGTKAGIHPVPFLTQHLSNLNPPHSYVFTFSRTLEMRKICHTLWIKAELAIIFWVA >OB02G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17037601:17039090:-1 gene:OB02G30850 transcript:OB02G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMMNPYIAELLADDITASMIGVLYGGGGGHAPLHTDIDTYLRAIGAVPALPEAPGEGYGVADAAEVETLASYDAAYGVEEIAAEVETLASYDAAYGVEEIAAEVETLGSYDASYGAEAVAAEMETLMSYDDANGVFYDCYTEMDVGVPARLPPPLGFPSLPVPALDGDEPVYAAPARLPPPPGFPPLPLPAQAAEPLYAAPVGEGHGSMEQLVWSEQHDDAPVSDNSMASRRQLCAPYDDDIDTTLRAMEKDAAERPSPEYLTTYEEQRTTSKLNAKEIASYCGFTSAQEVTLMEKEMVAALDYRLGGPNAETFVNHFTRYSQGGGEEELRVQQLARAVADLSLKNYGCLSYLPSMVAAASISIARLTLIPPGALAWSTELQELTGYSSQDLLSCILTLGNTQ >OB02G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17041962:17042686:-1 gene:OB02G30860 transcript:OB02G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTKERPSPHFLEMIQPGYMTVEVRTSMIRFMAGLTKQQDLAAGTLHRAAYYLDRYLSVTPQSDDRMRLCLVGATAVFLAAKYEDRSTVSKLNASAVATYCGYIGETRNRLVACMENEILTALDYNLSGPTAYTFVEHFTRYYGQEMEDQVVQQAAHRLAESTLHDYGFRARSLPSVVAASAIFLARLHVLCERWSKELESLTGYKAIELMDCVCNIYNRIPNPRFQLYREYFLEDP >OB02G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17046206:17051446:-1 gene:OB02G30870 transcript:OB02G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSVGDLDKGKTSQASAAAAMASQNPVQFSCRTSTSSSSGGHDQMRKAGPAMGASASTSLTSKLSNEGENQRIKYASSTMQGCCIKKEDALAVELDLDALKNTSFFGVYDGHGGAEVAMYCARRFHIMLREDKDYFNKLPNAIISVYSRLDDDLQRSNEWRESLYPRGNGDCFQFLSANLWPSAELPETTNYVAPLRKGSTACVVIIRGNHIIVGNLGDSRCVLSENGQAFNLSIDHKPNIQPERLRIERAGGRVLSERVPFVGPGKKIRRFSI >OB02G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17059026:17064146:-1 gene:OB02G30880 transcript:OB02G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGEGANEHYNPHGDLYLSLFFWICSAHQFFTVLFDRKSSSAMGASTSTNRPSTTKLTDGENHRVKYASSTMQGLRMSMEDSLAVELDLDGLTNTSFFGVYDGHGGAEVAMYCAKRFHVMLRKEEGYLNNLPSAIKSVCFRIDDDLKQSNQWRVSLNPCGNGNCFQFLNTGVCPKVWRSAEVTRYVPPLFEGSTACVVIIRGNQITVGNVGDSRCVLSKNGQANITGDTEFLVIASDGIWDHMSSQDVVDFVYEKLYLEEEILRTACEKLIENCLESRNNATAILVQFKPGADQPIPLLSVIEEGSDEAASDSDRAQHQHNPHGGGEQLDLYGGDDGESLLLFGQP >OB02G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17065760:17070949:-1 gene:OB02G30890 transcript:OB02G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVVFAIVMLIIQSRKQKVGEKPLLARSTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYMYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKSAELLELEDTQNHRSSESSFLNMTAGAAEDASHRIGTDERQPLLPT >OB02G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17074073:17078312:-1 gene:OB02G30900 transcript:OB02G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFGGARASTHPPPPPPPPPPWPSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAMAKFNVVQKNRREWNQDCKRRAHGDPKTGKLKQRTAPTSVSGKRQRKLLRRLSREQKEAVMAKALENNMGDVDMVSAEGSSDAAKDKPAMKFNLKNNSRIQIKRLKGKGRKKAKNAKPPTKNKVDAMVE >OB02G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17082719:17087583:1 gene:OB02G30910 transcript:OB02G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate synthase [Source:Projected from Arabidopsis thaliana (AT5G60600) TAIR;Acc:AT5G60600] MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPAPIRVGSSRGRVLVARSSSTGSDTMELEPSSEGSPLLVPRQKYCESIYETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAADLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAHKLLPEGTRLAVTLRGDESYEQLDLLKGVDDITMLLHSVPYGEEKTGRVHASRRLFEYLETNGLNFPVIHHIEFPISINRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEVSAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >OB02G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17087725:17089082:-1 gene:OB02G30920 transcript:OB02G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVHPLLVAFLALLLVGTCQARPAPRKFAAASAGVAVDGVTAIYNFGDSISDTGNFLREGAGPGGDMMEHTVAAPYGAAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDEGADFTHGVNFAVTGATALDTAALRRIGVTAPHTNSSLSVQLQRFKDFMEATTKSPAEAREKLANALVMVGEIGNDYNYAFAVIRPRSSSGGARSVADVGRIMTGVVESMVLVPEVVRSVTSAAREVLDMGATRVVIPGNFPLGCVPSYLEAVNEEDAAAYDGNGCLVGLNLFAQMHNVLLQQGICELRRSYPEATIAYADYFNAYVRMLEGARDMGFDGAAVTKACCGAGDGKYSFDMERLGGAPGTSVCARPEERLSWDGIHLTQRAYGVMAELLYHKGFASPAPVKFPRQ >OB02G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17089530:17093992:-1 gene:OB02G30930 transcript:OB02G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSHMLVSLAPLYSFLSLLVGATMAYHLRLSFQSDPQGQGEGDPRQLFASPVVGLIGKNFAVLYDVEARNALLRGEASLPGGAVQLRGSHDVKEKQGEVAVITRLGDPSYKLELSSLVPYNGLPRATFHFPIGQVSVEEIRNEDEKNLSLSGIAKSDFLDGILTAQYYENDLNLRYCYKDDELTLIPSVSLPSNAVSLDFKRRFSPSDKLSYRYNFYTDYWNAVYKHTVGKNFKVKTGYDSEVRVGWASLWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >OB02G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17099470:17100455:-1 gene:OB02G30940 transcript:OB02G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSHRPVLPCLAVECRCCASNRTFISAADKKPVADGATGLPSELLDPKAAVRPTPLGWVLVREPASGSTYLLDPQSRRGKIQLPPLTGIDGDVLKYCNCLLSDQPSAPAGCVVLLVELIDRVIWYHHIGTSGWTRHAYDIGTQGDAHYTDEIHIVPIAACHGRFYFNCFKNEISVLEFCPGPVFSSIKLGAADVDWFRGTFHVFLVESEGDLFAVSLKTPMKYQPPEFLDARVYKMDFSRKRWCLVDDLGDDRAFFVAPFYFGASCSAGKHGIQKNCVYFVRYLCDKSCIVCSDGVWQVHSLHDAEAPSSSFLSASWMLPIDPK >OB02G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17102608:17106415:-1 gene:OB02G30950 transcript:OB02G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNPDGIKRYTPPVHRNRASNRRKSGDRVEKASFLYNNDGEKSHVPSLKNLPPIIPHETFVSNPQSDYSQTRLIPLEGCCASEAAQLHNDRWAAVMNLYNDQSYDSPDKPVMYSGSSGSSWGHGHMKLPHQMNFFEELRRALDNQIGTSPSVDTWN >OB02G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17107314:17107886:-1 gene:OB02G30960 transcript:OB02G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRMHNTLAAIFVALVIMSSTLPSCNAVDEGTCYDALFCRGDVCKLRCKYLGYPRDAPSYCKSKPDGSGQCCCERP >OB02G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17109368:17114433:-1 gene:OB02G30970 transcript:OB02G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYTQFFDLVIPMQNLGGKEKCCCHEDKSNWERVTTHSEDYTKDNRILPALPFGHIERDIPRPFLLLVEGNTVVSAINPDRKDDYTAGSTGDRNGREEKRTPARKCSGGGGGGGGGANGDVYPDRRRRRLDVGEPTATAMGHGAQPRLVELKPWAARRSTLIRHFPDFSACLPEKSEMKIKVNTFALPAGARFLRHLKKSASFLVKGGAYNMATKYIVGSVAASFAFAYVCEIYIAEGRLLGGTTTRTMATDEWQKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >OB02G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17115542:17116183:-1 gene:OB02G30980 transcript:OB02G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPQPTTITCHSMPLRRFSARAHTKWPKKQIKLFDGHELHIYPDAVHTCTSFSSARKRERASGGCAIVVTRGHGSRMRRRRSPEDDSGWWPAGVSLPLPLSDCRRRPQWTPRQQSRAGWPGRSASYHFADEVTPCQPRARPGRRQIPGEIWILHVRRPWRWRGCRRSLDTCGGGASAVPTSCQFGAPMARCFLLLVQYRYSGSCERMRLLA >OB02G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17116807:17118143:1 gene:OB02G30990 transcript:OB02G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKAVEDADDDGSNEAEAASWLLPEPDDSSHEDSAAAATAGAFFADSGAYLGVDLDFARSMDGIKAIGVPVAPPELDLATGSLFYPEHSMNHSLSSSEVAVVPDALSAGAGVAPSSMMVVVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRTADDDALEHDDAPPCSPAASALAGSDGDYGVVPSF >OB02G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17119157:17123708:-1 gene:OB02G31000 transcript:OB02G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPIRVNPLRLRLLLHSPPPFRPPPPSPPRSRLELKTLEPLLAYPESQILSAKADPPARKGSSALMARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKNGWRVELSTKAGSGRGRDRSSGSDMKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRSRSRSRSPRYRRSPSYGRRSYSPRDRSPKRRSYSRSPPPPRARSYSRSPPPPRERSYSRSPAAPPANREESPYANNA >OB02G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17124925:17126850:-1 gene:OB02G31010 transcript:OB02G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASGGKRSSGDNVVMVDPLEAKRIAAQQMQEIRAREKMKRRRQAEAINGALAMIGLTVGLVVEGQTGKGILAQMLV >OB02G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17128251:17130897:1 gene:OB02G31020 transcript:OB02G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT3G08010) TAIR;Acc:AT3G08010] MTTATAIVAGHVLALRRSLLLSKPSCASFSARAIPPAAHCCRTVAAATPSRACRCRSVSSESSTAADIADEEGPKSDAEEYEEGEEEEDGSEEVDPLAGVCCRAPEAEAEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVASSLGVPLPDRARFFRSQMQTIITRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQTGTKPLLTLDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLELCSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >OB02G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17139029:17139391:-1 gene:OB02G31030 transcript:OB02G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLIDARCEEKFIRRTWRIKRKVFFDKKSCKVKKTLTHNSSRILIKDSREDGREESSVRIGPSHKVTTLARRLQPPLPDPMVPQPHEGKQRRRRRRARPEACWCAGMEQTVAAATMAGA >OB02G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17156985:17161325:1 gene:OB02G31040 transcript:OB02G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRQPRPRLDRDGTEGSRRKDRVHTSSSSGEALRPAAGLPACVARTTVAGYNSCISSEQFDVLMESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFRSAAYSNLLPGDQEDHRSRFLPSSSLALSIQTMAVLSVSSPPPSSAIGFEGYEKRLEITFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAIPRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSDEVAFLNCYFGGLKSGGHAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKERASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASIAYGDLVKRVLRCFGPSEFSVAVTIFGDRNHAETWGNGLNIGAYACSNMVEQELPSGGLLIYQSFTATAEVASGSPRSVLHCFADENTENATTKDGSKIDALYWEDGEFDALDEIDGNEGKRMRSC >OB02G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17162302:17169017:-1 gene:OB02G31050 transcript:OB02G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 4 [Source:Projected from Arabidopsis thaliana (AT4G30860) TAIR;Acc:AT4G30860] MDFSGVDLTVSYEFFCVNLAVQAVFTSSLLVLLLFFSVFLAAHAMFIPAQVECRLCSKIIYPGEEIKCSISRCEEMFHLTCAAEDTANFIAESFKCPQHGCMVCKQKMFFWRCGRCTVAAHTKCAPWPLIHLKDDQGSAICWRHPSDWLLQNENADLTNSIEEVFCRLPLPYVSEDFKIDSTIRDFTAAVCKPPPFTFIRRNVYLIKKKRPGSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSEMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTSRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIKVGEHLTYDYRFVHFGEKVKCYCGAHNCQGYLGSQIKNPTQNALAIAALDDQLLGSLPTQQDTAASRHKPMTHLLPWTNCIEVPFNLRSKRKINRICWGCKRKRSAIAVTSPTNIPMPVTEATVPLP >OB02G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17171944:17176924:1 gene:OB02G31060 transcript:OB02G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALITLSRLTRRLLPSLAASHAPRPLLLRGLHGESPPPPPPFQAPPPIASRILQSELEPSADAEQEGDAEQPDSDPDLDEFLARFVAKLRPILAAAFPTNDRHVLDEMLRLVAEAVMCRLTGVDPGDDAVELSDDLWAAVWEVSASVRDGMQRDRVRAELRDYLHCDEVKEMTRFAADVGIRGDMLRELRFKWAREKLEEVEFYRGLDDMRAQAEAAANPVALPAPRLTALPQRKGEIKFKIHGLDLSDPTWGEVVERAAEAEAHFVPEEAKAVEGKAKKAEEKLLAVDPRKGDPVPAMEEWKDELWPKRADWMALLERVKARNVELYLKVAEILLAEESFGATIRDYSKLIDLHSKANHVEDAERILGKMKEKGISPDVLISITLVHMYSKVGNLEQANQAFQFLKGEGFPPDIKLFTSMINAHLKSGDLKRAENLLHELEKSLKPTKELYMDVIQAFAEHGMTDGAERVKTMMFLAGYQPTPELFTSLIEAYGRTGHSDCAYPLFEQMRRSGHEPDDRCLAGMMLAYMTKNQLDKALKFLLNLEKDGLKPGVKTNLVLLDWLSRLQLVQESEQLVQKIRKAGEEPMEMHVFLADMYAKSRQEERARKSLKILEEKKKVLKAEQFERIIGGLLEGGFTEEANKYFKMMKARGFVPSPTIEIGVKASFGVKGGVNPRHRG >OB02G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17173588:17177599:-1 gene:OB02G31070 transcript:OB02G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:J3LEP0] MAGRHTIILMQPSQNRGSRTFMDYNSINHALDGICGLYERKIRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >OB02G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17178912:17185801:-1 gene:OB02G31080 transcript:OB02G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQADKAVISLRPGGGGGPRAARLFPFAASTGSLDFLRPHRGASSAFAAKLGNLRFEPLERVRYSRQQLVELHEIVDVPENILKLKQNIDIEFQGEDEHWTNNDSHVQTQSYHRYAETYNRDWRSRSEQPVQTPGIAGEDISWDKIREAKESYFSSGKQDQFNNQDKLSSQFSAKAQVGPSSALVKAEVPWSSKRGNLSDKERVLKTVKGILNKLTPEKFDLLKDQLIEAGITTVDILKDVISLIFEKAVLEPTFCPMYAQLCFDLNEKLPSFPSEDPGGKDITFKRVLLNNCQEAFEGADNLRAEVNKLTGPDQEMERRDKERLVKLRTLGNIQLVGELLKQKMVTEKIVHHIVQVLLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSQRFNDIYFNQLKDLTTNSQLAPRLRFMARDVLDLRSNKWVPRREEMKAKKLSEIHREAENNLGLRPGSTAHMRTGRSGTGAPPSPGAFPMNQPGIGGMMPVMPGLRKMPGTPGLDNDTWEVLRSKSTPRTDPLRNLTPLVNKPSPNNSRLLPQGSGALISGKTSALVGNGHPLSRPGLLVTPSQTTGPPKSPIPTPSVDPVVQPAAAPNSSSTGLQKKTISLLKEYYHILLLDEAQQCIEELKSPDYYPEVVKEAISLALDRGTNSINPLLRLLEHLYTKNIFKPTDLETGCLLYSSLLDELAIDLPKAPAHFGEVIGHLVLSHCLGMEAIEDTLKKIEDNFFRTAVFDAIMKTIKANPSGQAILSSHAAKINACSNLLSSE >OB02G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17198986:17209027:1 gene:OB02G31090 transcript:OB02G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:J3LEP2] MKISVRGSTMVRPAEETPRQRLWNSSLDLVVPRFHTPSVYFFRRGAEAEGGSYFDGERMRRALAEPLVPFYPMAGRLAHDEDGRVEIDCNGEGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVTHFKCGGVALGVGMQHHVADGFSGLHFINAWADLCRGVPIAVMPFIDRTLKILIIMWHIWKSRNSKCFSLIEGDPTQVCIQAEAMSNNYLRITMQDQNCQPLSMPPNVFATFDHLVQPGIRCFVDASWSANETGIDIFIHNSWTHEAVFVQGHSKNFSFPIQAELSALILAYELCNIQNAPLPVFLTDHAGGKLQSAKELDKCNTDLYEVALIRQCSTVAVYRSIPTANEESETEVTGDTGADDGAGAEETPSVLVTALQSYKEALANDDETKIAEIEEFLLSIEEEKNSLLSKIATLGAELSTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIENLLPVLDNFERAKTQIKVETEQEAKINDSYQSIYKQFIEILNSLGVEDVETIGKPFDPMLHEAIMREESVEYEEGVIIQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKDTEDDGFDDDNAE >OB02G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17211342:17213128:1 gene:OB02G31100 transcript:OB02G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) TAIR;Acc:AT1G80860] MAAVAAAVGVLLPFPFYWALWNHPQRWVDLCGGGDPCRRMAQVSHVLKALQLLALASVASFSWPPPLCSLVVLAVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYVFMMWVESREDPATRAKLLS >OB02G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17214024:17217672:-1 gene:OB02G31110 transcript:OB02G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP-binding protein 20 [Source:Projected from Arabidopsis thaliana (AT5G44200) TAIR;Acc:AT5G44200] MASLFKDPSKLSAYRDRRFSGTQEEYEAALQASTTVYVGNMSFYTTEEQAYELFTRAGEIKKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKMVQKELEAQRELVDYGTGAFQPNAPPQYDRGDRKRGYGDSYRNDRDYQRKRYRNDAQRAPDSDSKRDTNDSEKNPRFREKGDSDEEDDDYDKRRRR >OB02G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17224554:17224730:-1 gene:OB02G31120 transcript:OB02G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGTCLLEENVFVVSHKMKQFYANMNKNIILLFVSSIHTAKLTKKMKYLILYYTYNL >OB02G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17242259:17243520:-1 gene:OB02G31130 transcript:OB02G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGRVRINVGGRVFETTASTLASAGRDTMLGAMLDASWNRGGEGGGEGGVEEYFIDRDPECFAVLLDLLRTGALHVPPHVDDGVLCREALYYGVLDRVRAARWGPSFTPGALAFDQRCKIFASCKGRFNEYGIGVWDCITGEQADFFYEPPGCALGDADKLQWLDGTNTLMVATMFPRTDSSFISLLDFRDRNVAWSWSDVGTPASLEDKHVLHAIAMEDGRSLCVINQYDDLGFLDIRSNAAGVRWRSRSKLTTRKKKAPHGEETCYPKLAAHGGQIFASTNDTISVFSGPDHVLTSTLRGSDAGAICDFSIGGDRLFALHDEENVVDVWETSPPPVI >OB02G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17245881:17252652:-1 gene:OB02G31140 transcript:OB02G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGELEEKLRDVGEKLLAPPDDVDALLKLIHEAEGYILKVEQAPLESTVSAMTPAMTALVKKELLDHSSYEVKLSVVSCISEITRITAPETPYDDNVMKDVFSIMVGSFEKLDDMESPLFGRIVSILETVAKVRLCVVMLDLDCEDLIRQMFHSFFTTVKPNHSEKVITCMTTIMNLVIEEDDEVETPIAECLLKHAKSELKENSAASFELAEKVIGACSEKLKPVFLQLLQGTSFNEYSDIIATICEDGSDVKEDMDADPSGKDMVDDGKLSERTISDELPQERSKLEQDVSHDQDVTQTTTIGNGATPLDNGIEAAAANLKELSNLDTEKKDGVKQSSKVANGAAEETPKRVEGKPGVVKARRGRPPGLKSLEKKAAGKKVLGLKKVEEATDSAGKLTKQSSKDDTKTSTGKASGGGSSKKQQKTSLKQQKDEADSKEDTTKDLSLKEMASPKSVSKGSARNKGSQGQENIGSKRKRSQDDELEIPRSRKNKGLDAGIVGSRIQVWWPDDEKFYKGVIDSFDTASKRHKIAYDDGDVEVLLLREEKWDFVSEEQDKTPDVASEISPKPRGRGRKGRQNLLQLKEGNAETPKSGGGDLPKRRGRPKGSSNGTPKSNISATSSKSKGKAARKDANETPKIGSDLKEAEKGSEDKAAQSAEKTQDDLPEDGSSKSASKAKEVSSGGKDLKDQSKSSEGKSKPGRKPKVAAAPAAGEESKANASAEKEKRKETEGEAATEVEQGGSAGGASTAGKKRRRKA >OB02G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17257392:17257964:-1 gene:OB02G31150 transcript:OB02G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:temperature-induced lipocalin [Source:Projected from Arabidopsis thaliana (AT5G58070) TAIR;Acc:AT5G58070] MAAAAKKSGSEMEVVRGLDVARYMGRWYEIASLPNFFQPRDGRDTRATYALRPDGATVDVLNETWTSKGKRDSIQGTAYRADPASDEAKLKVKFYLPPFLPVIPVVGDYWVLYVDDDYRYALVGEPRRKDLWVLCRETRMDEEVYGRLLEKAREEGYDVEKLRKTPQDDPPPESDADGKGRWWFQSLFGK >OB02G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17270056:17274149:1 gene:OB02G31160 transcript:OB02G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADLMRAVVPVFPPSESKAVREGRLRGERNPGELHCSPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNVAFKMFDLDHNGKIDKEEFKKVMTLMRSYNRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNDHLHYDTFSDFLKQLHDEIVRLEFSHYDVKSSKTISVKDFALSMVASADMNHINKLIDRVDDFDVDPDLKDLRVTFEEFKAFADLRRKLEPFAMAIFSYGKINGLLTKQDLKRAANHVCGVDLTDKVVDVIFHVFDANQDGSLSADEFLRALQRRESDIRQPATSGLLGVFTCLLNCTKCSVQQMVI >OB02G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17274618:17275754:-1 gene:OB02G31170 transcript:OB02G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFKVWTYREGEPPVAHLAPGTDIYSIEGQFMYEMEDRRSRFAARRPGDAHAFLLPISVCNLVHYVYRLNATGNLAPLRRLVADYVRVVAERYPYWNRSRGADHVIVSCHDWAPMVTGADTRLYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGVLRRPTSGLPPENRTALAFFAGGRHGHIRHQLLRHWRLGRRGDDPDMRVHEYLPAGEDYHALMAGARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFSDVLDWGKMSVAVPAARIPELKRILGRVSERRYRVLRARVLQAQRHFVLHRPARRFDIIHMVLHSIWLRRLNVRLPY >OB02G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17280793:17281577:-1 gene:OB02G31180 transcript:OB02G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVRATVPLAALALLAVVAAAAAAQTDSRDGPNKPQTAPLPTTTTGGHADRLINEKVTRGKIERALARSRSAIRRAARAAPPSDERIRRRGSNLAGDDYVPRGAIYRNARAFHRGNNENSALPVQTTRVYIRGKSKSPSRIRRKNPIRKLIRLLVGNNWEKPTRKLIRI >OB02G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17282604:17289438:-1 gene:OB02G31190 transcript:OB02G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVHEDDSFQTSTRFSKTSSLKWLLLTLPRSNSDLSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSVLPRDLSQQIFNQLVESNRLTETLLETFRDCALQDIYLGEYPGVNDAWMEVVASQRHSLLSVDISCSEVTDSGLYLLRDCSNMQSLACNYCDQISEHGLGILSGLSNLTSLSFKGSDAVTPDGMEAFTNLVNLVNLDLERCLKIHGGLVHLKGLRSLESLSMKYCNNITDSDIKYLSDLTNLKELQLSCCRITDLGVSYLRDLSKLTQLNLDGCPVTAACLEAISGLASLVVLNLSRCGLYGEGCENFKGLKKLKVLNLGFNDITDDCLVYLKELINLESLNLDSCKIGDEGLLHLKGLVLLKSLELSDTEVGSNGLQHLSGLQNLESINLSFTLVTDTGMKKISALNTLKSVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGASCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALKQLNLSQNVNLTDKTLELISGLTALVSLNVSNTRVSNAGLRHLKDLQNLRSLSLDSCRVTANEMKKLQATVLPNLISVRPE >OB02G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17294145:17307089:-1 gene:OB02G31200 transcript:OB02G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGWRRGQGLAQQPPRWGAVEASWGRREAAVAERQGEAAAAGEASRPEDAEAVGEAAAAAXRRCASGEAAKMLCRPWRDGDWGELDLCPRENCISIPGLLKQIYSPATDQRKQWRTRRCRPPATAPMACTTSCARPRPCGRPGPLPHRPPPSPCRRLPLHDRTRRRSPRWPHPHLRGPQLGRPHTTAALSSRAGVRPGDTVLILTPNSVLYPVCFFAVTVGTMANPDYTPREIAKQVSDACAKLVITVLALVPKIARLRLPVILLDDAKAKFAPSKPSHQCPKIRCAAFGKIFCRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPTSSSSATTTSSSSKWECFLSYRLSVAHPSDPSKSLVRDSWHRFSSKKRSHGWCDFAPSSAGVYLFPPHDSLVITADISVLSEAASFAETDGRFTWKVLNFGVFREMIRTQKIMSPAFFSAANAGGSDCGLRISVYQSNVSGADHLSVCLESKEPLVQATSGSSSSALPSSGGGSGVLDGDRGCWCLFRVSILSQRPGGSHIHKDSYGRVGGGIGADNASLGWGDYMKMDEFLAADGGYLVDGAVVFSASVHVIKESNSFTRSLPMIAGMSGAGSGRAGARKSDGHFGKFVWRIENFTRLKELLKKRKITGQSQPPCNLSVFLEVTDPRNSSEWSCFVSHRLSVINQKFEERTIMKESQNRYSKSAKDWGWREFVTLTVLFDQDAGFLVQDTVVFAAEVLILKETASIQELSDEDSEACSSSSGCQIDSLPKHPSFMWKVENFLSFKDIMESRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGFDPDKNFWVHYKMAIINQKNSAKTVCKESSICTKTWNNSVLQFMKVSDLLDTDAGFLVRDTVVFVCEIIDCCPWFDFSDLEVLASDDDQDDLSTDPDDFIESDDTEDMSGDEEDMFRNLLSRAGFSLTYGENHTQPQVTLREKILTDASAIAGFLTGLRVYLDNPTKVKRMLLPTKVSTRAGGKKDGSRCDSSSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSEDRLAYVSSSASSKTSPDSNGAGSPPELNVEGEPTECACSNKYERLKPGNDDIQNRLSVQNTDRCTNDVPVTVLEQSCSFPEISAADFLKDEGSDQASRTKWPEQSEELLGLIISSLRALDGAVPHGCPEPRRRSQSVQKIALVLEKAPKKLQPDLVALVPKLVDSSEHSLAASAMLDHLQKPDAEPSLRLPVFNSLSELEFDCDIWKRASFHAHELLTNSNDEPLVEAITYVLKAASRCQHIAQATTAVRWRLKDLGTEVPACVLDFLSKTVHSWSDVADALLKDIDSDCGPDSSCLPMSCSTYNTDGFSVEGMHSLQEQAVHGKDHLSDVFILIEMLSIPRLFVEVSQVLQRALLRGALGLQIVAMVLERRHSHRSSLKSGTGTMVNDSQNEQVLLDGQVEPSAVQEDDFTSVLALCEVLSLSTETRVQDFVRMLYAIIFKIYAEDHYRYRILKGLVERATNTSDSCRAVDIDMDVLVFLVKEEFGIARPVLNMLREVAEVAQADRANLWHQICATEDENMRLREDMDMEQTKFAKEKDVLMQRLAESEAAAAHLRSELKAERDRFIREKKVLSEQMLEIENQLEWVRSEKDDQIVKLFADKKNLRDRLHEAETQLSQFKLRKREEVKKITKEKDALAERLKGAEISRKRFDDELKRYVAETQTREEIRKSLEGEQYIRTLETSLQEEMARHAPLYGVGVEALSLDELDALANIHEQSLRQIHAIQQRKGSSHLLSSPSLSHIPGLYSSPPSMAAGLPSSLIPTSSVAPNGAGTHGNGHMNGSMGNWFNPT >OB02G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17307083:17313305:1 gene:OB02G31210 transcript:OB02G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATSTMFLKSVDRFDDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >OB02G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17343621:17350729:1 gene:OB02G31220 transcript:OB02G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMEAKLPKENGLNGHTGIMFNDITPLLLRPGVFKDAVDIFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVAECACLIGLPKFKDFYKLNGKPVYVLVESREYEK >OB02G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17355941:17360617:1 gene:OB02G31230 transcript:OB02G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G32272) TAIR;Acc:AT4G32272] MAKGGGGGMLLPVSGGDAGKGAGGDEAALFKGSAMTRRGAVAALSYMSCSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSDPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFVAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPCVLFLTYIQGDLKKTIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >OB02G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17361553:17363615:-1 gene:OB02G31240 transcript:OB02G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWCLSAPAAAPPGALGASVSGGGVSLARGAAVLPRRRRRWDALVVCVAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEPQPQEEPQEDDPDKEEPEEDDPDKPTE >OB02G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17363416:17363699:1 gene:OB02G31250 transcript:OB02G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGERRVIFSSSGATHTTSASHLRRLLGRTAAPRARETPPPETEAPRAPGGAAAGAERHHRDAMVEAEAAAAALLPGWRSRVGIGVFRAG >OB02G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17375106:17378848:1 gene:OB02G31260 transcript:OB02G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSHLPIVVAGGTGSASGGAAESTSSENKRASGAMDSPGGGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKEAADHAEAGATIWRADMDGGVISGLADVGMGAYASYHHGWPTIAFQQPSPLAVHYPYGQAPSRGWCKPEQDAAVATAAHSLQDLQQLHLGSAAAAHNFFQASSSSTGGGGAASGYHQGALGGNAFLMPAGTVVADQGHSSTATNQGSTCSYGNEEQGKLIGYDAMAMASAGGGDPYAAARSGYQLSQGSASTVSIARANGYSANWSSPFNGMG >OB02G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17382393:17384146:1 gene:OB02G31270 transcript:OB02G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVTATANAQLLGFPAASRYGGPVRPRAGPAFLNLRAPALRHDRKTQPLRGAALFPPSFTKYDPIKGIKPLLSVKKLRPGTQVGCQASLSSFSYPELESKPRWWWRTLACVPYLLPLHNMWSFADAVYQLHPYLQQFSLFYAFIDTMALVPGWLFLMIFMTIYFFVVRGKWSPHFLRFHIILAILLDTGSQALATMCNWNPSIVFQGKPMVFFWMTIAFIQISTVLECMRCALAGMYPNVPFVSHTAFIHSDLNLFR >OB02G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17384612:17387586:-1 gene:OB02G31280 transcript:OB02G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGHIQPSYLPFSELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCLLEIIFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAIIAVWSTALQQHYVHLLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDLWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKHGILSSPSELDQKV >OB02G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17388265:17390275:1 gene:OB02G31290 transcript:OB02G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGALLLLLLLLPHSSRAITRTRSPPTLVAGGEARARGEESGRVASLPSAWAQADRYRVSDDRKLVENRVKIKRNVRMPVPASLGLPMLPAAGQGRLAGSLPRQQRIGRQGVAFPAPPRHVHGRHARRPGGSAAFDITTISNRSTDHFGGSGNSSGEKKESDKLNAICFVWVVLSLKSRRSKHGTWHRTFIERNMRWSNSFRFLALEKSPPNPYLSMVLQHRFDCSSANVLYVPNTNYSQSTTTQRLKMGWCGVYFT >OB02G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17393732:17394310:-1 gene:OB02G31300 transcript:OB02G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPGRRFRTMARSRWHSPSSCAIFFWLSACCRPAASADWWSYSRSWLLISSSFWSIRTSYWSDALCFCAASSFALAPASSPSEADLDPFSSLIAASLASMALARLPFSAASFAAFLVAASSLRWSSRDFFFSEEISLAASTMICSRSSLAMSSCSMQDLRAPSISDIVPSTTDSSVLHFKSTTDSTITFT >OB02G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17393805:17396792:1 gene:OB02G31310 transcript:OB02G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKSRELQRKLDAATKKAAKLAAENGSLAKAIDAKDAAINELKGSKSASDGELAGARAKLDAAQKQSASLQYEVRMLQKELEIRSQEREYDHQSADAAGRQQAESQKKIAQLEGECQRLRAMVRKRLPGPAAIAKMRDEVDQPAAGAPATPRRSRSVAPMSPRSVAAPMTPRSTTPMSARPMSPMSARPMTPRRAPPEHETNTAKLHAVEEENKALKQALAKRDAELQFVQMKYADEACKLSVVQRQLSELSEENKQLSDAHGQTESWASALISELEQFRASKQGAASEMSLLDDFAEIERLEMASGGQGLKPSGASPKNVHSQSVLSEKNGKDSVQENGISNGQNHRDTVLENGISNGQPEWVQDMCKLVMQKHESSGEKVETILELITRALDQSSAHQKGDALDGSYDWTRVKEMVSSLTEEVTCAIGISAGSNVASSEQLLLDKSEFSARLQQLVHVCHDLLNGKANLEKFVDEVCLILEYIVNQYKSISYQEQSDDTVDKNTENLDGDGSLSSMNGGCDIKSPESAAVLDIQTEEHKQSIQSVQGQKTDEERQLDEELTRVILDQDEKISQENSTCCEIESPPAHPTAESVTDQEEKQLISSSDISAAAEKLAECQETITNLSRQLLALKSPAVSGNLDSSISNSRPSSAKSEYKPQSLASILAEGEDTGTEGSGSPATKELHSKKDPDAAASRKSVTKDGSATQTVVLPILPEPSQQTISPDLKKKKRSPSLLGRMIFRKKVEGS >OB02G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17399963:17401827:-1 gene:OB02G31320 transcript:OB02G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLLLSVLLPIAALLAVAFFVYRRRGSPRNAPPELPETVGGGGGVVGVGGGGDTAASPGLGKLNIRYNATSGRAGLRFQQLHHHHHHHHAHVDGRHHRGGGAQQGSFQWADHPRLVTEAAENGWAQFVFAVAPPRTRSASSSPLWGLCPACDSGTSIDMADAAWEVPAGSSERMQAVRLNPVTAAAVSASSTKKWLPGSIPSPLRGGDQDAGNSNALCLARMSLPLPGPLLAGAPFPQDAYFEITIIYLNTRRPEWSASRASRRGRDGSSESDRVKLISFVPDAKNAAQETRAATKADDHQDKQRHTVMSMGLAAASAPSRPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDKVVGCGFEPAKRKVFFTVDGQLVHAVSCNADAFSSPMYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFLRDATSAGDDAPPSGGSIGLDFDDSGDLFSMGRVDSGWLETASRISKSRKDNGGGGLSSASAGDPDADSDLFEISLRD >OB02G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17400337:17400893:1 gene:OB02G31330 transcript:OB02G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSPLSSKSRPMEPPLGGASSPADVASRRKHGFAVRRALAGAYRNLPCPRLTSAITSKLEASTGYIGLENASALQLTAWTSCPSTVKNTFRFAGSKPQPTTLSTPAHDDFSDSYTSFIPASIHGLLFSSRFCRAQREDERGVVVSHRRGRPRRWSGSRWTRRTFRPATDVTARMRRRGPLT >OB02G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17404842:17411915:-1 gene:OB02G31340 transcript:OB02G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHCNASTSMQRESSVNACTDQLPRQTERSSIVDYVNRALRHHNAHNPGAEFEPVKALVYASVGFRRARWVHVGFLARKRSTENTRARPVKPPENAAGAAAAGDDPDLRRGRERRRRSGMRDEAPEPPDSQFFAELRFDDYDSAAVVACTIIDKSTPRGFKTKCGFCPESFGILHPGDGKFACGKRSQRNEFFLLRNRLLLPYTWPKKESEEEEPCPGPDRRNLGWIPTPLTFSENSHQGFSCLLLVSYCLDRVWHVHDVNWSR >OB02G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17412664:17415683:-1 gene:OB02G31350 transcript:OB02G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQSKEECFPPPPPPRPPFLFNLSRGELVRRQRLIDEEEERLNGYDIPCGGGGGVPYSKRIVIFLSRKSRSPFLSTRYPTLAIPSGSPSLSRAGDLAISRDLALLPRSFARFSSIPRLQSSHTHPLLCPPLALVLPFSEQMHPSRDSSLGFSPVGVSMNQASLHRRSTTGGQGEVALGRTDAQANRSEGGFDHAGVGLMRHRVAPPTQFDGRMPDEPIEPELEGNDFVHYFTNLLVNDQESHDLPPPSHTSNDDVPRASKGSQKRTKNFRDDEDRLLVSAWLNIGMDPIQGSDQPLGAYWRRIHQYFHANKTFDSDRTQGPLMNRWGVIQHDVNLFCGCLSKIETRNQSGWSVDDKAPSYDLCTYHAMQSDKFSSSNAYNLWTQAYLENLYSLQLQVI >OB02G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17416665:17419626:-1 gene:OB02G31360 transcript:OB02G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMWLSWVESCPEEAGIEWTFDPCLHKYWNATHGKFRCQIIVDKQNESDDYKGGSCKVGDRGLGLLLTVPQVPRQQQQNMLSNTHGDRAVFKLQKSFFENFILCNITGRSKHHALPKFNLYAEDESRDIMVTSRGSEISLVSSGFGRRQTLVLSHQQVQIECDGHTVASPICRFVLSFLQHVKSASELLRSWMRFIKLFALKLFYCWMAPAIKRFLPMLTRLSWFSIMGDPMLQTEDFHCYELYNEDDPEKQLVDQEAAARLIYLHGPCVVVLFVDSRYSSYGRRHRCDDDLDHDAYGGVPRDPDFREELMEKDKDAANHAVVCYAYRFVDDELQLRVLDNHSIHGPRRWIAYRAFHQLVTLRVDPLDRGMLYGSSS >OB02G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17425631:17425951:1 gene:OB02G31370 transcript:OB02G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQRGGGVSCAVAGEVLRGDGLLGLIFDALGGDTPAERIGRLVWESAVVIFARWECCMCHVMRRLLTAVGVQATVINLDEVEEAVVSAPAAAAVSALFIGGDPVDSL >OB02G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17428704:17429490:-1 gene:OB02G31380 transcript:OB02G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPSTQPATTPRTPSSGFAAFPHPWRRRGGPSRRRRAEGETPPPTVKPPRQGAKGCYPSRGPQQALRTDGLEHILERRKLMIENPAIAFQPELPGDEKDKRVKTGLQMLRKEDSRRRPPVLKIGTPRWRDGSPSRDC >OB02G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17430119:17433244:1 gene:OB02G31390 transcript:OB02G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVELLLLLLLLYYAVCGIHGASGFPDDSTDMLSLIAFRKAINDPTGALNSWNARAPHCQWKGVTCTTKHPGRVTELDLAGQGLSGAIPASVGNLTFVRTLDLSSNNFYGQMPDLSNLQKMQVLNLSYNSLHGVIPDTLSNCSNLKELHLYHNSLTSNIPPQIGLLANLVYMAISNNNLSGTITPTLGNMTSLATLFLTTNHLEGSVPDEIGQLPNISILSLGENMLSGSIPASLLNSSIAAIELRANLLNGTLPTNMGDNLPNLQSLFLGHNMLEGHIPVSLGNASMLKSVILQHNNFTGQIPTTFGKLSGLSRLDFQANKLEAIDSEGWEFLNALRNCSVLEALELAGNQLQGTIPNSVCSLQKTLRYLVLGGNQLSGTVPSCIGNLSGLIQLTLDDNNLTGNIGQWIGNFKYLQSLYLRNNKFTGHIPFSIGNLTQLTELYLENNAFDGHIPPSIGNSPLLLKIDLSFNRIQGTIPLEIGNLGQLIYLQLTLKKLTGKIPYVLDQCQNLVTIQLDQNFLTGVIPVSIANLKGLSVLNLSHNILSGAISVVLGDLPLLSKLDLSYNNLQGEIPRNGLFGNATSVYLEGSWGLCGGVIDLHMQLCPQVSHKMERKKNLARLLIPIFGFLSLTVLIYLIYLVKKTSRRPYLSLLSFGKQFPRVSYKDLAQATRNFSQSNIIGKGSYGSVYRAKLTLAKIQVAIKVFDLQVKSADRSFISECEILRSIRHRNLLPILTACSTIDHNGNDFKALIYEYMPNGCLDMWLHKNVSVASKYLSLAQRVSIAVDVANALSYLHHECERSIVHCDLKPTNILLDDDMNAYLGDFGISSLILESRFTSHGHPCPNSSIGLKGTIGYIAPDYTQCGRASTCGDVYSFGIVLLEMLIGKRPTDPMFENELNIVNFVEKNFPKQIPQIIDARLQEECKGLNQAITEQGDCFYVCLLSVAEVALSCTHLIPKERMTIREIAIKLHAIRTSYAEAIKREHLLRRRESHLCVMEVV >OB02G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17434099:17434753:-1 gene:OB02G31400 transcript:OB02G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3LES3] MLDLHASESHPDGNEVDVYVNDSHHHEDRHLEEEFSSSPIPTWVGNEERNLLAADEKFVSGLTPNVIVAKDGSDDFTNILAALDALPETYSGRYVIYQEGVYEETVNITNRMANITMYGDGSKMSIITGSKSIAIDTGGDRFMATRLGIRNMAGEEKQQALALWVKSDRSIFFNIRIEGNQDTLFAQGEKDKRERWE >OB02G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17445616:17446336:-1 gene:OB02G31410 transcript:OB02G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSSDGVNVNSERRQLLGTKETNVPWTARHTSSTVSSGGGLWQARPQICLHMHDPWESHLATRKRIICYVHDIVDYGLHIHRSTTVDLTAYSDDDWVGSLDTRKSTLGYVVFLSDNLVS >OB02G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17463030:17468984:1 gene:OB02G31420 transcript:OB02G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDQPMKFTMCVLLVLFLLSYGIGSSFCSTIPDNSTDMLALLDFKNAITNDPAGVTSNYWNESTPYCQWEGITCSQRHPGRVTMLKLAGHGLSGPIAASIGNLSFLRILDLSNNNLFGQIPPLGNLPKIQGLNLSSNSLEGNIPEAITNCSSLFSLDLSKNILVGKIPAKIGLLYNLSILVVSSNNLTGSIPPTLSNITNQNVIILSNNKLEGTIPHELGQLSKLTWLSIAGNYLSGEIPKNLFKNMSSLQILGLHSNMLSGTLPPNIGDLLPKLIYLFLGTNMFEGNIPASLGNASMLQQLDLARNNFIGQIPSSFGKLSDLLILTLEINQLEARDNQGWQFLSALGNCRFLQWVTLGGNQLQGVIPDSVGNLSNTLQNLYLGGNNLSGTIPTSIGNLSGLIGLGLDINNFTGTIEWVRKLENLQVLNLNTNNFIGFIPAFIGNFTQLGKLYLHNNKFEGPIPPSFGKLSQLTELNLSYNNLQGEISVGTNIPTQLVQLSLSSNKLNGQISDSFSQCPNLVTIELDHNFFMGNIPISLGNIKGLTLLNLSHNNLSGTIPKSLSDLQLLRRLDLSYNHLYGQVPMHGVFANATDVSLDNNWGLCGGAMDLNMPSCPPASRITERKNNLVKILIPIFGFMSLVLLVYFLFTVRKKTRANYMPDISLGEQFLKVSYNDLAQATRSFSESNLVGRGSCGSVYRGKLKEQKMEIAVKVFDLEMRGAERSFMLECEALKSIQHRNLLPIITACSTVDSTGKVFKALVYEFMPNGSLDTWLHYKEDGKAPKYLGLNQRISIAVNIADALDYLHHDCGRPTVHCDLKPSNILLDDDMTALLGDFGIARFYADPWSASTGSYSSVGVKGTIGYIAPEYGRGGDISPSGDVYSFGIVLLEMMTGKRPTDSMFNDGFDIINFVEGNLPHQISQVIDDHLKEECKEFSQGRQVAENTAYQLFLSLLEVAISCTRPLPNERMNMKQIASRMHTIKTSYDVWKTKSYEL >OB02G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17470166:17470429:1 gene:OB02G31430 transcript:OB02G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCFVCANWQFVKKFSESFIMSWFCPSFHDVFRSGFGSILFEPLMGHRVNLVFVPGSLVSLLFIMVRFSFLVHFPISFRLLLKYH >OB02G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17472321:17473604:1 gene:OB02G31440 transcript:OB02G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACKLTAAQFFLLMLYCVSVVLAVQARPEVLPYQYSQRNFPNERLYRAYLVIQRFKSTITSDPKNATATWTGNDICGETTYLGFHCSTPGRDKKLTVTAVILNGYELRAPTLHGFIDELPDLAFFHAASNNFGADIPRVEGLEYLYKVNVNNNDFPIHPNSGPMVMGQIGAKGDVYCVKGTINLRFFLGTNNKKGGGIIPGVTDAKAVLLNSNSLSGPFPENIGFSKLSYLAVANNKLTGPIPPSISHLQDSLLEVLLLNNQLSGCLPHEIGMLTKAAVIDASMNQLAGPIPSSFSCLTSVEQLNLGSNSLYGEIPDALCKLAAGPAGRLANLTLSSNYFTSVGPSCLPLIKDGVLNVKNNCIPGLANQRQPAECASFLSQPKTCPPASAAHVACPVEVANIAAAPADRMVKDYSSYVTYATLHK >OB02G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17480880:17482268:1 gene:OB02G31450 transcript:OB02G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLCVILCRQSGNMGFVRCSWQPLKFTMLIVSSLLLLLFGAINIHCSTAPQNSTDMLSLLQFKQAITSDPREVLKSWNSSVDHCQWIGVSCSSRRHPRRVTTLNLTGQNLEGQISPSLGNLTFLVYLILSSNSIFGHFPALNRLRRLQAIDLRNNKLQEFAPDALTNTSKLIYLDLSANLLAGPISKNIGFLSSLRVLILKGNMFTGIIPSTLQNITQLGQLDLSDNKLEGTIPEELGEGQLSLIKLNLGNNVLSGRVPTSIFNRSSLVVLGLYSDFLYMELPSNIGNTLPMLSQLMLYKNTFQGPIPASLGNASLLSAIDLTSNNFTGQVPSSLGRLLLLTFLKLEKNSLEANDSKSWEFLDALSNCSSLQLLSLSDNQLQGPIPNSIGKLSAGFEFLGLTRNNLWGPVPASMGNLTRLLILTLDKNNLTGPIGPWVRNMQNLGGLDLSENSFTGAIPS >OB02G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17482434:17485425:1 gene:OB02G31460 transcript:OB02G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILLSYNKLEGPIPREVSNLNQLTELQLSSNTLTGEIPMSIGECKQLHILQMDRNFLTGNITKSLGILKSLSTVNLSHNNLTGFIPIELSDLTSLTQLDLSYNNLQGQIPKDGVFMNATAVSLFGNPELCGGVADLHMRSCPIGSGRKATEYYLVRVLIPLFGFMSLILLIYFILSEKKMGRGPYLPFSPLDQFPIVSYKDLAQATQNFAESNLLGRGGYGAVYRGRLTKSKQDVAVKVLDLDMRGAEKSFLSECEALRSIRHRNLVPIKTACSTVDINGNVFKALIYEFMHNGNLDSWLHNGEGTARKPLSLNQRICIAVNIADALDYLHHESGQTIIHCDVKPSNILLDNDMTHLGDFGIASFYTDDAGSTSRGNISNNTTSIGVKGTIGYIAPEYASGGHPSTYRDVYGFGIVLLEILTGKRPTDPLFVNELNIVNYVERNFPDNILHVIDTPLQEECNSITQADAVTENRAYQSLVSLLQVALSCTRQLPNERMTMREAASRIRSIKTSQASARGN >OB02G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17496226:17497156:1 gene:OB02G31470 transcript:OB02G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRHSKTQSHQKITMTTQFPCYIQPPGNNRCAFYVMKYIMYFIDDGLIFLDDKELNMKRASHFFQGGNWIETTEDYILQLVLRVVHMFNICHLRDGVSEDSSIGFFL >OB02G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17500586:17501899:1 gene:OB02G31480 transcript:OB02G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLKSSLTRNLFFLLSYTAAIVAASRVQLPYKSSQQNALSHYPQPQDFPSARLYQAYYVIQRFKNTITCDPLNITSTWTGPDICGNKTYVGFYCTTLPGHGLTITSAVLDSFGLCAPKLEGFIDQLPDLALFQSSSNKFDAFNAPNFAGLSYLYNLDIDDRNTFQSSAIDLPTKDLANFNLCVLYGIFCGGLKVGKVSLVARTADKGAIPSVTNARALLLNNDNISGQLPADLGFSKFSYLALANNKLTGSIPPSISNLQGSLLEMLLLNNQLSGCLPYEVGMLTKANVIDAGMNQLTGPIPSSFSCLTSVEQLNLGSNRLYGEIPAALCNPAAGPASRLANLTLSSNYLTSVGPSCLPLIKDGVVNVKNNCIPGFANQRRSAECASFLSQPKTCPEASANHVACPAAAANIAAAPAERVANEYSSYVTYATLHD >OB02G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17511401:17512180:-1 gene:OB02G31490 transcript:OB02G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLSDAPLEIYGGTFAFRDVRNSQLRNCVFDYSSENPCKLKPGARSLQLLITPRGIYVVGIVLIEHRLVVKGQKEEEDQVLIDGYSIYAPCFFEDFAKLNWHINTGSTINLTMFAIPKAVLVDLEFEMCQIEENHEHDSLTILARYSMGKNTYTISVSY >OB02G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17514949:17519010:-1 gene:OB02G31500 transcript:OB02G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDSSSHRKSNATSLKMLLAKEMTKEVESKRKPQSVVARLMGLEEDLPAKGSVLHQVKSDFRKSQSCNQLKAINKDLKQQAQHHFIKSTTQDVNPFCQEAVQYNGVYEVSEAHTRIGYFQDKLSQKGGSSGNTSDRVDILEGSVMEKECSGMADKPLHSKDLQEALRMVSSNKDLFLKFLEEPNSIFSRQLIGSNTNIAPPQRKRITVLKPLGYVEGDGIRQTGMEQIKEQNGAAVRGFHQFSCNYKEENPSVPSRIVLLRPTPGKPNITKAKLIPRTTPFLPINPIGLRGALDDNGATLGSTKVEPGIIHNLQDGCHQRDESLLSSSYSNGYGGDESSLGDSEIDQNSDSDIEYIEDNGGSLSDSEGCSPVSKRSWNYTKRHGNSYSGSSFSKTSHFAESSVTKEAKQRLSERWATVTCDQICQEKVKFPRSTCTLGEMLSIQEVKNDVLINGLPSVSTSHSCDAENELPTQAKYATACRKDEKYGERPMGLPRSTSVPLIPSMLNNMVANVKASNHQGHERAKHVVASNKEKSSFRGRVSDFFFPRSKRTRRQISASHTSDWSSAKTETCSGDSQSDANHDLDGNEKSTSTSEGTAALSEVPTSLDCPSGNLSKLGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSASTTSKNAKAVSRSSAIDSVACSLSWDDTTSESPLPRTRGQSSFLPDADDEESECHILVQNIMSSAGLDDAQSSMIFIGWHLPDCPLDPVLFSKVLELREQSSYQRLLFDCVNVSLVEIGENTLLSTFPWSKAHSRTWGGATSALGIEVWSILKDWIYGTRMFVVNRRDNAGIMMDRIVKQEVEGTGWVKMVTTQFVGITEQIEAGVLDDLMGEAVLDFACACQ >OB02G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17519503:17520459:-1 gene:OB02G31510 transcript:OB02G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGMRRIGFGGVVNDNASIFFRIRRFLVVHFRSRGSYVACTPGYFVIARFLFFFSVFTFVWSEEVVKFAFLVILSTFTTIFF >OB02G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17527357:17531891:-1 gene:OB02G31520 transcript:OB02G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRHRNPRTGPPTSSSMKPPRPPRGPSFQPPAPPRPLPEASSPGGRPRKKVRFATEGSRHQMCTRQDANTGKAEKSRPQELQNVMPARKLPVQKSTLCSEETPNTPSKNEEIYHEQVNEKASHSECVNNDTPQLKPRDYLTVHVRTPINQISFEAVGISRNTAIEPWSGRIFSEKRGKLLKLAAKTVSMESSEFLQRRSEFFADILQRLGTENMIKKRPKESMRLMKVFQHNHTGTGCHFKTVLDYRLSDFDSQTKLRTEKGSSSYATQEACQFMALPLGHDQGLTGSLDWKMDLPHRGNEARESMALPWVHTVSPSNSGCKGDTAHNQISNLLLEDVQPHTRGKTASANELNCNVETRSCAYHGWAPMLSAGFSGSIPNRFSMPCQIEEKHVVPYEISNTHWRPGLRKPVEQCFSPSVELDGQGQEAGLSLKCGVGLLDQCISRSDGLERQDQHEAGVVSSDTGLLSSFDQLYAKCSGSSFFDTRNGILNHSDFSYMSNLPASESKDIVSNANRSSLDLIFSTSEHPFQLDSKRLHETSMCLSSLAGLEDKYTTEAGIFDNTDMGPIQGWDQLPAKFTYTRFSNYTSRTLDHHHLRYMPPEESSTLSMDANGGCLNSPSPYTDHPFKQDGKGLCDSSTELWSSVHHLQSHGDDFGAVPGFMSEENTCNDLEDHCSFMLVEGNPNDLCTPDLLLFG >OB02G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17534186:17546077:-1 gene:OB02G31530 transcript:OB02G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALEAAARRGLTSAEVTALVDTCMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVRSSDGARMQYKVIERSVSANPKRGSPRKKGSMRESTLFGGDSDITEKPVDPIRVHSEKELLREIEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLVLLKQLVPPLSAQLSDRRSSIVKQVCHLLNILSKELLGDFEPCAEIFIPVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAVLRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLYMSFDPAIQRMINDEDGGLHKRYPSPSLREKGVQLSRVSSHSTGTHLAGYSTSAIVAMDKSAAISSESSLSSRTLLSQSKTTGRSTERSIESVLNSSKQKVSAIESLLKGVSMSGRQNFSAMRSASLDLGVDPPSSRDPPIPLAATASDHLSLQNSVLLDSSLPSISNTRNGGSRLVDTVANKERSRSPYLSSLSSESISGSSLPYVRSSSGRSQYGSTMDESNDTWPTRRMPQMQMDRHYLDMNYREASHRNLHHNQVPHFQRPLRKQVASRASASSRHSFDDGHISSSDMSRYTDGPTSISDALSEGLSASSDWVARVAAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLDVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKADLEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILSLSVEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYAMTPKNSYAFGRFSPSSLDNVTVKKMNVLQGSTFLDTSTGRTSSDVSIDNVKQCFEPSEAEVLTASRESKNIARTVVEAARSWTDYPDKSDATIDDENSTSTPRLELSRLVVSDVRSAAISTSVEGNQEGNPFVELSSVKTIPHTSNGPSIPQLLHQISNVGEVTNLDKREALQQLVTASTNNDNSIWSKYFNQILTTVLEVLDDSDSSIRELSLSLVAEMLQNQKDSMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIIPLLVSDDEKMLVMCTNCLTKLVGRLSEEELVTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OB02G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17560330:17560938:1 gene:OB02G31540 transcript:OB02G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLNRELGADVFVTANTYRMNFDYINNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCEDREAFAFWDAFHPTEKANRIVVGQFMHGSTDYMHPMNLSTILAVDEERL >OB02G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17561432:17570931:1 gene:OB02G31550 transcript:OB02G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;ATP-dependent helicases;DNA helicases [Source:Projected from Arabidopsis thaliana (AT3G27730) TAIR;Acc:AT3G27730] MAAMGHLGDPYALHSVADLPPPFRSAFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCIMRLLSRFLSSDWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGINCLEITGDNDFYNIKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALILIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKSAPLANVRFVAVSATIPNIEDIAEWLEVPSEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQSFIYDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACIVHGVGYHNGGLCLKDRSVVEGLFLKGDLQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVSDITLAIEWLKCSYLYIRIKKNPQHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLEPGKLMTKFYLKFDTMKLIVKASACCTLEDLLHIICHSAEITWIQLRRNEKKLLNDINTDKEGRLRFHVVCANGKRKKRIQMREEKIFILVNDCLTGDPLVHDLSLNQETNSICSNGCRVAKCMREYFIYKKNYKSAINSMLLAKCLHQKLWESSSFLLKQLPGIGIVTAKALKTAGIDSFESLATAEARKIESVTGRNYPFGYSIKSSLSSLSPKIDMNIEDAGNRQGKSTIIVTLSRLSQAVQSSKQNYADMVVGSEEDNMILFHEKINPYSVKLYVSCPPNARVTLKVDLIFEEYVGLDIHKKHVVSREDLQATKVFGIKKAEFLHNLPAESCLVSSRTTRTNQSQYHNGQSPLSKEVYVIEDDAMANAPDKAYNDVDILGTREYNNLASMEVPSFTLLPEEDYEDIQDELVSEPREAECKSATNNTIFEHIRKKSRDFPALLLSKSMDSSYEPLILKKMKTSGDQFGLDKSSLHADDEVMPMVSDRTEARVSPTNTAERCRNILSSSAEKSSFRFTGKPGSLSQLNRTQDKNSTQLAGKRENPSEKSKALSRIQDESPLQFAGKRDSSSVPLNKTPDENSLQFLGKMDSSSEKCKSCFRSPLADFQSMQCTKQVAASVQPLRIQDYCKDILASAKGSGTGASFLGVKSVFSFL >OB02G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17572827:17573866:-1 gene:OB02G31560 transcript:OB02G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGRRRRSPNSIAPSPVSCSSSPPGSQEAQAPSQLPSWKFSQVLGELPLAAAGAGHDSGTLQVIRSRPSSSTVRASTWPPATTLGASSSSGEPTRATSRRRLVRSWSGRTTPLRRRGGGAGVQLHGGVPEPRAGVRRAAQLGDRREGEEAEMVRAAEQLVAVHAHHQRPHRQTLEASR >OB02G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17574241:17582244:-1 gene:OB02G31570 transcript:OB02G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPSGFYHEVQITVNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGKFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHAEAIKCIDPQIRLAKRAGKLKKEYKISLISDKSYEKIRTLSEAPIEEVFTDSTYGKFERGEALENITQTVKAKLEEECDEDSLKFLHKAVDTVRKQVIRKRIIEKGLRVDGRQLDQVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESEFPYTVRVNSEVMASDGSTSMASICGGSMALMDAGIPVREHVAGVSVGLVSEVDPTTGDISSYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDHMDQEISSARAINDGSSPRLATLSFSSDSLRKLLFHRKKVEQETGARVSVSDGTVTIVAKTQPIMDKALEKIEFLVGREIEIGRAYKGVVSSIKEYGAFVEFNGGQHGLLHISELSHEPVSKVSDVVSVGQVLSLTCIGQDVRGNIKLSLKATLPHPHKKKDLASNHTDPLPSQEIVGWTAVENMPSRGADCEPSVSKDEDNMMEETPECSTPAVIIRSAAECDAQEAANGPTKKRPKMAKSSPKPSKPASERQEVKRTTAKKTSGALNAKKNKKEKAEDSASDGLELDTVPEQNKSSVQNYSSPSNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFEENGRNTFEVGQELLVKCASFNSKGIPVFSLLD >OB02G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17590958:17591167:1 gene:OB02G31580 transcript:OB02G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVEVPKAILFLCSSVINVLLCDIARTRRTCIKCDLLEYCTISTAEDLNSTCMCCRGSELNIYVLNT >OB02G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17594312:17596882:1 gene:OB02G31590 transcript:OB02G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLILSSLGRSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITGSSTSSTSAETKTSEDKK >OB02G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17598180:17598464:-1 gene:OB02G31600 transcript:OB02G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLFLPMVLDVLQLITYLKVDCLTLQTHLYQDSPSAAVSHVQIRIAVMIYLTAYFLLTKISVQITPKFEGPGVTDSKYSSSTSNRINKFRAG >OB02G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17599937:17601994:1 gene:OB02G31610 transcript:OB02G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSSYSRPSDPNPAEEPSKPTMALDKASNSVVVFSKTYCPFCARVKQLLAQLGASYKAVELDVESDGSELQAALANWTGQRTVPCVFIKGKHIGGCDDTMAMHKGGNLVPLLTDAGATTPSL >OB02G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17605807:17608565:1 gene:OB02G31620 transcript:OB02G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif -containing response regulator protein [Source:Projected from Arabidopsis thaliana (AT5G61380) TAIR;Acc:AT5G61380] MVGAGEGDRVGGGGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINALNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETITSNQHEYESNPSDAEPKQKDNPDDLPICTEGGEQTSSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSALQRGGNRLDSSDHRANFTSTADRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINSAQVHTPPTLLPQYNVYPQCHGVSMMPPFQYNPAGMSMPSSHMPTQNMWPQVSSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDNSEDEDDEPSSREIEMVSSPE >OB02G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17609737:17610955:-1 gene:OB02G31630 transcript:OB02G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar RNA-binding Nop10p family protein [Source:Projected from Arabidopsis thaliana (AT2G20490) TAIR;Acc:AT2G20490] MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >OB02G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17616375:17617866:-1 gene:OB02G31640 transcript:OB02G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQFAWGREEGGWRKGPWTAQEDKLLVEYVRQHGEGRWNSVAKITGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQKQKMLGQDDDAASAVEDNRSAEAAPPAASLAHDDVDGLQIMQEMPDMDDLLYYHPAADMSSPXAPLGGGGAAATISAGGAAASTSDVGSSEELDGGAATWGSLWNLDDVVHDVMIDGGAGCWGSFPPLQDQGLGYY >OB02G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17629619:17631092:1 gene:OB02G31650 transcript:OB02G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRRSPARSMGTSSLAVAVLLLLARAADAEITTTLIASDSRPLILFVQFGFERGGRATVSIRRSEWNLRPGSPLTAVDPSLMGFVLISGSQFPRINNESAYAAADPGDNGDAGGGFCVLTSDYALPVLRLSDVPPGGVTTTVTIDDPDQYAVLFSNCQDGVEVTMDVLTELYNVRGGASDGPRNYLPVGLQPLPTIYTAVSAVYFAFLAAWACACVRHRATAERIHAVMGALLLFKALKMACAAEDSWYVERTGTPHGWDVAFYVFGFLKGVLLFTVIVLIGTGWSFLKPYLQEREKNVLMIVIPLQVIENLLLVVIGETGPTGQGWIVWNQVFLLVDVICCCAVFFPIIWSIRSMREASRTDGKAALNLQKLTLFKRFYLVVVGYLYFTRIIASAFLALLSYKYQWGVNVAIEAASFAFYLFVFYNFQPVEKNPYLYIGDAEDDAAEREMDDEGGF >OB02G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17631354:17632445:-1 gene:OB02G31660 transcript:OB02G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDASPADQQPPGRRRRCCDGVTWGYRALVVFSLLCAVFLIVALGYFVTVTVSGGHPVFSASIDAVSGLELDPAKDPAGQAPTLDPEFSLTIRVSSRRRMSMYPDCLPSTGAATTVEVTYRGVLLASGPVGQLCVGVGETKDEAAVAWGTGVRLPGFLLDALAADARRGAAAFDGVHHDHYYQETRVSCTARRVGDEPVAALNTPCLVSTADVPVSYPNTGRTQPAG >OB02G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17633055:17633732:1 gene:OB02G31670 transcript:OB02G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKPEKQARGSAHGSSAAGENSSGFCRYFGLLRDKLKAYFDTDYTLTMEEHLADIFASMGEDMDEVLTSEEEFLPGCVTPRMSTRDPKSGGGSFRGHLNMLCDCHYTHAAAPAAAAPSTPPAMAVVIDEADQHPASPDDGSNLLVYMQDEVVAAEAAEDVDQIVLNALGFNEIARDLKEAIEAMDREDAMTATMVAMDMDAVGTETAAPATTDIDEAMDREDA >OB02G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17636957:17638191:1 gene:OB02G31680 transcript:OB02G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRTEGVLPEHPPGDPRRSHGARAHVGVALLPLQVVRRHRQERRVQRRRRRLLGARPGPRPRAAHAGRHVRPERPHQGAAQVQRGVHGARHDGGRVLPRGPVPEFCGESENTTEARSVMAWGHGVAVPGFARESLAEELRRGDAEVDVALTAPADVALTAPARYCAGCWQTVYECKPHMGSSSAEFSPRCAVTSQIPTFPDHPEERYPGWPGTIVPGRRYLR >OB02G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17639283:17642610:1 gene:OB02G31690 transcript:OB02G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDESPAATAEVEAALGLNAPLFVNSVLNAVDDVRYGAFEYCLQEGAPEAVGAATATQKAEELERGVISIHNLVKDVLDKRMSNWEKYCLRHCFAIPEGFLTREDHSSSAKGSLNDGNSDLDLDEELVSLRKKLEYANNESEELQRDISSLERQAECQRNLGSCMAELLKVFESKSFQDNFQDLVKAIPIFHQKLKGMKREVAGSTVDQNVWNVSGLGKRKRLASDLTASTEDVKVVTNEVKKA >OB02G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17644128:17644341:1 gene:OB02G31700 transcript:OB02G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAITALMDIDDSPRSSGAGAVFLDDDEDAEVLHGHRTARANEPRGPLPFAGFYNSFDGADFDDADLA >OB02G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17647285:17648004:-1 gene:OB02G31710 transcript:OB02G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSHVSSSLLPLPPLSPSFLPRAAETGGGPGGGGGGPGGTAQPGGGSARRRKGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVAGRRRESVRLWDPHMGPIDGKSVWLGNDRRGWEGVAEGKANGREEKVRGLKCKMVIGLIREEWFPR >OB02G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17653218:17653702:1 gene:OB02G31720 transcript:OB02G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNPDSMDTDPPGAAALSISVEHSPPESRLLQLGVKSWPKWGCPTGKFPVKFDARETCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >OB02G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17661534:17663127:1 gene:OB02G31730 transcript:OB02G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3LEV6] MATCLESLGPLLGGAANSTDAANYICNKFTDTASAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPETGYDYDFFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASASRLTGPLLFESGVIDFAGSGVVHVVGGVAGLWGAFIEGPRIGRFDATGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFTTISKIFGDSGTIDGQWSAGGRTAVTTSIAGSVAALTTLYGKRWLTGHWNVTDVCNGLLGGFAAITAGCSVVDPWASVICGFVSAWVLIGCNKLAVMLKFDDPLEATQLHGGCGAWGVIFTALFARKEYVEQIYGQPGKKRPYGLFMGGGGRLLAAHIVQILVIVGWVSATMGTLFYVLHRLGLLRVSAAQEMDGMDPTSHGGFGYVDEDEGERRVTPKSASAAVEPRKSPEQAAAAGQLV >OB02G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17672141:17674147:1 gene:OB02G31740 transcript:OB02G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3LEV7] MATCVETLGPLLGTAAGNATGYLCNRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNGFIGKHFFGLKQVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSTDGWASASRTSGPVLFNVVWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVIDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALSARLKFDDPLEAAQLHGGCGAWGVIFTALFARREYVEQVLGQTGRPYGLFMGGGGRLLGAHIVVILVIAAWVSFTMAPLFLVLNKLGLLRISAEDEMAGMDQTRHGGFAYAYHDEDDGKAGHGVGGFMLKSAHSTQVAAETGGHV >OB02G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17673275:17674222:-1 gene:OB02G31750 transcript:OB02G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCISFRSTMPLLCVYYLLSSSVSLDMAARLGCDLRAVRRLEHEPADAMARLAVVLVVVRVREAAVPRLVHAGHLVLGRDAQQTQLVEHQEQRGHGEAHPRRDDQDDHYVRPEQPPAAAHEQPVRTPRLAEDLLDVLPAREQRREDDAPRAAPAVQLRRLERVVELEPRRQRVEADEHPRRDEPADDRGPGVNDRAAGGDRREAAEEAVADVDHVPVPRLEPLPKERRERRRASGEGSGHRGAAHRRPLPVDAARRAVGFEDGEERAGVKPVPSEPQQEAAEHDERRAVAAQRHRAAGVVEPPNARALDEGAPDH >OB02G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17678063:17678323:-1 gene:OB02G31760 transcript:OB02G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRMIRFLQFVFCVTHSQGRKHGEMRASRCHVAIPELRTVQREVKKNGADYRITIHSTVKWFSNTPRINSSAMPDHINCEPYILP >OB02G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17679472:17681064:-1 gene:OB02G31770 transcript:OB02G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33350) TAIR;Acc:AT1G33350] MPRTPPSSSHGDFVAALHRCATLPHLKQLHANAVVTGRAAAQPTTFHLLRFASLRLSCLPYARRLFDATPSPNIFLYSAMLSAYAAASSTAQEHAWDSLALFLRMLRRGRPAPNQFVYPLVLRAACAMEIQLVRSIHCRSCKDGFYGHDVISTSLLDGYSRYGMMGDARKLFDGLTERNVVSWTALVSGYARAGKAGDAIVLFERMPQRDVPAWNAIIAGCTQNGLFVEAVGIFKRMVDEGFRPNGTTVSCLLSACGHLGMLKIGKVLHGYAWRSCIGFGSSVVNGLIDMYGKCGNMMEAKWIFDEVSDRRLTTWNSLINCLALHGCSESAIAMFNAMRNEGVQPDEVTFVGLLNACTHGGFVDEGLRYFELMSDEHEIEPEIEHYGCVVDLLCRAGRFQDAMNFINDMKLEPDEVIWGSLLNACRIHRHLELAEHAIRKLLDLNPNNANYVVMLANLYSEGGFWEEVRKVRKLMKEDVTGKKLPGCSWIEVNRRTHRFYSGDDGHPESEDIYSTLDELATSMEIQDTFA >OB02G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17682677:17683810:-1 gene:OB02G31780 transcript:OB02G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSRRRPGSLGCLSNWRYGLPVAWAPSPLWFPKQTGANSTHFWFPSENETAYLYVTESESESESDTDSASFSQPPISRYILPCHAVRPPLLTPLDRATGHRAQPERLIETHPPSNPIKSRRARGSGARGSAAGQSRCGYFGLLRDTLRAYFDTGDYFGLLRDTLQAYFDTDYSLTVEELLADILIANMAEKDMDNALTSDVPQCSDISDSEEDLPPGCVTPRMSTLDPKQCGGSFREHLDMLCDCCYYTHAAAAGGGVDVDVEIAVNAMGFNEIAHDLKEAIEAVDLEDAVTEMALDMDAAPAAADIDEFLNAFDEIARDLKDFIEAMDREDA >OB02G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17689987:17690832:-1 gene:OB02G31790 transcript:OB02G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKPDQEPAHGSAAGESRCDYFGLLRDTLQAYFDTDYSLTAEELLADILIANMAEGDREEAPTFDVPQCPDSSDSEEEEFPPGCVTPRMSTLDPKQCGGSFRGHLDMLCDCCHHPHAAAARGVDEADQQPASPDDGSNLPEVYTQGELVAAAAATVDVDVEIAVNAMGFNEIAHDLKEAIEEMDLEDAVTEMALDMDAAPATADIDEFLNAFDEIACDLKDFIEAMDREDAMTAMAMDMDAENAALATVDMDEVLDALGFNDITRDLKDFIEAMDPKDA >OB02G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17693055:17700858:1 gene:OB02G31800 transcript:OB02G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNGNEENDDTGLSMVPPISKGRFDAAIRAMAGIGVLKETAEPVLHNLLKLFRYNWVHIEADNYLALADAIFNDSDPKDGQKRQANETNLGADQCNKKLKAKQCCQNTASKMHGNDKRELVEAPPQPGRGTLRVARAHSQLLIKEPHTCPSTAEDTTFVENNSSVLCHGQDRQTFEAPLATKRPQVVSPSTRKDAHRTSGALYAVDQKYEGDSGAHEGNWAVACSKDSPSNTEVVLSNTGAGKLSFIYNSSLANHSGFHLPDIKLICKKMEARCLRKYKSLERNFSFMNLLKDTCQCIVDLGFGSSGPRHEGIMQTLLAQDIMSKPSVPPILQSKQANSSFIRPNNLMSLGGTCSSHAVAGVGHNSSNMPVILHKLHIGANRPPHDVNDITKGEERLTIPIINEIGNGILPPPFHYIPHNITLQEAYVNPSLARIGDDNCCSDCFGDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMLQEPHKHPQFYCKICPNERMKMEVNSDSPNTEMNPGPCKGHLTRKFIKECWKKCGCTRNCGNRVVQQGITHHLQVFLTPGKKGWGLRSTEELPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNIARFINHRCIDANIIGIPVEIETPDHHYYHLAFFTTRVIEPFEELTWDYGIDFDDVDHPVKAFKCRCGSEFCRDKARILRSKSRTPVVR >OB02G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17701099:17701266:1 gene:OB02G31810 transcript:OB02G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVEHLKFRQLIHDVAALFPSLHKAVCLVASSSVPFTDTNRCKEIWNVNSLLRYKY >OB02G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17702833:17708175:-1 gene:OB02G31820 transcript:OB02G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAASPLLWKMKRLLNIGSETEIKKAIRLINGLAAAMIQEHQKLGVGSSHDLLSRFMASAGDAHGAAEDKFLRDIVVSFLLAGRDIRAHDALRAPVQAPRPFRRSPTPLLEPSAPSLCSPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPPATTCCPGSWRRGGQVPSRHRRQLPPRRPGYPRSRRSSCFCPSPPPIPPVTDPAAGAVGSVVVFASAAAVPPQLPSYRRW >OB02G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17709579:17716052:-1 gene:OB02G31830 transcript:OB02G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQRLGNFKYVVMAPVVVHGAHRVVSKGWGDIDLAYSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLLFYVGYLAMPSVRRMPLWRTDGAVMTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFILFAIPILSTIYVGNVSAMGIVAYIAYIDFMNNMGHCNFELVPSWIFQIFPPLKYMIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTSLESAYHLRIGIASIASKPYSNSAWYMWALWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWEREPINDLIEKAILDADMRGVKVVSLGLLNQTKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDAKKVFLHTGSSKIARAIAMALCSRGVQVIMNEKKEYDMLKLQIPENRAIYLKLSSDDIPQVKLWIVDNIDDDEQKMAPKGTTFIPISQFPLKKVRRDCTYASTPAMRIPEEMKNVHSCENWLPRRVMSAWHIAGILHALEGWNTHECGDDMMDAEKSWSAAIRHGFLPLSKA >OB02G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17719363:17719764:1 gene:OB02G31840 transcript:OB02G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGGKSKRLQLQEAAAGGHLGHAAPCRHHDAAAQGVKGCAAFLVGEEGEVLQQHFAVPVALLGHPAILELLAEAREEYGYTHDGAVAVPCSAERFRRAVDAARREDGRRHHHHHFRLPGLAGCFRPSHAVA >OB02G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17737549:17742738:-1 gene:OB02G31850 transcript:OB02G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 1 [Source:Projected from Arabidopsis thaliana (AT3G24800) TAIR;Acc:AT3G24800] MASEDGSGGNSPGQAAPAPPEWNPAPAAGSGCGDVEEDPQFQCCVCLDLLYKPVVIACGHMSCFWCVHKAMHVIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNFRSNNCEIDGENRPEESNSQSSAEHLKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALECKVCGGLHPGDVPNVCLDLDHFIEEYFPVEYDLKREKIQLLKGECNIKGSSSGTSSTKEGGGRASKKENFALKDDDLSDVHIGVGCDSCGVYPIRGKRYRCKDCTELIGFDLCEECYNTKSKLPGRFNQHHTPDHRMELDNSALLHRIMRLQGIHEEGPGGVIIGEFVAPGAVVHVIPDDLEELEDMGEEDQLL >OB02G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17750940:17751356:1 gene:OB02G31860 transcript:OB02G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLAWCILQYLFCNHYRHVYIKVLHVNYYSSLARNPICFFRKKCDGAIISVAVEPAGANHFRATVVTHVEWWLIMIRSQGRCGKRLQSAQWTVLNFGYSCCNRLTTRNLQFAITRIASGAKVDLTMKCSQFSLCVSN >OB02G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17755828:17762352:1 gene:OB02G31870 transcript:OB02G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase (ACL) family protein [Source:Projected from Arabidopsis thaliana (AT2G20420) TAIR;Acc:AT2G20420] MVRGSIGKLASRALSVAGKWQHQQLRRLNIHEYQGAQLMGKYGINVPRGAAAGSVEEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLDQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETSDNKLVAADAKLNFDDNAAFRQKEIFAMRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >OB02G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17766231:17770909:-1 gene:OB02G31880 transcript:OB02G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDKKQRRRRRQGHPLLRGGAAGKGRQERRRRRRQGHPLLRGGAAGKGRRYTHGFSASQMLALAAVCGAVAPSLPPDSCLEDERHGGRGGGGGDVDVSNGKAVRDFLVASAADPPVPDEIDESSNNPHWRAVGYSPPTDEPPAEERPEATKRPLDDGVVETINLTDASLPASLADKGLTVTDDAARNVCQVECDVVIVGSGAGGGVAAAVLAGAGHKVVVIEKGSYFTSRDYTSFEGPSMNQLYESGGFVSTMNGGGLLLAGSTVGGGTAVNWSACLKTPEHVRREWAAAHGLPLFESSEYAAAMDKVFERLGVTSGCKEEGLQNKVLRKGCEKLGYKVDAVARNSSEGAAAAAAAAPGTSAARTRRGWSTRSTNPAIKRTLEVRAKVTVSAGGSLLTPVLLQRSGLTNPHIGKNLHLHPTAFAWGYFPDTTVPDLKGKTYEGGIITSLHKSRSETWSLFCSAHNMGSCRMGATARDGAVDGRGESWEAERLSVCDGSVLPTALGVNPMITIQSVAYCLASGIADSLSGKTTLKKT >OB02G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17776373:17776597:1 gene:OB02G31890 transcript:OB02G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNPETARHGSASSSLPTPAAGRPPAFLLLPPPRPPHPHLPAAIARVPSSSNNNKERKEKKIHRPALPYPISPFYP >OB02G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17777070:17782652:1 gene:OB02G31900 transcript:OB02G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNFCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESSRLRSSGRTSGLVGPSAERTERAAARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSFLAPKTAVDSDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKPARNVHDDTTLRTFERLSISADRRK >OB02G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17783412:17784317:-1 gene:OB02G31910 transcript:OB02G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEKSRIKCRPKWRKVAYGGRQPGYDDNYTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYICIVALVVSTWAYTLNLVIDEVTLLKLDTGLLLVGFSMLLLTACPFSLKLLFKYVLNISFFISGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFVPLIAFCIKKYSLRLHLLFSFALMDMTLCVTCQLHRMFFILLLVLLVFISILCPYWLIRIQEYKFEINGPWDEAKLCFDITE >OB02G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17787543:17789219:-1 gene:OB02G31920 transcript:OB02G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMIRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLARIKRGGAIRQELVKLKKEAAA >OB02G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17789518:17792477:1 gene:OB02G31930 transcript:OB02G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSESGVMLTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEHLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHLDWTMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDMKAS >OB02G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17793731:17797088:-1 gene:OB02G31940 transcript:OB02G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSISPAAPSYFPVPFHLQNVVQLAWPAAPAYNAVYPLPQVQVPQMWEFVPIFHYYGCVLLVMKDEIIFDFMVQQLFQKDAQIITPEALATVKAAIANSEKDKKIEEKKNAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKTRIDYEALQKPKTPPQKKFKAQKRGVLHK >OB02G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17810061:17816029:1 gene:OB02G31950 transcript:OB02G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFFPSDEELVCHYLHRKVANERTAQGSTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRGPAAAAARAVVGMRKTLVFYQGRAPNGVKTGWVMHEFRLDSPHSTPREDWVLCRVFQKRKGDGDGGPQDSAGAGAASPTFTSSMSSSSSHLQQPDHHPAAAAAAGGYCYGAVDSQLAAAGYSAAGFAAPAAPPPQMNTSQPAAPQYQYGGAVLGFPEEYGLGTYLDMGFDVDDIRFPQGWN >OB02G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17830617:17831432:-1 gene:OB02G31960 transcript:OB02G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAEMYCFLQKEMDTSSLSHTRAAYTNIGAEQSTSRQIDLSIPRISEWNHQLRHSIVLKKGEDCIKCVSWVVTQIDGAVAEPGEQPTDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRRRRRPPARPADDGQLVRTCARTHLPFGTPD >OB02G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17860868:17863807:-1 gene:OB02G31970 transcript:OB02G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLIMLALTKMLLPLIILALSARGGLLPVAAAAMQPNETCLRRCGDIEIPYPFGVGSGCHLETGDWTFVLSCNRSADGRLRLYNYQIEVVDMSVRRGQLRIYSVINPWCYNASTAAMNGQNNWWYDMSITNFRINDALNRFTVVGCNSLAYILSLNDTAGAERYMTGCMAMCPGVGRLENGSCAGVGCCQTAIPGGLNGYQVSFEEKFNTSGIAGFSPCSYALLVEASAFDFRTAYVTSDELMTANGGQLPLVLDWAIGNKTCAEAQRDASAYACVSANSECVDSKYGRGRGYLCNCSAGYDGNPYLLDGCQDVNECDDTRFRYPCSVPGTCVNTAGGFICACPDKTTGNAYNGTCGGNKSQLGWKIAIGVSTGVVVLMVTASCVYMIHERRRLAKIRREHFRQHGGLALFEEMKSRQGLSFAVFTQEELEQATNRFDERNVIGKGGNGTVYRGTIAKDNAVVAIKRCRLASERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGGGGGAAPARRIPFAARMRIAHQTAEALAYLHSWASPPIIHGDVKTSNILLDEDYTAKVSDFGASTLAPTDAAQFVTFVQGTCGYLDPEYMRTCRLTDKSDVYSFGVVLLELLTCRKALSLEEELEEEKYLSSQFLLAVGENRLGDILDAQAKDEQGMELLEQVAELAKQCLEISGEKRPTMREVAEELDRLRKLSMHHPWGQHNSEELAALLGGSPSMDSDQIELSTSTRNISFSDTAYIGIRSPR >OB02G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17869347:17871869:-1 gene:OB02G31980 transcript:OB02G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALIAVLIASAWPAAEAAATAAQPAAACQRRCGDVDIPYPFGIGRGCYLYTGEGDVTFGLTCNLTADGTYRPFCWEYEVLGVSLRRGQARVRNDINPWCYNATSRSMDAQSTWWWDVSDSWFHVSDEDNRLTVVGCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCQAAIPRGINSYWVEFEEKFNTSGAVAGFGRCSYAVLLEAASFEFRTTYVTTGDFVESTGGKVPLVLDWVVGKETCREARRNATAFMCVSRDSECVDSRNGPGYLCNCSAGFEGNPYLLDGCQDINECEDRRFKYPCSVPGTCINTPGGFRCSCPDKTTGNAYYGTCEANKSQLGVHIAIGVSVGLALLAIILSSAYMIQQRRRLASVKRRYFKQHGGLLLFEEMKSNQGLSFTLFTEEELEEATDRFDERNVLGKGGNGTVYRGALRDGRAVAIKRCKLVSERQKKEFGKEMLILSQVNHRSVVKLHGCCLEVEVPMLVYELVPHGTLYQLIHGDGAAASHGHGSRIPFATRLKIAHEAAEALAYLHSWASPPIIHGDVKSPNMLIDDGYAVKVSDFGASTLAPTNEAQFVTLVQGTCGYLDPEYMQTCKLTDKSDVYSFGVVLLELLTCRKALNLQSPEEEKNLSSHFLQALGENRLEAILDSQILGEESMEVIEQVAGVARQCLEMSGERRPSMRQVAEELGRVRKLSRHPWRGGGGRGSSDELEKLLAGRSPNTYSELQVSNGYLSLNDSAYIGIQSPR >OB02G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17878263:17879177:-1 gene:OB02G31990 transcript:OB02G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTAEEDRILVAHIERHGHSNWRALPRHAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIHLHHLLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKPSSGQPQRAAAPAPKRKAKKAEAVAVAVPVPVSPEQSLSTTTSSAGTEDCSMASSADQNATDSFTSEEEFQIDDSFWSETLAMTVDSSDSGMESSEPPCVDSASPPASSNDDDMDFWLKLFIQAGGMQNLPQI >OB02G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17904020:17910101:1 gene:OB02G32000 transcript:OB02G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGPERTVVWFRRDLRIDDNPALAAAARDGSVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSKSLESLGCPLVLIRAESTLEALLQCIDSVGATRLVYNHLYDPVSLVRDDKIKKELLALGISIQSFNGDLLYEPWEIYDDSGHAFTTFNMYWEKCMKLPVDISPSLAPWKLVPIPGVENVRSCSIDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVCHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKLENDGTFEAEESVHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWRVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHDLGRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILQVAGVELGFNYPKPIVELHIARECLDDAISTMWQLDTAAKLAELDGEVVEDNLSNIKTFDIPKVVLKETSPRVLPVDQRVPYASSKDHNLKSNELKASSKSSVCVDMIRSSKIEATSSVANSPVSRKRSCCNTAFHVPSYSSSAEVHLQIQDHGGSLVGPSRCILQETERDGVDEEEDSSTADSGSSIPRPTKNRIVAPPDEES >OB02G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17910712:17912205:1 gene:OB02G32010 transcript:OB02G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATGVRNEQLSSWLAAVAAKPAIFRRAHAVLLTSGHLSSRYSVNCLLRSASTPSSCALLLRILLNHRLLPDHLSLSFSLHPCSRLPSHPVASVVHSLAARLGHARDVYVVNAAVSAYFAADDVASAERLFSETSKDVADVVTWTAMVTGHANASDVERAKWFFEAMPEKNVVSWNAMLGAYARAGLLPKARKLFDRMPSRNAATWSSMITGLVQSDQYEEALRVFSDMVASGVVPNEPALVSAVSACAQLRWIEHGAWVHEYAERELNGAMSVVLATAIIDMYGKCGSIRDAVRVFAAMPVRNIYSWNSMITGLAMNGSERQALSLFWKMQLAGVRPNDITFIGLLSACSHSGLVDEGRWLFDKMVNHFGIQPVPEHYGLMVDLLGRSGHVREAVDFVKNMPVVPHPGLWGALAGACKIHGEVELGEEIAKKLIELEPRHGSRYILLSNIYATSNRWDDMADVRRILKDRKVPKGTGNAIVGNDSQSSEHETHS >OB02G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17924507:17925968:1 gene:OB02G32020 transcript:OB02G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELKRDYEIGEEIGRGRFGVVHRCTSRATGEVFAVKSVDRSQLADDLDRELAELEPKLAQLAGAGNPGVVQVHAVYEDEAWTHMYGEKADVWSAGVVLYVMLSGGALPFGGETAAEVLSSVLRGSVRFPPRLFAGVSPAAKDLMRRMMCRDTWRRFSAEQVLGHPWIVSGGGARAMEQPT >OB02G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17932480:17937411:-1 gene:OB02G32030 transcript:OB02G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDIKLNNAILAEEKHFPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYIGCIGKDKFGEEMKKNAQAAGVNAHYCEDEAAPTGTCAVCVVGGERSLVANLSAANCYKTEHLKRPENFALVEKAKYIYIAGFFLTVSPESIQLVAEHAAANNKVFLMNLSAPFICEFFHDAQEKVLPYVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQQKGIEDCVKAGCYAANVIIQRSGCTYPEKPDFN >OB02G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17938415:17939276:1 gene:OB02G32040 transcript:OB02G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILGLQLAIKYKNSDGEYESKAVSNLDGDGGFSVPLAADDLHGAECFVQLHSATSRTACPRCTFLLVFRPRLVLRLIELKDSLNEMKSKFNSIVNGLL >OB02G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17943297:17949405:1 gene:OB02G32050 transcript:OB02G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPASGFFPIVVWKTFVFLESSRSAGSEVPPRAYKYWSLFEWMTRVAGRQTGNLSYAKLLGYSNVGKVKCSHSPCNICKKTVQNVESLPITDDPALYVASLLYPFAISLFVNSLLVILVLVSSCWQANYPWATNVEDTMSFSFGLWKSGSSSDIVPCLKSRVITLSAEKLKESSECRKLKTGNRSETDTPFYESEPEEGEIREDEPPGPESPNHKDIGINAIKLSSSQEAIIKQKVDKMQSTSHERDTYQEGIANTVVQKLEYGASEGRTINTRQLALHGTCSGGYTRSYLPDANNTSTKRHKQKEHGHYKYSDYPHVLKTIEKVSSRRFNKLLAWHNEDRKEFNVLFKKLELDFFQEHVRSYEVQYTRVIPTIKQRRMKLPKQCFSILRESFHKHFQSKLIEFVKQQIKDRDKENRIRKRWIFEAEAGYLKKDFDMVPLSYSGLKIEKLKCPLTDYSNGDILLNCFNMECLSTEIQAIASLSTEPEDTCAGKRSNASEPIPENSQLLLEINGSTEDKVSVGAAEEVFTYERSSQSTCEPTTVVFGENNGTEIDFPVAAQSTVGHTELPNAPRSDIGAGLAHANLVASDSGNVPSIAKGRCLSSGYDVSEGSSSRFQRELQSEPSSSLCKNVLLHKETPSTNHHISLDPISLQETPCANQQISLETISPQEAPFANQQISSDTISPLEVPSASSPSPNVIQMEQEQPEDISNKKAPNSQASSFAQVTEQPDMHANTSTCQVVTHQPPDGNTHSVRNGFISPQESNIEPDLVNQIITVPSEVGFQSDPIANELSRLQMLRVLMAKRHEEKRQQLILACEIEMAETKRKYDELIYKSEMERLQRKKELKILSDKVYKQQILAEEFQSMFVSHRSRGTYVKCTVRPREAWQSLIDHQVSSNSLTETEAVTGSRHVVHQKYRYQSASEMLGRPNSALLLNMHEFSQRWSCTEFMDHPTLMQIQAL >OB02G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17955308:17959493:-1 gene:OB02G32060 transcript:OB02G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3LEY9] MTGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDEEARPRVKDSSEWILYSIAHGGDHNTLTPGFGGTSPRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPAETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAIAPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILSVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKTSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLITAIDREAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEELRSALPREIEAARVAVANGTAPVANRIVDSRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLECLKEWNGEPLPIN >OB02G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17956650:17957681:1 gene:OB02G32070 transcript:OB02G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRVGEVLELRAVGGHGDLGALEPVVQARVAAAGQVGGQPVVVELVHQLGELREHELPDVGNGEAGVVHGHTDGRALEVAAVERLAAVDVDHRVVVDGVDLAFDGLGGGADDLDLGAQPLRRRAERVPVLLRLQQRVHLVHLLGVAHERAAGEDVLHDGGGLDRPRVVLQLVGQVVGVLRLAVHHLAEHGGQHLGEDGQDVGVEHHGGRQRGPHGRAIDDGETLLGVQREEPALDAGELERLGGVHLPAVGGDGLRVGAARDEAGDVGQRHQVTGRGDRPAERQARGHAGVEQLGDRLEDLEPDARVPLKERVDAHQHGRPHRLRRQRVPVGAGAEDSGVQM >OB02G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17969801:17980382:-1 gene:OB02G32080 transcript:OB02G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3LEZ1] MECETGLVRSLNGEGLCMSAPAPRADPLNWGKAADELAGSHLEEVKRMVEDFRQPLVRIEGASLTIAQVAAVATGAGDARVELDESARGRVKASSDWVMSSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSLNSLAVAPDGSKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLGILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSLNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHLRAALPKEVEAARAAVENGTPLVPNRIKDCRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKELGTAYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >OB02G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17985119:17987260:-1 gene:OB02G32090 transcript:OB02G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3LEZ2] MACENGQVAADGIDGLCIAAPRADPLNWGKAAEEMAGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLSAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRHIEENVKSAVKSCVMTVARKTLSTSATGGLHAARFCEKDLLQEIDREAVFAYADDPCSANYPLMKKLRGVLVERALANGAAEFNAETSVFAKVAQFEEELRAALPRAVEAARAAVEDGTAATPNRITKCRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >OB02G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17993132:17994988:-1 gene:OB02G32100 transcript:OB02G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3LEZ3] MNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLSAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNAKVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLQEIDREAVFAYADDPCSHNYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRATLPGAVEAARAAVENGTAAIPSRIAECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >OB02G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:17994978:17995307:1 gene:OB02G32110 transcript:OB02G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFITQSLLALTRSRADSSSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPAQATHAVGRNAAVLALHCRRRISQLSRGTTRQDCVIA >OB02G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18012665:18015385:1 gene:OB02G32120 transcript:OB02G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclic nucleotide-gated channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) TAIR;Acc:AT2G28260] MACSGSRAVRFHNDMELPHRKIASAPESRAKNGKARQQHDPRKCRRGGGSLKDRVLSRAFSEELDSLMGSGSHLFFDPRGRLIHLWSKVFLATCLASLFVDPLFLYLTGTRQNMCIEFKYSLAFTLSMIRSLLDLFYAAHIFFRFRTAFIAPSSRVFGRGELVIQPCKIARRYLAGTFWFDLVTALPIPQFVVWIVIPKLKGSATANRKNVLRFSIIFQYLPRLFQIFPLSRQIVTATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHVEGPSCQTLFFDCKTVSSNRTIWYQLSNITSLCTPSNGFYPFGIYGEALETKLTSSTFTQKYFYCFWWGMKNLSCLGQNLSTSLFIGEIIFATVIGVLGLVLFALLIGNMQSYLQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWVATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAAXXXXVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRMREGGEARSGGSVRCRRHSCDGKALIKKPMEPDFTVEEED >OB02G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18019827:18023800:1 gene:OB02G32130 transcript:OB02G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3LEZ6] MEIKDEETAAEVAVVVQLRFRRICVFCGSSHGKKKSYQDAAVDLGKELVTRNIDLVYGGGSAGLMGLVSQAVHSGGRHVIGVIPKTLMPREISGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSPQHEKVVSKMKWEMEQLSYSQNYDIPMPKEGNVIIEAQHGSRLWM >OB02G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18027593:18031184:1 gene:OB02G32140 transcript:OB02G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKVIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDHLLTNRSVFAHNYSAPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLMATNDVNGDTKKANGVTHDC >OB02G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18045999:18048733:1 gene:OB02G32150 transcript:OB02G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3LEZ8] MADPDTDEVFGRIRLVPFRAAEDGDVEDDGAAAGEEHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWNFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGELHVGIRRAKRGFCAGGGGAEEASLPGWDQYGGLMRGNASPCAAAKGRGKVRAEDVVEAARLASGGQAFEVVYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAIHLSSFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLAHGHHPHHHYHHNHPSFFPFPDVIAPAGIQGARHAQFGPSLSDLHLTHLQSSLMYPGLRRPDHVGPTPIPPRISTDLTMGGSQPPARDTVSCALSIGAKKPDDAKPPGLMLFGQRILTEQQQMSLSGSASGTSPAAAGNSSLNWNTEKGANASEGSGSGVIQNSPTDNTSSERLQWRLQWFRENSHVSELGLEPGQCKVFIESDTVGRNLDLSTVSSFEQLYGRLSEMFCIDSRELRSRVLYRGATGEVKHAGDEPFSEFIKLARRLTILTDAGSDNLGS >OB02G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18059427:18060639:1 gene:OB02G32160 transcript:OB02G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKCRQDYMAIVKQSRQLIEKLEPKNEPKKRQRRSKNSETEKATTASSSKKMDRKELEETIRGFLKELD >OB02G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18065725:18068400:-1 gene:OB02G32170 transcript:OB02G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARSAATERAYNRFAPPASRGGAGGNGVDEFDESDIWGSAAGSPRGRHVPTARPGRKPAPKKKPAAHGSLPVNIPDWSKILGDEYRGPHAGGDWELDDDEDIDAAATTATSVVPPHELAWRRRAASLSVHDDGMGIGRTLKVRDAVWKKTGFQA >OB02G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18080006:18084876:1 gene:OB02G32180 transcript:OB02G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATELNGVYVCGAGGHINPAVTFGLFLARKVSLIRAVLYIVAQCLGAICGVGLVKGFQSSYYVRYGGGANELSSGYSKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >OB02G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18086243:18089401:-1 gene:OB02G32190 transcript:OB02G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLMQLTTTCPWRFFFYLSVQLLLLSPSNSLNQSYCDPGDASALQGFLQGLGGSGISGWMVSNATSETANCCVWPGVKCNDGGRVIGLDLQKMKLRGELAISLAQLDQLQWLNLSNNNLHGAVPATLVQLQRLQRLDLSDNEFSGEFPTNVSLPVIEVFNISFNSFNKQHPTLHGSSHLAMFDVGFNMFTGHIDTSICDPNGVIRVLRFTSNLFYGDFPAGFGNCTKLEELYVDLNGITGRLPDDLFKLSSLRNLSLQENQLSGRMTSRFANLSSLSKLDISFNSFAGYLPNVFGSLAKLEYFSAQSNLFSGPLPFSLSHSPSLKMLYLRNNTLHGQIDLKCSAMSSLNSLDLGTNKFIGTIDALSDCQHLRSLNLATNNLTGEIPDGFRNLRSLTYISLSNNSFTNVSSALSVLQGCPSLTSLVLTKNFHDGKALPMTGIDGFHNIQVFVIANSHLSGSVPSWIANFKQLKVLDLSWNQLTGNIPAWIGNLEHLFYLDLSNNTLSGGIPDSLTSMKGLLACNSSQQSTETDYFPFFIKKNRTGKGLRYNQVSSFPPSLILSHNKLIGPILPGFGSLKNLHVLDLSNNHISGMIPDELSGMSSLESLDLSHNNLTGSIPSSLTKLNFLSSFSVAFNNLTGAVPSGGQFSTFTSSAYEGNSKLCGIRSSLAQCQPSHIPTMSVKKNGRNKGVILGIAIGIALGAAFVLSVAVILVLKSSSRRQDYIVKAVADTTEALELAPASLVLLFQNKDDSKAMTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMENGSLDHWLHEKPDGPSRLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDEEFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQTSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLRMKEENREAEVLDRAMYDNKFEMQMMQMIDIACLCISESPKLRPLTHELVLWLDNIGGSTEETK >OB02G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18102764:18103839:1 gene:OB02G32200 transcript:OB02G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHRSLLCPSTQASTAPVAVASSRLVASSSLIGDRPSLVAVQMAPSRRMVASVFLLLAILVATEMGTTQVAEARHCLSQSHRFKGMCVSSNNCANVCKTENFPDGECKSHGLERKCFCKKLC >OB02G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18105786:18106430:1 gene:OB02G32210 transcript:OB02G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAILFSDDIVANILAWLPPKQAARMRLVCKQWFAVTSEHHFMHTNYTKNRAGHSIAGFFLSNELHKKLSYSPLRDSTTHPTAPDLSFIPARGDTFDPGNIYVTSSCNGPLLCRAGRPRAALPRRRAATYVCNPATKRFVEIPTPPDGRRYYLNLAYDPSKSPVYKIVALGQAASTCTPPKHGHGARRSVTCAAAARSRGSSTPGASSGTAR >OB02G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18106174:18106343:-1 gene:OB02G32220 transcript:OB02G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFGRSTRGRRAPARRSCTPATWTGRTRGSGSTCGRPAEWVSRRTSWWPGCRRT >OB02G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18106512:18107003:1 gene:OB02G32230 transcript:OB02G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPREPEKRWICGYLGVSDSGHHLRMIGHTEEEKLAACFDVLQMAGDCREWRVLYRVDLKRVKELYPGIQQKTRRHLIWPRRARLVDWLALWPLHLHFTGGTTTSESGQRGLLLFGIPGKILSYGLEDQEISVVWEAPPPPPRFFHYAWFNFYPYSAGLFDV >OB02G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18108817:18109997:1 gene:OB02G32240 transcript:OB02G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFPDEIIANILTRLPPKELVRARVVCKQWHALTSESLLMRTNLLRNNAGNPVVAGFFLDDEIHEKFSYNPILRGCASPDLSFIPTTADTADSKTYVTSSCHGLLLCRRRRRRQIDGELGVYRARHYVCNPATMDFAEVDVPAGDGGDGQYLNLYDPSKSRHHYRIVARGHDGIRVYSSRTRSWRTAVRYDHRHSPFAGLRHPRGVFWNGSLVWAMLSPRLLRFDIHSGERCQMPLPPRLRSEGWFHAGWVYGYLGESGGRLQVIGYTDEERRAACFDVLEMRDDDEEWTVLYRVDLTRVKELHPDDVRVPRVTLEHFSWGGAPLHVVRGPGETGRYGVLYFSVPGKIVCYDAESRAVSVVWEDTPATSTSRFLSCTWFNFYTYAPNLLRL >OB02G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18110294:18110914:-1 gene:OB02G32250 transcript:OB02G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVQRPDLGLLRLRSSALACCLGGDGGARQNHRVNSSLSARTWRKPETGWMKLNFDGSCKHLARAASIGGVYRDHEGAFVLGYAERIGTATSSAAELAALRRGLELAARNGWWRVWVEGDSKAVIDAVCNRAKVRPSEDLRQYREIAALLPLFDGVAASHVFRGGNKVAHGFAKLGHKAARPRVWHATPPEEVLRFLRQDADQQW >OB02G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18110451:18110807:1 gene:OB02G32260 transcript:OB02G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSGSSAAISLYCLRSSLGRTLARLHTASITALESPSTQTRHHPFLAASSSPRLYTPPMLAALARCLHDPSKLSFIHPVSGFLHVLADSDE >OB02G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18113001:18117305:-1 gene:OB02G32270 transcript:OB02G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMADCAAEPPLADSYHALLRLGVDGDVVVGQREEACAKVTTTPCDEGLTTAQPVAECELPMIDVGCLTGAGGKQVNAEEERAACAAAIAAAAAEWGFFQVVNHGVGQELLEAMRREQARLFRLPFEAKAKAGLLNDSYRWGTPTATSLRQLSWSEAFHVPLAGISGKGCNYGELTTLRDVTREVADAMSTLAGTLARVLAESLLGHPAGERFPEGCDDATCFLRLNRYPPCPFFPDDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSCWVAVKPIPGALIVNIGDLFQAWSNNRYRSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCSDPSPYRAFTFGEYRRRVQEDVKKTGKKTGLRNFLV >OB02G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18145678:18149981:1 gene:OB02G32280 transcript:OB02G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPETEAAAAAAVEEEEKGKGKKEEGRRGGGGVLGRMWRALFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLTEVAAIVYAIMTTRNEDITWQMRAIRVLPMFLLPAVSSVIYSTVVKFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDAAVARRNDAEILPSEGLRNRKQSNARGSRTGSTTAAQTSAQGAESSLTSSSGLENVQAPMVVEHFQGSGPSDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSTGQYSGSNSGRSTPVAPADGISASSSVLESEVSNMTTIQELKNEENTEKQVVQAS >OB02G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18153294:18155244:1 gene:OB02G32290 transcript:OB02G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPMRARREEGHRTLAAAAAAMDRGVEVMGCVCRIKNCAVELLAMKEDLVIGMDDDDRDLFWGDLRLKTTFLYIDLSRVISSGESDERREALTLLTNKLFYFLEELTDALTSGGSVSFTKLCYGDAAQALREVVAFLAPPQ >OB02G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18158210:18163035:-1 gene:OB02G32300 transcript:OB02G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSTNPNHYTAFPHSFCNQHVVSFQTSTITNGSGTIPVCPATSGGMNGNLTMLNATPSTIVSTASPNMLADNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAKKERAPRRKKSDEHSLGKKTKDRKDKMVESSWATNQHVRTTDVISSSDVACNNVHDNQFQSGASEIDRAMLNVLEENAQLLKKIGENIMTSQAHNNIDLFHHARRNIIDLLQSMSQMPGIMSEMPPLPVSMDDRLANYILPAVNLAQVFGSSHFRS >OB02G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18180214:18190694:-1 gene:OB02G32310 transcript:OB02G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQNENSRETKQDDETRQSKQDDEEARLEEYKKLIDQKTALRRSNLTPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLVQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDREATQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALHSYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDQLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPPSTKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQPESIAEQDIEVHDTAQTSSITEYQLEGKADDGVKDSEEKDKDKGKGADKEKSKEKDFDRKEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRTLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLISMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHILRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFSELRPNMARYSSLEELDAALAELEESERAASVEKPESEKLSDSESQKVQPHDTAFSANGRGSANGAEENGKDHEEGADTESYSGSGSTDGHEDEEDLMFEEKSNDASENEGDDEDDGMPAGSDEDEGVEVRHKVVQVDPKEQEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFIPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWSQGGSSTGSSIRSGGRGSWDGSIRGARQRHHIAGGFYHSYGRRR >OB02G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18192454:18193766:-1 gene:OB02G32320 transcript:OB02G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRRRRRCRWRGWGGRGARRAVIAGILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSKLKKIPVVIMSSENVPTRISRCLEEGAEDFLVKPVRPSDVSRLFSRVLPTSYCNFGGS >OB02G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18200143:18210980:1 gene:OB02G32330 transcript:OB02G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLTFADALEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFMNVDLHGVKDCRQRLDRATVGYDQAREKFVSVRKGTRAEVVTGLEEDLHNAKSSFERCRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSRGEWKRRFFVLNSHGTLYYYGNKGNKQSQGAASQQTAGEGTGVFSRFRFLNQKASSQGDNSLTCRTIDLRTSTIKIDAEENDLRFCFRIISPVKAYTLQAETGADQKDWIEKITGVIASLLNLPFPRQVSYGNLEAENHGSGSSVDSLSLEETKSSEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHELGNDYTNSIWEAMLPKEDEGIDESNDAILFIEKPKPTDAFSIKERYIQSKYVDKLLFAKNTNPISIDILEAIRTNDVRTAYHILVLADVSPNMTYDELNNDVHHDQSVTDRKLFDPSFCDIKDDSGKPEGCLQGCSLLHIACQYGHSTMAELLLLFGADINKQDFHGRTPLHHCAQRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVSFKNNTGFW >OB02G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18215326:18215523:-1 gene:OB02G32340 transcript:OB02G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDPQVVEEANKEEINDIEACLKVKGGDRPNMKEVEMRLQLLKTKRLKNSNFALKMMEKFSTF >OB02G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18215130:18218416:-1 gene:OB02G32350 transcript:OB02G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLIVLPVVRGDIDVTYPFGIAPGCFRQGFELTCRNTTKTPRLYLGDGTTEVALVIGSLSLVSVPMYFNITVRPDIDIYNMSWVSPADGISVSDNNIFYIIGCNFDATLFEYGTGDLVGSCMSRCDGEKLPLGGPCNGMGCCSIQFSRSLRGFQSMLLVRSDGIPGTAQSDPVHPGFMAFMSNGYYEPNTSEIFSGWTNASSVEGMVVQFASIEQPTCERAQASNTSYACSSSSNCRDVSTGGYSCDCSPYGSGNAYILDGCIGYNPTHKEQCSTSCGGMEIPFPFGVEEGCFADERFRLYCTKDNLTVCELGAAQYRVTALSLENGTLTVSNMMNDTNYGKEEIIIQTTNDGGTSFSVPVEDTFDLSMEYAIIIRWAVANLTCEVAPQKNSTYACRSSHSYCLNVTHREQFMGYRCKCSSGYEGNPYIKDGCTDINECLLPNYCNGTCQNLPGNYTCTSCPRRKEFDPIKRKCVTSAKQRNLLLGIATGIGCGLGSILIALGAMILANKWKKGIQKRI >OB02G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18220911:18225051:-1 gene:OB02G32360 transcript:OB02G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGTNAYHRSWKSPADGFYINSDTKLYVVGCGVEVQLFDNDTNMTIGSCKTICIGDKRNMEKELAVVGHCNGLGCCIIDLPDYIRGFEFTLTQIDEQTAHSESLPVSVFLSEDYNFNTSGPYSTWMANRGFTSLEAFIMDQPSCESALANKASYACSTNSLCANMPGGGYLCYCNPLSSSGANPYILDGCIEDYNPSPRGYCTRSCGNLPIPFPFGLEDGCSALRKFRLNCTSSNSTIFTDRKGATYLVTNVSVNDGYFVVRNLQNSSRYSDEEMARTNGNSQDREANSFLQDLFDLSQDYDMMIWWGVTNMTCQEATQRNDTYACRSVQSRCQDVTRDGIPLGYRCKCSIGYEGNPYVHDGCKDVNECLLQNSCNGPCKNFPGGYNCTSCSHGKEFDAAKRECVTSVKLLGIAIGISCGLGSIILVLSAIALATKWNRSIQKKIREEYFKKNKGLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRIVGGGGHGTVYKGILSDQRVVAIKKSNIAKQIEIDQFINEVAVLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYNLLHNDENVKGLLPWDDRIRIATEVAGALAYLRAAAIMPIFHRDVKSSNVLLDDNLITKVSDFGASRSVSLDQTHVVTAVQGTFGYLDPEYYHTGKLTGKSDVYSFGVILVELLTRKKPIFDNDQGVKQSLSHYFIEQLQEGALMEIVDSMIVEEANKEEIDDIASLILACLNLKGEERPTMKDVDMRLQFLRTKRLLKCQHFTGSTGGMPPFRLPLNCDSHPQTNLSNHVKFPKEGISGCYSLQQEFVDLASFPR >OB02G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18227384:18227705:1 gene:OB02G32370 transcript:OB02G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEIYVPGALQWLGGSSADGNGGGVDGTSAYPKKYDLDRQVHFRRATNYFFLVVVYFSFSPFLPYQATSVLVMLIVVVGVTMAKGGVKDRWRK >OB02G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18233741:18239356:-1 gene:OB02G32380 transcript:OB02G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLLLVVCAISYVLVCSAATPPASGAVYGVGGGLLSVPSNDSLARCPSTCGSANISYPFGIGAGCFRQGFEVTCDNSTHRPKLFLGNSTTEITQTGFYDVRVSPGFNVTITPGTSVYSMSWESPAKGFNISDYNNLYVVGCGVEVYLFDIDTNRTVGVCISKCIGDRKIMERELASAYDCNGLGCCKISFYALEGAGLRGFRLKLVHRDSITVPSTVKILVAEYYEFDSNDLYSSWINTSNIDYNTLLDFAVVDQPSCEIASTNKTSYACDTNSLCRNASFKGYSCYCKNRVGNPYVLDGCIEGYNPNPKRNCRRTCGNLPIPYPFGLEEGCFANKKFLLSCASDNTTIIARGRTKFHVSKVSVNEGYLTVSNMLNGTSQDEDKLVIIQTNEPYRLGDDTEDQFEFSEEFDMNMKWAIANLTCQEASQKRATYACVSTNSECRNVSRGKIPLGYRCKCSEGFDGNPYVKGELDGCRDIDKCLQPNSCNGICINYPGGYNCTKCPQGKEFDQTKRRCITSAKKHNLFVGVAVGISCSLGSIWNLAFCATMLASKWKKGIQKRVRRAYFKKNQGLLLEQLIFDESATNKTKIFSLDELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLHTEVSAKCLLSWDDRIRIAVEAAGALAYLHSATVIPIFHRDIKSSNILLDDGFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPDYYHTGQLTEKSDVYSFGVILVTFDKKETYFYQ >OB02G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18249082:18251837:-1 gene:OB02G32390 transcript:OB02G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVAIAFVLLCSAATLRASSAVYGVGGGLLSIPSNHSLAHCLSICGNIDITYPFGIGPGCFREGFELKCNTSTNITLFLEDGTTQITDQIYNYVYAPMQFNLTMRPVMDTYNMSWLSPAKGVTIDSRNNFYIIGCNIDVTLFEYGTRDPVGYCMSRCDGEKIPTEGPCNGKGCCSIQLSRDLNGFQSTLVQVDATAAQSISDPLPHGIMAFMSYDDDYVSNASDLFSSWTNASNVYGALLQFAIIDQASCESARVKNTSYACSSIGSTCQNISSGGYTCDCTNRYIEGTNPYTLEGCNMQDYNPKHKEHCLPSCGSTVIPFPFGLEEGCSANEKFQLSCTAGMAIFSSGYVQYHVSNISVEDGTLTVNNIINDTSYEEEFIVKTNQYGGLSTEGPVEDQFDFSMEYDIIIKWAASNFTCQQAMQKDTTYACCSTHSDCLSVTHGKILMGYRCKCSPGFQGNPYIQNGCTDIDECSLPNYCNGLCQNFPGGYTCTSCPRKKEFDPIKRRCVTSARQHNLLFGTAIGIGCGLGSIIIVLGAMILVNKWKKGIQKRIRKAYFKKNHGLLLEQLISNENATNKTKIFSLEDLEEAIHNFDATRILGRGGHGTVYKGILSDQRVVAIKKSKIMEQTKIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDHLHTDISVKCFLSWDDRVRIAAEAAGALAYLHSAAAIPIFHRDVKSSNILLDGSFTTKVSDFGASRSVSLDETRGDYCPRYIWLSRSRVLPYWTTN >OB02G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18255275:18268451:-1 gene:OB02G32400 transcript:OB02G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLPLGLAVKSALWARLIALPVISLVVGEGDGVPVDPVEKNVEEAERRSVRLVASAALRDNFLGMYDRRLAKSELSAVQKATLERIGASRTSGVTQNDLSKTFRMKGNNFHFIVKSLESQRLIVRHSTIIKVKDHGADVDDAAQNKQIINTNSLYLSRYAKNLNMNSHQRIEIIKPELLGSNEETNADVLQDGAFSVNDKNDVSIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMAYGHRAWRNVLHRLKDAQLIEEFDAKVDDKVIRCLRLLKKFDPNEFQPKSTTSNYKLGKKDQSTDQVMELPLETCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLEKYNLTWEAEVPDKTSQYRVWTLKNFSHYKAGTALHNFEEPPDDHDKCSDLWSLVPSKGSESPRSQGDLFVDNNKVLLEEECLNKSAGHHLQSNHGARVGVSQLVEQDKIALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCRCIKVSIPLVTNYTRSRLIDVILHSNVGDLSPELVDQIRNRQRDFDTEIRSGAAGKVKQNQHTTAVLDFRIPRRVKDSKPLILEAMHANGFIGAKMIRAKLFHKFLWVYISALPNWCNPFDNVKEGHHDKNLNQSSVLFSMVAATKEMPLELFLQVVGSAKKIDHMTTKCKLGKTLSEIPTEEYNQLMDTHAKGRLSRLINILDKLKLVQLAKELVEDSGGPSDALPTHSMELRPYIEEPTPRILPSSHVNANNGPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSTFPGCSVPEVSHPRSWSSLRVMTTEQRMELQRRIVNASENGKLPFRDCRIIARELNLSVQQVLCASSSQNRQLHGQSSIPAARNQRKVSSGSTSKKRKRSAGEITQKFIKQHVETGESTEPRAAQSIPAEEVSGTISPSTFRTGSPQNADEDKTSSPRISRSTILRRSCMRGKRFMWTYDSDRKLLMIYTRSRVMLGAGTHRVDWNSLSDLPAPPAACRRRMAYLRNKVNIRPAVSRVCDLLGVQYTRYLEKEKRWKLNGLPSEISNSGHENCTNPDSEQFDWDNFEDPEIKSALDEVLEFIRVEKMEQTRRVGPKNERNNDENDVTKEVPNGQEQPVMQGASTSSASTGIAESGLHEHVKFRRSNAIRASKNMDIPCKSHEKDINHNKDEIAKRDVCRSLAVANALELLKLTFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASHSPFPFGSGKKASEFYQWVIDQQKDTMDDRVCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSSLFVEDNSELDDRPHKRNADMVKLKSSNKTKKHKPLPKIESDFCYRREKGFPGLQVAMNQERIQTSNLMQVLHDKECLIFTSAWEMGRKDVESQVESHNMSSSYPSNSSSCRRLLSESHLENSYGGWPWDAMKTYAEQLPSNKNEPLILSSDLFRNAFCVIHKSGEQGVNLREMSQALGPLGMQFIDVIVYTLERFQLVIKVNAYDGVQIVDSIHKSKYHITTLGDCRHCSCLQAPAFEMVDTGDTENLLKEKHGMSSDIQGTVKMLGDGHTVTVLNVQSKSNSPHICSQSPVGQKRSFTSSQDNRGSERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYIMQYPGIVEEDIIHRMDVLNPQSCRTLLGKLMFDKHLFARVFDEPAPTGPTILQSLLKQDLCKEASKCKKRYFANPTSTFLL >OB02G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18268885:18270246:1 gene:OB02G32410 transcript:OB02G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLLRRRRGGRRADFLLRALSTAAAEPALTASAAKTRLRREHDPDRVVSLFEAIDDASLSASSTRHALSLAARRLSRARRFADAEALLSSHIPASPTEPQLAAVLCSYASASLPEKALPAFRSAVPSLPTPVSPLPFNALLSVFLRCRRHRRVPVLFAELSKEFSITPDASSYGILVKAYCMLGKDAKAHEVLDKMRGQGFTPTTSIYTTMIDSMYKQKKIEQAERLWKQMLESGCKPDQAAYNAKIMHYSLHGNTEDVLETIAEMGAAGVKPDTITYNFLMTSYCKDGKIESAKELYNSLGEKGCSANAATYKHMLAQLCAHGDFDGALEIFKESFRSNKVPDFRTMRGLVEGLTKVGRAAEAKNIVAKMKKKFPEQLLSGWIKLERELGLNSDSGDASQAECISEETPAEAGTATLKTLELEDPSADDTECTTEASTNEKMPQGFA >OB02G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18282074:18287741:1 gene:OB02G32420 transcript:OB02G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAVERMGLLRQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSETIAKQATEAKDAQNIKDPHLGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFLFSFFWGFFQWFFTAGDDCGFKNFPTLGLEAYKNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADIPDSSLHGLQAYRVFISIALILGDGLYNFLKVLIRTIAGFISMVQNNSKGMLPVSDNGMSISTTEAVSFDDERRTEIFLKDQIPKSVAYGGYVVVAALSIGTLPEIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFSNIGTSGTEYPAPYAIVYRNMAILGVDGFDSLPENCLTLCYIFFAAAIAINLIRDLAPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSLILFVWEKLNKTKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRAANAKVDSFLAG >OB02G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18287358:18290705:-1 gene:OB02G32430 transcript:OB02G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSTNPAEGSGGGGFPKARNAQTLLPLTVKQIMDASQTSDDKSNFAVNGLEVSTVRLVGRILNKVDRVTDVSFTLDDGTGRIPVNRWENDSTDTKEMADVQNGDYAIVNGGLKGFQGKRQVVAYSVRLVTNFNDVTHHFLHCIHVHLELTRPKPQGNANITTGTPNQTMFRDGMAYNQGSHLNQASTFSAPQNTGTGTDMSNLVLNVFHDPSVINNDHGIDAEYLSSRLNLPEDTVRKIIQEQVEMGHLYATIDDNHYKSTMNG >OB02G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18291752:18292381:1 gene:OB02G32440 transcript:OB02G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLAATIAAPASWISFAVLLDAAPRGIKLLTSSGGRRDLGSESSRGYWMAPYLGCSTCDLFAIHLFRDDLGRKSVKY >OB02G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18293383:18294652:-1 gene:OB02G32450 transcript:OB02G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKTGSIELGDAGGASVRSTITTVKLLVALCSDSDCIPDTWYMHVPARTLAKMEARMEKLKPKQEQDGDDEGRDGGGGGDGDGEGEGEGEGEKALRMDWASCYVPYHDEDAHFGHDGPGVVGVADGVGGFRRYCKDAGAFARGLMTSALAQVVAMEPGTPVCPYALLERAYDETVESGAPGASTAVILSLAGDVLKWAYIGDSGFAVLRGGKVVECSVPQQEHFNAPYYLRRGGGKSITEVKASEMRVRNGDVVVAGTDGLFDNMSDADLEKIVQIGTALGFSSRTMADVIGGAAFEMSRCTGKDSPFAVESWKQERVERHRYGGKADDITVVVARIL >OB02G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18296194:18297168:-1 gene:OB02G32460 transcript:OB02G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPQIRQTLSEIDGRVPEALRVSMGVRFWATPALTPGARDDIARFAAACLQHQPEEDDPMEIDSPGGASGGLECVMAPRSLQLLPASCYLPDHDEDMHFMRQESGVIGVADGVGGYRERGVDAAAFARALMYNAFEAVVSAAPGTGICPYELLQYAHQEAVAARTPGASTAVLLSLAGTTLRYAYVGDSAFAVFRAGRLFVRSKVQQWSFNFPFQLSAQGGNTASDAARGSVEVEEGDVVVAGTDGLFDNVSDLELQRIVTMCRSLGLSPKHTADVIAGFAYEASMTSNRDTPFSAQSRAHNGTSFRGGKRDDITVVVAYIV >OB02G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18299124:18302673:-1 gene:OB02G32470 transcript:OB02G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYRAVAAIPELIDKGFVSEYGIPILANGFNEDQSKVKANLHAEIISLFPAQLELSTKDLPWLVGDAATQKSRFTFWLRTMERARSLRCILVNSFPGEAPGGGVDVYHDQQQPLQHPQILPVGPLLANVVLDRPKENNNLQRSPLNNTGGMCQADRTCVEWLDQQLPGSVTYVSFGTWVAPIAPAEITELAAGLEATGRPFLWVLKDDPSWRAGLPAGYAEAISISGHGKIVAWAPQDDVLAHGAVGCYLTHCGWNSTLEAIRHGVRMLCYPMAGDQFINCAYIVRVWEIGFRLGSTNRGEVERCVGRIMEGEDGRRLQEKMDELRERVMAGEASSSCVAKRNIEAFVDGIKGPRLGLFWDNKY >OB02G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18308436:18309509:1 gene:OB02G32480 transcript:OB02G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3LF31] MGLILSCHTSKAQDDKPPQEKEHQPHHSTEKKDPSRGAPSRLLSPLALSVPRYNLAASPSRPQISIPPPFASNPIRQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSPAPYQPPQQPAGYSSHQAFGLVPMVIETTSRGERAYDIFSRLLKERIVLIHGPIADETASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYQKHTGQEIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLVPEGVGGGVDLPIHSGGVGGRGRDVEEPSAV >OB02G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18312336:18315247:1 gene:OB02G32490 transcript:OB02G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNRFQEAVKTLAKNPMFAKSPIFARDPRHLQFEADVNRLFLYTSYYRLGDNAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGAPEANNHPRRSGLSFAVGTEVASANKPDVPATRPLTRTELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGEANAGAVLAIYPGLIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWQLGGDSREIWYGSDSVDYNAALPKSQESNSDRAWRMLSKPLKKGHSENFREVLERRNPLAFGHFANHPPKGTAPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPITMKRFGSFWFKSGRSGNKVGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWYTPVDEEEDKRRWS >OB02G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18336622:18338664:1 gene:OB02G32500 transcript:OB02G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) TAIR;Acc:AT5G23210] MFLESPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENYINFKGFMIGNALMDDETDQTGMIEYAWDHAVISDRVYADVKKYCDFSMENVTDACDRALTEYFAVYRLIDMYSLYTPVCTEVSSSSSAAALGQRKVSVHGAAPKIFSKYHGWYMRPAGYDPCTSDYAEVYFNRPDVQEALHANVTKIGYNWTHCSDAIGKWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKMVQEWTPWYDHQQVGGWTIIYEGLTFVTIRGAGHEVPLHAPRQALSLFSHFLADKKMPPTAFP >OB02G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18339674:18342932:-1 gene:OB02G32510 transcript:OB02G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin4 [Source:Projected from Arabidopsis thaliana (AT5G25760) TAIR;Acc:AT5G25760] MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >OB02G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18343954:18348561:1 gene:OB02G32520 transcript:OB02G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3LF35] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRSDREFKVLTPAEIKDFLEEVE >OB02G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18349571:18350536:-1 gene:OB02G32530 transcript:OB02G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVIQIGARPSLYMVFLQAFSDATKAFSVDRHPTAHLFLKLVLAIRDVLLDERWLKDMLLHEMANTMYVKFQKYWDEPNIVLKIAAVMDPTQKLDYLKFYFHTIGLNVGEKITELRRFLDKYYLEYEKVVRSRELPTFTERDKHNLANEPSSSSLGGTLLGKRRIELAFAQFTSQNIDVQAKKSELDIYLEEPRLHSNSEENFDVLGWWERNSDVYPVLSLMARDFLAIPVTTVSSESAFSAAGRILGKYRTSLSPETLEAVICTKDWIIGFDDDEKGYATEGTRICDLEDDL >OB02G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18353001:18353216:1 gene:OB02G32540 transcript:OB02G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSPSTPRQLLSTVALFAFGAGLLAYGVHLSYAHVEPQRARTLARDQFVRDYLRRKYDKDLGPPRPSSG >OB02G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18352978:18356892:1 gene:OB02G32550 transcript:OB02G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAPANSGSGPVVAVAEVEMNAGADQGATTVRATVVQAGTVFYDTPATLDKAEKLTAEAAGYGSQLVLFPEVFVGGYPRGSTFGLTIGNRSAKGKEDFRKYHAAAIDVPGPEVTRLAALAGKYKVFLVMGVVERAGYTLYNTVLFFDPLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKICAAICWENRMPLLRTAMYAKGVEIYCAPTADFMPSWQASMTHIAVEGGCFVLSANQFCRRKDYPPAPEYTFDGLDDEPSPESVICPGGSSIISPSGTVLAGPNYEGEGLLTADLDLGEIARAKFDFDVVGHYSRPEVLSLTVKTDPKLPVSFTSAAEKTSAAKSDDVSRT >OB02G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18358233:18362624:1 gene:OB02G32560 transcript:OB02G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSSGGGPPVIAEVEMNSGADSGATTVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGINITIGNPKDKSKDEFRKYHAAAIDVPGPEVTHLAAMAGKYKIFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRQVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGLGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIARAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAENEKTSAAKSDSTARLY >OB02G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18364545:18366330:1 gene:OB02G32570 transcript:OB02G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: E2F-associated phosphoprotein, C-terminal (InterPro:IPR019370); Has 149 Blast hits to 149 proteins in 78 species: Archae - 0; Bacteria - 0; Metazoa - 79; Fungi - 0; Plants - 35; Viruses - 0; Other Eukaryotes - 35 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G08320) TAIR;Acc:AT5G08320] MEPEKPKEAAASQPMEAGGGGDPVDPRELVSSDDEIDYSAEPEFYDPELDDADERWVNRQRKGRTSDAVLSCPACFTTLCLDCQRHEIYVNQYRAMFVRNCKVKTDQILREGKGKRKNRKGKAANSSATSEGENKGAVYHPVCCEVCSTEVGVFDEDEVYHFFNFVDP >OB02G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18371618:18372304:1 gene:OB02G32580 transcript:OB02G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDAAATFHVYQPMQIPTTTALAPAAAAPAETGVQLVPAPAKKAAGAAGGKDRHSKVNGRGRRVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRPRPRRGRLRRQRRVRLLGGAARTRPARRVGLLPAGRAADVRADGAGPPPPPQPPRRALRRRQARRGGVPMNHAACIFLAPADTSSFFNYRRVHWRPYVCSSDSS >OB02G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18376938:18378101:-1 gene:OB02G32590 transcript:OB02G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTLAIQEYCDAASNFLRKVKLRFISRPDVYEGLTDVLRGYGKNDDAPASSTVDSVAKLLRHQPDLIADFNAFLPPDYQIKVAHDNYATATKPGGSRDERKPGGSRDGRQRRVAGADVELELKAHRLLMRLEVEDGELYNRLTGTLSDVHKKSWLNAHEVYTELEQVFGPAGRRDLLQLFSQFLPNSPPSHFAEERLEQDHRPSSKRKRAASPCAVTADAVVKPSRAKKPRAANPLQISPHANGDVFAKPIKTKKPHATVDLQDGEDDDSCWHVATNNPHDAAVPFGKMLKFFHRYSNLVATMKRAEELERTQHPQGAFEVLFPDRECHQILAELYGDGWRTMQVALEDGERVDVTLAMILLRLKAKEDATVEVAWARRDKSRYP >OB02G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18382117:18382425:1 gene:OB02G32600 transcript:OB02G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRGTSAVLSRAARMRQKLQPALEASVLDIEDVSYQHAGHAAVKDNANETHFNIRVISSKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >OB02G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18384919:18386655:-1 gene:OB02G32610 transcript:OB02G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12770) TAIR;Acc:AT1G12770] MSRAQMRLTVGQVHRHVLALASSRSCFVLGDHLPFRMFSLPRAVRFHQTAWRGTEAVEHKSGPLTLASLEVQSKVEYGKKERAANTRGPKSSSRASSLHMKPKVSSFNAKPAKSAFPKSAVVKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLTAPTEVQSAAIPIISQRHDAVIQSYTGAGKTLAYLLPILSEIGPLKRPTEEDSSDKRSGVDAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLQTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLDSITVPRPVLSQTDANSSSPSNSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKRFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLIQKEEDVE >OB02G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18388226:18390334:-1 gene:OB02G32620 transcript:OB02G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G23340) TAIR;Acc:AT5G23340] MLRRLAARFSGIRELDLSQSPSRSFYPGVVDNDLDVVAGGFRNLRVLALQNCKGITDVGMVKIGERLSSLQSLDVSHCRKLTDKGLKAVALGCENLRKLVIAGCRLITDNLLTALSKSCLHLEDLGAAGCNNITDAGISGLADGCRKMRSLDISKCNKVGDAGVCRFAEASSSSLVSLKLLDCNKVTDKSIHALANFCHNLETLVIGGCHDITDESIKALSLACCSSLKCLRMDWCLKITDSSLRSLFSNCKLLVAIDVGCCDQITDAAFQDMNTNGFQSELRLLKVSSCIGLTVAGVSNVIESCKALEHLDVRSCPQVTRQICEQAGLQFPGSCKVNFEGSLSESDPSVDIFF >OB02G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18392296:18392934:-1 gene:OB02G32630 transcript:OB02G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLLLLPLIAIFAPCIVCNAIELVYDSVGKELSNENEYYIFPVGHKFGGGALTIMYAENTRCEHFVSHKNEEALQGTKLSSTSKLQYVVANADIDPNYPASAKVFRIERYSDDIKMGYKILSCSTLGEHCKNLGFHGMKDRMLLVETDEPLAVHFNKVK >OB02G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18395575:18396474:-1 gene:OB02G32640 transcript:OB02G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSGAHVVGVPVTSKAYAIEEATTRDGAKKVDGADRLAVSLTHPSPYTSFGYKHGSKLQVIHWVNKLGRRAQSFRDHVTLGPKLSETVRGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSNRKIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENADKPEQKYIHVATVDGFEFWFMGFVSYQRCCKYMQQVISEL >OB02G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18400456:18402539:-1 gene:OB02G32650 transcript:OB02G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSQDHVIGIPVSNTAYGIEEPDFPAEETTTPDHGGFVGSFQFNDDANSPTTTTTTDRESKFGRKGGKIAQGIKEHVTLGPKISETVKGKLTLGAKILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTERVAFRSDRSLAVAAPTGDKVRVPYKVAIPLRKVKAARPSENKHRPEQKYIEVVTNDGFEFWFMGFVSYNRSLHHLEQAVAQARR >OB02G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18414267:18414848:1 gene:OB02G32660 transcript:OB02G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTNCLLVLAIVGLVFVSFPGLSRGDGGAHRGRELAGGCKPSGTLRPTRSHSCEDCCSARQSYPTYTCSPAITGSNNAVMTLNNFDADGEGGSDPSECDGMYHSNTELVVALSTGWYANNRRCNKNIRINANGRSVLAKVVDECDSLHGCDKEHGYQPPCRPNVVDASQAVWDALGITGEEVGEYNITWSDA >OB02G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18414546:18414869:-1 gene:OB02G32670 transcript:OB02G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDRSISCIRPSDIVLADLFAGDAERVPHSLGRVDDVGAARRLVAVLLVAAVQGVALVDDLGEHGPAVGVDPDVLVAAPVVRVPPRRQRHHELRVAVVHTVALRRI >OB02G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18420565:18420801:-1 gene:OB02G32680 transcript:OB02G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVARGRGSSRLLRGLYWRLRAAIRRMQSERGRWRGGRRDHRFSFHYDALSYALNFDDGRAAADVV >OB02G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18421170:18421340:-1 gene:OB02G32690 transcript:OB02G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSKSLVLFFMAQKEVRLGSLFFIFAFLIPLFRIFYFFLILSYFPFPFLVVLSSALVR >OB02G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18434512:18437505:-1 gene:OB02G32700 transcript:OB02G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G24760) TAIR;Acc:AT5G24760] MAGASSPAAITCRAAVAWAPGRALVMEEVEVASPEAMEIRVRVVSTSICRSDVTQWQSTAQPDLFPRIFGHEASGVVESVGEGVTEFKVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERKGVMHSDQRTRFSIRGKPVYHYCAVSSFSEYTVVHSGCAVKVSPTVPMDRICLLSCGVSAGLGAAWKVADISEGSTVVIFGLGTVGLSVAQGAKLRGASIIIGVDTNPEKQAKGKAFGITDFINPENLNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWKPKSDLPLLVDKYANKEIQVDGLVTHDMPFSDINKAFELMLENRCLRCVVHMPQ >OB02G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18440738:18445538:-1 gene:OB02G32710 transcript:OB02G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLAQLSSRRGAAALTASSAAAGSADGEAADRSIPQLSSIANSVVSRCSRVLAVATETLQQNFEADFPDSVKESNTYAKEFLEYCCHKVLHEVTTCPDHLADKNLCRLMFDMMLAWETPGAVSEDELLENHSGLRTTEIEDEDEGSIFYANSTRLAVQVNDKKTVGLGAFAQIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSIKGMMQSPLASTFHLDSGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIRVGYGDKTVKYDLATDSDQVIKRDFTGPLGVRLFDKAVMYKSSTLTEPICFDFPELGGPSRRDYWLAIIREVLQVNRFIRKFNLEDVPKAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSMSHLATESPVDNRLQNHSLPFSLYSLSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALKESICYSERIEAARATIDQVKVEGVDANLALMQELLFPFIQVVKLIYSLTKWEDPLKSFLFLAFTLYVIQRGFIAYILPIFLLVFAVVMLWHKYNGREQLLGVLEVRTPPRKNTVEQILTLQDAISKLEEALQAINIVLLKFRAVLFAAVPKTTEMVAVALLAAAAFLVFVPWRHVLLIAVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIKPNENKKKR >OB02G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18448811:18451313:1 gene:OB02G32720 transcript:OB02G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSTPVVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRPKVSSPSQPADSDKPKHNTEDTVKESSSQSPSGSRKESSPGVASFGQPLLKPVETSEAKPTNATGSLLGLAYESSDEE >OB02G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18451907:18454234:-1 gene:OB02G32730 transcript:OB02G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLSSPAASKRVIAGVSSTIARSCHRTCRGKSHAAAPLPAQEAPKGSKRITKQERRVKIEKFIEEYKASNDGKFPNMTTVRQHVGGSHYTVREIFQELEYNQTQLPLDMSKEAQLPDSSEFSEDLKPKDDNGNANFKSESFSVNHDTDDLHLSQKVSATSTEIIDKAETLRLEEPQMTSGSTDYTGETEAVKQDMHAADNLKISNESMLSRQTESGGIKNEDSISLGLDTKSGPCDQGLGESKGNRLVLNSTESFKDAIEPTASNQIEGDKMIKSNILDREENLKPEPEKSIFGSLKSFANGIRNFWRKL >OB02G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18457152:18459374:-1 gene:OB02G32740 transcript:OB02G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKKKEKPLSSAEATSPKSKKKPGKIAVVVADGKGKKTPQSKRVGKAVEPAPDKKAVFVVKTKSGLVNVEEKKRPGGEARPVVLPIMPVRTSSCTKEEVDAILIQCGRLSRSSSGRAASSETGGGHRRSKRSYDFDQERRAGGGGCGDEERDWERQAAPVGSGGGGGERARQQPGKMVSVPPREKARAASPAAASGKRCASPRAGSPARAAAVGNENAGGGQVTAGQTPSLSRSSSRKAEQSPYRRSPMAEIDENSLHNNNGNPHKKSSENALAAAPQKLTERAKEKPKLAEETATVAASETKVPSSKMTATRTASVVAGSRSTRAPGPGCRSRRASRDFDQNPNSYATQLLEDIQSYHQQQNTSVAATAATLPNFSLPACVSKACSIVEAVADLNSSSSSESRACEPSRSANDKGSVNAPLGGMDDLAEPSVHKRYVSAVRDIHGETEPQESAGSNSVSGNPWTPSWEPNSVESTDRTWSASRSTNNGDEVVEQGSSRSGARSPLNRSRQSSKQRSAQPDPSVRSRAGSSGGNSNNARRGRSAHRSSGGGGGSLVSGRSGVRAVSAIS >OB02G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18463946:18474930:-1 gene:OB02G32750 transcript:OB02G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADRGGGGGPRGRGRKWKGKGVSAAASSPAAETRQQPAPVLENAPAAAALLRPLKKIRSPDRGLQRSVSSLSSAPASPDSSTVSNPMSPPSMSLPNQPPPSTRHIFPFAYDQSPGAAAVPRLLPLLQHSSLYPQPLLPPQQHQQPHLQHQQMISFGSSQQQQQQQPQFGSAASPLFPPQFLPPEEQQRLLLRYWSEALNLSPRGVRGGAVPPSLYQHLLRAPGPPKLYRGVRQRHWGLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRTGGSGRTSASAAASCSSSSSSAPPTPDESNTQQQPQQPQQHTEDSSNPEQKPLLSSAAEQDGIPEPEPNPLLQTAEQQGSNGNTAMFQPSATSGGIWGPADEAWFSAWGPGSSVWDYDIDSAHGLLLQSRFAGEQIGMDYAYTAPEVLVAPVPALVIISVSAPAESAVECSMETYRRLAVAGVLSPFAVKAQRRAMSRPPSPMLSVPEKKTAAAELFRDRHFFNSAFFTDLREARASLSSPGSQASTQDPSSRRALLLRYHRLLSSARDDPCDFDDNLAFTWHDAFRPHLKRAAASLRFEKAAVVFNLGACSSRIAAAVDRAAEGGVKAACGEFQRAAGAFRAAGEMMEGEEDTVDMGPEASAMLERLMLAQAQECCFERALAAGTSPAACSKVAKQAALYYEEVYASLALPPLQNHFERSWVSHVQLKVALFNAEASYRYAIELHEKTEIGEEIARLQFGINAIVDAKRTARGAPGGLYDAASQLEQHMNENLERALNENYRIYLLRVPAAKLLAPLPAASLVRPASISEILDVKTETVNVLNNRAGELTEVTHLYHWKSGIRTKATCTTWDYTSANLFFGLAPTNSEETTVLARVVALGGRLAARGWRRRPLLFLCDKLDWRHNEFLAISIFHTRGVVFLLHAGGRAGIHPLVFSTSHRPKATRQKSSRSGAHNSGIEVGKPDQRYGDKDGTELAQIPLGAWEIRSSLALASQLRSNWDRLGHFGAAAPRGLGPLPCRARLRTARHVALTSDVSSPEDVAAEEAAVAPKIGKRVRVTAPLRVYHVMKAPDLDIEGMEGVIKQYVAIWKGKRITANFPFKVEFNLSVEGQPKPVRFFVHLREDEFEFIDE >OB02G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18479269:18479472:1 gene:OB02G32760 transcript:OB02G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSYLNSEFEACCIIRKDMYNKRQVSRHITSGLNLTIQIRHYAIIHNIIQHNDYVLNTIIYNHPIH >OB02G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18486662:18496307:1 gene:OB02G32770 transcript:OB02G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G21670) TAIR;Acc:AT4G21670] MIKSMVYFGNTSIGEVEVWPSGETSLAAAAWAREIRVDRLSPPSERCQPLAVMHTVAIGARCLVMESRPPKAADEPPQPLVAMHAACLKENKTAVVPLGDEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRINGMIAEIKRYQDDKSILKQYIEGDQVYDDGKVYKVQPEVVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARSRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQCRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDDGLLPRISNVSYEDEINEIPAAPDVGNYLISEDETVATVNGNRDSLAFDGMADAEVERRMKEASGNAQAFNSTGANFVMPVLPGQNFVSSSVPPVAPPIGIVPLSNNQAPPPFTQPVAQQSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAIPPVQVPVPPVQPHGNWFPAEDGINQNNLNRGSAGFPIESETMHYDKKQPPHPFFHGGENPISSDRFSYQNQRLPSQLPHTEDHRILQNHAPPRYRSFPGEEPATRHVSSNQRQIVPGQQFARHAGSSAGILEEIAVKCGSKVEYRSAQCDTADLLFSIEVWIVGEKVGEGIGRTRKEALCQAADISLRNLANKYLSSDPNKTTDMKENGFGSNTNIFGYPASTRDDVLPIASTSEETRFVKMGENNSRKAGGSIAALKELCTAEGYNLVFQARTSDSSAGKETYAEVEVCGQILGNGMGITWEEAKLQAADEALGMLRSMLGPLAQKRSSSPRSLAPTFDKRFKPDFPRAVQRVPYGRYSRIEGHVP >OB02G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18499500:18501233:-1 gene:OB02G32780 transcript:OB02G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKRCFCGSEEDFKELLSLKNVQLFSYREIKVATNNFDHGNMLGRGGFGTVYKGTFQDGTAFAAKVLSVESEQGIKEFLTEIESITEAKHANLVSLLGCCVQRQKKILIYEYVENNSLDHALQALGSAAGVTDLSWSTRSDICMGIAKGLSYLHEEHEPSIVHRDIKASNVLLDRNYSPKIGDFGVAKLFPDNVTHVSTRVVGTTGYLAPEYVVHGQLTKKADVYSFGVLVLEIISGRRISQTIRSDMFLVRQAWVLHEQRRLLDMVDANMKGGYPEEEALKFIKVALACTQATPCSRPTMQQVVRLLSRPVCFDELQMLPPGFVGDGDGDRP >OB02G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18508960:18509172:1 gene:OB02G32790 transcript:OB02G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGCRSGFLPPSSVQIQTDSVAGKTVTPHATARLLLLAKNICAPISKHRCKIIAQGTPHLRVSCSSPLIKY >OB02G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18509263:18510617:1 gene:OB02G32800 transcript:OB02G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSHVVSDLCIGKPPVRVMPPSTPVAVVLAALRATAGADPFVFVDAEINSRGKKTPAGCVTKVSIADVLCYVCGDADNLTDPAAAIGRPVSALAAAAAGGDHGAAFRVDSQTSLLDAIDALLSNGSQSLVVPLHARASRKHHLVSGCSPANGGAAHYCVLTREDIVRHLFSYSISLFSPVAALTVASLGLVRQDVRAVHADDDALDAIPLLRRSIADGTAVAIVADEDALVGEIFPGVLGSCDVDSLSAAFAALSAGDVMTYIDCSLSPPEFLLRSIRAQLKYRGLDAMADLMDTADAASLSLSPSSTPSASSDEDSPLGHARRARRASSGSFRWRSTEDVAACHAGSSLVAVMAQALAHRVGYVWVVDEVSGALTGVVNFADVLAVLREHLRAGATQMN >OB02G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18515284:18517802:1 gene:OB02G32810 transcript:OB02G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSLRSFAPMLIRSVLFVSLLCASFFFDSGEAGAAHRVVDPEWHPATATWYGSADGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKGGEGCGACYKVRCLDAGICSRRAADVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRWPATAGSCRTEARSRWCTAGAQLDLITRSAYRSTPILHVPITIERKQIQHGGVRRGPYVAVVGMPDPFTGDAATWN >OB02G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18524794:18528102:1 gene:OB02G32820 transcript:OB02G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase family protein [Source:Projected from Arabidopsis thaliana (AT4G04930) TAIR;Acc:AT4G04930] MGAAVGDGREEEDEGVMATDFFWSYTDEPHASRRREILAKHPQIKELFGPDPLAFLKIAAVVSLQLWTATLLRDASWVKILTIAYFFGSFLNHNLFLAIHELSHNLAFTTPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNALSKSIWVVFQLFFYALRPLFLKPKPPGLWEFTNLTIQIGLDASMVYFFGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLYRVKEIAPEYYNDLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKES >OB02G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18531914:18539418:1 gene:OB02G32830 transcript:OB02G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNSLTSSGDNETTALNALLDAFGSAFSLEDIATAYCRANGDLNRAGDLLTELELPMAKSDEVDSSVGTILPPSGKASKENCTEDSGQARLREKVQKSSASFGTVSSMLVKGSTRATVSLHRAPGKEKPPMVELPEYMRDDFNVKTDKSESASQRDTLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKSMEELMSGSAKDTGKKAEEKHNVVQDAAVEYPLSKGSCLESQSTFRNGSAYSLRGERHSSSQISPGELLESIFTVPERSEEEPVRKRYELGANRNRVLDQKPVVGPLEDISSYSTSFAGKVTLVSKEPVADNEDDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYKMARLADERSSGEIVRSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPFLEYLKVITGAEDGSFKSGQRRRKVIKYLEKKSIVWTEEEANHGTILIPINQMQDQQA >OB02G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18539264:18540301:-1 gene:OB02G32840 transcript:OB02G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLCTSGSSDNGKSLVAGDEPRVRISGEVQAEAAAAAASGGGCFDCNICLDFAAEPVVTLCGHLYCWACIYEWLHTDGHGADDSSGDASSTRRPCPMCKAAVSPDTLAPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGPHRHESAGPSPPGRPPWRATHHAAVASAPARFDVLYPPPPAAVGGLSMFHSTTTTTGGMLGGTALAVLPWVSRGQAPAASTYYTSPYHMSPRLRRRHMEVERSLHQIWFFLVVFAVLCLLLF >OB02G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18545240:18545461:-1 gene:OB02G32850 transcript:OB02G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPVIGKLSKEYEGKLNCYKLNTDENPDITTQFGIRSIPTMMIFKNGEKKDTVIGAVPESTLVSSIDKYVGR >OB02G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18544497:18549232:-1 gene:OB02G32860 transcript:OB02G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVWPFSRNGPHNLSPKAAAPSTSSTSAERDAAARLWPGPGGPASPAYLSTSLLLSPIPTGLLLFLPTSPPNPQLPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAARPWCALPRARRPPSKFLM >OB02G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18549308:18552882:1 gene:OB02G32870 transcript:OB02G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLIGAKGLENTDYLCNMDPYAVLKCRSQEQRSSIASGKGSNPEWNENFVFTVSDRATELLIKLLDSDTGSADDFVGEATIPLEAVYTEGSIPPTLYNVVKDEHYCGEIKVGLTFTPEDVRQRGLPEDFGGWRQSR >OB02G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18561255:18565545:1 gene:OB02G32880 transcript:OB02G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIVSLLKDIFYAKLTNLSMEGGKVTWQTATRLRATVVNGDTTNFAFKPFPPSVLAALVEGGKGLKTKFTLSPLLATELRFATSPFRPPRGRQELRLRARLLPASSSPDRLSVGMLSGRAVTLHHISDPGELVGNVVSDEADGDEESETSTKVLYQASFQELMPNYLQYDTIIWAMISLLLVLAWGVGLLMLLYLPYKRYVLKRDILSRKLYVTENKIVYKASRPSYIPFMGIVNKEIKVPLHFVVDVIIEQGCLQSVYSLYTFKLETVASGKPAPMDELQFHGVHNPDLLKTVIIREASKRIQEVQSWKYRMYSGEGPSNVTPISRLYSPDAKVIKASSGHNFQDSQGRIPESDGVLLHKLEEVCHSVKNLESLLLRSHSRA >OB02G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18565706:18567408:-1 gene:OB02G32890 transcript:OB02G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVRLVSAAASKSCVPSPTNPPLHQAPVPLPPPSIRTRDAASCVFPLLLLLSSSGSALVLPAMAAAAEWWQATIAAAYTGLSPAAAFFTAGGGAAALYVAVSGLLKRPAPPLLPPRRRGVMEEERASQPLPPPVQLGEVTEEELKVYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFDPDDLTSDISGLGPFELEALQEWEGKFMGKYVKVGTIKKITPVLEGDAAALPTHGRASERGIDASTVESHPVPKPEVEKLDVDVSTNSHEDIIEMPDELPESGVNNTNSHEDAAEKPEETADADVQKTISTEDASEANGTPDEDERNTISPDDAIEKPQEAPIVDEGNQTRHEVAGEPKEVPDVNISNTSSHQDAVDEPEEASHEEAKNAYS >OB02G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18574159:18576186:-1 gene:OB02G32900 transcript:OB02G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKLIMQSLPLILFLSLECFHLAASAVDQFTFDGFAGGNLTLDGTAVVTPDGLLMLTNGTTLLKGHAFYPSPLRFHEAASAGGSSSSPMRSFSTAFVFDIVSEYADLSSPGLAFVVAKSGDFSTALQSQYMGLANARNNGNASNHFLAVELDTIVNAEFGDMSDNHVGIDVDGLASVAADNAGYRDDRTGAFRNMSLLNRTAAQVWVDYDARTSLVNVTMAPLELPKPKTPLLSAAVNLSAVIGGEAYVGFSSSTGVVASRHYVLAWSFKMDGPAPSLNLSKLPALPVTIHGRAPSNILKIVLPIASAALVSALAIAVFIVHRRRLKYAELKEDWEVAFGPHRFSYKDLFHATNGFSDERLLGLGGFGRVYKGVLPVSGVEIAVKKVSHESRLGMKEFIAEVVSIGQLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKFLYDQNRPALSWSQRFRIIKGIASGILYLHEDWEQVVLHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGRPSKASDVFAFGVFMLEVTCGQRPVSQDAHGDHLLLVDTVLEHWRRATVGDAVDPRLQGNFALEEASMVMKLCLLCSHPLPSARPSIRQVVQMLDGGTPLPELSQAHLSFNMLDLMQNQMDKSCSAASSVAGNISDFPRAR >OB02G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18577970:18579722:1 gene:OB02G32910 transcript:OB02G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVAVVTGGNRGVGLEICRQLASNGILVVLTARDEEKGCQAVKALHQSGLSGVTFHQLDVIDRSSILLLVEFVRTKFGKLDILVNNAAIGGTTIDPERLSELLKQDPKASSQEDMMGFVNSYVGSLQQNYEMAKECLEINFYGTKNVTDWLVPLLLQSNSGKVINLSSKISQLKFISNEGVIKVLSDIDNLSDEKLKDVSGMFLKDFKDGNLEANGWQPVTSAYAVSKTLVNAYSRLLAKKHPLLEVCCVNPGFVKTDMNYGMGLISAEEGAKAPVRLALQELRGHSCLYFEQCEIAEF >OB02G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18582502:18583860:1 gene:OB02G32920 transcript:OB02G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDRSRDVRPAPSVWGEEQPSVKPSVAPVHIQMPSWTSSVPMQTPSGAPVHTQMPSATPPVRAPVPVHVPSQPVNQPTGWGSNGRGDEEEEAIFGEDLEEDDGLDEEYIYSDGEDDVDADDEYDDYLDDIEETPPPPPSQPEKKSLAELYRRPHELMYHADFHSTMVHAARQDRWLLLNLQSTGEFTSQVHNRDLWANELIAQVVSENFVFSLLERYGAGDDDVEASKVCCFYKLHDQLPAVLVVDPITGQMLAKWTGVIEPDAFLLNIEEYSKSKPSMSSKPYMFQTKALPVRSAPANEPAGEQQQEPATVDTAVPMDEGSVQAESDTAAVPVDEHGVEESATSGAGGCSTQQPQPAADDHDDDEPMEGEKIYKMRIRFPDGSVVTKEFGCKRRVAALFAYCRSVLHEKPQAFKIKRLLFGVFSELPEGDKSFEDLGLNCATVSVVLDT >OB02G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18585878:18589093:1 gene:OB02G32930 transcript:OB02G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGNNSFPAEKRVAVVTGGNRGIGLEICKQLAANGVTVVLTARGEERGAGAAAALRRLGLSEVLFHQLDVAEPSSAACLADFVRNKFGKLDILVNNAGILGATFDFGGLDLDKAIEGKNANETLEWLGQHTVETSENAQECLRVNYHGAKNAIEALLPLLQSSPDGRIVTVSSSFGQLSFFSGEQLKEELNNADRLSEERIDELAELFVRDFRDGELESRGWPARADRFMAYKTSKALQNAYTRVLARKHASSPLRVNCVHPGYVRTDMTLGTGELTVEEGAAGPVAGAPSLAAGRRHGRVLRPHRTGVLRVIPPKASGCSLFFISTS >OB02G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18590300:18591467:-1 gene:OB02G32940 transcript:OB02G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFSQGGKSSDKNDQGKAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQSKQKESEEAAPEAATDANEKEQEVPPQDAT >OB02G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18604062:18607046:1 gene:OB02G32950 transcript:OB02G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVESGGKNQGPPTTPASAASTPADSDDSHSLKPLHEPANSDSSEPAHESSSADSSCLTVTTEHPPASRAHPPVTPKVMFADWLNMEYISGQVAAAPGLGAAGLVAVGEGDQQQQVMSQGSVQVDGPSSGADGSLQQEGFGANGGGCWDFQEQFDSIDQMQAAVGGGFCDLLSMNDFDLWAELDRTA >OB02G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18617617:18620176:1 gene:OB02G32960 transcript:OB02G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKKGLKKGPWTPEEDKLLVDYIQANGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTAEEQKSIVQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLDEPPPGPAAGCPAARHMAQWETARLEAEVRLSLLSSSGAAAATTITATTSASSSSTAGPVPVATPADVFLRLWNSDIGDSFRKLAAAAVAESPSTVKATNDAVKQEEAAATGDDSSAASNEVEVAEAVDEYQMFLDFAGEELGLFHGRHGGFSLFPPVDLLEASLETAFK >OB02G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18630894:18633320:-1 gene:OB02G32970 transcript:OB02G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAVNKAEAEAEARAPSAPEAAKSGAAEEEKAVILAPSPASKTEDAEPPADDSKALVVFVEKVVDKPHAEKAPPTSNDRAKLKPEYFSAVALAKVETDKRESLIKAWEDNEKAKAENRASKKLLDIISWENTKKAVIKTQLRKKEEELERKKAEYGEKAKNKEAIVHREAEEKRAMVMARRGEEVIKAEEMAAKYRATGVTPKKNLGCFGA >OB02G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18638958:18641523:-1 gene:OB02G32980 transcript:OB02G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGARAAPFTYVAHALAVAAAAMVLVWCIHFRGGLAFEATNKNLIFNVHPVLMLIGYLILGSEAIMVYKVLPTWKHDSTKLIHLILHAIALVLGVIGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFVTFFFPRASPNVRKGALPWHILFGLFVYILALATAQLGFLEKLTFLQSSGLDKYGAEAFLVNFTALAVVLFGASVVVAAVSPARFEEPHDYAPIPGH >OB02G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18642003:18642209:-1 gene:OB02G32990 transcript:OB02G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAVCLTQARTLRVLITMMTLKSVRSSKPIVRYAREPTTLTLLTMISRWWCRATVRSTIVVILITSS >OB02G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18645707:18645983:-1 gene:OB02G33000 transcript:OB02G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKPIGRRGGNAARRTNPRGNGAAFRRASTGPNAPRPYHHPAAAPHTMTPQRREDEVLLQAGRLAAEYLVSIGELPPYALQGRPPAAPPPRMP >OB02G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18646757:18654119:-1 gene:OB02G33010 transcript:OB02G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSSSSSGQALVLECFSFPSLTIPALPPDPHFLPFTTVAELPAATVASDGLDYLPIASALSDFLAAVIPQALPVPIVPSAGEVLDDFLYDRVGDGEGLNSWESTAFEASEGYGVINCEKDEKADGSRSEGPEISTVMKRWGPLKEIRFEVVEVDLLTALQEDIASFGEESSGGGVTLLFRVPDMKIHLDFIDIDTDTKIRYQSDLAESVYQVEKIPLKDNDGEERSSMRDFCCLEIAALDHGVAIPRLEASRNSWELDDCLAETDRSNVFHNVVRHLDEAHIQHPVFKSTEFLRSADMDMLTFVCGDVPYHDIQVDKPTTVKAAVEMDLVRINDNILLEKNSELYPLKPDGTCSDLPCSIHLEEMQIIDFPSDDVFKMLVQSETAKMNISDEIFKDDFDPARRLYELMVSSELALVDDTFRSLPTPILNDDIAVRSMVPPIQEILCSLKPHPLSATDGIYLDWHLLLEEPCNREICCSYASMVEEVKACLLSFELQRSCQGTLVFDSDFLLEFQRSSKLQDVDKFNNIYIPAPLSHDPQKLEATQKCVKEGGTRSRSNMEEVNPEKSSSFPQSISQSNDLNFYFNVRSGSRRETNYDNTSSLDIPPSKEQAFSFSTRDKVDKLIEIHPVNPSNLIRGLIEQIHASYTSALQESTYWRHSFSDGQCLGISKHKLLELITGEGSEGLHNDCEHKDKMELIVLYALKQVAYYLCFFGLHAANLYISNLTGSFESTPERLKHIQCSISEAQTKSEKQLLESHPSLSYIETILRSNKQTGLKILIVADRAFWLPLGQKLTSMKMTFVEFGKNPAKTYVDLVNKTNSTTWVLEELLKSDCILLDNKNIPASFPFDEFGIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKVDSKDFPVALVEDNHKDQDLKSTLEKVLLTLQKDLQEKMNKMRIVDSLNFIPATNQLQGLQEKVSKHFAADFRKELLPDDQWHGLQNLKKKNTSDSHNFVLADEQQHIHQTMSNRPVVNSENCVPAVEKSSSTSSVSATVLKDPQENQSTTDLPYSAKNGSIMTGRLSVPEVVIVVNTVNHGKTMLVSRRSSYQQILALEKGGMHVVERDIDLPVDIILSSAVCLVWYETMLFESNEPTTSEETSGINFFVESIATNILMSVSFSFTGCIMVFEGEAHFLSAVMDSSDSLYAAAASLDMNLQLFFSHTPKLTDEIIHNCIMNVTSLNKAPSADVPESETLAESFLTSFPSINPLSAYMILSSGDSLVEFLSWPHERRIQKVEKYLLSPKIISLFNALCKFGELGESRSVMTECSSVDSDISSALLQSPRKRKKRASQAFAVPTSDLFSESLNQMPGDYAEHAEVFTPSKLRKFCDMDNAIRELPDVFTFDQSLNMGSDGFSYQQKKHGVDAIPGNHIIDDDFSNGLTPNIRAYNRSDNMVDTFNFPCEPEFGGKHHTSPTNRPSFSRTHSNPVFSTALEINGDPGERNISGGTKQTWKGLAHGGIADDSYRYDMDSKYQETRDEIVQPPASSLALQKLEFGSHATSQGSCWEIDYLRQMSAKRKARQERSRCNNSAMSNPRMWDGNSKILSPPIESFRYRDRDTPSRDQSPSIGTQHYGKGKEGAKTHNRRARKDFNVQPTITHKKRIEPSIDPTWTPIDKRARQKLSFVTYGKEKQSKLVWRNQNSPGVGCGFRKRFGEEGT >OB02G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18655746:18661304:1 gene:OB02G33020 transcript:OB02G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVIADGRRWCKGGSPSSSPVTTAIFLFFFVVVVGVLVSARWITTTSHLTITNLDEWRTKTTILTATGTTSIPATPAAPPPPRPAYSISCSAPPLPRDPAVPSNISQTLHLALSSHPNCASVPEPQPLPPTTTTHSSCPAYFRFIHEDLHPWRAAGGITRAMLDRARATANFRLVVLRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLELMFDCVDWPVVRADQYQGENATVMPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWNALQKKLNTGNKRVKWVDREPYAYWKGNPDVAAKRQELVKCNVSSKHEWNARIYKQDWIKESKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACNSMTLVVTPNYYDFFSRVLIPTQHYWPVRENNKCSSIKHAVDWGNSNKKKAQQIGKKASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEICPESLACQAIGREKKFMEDSMVRSAKDAGPCDLPPPFSPEEFIELQQWKEKSMKQVETWEKKASNT >OB02G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18661959:18664697:-1 gene:OB02G33030 transcript:OB02G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRDLLGIDPPELIFPFELKKQISCSLHLTNKTDEYVAFKVKTTSPKKYCVRPNNGIVAPRSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVKQDLSPQDITGDVFTKQSGNVVDEVKLRVVYTTPQPTSANGGSEEGLGSLSDQEATKGPRESETITSEVCIYLALISKLKVEKNSAIQQNIKLQEELDLLRRQMGSQHGGFSLVFVLAIAILGILLGFLVKR >OB02G33040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18675213:18677329:-1 gene:OB02G33040 transcript:OB02G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAVFQLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLHPPPAPPANQLHFGPSLLSPTSPHGLLDEMAFQAPSLLMEQASASSLSGITGHSSSSCASNVPAMQTPPAKPVQQEPELPKNAPTSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAAAAANMGVTPF >OB02G33050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18697395:18698005:-1 gene:OB02G33050 transcript:OB02G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLSTLQTPWKLLRVWWIHGYEGTIPWNWLSRETLSPTYDYLSLISLLGACPSLDTFILDVSVEHPEGDSIFVSPSDLRKLPEQRHDNLRDVKITGFRSAKSLVELTYYILKNTQGCSCGSP >OB02G33060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18709126:18713611:1 gene:OB02G33060 transcript:OB02G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G12250) TAIR;Acc:AT1G12250] MPAYADLNKFEAEQRGEFGIGSAAQFGAARRPQVRGLLSPFRLHFFPAKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLTQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >OB02G33070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18713488:18715162:-1 gene:OB02G33070 transcript:OB02G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYARSCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPEEGWVVCRVFKKRVATVRRMADGSPCWFDDHGAFMPDLGSTAALYHGHQQQVYGHCKPELEYHHLLPQEAFLQQHLPQLESPRPPAAQTPPLEAVYMAGAGVDASVTDWRLLDKFVASQLLSKESSYSYPAQVFQAADGNKHEETLDYASTSAGSGGGEAVLWK >OB02G33080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18736807:18738303:1 gene:OB02G33080 transcript:OB02G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRHRGFRLGRKLLGLWRWALCHRRRRRGRGYIRLQPCPPGGSSPLLARSGSAKKLPHQQQQIVVHQRGEAPGMLKWGRSLARRMRLLRRRGSGGDGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRPPGGSTWPRHARCMYIHMCARLNRTRTCMCIRFFFLFLAPLSLWVCMSARDDVRRLVSRFYRWRMLIDHRFFAPRSSIFATPNCVFLSKLVRSSTCRN >OB02G33090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18741792:18743177:1 gene:OB02G33090 transcript:OB02G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCYVRYDAADFIGRADTSTAYRKCSSSTSHDGAFLSSRDGVLGELQAAAGYKLSTSGTVQGVAQCLGDVPAADCTACLAEAVGQLKGACGTALAADVYLAQCYVRYWANGYYFRSNSDNSGDDVGRTVAIIIGILAGLAVLVVFISFLRKAC >OB02G33100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18744804:18750122:-1 gene:OB02G33100 transcript:OB02G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQWCFALLLALSAAAEAGAKRMWEPVIRMPGEVVVEEEVVGGIGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNALNPRPGVIVNHPQGEDVYAGVPKDYTGDEVNTKNFYAVLLGNKTAVTGGSRKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHVSNTYAKMVIYVEACESGSIFEGLMPEDLNVYVTTASNAEESSWGTYCPGMEPSPPAEYITCLGDLYSVSWMEDSETHNLKEESIKEQYEVVKKRTSDMNSYGAGSHVMEYGDKTFKGEKLYLYQGFDPANAEVKNKLLLDDPKAAVNQRDADLLFLWRRYELLHEKSEEKLKVLREISEAVTHRKHLDSSVDFVGKLLFGFGNGPTVLQHVRPSGQPLVDDWDCLKRMVRIFESHCGSLTQYGMKHMRAFANICNNGIPDAAMKDASIVACSSDNSARWSSLVQGYSA >OB02G33110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18751080:18755390:1 gene:OB02G33110 transcript:OB02G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGPELWTKIASDPTTRAYLEQADFMQMLREVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPDASESSQSSPPPPRSQQQQDQPETKAREAEPEPESEPMEVTDEEKERKERKSSAQKEKEAGNAAYKKKDFDTAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKTSKDYDIAIETFQKALTEHRNPDTLKRLNEAEKAKKDLEQQEYYDPKIADEEREKGNQLFKEQKYPDAVKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDANNPELLDGVRRCIEQINKANRGDLSQEEIQERQNKAMQDPEIQNILTDPIMRQVLIDLQENPRASQEHLKNPGVMQKIQKLVSAGIVQMR >OB02G33120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18759913:18760191:1 gene:OB02G33120 transcript:OB02G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSKVEPMKQRVNRCLLQLSDREVIELDVIACRLEADELSVFLTMVSDTRPTNKTPLRQHSLRWCLTVPVQEGDREGKKRPNLKLAGVEL >OB02G33130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18760751:18762482:-1 gene:OB02G33130 transcript:OB02G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLGCHVGYASPEETGVRSDGMMKQEETDRSAVVDDVEAAAGDGGVNYVARAQWLRAAVLGANDGLVSVASLMIGVGAVNGTRRAMLVAGLAGLPSGGRRNETNVARKQMPRGSNWASELRVFTVGLGGVLPKCSSSDGLPIMEAAGLPRSAARVLQRLRVSLSE >OB02G33140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18766514:18770431:-1 gene:OB02G33140 transcript:OB02G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGGGGRAGAELMPWPAGARAAAAAGEGLAVNRAYKRCITLLRSVYTALRILPAYRVFRLLCASGQAYNYEMAFRVGSFAAPFTRTEEAAMSTRRFAPVETQLGRLVVSVQYLPSLASFNLEICSLAPAMLITDYVGSPAADPMRAFPTSLTEAARSAPTFPPRRPNSWASPVPWPHTPVQQAKFSPPTALSASPTPSPPTFAGGYLQSRLSGETAPMIIPGSGRGPVHNRNMSDPVRGFMLPPPSPKSIRGEPGVHETPIESGRTGIRMADLYANLPSVPKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDMPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESVEGATSSFMSRRTSDAFEELESFKEIKENLLARSRSRMQESLDKSLRHS >OB02G33150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18781678:18787783:-1 gene:OB02G33150 transcript:OB02G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEVVQWDKMGAPEAVNGSFGGAGKMDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEQGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSATEIYNEVIRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRMLQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDPKRQVQGKHSIRSPPSIGMPQSASRDDSSQVSHDDSDLYKEVRCIESNRTGGNEQLDLSAGESSSPQNSNRNSGLHGNDSNASVNSRRSRPLGIGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNSRVLGRSRSCRSLTGSTMFDDMEMDNCTPLNRSLVDFPGRPVESHRRGSALNYDAESEILSKAGSMTNGSVACETEFAGIGEFVAELKEMAQVHYQNANGKSIGLDPIEGALQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGETADSIYMEVELRRLSFLRDTYSRGSTPSNVIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKKRKLQVARRLWTQTKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQQPTRRSSNGWRYGIPSFV >OB02G33160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18804475:18805874:1 gene:OB02G33160 transcript:OB02G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFAHHHGSLVEKDGRMSSALRSSLRPVEAAEEMAAAAAAVAGPAAWGAAERGAGMVGDGFEVEDLLDLEELCEVEKDGGEHGEAATAALEEGXXXXXXXXVVSYEPMPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPVPQLPAAALGACKPQHRRPQEEGAASTLVPARTPTICALSTESLVPVKARRSKRSRASVWSLSGAPLSDSTSSSSTGTTSSCSSSASFSPFLQYVDIPTLVASDLLDEQPRSKKSKHGKSGKPKKRGRXXXXXXXRPGRPPLQPLRRPEDAPVARRPRGRQDALQRLRRPLQVRPPPPGVPPGLQPNLREQPALQLPPQGARDAPQEGDGHRAVRRAGRRLVLASTSVEVAVHFSRPYH >OB02G33170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18804576:18805094:-1 gene:OB02G33170 transcript:OB02G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHETRLLISKRRHGAYKRRRLFTQKRATKNIIKLFYRQVHHRRQQRHGLVRHHRXXXXXXXPLLQGRRRRLAMLAAVLLHLTELLQVEQVLHLEPVPHHPCPSLRRPPRRRPRDRRRRRGHLLRRLDRPQATPQRGRHPPVLLHLKPHDRKQSKDQPGNSCQFQETTQPA >OB02G33180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18835980:18836742:1 gene:OB02G33180 transcript:OB02G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLVNPSASGRSPPAPLCDICQEKRGFLFCKEDRAILCRECDAPVHSTSELTMRHSRPQAPAPATSHGGSSGSSSISEYLTKTLPGWHVEDFLVDDATAEAAAATSSGISANGPCQVGATDRPHSHVAVPVNLEWSNC >OB02G33190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18836966:18837745:1 gene:OB02G33190 transcript:OB02G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPSLLDTILNSSNASPFGGLVTDMALNVWTGSKPDRWAARIRRLPCMDGAAAAVLRQPRRPARRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPRGAAPASSPATRWQSGSGGCRRCTPTSLPPAARDPGHPLPPTTGETKATKGRGSAVRRHGCTYSRYDAVSGLNDLHFALPRGSVYAFLRRRRKSLSLLYPFLLVTSVCFFFPSTTQ >OB02G33200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18843834:18845945:1 gene:OB02G33200 transcript:OB02G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT1G77370) TAIR;Acc:AT1G77370] MAAARAAVSAVFLLLLILVLGVADLAAGTRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRLFRDLKESPYIVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANALSNGQLQKLLGNSQSQR >OB02G33210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18847268:18853871:-1 gene:OB02G33210 transcript:OB02G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LFA4] MEEKPGQYGSLGGLVAGVREAYESGRTKEVEWRQAQLRGLIRMVTEEEDAIFDALHEDLGKHRVESFRDEVGVLVKSLRNTLQNLKNWAAPEKAQVPLISFPCKALVVPEPVGVVLIFSCWNLPIGLALEPLSGALAAGNAVVLKPSELAPSTAAFLAANIPRYLDAKAVKIVQGGPEVGEELMEHRWDKILFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDFLYSKRDCQVAVNRIIGAKWSTCAGQACIAIDYILVEERFAPILIELLKSTLKRFLTEPGFMARILNEKHFHRLRGLLEGHEVKSSIVHGGHADLKTLRIEPTILLNPPLDSDIMTEEIFGPLLPIITVKKTEDCIAFLKPKPKPLAIYAFTNDEKLKQRIVAETSSGSVTFNDAIVQYGLDSVPFGGIGQSGFGQYHGKYTFELFSHRKTVLRRSLLLEFMFRYPPWDEHKMRMLRRVFRFDYVSLVIALLAFWLLGLRR >OB02G33220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18857796:18859058:-1 gene:OB02G33220 transcript:OB02G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPRVQLLELVVVVLQQAAAAMVATFPGDAAALASLKSAVDAASVPAYSCLASWDFARDPCAVFPCGVRCYAPPNSSYHRVTGVSLDPAGYSGTLPTTVFASLPFLAFLSLASNRFHGALPAGAPLQPGLRVLDLSGNAFTGEIPASFFTPASSLEELYLSRNAFSGGIPPQVASLGALKRMELQHNGLTGSLPLMGTMRSLAYLDLSGNALSGSLLDAPGRLPSSLVSVVARNNSFAGPLQAAALAALPAMRVLDLTGNAVTGAVPGAAFAHPSLQQLRLGSNQLGTVEEAPDGGASSQLVELDLGGNRLTGRLPGCVAAMPRGAXXXXXXRRTEPVRRPGDGGWAHRQVGPICQADAAGELSVWGPAEPAEAAEGRRRGGELGGQLLAEVSAQVLLLPRGPAEKQCHVSEMLPMK >OB02G33230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18881305:18887349:1 gene:OB02G33230 transcript:OB02G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LFA6] MLAMAEKPKPAVLLAGMASGPREVYESGRTKGMEWRQSQLRGLIRLLTDKEEEIFTVLYEDLGKHRGESFRDELGILVKSIKYTLQNLKKWMAPEKAESPLVAFPATAMAVPEPLGVVLIYSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPKYLDSRAVKVVLGGPSVGEELMEHRWDKVLFTGSARVGRIIMAKAVKHLTPVALELGSKCPCIVDWLGSKRDRQIAVNRIIGAKWSTCAGQACIAIDHVIVEERFAPILIELLKSTLKRFLAKPGGMARILNAKHFERLSGYLEDNGVAASVVHGGDIDPKTLSIEPTILLNPPVDSDVMNEEIFGPILPIITVKKTEDCIAYLKSKPKPLAIYAFTNNESLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYHGKYSFELFSHKKAVFKRSFLIEFMFRYPPWDESKIGTLRHVFSYNYFLLFFNLLGLRR >OB02G33240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18891332:18892499:-1 gene:OB02G33240 transcript:OB02G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGCGLFALARCCCVRGEREREEGGRNDARVADEPGGGGGAASVGVVAARRFEWAEIESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRAFCQERDVLLWLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHRSGEKNRAVLPWARRMAIAFQVAMALEYLHESRDPAVIHGDIKASNVLLDANHDAKLCDFGFAHVGFSAAVRPPATRASGRPVMGSPGYADPHFLRSGVATKKSDVYSFGVLLLELVTGREAICAETGCRLTATVGPSISDGNLADVVDRRLGDEYDIEEAATVAALALRCISDGLGLRPSMAEVVRELQEKTTALISAVGSKPSDKKVS >OB02G33250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18905817:18908726:-1 gene:OB02G33250 transcript:OB02G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPQGAGEPLYPYADHAPTTMGVFSVPPPPTTPAPPASGDVIPLPTQPQPQPAGPEEFHATPGSGSAVAVNPNDDDMMMADVAGGAGGSSGNRWPREETLALIRIRSEMDAAFRNATLKAPVWEELSRRLAELGYQRSAKKCKEKFENVDKYYKRTKEGRAGRQDGKSYRFFSQLEALHAAAPPPSQQQQQGMPVEDPQPLAMAKMLPAAADLGFLSMSSDSESDDESDEEEEEDAAAAAGGGDDEGGGISRKMMAMFEGMMRQVTEKQDAMQRAFMETLEKWESVRTESEEAWRRKEVARMNREREILSQERAAAASRDAALIAFLQRLVAGEHVKVSPSGTAATRAPFQAPPPSHHDAAAAGLQLVPRAKAEEGWAGGDGTGSGTTAPSRWPKEEVQALIDLRMEKEEQYSDMGPKGPLWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPDDSKTCPYFHQLDAIYSKKRFAGKRSGAGGVTIASASSLAIVTVSGQESQRELEGKSSNDGNVQLAVPLLLQNAADKKAEGTEAEPNITAAEETDSDEMGGNYTDDGDDDDKMQYKIEFQKPNASSGSGSGDDAPAPTTTAAATSSALASNTSFLAVQ >OB02G33260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18914496:18914753:-1 gene:OB02G33260 transcript:OB02G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLDRVRQLANFVDLIESMPMKLGVVAWGALLGSCRIHGHIQIDKQVIKQFLLVMLVMCFLIDAERDTMDKSDGELKRMVCRR >OB02G33270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18916357:18924143:-1 gene:OB02G33270 transcript:OB02G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSKRHKVCALVVPVWCLGRDKRSSAREMPTAAPRAPLVPTPPDAVLRKSSLARETPTPTHHQIRRAPVAMGQRGEGGGSGEEERRWRTTERPATGRGGGERQATGSAPVAEEEGAPGANRGGGGHRRRRAGRVRERRVSDGKGGREREYVQLAWLAARVPAGLPAGATRPQATGSAIPSSSYVLRAVTEQSARYLCPITAPLRLNCR >OB02G33280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18932380:18932805:1 gene:OB02G33280 transcript:OB02G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPMITPVFSLSPPSFSLSPLSTSLRCHKQTIVLLSISGSGVLPLETSRVLWEERNPGTGASEKKYRERNQLEEKERRKRGAFCFEGNVVWLEVHAETFDQVNLICRSILISDQASFPSSKAPIFVRRRSLRGSWIASIW >OB02G33290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18939456:18940848:1 gene:OB02G33290 transcript:OB02G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRRSGGSRMSRCGLWSRRSTRGARSWSPGRRRSWRGNWGCSRAREPSGSRTAIWFQNKRARWRSKQIEHDYAELRAKYDALHTRVESLKQEKLALAAQVDELRGRLNERQDQSGSCDGGAECDDDKIRNNTVNASISGLVEEDVSCVAVPVMDFSEDGSAVSGGYDYDHHIDYAGGGLPEPFCAIPDLWDTWPMVEWNAVA >OB02G33300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18944689:18948487:-1 gene:OB02G33300 transcript:OB02G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRARSKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLRQVVDHVLERYPQSNIYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALAKALRNIFKKHALLFEGLEGEYNISKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKVVITWSTMPNWRVIFLFPHCQEPKIVKNPTKIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKNSSTKDRISYEQQSVTQTSSPDVSVHVQR >OB02G33310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18953677:18960286:1 gene:OB02G33310 transcript:OB02G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVLEQPQVQRGTDVVADNAGVSRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLSKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPVSVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGKQENVLQLEAGS >OB02G33320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18961803:18962450:-1 gene:OB02G33320 transcript:OB02G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKAVYSFQEVSKHNDRKDCWLIIGGKVYDVSPFMEEHPGGDEVLLACTGKDATADFNDIGHTATAKELMAQYVIGEVDASTRPPKPAYRVLSEDARTKPDAASGGAWLTMLQLAVPVALLGLAFAAQNFAKTSTE >OB02G33330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18964142:18968940:-1 gene:OB02G33330 transcript:OB02G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGGFGSSLLALNKKTYELAGVSTPGNSTASYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENNAKKQVRGFLNCFGMSLLWSFFQWFYTGGESCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISKQKGNWYPGNVPESSMLSLFGYKSFMCVALIMGDGLYHFIKVTGITAKNLHEQSNRRHVKKATNEDTFVIDDMHRDEFFNKDYIPNWLAYAGYALLSIIAIIAIPVLFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGKDNGVIAGLVGCGVVKQLVQVSADLMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIIAPLTFLLFYKSFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSSGFFAFSVLINLMRDFLPRKYRDYVPLPMAMAVPFLVGANFAIDMSVGSLIVFAWHKINSKEAGLLVPAVASGFICGDGIWTFPSSLLSLAKIKPPICMKFTPRS >OB02G33340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18981772:18986223:-1 gene:OB02G33340 transcript:OB02G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALTTGLVPTLNISAALLAFLTLRGWTRALERLGFSPRPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGNSPGNVPGSYKEPGIGWMVGFLLAISFAGNLSLIPLRKALVIDYKLTYPSGTATAVLINGFHTAQGDKNAKLQLHGFLKYFGLSFFWSFFQWFYTGGNVCGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLVGAIISWGIMWPLISKHKGDWYPANIPESRMTSLYGYKSFLCIALIMGDGLYHFVKVTGITAKSLHNRLNRKRVRNTGSEDGDMVSLDELQRDEVFKRGTVPSWIAYSGYVLLSIIAVIAIPIMFRQVKWYYVIIAYALGPVLGFANSYGTGLTDINMGYNYGKIALFVFAAWSGKDNGVVAGLVAGTLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVAELIGTGMGCFIAPLTFMLFYRAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSIGFFAFAVLTNAVRDALPAKYKNFVPLPTAMAVPFLVGASFAIDMCVGSLVVFVWNKMNKKEAAFMVPAVASGLMCGDGIWTFPSSILALAKIKPPICMKFTPGS >OB02G33350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:18992249:18996124:-1 gene:OB02G33350 transcript:OB02G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDKIKKRRKGKVPHEVPAPPSPLAGSSRSTASTSTAACSTVSSSAVATSQSEESVAAARPAAGWSRSLGSVSSARSIPELYEERGASSLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVCRLPGGPAGGTVVAIKRLNPHGRQGHKQWLTEVQFLGVVEHPNLVRLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLEIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEILTGRRSMDRNRPKNEQKLLEWVKQYPVETKRFSMIIDPRLRDRYSKQGTREIARLANSCLAKLAKDRPTMREVVESMKQVMQHSELDGDVEASGKKSSPPHEVPGNPTADDVAVAAARRRMLHLAALGENANSIARRRFMFMRAAAAAAPTPT >OB02G33360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19003705:19008193:1 gene:OB02G33360 transcript:OB02G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSNNIENGNGEVNEKGRTDHDVEEREEKGSGTDEDGVSDDSDETFSEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEPNEEPDQPAEAGEKLPSRSGLRGRQLIGKRMFEGLYFPEQVETTSGSNIGARTRSNFKHKKLLDRKLLKRGTFSKPYCIDVSESSSESVEPQPEQVQGQPAFSGDSLDDGSSGEKRRAVKRRKLNRRRSCHSDSEEDAPCVPDAREGSGSRSRRGQKGASYRQASRAGDKKKDGSTPHSVGKNGAKAGGQTNGLDGQNGVSFKRNAQKRKRGRARADQEKYGHLLDPMFDEIESNQYEPVPEEQIDKRLPLIFAFGDDDEPEKDSTHNKLQDEDELWTEFDFALESINVCSHNCEEHEKNDEQEIPADKAASCSQGKHELFIDEQIGVRCKHCHYVDLEIRFVLPSMVKSYAEREMKKDHDLDLVFDDILTSVGYEGPRDFGGDKTVLVWDLVPGVREDMFPHQREGFEFMWRKLAGGISIEQLKHTVGTIEGGCVISHAPGTGKTRLAITFVQSYFEFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSNEINWNEDKTIKYLATMDEKLAQSLARNKLDQKFRRKLKLASWRKGSSIIGVSYSLFRKLANHDGIDGLMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLAKVRTEKRIILSGTPFQNNFLELYNILYLVRPKFARDFASKSFKKKGFASRTSRSREMLLGKDDEGKDFWTSLKLNNITEENIDEIRKILDPIVHIHNGDILQKSLPGLRESVVVLNPLPHQKEIITAMENTVAMGTLDSEYKISLASIHPFLITCTKLSENETSCVNVSLLKSLRPNPCEGVKTRFVLEIVRLCEAMKERVLVFSQYLEPLSLIVDQLSKMFSWTEGKEILLMSGNVLVKNRGALMEAFNDMKSDAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQGRKDQMSKLLFSKELQPSGFNLSQEVIFNDKILEAMTSHEELKDMFVKILPSY >OB02G33370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19011817:19015058:1 gene:OB02G33370 transcript:OB02G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LFC0] MNALAATSRNFRQAARLLGLDSKIEKSLLIPLREIKVECTIPKDDGTLATFVGFRVQHDNARGPMKGGIRYHPEVDPNEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGAMYATENLLAEYGESIPGSTFVIQGLGNVGSWAAKLIHQKGGKVIAVGDVTGALRNKSGIDIPALMKHRDEGGSLKDFHGAEVIDVAELLVHECDVLVPCALGGVLNRENAADVKARIIIEGANHPTDTEADEILDKKGVIVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELQRYMKSAFQHIKAMCKSQNCNLRMGAFSLGVNRVARATLLRGWEA >OB02G33380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19016035:19018370:-1 gene:OB02G33380 transcript:OB02G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:J3LFC1] MDALVASYASDSDTDGDAPAPTECEAAPEPPESSALLPPPPLDLLQPPNFVDYSTVVQGSRVRSFPHVEGNYALHVYIPVVIPSDARKYLAFVMKRAASCVPDLYAVDADYALSELCKDEQKLEKVLLSREFHVSLGRTVAIQVHQIESLVAMLRQKFRPQQQYWMDFNKWEHFTNDDCTRSFLSLEVTSTGLPEISRQISMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSCKLKQAIKEIEQSQSSMGTSQKCHLRCKFSHVVCKIGKKVYDICKLVD >OB02G33390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19022527:19025336:-1 gene:OB02G33390 transcript:OB02G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSEVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGTLVLTEPVSTFSDGDQPLSTPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >OB02G33400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19028917:19029108:1 gene:OB02G33400 transcript:OB02G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLIPICFLLPLYGFIVYFSLDLCCSCTGGVCCDEDNKLPLLKTLRTLAGRIEPINLATTE >OB02G33410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19045982:19046915:-1 gene:OB02G33410 transcript:OB02G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRVLPVPLPCLALRDRVDSDKPVTLFSMVEKKTIACEEMAKLMKNSSVFATQQGWMVVHDATTSMSTFLLDPLNFGHRIQLPHLPKTLSSPSPLCCGTVTSETRSGRGTSTTLGRTPCFYEDKTVDEKLPICPIAACQGKFFFNGTAKELAVLEFCPEPVFSKIAISDVIDGFFGYMNCAHIYLVEADDELYLACTLFGFDLKTIYEVRVYQMDFSRQRWSRVEELGDRAFLVSPWYFGACYSAEKHGLEPNCVYLACPRENEQCQCYKIYNIKDGTSKVNNIDE >OB02G33420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19049180:19049969:1 gene:OB02G33420 transcript:OB02G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGTRWRERLVVPAVAALLFASAFVQCVGAGDGEEEPAEPGKTAPTLPPGWKGDSGSGHGSSPEGSWNYGWGWAAGPGGKGSGFGFGYGGSRGEGGGGGGGGGGGGGGGGSGRAYGFGSGGYGGHPGGFGGGGGGYPGDFGQGGGSFGGSVGDDTGGYNGDAGGGGDGGGYGGDSGDGEVGANWSKRGRFGGGKAQQKDGGVLSLLTGVRCTVLARTSV >OB02G33430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19052071:19054355:-1 gene:OB02G33430 transcript:OB02G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLALSAAAAASDDDGFGGPTSAGSGTALRGALRMESGGDLDGRGQHKAVARWRFQRGFVAGQLEGGDRFGARRRGSGTPESNGGGIAEGIGIPGSESDGGGGDGGLERGSSVTGCRKGQKVVVPWRFQVGFKRSWSHGSGLGDGSGSHAPPEITESKFRVSGKQCASAAGRNDSREKVSVTSDHSSVKVGKQTGSVSKKMKVNRYCHCQVIPKNKRVSTTRENILVSLQEFRIIYRKLLQEEQAKWRERGKGLRPDLAAFKMFRERLCVVDDDRRYVGNVPGVQIGDTFNSSLETFVVGLHRQQLNCVDYIKKDGTCVAVSIVSYAQASASNNNLETLLHVGSIVITGDQKIEGTDLAMKHSMDTNTPIRVIHVVTCEGENGQQKGITNYVYGGLYFIEKFRMEKVKEHQHIPTYQLRRMAEQAHVDILEFGKTRKRQEPFDGVFMRDISEGLEKIPVSTINSVSNEYPMPFRYISNIEYPTKHQQGLPSGCDCVNGCSYSQNCACVVKNGGEIPFSHKGTIIDEKPLIYECGPSCGCPPTCHNRVSQHGIKFRLQVFKTKSTGWGVRSLDFIPSGSFLCEYIGELLEEEEAQERKNDEYLFGIGNDYYNDRWQGISKTIPSLRNGPVEDEDGFAIDALNWGNLARFINHSCTPNLFAQNVLYDHDNISMPHIMLFAGEDIPPLQELSYDYNYGIDEVYDSDGNIKKKKCFCGSIECTGWLY >OB02G33440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19058727:19059182:-1 gene:OB02G33440 transcript:OB02G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSVYAQMLQQRLLRQGRGHQPGGDELPRGGLPVRPRLRPERDAGDVRRLLRRAPVRDAHQRRRGGSSGCRPRRGPEGGVPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPPRPAAPAAPPRLHSCCLSEDDAGSSSLREAAPMEAS >OB02G33450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19068278:19071710:-1 gene:OB02G33450 transcript:OB02G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCMEGGGQLGTCLPNFFLLPDHHSMPLPPPLQLPCHPKLLQMPFDEEDDQPGIHGVMLSSDHCGLYPLPALPLGNSGAAAATATIALGKSTAAAGSMPNIGGAEEVATSVTKAGNESTTCNGSNTWWRGTTMAAMGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDTASGEHTNCFSSF >OB02G33460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19086259:19090015:-1 gene:OB02G33460 transcript:OB02G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLAGSERAAGAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRLEVQYDSSNSDHQEALKDLWHASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPKSFEELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLAR >OB02G33470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19097357:19100263:-1 gene:OB02G33470 transcript:OB02G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant VAMP (vesicle-associated membrane protein) family protein [Source:Projected from Arabidopsis thaliana (AT5G47180) TAIR;Acc:AT5G47180] MGGGGTLISVYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTRVAASTDMDEIPPDTFNKEVDKVIEEMKLKVVYTLPSGSSDDSGITSSGSRSFRSGSDDLAMLKNASMEEIQTIQRLKDERDNTLQQNQQMQRELDVLRRRRSRKSDAGFSLTFAAFAGLIGVLVGLLMSLIFSSPQAAA >OB02G33480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19102045:19104827:-1 gene:OB02G33480 transcript:OB02G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISGVLIPRAAVYHRLPPPPQLLAVSSTFRRLSLPASARRARTGRLVPRADASAEAGEPEPAAEPEAEPVAASADAEEGEVEAEAEAEAAVAVAEEEQEEDEPPPPSRPPVKFGEIIGILNKQFIEESEKVKTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKHKLPRFSTFK >OB02G33490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19108836:19111089:1 gene:OB02G33490 transcript:OB02G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17550) TAIR;Acc:AT4G17550] MEKPPPASVSPPKHAHRPPPGLCALPTISYNSPRGLVLGLTFIAYALYHASRKPPSIVKRALSESWPPFYDPALLGETDVAFLAFYSLGMFVAGHLGDHLDLRLFLTVGMIGSGTAVALFGVGYFLSLHSLAFYLIAQVIAGLLQSTGWPSVVAIIGNWFHGRRRGLLMGIWNAHTSIGNISGSLIAAAMLRYGWGWSFIVPGLLIALGGVLVFFFLAPYPEDLGFPPTALPKKINEVSINEEDNNSANIAGDNKKEDKRDAVGIWKAFAIPGVITFALCLFFTKLVAYTFLYWLPFYLSETSIGGKKMSITSAGYLSTLFDVGGIIGGILAGFISDHLNARATTAAIFVYLAIPSLYAFHAYGGTSEVANIGLMMINGLFVNGPYALITTAVSADLGTHKSLTGDSRALATVTAIIDGTGSLGAALGPFITGFISKHGWDSVFAMLIFCALAAGILLSRIVKSEIVQIIQNRRSHTLDMYNRTTDSSVRPLLGGDT >OB02G33500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19115305:19115718:1 gene:OB02G33500 transcript:OB02G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRRPWRPRLPRPPPRRSPPPRRQPSPRPAPRPPLHPPRHPPRRSPPPPPRRPTTPPRRRPRARPASPRSWHWRARASPSCSEPSSSVTVASLLYQLAERGAAATFQLASSFHPFLPLLLLPFYFPFVLLFKFLPVV >OB02G33510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19122502:19124411:-1 gene:OB02G33510 transcript:OB02G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLVAAGLVLLLAAGAPAFAVDYTVGDTSGWTSGVDYGTWAKGKSFSVGDSLVFQYSMMHTVAEVSSADYSACSASNSIQSYSDQNTKIALTKPGTRYFICGTSGHCSGGMKLAVTVSAADATTPSPSTTTPSPSTTTPSTSPPSSTETPATPSDPGMDTPSTPATTTKSTGSTGGAGSGSDARSVMGLLVGAFGLAMMG >OB02G33520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19127829:19132129:-1 gene:OB02G33520 transcript:OB02G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLRRRADRSWEVKLNDTGVRLLQATVDAPLDEFLAAKDLARRELALAPWTDVNADDPDMCPPFFMQLTRFQGDGGYAVGVSCALLLADPLSLARFLQSWARTHTRMKAQSKAAAHPVASRALGAARASRLSVVVVAPAAGDDGLVGKATIETCTADDGPQAWSGTGGAGTSLEAVQWSELGLEELVLRDSKPVRVSCSIVTAGDEGLVVVMPDGAGSLLVTATLPK >OB02G33530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19138084:19141247:1 gene:OB02G33530 transcript:OB02G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS 5 [Source:Projected from Arabidopsis thaliana (AT1G02130) TAIR;Acc:AT1G02130] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKSSCCSS >OB02G33540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19144810:19144968:1 gene:OB02G33540 transcript:OB02G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGTKALSTKAPPFAFFDMFLDGTILATNHVEIRPSLPHGFKLARIPYPT >OB02G33550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19156680:19157912:1 gene:OB02G33550 transcript:OB02G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMRARAAVDEHMSPRSLMHMQQLLSADSPRASPRSTIAQRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESGEASGDAQDPKVARGFLSLYKTAGEKVKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGAPGPPAAPPVAVVSFGGPKVGNAAFVDKLQKSGKVNVLRIVNAGDVVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSMAYGNCAVASPSS >OB02G33560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19158468:19159245:1 gene:OB02G33560 transcript:OB02G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRCLLAASGRGAPSTRTFARALRILARPEPVSLHKLSEPDCEHSTVAGGRRRPVASSPPGGLSLSFSDGVGAYLLLARRCRSSDDLLGGVTRSGDVVLCLQSRQLLDPFQQ >OB02G33570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19159590:19163313:1 gene:OB02G33570 transcript:OB02G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLGAVEKLEADETVKVVLVTSSVPGAFCAGADLKERKLMSSSGVREYANSLRSTFSAFEALPISTIAVIEGAALGGGLELALSCDLRICGEDATLGLPETGLAIIPGAGGTQRLPRIVGRSRAKEIIFTGRRCNASEAVMMGLANYCVPAGEAYEKALELAHEITQKGPLGIRMAKKAIDQGMQAADMPSALAVEGECYEQLLNTEDRLEGLAAFAERRKPVYSGK >OB02G33580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19163966:19167049:1 gene:OB02G33580 transcript:OB02G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRGILAVSGHLTGRRATAGTACASAHLALFSRALQILAQPEPVRLQKLSAPDSGIVEMSLKRPEARNAIGKEMLQGLRSAIEKVEADATANVVLLASSVPKVFCAGADLKERRLMSPSEVREFVNSLRATFLSFEALSIPTIAIIEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFNAVEAVTMGVVNYSVPPGEAYRKALELAQEINQKGPLAIRMAKKAINQGMEVDLSSGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OB02G33590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19172715:19175835:1 gene:OB02G33590 transcript:OB02G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVADKTVEPKGPSVATAQLPNNVELLKSVVLNPSGEVGSPQKQHEKDLLAEGEESFRSEDSSDECGRSSFSGVSHPPEPIDVDLMSTVYVAIDEEKPEPPECLMRGLPAKGASMEDLSVHAMVAKPDVIVGTHNVNGLVEERKVNGAVVGPCHVAHVPEHVETVSSTQASEEKDFVWDASFPPSGNVSPHSSSDSTGVVAATSIRESSTSTCRSGVLTSESILNVEKTSESTKGSARGNSMESTKTSMSRASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLIRASASESDPRRAGGSFCVQPACIEPSSVCIQPACFMPRLFGQRSKRQGRKPRSEPGQCSAALLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYAGRDLIRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRHVEAELPAKYGVAEPVAGGGGKRGGGVGAEVKSGGKYLDFEFF >OB02G33600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19175738:19185135:-1 gene:OB02G33600 transcript:OB02G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQDCGYLSGTCGQRLVPAPDPYICGYPTHVYPQAKLRLDKRDEPGQGDTGNQTGPNSLGRIRGTLPQNNSDVSKSMVGQLQASEQEGNAQANQQLVQQHVNLAGQLSQPNLVTEGLANEIPTQLAEPNHQPEQEAPHSENHQIQAETNSFQLAEKETGYAGLPNYAGPKADVAQTSGDQQEVKQMVGQQAPPGAQDARKRGYQPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANVNQQANVNQQANANQYSLPSQVSSSGSAQLHDQQVHMSTTPNQGQKSQALSSSQAFVPSGPQVQSSMTALDNSIQRPDVKGMHAMPNRPPAMNSAISAQMINKQQQPTQVQQASQQIYGTTNRADQPSTRPTSGSTPLTSLSSESEIRPSLHPHGMVPAKIGTVPTHPMTQHNAAAQQMQQNKDSKSNASNPRSNAKDSGSAGKARQVGVGGSSAKSQGKQAPPNVSASPAARTNKKSAGQKKSLDTAGSTPPPPSKKQKTSGTFQEQSIDQLNDVTAVSGVNLREEEEQLLSAPKEESWASEEARRIAQEEDEKLFLQKGPLLKKLAEIIPKCNLKNMGNDVEHCLSMCVEERLQRFISTLIRVSKQRIDTEKTGHRLVITSDVGRQIFRMNQKAKEEWDKKQAEETDKNKKQNEVDGSGTAELDKEKEETRPKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGIDPASASQRGTASRPLHMAGKGPADHREASKRTHPAAFGTGSMNRQGRGPFAASQPKGPQRTISMKDVICVLEREPQMTKSRLIYRLYERRPGDTTRD >OB02G33610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19198775:19199565:-1 gene:OB02G33610 transcript:OB02G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNDSPAIGSGSNQSSNTGEEAASNIEVVEDPDLVGLRAICVPKRKMPLPVKNHKKRSAIETLPAARDRASRGRWRHGAAMKDRRGEASTGGTSRLPLLGATRATIEMAISLNFMT >OB02G33620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19202182:19208283:1 gene:OB02G33620 transcript:OB02G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:J3LFE5] MGKRWIPLEANPDVMNQFMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDDEEEESGASATSTVGDKDLSKRVYFTKQTVGNACGTVGVIHAIGNAASKLKLVEGSYFDRFYKQTADMDPVQRAAFLEEDDEMEDAHSVAASAGDTDANVEVNEHFVCFSCVDGELYELDGRKSQPTCHGPSSPDTLLQDAAKVIKARISSNPDSMNFNVMALSKVM >OB02G33630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19207820:19208587:-1 gene:OB02G33630 transcript:OB02G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAMGQHHEAQGLIAQQLRGLSSRTSMEPTTEIHHEATRAVEAAMSSWCAALDHLAKHQRDYVHALHGWLRLTLQAPADGAEAASPFATEVAAFVELWGQALDRVHCADVVRSIKSFAGAVRSLYGLQSDELRVARRVSQYSREADRKSRTLRQVEKSYYESYAPMGLSLWNRGMRHWGDDGNEVAQRRDEIAACRRMAEDEMRKHAKAIDATRAAAVTGVQGKLPAVFQSMAVFSASLARALQAVCRQGTHVQ >OB02G33640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19209371:19209925:-1 gene:OB02G33640 transcript:OB02G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASRQEDAERVAARREHMRRCRERRRLMREAVRLRRHLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWRRSRRGWRSTSSRPASPATLSRASSRPATPSSSVSHYLFSTLTFTSTVVCT >OB02G33650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19216852:19219313:-1 gene:OB02G33650 transcript:OB02G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEATALESIRHHLLEEETPAPAPARRPVYCRSSSFGSLVADQWSESLPFRPNDAEDMVVYGALRDAFSSGWLPDGSFAAVKPESPDSYDGSSIGSFLASSSGPETPGEVTSTEEAMTPGREEAEGEGEAVAVASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEEAAVAFDHLKY >OB02G33660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19222816:19225389:1 gene:OB02G33660 transcript:OB02G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKAFSFCSPAAPRTNYGGGGAGMDRWTGVLHVPLSRGGPPFRVAASLVLSPAKTLAVPCANAILFTGDRVRGSGEPAIDRLSDAAYLARLLAGKLTGEANAWVVDAACLAGSFAVYRELVPSVNAMGDPKRYDPTGFPAAAGITNILAHCIREIQTMIKSAMKGSAGNQDPAASLLSYCPPKTVILGFSKGGVVVNQLVTELACLDSESAKISVDVSRSSPPVLTCNLLVPVSATEFLSSVSEFHYVDVGLNRAGAYITDHAVIKEIANYVIRNSMNIRFVLHGTPRQWSDPNRSWIQMEKDIMLQLLQDEAQRCEGRLLLSEKRYFDGKPRSLLMHFEILEVMDIG >OB02G33670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19239301:19245973:1 gene:OB02G33670 transcript:OB02G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGNIDDFSLQLIHELLLGPDACLPVAQEHGAAYAEQQGFQPAAAFLHQQQPTMLAGYMDLGNDQYMGVAAGEAAFRAAGEPIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPHGKRKRHETAADPDVEVIGDGDSSKSVKTEAYPSPANSSLASTTTTSTVTSSSTSPSPSSEAAASGGGGELFVPLTPSSWAWEQWECFFGSLSPLSPHPQMGFPEVTVS >OB02G33680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19258617:19259370:-1 gene:OB02G33680 transcript:OB02G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVPALCLAEAAAVTTVLAPRPTSLRTFSSRSCVASIRRSALKCHPSRPLTGGAGGGPPPPPAVFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPTAAYPTPFVAPGEEKTHYAVVIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADTGAWKECKTGDVVTVELDNCVMINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >OB02G33690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19259927:19263315:1 gene:OB02G33690 transcript:OB02G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQGSHLLSMKATSNGHGENSSYFDGWKAYDMNPFDPRHNRGGVIQMGLAENQLSLDVIEEWSKNHPEASICTQAGASQFKRIANFQYYHDLPEFRKAIQGRLAGFAAGGEEMDAGREGTVTPVLLNVYDLTPANDYLYWLGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFVYRKSLWLGTTDMSQEEFRSFIEKLAGKYHGNSYHLISKNCNHFTDDVCKNLTGKPIPGWVNRLARVGSFFDCLLPESVQVSPVGRVPTLRAITDDDLDSISTISDSDEEDKHLLPAPSNDLHSVDVPPKLAKDLL >OB02G33700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19265740:19272237:-1 gene:OB02G33700 transcript:OB02G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51180) TAIR;Acc:AT5G51180] MGTHLRTTNVAPRPRDSYRLHRSLSPFNLSSSRLYRDRIASVTRAADWKFGAEQFEKLLSDKVVVHCSNRNMYKLTLDGIDVMGERLAQEIIEETNKRPQIKRISFVAHSVGGLVARYAIGRLYRPPKQTSQISPNLNNTNRGTIHGLEAVNFITVASPHLGSRGNRQVPFLFGFTVIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGDAQFMSALQVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSASKIYPHIVYEELSKAETLNLCTDVADMDSCMLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVSISLAVEALLFYMLCTNVVRHYLLIVFFQLCSQFLFVDLVLRLNHANE >OB02G33710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19274568:19279585:1 gene:OB02G33710 transcript:OB02G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 9 [Source:Projected from Arabidopsis thaliana (AT1G05940) TAIR;Acc:AT1G05940] MLSMGLPRREKATNGLTGLKCRAIQGEPSNDAYQATSPNPGIFCAQARSRPPHPMTQEPTSLLPVWARAPTRRAKTTRRQRHPLHLHAAAMAEEGASHRPPSSSAAAAAGGFLSGFCAAALRRKPISAHATHAASGEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPLLKGHIPTWIGHGEEFFGGVVSVNILAPILLIILTAILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKAVVTGATVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHELSHILSVGTLTGYSVVSACVITLRWNDKTTSRHSLGSMSIWQEGVLCLVIIALSGFVAGLCYRFSYATAFIIIAFLIAVVAGFALQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSCSDHPHPAVAYHGIPSEAP >OB02G33720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19282760:19285701:-1 gene:OB02G33720 transcript:OB02G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADAAATVPPPGDEPEAKGRSNSILLPILGILLVYLLYRYLRPRLRGLRLDRFTSRLPACLRRSRTGNTMLPYFQPIADRLGALQPYLAPIADRLGVGAQSGVGPPYGRADALVKFPGGEALSVAAILEAPGEVVAKSSHSTLYRAAMRSGEAAVLLRFVRPACALNSEEASAAARRVGAVSHPNLVPLRAFYVGPRGERLLVHPFYAAGSLRRFLQEGIVESQRWNIICKLSISIVKGLDYLHTGLEKPIIHGNLKTNNILLDANYECKISDFGLYLLLNPAGAQEMLEASAAQGYKAPELIKMRDATRESDIYSLGVVLLEMLAQKESANDITPNPRDILLPVSFKNLVLERKISDAFSSDLVRQSKKSGKEKNLNAFFELATTCCSPSPSLRPNTKYILKKLEEIAK >OB02G33730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19290091:19291020:-1 gene:OB02G33730 transcript:OB02G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAAAASPSTQAIRVVVANGKRASSALVPVAVLLAVAVGAVSLLPSLAQAVWEVPHLFLLGVVISYGVFAQKNSAGGDGGDGGGGGGDGARAWNSRYLADDPLVVVAATDNAESDDDGACGGKPLSLPVRRLKPPPPPPAPALEAEAGGDASDDDGIGADTDSSASTAGFWGAGPSPPSVLDAVCRSRKSTAATTDQPSTTSKGFPDYGSPVPPGDHSFSDDGQVTDWDDDDDDADDMGASTQRTTSYHDDYNGDFANDDGGDMSVDEELSELAAKAAPDQVEDEVDRKADEFIAKFREQIRLQRL >OB02G33740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19292872:19298755:-1 gene:OB02G33740 transcript:OB02G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MQSDGEVLFLDGVGEVAVAVGDEGLSFQPLHQEVSSSCLSSIRMQPKLENKLKFSDVYAVELLDVGPLYGPWNTKAVVQGKRNTEMNRFVIHSITRSRKRPSPWVPCEYIFGHKDLRTCKTWVEHMNVCISKEQDRPKNLMVFVHPLCGKGTGCKNWETVAPLFERAKVKTKVIVTERAGHAYDTLVSLSDKDLKKFDGIIAVGGDGLFNEILNGLLSTRHINSYPPTPEGFGYFGSNMKCQDHRNNDLSNSMSTGDDANAIFSGSSNTPDDHEPLLSIAPSTGLEILSSNSSDEPCNEVQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPMTSALLIILGRRISLDIAQVVRWKSSPSAEILPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAQVAFLENGNTNSLTASAENNASAVQTLQYHQNRHHKIICRTNCFICKGSSASEHNSEDDLLDSSRTPCENPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTEAFTFISPHDESVWNLDGELFQASEVSVQACRGLVNLFAAGPEV >OB02G33750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19298636:19299925:1 gene:OB02G33750 transcript:OB02G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAGAYLVKGLEGEAFVADGHGDLPDAVQEEHLTVALHDLFPLLVLAFRVRREDRRSNWVLQFLRAWVRVGCFHWLHVFDEEKIASVPPDVLVQGDGKRRTVGRGE >OB02G33760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19301493:19306666:1 gene:OB02G33760 transcript:OB02G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKEAYRVELRAAVRQLGDRGLYSAAKWAAELLVGIEPDATPSPSVVMDTPSSLGSAASGGRLLPLRRSGGSSFRRRLRPGGGAADAGTPLGGVSYVSTPIPDDDAFDAGADKYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYMAGEKRKEEETVELEGSLGKSNVVNQELVALERELSTHRRTGAIDSFSLYLYGIVLRDKGSEALARTVLVESVNNYPWNWSAWLELQYLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEDAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKNILQGLKRAQSALPLMDIDHFAM >OB02G33770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19306089:19306290:-1 gene:OB02G33770 transcript:OB02G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSVTNYQASATGSDHDAHKVNSSSNMEPPGKDTSDKDHIMKLGSGCSAGTGDTQSTSFVRWVAL >OB02G33780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19310941:19314884:1 gene:OB02G33780 transcript:OB02G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMPKKSNNTKYYEVLGVSKTATQDELKKAYRKAAIKNHPDKGGDPEKFKEISQAYEVLNDPEKRDIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQLFQGRSGFGVGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGAAGTCHGCRGAGMRTITRQIGLGMIQQMNTVCPECKGSGEMISEKDKCPSCKGNKVVQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKDHPKFKRKSDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEIIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPAGSRLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQQEAYDEDDDEDAGPGPRVQCAQQ >OB02G33790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19315917:19316753:1 gene:OB02G33790 transcript:OB02G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIHAPTTSSSDSVSCVTNGAPEKHKKLKRKRSASPAPPGGGQEQVAVADTTGTAGDAPRGGEEESSSCAGAGAGESTGPRKKAASPSAASSSVEENALFDLPDLLLDLSDGLWCSPIWTSAADQYDGDDDDNAAAALHEPLLWAEQCWVHPD >OB02G33800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19348397:19348678:-1 gene:OB02G33800 transcript:OB02G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGKGDALIIKLDTYILAPQSKQSKERMKETRLILYYMVCFPNLATQNAGENDRGFWPVFLFAVVTCIACMNFLPSVEIYEVYMQEGSTWH >OB02G33810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19366885:19367691:-1 gene:OB02G33810 transcript:OB02G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMWPDSMMGWRTDGSTRVTSPTKPSAAASGDGRAVRRRASLPQRPTAVAPATLMRETSCLLTLPTRTISTTSMVSASVTRRPFRNCGSTPTRPNHELISGPPPCTSTGRRPTQERRTRSRITDDCSSGDFIAAPPYFTTTVLPLNRWMNGSASESTSTRLSAGAEGCDADAGCAGATDEAHTRRRRPRPEARGVTRLGFGLGFGRAAEGRRRDEAWGMVRSMAAACRPGVRRNAYRRRGVRCATIRSPLVWCSASEVAADMGCTCS >OB02G33820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19366982:19368049:1 gene:OB02G33820 transcript:OB02G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTMPHASSLLLPSAALPNPSPNPSRVTPLASGRGRLRRVCASSVAPAQPASASQPSAPALSRVDVLSEALPFIQRFRGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLGRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISVAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVDPSVLHPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILANRNDPGSLVKEIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >OB02G33830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19369019:19370333:-1 gene:OB02G33830 transcript:OB02G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCSIIMVFGDPFRSNSDETEMNGLSSSIHSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKETSTMGNHGGPAGVLKWILGNTQAKIMEFIDSIMKIVNMLFHPVDDESKPDLYNDAVKVSFMLSVFIFIVVAIARIK >OB02G33840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19378814:19380249:-1 gene:OB02G33840 transcript:OB02G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHVLGRMRRRDHLLLMDAHGAAAVQTPMEPMEFLSRSWSVSASEISRVLTGGVGGRRSTNFVVDRLSGKLMPETLALAAASGTNLSPRKRNFRCRSAISAAAHQHTIGRWFHHRDGSSRVDKARAERARVHAAVTVASVAAAVAAVASGAANPGDLDDAKMDAALASATQLLASHCIEIAELAGADHDQVASAVEAAVDVRSPGDLMTLSAAAATALRGATALRLRAQREARSKAAVAPYEKAGSCRGDVWCKEGTLLKRSRKGALHWKRVAVYINKKSQVIVKLKSKHIGGAFSKKKKSVVYGVHDDMPAWPARASGGGVPPASESAVAEKFHFGLRTAQGVVEFQCESREQKQDWVESVKNLLRQAAGGTAQLEHSFESLRLSSS >OB02G33850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19383227:19384404:-1 gene:OB02G33850 transcript:OB02G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLALGSLGDSFSAASVKAYVAEFIATLLFVFAGVGSAIAYGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISELEGVVMEVVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLVGGGLAGLVYGDVFIGSYQPVADQDYA >OB02G33860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19391309:19391833:-1 gene:OB02G33860 transcript:OB02G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDGAPAEAGAGVCDWCLSDPAVVVGKKGAPATTTPGGGCRQTTEGNDEPFSREYRAKNKPATGGGEQEGGGRRVSKAAVRRYKLLKDVLC >OB02G33870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19396093:19396658:-1 gene:OB02G33870 transcript:OB02G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKKVRCDRGYPERARSSSGTPCSAATSASPPPPRCAAAAATTRRSTRAPPAACAPHHTTNRFRHRSGTTARAKGECRKSSGADAYEAMRAAARKQVEAIPGDLVGIRRRGGPGVRGGVRRREEAAAAAAARAERYPFLSLEKGMENWRYWASSI >OB02G33880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19396134:19397719:1 gene:OB02G33880 transcript:OB02G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRKGKGNALPAPPPPPPLLPDAEPLLARPARLSSGFQPSPPEWPRPASAPPPAWPRKRPRRSFFCILLLPVRLCRIYDGNGLWCGVVRRLPVELGCCAGLSLLPLHSAVAAARLTSRLSTASRSCCALSQEMGQSVPR >OB02G33890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19401462:19403214:-1 gene:OB02G33890 transcript:OB02G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFIYPRKDRFQTHIVVMLLPSIRLRRKEADINDWQMKKVTQAKEKMKRIEIKLEKKREKAAERMQKAIKYAQKTADKKKHKETAATDNQIARVENEVRKMSRTDKLPWSLAFL >OB02G33900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19405034:19408504:-1 gene:OB02G33900 transcript:OB02G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPDVGVAALGVGGRGLHRDGLNGRADLRVLSGKDAAXXXPDRGPDQTLEFSDGLLLRVLACLPEPHLTGAASLVCKRWMRLAGRLRRRLVVRDWAFVTHRLHYRFPELADLDLFPASIVAPAVPSQTSPLLTCAEVSLTLDTSADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTSEDGGVTDIGLTILAHGCKRLVKLELVSCEGSYDGIAAVGRCCAMLEELTIANHRMDSGWLAALAFCGNLKTLRLQGCCKIDDDPGPAEHLGACLTLESLQLQQCHLRDRRALHALFLVCEGARELLVQNCWGLEDDMFALAGLCRRVKFLSLEGCSQLTTRGLESVMTSWSDLQSLKVVSCNKIKDEEINPALSELFSSFKELKWRPDNKSRLAASLAGTGMGKKGRVLCKRQILPGHQRVKGAMLNYSTGVAA >OB02G33910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19410941:19412336:1 gene:OB02G33910 transcript:OB02G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATELLPSVVAPAVLACCVLLSFASGGVDRHRKLPGWPVGGATWYGPANGSGTDGGACGYQGDVGQPPFNSMIAAGSPSIYESGKGCGSCYQVKCTGNPSCSGKPATVVLTDLCPGGPCLEEPVHFDLSGTAFGAMANPGQADQLRNAGKLQVQYIRVPCNWQGVDIAFRVDAGSNQYYLAVLVEDEAGDGDLSAVDLMQPGGGGAWAAMQRSWGAVWKYDSGPAPLQAPMSIRLTSGSGRTLVASDVIPDGWQPGGTYRSIVNFKWRD >OB02G33920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19421302:19423025:1 gene:OB02G33920 transcript:OB02G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLVLLVALVGLSLLASPIACSRKLSKPKPRARPSMQKPVVRAHNNYTGGSPSSATVTSGWAAAGATYYGAPNGDGSDGGACGSQPAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCTTNAACSGQPATVVITDECPGGICLAGAAHFDMSGTSMGAMAKPGMADKLRAAGILQVQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMEAGSGAWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANSVIPASWKPGMTYRSLVNYS >OB02G33930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19427955:19435809:-1 gene:OB02G33930 transcript:OB02G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:Projected from Arabidopsis thaliana (AT3G24315) TAIR;Acc:AT3G24315] MASQADNMMDKVTQAVENLKEEWSQTVVQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRTRLRNANLQANTNIKKAAQEERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFIIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVIAKFQHGPAPAVAPPAPAPPIYDEL >OB02G33940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19439572:19441215:1 gene:OB02G33940 transcript:OB02G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTCSRLHMMVGAGGPRGGGPDLQSSPYDGGGGEPSEMMTGSPTTATTTTRHMYALRTNPGRLRNCRVCENCGKEFNSWKMLLDHGRCSFVEEDHLDGLPHSSAYHDCGDDGEDDGEDIGLAPEWSKGKRSRRAKVMSVGTGSVSEVQPSAPSTEEEDLANFLVMLSSSSSSRATQPIVVDTDQESCASANKAEERSMILVPQPISIAAPVMAQMTVIAPQVVPHHVPTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLESNRNENQQQQQHVAAAPQENNKATTSHAIPEIGTDASMLSPNVDADIKGKSAGIDEVVIATPVSDMAMTMAVDNLAPALGPATVSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSSSTDPLTKLQPIAQDHAMVAAMCHQLTLGRPIFDACDQRILDLNIPTNPLTEARQQQAAGLNDGALCLNAAAAAASVYLQSWTGHSNGSQVNKTTVTSSRVNDAAGDVATEDEADSTSAKRAKIGDLKDMNVAGESMPWLQVGIGISSESKEKSTQE >OB02G33950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19444197:19445328:1 gene:OB02G33950 transcript:OB02G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLAGAYSLSNGTCYVNNLSAEICRRFSLYRDRDDDVVGGVDEPSETMTGSLTTAITRPIDPVNRRVMLSSSSSSSHATQPIVVDTDQESYASANKAEERSMLLVPQPILIVAPVMAQMTVIAPQVVPHHVSTVPHGMFECKACKKLFSSHQALGGHRASHKKTFNVGDVGADLLYVLATRRKGLAANNRSVKTLDLSTEFIGAQKKRDD >OB02G33960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19451477:19452413:1 gene:OB02G33960 transcript:OB02G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNACKLCCRRFASPRALAGHMRSHSVATANAAAAAAVAPATKLQISSASSASTSFPAADEEEEEDVGFKKPLSIYTLRENPKRSLRVSEYAFSDRESEAESTPTPAAKSARTGDGEPMSSLSYVGTPEEEPPLPEHEADEEDMDGKAVPHECPYCYRVFSSGQALGGHKRSHLCSAAAAAAHAQTAGASPPSQAKILGMIDLNIAPPVDEVELSAVSDPHFPNNPCL >OB02G33970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19458882:19462282:1 gene:OB02G33970 transcript:OB02G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTRPVSVSYINVNAEPSDRIQRLRRSSNIGVGTNATLTFFLLGRAAPRRPTAPSPLDPLRAAAPPRRGRRRLLPLPTPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRLVERGSDRLAFITGQAHSLPSDPPPDSPLSTVDATAPQLSQRQASEGGIDSDNFSNITQLQKSEPSNVVRESQVSAKARQEIHHDDLLGELKTRSTVSEIQPVSETPLQRHGEETLSKRINHDRTATVPRREMETRHSVPPNQSIQAENASWSIETLKEHLNFTAHEITQAISATEYNRFLASVTIAFLVVLSNWGLDIGGTITRVLVGTRPLLFLIITNITIVFTLLMENRDPNVRGRSVGSNLGSADSLGRMLEIGLLLQKSLGALTMDCSICAVILVCFL >OB02G33980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19462384:19466768:-1 gene:OB02G33980 transcript:OB02G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPISGSLAQRDALRRAGGSAWPRRSLSSPRRHRLAVHAAGDSRRFHARALCAAPELRSHEAAVSINNTKNTTSLDGELRPVSRFFELEMSVRDCELDQYGVVNNAVYASYVQRARDELAAVLGVSACTIACTGNSMAVSEQNLKYLTPLKRGAKFVVKVAMQVKGARIYAEQFIETLPDHKLVLEATATIVCLNRECRPIRVFPDVSSKLLDYFSRQEGQVRNQD >OB02G33990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19473635:19477001:-1 gene:OB02G33990 transcript:OB02G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDAPGAGDGDELLDELLDSALDDFTSLDLSAAAPKSVEPSASSSSASGDARPVKGLGLGLPDPKAPRRRAAKQPTAPPPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKSKISKEEYERYNNQLGLMMKLNDVYENEPENMTKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLSPEMFESSPNCCVM >OB02G34000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19478828:19481284:-1 gene:OB02G34000 transcript:OB02G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LFI3] MDLSNSSPVITDPLAISQPLLGGLPSNLMQFSVMPGGYSSSGMNVSVSRLKIEEALVNGLLDAMKSSSPRRRLNVAFGQDNSADEDPAYSAWMAKCPSALTSFKQIVAGAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRASVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANYEHNAEKCKQASLFQPASEFLPMIDEVTESLLQVVSGIDGATVENNKFCVSVHYRNVAEEDWETVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLDDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETQAFYSLRDPSEVMEFLNFLVRWKKHLM >OB02G34010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19485516:19485827:-1 gene:OB02G34010 transcript:OB02G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMEVCFSQNIIKYFYVYAQKMEVCFRQNIIKSLWLPWTLWWEFLAHYLLFPCLCLGFSSPRRFGELISSCTILFLVSRRCIIVSGCSMHAHWLSCSMSCL >OB02G34020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19490393:19491479:-1 gene:OB02G34020 transcript:OB02G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSEILSLIAVPAISDRLRRAQEAFISAEVSGAADVEAFLEELKGVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFDQCRPAPGS >OB02G34030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19491730:19494161:-1 gene:OB02G34030 transcript:OB02G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAAMRGAPQWLRSLLSEEFFDACGVHAAERKSDKNHFCVDCVAALCRHCLPHDASHDILQIWKYASCFVVRVDDLKLFDCTGVQSHMVSEHEVVFLNERTARKRSTSTENPCVACARPLPFRHDYCSLFCKVKHLGESEQGLRRVLRGSGRKAAATGGEDSVLAEALLVRKRRASLPESGWSCCGSLRKSRKQAVPNRSPY >OB02G34040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19496377:19501415:1 gene:OB02G34040 transcript:OB02G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRGGQEGHLKNPAKVNKKLSLRRSGAMLHGYAILVWLSGYGGTGIWEFLKSPRRLGIWMRSNDVYCEISVRQAKVLPLLKLLVTISSAFFFTIQVRNTRTTQCTSYSARFNGRKRFSTSGYWYLERTVPNTKNCGPSTVSHK >OB02G34050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19503544:19506895:-1 gene:OB02G34050 transcript:OB02G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWVRSFHCKSTAAGDVAAAITALPKKPHHLLLPRSSCASSGDAHRNVSSSSAKAKPQSSSKQSSPAKKAKKHRLKAASVPPSPPPGPLGPVPALTELPAGHSSRQVVEIIFLSSWSPLPAAQHLPAALASAGVGGVIAGRVADGPAASDADADAEPVPSKEYDSVRAGKGELIVFDRRAVLPCFLIIYKL >OB02G34060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19518112:19518729:1 gene:OB02G34060 transcript:OB02G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT4G22550) TAIR;Acc:AT4G22550] MATASASQPAKAHVTQAPAKSALLGGVGDLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLISTTTSSKVSPLLAGLVAGLVLDILFVGVAKLIVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAGFLAAGGFPKEALFLWAAATSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLTSMCTRISFLVC >OB02G34070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19520590:19523612:1 gene:OB02G34070 transcript:OB02G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVYPSDLKIPFGLKRQNSGIMELTNKTDQHVAFKVKTTNPRKYSVRPTSGVVLPRGSSGITVSMQAPREIPADYHCKDKFLIQSVVVEEGTASKDIHSGMFSKEPGKVVEEFKLRVVYIPANPPSPVPEEEEEDIDSLDSDVDYELKMPSTSYSASRLGYTSGSQASHNNEAVSLTEAVLRKYLDENQKLQQALDLKKRRPSSVRGFSTLSVLLVFAFSVFAGYFMTQSNV >OB02G34080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19525929:19526285:1 gene:OB02G34080 transcript:OB02G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVALIGVASACGGRRPSCPTPTPTPTPSTPTPTPALIKAKVGVPPAEPCCPLLEGLGDLEAAGEAAVCLCTAIRGNILGINLNLPIDLSLILNYCGKAVPTGFKC >OB02G34090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19536205:19536722:1 gene:OB02G34090 transcript:OB02G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLLVALNLVLFFTVASACGYSCPTPATPSTPSSPSSSGTKCPKNALKLAACADVLGLVSAEVGQPPYEPCCSVLGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLLVNYCGKNVPSGFICA >OB02G34100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19543799:19546525:1 gene:OB02G34100 transcript:OB02G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain [Source:Projected from Arabidopsis thaliana (AT4G16510) TAIR;Acc:AT4G16510] MDAAAADLEARQLRILRRVADLEVAAQQHRLGALSISQEPSWGEAEETSATEARLSAILTARGVLDFTFRRVPADYYDRPLEERRDLLRADSVDQLCKSIVMVNTQAAEDVIDCSNPKNSKYYVVVVQYMARLNADSIKNFIYALNEKQIPKKRFNMRLAPEEESQKLTGFVHNAVTCIGMETDIPVIVDEAITKLDKDFFWLGGGEVDLKLGIRTSQFLKAFQPFVVRCS >OB02G34110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19548742:19549677:-1 gene:OB02G34110 transcript:OB02G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQSSPQTLNGQLKLNTITAILLVIIFLLTLCNTSCDARHDHLRVSGKYDSSKSLPSKGLTEHVGSKQIDQSMANEVTLLNVKMELEASSSSSSSSSGGVRNTGPAVRVSQQLRHRKHKDDQGIHLDYAQPKTRTPCHN >OB02G34120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19561852:19563669:1 gene:OB02G34120 transcript:OB02G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELPPIPGALDVGLVGGEGWDAASLGNAAAGQDQSFLNWIIGAAGDLEQPGPPLPVHHQPLINNAGAGFGIPAVDTLGFSLDHPLSGVASDLSSSGAHTGIGGGKVSPGFGLLSPEATSLEQPPPSMLFHEDIDTKPPLLGAPPPVLLNHYHLQPPNPAAAFFMPLPSFPEHNHQSPHLQPPLKRHHAMSDDLYLVGNQQPSAAGQGLAFSPLHAQVPFQLQPSPPPTRGAMKTTAAEAAQQQVLDELAAAAKAAEAGNSVGAREILARLNQQLSPLGKPFLRSASYLKEALLLALADSQHGSSGVTSPLDVALKLAAYKSFSDLSPVLQFSNFTATQALLDEIGGMAISCIHVIDFDLGVGGQWASFLQELAHRRGAGGVALPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPAELISSTDDEVVAVSLPVGCSARAPPLPAILHLVKQLSPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRLEDAVIGRRKVHKAISWRSVFAATGFKPIQPSNLAEAQADCLLKRVQVQGFHVEKRGAALTLYWQHGELVSISSWRC >OB02G34130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19570025:19572400:1 gene:OB02G34130 transcript:OB02G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVADLAGIGGNKELFWPAGKGLVVEPRSVLDCTRSPSPRNSTSTLSSSQGGGGGGAGSTGVAAVSESSXXXXXXXXXXXXXXGSGGCELPPIPGTLDVGLVGGEGWDAMLSNTAAAGQDQSFLNWIIGAAGDLEQPGPPLIDNAGFGIPAVDPLGFSLDHPLSGVASNLSSSGAHTATGGGGKASLGFGLFPPEATSLEQPPHPMLFHEGIDTKPSLLGGQPPGLLNQYHHQPPNPAATFFMPLPSFPEHNHQSPLLQPPPKRHHAMPDDLYLARNQLPAAAQGLPFSPLHASVPFQLQRSPQPPRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSASYFREALLLALADGHHGASSVTSPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTATSCIHVIDFDLGVGGQWASFLQELAHRRGAGGVTLPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPTELISSTGDEVVAVSLPVGCSARSPPLPAILRLVKQLNPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDSDSACKIERFLIQPRVHDTVLGRHKGHKAMAWRSVFAAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALMLYWQRGELVSISSWRC >OB02G34140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19573745:19573993:-1 gene:OB02G34140 transcript:OB02G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALEIIGASVLVRVLPIFVVNLNQHSSVANRIALHNFLIRGRTQSHDATGRYSTIQCELFKQYMFIKIWLEMSSSGVKQL >OB02G34150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19579236:19579427:-1 gene:OB02G34150 transcript:OB02G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGCFPAGTETHFMQAFVRQLLNHGAFRAKLLHLFVDPYMVLLFNIVWDIVKSSFQFLCNAE >OB02G34160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19584453:19605630:-1 gene:OB02G34160 transcript:OB02G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAREMPGDSPRLPWEPGDAEQFDAASLGEEAYVVDEEEVSDAEMSEGSPVAPLESPAPPCPPPLRRRLAPAVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGEVSHFIVDVLMITMGGVDGLDEGAGDGAVTVPSIMSSSRAAAIAAELLPYLPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLATLLDAAEKLFVGMGQSSKWDGAPLVQCIQVLGGHSVTVKDLHSWLLLIKKALGTCWATSLTIALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTDMAKAAVAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTTDSHGVEAYFHGHFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEHTSKQGLLGKGESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRWRQCPLFAEMGPIYIFTEPIGPERMSRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLYLLYHPSLLNGRFCPDASPSGSAGTHRRPAEVLGMVPLSYRVQPAESLWALAYGGPMALLPLTVSNVAMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHSGNKEEICRAHGPELLSQVLHYLLETLSKLESGEKKILSDEELVAAVVSVCQSQKNDHGLKVQLFSTLLLDLKMWSLCNYVLQKKLLSSLADMVFAESACMYDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSSLASDDVRSLVGFVVDCPQPNQSFISRGGVEALLVLLQREAKSGDNNISDSCNVPQNSVWNAGSDSKSTSNDLDLKTTSGEANCNGHKTQSLEHHEPPCHEGSSEPGVASKWCLLKNQFLKNLGGIDFPNLADNVQNNIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPTAKPNLPSSFLSTSNGEGNSMFEDRVSLLLFGLQKAFQAAPRRLMTRNVYRALISAVINFPSANDSLNLHDSGHRVKHIPLLLVLLRSLPFASWAFQARAIQDLLYLANSNSENRIALTSIAEWPEWILEVLLSNHEMGDNHGSVGPSLSEVEDNIYNYLVSMLEHSMLQKDGWKDVEATIHCAEWLSLVGGSSAGDQRIRREEALPSFKRRLLGSLLEFSAQELQVQTEGITAAAAGVAVEGMMSKETKIQSEKVTHLSVALAENAIVLLMLVEDHLRSRSQHYFSSCSIDSAASPASVASLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADTSGQISSEVMERVTAAAAAEPYGSVRHAFVSYGSCVSDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGNWIELPLVKKSVMMLQALLLDSRLGGCLGIAGGSGAGMGAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISIKNVISEGLGSQTGSMMTCDDNSCLPSRRPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSARKPLRKKYVGLIMPPFVAVLKRYRSVLVGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETITPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMGAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLGMADNYEEHMLLYDGAESNNGHREDGDSLFPNALRTDSSIIVADAMPVVGGHEDTEQTETETICSSVDDSSSDFSSVHNLVRSTVVAPCYSSGKNSERIIIELPSLLVCPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKQAYRAIVHTKPPYVNNIFLATQRPEKIFKRLQLTERWARWEYPVFPWVLADYHSKTLDLENPTTFRDLSKPIGALNPARLKKFKEHYSSFNDPIVPNFHYSSHYSSPGMVLYYLVRIEPFTALSIQRQGGSFGQDDRMFSCISRTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGTTHITGKLCCVQLPPWAENPIDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKEAMVANNVFPYATYEGMVDIDQIINPVQRRSIQDQICNFGQTPSQLLTVPHTKRRPLTDILKLQTIFRNPNEVRSYLLPNPENCNVPASAMLVSDDSIVVVDANVPAAHVALHHWQPNTPNDPGTPFLFHHGRNAINSSGGAIMRIFKGSAGSVDDCHFPRAIAFAASSIQNSLVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCLALSSDSNYLVTGSRDTTVILWRIRQTGSSRKKSAPEPPPSTPTTPNSPSASGNSSTSNPSKILQTCRRRRIEGPMHVLRGHLGEVTCCSISSHLGLIASSSSTSGVLLHNLRTGRLIRKLDKQEAHLLRLSSEGIILIWNESEKRLSTFTVNGILIGTSVLTPFSGRVSCIEISLDGQFALIGTCLSSSCNRAGLSAIGDDYEPDKSNGDEDLPESNGTKLSIHVPSICFIDLYKLEIIHTLKLGEGQDITAIALHKDNTTLLVSTADKQLIVFTDPALSSKIADQMLQEADGVLESFRKREEEGLLTGVIPRKEIVPSILEFIVKLTFIHLSWTGAGKRQCLEQEMEAWVEEAVNESSKAIDWLYSFSQTIIY >OB02G34170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19611569:19613918:-1 gene:OB02G34170 transcript:OB02G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKTKASSSSATKIHIDLDIAQVVADYLSRYKLHVTITDKSGSLDAMAFSFVAEDLVELDAAHASQNMKIDSFDHPTALNNAIGKRRLFTVGMNMNSSSKFPISYVLKRSFPIDNTMENPMLTCEEPSKKKGLLQLPAPTTYTSSSSTPVKDTTVNKDSTPTEDFAADISTKKNSIMATKRSIDFSEDSVDKTMSTNKPDPPVVKHQKEEYGLLLTALKIGRLKYKANSNFL >OB02G34180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19614542:19615173:1 gene:OB02G34180 transcript:OB02G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSGSHLWSWSQEANGVKAEQRRRRGRGDLRGNDGKGRVSREARSRRTATEEWRGHRSDELAGYQDGGTGRLTGWGKEGECCLDHSRKKTGEARGPTGRTQQNASMAASGDGVLCVTKQKRKTEREREQRPRSSDFFASRLGVKQKLNILCLGLKNGAKHFSFGPKKQLNAWS >OB02G34190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19615953:19618339:-1 gene:OB02G34190 transcript:OB02G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAHVGCTQRLWFPHTKEGTCLVKYPLSERGGIIPLYFASPFLSFRPSNLAPASRFRTFPPPPSPLPPCRASPSLTVARKEHEEGRGKEKKRRSSTMSNSASGMAVCDECKLKFQDLKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFSACLPADECRYAVFDFDFVTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >OB02G34200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19620180:19625080:-1 gene:OB02G34200 transcript:OB02G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVLPRSFVMPPNSEFGIREINPGPGLAGSGPGGAYELVERMEYLYVRVVKARGLKWAGDLEPFAELRLGGYSCTTRHVGKTASPEWDDVFAFARERIHAPFLDVFVRGRGFAKEDHVGSTRLDLGILPDAPASVQPDSSPAPQWYPAFDRRGELRGEVMMAVWFGTQKDSYFDSAVHADAAFPVDDKLAAHIKHIRYDVPRLCYVRVKVIEVRDIVFADKARVGEVFVRSRVLGQVHRTRTSMDHRWRDEENGHLFVAAAPFKDYLNMSVVGIKNGKEEVIGHVNVLLDNFERRCDTRPISPRWFYLMQPEGAAKADKYSAKISVVLCLECGYKVLSEPVHYLSDVRPAARERERERACIGLVELGIREASLTATRTRDGRGSCDAYCVAKYGVKWYRTRTVTDSLSPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVAGDPVKDVLLGKVRIRLSTLETGRTYAYAYPLISLHGGGVRKTGELRLAVRFSSTSALGLLQTKAHFFRLAEALEPLSAASTGVPPVCRWTNPVTTVAVHVIFTMLVCCPGLLLPTFFLYKFLLGMRNYLSRPKHPWHVDTRVSHAETALPDELDEEFDKFPTGRPPEVVRMRYDKLRSLNARIQEIVGDIATHAERARCVMTWRDPRATALYLLGCLCLTVITFSVPFQAVALLTGLYLMRHPVLRQRLPDVVANFFRRLPCKMKPSPLPQQCLALTIFHSKKTTPLAHAAAAAQLHALLLTSGHLLRFQGLHPLFMVYCICGRPSSAHKLLAQMPQPTPVSFSNSLLRSYTALGCHREALAVYSAMRAFDHLTFPFTAKACAGLRLGRNGRAVHCRALAAGFGGDTYVQNALISMYMICGDVDAAEAVFGASRNRTAVSWNAVIAGCVKNGYAERALEVFGDMVADGVEIDRATIVSVLPACAQAKDLNTGRSVHRLVEVEGLGDYVAVKNVLIDMYGKCRSLEDARRVFDHCKHDKNVITWTAMIGAYMLNDHAFEAISLGCQMLMSGVVWPNGVTMVYLLSACANIPSGKHATCTHALCIRLGLGSDIAVETAVIDTYARCGKMKLMGLTFERGSRRAETWNAALSGYTINGQEKKAIELFKQMVAESVPPDSATMASILPAYAESADLKQGKNIHCILLTLGFLRSADIATGLINVYAKAGELDAASALFHRLPEKDVVAWTTVIAGYGMHGHAQTAILLYGRMVESGVKPNTVTVATLLYACSHAGMIDEGLRLFEDMREVHGLMPSSEHYACLVDMLGRAGRIEEAYCLIQNMPFEASASVWGALLGACVLHKNVEFGEVAAKSLFQIDPENTGNYVLLGNIYAAVNRWRDVQDVRRMMVERGLIKEPGSSLVEATRQDLNNAEQQCYRI >OB02G34210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19629003:19631852:1 gene:OB02G34210 transcript:OB02G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LFK4] MPSRPAPFISRLRLLRTAAPLSPPPPSPPPRDSHRRXLASPSDVLRPPSVSAAVSPLVRPVAAGFALFSMAASSSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKEGRVVERYAPTTSPLSIEKDIKKLVGSS >OB02G34220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19633932:19646639:1 gene:OB02G34220 transcript:OB02G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:J3LFK5] MEALRVWRASSNLLSFTASRAAKAAPAVRPLSLHVRCCSPAAATTKPPPPPPQDRRRRSASSSTSTSDRESIRAIRLKKVEELRGKGYEPYAYKWNRTHTTKELQDGYTHLENGEVCADVSVSIAGRIVARRAFGKLVFMTIRDDSGTIQLYCEKDSLTEEQFEQLKSFIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLFLRIATELHLKRMLVGGLEKVYELGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDVESAKNAARGFLGIKTESSDNISLQACSSVGHILNEVFETVVESSLVQPTFVLDYPVEISPLAKAHRRCAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMNKEVKSNEGKDDDDDFSYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >OB02G34230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19649226:19671506:1 gene:OB02G34230 transcript:OB02G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGSSATTAAALHKSVAHLRLLLAVSAWSGPGPLLPAAAAAAALAAVSTRRGGPGPSARAVAASAMPSSSPSTSPPSAKGTTAAAAPAGFHLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDILDCTGSGDVDTSKVVKADDDGSIVGASGTRLIINPSWKNPSQEWHVGYKLVYELFTDSLTSRLKKERKKKWDEENQEAISEALKQLNEFEKKHRKSDDAKLKMAHEDLQNRLDYLRKQAEGYDDRGPVIDVVAWHDGDVWRVAVDTQGLESNQNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEATLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPAADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYIVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPPVSYRISINQVGKSIPKLRGIYLRGSNTCQQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVVKIPEYIMVTNNGRSFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALLGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDAVQICPLKRPIKWEAVVTFSSPSLKNFSFPVDGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISIDQRVIGLDGSEAPVRIVARSLLASERLVPVATLNKVKTPYRPVECNLCSLPTSRDRLPSGKQIIALTLTYKFKLEDGAEIKPHVPLLNNRIYDNKFESQFYRISDSNKCVYSSGDVYPDYVKLSKGEYTLQLYIRHDNVQLLEKMKQLVIFIERKLEKKDCIQLSFYSEPDGPVVGNGTFKSSVLVPGEPEAFYVGPPSGEKLPKNVLPGSLLAGSITYGAVSSFNKKDDQTQHAPASYCISYLIPPSKVDNDKEKGVSAGRKSISERLDEEVRDTKIKFLSGFNQETEDDKSSWMELVASLKAEYPKYTPLLAKILECIVQKATDDKISHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDDEAQKNKKNMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDDFEETYKELIKWVDAKSTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQAKKKLYDLKIQLVEEIGWAHVSAYEKQWMHVRFPPSLPPF >OB02G34240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19673820:19675815:-1 gene:OB02G34240 transcript:OB02G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDDEPHLVEDEYDDLDEFIVDNDDDTPLGEENQDEFEEEEHEEEEEHEEEEEEEPPFGQVEILTLREQLKANIRRKNQAQQGAAAGRASCSSSVQAPVKDRFGTFFGPSRPSLSRRVMEEGRSSIIKENPNLPSKKTNVSLASKAKTIAGGKQQRPKLVSELQEKKKVDALRQNRDYSCLFSDDADAAQPTKEQSDNMLALPKKCEVLKRSGLKGAPPIQSRVGLAGKETHPNTKRMISSAKNGSNLPAMKKIQKVQPSSNGQKVQQTPQSKRPQATSSQSHGQQSMQCRKPEQSLNGQISRQKVSAQSLERSRLLAHKQLAPSSKSKPPRPISSSALRNDHGKTRRILKRKSMEGCDEQEVDYSSIIRGLFKYGCFLSGLLYVPLIFSLVINGIWFSCAATILRNSLVEMKMTETWKPVMPAYKWKREEGTYFPPFHLHLLFCQNVKCNHNRPQFL >OB02G34250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19677283:19680733:-1 gene:OB02G34250 transcript:OB02G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAATDAAAAPPPPPEPTPPPEPTVTAGAEQRVAHTAPPPDVPAPQPPPARKRKLEEAGFHNSAYYKIRAAIADLRVRFVQVYEATDFRKSDAAREILKEIKGVMELSKKMRHDLGATFEPAKLPEKPLAGVVKDGQAEPPPSGENNHAPQTGQTTVCSNIVNDVAPTNPNSEGAAKIGEAQNSELADRPKDLDEIAQGSCVTGGSPIGWNFLVWPGGKVVYYGRTKEVFLASQAEN >OB02G34260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19683079:19684043:1 gene:OB02G34260 transcript:OB02G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDGILELLLVSAEGLKHAHHLGRGKKVWWNEKFRFPLSSGECKELTKVTLKIMERDKFSEDSPVGETMVHVGDIISEGIEREFLQMKPAPYNIVLEDGRYKGELKLGLKFLPNVSPECLEQCAVPPPSVAYSPFLNITLPAIPWRRLFFFCTRSDGGGSRRKS >OB02G34270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19685922:19689902:1 gene:OB02G34270 transcript:OB02G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G11440) TAIR;Acc:AT4G11440] MSSTRGGPKAGKPTIDCHRLPLDGRPFDPDAFLTKDRNANNQSKPSTQAGSKSTDRILTTPQLVSALTGIWNLVGQPESSCTAQRSESHGILHKDEPVFFSGEQKEQTVTSCCAENSTGLISQNFLSTPKSIFEDLSLVKKTLMLTSCSSMTGGSSVWRHMHVGSAYYIHQNIYPMRTRMMHTYAVSGSSELKENQCFRRDDNHSNQTRNMPTESCTSSSEVAHSYESSLHGTKSNVEKIPEYCSSSSCSSQQIVAGEEPRIIPADQISSNTCTLIENSCTSCLVDDAVVVNSDREDQNADGLMSQKHSVDNYSPQLEPNAQHQSYGAVTLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSGRSSFYHTLRHTLVERGVLGLYGGLGSKIACSAPISAIYTLTYEIVKGALLPILPKEYYSVAHCAAGGCSSIATSFVFTPSECIKQQMQVGSKYQNCWDALLGSLRRGGITSLYAGWGAVLCRNIPHSIVKFYTYENLKQFMLKSAPPNANLDSGQTLLCGGFAGSTAALFTTPFDVVKTRVQLQALSPVSKYKGVLHALKEISQREGLQGLYRGLAPRLAMYVSQGAIFFTSYEFLKTIMFSEQELPGSNF >OB02G34280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19689908:19690177:-1 gene:OB02G34280 transcript:OB02G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDQRAAATRAAASCWGRLGLSLTALWRRLRWIGLPRRRLRTYVLSASGLNYDPLGYSQNFDDGGLGDGECEPNFSVRFARHAGATSA >OB02G34290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19692989:19695358:1 gene:OB02G34290 transcript:OB02G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGALICSLRVVVVVVALLPSLLATVAVAHNDTGVHKNYLIIVRKPYEYDQNVYKTVSSWHASLLASVCDTAKEELAADPGAETRLIYSYRNVVNGFCARVTKEEVFEMARKDWFVKAIPEKTYRLMTTHTPEMIGLTGPAFHGGVWNTSNMGEGMIIGVLDDGIAAGHPSFDAGGMGPPPAKWKGRCDFNSSVCNNKLIGARSFYESARWKWRGIDDPVLPVNETAHGTHTSSTAAGNFVPGANAMGNGIGTAAGMAPRAHLAFYQVCFEDKGCDRDDILAAIDDAVDEGVDVLSISLGDDEAGEFSADPVALGGYTAIMKGVFVSTSAGNNGPSASTVSNEAPWLLTVAASTTDRRFVATVKLGNGIEIDGEALYQPPNFPSTQWALVRDTIGDGTCSDERLLKKEHVAGKLVVCEQGGNLTSLEKGSFLHQAGAAGMVLIGPKFMGSVVQPKPHILPVAQIAYPSGEKLKNYMKSTMSPTAALIYKGTVFGTPMTPAVAPFSSRGPSKQNQGILKPDITGPGVNIIAAVHGPEGLATPPNPLAAMFDIMSGTSMSAPHLSGIAALIRKAHPMWSPAAIKSAMMTSTDTMDRRQKPITDQDGNEATMFALGAGVINPTKAMNPGLVYDLSAADYVPFMCALGYSDHEVSSIIHPAPSVSCKQLPAVDMKDLNYPSITVFLDREPYAVSVSRAVTNVGSGKAVYVASVEMPETVSVTVTPGTLKFKKVNQVKKFKVTIRATNGRLKESVAEGQLKWVSPDNVVRSPILVSSQKFFEDDTTSDHARR >OB02G34300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19702581:19708385:1 gene:OB02G34300 transcript:OB02G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVQAAHRRCDTLGSGSQYSFRTSVSSVAEIPSEVEEVSPPPPPPAEEDKVFVAVAADVKHGKSTLQWALQNLAKDGAKVVIAHVHSPAQMIPMMGAKVHYTKMNPKQVSDYRNNEREKAEEKLDEYLLICRKLKVSCEKLIIEEEDIAKGLEDLIALNGITKLVMAAAADKHYSRKMNTPKSKTALKILEVADPSCKIWFTCKGHLICTREANTTVPAIPPSPAHTIASTLSSSSITSRMRSMTMNPSESEASSSSGSPRHDLNRSRTEVARYPSQGCGTTPSQLFEHSNQNINDGPTRTAIGSIDSWDEFGRPQNSWYNSSRNSDAVRVPGSAMQQPMYEPEDDHFASPRELENSGDDADIYSRLQEALREAQGLKKETYEESTRRRNAERNLISALQKVKELESLYQKEIMHRKITEEALEKQTQETEEKKEALEKQIQETEETKRQYNAIHDKLHDVQEHKLMVEHRMTEMQAVLKEHEERLAESKHLLLVLQADKERLQQERDAAVSEAQDLRWKNKQRIPMPGEDLSTEFSAYELEQATRGFDKELKIGEGGFGSVYKGTLRNTTVAIKMLHPMSMQGQSEFDQEVAVLSRVRHPNLVTLIGACREAFGLVYEFLPNGSLEDCLACLNNTPPLTWQVRTKIIYEMCSALTFLHSNKPHPVVHGDLKPANILLDANFVSKLGDFGICRLLIKSNTTAAAAAATTTMYRTTTPKGTFAYMDPEFLTTGELTPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGELHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLTGDVWKVIEPLMKAASLTAGRPSFVARPGDAPPDAPPPSYFVCPIFQEEMNDPHIAADGFTYEAEAIRGWLDSGHDRSPMTNLRLDHRELIPNRALRSAILEWQQQQQRQRHPQQQED >OB02G34310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19704535:19707127:-1 gene:OB02G34310 transcript:OB02G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMQLCVQVMVVSQELLYLLPCSIDRCPLQVNHILHDGSATSNILSAVLDFGVFIFLHIGNNSRSETVKNLANLYVSHLLLEAYIECYLS >OB02G34320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19710485:19710772:-1 gene:OB02G34320 transcript:OB02G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHDLGPARRQVLQRPEEGAPAVLDVRFDGHEHLVLVGGQALLVPVVGGVQQRARRRADAAPSAPYAVVHSTVPIHCCFGFLPGKKLLLPDVER >OB02G34330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19710542:19716127:1 gene:OB02G34330 transcript:OB02G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGVHDGIWSARCSVSSSSGSLLDAADDWDEQSLAADEDKVFVAVEADVEHGRSTFLWALQNLAARGSKIVVAHVHSSAQEISKIHCTSMKPEEISEYLKLAREEAEKNLDEYALIAKSTGKDMEIACEKVIIVMDDIAKGLEELITLHGITRLVMGAAADQYYSEEMKEPNSKIALKLMETASPSCKIWFTCNAHLICTREPNENLLAIYVPPAQTNTKPLSVCSISSQMSSIELENEAPSSEEYTLRSLVQSTMSEWDYIFGDWGRIGYGSFRTDDPISISEATTLAVIVDGTNKQSSVMHSPQESDSVNFLLPVCDPEQEEEEQNLYDDMRGKLKEACTRAELLKEEVHSESSKRRKAEMDLLIALQRVKESEKLYLQEGNQRKETEKTLARQRLEIDEIKRRHNALYDELQDTKKQKLVLEQHISEIKSAATDYVQKITEYFIQESCEEAKKRQKIKMDLLAVLQRVKDVENLNRNEKMQRKDMEEKIARQRMEIEETKRQRDELYHELKDVKEQKFSLEQVDASEETRRRRKAESDMLSALQRVHGLEHQYLHELKKREALDETLARQREEIQETKRELNKINGIHMTEIKSIRKVHEEKLAESKRFIQEIQAKYDKLLHERDTAIAESEKLRQMNRNGASITATTQIPDFSFFELRQATQDFDTALKIGTGRFMSVYKGFLRNTAVTVTLLHHQGLQGQSEFHQEVAVLSRLRHPNLMTLIGACPEAFGMVYEFLPNGSLEDQLSCEKNTPPLTWKARTRIIGEICSALTFIHSHKPHPVVHGNLNPMNILLDANFVSKLHICQILRKYNTGNNTYGTSSYIDPEFLSTGELAPRCDVYSFGIIILRLLTGQPPENITTMVEDAMEKSQLHSIMDTSAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIKPLLKAAYQNHGCKHTFEALSDETHMPSYFICPILQEVMTDPHIAADGYTYEADAIREWLDGGNARSPMTNLRLEHRELTPNRVLRSAILEWRHQQQQHKR >OB02G34340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19711585:19715954:-1 gene:OB02G34340 transcript:OB02G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLLLLMSPLEDRRAEHSVGCEFAVFEPQVRHRRPGIPAVEPLSDGIGFVRVPVCCDVRISHHLLLIRQEVVVAHILQEDFHWVPCKCTQPMDLSFCWFLGEN >OB02G34350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19716194:19716412:1 gene:OB02G34350 transcript:OB02G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPRVPRAAFAYGRRAQRSAGKQTPVADSGGLASSHGPLPLRDRSPWDAGGPFISSRYTAQNTTVGSGPK >OB02G34360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19717260:19721141:1 gene:OB02G34360 transcript:OB02G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPPCTRAATNTLANHGEITRVTESPKVNSEVGPYPNPNYRFQTLPLFDHHMWFGFAKLLDCCITEMLEGDAFFGGKIETIESSGPKLTWMIVMIEIQQRNICKIILSSIMPCLGGKDERIHA >OB02G34370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19723573:19727460:1 gene:OB02G34370 transcript:OB02G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWDDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >OB02G34380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19728205:19736604:-1 gene:OB02G34380 transcript:OB02G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEIKKTAKENLIDTFHRLLNPNEQKGSTKSRGNRRRGKDPTAEKGCWSTAQSRSTSPSKEVSRCQSFAAARAHAQPLPLPRSRAIVARTASDVTESKVISEKRGKGQQLPLPTTNWAKEQPETTEPAAELSSASISSNGSIDSDDPGDLRLQGHVANDADKAAKVATASNSSVVHKERSSATTRKGTKEVTIPTNVFPSNQILSTYPRGTIVADSCQSNLQNSRQVVLESAPNSVMSSPSRSPKILCPDQIPSSAFWAVKPHTDITFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRACGMAPESPTSRVDEGKKKQTHRLPLPPLSICNNSTFLPNNSTPTSPISRSPGRAENPPSPGSRWKKGKLIGRGTFGHVYIGFNSDRGEMCAMKEVTLFSDDPKSKESAKQLGQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSDGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHSFVRNASLLEKSHSDPLEQLSTISCKSNLKMVGHARNMSSLGLEGQSIYQRRAAKFSLAHSDIHVRSYISCPVSPCESPHLRSRSPQDQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNPMRHPAYNNEGFTITSRGLDEHLPNRPPDPVLGHFIRVKQPSPRFQERAISEADILSPQFGRMGHRSLWDLHDRPLRSEHSSQQGFEDRVKLKPSLDLSSGPPHLGCNHGH >OB02G34390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19739643:19741241:-1 gene:OB02G34390 transcript:OB02G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAVAAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHDWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILDDASAGKAHVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELAGNGKCDTVATHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVQELCAVLAASRGAHDPALWLAAPFTFEELDSLVYLKAALSEALRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGSKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKNGLRMEVHPRDLVPVADELRGADVRATAPCA >OB02G34400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19749108:19749701:1 gene:OB02G34400 transcript:OB02G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSTARRPRPTTTKCVAAALLAMVIVVAIIVILWLTVRPARPLAISVDHAAVTGFNFTSRGALNGTFDITLRAFNRNKRAAVSYQSLEVGVWYDGAYLAGTVLPGFDQPPKGQMRIDVDTPAARAALPPGVEATMKKDSSDGNLPVEVHVRAKVRFRYGMVKTRRYTVRASCTPVVIVFASPSSFDRLNCYVRI >OB02G34410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19758869:19760485:1 gene:OB02G34410 transcript:OB02G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:permease, cytosine/purines, uracil, thiamine, allantoin family protein [Source:Projected from Arabidopsis thaliana (AT5G03555) TAIR;Acc:AT5G03555] MAMSMAMSRALAARHPSHLCHRIEAKRCQASPPRLPLLPRRPRLTVASRPRMLPASPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLVSLVLTAAPAVTHGLPFPVLARASFGVRGAHLPAIIRALVGCGWFGIESWIGGRAVFLLLPSRLKTYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMHGMEGIRKLEKYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSATEAIFGHVISDPIDLLGRIGGPVTTVLAIVGISLATITTNIAANVVAPANALVSMSPRTFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDRHGPYYFQGGFNVAAMEAMAAGVAPIVPGFLHKVGALPSVSKAFETAYNNAWFVSFFVAGAVYCLLSRQSRSESKYKYN >OB02G34420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19760059:19764290:-1 gene:OB02G34420 transcript:OB02G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVKKTRDANKKQMDLLLRLILAANVIYIVVRMAVMHSSFTWKHWIGLVVTSAAYFLPYKQLANMSEPVYSDKGELINAGYDLNAGGMSEYLQDVIYITLFVQLMSIYSDKFWWTYMVIPAYGGYKISGLLKGAFFGGSSEGEDEDEKTRKKREKMEKKASRGKIIKTRTR >OB02G34430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19764573:19765034:1 gene:OB02G34430 transcript:OB02G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQKLWALYVGVASLAIGMLGVLGVWLCYLFQAVARGTPPARAPPPPPPPCTPEKEEDSKNGLSEEELRSLGGICEARTGDGEEEPLCPICLDGMEAGRAPVRVLPGCNRAFHQDCVDRWLAISPRCPVCNIWVMPRSPGTSPPCAKPALDS >OB02G34440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19766141:19766950:-1 gene:OB02G34440 transcript:OB02G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G76065) TAIR;Acc:AT1G76065] MANFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIENNRHCDDKQKIRFLISEGLQRLKDLDEMLDMTGNS >OB02G34450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19770982:19771410:-1 gene:OB02G34450 transcript:OB02G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREAEAGGRHNQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPAQARSRGCSRSGGAACGGRRRRRGGCRRTGSGSPACRAFPKRFTKCYTSRWRR >OB02G34460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19774286:19774540:-1 gene:OB02G34460 transcript:OB02G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIEPPPCLLNTSWLYWILRVLLIRNNFADWDSSLQQRWRRHVILASSSRHDLPSLILDLLFFQIFKLCGVGPNRSSTCYLQKRVR >OB02G34470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19777811:19778709:-1 gene:OB02G34470 transcript:OB02G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAMPVPRSDGRKLARCPRLQMDTKTVTAIEQSTGAAVADADAHVAAAGDGTGGGMRVKIVLSKQQLKQVAAAVAGGGAFALPPALEQLVSVLKRQHAKKQAAAAEVAIGRRRCRWSPALHSIPEECFS >OB02G34480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19783705:19787029:1 gene:OB02G34480 transcript:OB02G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVGLGHLFVAAFLFHFASYMVLPAITDVTMDAVCPGHDECSVAIYLSGFQSAITGLGALVVTPIVGNLSDKYGRKALLTLPVTVSILPLFILACNRSKVYFYVYYVVKVVAGIFCEGSMHCLLLAYVADQVGARRRAVAFGLLSGVSAAGFVSGTLTARFLPTSSTFQVAAAVAAATAIYLRAFVPDSGANSFVDEACDPFLQDSSCSAATPSSSSSSSSSSDEELSPRLPPRKGGLPSLSDMVALLTGSLTLSGAAIVTFFYSLGEYGLQTALLYYLKARFGYSKDEFANLMLIAGAAGMLSQLTVMPVLARFVGEDILLIIGLLGGCAHVFLYGIAWSYWVPYLSAAFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILGPLIFTPLTAWVLSETAPFNFKGFSIMCAGFCTLIAFVISMRMRAGQSGARDKVAVEPHEQA >OB02G34490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19787001:19787306:-1 gene:OB02G34490 transcript:OB02G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLVRSKFYRRVLCRLDVREARLGKPVHGVAADCEYHDGR >OB02G34500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19788669:19788866:-1 gene:OB02G34500 transcript:OB02G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYITIISITTYLFLHKIMIIDIAKAAMVIVLDAMIVDITKISAIIATNHMVIVHYYTVLLSKPW >OB02G34510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19793021:19794759:-1 gene:OB02G34510 transcript:OB02G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPKRANYYVQSPSRESPDGGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQGSGAGSVGDDDDEEGGPAGAASQWRCYALGAFAFVSVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTSTPFHLFYDDLTIASGHVTCKTQAV >OB02G34520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19794163:19795096:1 gene:OB02G34520 transcript:OB02G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQGEGTDLDDDMGLFALFGDRGGDDGARLVTSESVFACRAISGAARRDGRDSGGGVEPGDGEAQSATGLEPLPWYYHYTRGFQVGREGFSFTNFGAVRRDCVGRVLGDWWLATGETDEKKGYGPGYSFELVPWTGGAERPTALKACSEPWPQ >OB02G34530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19799985:19803767:1 gene:OB02G34530 transcript:OB02G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVATSRSRSTARMVVGPGMRARTPTRRRARRRQRVGRGPVGPTRRGVVPFAITAAGARCGWGGGRGGPTRLIGNFLRKQRASGAELSLDLDMEELGRASQLHAQPSFSNSLEREARVSFREPNRRVASSSDSDSDTGGSRRHGGDDGEVIRCTSSSTAAGSLLRAKTRSRLMDPPPQSQPAPAAPPVVDEERKSSVLRTPTKSGQFLSGLMAGKSGQIGKSGQIAKSGQIAKSGPLEEEDDDPFIDEDIPDDFKRGKLDALTILQWVSLVLIVAALACSLSIKVLSGKKVWGLHLWKWELLVFVLICGRLVSGWVIRIAVFCVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFMFDKNVQRETNTAVLPYVQKILFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENQLLAEMHELQRAGATIPVELRSAMSTKNLSGQRSIRMSGVIPKGDGSKQLSKEKGDRQIDEGITIDKLHRLNQKNISAWNMKRLMRIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAKIAAKKIFHNVAKPGSKYIYLSDLLRFMRQEEAIKAMNLFEGAQEHSRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMVNVVVGIIVFALWLLILGIATTHFFVFLSSQVLVAVFVFGNTLKTIFEAIVFLFVMHPYDVGDRCEIEGVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNYYRSPDMGEGIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNWQDMGMRFVRRELVLQEMIKVLKDLDIEYRMLPLDVNVRNAPAIQSTRMPSTWNYC >OB02G34540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19805377:19805952:-1 gene:OB02G34540 transcript:OB02G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAGDALFPLAYTHVISHTPSPDPVPHAVLLRVLGELARAVGSTGMAAGQFLDLAGATALGEAEVMHVLMKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDIRSASGNGKMRSNASVLRALGMDRALGIVEELKAQAKTEADRFGDKYGDRVLPLYSFVDYAVERGFELQDAVATP >OB02G34550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19811946:19812130:-1 gene:OB02G34550 transcript:OB02G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATLKTHLCAWGNDAASEVIPRRVNCWLKTISNPPLWPFWAAENFWKGSLTRVKPTIITLKL >OB02G34560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19813249:19814778:1 gene:OB02G34560 transcript:OB02G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3LFN9] MASVGSGSELTKCVECSERGRKVPGVMGREGKVPDSVFQEEKSVEITREETAVGSNGHANCDPSSTGDCTIDLPIPCDESEAQITEMSSSGAENCDVEPSGATFCAEAVPASITKTVCSNLNSDANTNSDSVHAAPSSMPENKDTFEAVVTENEQERAMDVERSNGEEMTLKGSTFSYTDEVKEKFNRILKYYVGTHNFHNFTTRTKAEDPSAKRFIISFAADRVVSLDGIDFIRCEVVGQSFMLHQIRKMVGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFSSYNKKWKDSHEAVSMEPYSEEAEEFKIKYIFSHIAAMELKEGAVALWLHSLNSRNYPDFRYMDNAGTEVSVGVAVESVDEGTVPSDNITE >OB02G34570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19815920:19816735:-1 gene:OB02G34570 transcript:OB02G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTHEMDEAELLLLDRSRAITVRGHDKRGRAVVRIVGKYFPARALGGRAEAALMGYVRRRVLPEIGEREFVVVYAHSLVDRGENFPGVAAIRAAYGSLPAEAKERLRAVYFVHPGLQARLFFATLGRFLFSSGLYEKLKYMSRLEYLWEHVSKGELEVPECARRHDEELERRPLMDYGIEAADRRCMFDAASMDTSASLHSLRCIS >OB02G34580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19819891:19820079:-1 gene:OB02G34580 transcript:OB02G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANFPICSKTGYMFSANSRLGFGRIGCKVTLYHQDNMPIYNSYFLPTCLAVSVPQQHIYLIL >OB02G34590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19828388:19828648:1 gene:OB02G34590 transcript:OB02G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAFFFSLPYHFLTLYMHRSASPFLLSCFNIFRCKCMSASCGQKFHALHDFSRRTQRAPVHSTASCLCVKFCLDGSFHMSIVYIW >OB02G34600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19829283:19829450:1 gene:OB02G34600 transcript:OB02G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNDHDYLSWSHICHIIPPHSKHGNDEWKNINTAIMIVCRIGFSFFQKTDCGL >OB02G34610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19837996:19839428:-1 gene:OB02G34610 transcript:OB02G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTASHVMVALCLLGLAARHAAEATQLVPAVFVFGDSTVDVGNNNYLNSTKPARANYPKYGVDFSGSTPTGRFSNGYNLADQLAQLLGFPKSPPAYLSLTAKTIVPQMYQGINFASGGSGLSDKTGLLGAGEVIPMSLQVQYFSQVVELMQTLSGSRRTASLLSESIFFISTGSNDIFEYFLSGGNGDDGEFLLGFTAAFRSYVRALYRLGARKFSIVSITPLGCTPSQRARRLSQGGTRGGCFGPINTLSLRSYPMLAASLRDLADELPGMAYSLSDSFTMVSFIIANPRTNAWSFTELESGCCGSGPFGALGCDETAPLCDNRDGHLFWDANHPTQAASAIAAQTLFAGNRTFVSPINVRELAQL >OB02G34620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19840148:19841342:-1 gene:OB02G34620 transcript:OB02G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRRSPPPYLAVANKTSNPLFRGLQGVNFASAGSGILDSTGQSIIPMSKQVEQFAIVQRNISSRISKQAADSVLSRSLFLISTGGNDIFAFFSRNSTPSAAEMQQFVSNLVSLYTNHVKDLYVLGARKFAVIDVPPIGCCPYPRSMHPLGACIDVLNELARGLNKGVKDAMHGLSSSSEAYLLIDAKVQSFTTQNWCAGFKEVTTACCGSGKFNGKSGCTPNATLCNNRHDYLFWDLLHPTHATSKLAAAAIYNGTLRFAAPVNFRQLVDDHY >OB02G34630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19843426:19843927:-1 gene:OB02G34630 transcript:OB02G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTLPVIALVAMAVCATVAEAAAAKVPAMYVFGDSTADVGNNNYLPGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLGQLRYCASFVNVLELGASLLVLALHFCDPMTEG >OB02G34640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19846485:19847878:-1 gene:OB02G34640 transcript:OB02G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQSHQGGEAAEQVELKDRGLFDSLLGKKKEDQPEEKKHEEELVTGMEKVSVEEPKKEEHHAEGEKKESLLSKLHRSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDKDGEHAPTPVATGFPAPAPPASVVTAAPSPAPAPVVTHGAGHHDTAVPVEKIEGDHAKTEVPLPPEEEKKGFLDKIKEKLPGGSKKPEDATAAPPAAAPAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGCPGASTPTTGYRGGEQPGWEGEEGHTGQDHGEVAWLPQGLRRG >OB02G34650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19860267:19861751:-1 gene:OB02G34650 transcript:OB02G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSETLVFTRARNTFSPMLSTSAMHRPRLSRRSNAAFRSLSSGSTVTSGWGCAAAEEEEVVEEEASASACCLLADRRFARDIQRIRSATHHMRSGNGLVVMMWCLGVADGVVNLAGEGIQQGVAATSTRAVAAAMERDDTPEKTAAEAEATAACTCVGFGALNFLAFRDGRSRDGAWR >OB02G34660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19860389:19861770:1 gene:OB02G34660 transcript:OB02G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRKHDLTCVTVESNRTGPETHTISGVFSPHLAVAVYATHVTHSPCAPTASAPRLPRAFHPRIPLLLSHLKRAPPGLPFAVLTQGYLLAALDRSIIPSKSDGAAAAARWWSMVVGFRRTISFPAPKAAAAAAASGEAYRVRAARLPCRFPVASASAAVFSGVSSLSIAAATARVEVAATPCWMPSPARFTTPSATPRHHIITTKPLPLRIWWVADLMRWMSRAKRRSASKQHADADASSSTTSSSSAAAQPQPDVTVDPEERERKAAFERLDNLGRCIADVESIGEKVFRALVNTRVSLLNILSPSF >OB02G34670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19866891:19868441:-1 gene:OB02G34670 transcript:OB02G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFDEDAKKAYADFEEMVKRTIYIDHLSPQVTSSVIEAALSQCANVVNVEFIINFTIPYDIPSAALVELDDEIQAKAVVDLMNDFPFIIGGKPRPVRAIYAKHEMFQDRPPHPGLKKEFRWVKQEDGIEYEGMKKLRLLARRQETENMALIKNLMEEEKELGKQQQELLDGI >OB02G34680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19890931:19895204:1 gene:OB02G34680 transcript:OB02G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQTPAQGNVAASVPTPRRATDGADVAGEIKAQFVRAADAVRSLAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSEYRGGDLLDVGVGEKVVGGRSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEASKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRVRDEELWPQVNNLIQGFVKMWQDKLNCYHIQFQAISEAKNLDSIISGGTNRDLAMELELELIKWIVNFSSWANEQRSFIKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSSVRSLSEQQNVEQNEQFIAMREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVCLRRVLEALESYSSNSLRALEDTMRHAEEERLSRERGKVS >OB02G34690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19895350:19899678:-1 gene:OB02G34690 transcript:OB02G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALLLPLMAEYAAPTWAILISGFFMLLSVSLSTYLIFQHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDASVYCGILRDAYEAFAMYCFGRYITACLGGEDRTIAFLKREGGEDAGEPLLHGASEKGLIHHHFPVNYILKPWRMGVKFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIAAVVHLYVFPAKPYALLGNHHSPGNIAVLGDYAASDPVDPDEIKDIGRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKRSKFGQSRDDSWVSASTPQRAIHGIDDPLLCGSASDSGIGRGKRHRRDVSSGGIVDGWEGSDQTSDGYVIRGRRWEIKKS >OB02G34700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19903957:19906718:-1 gene:OB02G34700 transcript:OB02G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEVEAVKVMARHALPQAAMARPVMAAIAQPNARASMSPGRPPTGKPPSPATATSTRGSGSGRPVPGGGGRAVAGTEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGMAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQPLSWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTQFQPKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEILTGLRALDLGRPRGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRGRTGLARPLATRLQE >OB02G34710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19907776:19909173:1 gene:OB02G34710 transcript:OB02G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASKKGEGRLKAAGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAAHPDNKSVAAVSKAAGGKWRAMSEEEKAPYVDKAGQKKQDYEKTKANFDKKESTSSKKAKTQNDEGEGSDKSKSEVDDEQDGASDEENEEDE >OB02G34720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19940517:19941782:1 gene:OB02G34720 transcript:OB02G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNEASSSSSRLDPAPLLPPHGGDGAGKLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGGPGRHAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLAKPPPVFAFGGVSAILYGIGVSVYAFEGIGMVLPLEAEAANKKKFGITLGLSMGFIAVMYGLFGAMGYIAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVLVVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMAWPGVLGDLLLVVLGLALAVFGTYTSLLQIFHSSSA >OB02G34730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19944378:19945523:-1 gene:OB02G34730 transcript:OB02G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGRVLFGRAQSGDNPGVPERVGQRHDSIDLPVLHAVPTQEPVLPGVHPRPLLVHEVDAVPPQLRRAVALQREPVPVPVEEQHAVPDAVDFGPHRCGCGLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGGAPAGAAPTGPPPPPPSSRPRHAARPSPAALPSQRRF >OB02G34740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19945236:19945502:1 gene:OB02G34740 transcript:OB02G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKVDSVGDRVLFFDRHGHGFSLEGNGAAELRRDCVYFMHEKRTWVDAGEHRFLCRYSMEDREVDRVVSLADTFGDTWVVPGLCPSE >OB02G34750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19948581:19949578:-1 gene:OB02G34750 transcript:OB02G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDGDSPRRAISSLLRRHASFLELRFSRLNTDCTSPWWNADRSPPWWSPSRLCRPQGSRIPLCPWRTRKGIHEQGAPSDARELLPGRVNAAVIHRPWRSRCRRRRGTSFGLDEKEELVAGHRDARLGGELDGGRRDDGGDGEGDGVHDGGAADVLLKDEELADGVVDAAGVAVGHGGDRGPGGGGRVRGAEEEALAAGRHDAVRRPVPGKVVRLGEEGGERRECANGAGEGVYEGRREVGGVDGERPPMRGRVEATAPVVGLXXXXXXXXXXXXXXXGGGAAAAAPAAERQEEHTDRKRAMSPSSTRPPAISARSSDGRSDQPVAAMG >OB02G34760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19948965:19949600:1 gene:OB02G34760 transcript:OB02G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEGLLFCASDPPAAAWAPIPAMADCNASSINYSVGEFFVFEEDVCRTTIVDAVTLAIAAVIPAPAVELPAEARIAVAGDELFLLVKSKWMYLFGDDIDFSKAFRVDHRSVDPAWQELTGIGGRALFVDSLHGFAMDTAGFENLEGDTIYSVTTKEVNDRRSTTVKYSVSVFSLENRSSKKLACRLNKLEMALRGESPSWTIPSLNEG >OB02G34770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19951914:19954764:-1 gene:OB02G34770 transcript:OB02G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHAGAVQLQYFMPQQGAAADSATACSASTSPAAATTMWEYHQLAHPALQPSSSFPYSYWSPYSGSTTTATALLAGSAFAADSSSTDVMRLHTGEHAHGHGWSHGELSNSTTGYRENFLDLLASKNVTPEMFEEVPITEHYNVATARAFDARSDDVSPIKYEISGSQLFLGGATTNTAGLQVQEMSMMSGMPACYDDAEHHHHQLTKEGSSNQQQAELAINPMASFLQQISSGSASIGVHSSLDYSALGEPDKICQDSREMEASPFSMRSLPDLGSFAGYTSTIESTSVQPYMRCANSSDSNRQEQETVPARSSSSGSAAATDRKKRKSEERQESTGKKSKQEASKASPPKQPIPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSSRSKGNSVVVPWGDQAEASKGETGHDLKNRGLCLVPVSWTPEVYRDGTSMDYWTPAYRGCLYR >OB02G34780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19957031:19958350:-1 gene:OB02G34780 transcript:OB02G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPQYPMASFSNDKSPDMVMCLPPRKMNIIRIRDLLRSNQKYLKVSVPRATKSFLTIGSS >OB02G34790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19958618:19958827:-1 gene:OB02G34790 transcript:OB02G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRVRKQWIAFLKPCLQPTTTKATTVAHQPYGEALSKALQMQSLLHCSPDKRTECNKTSGALSTSVPI >OB02G34800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19978913:19985648:1 gene:OB02G34800 transcript:OB02G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHEDQIKPLFGQHQQQHHHQQPPMVPSNATVAVSAAGSAAGQAAPAAPPVKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPAQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAGHLYGSTSAANMAPSLSQVGSHLASTLQDARAGGHGHHASPDGLLRFGGSGGGGGMVSRLDHLLSSSSAASAFRPLPPPPPQSSAPFLLGAGQGFGGDGDGNGPHHAFLQGKPFHGLMQLPDLQGNGAGGPSPSNPGLYNLGYIANSANSSGTSSHGHASQGQMTNTDQFSEGGGGGGGGSETSATALFSAGGNFSGGDHHQVAPAGMYANDQAMMLLPQMSATALLQKAAQMGSSTSANGAGASVFGAFAGSSPQSIPHGRSPAMVDQGQMHLQSLMNSLAGGGSADHHGMFGSGSMIDPRLYDLEQHEVKFSQRGGGGGDGDVTRDFLGVGGGSFMRGMSMARGEHHSGGGGDMGALEAEMKSASSSFNGGRMQ >OB02G34810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:19991288:19997811:-1 gene:OB02G34810 transcript:OB02G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRRTEPRDVGESSGTQQTTGGPGRGATQRPERAQQHGGGGWQPANPQYSQHAGRGGGQHQGRGGRYQGRGGPTPQQPGGNPVEYQAHDYYGRGGQRQGGMPQHRSGSGGRGFPASPSRTVPELHQASQVQYQAAVVTPSPARTGPSSLPDEVSTDEVQQQFQELAIQGQSSTSQAIQPAPPSSKSVRFPLRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESPGVGQGTQRRERQFRVVIKFAARADLHHLAMFLSGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGDGLESWRGFYQSVRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDSHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQDRELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNHWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSVDPVLPPVTARPEHVERALKARYQDAMNILKAQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPPPGARGAKAAGNVAVRPLPDLKENVKRVMFYC >OB02G34820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20005977:20018147:-1 gene:OB02G34820 transcript:OB02G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWRGPRDLASRKRSDRLKVGPGGAGQGAAPALPMMRKRRTEPPDAGESSGTQQTTGAPGRGPAQRPERAQQHGGGGWQPANPQFSQHTGRGSGQHLGRGGRYQGRGGPTRQQPGGNPVEYQAHEYYGHGGQRHGGMPQNRSGSGGRGVPASPSRTVPELHQASQVQFQAAVVTPSPARTGPSSLPDEVSTEDVQLQFQELAIQGQSSTSQAIQPAPPSSKSVRFPLRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESPGVGQGTQRRERQFRVVIKFAARADLHHLTMFLSGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSVRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISARPISDADRVKIKKALRGVKVEVTHRGNMRRKYRISSLTSQATRELSFPIDSHGTVKTVVQYFLETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWMCINFSRHAQDNAARSFCRELAIMCQISGMDFSVDPVLPPVTARPEHVERALKARYQDAMNILRAQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACATLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPPPGARGAKAAGNVAVRPLPDLKENVKRVMFYC >OB02G34830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20018799:20019026:1 gene:OB02G34830 transcript:OB02G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIPVVQLEGHMCMQDAGLDAVVRAAASVVANGESIKKKAFAFGFRGDDDERIRKDVGRRKLKETKAREREAFL >OB02G34840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20027511:20035774:-1 gene:OB02G34840 transcript:OB02G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLAKVGDDVRLLRDRLEWLHTFHPRCRPKAPPLRPRVSRRLALPIMRKRRTDPRDAGESSGTQQTTGGPGRGATQRPERAQQHGGGGWQAANPQYSQHAGRGGGQHQGRGGRYQGRGGPTPQQPGGNPVEYQAHDYYGRGGQRHGGMPQHRSGSGGRGVPASPSRTVPELHQASQVQFQAAVVTPSPARTGPSSLPDEVSTEDVQLQFQELAIQGQSSTSQAIQPAPPSSKSVRFPLRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESPGVGQGTQRRERQFRVVIKFAARADLHHLAMFLSGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSVRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISARPISDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDTHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQSYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARILPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNHWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSIDPVLPPVTARPEHVERALKACYQDAMNILKTQGGELDLLIVILPENNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPPPGARGGKAAGNVAVRPLPDLKENVKRVMFYC >OB02G34850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20027947:20028201:1 gene:OB02G34850 transcript:OB02G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRVAQVVCQSLQTISSKFVLIPKNMIMCRATSDLNAGMATQVEIKFSRMAYL >OB02G34860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20038784:20041504:1 gene:OB02G34860 transcript:OB02G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGRKGAARQYNRSKEPRLRWTAELHRSFVRAIDCLGGQHKATPKFILQLMDVRGLTISHVKSHLQLNQLYFYSFLMLPSDMQPQPHLKKHSFCSDEQSPKEFMLCPPIKRAKVGTEGSGKHRCMQGSSDTRSAPPAGTRHFIDDCMQLREVSMDRRSAHDAAAAVRAPAAASNLQALGFWVQGASEEPFMVHQISKPKAHQLNHMVRKLSCKENHEDRLFTVSSAARDEPTKKCASPLSLAIGQKAANAISSWPSEGSCVISPSPRSFSDCSGPPGCSFVGQRVNLELSLSICGS >OB02G34870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20042134:20042916:-1 gene:OB02G34870 transcript:OB02G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDKSMHVAEEAATEMAFVSSTVLLPAIYCGAHHGNNVYLRRDAVSLILLGKWKGITISGQEESSLFSTFLQVQELSKSVTNW >OB02G34880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20042476:20050633:-1 gene:OB02G34880 transcript:OB02G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEASPEAVTTPPSREPPTEPEAPVAAADAASDRQLQPWEQHAAVINLPRYDYRASGSLLLRPHSGFLITCPIKREKSATKEAISILEDSIGHASSYSTKNSEPCDMQVAAKKRKICSETPDIENSEDTVKNEKCDASETTGSTEKELASPHSKTSENVDQTSNLSLVKLSRSGLLFFSFPTGGLHVVQMLTQMFHSLQSGKLKSPQWCHRIFPIQETCVLSEAELHVTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNDNEGSKQQILMDRDQCFKVVAAAVKSVTENSIVDLRSPEVAVLVEMLPISGVPLGSSVAGVSVLPSELISTKPRLCVKALVPDKKAAKKK >OB02G34890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20054138:20054374:-1 gene:OB02G34890 transcript:OB02G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAGTESFVRYQRDGANDWVNTVAAGTGAGAIYHTASGPRAMIAAAVLGGVLSGAAVAARPVLQRFAPEIVARWDNL >OB02G34900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20057605:20061364:1 gene:OB02G34900 transcript:OB02G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNAadenosine methylase [Source:Projected from Arabidopsis thaliana (AT4G10760) TAIR;Acc:AT4G10760] MVAVCLLELVPFAEIDAAALARRLQAENSSASEAERTALADLAAELGGSAASAVVLALRRIAEDNGGVQIEEAMIGGKSMTMVWAIDRSKLLKELPESATLPQLQPPPALQAAPSETDASSAMIPRTPQQQPQQPDMWPHAMPPIFPRPRGMAMQGMQRVPGVPPGMMPLQRPFMGPAGVIAMGGGMGPNPTQQKQKTEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIPPMMAGGLAPPRQIRPQRAEYCSEIELGEAQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEVQPPSPPRATAPMDGDPSTSQKPTVSDGERPA >OB02G34910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20063765:20066655:1 gene:OB02G34910 transcript:OB02G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3LFS4] MDFCPACGMLLQIQPATGGNRMRFFCPTCPYVCPVRNKIVKKARLVKKEVEPIFSGDDAMKLAPKTATSCPRCYNGEAYFKQMQIRSADEPMTTFYKCCNGNCQFQWRDD >OB02G34920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20064948:20069748:-1 gene:OB02G34920 transcript:OB02G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGDRGKVVDAECVEVDPTRRYIRYNEVLGRGAMKTAYKAFDEVEGIEVAWSQVEINEVMQCPDNLERLYSEVHLLKSLKHENVMKFYNYWFDDQKKTVNVITELFTSGSLRQYRQKHPRVDLKAIKNWARQILHGLDYLHTQQPPIIHRDLKCYNIFVNGNHGEVKIGDLGLATVMLAPKAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECTNAAQIFKKVSKGVKPASLAKITNIQAKQFVEKCLAPASERLSAKELLQDPFLCSDNSRGLVGTKFPSSLPKAVEVSLESLHMDVDTHESMCTSTGKRNDFGGPQRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELSDCDVTFIADFIDLLIVNLVPGQQLMNDASMSTSSESKMGESEHVITSQQHLSELTHDYVLLEGVMHSTEANASPSAYTDSLLSEANRGPNSSEGSDISLQLDGSSKISTDCGVDEYERQQCGTYKGAEKLGCSHPLDNGSSNFAVFQTSHHTELVIGSSVSVTENQDVLNGELGLIEAQYDRWFHELTRMRQEALEGARKKWLPDE >OB02G34930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20073386:20076844:1 gene:OB02G34930 transcript:OB02G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKTAARDAPEWQVRMPPTQDASSSGPGPERVWARMVSCATALEAKVSGFAKKVVWRIGADDRRKPAHGVEVATAVGTKTAARDAPEWQVRMPPTQDASSSGPGPERVWARMVSCATALEAKVSGFAQKVVWRIGADDRRKPAHGVEKVVWRIGADDRRKPAHGVEVATSPPPQTAARDAPEWQVRMPPTQDASSSGPGPERVWARMVSCATALEAKVSGFAKQVWKIGADDPRKPAYGVKVATALTLVSLLYYVRPLYDGVGGTAVWAIMTVVLVFEYTVGGVMYKVLNRLAGTTSGAVLALGTHWIASKSGERLEPFVTGGSVIMLAAAATFSRFIPTVKARFDYGVTVFVMTYSFVAVSGYRVGDLAALVLDRIVTIAIGIIICLAVCGLICPVWAGQELHLLTARNMEKLASSVEACVEDCFADPAAKRAEAAAAAKSEGYKSVLGAKASEDSQANLARWEPPHGRFGFRHPYHQYTEVGAAMRQCAYCVGALGGCAGASSRRERAPVLIADACAKVGARCARVLKEASTCVATMTTSRRLAFAVASLNTAVQELQSDLRALPSSPAEETAETSLAEDMQLFTVALLLIEIAARIEPVVHAVGTLATLARFKPADDVDGADKLEAEMERLDGADARLDRINRL >OB02G34940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20081574:20083610:-1 gene:OB02G34940 transcript:OB02G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREQQGGVEWRVTVPEGASVAVEHEAGAAGRAWAWVVACAVALRAAVAGFAGKVWKIGADDPRRAVHGLKVGLALTLVSVVYYARPVYDGVGGNAMWAVMTGGVVSEYTVGGCMYKGFNRAVATASAGLLALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAICVVIWPVWAGQELHLLTVRNMEKLAGAVEGCVEDYFAAAKPSSQAAGGRSEGYKCVLNSKASEDAQANLARWEPAHGRGGAAMRHCAYCVEALSSCARAEVQAPEHAKRMLGDVCTRLAAQCARVLREASSSVAAMTDPKTLDFAVADMNTAVHELQVDLRALPPVLALGPAEMSLMDAMPLFTVASLLIEISARVEGVVDAVETLATLANFRQVEDDDDKKGQTEMKVHPLNVPDDDASTKESQTTKHPEQV >OB02G34950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20081832:20082856:1 gene:OB02G34950 transcript:OB02G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQDGREGAQVDLQLVHGRVHVRHGEVQRLGVGHRGDGAGGLPEHPRALRRQPRAHVAEHPLGVLGRLDLGAGAAAERLDAVGAVAHGGAPPGVXAGRVAEAEPAVRGLPPRKVGLRVLGSLGVEHALVPLRPAPRGLRRGLRRREVVLHAALHGAGELLHVAHREEVQLLAGPHRPDDHADGEADDDADADGGHPLLRQVQQLVDAVPRHGDEAERQDEDSHAVVEPRLHRRDEPREGGRRSC >OB02G34960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20102590:20103226:1 gene:OB02G34960 transcript:OB02G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRPAGCRLLLLLLLRAIRSRKAGGGNNGAFVRLLECHVEMRAQASKSKAFWIASFTLPEYILGKLEETKLWTLTPKMMGRYVPSTSL >OB02G34970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20107552:20113015:1 gene:OB02G34970 transcript:OB02G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHTEDDARNVVEKMLNQVHVVGEGIIGRALVSRECEWISDTSFSSVQTSDADNQDLFQGYTWWQHQFLCGIKTIAVVPISDLGVAQFGSMEKVSQSLEFLDQVKGTFCQREIIPWDLSAEEIQRNIFLYDQRFQHSSSITDGLTNIKVDPENKKLLENSASVESLWSLASSSSKYSRSSSNGFTSYESCNSMNPHIVAMPVNSKSINAVRAFNNTGNLLQHNIGSENPLQIKLRKHSDSNPASVTKAFSSLNNLPRIENETSRAPNKLGYCMQNEKPYSFQSSFASCFSVGDELKPILFDSATSFVQNDLVQEFNPTGFTSQADCAVHELPKEIPGETAAGVLHSDIRSINGSPDLLDGTIFDPFVQDWWDENALIGGNIPNFGATTANTVAEHASSYPLSVEERCLFSESVFEELLGVSGNVNTDTPSGPDVTGASDPLVGCVSGCQLPTYTLQDSLSVCKAQAPSLDFPSGSDTSEHVPDGASKLIPVSLGTLSMDDCCSLNTAHSKVSQVKKPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQAEEPKMISKDSGAVLKENSSGVVLKDNSSAASNNGGATWAYEVAGQTMVCPIIVEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSPDQIAKVIRNGVPSFAEHQQSPISVPVALADR >OB02G34980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20115186:20120037:1 gene:OB02G34980 transcript:OB02G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAVAVLVVAKLPNMHKVRIFGINAGS >OB02G34990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20127652:20128641:-1 gene:OB02G34990 transcript:OB02G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQIRLRRRRLPPAPSGLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSAAVSGTVAAGLAAKNPKLMHDGAGDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRASVGAFSAMDLLRSTGCYVPLPQMPLGMPAEYAAAGFALGEFRMPPPPQQQQQQAQTLLGFSLDTHSTGAGGGSAVYGACSAGLQGVQDGGAGRLPFPFEDLKPVVSAAAGDANSSGDHQFDHSKNHASGGGGVIGGHETSGFWNNSMIGNGSTNGGGGGSW >OB02G35000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20166921:20173698:-1 gene:OB02G35000 transcript:OB02G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGSMQFPFASGFSSSPALSLALDNAGGGIGGRMLAGGAGSSAGGAVTRDTEAENDSRSGSDHLDAISAAGEDDVDDGEPSNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPISLLSPPPLLQPHLSLPMPNSSLELAIGGIGGLGSLGTLPGCMNEFAGGVSSPMGTVITPARTTGSALPSLMGNIDRSVFLELAISAMDELVKMAQMDDPLWVPALPGSPSKEVLNFEEYLHSFLPCIGMKPAGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVSTGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSATAPTTGNVKCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLATLQRQCECLAILMSSTTVAANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPRIGASGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >OB02G35010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20183550:20184312:-1 gene:OB02G35010 transcript:OB02G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTAAAIRRGRGCITRGARGDVRRGWLGWCLGAAGGRRAGPGIQRGGPTGGEEDVGDGESLSVSRRSGSASTARSANRVLALREDVRPPERSNADEEPPHAPLQDGIDVRGAGKAMDTRISPVDMPPTTLATFEVVSILLCVPTYDAMLMSLTRLVTGNRRGLSELQRLDIGLVLSVMAMANLALLEASRRATYAPTSIMWQALPYIMLGAAEVFISVGLIKFYYD >OB02G35020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20186827:20190401:-1 gene:OB02G35020 transcript:OB02G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALICVAVWAVTMAMVVASVVWAYRWSHPRANGRLPPGSLGLPLLGETLQFFAPNPTCDISPFVKERINRYGSIFKTSVVGRPVVVTADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLDETDRAFRVSLASWAARPSVELKDSISAMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVGIPGTAYHECMKGRRNAMKVLKKMMRERMAEPARQCEDFFDVLIEELRREKPVLTEAIALDLMFVLLFASFETTSLALTLGVRLLAENPMVLDALTEEHEAIVRARKECDAALTWAEYKSMTFTSQVTLEIVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFNPWRWQGKVEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHHLVTKYRWKIVKGGNIVRTPGLSFPDGFHVQFFPKN >OB02G35030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20208343:20212775:-1 gene:OB02G35030 transcript:OB02G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRYPNPDEYLSYYDGSEGGMYPLPRLVAEERLARRAVACPAKYSEYTPCEDVKRSLRYPRDRLVYRERHCPTERERLRCLVPAPAGYHNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDIVAMSFAPRDSHEAQVQFALERGVPAMIAPPPPPRLTYPARAFDMAHCSRCLIPWHLYDGLYLIEVDRVLRPGGYWILSGPPINWRKYWKGWERTKEDLNAEQEAIEAVARSLCWKKIKEAGDIAVWQKPANHANCKASRKSPPFCSNRNPDAAWYDKMEACVTPLPEVSGAGEVAGGALKKWPQRLTAVPPRISRGTVKGVTAKAFAQDTELWRKRVRHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALADDPVWVMNMVPTVGNSTTLGVVYERGLIGSYQDWCEGMSTYPRTYDLIHSDSVFTLYKNRCEMDAILLEMDRILRPEGTVIIRDDVDMLVKVKNAADGMRWDSQIIDHEDGPLVREKILLVVKTYWTAKEQGQ >OB02G35040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20216133:20217791:1 gene:OB02G35040 transcript:OB02G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LFT7] MSSPRRDGCGAGDDLEASLLSKGDGGKVVVVFVAPEAAAAAAAEEDLPPVLTCKLPGRFVRAVKEAWSVPFPMMRSMSAGAAGAEARSILELALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYDLLGVTMQRTVLLLVAAAAPIAGLWVHMRPLLLLCGQDAAIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVLVSVLGLGIKGVALASVLANLNLVLFLFGYIWLKGVHKRTGGFAISADCVRGWGELVSLALPSCVSVCLEWWWYEIMILMCGLLANPKATVASMGILIQTTSLIYIFPSSLGYGVSTRVSNELGASRPEHAGRAATVGLMLGFAFGGVASAFACLVRGSWATMFTTDPAIVALTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALILAFWCHYDFKGLWLGLLAAQATCVVCMLLVIGQTDWSAEAKRAQQLTGAVDIKESSGKYSSHVAVTEQPDEC >OB02G35050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20228471:20233689:1 gene:OB02G35050 transcript:OB02G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAAPFDFDVEFRAADLDRTIEHTRSGLEPFIVAAIPTMNYSYEAFQSKDDAQCSICLGEYNEKEILRIMPKCRHNFHLSCIDVWLQKQTTCPICRISLKDLPGGKPTESPARSLPQLFSHPESSVSRSPHWILPIHRDRTGGREISPVSQETVEVVIEIQQEIH >OB02G35060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20243528:20245266:1 gene:OB02G35060 transcript:OB02G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRPTWQAGASAGCPAPVTHTGARPAIRRRSDAAVGIDSATLIKQTVEQQQQQHQRYGTSSPTTDDAAAHPPPMEGGVGNDDFMDEEAIFELPQLLRNMAAGMMMSPPRLSPTTSDVSPEPSEAGESLWSYRDP >OB02G35070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20244481:20244728:1 gene:OB02G35070 transcript:OB02G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPEEPSTASSTAPPPQVQTAGLPAEPSPGPASPHSPSTEQGEKTAGAPAGWGEPSPRSSGKHAFYRGIRCRSGKWVSEI >OB02G35080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20265285:20265833:1 gene:OB02G35080 transcript:OB02G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAALSSDYSSGTPSPVAADAADEGSSSYMTVLSAPPTGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYGGRRLRRPRRSDHHRTRAMQPPGRRPPPHRPPLPTPLSSPRTRTTMKMMDWTSGCRDTSTWRKGCSLTRPQWLMILP >OB02G35090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20272337:20273203:-1 gene:OB02G35090 transcript:OB02G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAAASAPAPAAGRIGARADSGTILVEFDVSSLYIPAMSGATTRFLGLPLPPFLKIDILPEALRGNIDPASGQVDLKFRSRFCFSVGSVYQAPPLFVDTTLTSEESSGAIRRGTGERMDGEGRCKLVGVAVVDPIDDVFMNTFLSLPTECIAYLNATISITEPN >OB02G35100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20274675:20274974:-1 gene:OB02G35100 transcript:OB02G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGHGFYFLFNYVTISFIFHLSQNKKCTVLIRIKNCIKMLSAFPDYLCHKLLNYYVIMLNDAFKIDWNCLFACCSDFLLLGEMELQSKQMPYLHKML >OB02G35110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20279074:20284554:1 gene:OB02G35110 transcript:OB02G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHNRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATTKRTNHGAGAGGNVWRNPSSGGGGGRGGGGLNKWGRGPGGGDGGPRHKVPDRPCRYFLAGDCSYGEKCRFPHTYCMSDSITLLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAGTQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWDLATLQCIQTLSEHTGVVMSVLCWEQFLLSCSLDQTIKVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLFDLPSFSDRGRIYSKQEIRAIQVGPGGLFFTGDGTGELKVWQWVIEGAQTK >OB02G35120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20297194:20301617:1 gene:OB02G35120 transcript:OB02G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKSLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRDREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERAVLMEQSDSFQISGLREAYREVGLDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGSFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMQSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFLGNLLYALAYDVNSLAVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTKFKIYGLTFDQNTLPGWIMCLAWIIYLFWLWISFQEPDHIVRENSVDTPSSDSCHQRNGNLEDGLSQPFLIDAKERLDENGDDNDDNEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNATLLPSFVICVASIFATFCTYNSLY >OB02G35130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20302180:20306225:1 gene:OB02G35130 transcript:OB02G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVVTTLFVQLLLCLSRQARGANYTFMREAVEAPAVAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDARVLNMAHFADVLADTSAASPSQRWVEDVVAFQPELGPWQAALRRGLLEIGVVPDNAFTYDHILGTKVGGSIFDAQGRRHTAADLLRYSRPDGIDVFLRATVARILFSRKGTKPVARGVVYHDSRGGTHMALLNMGARNEIILSAGALGSPQLLMLSGVGPADHLNQFGINLVLDHPGVGQGMSDNPMNAIYVPSPSLVELSLIQVVGITRFGSYIEGASGSNWNSRSPSGADDAQVRSFGMFSPQTGQLATVPPKQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGRLALRNLDPDDNPAVSFNYFSHPDDLRRCVAGIGAIERVIRSRAFSRFAYPNFAFPATINVTAEFPANLLRVRGGSDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYRVLGIEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQKERMIAEGSGREQ >OB02G35140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20308165:20311464:1 gene:OB02G35140 transcript:OB02G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide-rhamnose synthase/epimerase-reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) TAIR;Acc:AT1G63000] MGVATNGSSSSFSAGPAQALKFLISGRTGWIGGLLGQLCAARGIPFAYGSGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRAETIRANVCGTLTLADVCRGRGLVLINYATGCIFEYDAGHLLGSGVGFKEEDRPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKTEFPELLSIKDSLIKYVFKPNQKTSKA >OB02G35150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20317645:20322304:1 gene:OB02G35150 transcript:OB02G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEADSPQPPSKLPRLSAADPNAGMVTMAAPPPPVGLGLGLGLGGDSRGERDVEPSPASAPAHKATVLTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVASSSFGPHRFPSLMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKRGCCSASHSRMETVIVVSVYWYTEHDADHDNACFDIANYRYNNNDDGSGVLSALTSSSGSRGEGRDNPNIDSALDCHQLAELIGPGWRLQQRLLARFPLEPDLSYRLDRKRKRQSVRSGFSVTFSTLYKALALIKIELKLEGAKQTLPEECLHDDLVIPQSHIDRRKLRVKAPLTCESPEATGLYAAPFMLGH >OB02G35160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20324318:20324580:-1 gene:OB02G35160 transcript:OB02G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYASDGTQFEEINRYTNICKYVFTPQGKKHKTHVLQCLNLRRVAVLWTR >OB02G35170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20324915:20326540:-1 gene:OB02G35170 transcript:OB02G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPLPRPPTSAAPARAPVQPPCPRQIAAVVLNHPSSALTPASTRSLSASLLAVAPALPTSVADSVLKLLWHHAPRALLFFHSLLHLPGQAHAVAPSTLDLALDLSARLRRPRQLTNSILDLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLALFNSLLDALSKSRHAGKAASLVRALEQRVTAGEDTSRALDVLRQMVESGIAPTKTTYNIVLKGFFRAGQLQHAWDFFLQMKKRGSRDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMSKEGCTPSVATYNALIQVICKKANVEDAVMVFDDMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLNLLERMKNGGCEPVVQTYNVLIRYSCQEGEMEKCLDLFEKMSKGEECLPNQDTYNIIISAMFIRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQELSQKLLRMQEKYRRLQREIRL >OB02G35180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20326903:20327603:-1 gene:OB02G35180 transcript:OB02G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQATVAPAHHLSELTLCLPHAWPCRRKKEGREFCYNNLETAEHLFFECKVTREVWIAVAPKIGYNQITNDLQTVVNLTDWWDRCALQPTKDQAKGYQSVQILVAWELRMERNRRVFRGESYRSIRLPVGSGTKSAPGPYVELVTLRESQIKQCGGAAESAQSEVLVL >OB02G35190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20332401:20335764:1 gene:OB02G35190 transcript:OB02G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQIRFGHQIPFPSPDTDDEEEEDDEDDEVEEEEDEDEDEEEEYEEEAEGEVPVSSPLMLPAARGGGGGGGGGGVSVVETVAAALRRSLLLCSSVRAAEDEGSAAAAASAAAMGMQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPAPSASVSVFGVSPTSMQCSYDSRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELHVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETATPASAFPSSSGSPSDKDESQPLEHLDRPTVCSSQQNNDCPMISGATLDHFLFKAEALHHNDAQGSAGRPKKRDNKDHGLPKKRDNKDHDNGSREFSPVDSDSSSETNSSTSKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACV >OB02G35200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20338649:20342655:1 gene:OB02G35200 transcript:OB02G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSSFLWEHSVVEFDHDDGDCGPESFSGLLRELNQLEQSVASWGRKSHHHDVKKHSPPPPPEDRKKVKNCEATDKLGDCGGDGVGSGLDGSVAVVKQSDDPLGDFRQSMLQMIVENGIVAGEDLREMLRRFLALNAPHHHDVILRAFAEIWDGVFAAASGLEPIVCCTPTGTIQIIRKAEERRDGESRRHGRYERELEGPDAHMGGTAQWRSYLQYKEFIILTDHKSLMNFTDQRLHTLWQQCAYTKAADALSCCDHSPSVEFNDVSVCTPKWLQETRVISALHDSPVGGHSGFPVTYRKVKSLFVWPRIKQQVKSYVKNCSTCQQAKPDRVKYPVSDVAQLYMEGVFKLHDLPLAIVSDWDKIFTSKLWQQLFAKAVTSLNLSSAYRPQSDGQTERVNQYLRQWLDERALMVKLLQHHLHHAQQIMKLQADKRHSFHEFQVGDFVYLKLQPSRRLMGFNIRLLCNFLRLWSRNKCLLRYWIHEWLGGLLVLRKKLLGRIWMNFVLAFHMRWLGGGQAILQGRGIVSAASKSQPDSEIVEHGNVKDKLKTGRRSRRLNSKYVRPQWTV >OB02G35210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20346087:20352102:1 gene:OB02G35210 transcript:OB02G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT4G01320) TAIR;Acc:AT4G01320] MALPYLEAVLCFMILMYIFETYLDIRQHRALKLPTLPKPLVGVISGEKFERSRAYSLDKSKFHFIHEAVTILMDTTILYYRVLPWFWKKSGELATNVGLNAENEIIHTLAFLAGVMIWSQITDLPFSLYSTFVIEAKHGFNKQTIWLFIRDMIKGILLSILLGPPIVAAIIIIVQIGGPYLAIYLWGFMFALSLVMMTIYPIMIAPLFNKFTPLPEGVLREKIEKLAASLNFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLSHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPVIIGLIIFQHTIIPVQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLSALEDPDSKKEN >OB02G35220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20354454:20356579:1 gene:OB02G35220 transcript:OB02G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPSSPPPLTPRRHRSRTRQPPPRGRGSPLRGAADRRDAQLTSALHAALLKSGALDPPQPLTASNSLLHAYLQCGLLPDALRLLDEMPRRDAATCASLVSAHCRLGAPLDAVRAYVDMLTHDADEDGGLRPNEFTAAALLQACGLARDARLGRMVHGHLVASGFCSDSFVVGSLVNMYAKVGDVVSAEELLLGLDFRDVVSWTALVSGCVLNGMLAEALDVFVMMLEDNVLPNNVTMLSIIQACSLMGESGLFSSLHALVVLLGLKNDVSVVDSLIVMYAKNEFVEEATGLFKDLYLRRGNVCSNADVLSALLYGCTVSGSLKYGKGIHARLIKTNAFPSVSIENCLMGMYARFEQVDAAYVVFKGMKDKDIVSWNTLISCLAKNDNVNEAVELFSILHGGGGLMPDVVTVLSIVQACSNAGLLQQGQMFHGYIIKSGSLYDVSICNALISMYAKLGRIDFSQQIFERMDVKDIVSWNSMINAYGMHGDGLSSLRIFNELQNDGTHSPNAITFTSLISACSHSGLVSEGYRCFESMKNEHGIEPSMDHYASVVDLLGRSGRFAVAEQFIRDMPLYPDSSIWGPLLAACCLYGNVDLAEKTAKELSVLEPESDIWRVSLSNIYASVGRWKDSAKVRTEMKRIGLKKETGWSFVDVGGVEGFKFVVADTRHRDSEQIYAALYSMNKHMADVAGDVHQSSIVSVIS >OB02G35230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20357600:20359870:-1 gene:OB02G35230 transcript:OB02G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase [Source:UniProtKB/TrEMBL;Acc:J3LFV6] MAATGSSLFLASPVATAPRARGRSTLSAASPARPSLRPRSTAVAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFDLHRLEPGLPLIIGGIDIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSIGENRSIAAHTVVLLMRK >OB02G35240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20361968:20365724:-1 gene:OB02G35240 transcript:OB02G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAGAASGEDASKKGRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTESSSMLRNSGMNATVSSWTHNSIPPIVASSMVKDLGAGAMGPNNFCSSSTEGPPRAWQPGETNDQINQVLSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQGLLICHGFWYCLCRMAGMYGQLSENVCKVTIF >OB02G35250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20371475:20378722:1 gene:OB02G35250 transcript:OB02G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAVILQRFRREAASQSLTGTSLQSCSYFGFPLRWLSCAEHTTKWGTFTSYQIDDVDPYSPVRSLAKICTPPLSFHINHCSHSSQSLGFSSVSSPRRMYSSDARANPEDQENAIAKVSSTETSEVGTTDHGGNTWIDTLDSAHHSTIDATTAALKKMKELTDAIVPHIQELYVTYPDLQKMVIPLGGTLMGAAVAWFIMPIGLRKLHKYTSENPLIILRGDSTNKYMPYRTSLWSALENPAKYVLIFMAFSQMAAVVTPGISDYLPQAWRGAFVSLVWFIYRCKANFIANFMTNQSALEMDRDRLSAFDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVASAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVVEIGLTSTSLINPENLPVIVPNSLFSSQIIVNKSRAVWRASVAKIPVRIEDLGKIPTLSEEIKVKLRSNPNIDAPYCYLSQLESTHGELTIGCTIKSMRRDEWTSVEQDILLKAASTIKQYES >OB02G35260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20378610:20380536:-1 gene:OB02G35260 transcript:OB02G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAASGGGGGGKETLVASILRYIILLILPFTVIYIFYTLHAILSSTPSCPPDRAVATTSVSLSHLSTRNHTPSSLSTPPAAPVSTATTLQQVVFGIAASARLWEKRKEYIGIWWRPNAGMRGFVWMDEPVQESSVPAGLPPIKISSDTSGFPYKNRRGHRSAIRISRIVSETFRLGLPGVRWYVMGDDDTVFLPDNLMAVLRKLDHRQPYYVGYPSESHLQNIYFSYGMAFGGGGFAISQPLAARLERMQDACIHRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPTARSRAAAVRRLFDGPVALDSAGAMQQSICYDAGNRWTVSMSWGFVVMVSRGMISAREMEMPARTFLNWVLSTPKGQEGNKTMTIDVGVCKDWEFSQV >OB02G35270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20401904:20402422:1 gene:OB02G35270 transcript:OB02G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGLMDTASSSAFVNHAAKPSRMCWYECTSRCLPEDVMLPGRNDMCQLHSPLSSIMRAATRGFRRTKYMRSGSGSSSGSAPDDASAWPVAEARGWWWWSGLSGGDDGDRWLPTLEDWANVVRMEMIKMVAHKATSTTPTDVIATDGWITVRRTMLYISDRRKQETFALHI >OB02G35280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20403528:20404085:-1 gene:OB02G35280 transcript:OB02G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAHVVPPRRHDNLRKKRRLMHSHQHVLDGFASWLTEAELEAVSIKLGFSKSTLDGPMYLDTTHSPSFLGLSPDNFWGYTEYGSGVIIGVIDFGINSSHPSQTGGKASATAASAASLQQQSYRRLIPHLPGPCRLHWPQQARGVDHGGELRQRRLVPWTGGRDGLRDRPQCALGIVQGLLYSHR >OB02G35290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20408275:20411776:1 gene:OB02G35290 transcript:OB02G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRLALPALLLCLCALPALARSQSAATPAPASNEGFNCSANATYPCQAYALYRAGFGGVPLDFAAIGDLFAASRFMVGHTNNLASAAGQPLLVPLQCGCPSRSPNAYAPMQYQINSGDTYWIVSTTKLQNLTQYQAVERVNPTLVPTNLDIGQIVTFPIFCQCPTAADNATALVTYVMQPGDTYTSIAAAFAVDAQSLVSLNGPEQGTRNLDSPEILVPLRRQVPAWLPPIVRVNNASATPASPPPSPTPAPTVVTKNRDGVVTGLAIGLGVIGGLWLLQMLLLACLWRRLKARGRRAEAVATGEGVEGGRFPKAASGGGGRTFLVSDISEWLDKYRVFSVEELESGTGGFDDEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLMDRDRAGRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDDRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVSDDTGEPLWADADERLFRGREERLESRVAAWMDPALAGQTCPPGSVASVVSVAKACLHRDPAKRPSMVDVAYTLSKADEHFADYSGESVSVVDTSGGVAAR >OB02G35300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20419640:20421985:-1 gene:OB02G35300 transcript:OB02G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGAPWCDHRRGYGGVGPAVQAPVPRSDGVGGAGGVLKRSLGEMERWQQQRQVAAQQAMYLRSVRQRTGALQPMDYGAVLGGVASPAYGISGLSSGFGGISPQPSSTALSSLTTASRTVVPVMQMQQLQRQMVAVPAAHSPAVARGPAARPATATELVLLQELEKQLLGDDEEEAADAAGSGCGSSITSSDWGNTIQTLNSITAASSPSPPLPTAVNNTALLARSPTNSSSSTASSSASSSPPISTASSRHLLSEAAAAIADGNYSTAASHLSVLKLAANPRGDAEQRLVTMMVAALSSRVGTAPSGQSQHRADLYSGEHRAACQLLQDVSPCFGLALHGANLAILDAVAGHRAIHLVDFDVSAAQHVPLIKALADRRVPSTSLKVTVVADPASPFKPAMTQALAATSERLGKLAQQAGIDFRFRAVSCRAAEIEASKLGCEPGEALAVNLAFTLSRVPDESVSPVNPRDELLRRVRALGPRVVTLVEQELNTNTAPLPARLSDACAHYGAVMESLDATLGRDSVDRARAEAALANKVANAPLSPLVRSSEPVHTHLKITLAVISLLLPEMTPNIFVTRSRVSVTYRSSDKYVSVICI >OB02G35310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20420084:20420665:1 gene:OB02G35310 transcript:OB02G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGLTPGGCDGDNAAHPANPEPAIVELDVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVRALPAHRVGHLVGQGRLGPRPVHAVPAQGRVQRLHHGAVVRARVGEARRQRRRVRVQLLLHQGHDPRSQRAHAPEELVARVYRRHALVGHARQGEGEVHGQRLSWLAP >OB02G35320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20427428:20428242:1 gene:OB02G35320 transcript:OB02G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGNRGGDSGRQRRRLRATCLPRPVCFMANEGLPSSCSGVSERDRELASTPCAEHLSVVILLDRSMAYGKRSWQHADEAAFSLFDSSDMARILVLFSGGRGSALTMDMSSAPERMFECKRPATGSSHPSRRSTILLRRCLAAPPPSPSSVRERIFISY >OB02G35330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20429027:20429690:1 gene:OB02G35330 transcript:OB02G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAESSASARHRHFSAASACYCRFTVASASTDDAAQSPSPLPPPTPLSWPLHCFVKDLKPSRNSKETINGCVPKTKQLNEPTARWASHEVTTMT >OB02G35340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20440245:20447859:1 gene:OB02G35340 transcript:OB02G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNNALSETQSWYQEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERQLGEINRQLKHKFFFFLPLESEGSSNYRAMQQASWAQGGVVENGAATYMQPPPNSAANAMDSELPTLQIGYPQQFVPTEANNIPRNAAPAGGENNFMLGWVL >OB02G35350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20455919:20456236:-1 gene:OB02G35350 transcript:OB02G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCMAIPKPLVAFVGLLFAVKEALQLMLFVVGICHHPERSGRPAVDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSGSTAAG >OB02G35360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20461314:20461466:-1 gene:OB02G35360 transcript:OB02G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCQYKNHLMNGFERLLALVLFARHVLFIPLLFHRLPLVHFVLHFDYIS >OB02G35370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20463088:20467367:-1 gene:OB02G35370 transcript:OB02G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSMSSSGSSANPEVLMDQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGSSLSGSESNCISRCVDRYIEATVFHWRQRRSPECSFASSHELKPTRSKVDKRVTDGVAPPWNRARLRVQPPTAAGRRPRARIVPVQPSCRADVYQPVSTRALTCRLLLPALLTPSCSPRVFFLLSSNLPTRSRHRASPSPQIQPIPTKEAILRRFPMEQPKPAAAAGAADAQNPNAFTCELPHSVYALAFSPSAPVLAAGSFLEDLHNRVSLLSFDSAHPSAPSFRALPALSFDHPYPPTKLQFHPRAASAPHLLASSSDALRLWLAPLDDLTPAADPAPPELRSVLDNRKTSASEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGIFASVSADGSVRVFDLRDKEHSTIFYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGTVPTEGIDPVLVYDAGAEINQLQWSAAYPEWMSIAFENKVQLLRV >OB02G35380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20478864:20480084:-1 gene:OB02G35380 transcript:OB02G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTSSRFSKEEDEEQDEPSRREIPFMTATTTAAEAAPAPTSSSSSPAHAASASASASVSASGRSPPFRSEDGAGASGSGGGGGGGEAEAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDSAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGVGEAARHRLFIDWKRRADTRDQLRLPRGLPLPMPLTSHYAPWGLGGGGGFFVPPSPPATLYEHRLRQGLDFRTFNHPAAPMGRQVLLFGSARIPPQAPLLARAPSPLHYTLQPSGVDGVRAAGSPVVLDSVPVIESPTTTAKRVRLFGVNLDNPHGGGAGESSNHGNALSLQTTPAWMRRDPTLRLLELPPHGAESSAASSPSSSSSSKRDAHSALDLDL >OB02G35390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20505443:20509829:-1 gene:OB02G35390 transcript:OB02G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDEVVLVQQGVEQSGRAKDGRYSTWSQSELEKKMFSWSLQDVLNKNLLKEKVKKIPTTFTSLKEYMGSFTVPLIEETRADLCSALEGIKHAPAAEVIAMEKHCSEQLIYNLQVKKVDPRMNNAQEVYGPKDADILLLTDHKPRHPNDLGRSGKSIVIGSVLKAQDSEGNTVVRLSSRHAEKRSGSEQSLFAVFLINMTTYNRIWSALDAVVADVRNTDIIRMVVNYNPKDGKECSFASELPLHLPDRALGRLEDFKLNKSQRVAVLDCVAAMQQGSSSVRLIWGPPGTGKTKTISTLLWAMMIKNHRTLTCAPTNTAVVEVASRVLGLLEDPSAGSGKTCFLSDVVLFGNEDRMNVDVNLARVFLAKRARRLQTCLMPGSGWMHFLGSTVRILEQPLDEYDSYVKQIEREIEEDFAKRKKDNDKKKVKEHVSKKVIPKMSFKEYFVSNYKRLENDLSICIKTFCDDLPRSATSAENFSFMIECLRLLKSFGELVGSESDKELQNVFKHNPDGTVCSLFQNFLTFVDDSVSTELKNARAQCLKKLKYLSDHFELPNRHARSISLSSTSFYESRISDGENVLRRDYERRPLAGPMYGSYSFINVEAGRESKGKHDKSLMNTVEVAAVTRIVQRLFKESVDTGRKLCVGLVSPYKGQVRAIQEKLGKTYEAHGGFSVKVRSVDGFQGAEEDIIVFSTVRSNSTGSVGFLSNVNRTKVALTRAKHCLWIVGNATTLASSKTIWHEIVADAKERGCLFNAQDDTELNGAIIKAVIELDEVDDLLDMDSLRITSNRRSGAPQLKECESLIPLQLPGVRHAVLIGDEYQLPALVKSRVCEDAEFGRSLFERLSSLGHPKHLLDVQYRMHPGISKFPVSSFYESRISDGENVLRRVYERRPLAGPMYGSYSFINVEAGRESKGKHDKSLMNTVEVAAVTRIVQRLFKESVDTGRKLCVGLVSPYKGQVRAIQEKLGKTYEAHGGFSVKVRSVDGFQGAEEDIIVFSTVRSNSTGSVGFLSNVNRTNVALTRAKHCLWIAGNATTLASSKTIWHEIVADAKERGCLFNAQDDTELNGAIIKAVIELDEVDDLLEWTPYVLLATGGRVHVKT >OB02G35400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20516453:20521173:1 gene:OB02G35400 transcript:OB02G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPSGKRALKVSLQAFFLSFILIFVLPQQFRIKGSIGSQISTQIGIFIMGIAVSFCWELSHHFVQVVHTRRCSFAPPQSTAAAETNPTEYILEALELSDPRSLMQYLAYQDLCAVSECNLEPWRRGAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLASAFNDSQICTWCARTLASLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPTHSLGPASIKWANFSTGRKGNVTAIASTQRGGLHTKAYSMADVLRTSIYQMVSAFEDDMRANAKASSLEKNWIGEGRKPVFGSHAVLVQKLSLFIEYRAV >OB02G35410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20520483:20521774:-1 gene:OB02G35410 transcript:OB02G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYMWCRRGGGAAPACEEQEEDIGSPSTSASSARSSGSSSELADDASSSSSGSADRHFEMSDLMTQLPFKRGLSRFFDGKSQSFASLAAVASLENLKAQAASSVSGHYIFWFPPPMIKYRLQLQPEKVSTSIIQVHCMNFCPTVVISQWRNVRSLENILHKYNPSPFLTS >OB02G35420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20528630:20529817:1 gene:OB02G35420 transcript:OB02G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEAETPGERRCTGLAAEAVEGAALALERVDDVHGGDGLAAGVLRVGDGVADDVLQEDLEHAAGLLVDEPGDALDAAPPRQPPDRRLRDPLDVVAENLAVALRPALAEPLASLAAPRHRRRR >OB02G35430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20528669:20529802:-1 gene:OB02G35430 transcript:OB02G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3L5L2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB02G35440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20531006:20535964:1 gene:OB02G35440 transcript:OB02G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARPVRRVSAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDALPFGEIKDVYLLDFVGPPGFVNDIAPKVESVTILDHHKTAFESLCGNATLGENVKKVIDMQRSGATIAFDFFSDKLLKIGSNVWNNGSDNTVNVVKYLPDNKLEMVHKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFDVNMNRKLFDQLLELDPEEVISRGQATLSHKQKKIDDCLEKSYEIALGRGRFGNCLAVNADAISNLRSELGNQLAEKSCNSNLRSIGAVVYKVPELNNDSMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKAGTEPFNTKSISGASFDMELLPLGLAASKDALHELGKVPSYSLQAIQIKDGRTWTWTAARARRPWLCLDVDTASV >OB02G35450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20539936:20545685:1 gene:OB02G35450 transcript:OB02G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFEARGSATRGVEQALVALKKGAHLLKCGKRGKPKLCPFRLSSDEKMLIWYSKEREKSLSLSCVSSVVLGHKTICKDRDQAEYWYLGLTTLLSVPCSPVLLIDSTSSRRINSCTNSPPSYIQQRSKLFAVHDTRKYKQVHSIYGSPRLMQKNVLQSNLDYSEPFFSPRQRTWSDLDPYMEKITPEVVNRVKNSCRDIKVVDKLNERIIAQLPKQKSFEGLHVAYGTNSLKDIFVWGDVPGNVLDYGDVSKANVSLPRLFNTTHILDVQNVACGEKHAAIVTKQGEVFSWGVDNGGRLGHKMSVTISNPKIIDSLASTRVKAIAFGAKHTCAVSVSGELYEWGEGTHCLGLWGDQYQRSQWFPHKLLGPVDGISILKIACGYWHTAIISSAGQLFTYGDGTFGVLGHGDTLTVARPKQVESLQGLRAKAIACGPWHTAAIVERIGTVKSNAPSGKLFTWGDADRGKLGHADKKMKLVPVCVEPLNDFDFAQVSCAKAQTIALTITGVVFTIGSKEHRQLGNPLSEDTSIWLVEGPLKTEFVREISAGSSHVAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVHSIACGSNFTTAICLHRPLSSKDQSVCSNCQLAFGFTRKKRNCYNCGSIFCNSCSNNRVSRAALAPDKSKRYRVCDPCFSQLQKVEQLSKLGPQLKIQKDEVCPIEIRSYTPKLARIFKEANAIMEKMASAQSPHQRSQNFAAADQGRTLRWGLVECPSQFRCVRSIPYCSTSNKHTVAGSDVWAINERMAPKPTSSFLKSANDSKEELDLMENILLEEVKQLQEQVTTLAKQCRHRSLKVQLYKRKVEETWLIAKDEAAKCKAAKDIIKVLTDQRDFLSKKLSAGEKLDNSRTMPRHTSIAKSVKAELPDPPDKNVVTRELQQSKSNKDHHNSRQVDRGCTQPSNASTAGDWVTHQNFRRTSTGSTGCTEGTDATTAPTDSNGVIEQIERGVYATVVTSPGGKKCIKRIRFSRKHFGEEQAQKWWEANESMIFAKYSSMEQTLG >OB02G35460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20546723:20552449:1 gene:OB02G35460 transcript:OB02G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWRDIVAATSHSDARPEAWHDDDKNVQEGWTEVRGKGRRHSWRGDKPTTTGDRRVALKPLKPVPRWLLGRCFKCLGLGHRKTACEGERRCYNCWFAGHIERDCPDKAQTHPSRRPATPRQVNSAASQSAPPPPVLKPPPPALSFPNEPSSRAKMELYGDPSLRPSGIHVCSIPWTAGMQEREFHLGSHALLASVRGNRQAISPEMLVAALVREGAVRRQEVRVEVCAPHDFLVTFANPTDCNRTVMYLSGNLWVRGCRIDFCRWSRRAAAGSSELKYLAKLGVEGLPAHAWEEEAVRSLLAGWRCHLVELLPPTDARILEIVAQPNLIPKEVRLQVPDSPPQGATTPPETEDELAMEMANAASPTHPPSPPKKKNCLDYTLLVHIMERRMSTTRRSWSRSRRTTVEQATTGGNGTVNGDDYFKLTDDHGPNSMVDAVSACMRAELTAPETVVADDLRESAVLSMVELDEPLEQPPLHATFPATTVYAEPLAAVEPLAPETAELIVTVPDDLLPPAPMERIVPTTILVKPSGWAPKESMLHDAVQAAVERPPVTQPVTTSSSRWTPQGPQHERESQHAEAEAGLPNPGLSELLAELADGGATKDRPAGEGEALMLSDAALMTEIASPGNERRAEAGSFERLSVEEEQEAGSKPLFTYSRRRPRLTGRPMEAHLAAEGAGSMVQAASSEDGPTPGDYDNNEAHAVHPELPALVTRFLDSITSSPTPSVLGRPPPASVPMAKIPRRRVIPHDFAPRRSARLGGQRTGARQHSISKAQQVMMKKLGLEADDSSTDANASLKDQYTELFCRSLSSDHVKALGELLGLQICGSGFDCKVTLPASGTRGGILVAWQRENFLGNIVYTGQWSITVHLQEKQGSRSWFATIVYGPQTDEEKLLFLQELQDIKGMCPGSWLIAGDFNMIATAADKNNTRLNKRMMRAFRNCLNGLEVKELYLVGRRYTWSNEQAAPTLVRLDRIFVSTEWEDSFADANLQALSSSASDHCPLLLTCGQGYSGSRRFLFENFWIKMEGFQQTVSEVWSKEVNSEDPYIILHVKMARLAKSLRAWGQRKISLIRLQLQIAHEIIFQLDVAQESRQLTFLERRLRVACKGRCLALASLERIRWRQRAKVTALRNAGQNFLRIKSLSRRRKLFIPRLHFNDEVATTQGDMEEMARNFFQQALGITPSQGRSLNLYELRTRAANLEELDADFTEEEVWSIIKALPNEKSPGPDGFTGGFYQSCWGLIKKEVMAALIKFYQGNTQNLNKLNTAMITLLPKKETPTLLSDYRPISLAWVAALLLTAETTVNINGSECLPFKPSRGLRQGDPLSPLMFVLVMDVLHDMIAKATDRGILSMIDRSLQGPAISLYANDAVIFFPPTEEDAAAIRGILLAFGEATGLTPNLTKSSISPIRCQEEAAMVANYLQCKIQEFPVTYLGLPLSLRRLTKSDLQPTLDRFAKKVSGWKPKLLSPGDRLTLINSVLMALPVHILSVLELPQWTIKEINRKCRGFLWKGQETVNGGHCLVAWGAVFPTLFSHTSKPNMTVQQGLSNRALDQNNKRSAILQSSG >OB02G35470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20555627:20558143:-1 gene:OB02G35470 transcript:OB02G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACLQGNAMATDENGADDRAGGESTVDNLRSHLNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGGSSGPGGGAAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHALALRQLEKPPSRMQSLSEHEDGSPTSVLTAAHIGTEGLGGGPSNNSSGSGSPAPSAAGSDAHVGGGGSRASSVDREDGCLSPSIPTAELAMQAPNTKMSIATDAKKASSVASVFRLFGKSVAVKDSEKEPNTHSGHQQSGRNIAACASAERAARSTLPCFAAAEGSSSNPWPSSMQQFLYFLPRSDGFAAQPVMPWFSYNGSLPCALFYPQAAAPNLLCHRDSEEGAEFRASQREGSLTGSNTASSVVLGSAPAPAAAAQNSDGAESRGQGNSRDSDAAAVAPPRPSQCESSASVTVLQRGFVPYKRCAAESELLPRSEAPGGEEAVADCELTRLCL >OB02G35480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20574161:20574886:-1 gene:OB02G35480 transcript:OB02G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPERVARLDNTNNDSRKLGCFALAELPALYDAVEQLAPGAELHHNVDVLLVLVGALDGDDVPVAREVVHDLDLAADVLDVLLGDELALGYGLAGVVHPGGEVGAEVGGAELPLPELAPERVVLAEARGRVAEHVGRQLCGGGHPALHRRCARRPRRAARGLLPVRGVVVRGGGVGGDGRVLRLMPVRRAAEAGEVLGSKRDAARVPHHVTRWAPSTRPRPVPPPSSRIYLKASPRGLAGA >OB02G35490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20574260:20579406:1 gene:OB02G35490 transcript:OB02G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGITLRSKYFASFRGASHRHEAEYAPVATNAAAADHDSPHGKKAPGGAAGPPRAASMKRRVPAPAELTANVLGHPTPSLSEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDQQYVHIVMELCAGGELFDRIIQRGQFSERKAAELTRIIVGVIEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKSYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFESDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAILSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMDAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMR >OB02G35500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20597208:20599515:-1 gene:OB02G35500 transcript:OB02G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LFY3] MARPLPNQARGQPSATSLRHLPGHSKPSPRLSAPALRKAAAVLLLAAAVALPCAMLYRAAVLNAVQTVQVGWDRSPWWERDQPPPAVMVPEEDGDGDPVAADDLDSGDLKLEQVLQEASMDNKTIILTTLNAAWAYPGSVIDLFINSFRRGVRTSSLLRHLVIITFDGKAYKRCMKIHTYCFALPTEDVDFSQEKRFQTSGYLEMMWKRLDFLRLVLEKGYSFIFSDADVMWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGYHDQDVFNFIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNNVCTMHVNCCIGLQSKLHDLRIMMEDWSNYMSMPPSLKRFAAFSWRVPQNCRCNATLEFNLACVFSPPKFCVISTAGIHVLSFLSPDDVSDNFVSSLQSFIT >OB02G35510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20600292:20601937:1 gene:OB02G35510 transcript:OB02G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPAELCLKIFHLLDHRSLASAPRVCRKWNTLASDDELWRRLFKDRWGADAAAFYGPEPEGSKTWKDVFIVQNRCDRYGLGVKIVREGSDYYLIYQGEIQRYLGSRQHVSCDSKKDADARAQSAEDEQRQISDRILFFVGDLEAACADAKRVKV >OB02G35520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20600410:20605154:-1 gene:OB02G35520 transcript:OB02G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVNFVPPRVRSQTLNPLPMAAAAAAASPKRLRVYSSVAGDGVDSANGAGSGRRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHHYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEEMKIDPLTKYVLYQDERSKGWRVQAVSVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >OB02G35530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20609615:20609995:-1 gene:OB02G35530 transcript:OB02G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGHAHFLDACFLCRKRLAGNRDIFMYRGDTPFCSEECRQEQMEADAAAERREKAGAGKLTRGASSRREVEGPQERSNVRAGSILAL >OB02G35540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20612942:20613459:-1 gene:OB02G35540 transcript:OB02G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSYFHAFGNPDFAAVFSGGSAQAVRPGTSGVKAVNVSKGSATARQAAPSVFSVQDAEVEEAHHFLDECTLCRKVLAGDIFMYRGDTPFCSEECRREQIEMDRTRHRRKKQQHSPTAQAQAAAHRSERAPQRQLQPQR >OB02G35550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20616140:20619045:-1 gene:OB02G35550 transcript:OB02G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFPAALETVCNLESGSPSADACRERGHGRGVGGGGELRRHHYLDACFRCRRMLAGNEDIFMYRGDTPFCSEDCRQRQIDADDASEMKKKKRPKQPPAARGEQPRRQRRSPRGVPVGLWDLGSHTREVERNKGYHDEWRELRAPEEEPLVEVVQDCRRSPVERLDGRGGPAEGGAAEDPEKVVRDCLCLLKREAALW >OB02G35560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20623122:20623711:-1 gene:OB02G35560 transcript:OB02G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSSTSFFDIEPLDGGETCLSGHTMDACSLCRKPLTRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRIGATNVRERAARNEQRLRLDAGNVVVAANVPVLS >OB02G35570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20635101:20636790:-1 gene:OB02G35570 transcript:OB02G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERKEMDLPRAPRFAGVSPEMYFPSGGASGINRRKRSMEAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPATLVSTGLRLALDEQQQQQESKRLKSLCYSSSPTPFVSFSDELAGQMKRQDEELDRFIQDQGEQLRRAMADRVRRHNRALLVAAERSAARRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAPGRVLVVRVRRPSPHLLGPRLPHLPPEAGHRGSPSLQAPQPLRRLLRRRRYGRGHGVPRLPLRPDRGR >OB02G35580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20650200:20650466:-1 gene:OB02G35580 transcript:OB02G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPWHHSHLLFPVRTLLPHFVQISLVSSFLKARGNKYYYTLRMRWWGCSFMGIWFSSPLSSLTSSLCFILDQFHHRPALLSFEISLGLD >OB02G35590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20650897:20651283:-1 gene:OB02G35590 transcript:OB02G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAFGAPPGNTHQSPVASVTAIIKVQNAASCTLACLSNQEGEGTGYERKKKKKRMERNGDTRLQMEATGLQKSRWAKQRGEVHQSIAWRSVSLGPKERSALTTPICFGSSTTLSSEKDGGGDDQDS >OB02G35600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20657272:20661196:1 gene:OB02G35600 transcript:OB02G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT2G44660) TAIR;Acc:AT2G44660] MGYDVRGPSGTTRCDHRNATPLKSDHQIYSSPMAAAATTSPAPAVVWVFAAATCIKLLLVPTYRSTDFDVHRYWLSPTHALPARRGYTDASSQWTLDYPPFFAYFSRLLALPAPLVDASLVSLPVPDAPPSFAYLLYLRLTVAFSDLLLLVSVLLLATDAHRKRRPFLALALVLWSPALLAVDHIHFQYNGFLMGLMLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPLCFVYLFRHYCCGRGLVRGLGRLVLMGAGVAAVFAAAFAPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYITLDKILAFLLRRLGFNIQIPEASFTGGLVGDSSPFAVLPKVTPITTFLLVILAMSPCLMKAFSNPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSWEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVLLLLTYATLMWVGFSSHFAANSAQEGKNVNEPGSISKKNSFVGWISCSYLLGILAIELWSQVFHHYVFGNRFPFLPLMMASIYSGVGMMYSWMWQLAWIARHT >OB02G35610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20669349:20671944:1 gene:OB02G35610 transcript:OB02G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPGPPSAGGDPLAATIPPSLPSPAPSSSSLNLSPSLLIITALLAFVFFASVSIHFLLRCLARPSSSSHPAPSPLPRGAPQRAPSSSVEAEEANASDFIVKRTKIQLQPVQRLQIDRQFVTATIIFYPATATKHRLVYCPPKEEAPSAWH >OB02G35620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20673902:20679087:-1 gene:OB02G35620 transcript:OB02G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYYWSMAVAAVGFRLALVLFGGDLQLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNKRSVGHHNHIYCSLIFVAVDFIAAMLIRATGRILQIARNRSLKSLELTEAVSNSVDVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVVMIYGACSRLAPLAAFGYVMATHLSLYPAILVVPIILLLGYGPDTPPRKVYCLKSSSASKSDVSDNDKSFSSRDVQQFSWTPVFYFILWMLFWTCYVLLLSRLVLNKVGDLQEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIAFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVSSMIKHDRKLRLLVTA >OB02G35630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20680947:20683715:1 gene:OB02G35630 transcript:OB02G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLVPVLLLLLALSTCESSVLQDTCKSVAAGHPSVSYNDCIKTFQGDSASATAADARDLAAIAARIAEKAANSTSARIAALRASEKDARRRDRLSVCAEVYSDAVDQLGEAAKDVAKGGDDATQDALTQLSAALDAPGTCEDAFGEADDASPLAPEDAEFTKLATIALAVAASLSPPPSTPAIKD >OB02G35640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20687462:20690580:1 gene:OB02G35640 transcript:OB02G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGKNISDDGGDGEEVQIQIAGSPKAETCSTLELPRQNSPVKNWHWRLMVGVNIFFLIAGQTAATLLGRFYYNQGGNSKWMSTFIQTAGFPVLFVPLYLFRSKMPSTQTATTNPGPKTSVTKLTLIYVSLGLILAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDQDSQGTTSISHGKYILGFVLTLGASATYALLLSLMQVTFEKVIKRETFSVVFNMQIYTALVATLACLVGLFASGEWATLHGEMHAFYSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPAFAVIFFHDKMDGVKIIAMLMAIWGFISYGHQLYNDDKKTRKTSVSLVETS >OB02G35650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20703475:20703885:-1 gene:OB02G35650 transcript:OB02G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRKKQTPATIASSPPPRRSCRVVSNRGLSPERNCDGSDEESSSPADPAWQPSPSPMRRTPCRRRQTSSMPSGFAVCLSPLVRPSPGRRHRHVQPPDQGTFSCELRPSPLHNLSSAASITRCRSRKLADGGRFR >OB02G35660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20711580:20714535:1 gene:OB02G35660 transcript:OB02G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTVKFGRVPFKIGFSHSQSRPKVKLLQIEYKDVTIKISGIKYCFDLVVWQMKREILKYKHTRNTSKFAWNGINLLDVMYSLHSIRCVMEELQKSTSACFGNGGFDQGKDDQGNHEGNNTHNVNKEIKQTMDGIQMTVGGVVQFFKTKLANMVQRGCGRKREDIRLQTAQMHAALSVSRLATAVARMVGNCHSESTEANNTVMTGIGQDEHKKMHAAIASAAALVAASCAEAAKLTGASREQISSVIHMGMETRALGDLLMLTTSAATCLKGANALKTRNLTISNYALEDHMSHQKGVRLLIRTPDDIIFDEHGGTIDFSYPTDGHSYHAINLATSGGNIQLLFEEHEQYSTWKSFIRYLIISKRSRLSY >OB02G35670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20715271:20715861:-1 gene:OB02G35670 transcript:OB02G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G20230) TAIR;Acc:AT3G20230] MAAPPPPXPWAPPSPAAAALPARRAPTPSLRPSPPLSWSASIAHSRVPPAPPLVLSPPPVPSNRSSLVVRAAWTRRSRREAEERPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRNTLPSLIDENACRTIGRLIAERSMDADVFAMSFEPKKNERIEGKLGIVIDTIKEHGIIFV >OB02G35680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20716035:20727576:-1 gene:OB02G35680 transcript:OB02G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12810) TAIR;Acc:AT3G12810] MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHLLGEMTWLAKEFDSERKWKLSMAKKIAQRANKGVVDQATKDERKQKEEEVRLRKVALNISKDVKKFWTKIEKLVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVSFLQNQENESLQTNQRSQQELAQENINASIPNDVDNDEMDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALHAEADLPLDDILKLYTKAKDSLNQANGCNDESDHTSSDEGISSEEADDYHSYSEFVKKNTDDKDYVANDDGKDDEATLSEEEELAKQEDPDPLEEIKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVKTNMSVDDESVEADIVKVTNNQSAETMEVNRDQSAEIVEVNNDTFEDNDTTDMLGAENVSGSVLQIETLEPIVQESAVKEGEVTDIKAMPNGDNSDNVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINKQISSFVSMVLDKGPFSQVDLSDMNFIFTQNEFNMTSWELDEVAAIFPPSITRRGSGSGISRSSNDGQRRNGRNIFEEIQNSLWEERIKEAKERAASIAWWNRVRCQKGPVYGTNIREVLTIKHPVSDVLEKKNNPLCHMEFSSSLADLVLSSVERFKKMLDFIESFTFAIPAARAPTPFFWCSKGKSPVIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSMSAGPSNGTSLALSNLDVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEVAGRLEEEDLVNEEDAKHDEHTNEEHKNQCSELEKDKHVALSMNQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQEKQAREAAKELEEKNDNMSAQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESVHHYSNKRRRAMSTNEDENNNSRSLKKLKKAPKSSFISEALSPKHLEGKQLKFKDELNDFDPKSAARIKSDGRISIPFMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHAVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSARESRSVTSNSYTLHKPGRFSENWSIANFRPNFNLVRTALADAQAQCPRMVVPTNNHESRRNYLELELDFLTDQCDYEADFPSVVNVSVLEPEPLKHAMEPVEQSLLSTLSYRHAENRFRIVSETCFEGEGSHWASSSFHTCDAGRHKSGSKSIGKQKASSESGRPAKSKIQRTTEPQEGPVTNSFHRIPGQLLHNSSEFHITQSLSDFGINDSEFTHFEDLPQEADTDFVPYQYDSDVLSCIEELDPLSDFTDIG >OB02G35690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20728175:20728552:1 gene:OB02G35690 transcript:OB02G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQTPAEMLNPPKVQPGPKAQVGAPNCSNIESNLRGSFLTSAETLQTRGAPKRGPGVQIGGGPTAHLKHPAGVEQRRGLTAANGPRQGAPSEGISGKGPGGRRRRSTPASGAGGGAAARSRGV >OB02G35700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20731704:20734840:-1 gene:OB02G35700 transcript:OB02G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 1.5 [Source:Projected from Arabidopsis thaliana (AT1G32450) TAIR;Acc:AT1G32450] MSANDGDVNTRVIVMEGDESETSNAPKDECCDYTLDGSVDIKGSPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLKQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPVGCGTEHAPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWLSTAAAATALLLFLSGTLRYRYIRPSGNPVGRICQVAFAACRNRKAGVSPGGESLYESDEKADSGGRKLMHTEGYRFLDRAALVGANPKLATCTQPRDPWRLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLASRLTGRRTGPTELQRMGLGLVLGAMAMLPPPHHVGLRHPHRRHHHLPVPPGRLPAGVTTHRSSHRPNGAAEDGPRPGARRDGHGHGRHGRALQEGQRHHGDEQRPAHHVAGATVRADRRVRGDDVRRPA >OB02G35710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20739591:20739782:-1 gene:OB02G35710 transcript:OB02G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVVWLRRNPNSEASLTPPRQNIEGSWSPIRSKTRLRITRASPPRLERRRKGFMTANGTRA >OB02G35720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20752668:20756191:-1 gene:OB02G35720 transcript:OB02G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTEFKCKIARNQGIESCYRFGDSKTEGGGGYLELPAPMLRLRSPAPTSPPPPPPSSDARRFLQKSLQISTKRWFSAFGWSSSMLTPRELAAALHTLLVTKNGACKRSTRGGANAEHGDPVDPPKIPTNKLLPVLKNRSTGKHGLLQLLVKTTRVPHPNTIEVSMELEWFLAGVVSKDDRRRMTATPVPLCENGRSNSLI >OB02G35730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20754866:20755114:1 gene:OB02G35730 transcript:OB02G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPAEFTQEATRQSLIEISQSLPEKPSPQNLEVKSPRSRAANENHEDGADNYRSKLISISYLSPDAQPTPCPPKNVVT >OB02G35740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20759323:20759547:1 gene:OB02G35740 transcript:OB02G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQMFFNSLFYTCALVKILSSKFVLIMCLEVALIFFENNILHNISSIKLRPRGNLNYHISSSLQTNNHQHQYG >OB02G35750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20770304:20774054:1 gene:OB02G35750 transcript:OB02G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAPRSPPTPRCPSRSTTPPPAACRRDTPRPSTPRRPSHPRRCSCPTSPRGPYSYLGPSTAPASSPARSTPPCPSPARSPRSLPSPRPRRREGSRGGSGSRPSAACGGACRRRTSHVSYRSAAMTACSGRTAARGRTASTWWAPRINGGSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYKEADADTRRRLWQFLVDGDDDDSELDFSGSGRFALSLDRLKERRFHMWAHAAAVGDDESGREWGSRRLAPAPVVRDHTAVLGALTRALAATEAAYLDMTDQSMETHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRPDDGDDGWTLGTRPDDGDDGCTLGTMRMEDIGVGLEIETRPTGCSIFGLKPLQLSTDHSTSIEEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNDYIGDTPYISCTPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVILHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >OB02G35760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20776562:20778026:1 gene:OB02G35760 transcript:OB02G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LG09] MAMDDPPHLFLCPISMELMADPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNFDLTPNHTLKRVISSWLARGSPSSSSPSTSTLCSPIHELAAPLSQALEQERLLAALAELEETPFKVTKLKYMRACMAGDVAMQSAFVASGGVRVLGRVMAQALAESSAGGDFSSFTACEEAAAVLAVLPLSDEASVRIVLAPECIRPVMALLQRGSAEARLHAMDILTKISNSGGGEWTAGGDIDDVIKSLLELLSDEASTRLSSRALDVLLDVVERARGARAKAVEVGAVHVLVELLADADDRHVTERILLLLKRLCKCPEGRLAFAEHDLSVAAVARTMLRVSELSTQLAVKVLWLVSVVASSEKVLEDMMLTGAVAKLLGLLHVESAPSTKQKTVRMVRIHGVIWRQYPCFPTDFRDYLRLLD >OB02G35770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20780979:20786029:1 gene:OB02G35770 transcript:OB02G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G46630) TAIR;Acc:AT5G46630] MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGIRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >OB02G35780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20786317:20790753:1 gene:OB02G35780 transcript:OB02G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G17830) TAIR;Acc:AT4G17830] MASPVPPPQQLRDAVGGLDRDPFVALLGKLIGETRRLQNDPPAHVPQEDLVAQHVVDAFLPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPDRVVSFVGMHMDVVPANPDEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPVLKHSVIAVFIANEENSLITGIGVDGLVKDGLLDKLKNGPLFWIDTADKQPCIGTGGAITWHLKAIGKLFHSGLAHKAINSMELNMEALKEIQTMFYNDFPAHEKEKVYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTKSVMKKLREYVDDINENLETKLQPRGPVSKYVLPDENLRGRLEITIDEDVMNGVACNLESRGFHALCKATEEVVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEVEV >OB02G35790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20806261:20809900:1 gene:OB02G35790 transcript:OB02G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAVERNRRRQMNEYLAILRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPHHKSKCDDAMPTHNGGGSNAGGGCMESTTTSNCSSSVTEDAQHVDAPPLAQFFAYPQYVWCHSPRDSAGATAASSSSSSPTAGLQAEHRSGLADIEVSLVETHASIRVMSPRRPGQLLKMIAGLQALRLTVLHLNVTTLGSLVLYSLSVKVEEGCNLTTVDDIAAAVHHVLCIVDAEVASEQLLAAGQLAATATATAKREQAAYMY >OB02G35800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20815715:20816974:-1 gene:OB02G35800 transcript:OB02G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSPPATRGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDLDGSNSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRILGSSHRRGGGGGGRGRRRRRGGRRGGGGGPARRRRRGGRRGGGGGGADGGNNSRSQEPWNVSLSDGMDETLINKIMVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHVPCIDTWLKSHSNCPLCRCNIAFVTVGMVSPEPEAARAPREDRRENHHELVLTIDDPDHVHEEPQHMVTGNSGGNQEAKDGPGRPEDAHGIPEIREDGALMPLTRAPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGANMKRLAPAGRSCFSSRSGRGKDSVLPM >OB02G35810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20827346:20828674:-1 gene:OB02G35810 transcript:OB02G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKNRGGMGGGEYDEEVENQRWPPWLKPLLATSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLGHHREHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKHAGSGAGAAAAAGKRKKRTVLKDTRSDSEDSCTSTSGGSSDKSSVVQSFTPSTPPPTSTSYRSGNKRRKGVPHRSPFGSLIVEF >OB02G35820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20842877:20844689:1 gene:OB02G35820 transcript:OB02G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTPSVTQPNPGYIDPVTFESLYYFNQNFVRARTRCRRSPSCSSRLSMNEAVRWGKIYLVETQPRTDAGNASALSSENRGEEELREGDRATKPHGVEGMKAEKAAVAVAVGGGDEWRCRKHPVARSGGGVCPHCLRDRLLRLCPNCAHVRPCPCTCASPSSSSSASGDAVGRVHTLIEREHRIARSRSVAASSSLGAASTASAAAGAVGGRRKARVWGWPPFWKAAARDGVAAAEDEEEEEGLGLARSSSVSATVVEAKAAAAAAKARWGWHFPSPLKAFRHRRSSVSIPERG >OB02G35830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20848821:20851799:-1 gene:OB02G35830 transcript:OB02G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASGDAKGQVSGSDTRHLLALLSIHMGAKTRKKKRTQEQKKKIKGGKKRNSLRLLRSHPPRSRSRLLTADRFVPRLPPPGSTKPSQSQAREPRAPPPLGRKTRRPRREESARGDELLRCMDGASEKPPHKDYYKVLEVDYDASDDNIKLSYRRLALKWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >OB02G35840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20860212:20861917:1 gene:OB02G35840 transcript:OB02G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSPDVIAFHLKRFTTLDSSIEKIDKHVVYPLELDLKPFHSDPDADMELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYVRQGKFQWFSSLLEQKDEQHPENTSGASPVSVLENMDVDCPTSSGEGINGTTGDKLEKDETSPCKTSFQPKEAAKESPVEPIKVDLKDEIVPSISSHHDNVIISCPGSAADINNLERPSTPSPRRKRFFSDNQLDVFEFEDFGKEDEETPLLGNHKFQPKAKKAKGDSASKSMKGHKGPCIDKNVTRLVRSMPSTRRNGLLDCLNTQLNAAQDSRSCPRSDPVRKRKKFNVPVPINL >OB02G35850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20863426:20866035:-1 gene:OB02G35850 transcript:OB02G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLVGVYLSMPHSDYSFLKLPRNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATCIGLIPAAYVTVRAGIALGDLSSLSDLYDTQSIALMFLIGVVSVTPTLLGKNEKQQDEASEVAASSS >OB02G35860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20878941:20887198:-1 gene:OB02G35860 transcript:OB02G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGEQDHRRSGGGDKKAAGEKEAAVKAEKVPFLKLFSFADRWDYVLMAMGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGVVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLVWFTSVVVHKNISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERSTVNKASSKVGRTLPAVDGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAILLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDATMDEINHVAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQSKQSLSDSASISRPLSSKYSRELSRTSMGGSFRSEKESVSRYGGTVEAHEEGHKRKPVSMKKLYSMIRPDWFFGVSGTISAFVAGSQMPLFALGVTQALVSYYMGWETTRREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNVGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDIKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGRDVRKVKLKSLRKHIGLVQQEPALFATTIYENILYGKDGATEAEVIDAAKLANAHSFISALPEGYQTKVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIENRSGAYHKLVSLQQQQQEQLQRH >OB02G35870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20903788:20905611:-1 gene:OB02G35870 transcript:OB02G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHHAAGYTYARAYAFAASAANHLASWLAAAAARGVEQLELHLPRSRLAVLPPSLIACTNLTSLTLRLDHYAHPLPSLRSLTRLSHLHLAAITLAGDNFFADLFSHCKQLRYLVLEKCRIGALCLAGSMQLCSLAITDCSWIQQSSIAFSDMPALRTLHYSGAMASRHIIDNVDSLEEVVLAIKQPQVKLQEPNLRELLTLVGNVHSLMLSPWCIEQFARPEEWSKVRLNNVRQLACVIERREEGAASIAPLLANCQNVEELSVSVVPSQCKRRRGSDDGSYHGVLGGKGAVLRHLRAVRMVYIDESKSGLELVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADIQFSATG >OB02G35880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20903788:20912135:-1 gene:OB02G35880 transcript:OB02G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRMIAAQDSEIEDLFRYNSSRKGLTLLYILTLGVVESYRNLGIGCYLLVLLSVTVCDYDLIDPHKHSHLQHLHWSERGVYLHVISYNQPAISFYNKMLFKLVRRLPQFYYIRGQHYDSYLFVYYVNGGRSPCSPLSSNLGTLIFHKTIEMDDGVGTGYLKALKSLWNIREVITSFVVDFRAFLKMVVAKFWNKEDRSTPRWSRSNQAFAASAANHLASWLAAAAARGVEQLELHLPRSRLAVLPPSLIACTNLTSLTLRLDHYAHPLPSLRSLTRLSHLHLAAITLAGDNFFADLFSHCKQLRYLVLEKCRIGALCLAGSMQLCSLAITDCSWIQQSSIAFSDMPALRTLHYSGAMASRHIIDNVDSLEEVVLAIKQPQVKLQEPNLRELLTLVGNVHSLMLSPWCIEQFARPEEWSKVRLNNVRQLACVIERREEGAASIAPLLANCQNVEELSVSVVPSQCKRRRGSDDGSYHGVLGGKGAVLRHLRAVRMVYIDESKSGLELVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADIQFSATG >OB02G35890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20915821:20919633:1 gene:OB02G35890 transcript:OB02G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAAAARVAVRDEIPSASAEVDITYWAAQEEAAARLESMAARARGEDDLSESEEQLQANNQLQEDEVIALQAIFGDDMVILENKDSLRFIQIFVHYTLPDGGIRVFLNLHPSGAMVGTDDNDNHSDGELCYACRLQHLPPIVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEIWAQRPGQEVIYRWVDWLNSSSWSLIALNDDIVLDPDTTSKFGDERAIARRILVDSTIPLMQSHSEKRSHKIFLQSLFECGICFSEDAGINFIKLPCHHFFCVKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALKKLLDTMPDLVYCPRCHTACLEVDNDAQCPCCFFTFCTLCKRRRHVGDTCITTEEKISILKERHKLYSIPKEQLLKEQREINELIDIQEALRDAKQCPRCKIAISKIEGCNKMTCSNCGRFFCYLCNKAIGGYDHFWNGNCVMFDGDQDQNPQQQGDENDGDADEDAELLEPEWVRLTHPCPNCGHRNEKLGTNNHILCLGCRGHYCALCRKRVFRGSQHFGPRGCQQHTEDDW >OB02G35900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20919824:20923157:-1 gene:OB02G35900 transcript:OB02G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16810) TAIR;Acc:AT5G16810] MLKAPPASFLSSLEPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQDLGLGYSSEEIERLRAQDIGEGEVNIRLYEGRVVQGPLKGTEAVFKVYPGSRFGASEADLMAVNELRTHVFLQNDASDISENIQFLLGAFETSTGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDQAYKLELRRYFVLKLLNGAMSGLVHMHNHDRLHQSLGPSSVVLNTVAEKNGRYLVPRLRDLAFSVDIGYSSVGAGALSDGLWRRASAAGASTPLEKRAFGIADDIYGAGLLLAYMSFVPFCEAGTMDGISLQRLLENTFRLDMYAAREYFLADDRLSEAVDFLDLGDGAGWELLQVCLYESFT >OB02G35910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20924062:20925123:1 gene:OB02G35910 transcript:OB02G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLRERTQLRLSVLASPPFFPNQEHGGLPSTPPAQAASCVTELEMLSVLGRGAGGTAGVHLRVAAAAPDHPSVVRLHGVSVGNPVAGNRFVYLVLEYLPEGSLSDVLRRGPLPEGAIATVARCVLGGLSHLHHLGVAHGDVKPVQPPRRPPRRGQDRRLRRQPPRHERNPPPSLSRHVLRLRLRLRNPPASRHVGGSAYMSPERLHPEGFGATAPSPGVDFPSAVWSLGVVLLERHTGRFPLVAAGERPDWPALVLAVCFAGAPELPAAASPEFSGFVRRCLEKDWRRRATVEELLGHPFVAGKPSRCGANEWLTSFHDKTGQVNTSICGEDEIEV >OB02G35920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20926299:20927603:-1 gene:OB02G35920 transcript:OB02G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLVAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRASQREQQQQKPGATKSKDKAVDTSDADTHSPSSSASSSSTTTANNNGSSSGEQCGTSREPETVDVSLLEPEIDIADMLLVDDAPTEALLAAPMPPSPCSSSSLTTTACIGAVSDDELLDLPEIDIEPEIWSIIDGYGGAPVAAGAGDATVPCTTTANAASASPGEEGAEWWVENLEKELGLWGPMDESLAHPGPLGQVCYAGPLTELTDGDPVSTYFQSGPTASPLPEIASSSAVLS >OB02G35930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20941555:20944002:1 gene:OB02G35930 transcript:OB02G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLSLSPGPKQQLQQQQEHDGVGVGGGGSDAAGGVAVPEESTAAKAGGRLVREERTIHLIPLLTFLCFLLLFLFSHDPSSSDMSSFSDAGRTGNRRLRML >OB02G35940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20943415:20945697:-1 gene:OB02G35940 transcript:OB02G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKVSMVSTVKVHNVSLEASEQDIREFFSFSGFILHVELQSGDERSQFAYITFKDDEGSERAMLLTGATIVDMAVIITPATNYQPPAAVLADLESKNIGGVESALRKAEDAVGSMLAKGFVLGKDALEKAKSFDERHQLTSTATAKVSSLDRKMGLSQKFNTGTLVVIEKMEEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKAKEMMVPEQDGKHQDDEPAKGHSPENSEGVQEGKHQEDEGPKSNTPENSEMGKQEMENQEGEIPTARAQENAEIAEKKYNHEEAELPKAEQTEREHKHPDSELSKTHVRGSPVTIPVCTATTDGNTSSNTPKKPEHAQGFI >OB02G35950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20943598:20943975:1 gene:OB02G35950 transcript:OB02G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLSYFSVFLRTGCWDFTLLIFHLLFTHFRVFWRVTLWSFIFLMLAFLYALRVFRRMALCRFIILMFAILLRHHHFLCLCSSISCSGCNLAVGISYPCCPCKDISVTHDCRASSRDGLFCSC >OB02G35960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20947405:20947656:-1 gene:OB02G35960 transcript:OB02G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSDLSSAPKMKTARHSAFFASRAACPSGSAGEFSARRICRYSDRTTGRFRARSSAVAQIIPPPAFAIPPRFRRRAAEQRALA >OB02G35970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20947451:20947720:1 gene:OB02G35970 transcript:OB02G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGIIWATAEDLARNRPVVLSLYRQILRALNSPALPLGHAARLAKKAECRAVFIFGAEERSLHNIRDLLEAARHTVGLLNRGRLP >OB02G35980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20947686:20953842:-1 gene:OB02G35980 transcript:OB02G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAGVEDEEEETSPIEEVRLTVPAGDDPTLPVWTFRMWSIGLLACALMSFLNQFFSYRTEPLIVTQITVQVASLPLGHFMARALPRRAFRAPAALGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYQRSISFIAAWLLIMTTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEEQPNGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGMKGLGIGAFTLDWTAVSSFLFSPLISPFFATANIFIGFVLFVYVLIPISYWGFDLYNAKTFPIFSSHLFMSNGTGYDITAIVNDKFQLDLDAYNKHGRINLSVFFALAYGLSFATIASTITHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPGWWFYSLMALSMTVALILCTVLKHQVQLPWWGLLFACGMAFIFTLPISIITATTNQTPGLNVITEYAIGLIMPGYPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTMVAGTVNLGVAWWLLGSIKNICQDSLPDDSPWTCPNDRVFFDASVIWGLVGPMRIFGPHGNYGALNWFFLIGAAGPVIVYIFHKMFPNQKWIRLINLPVLIGATAMMPPATALNYNSWLLVGIIFNFFVFRYQKKWWERYNYILSAALDAGVAFMGVLLYFSLSMENKSINWWGTAGEHCPLATCPTAKGVDLGPTSVCPVL >OB02G35990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20949489:20949812:1 gene:OB02G35990 transcript:OB02G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLLLLRSSEAVVYFPAYLSLNQAWRMHLIVSCIHVLIIDVLLSIEEADVGDSGGNGGKTEPISECEKYTEVDPAMLIVSIQIKLKLVVHDGCDIIARAVGHEQV >OB02G36000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20950656:20951219:1 gene:OB02G36000 transcript:OB02G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHQSSVITAGHLSSGCAHARHPRPEEKDMHLYPVSTHPRLHRSTSRSTHGMERAACGCERASSMCRNRVFSGVWANLPLEPALDHTTATAACSTAPIQQSLHARPRDFSNTSLPIRLHSLGFPLCSRASWASRGQPTRRARSHRASARAACSLRARSLARLKKNWSRKKRPCPSPMQAPVCLA >OB02G36010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20964557:20966273:1 gene:OB02G36010 transcript:OB02G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARVLWHLDPNGCVRRHGVCGACFQLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPSKPMAVYATIWDGSAWATDGGKYKVNYKYAPFTSDFSDLALLGCRADPVLRAPRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRARGRGRRRGRKAGAGARAVARLDVSSS >OB02G36020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20976381:20985016:1 gene:OB02G36020 transcript:OB02G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDEVYLKPVSCGSRLRRSGDASLRLGGSMRDPFLKHKVKKFDLSSLNWIDEIQECPVFSPSIEEFEDPLVYLSKIAPVAAKYGICKIVSPLCASVPVGAVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGISKWNLKRLSRLPKSTLRLLRAAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAALDFEKVVHEHVYNHEILSGEGENAAFDVLLGKTTMFPPNILLHHHVPVYRAVQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYAHLKRTPLLPYEELLCKEATLLGHEFSTCDYKDTIALTGDAHSQRCMKVPFVQLMRVQHRIRWFLMKMGARTHYKADIDATILCGICRHDCYVAHIMCNCRIDAICLCHEEEIRKCPCSCDRVVFVRKDIFELEMISKKFEESGILDAVKKQMSRSDGPSQHSNLFNCIDHEAEYHPYSKIRIDSSPEVYSISETNFIRYDLNNPHPAASTVTFSSVPHEYSTQSDECTSSNRRTFSSSCLENTITPENAISNAYPLSTPDRACLSDKLAIHDTDDSDYEIFRVKRRSGLTPEKRHMEDGTANFTENQVLKRLKKINGRDRHEQKLPELSCGASEHVHTDYYRHCADFISENGDDFIDPTKLKMVHQLDANIVEDEVASSQKYNSYNYQSPSIELGPKRLKIRGPSFPSRSSDLEVSCRFQDNDLGSQHAR >OB02G36030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:20992493:20998237:1 gene:OB02G36030 transcript:OB02G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPATKSMDLGFWKRALDSSTTTATTNTAAATAAAPSIATSSSAPVMTSGGAGSGGFYQAAVAPANGQHHHHQLGGALQFLHRTQPIPRQDAAGGAGGLPDLAFTRPIRGIPVYNTSRPLXXXXXXXXXXXXXXXXXXAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKQPAPSYGQAKTVIEIPDDNMFDINNTSGSESSVQQQANLDGNEQESNMCALWSNNSSSRGAWFHDKSRDATPGDIKSFEDVQSQSPEDDASDLNSSPFQIPPETVVVSAMKPNLDFTLGRM >OB02G36040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21005536:21009185:1 gene:OB02G36040 transcript:OB02G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSELWDDSALVDAFDHAVATFKATHGKGTQATPSENEEPEDPSAAAPAGEEPILTEVATEPIEKDGSQTELPCEASETPCQTHEERKPTEQAPLQGTDLEKETHFSEPKMHASDATDAEQKDTSDQQTWDYNELVKKYYELEEQSRKVLEQLHQTNYWSYQVPGQSSVYQQVQVPAYSATAPDLHSSTIQNPYCCANVPLVSVSCCSTGQTSGASCMQPSGGCSISLTCDQCPGTSTAYSTGASCMQQPEKVSTDGDQVAKAAIMTAEGAMNFMRSTISGDSGTFPRVDTASGKEGTTMGMNPNFDTVGADSDLAVVLNAWYAAGFYTGRYLMQQSMKNSRQG >OB02G36050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21010141:21014793:1 gene:OB02G36050 transcript:OB02G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNAFGERLKITGSEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKEARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANLSQQNFEDVHVHTFTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTATLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPEASENAEQRPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDAKKDDLISF >OB02G36060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21015048:21022310:-1 gene:OB02G36060 transcript:OB02G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 3 [Source:Projected from Arabidopsis thaliana (AT1G65060) TAIR;Acc:AT1G65060] MGVSLPPMQYSFDLVEAPIVLEVIAEVPRGSKPLMVLIMMNFPMWINITKTLLMVGYGCMVLRVAPMAIKDRFSGNPEGLTRTNHKPEWATRKCQSKCQSSSQKVVPKVEVRFSPPLRMPVAWSRHCQLKLKIRCWTAILEKYVGTSSEGGAMVAARASEQETMITVAALEAQPQAAAVAAEAVEEAPEVTIFRSKLPDIDIPNHLPLHEYCFARAAELPDAPCLIAAATGRTYTFAETHLLCRKAAAALHRLGVGHGDRVMVLLQNCVEFAVAFFAASFLGAVTTAANPFCRPQEIHKQFKASGAKAILTQSVYVEKLRHEAFPRIDAASGDDTLTVITIDDNEEHHTPEGCLPFWGLIAQADGDSIPEVSISPDDPVALPFSSGTTGLPKGVVLTHRSLVSSVAQQVDGENPNLHMGEGDVALCVLPLFHIFSLNSVLLCAVRTGAAVMLMPRFEMGAMLEGIERWRVTVAAVVPPLVLALAKNPVVERHDLSSIRIVLSGAAPLGKDLEDALRARVPQAIFGQGYGMTEAGPVLSMCPAFAREPTPAKTGSCGTVVRNAELKVVDPDTGFSLGRNLPGEICIRGPQIMKGYLNDPDATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLIAHPSIADAAVVPQKDDVAGEVPVAFVVRAADSDITEESIKEFISKQVVFYKRLHKVHFTHAIPKSVSGKILRKELRAKLAGVLNC >OB02G36070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21025537:21025725:1 gene:OB02G36070 transcript:OB02G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFISKIWIFLNLITHGVIFYYFSLFCTRVIVLTCEPFTTMELILHLNGNEENEHIYIIT >OB02G36080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21025763:21027718:-1 gene:OB02G36080 transcript:OB02G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31920) TAIR;Acc:AT1G31920] MVGGLVLSHSQAKQHQVVGIAPRGPAAPEQAAFRGRDQAPCGGAGARPPGSLDEVRKAQARHVKLGLDRSPQHARPLLAACALADWPGSMAYAASIFASLDEPEPFDYNTLLRGYVGGGGGERDPAAALWLDVDMAEDGVEPDSYTFPFVFKACAQLGALQEGRQLQGHQVKLGFQHDEHSQNSLISFYGKCGEAELARRAFEQMGDDEKTTASWSALLAAYTRAGRWAECVESFGAMVREGWRPDESSMVSALSACAHLGAYDVGRSVHCALLRNTTRLNTFMSTSLVDMYAKCGCIEKAAAVFDAMDDKNAWTYSAMVSGLALHGDGLRALEVFDAMVREGHRPDAPVYVGVLNACSRAGLLEEGLRCFDRMRLEHKLTPNAQHYSCMVDLMARAGRLDDARSLIGSMPTGPTDTAWRSLLNACRIHGNLELAERALQELERLGAVNAGDYIILADMHARADNWDAAAARRTEAVDRGLAQSPGYSAVEVHGATHRFVSQDRSHPRADDIYEMLHQMEWQLRFEGYKPDTSEVAQDVDEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRNQFHRFKRGECSCRNYW >OB02G36090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21030162:21034260:1 gene:OB02G36090 transcript:OB02G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADHEAQRVRNQELVKMQEESAIRQEQIRRAIEEQIQEERRKTDREKAAIDRDTEKMRIFADAEARAIEKKQTEDVDRRLLIEKAKAEKEKWIEAINTTFDHIGGGLRTILTDQNKLVVAVGGATALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRSMHTMASKLNKGSNSGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLRLYLDKYIMKAGEKHEKSWLRFFRGQPQKIEVKGITDELIREAAAKTEGFSGREIAKLMASVQAAVYGSKECVLTPDLFREVVDYKVAEHQQRRRLAGYDMKNA >OB02G36100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21034873:21036508:-1 gene:OB02G36100 transcript:OB02G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSSQPRTDSLVAEVVETLAPDMNIGESGSQAVPADSPHGIEVGAEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERMSTAGARVMTDLPVVKEGSQLESDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESLNPAE >OB02G36110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21038542:21041935:1 gene:OB02G36110 transcript:OB02G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3LG44] MHHDPNPFDEGADENPFSNGGGGAARGGGGGGRGGGGGGKSQFSFGFGGLGGGSKGGATVDIPLDNMSDSKGKGKELLQWESDLKRREADIRRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGTPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQKVYMYFRGHK >OB02G36120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21042564:21045572:1 gene:OB02G36120 transcript:OB02G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:J3LG45] MAISSLHATTSLHSPCTTNTSFRQNQVIFFTTRSSRRGSTRHGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYFGNEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIIAERAGAPTEAAKV >OB02G36130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21046300:21046758:-1 gene:OB02G36130 transcript:OB02G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATGLPDATTFDRWHFGPHLVAAGDRLLLVLFMLVPRFGSLFQAQTRVKKVAVYALDMAKMRWEEVENIGAYSLFVDCAGRSTAGCVDVGNCGLEENRIYIAAPGCDGWYSCSPGWEVPLGGQGTGPLSIQAMKWLPWPSQIWIYPRLLF >OB02G36140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21057702:21058097:1 gene:OB02G36140 transcript:OB02G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQAGDIADIVRAGGAAAAGGGGGEGGGGWSEGRRPVMCDYFLQRMEGEQAGALADIVRAGGAAAAGGGGGEGGGGWSEGRRPVMCDYFLQRMEGEQAGDIADIVRAGGAAGAGGGGGGGGGGGGRGVE >OB02G36150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21058138:21062327:1 gene:OB02G36150 transcript:OB02G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQAGDLADIVRAGGGGGGGGGGGGGWSEGRWPVMCDYFLQRMEGEQAGALADIVRAGGAAAAGGGGGGSGSRGVPSTARTEWQLPPAGETGLFPLPPPSSSDGSGMSSGADAFGDPFAGLPDPFGGDYPSSSAGAAADFFDAVVTGSMDAGGVAKAGFVDLGVVGGGGCDGGVDGGGGGGLLGMRKPILPRGAMQMMPSVSPRAIRPYPIMAGHDAVKLGVPMAGGPCAFDAAAAGLHMSSSPRAGGGIKRRKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHAKNSNSSSSSSASKNNSSHSYCHQTKPPVKAEPNDQAAAAVAAAATTSTTATTTGTTGAGIPVKEEAMAGSSSGTFQRQKSMDDNASAAATAAAAAGEGQHSDLMQQMFSQSYRPTIPEGAGGHHDDFFADLAELESDPMSLIFSKEYMATKPGGDPDKENTIGKELDPAYMLDWSTTVGTTAGGSSFMQGEGGNL >OB02G36160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21086543:21088945:1 gene:OB02G36160 transcript:OB02G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEHGVQWHLDEPGGVPGDRPPWQQPGQRVQRHDVVRDELPHPDLRRHRRRHVPRQLQHHPHLARRLPPRDDAGHAVGVPADHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRSSLLPFGAEQFDDDDAADRERKAAFFSWFYLCVDFGLVVSGVFLVWIQQNVSWGLGFGIPTACIALAFAAFVLSTPMYKRRVPTGTPLKSLGQVVVAAFRKAGMKLPNDAELLYEVSDKIDSQPKIAHTSEFRFLDKAAVVSESDLEETPEAASSWKLCTVTQVEELKILLRLLPIWATSIIMSSAYSQMNTTFIQQGSAMDMNIFSVPVPAASLSSFQVLCVLIWVLLYSKVIVPALRGFSSGAAGEPSQLQRMGAGRLIMAVAMAAAALVEMKRLSGAASGETINIAWQLPQYFLLAGAEVFCYIAQLEFFFGEAPDTMKSTCTSLALLTIALGSYLSSLIYAVVEAFTATAGSPGWIADDLNQGHLDYFFWMMAAMCTLNFVVYSGFAKNYKLKTVLS >OB02G36170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21090063:21093610:1 gene:OB02G36170 transcript:OB02G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMAPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKDLEASGRLPSGGGTSLF >OB02G36180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21099096:21104376:1 gene:OB02G36180 transcript:OB02G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGMVDDPRVVLIKLADRLHNMRTIYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQVFKKMRSELTSMWKSTNRSKSMRRSSARSGLPSSMKDEHKTSIHDFFSLSNQEKLNMKDLLQAVLPFDLLLDRKRRSYFLQNLQSSSETSVAKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIRQIYDARALRVIIGDKNGALHGPAVKNCYNVLDIVQRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEYGLAAHWLYKESKVDYRGGTSNKIGQSTSYPSNSSEDENYIQDVMPSKYSSMKVGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFSLEASEALAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTYIQFIDLTEEEEEEYWMVVSAIFEGKETSSLTSDSSNTERSASEPASSTPLSDPINNKVHLLRTMLQWEQQVRRGASLAEKSLTVSTCTEPILREVAIICWPHGKIMRMSLGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRM >OB02G36190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21106549:21108703:1 gene:OB02G36190 transcript:OB02G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIEQEVEDMAMALKEVKVQEEDPKDAASNEKCDETEVAEATKLMEEGSGKEEESEEGEEDKSCDDPKEEMVATGEESAKTQEVEGVGKEQELTSVVNSAHMPTMEDSPILGVLSKPERVEPLSEKVEHASVGDCPRIGELSAVNEITGEMSDKEVDADEVPEEKLSTDIAGDKTGSEEDGLNARKEASAVEMPQADLTGDETSEEEDLNVVKEGAVDVELQADLKDAETSEDVDIDEYAATEASSEETDGESDPSEVDTDSEEEEAEMPPVTVEDALITEINHAGEEEDEASSEETDGESDPSELATDSEEEEAEMLPVTVEDALITEINHAGEEEDDFSDDLPPEFDSAGNFTDAETETESDSTAVTSSAAKAAAVKHLDDESSVTESSSEEEVSQQEIEASLKTIVKSLDVFTITQQDELAEEMKSIDVAEAAGAKELKKNNNLVEDLKGKSLRKLKSMYKDSLIAKAATEGKRLALAELDDNAGVGC >OB02G36200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21109827:21110327:1 gene:OB02G36200 transcript:OB02G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHNGNISLDDVIEIARTMRPRSMAKDMFGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGEVEIPSA >OB02G36210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21114969:21121726:-1 gene:OB02G36210 transcript:OB02G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:J3LG54] MAAAAARGPLKWSSAHNSAAGGGGGGGAGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTAQLWVYEDGAVVNRNVTYVPGLYKIFDEILVNAADNKQRDPTMDALRVEIDVDECRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRVSFKPDLAKFNMTELENDVVALMRKRVVDMAGTLGKTVKVELDHKKVPVHSFKDYVELYIKSASKDRADELKSIYEKVNDRWEVCVCVSEGQFQQVSFVNRIATIKGGTHVDCVTNQIATRVMEIVNKKNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSECKLSEDFLKKVGSSAIVTNLLSWADFKLSKELQKTDGSKRSRLTGIPKLEDANGAGGKDSQMCTLILTEGDSAKALAMAGIAVVGRDRYGVFPLRGKLLNVREASHKQIMENAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLIKIPSFLVEFITPIIKATNKRDKKNVLPFYSMPEYEQWKESLGGNARGWSIKYYKGLGTSTSTEGRQYFQDIAKHKKDFVWANDQDDDNIELAFSKKRITDRKDWLSNFQPGTYLDQHDKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKETKVAQFSGYVSEHSAYHHGEQSLASTITGMAQDFVGSNNINLLLPLGQFGTRDQGGKDAASARYIYTQLAPITRSIFPKDDDILLNYLDEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIVANLRRLLNNEPVEPMDPWYRGFKGSIQKTSTKAGGVSYTVTGIIEVLDDTTLRITELPIRRWSQDYKEFLISIGGMDKSKDKDKGKGKGKEKEKDKDKDIEPFIEVFDTHSDDKNVEFLITLSKENMAIAKQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDILQEFFGLRLEFYEKRKRVLLENIELELKKLSNKVRFILAVVEGDIIVNNRKRADLFVELRQKGFDPFPKKIQSTEPAAVGASEEDEENEESPETVKGVSSSDYEYLLSMSIGTLTLEKVQELIAEKGRLENGVAELKGTTPKLLWMRDLDEFEKQLDVLDEEDRINAEERRSTRNVGHGVAPKAAARRRPKKTATNSEAAEITDGNVAAAAAVVRKPTAVTAIPKPAAQKKQPVKKASIISSDDEEDEVLALKDRLAAYKLDDNSEDTAMETETAEEQTKTKKGKKEPSKRGAAKKALSSLAELSDDDEDATEPIDKEDEEDFAMEVQVKKGRGRKPAAEKPKAATRKRAPAQGKSMRQKVMEEIFKPTEDSSTSAPSPEKKVRKMRVSPFHKKSGSVLQRGSTASASTEETESSPASGSSAEPVAARPTRQTRGNKKSYQEVQELSDDTEDEVQDISDDSDFAGSDFAEDDD >OB02G36220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21125943:21128091:1 gene:OB02G36220 transcript:OB02G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKEAVFMGWRTMAPRSDDFPIEFYKSLGINAEEEDSWTAHGRTEPNLVDLTLALEGHAAAGFPGASDPARPVLRSGVLLELAGFVRAVREVPFPKPVPRRAGNPSCKAWESFAAAGKEPPLKHVPRWLPCFPEKREPEPKATNEGAAARWEARRRDEGEANVEEAVAVKPSGDGAGGRGLLPEKRGKVSFRLREGAKNRRLGLDRQCDGGLERLEEKRENSAAMVVRHGRAANHRPLGHGDGGGTELALSVPVVGLKTS >OB02G36230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21128785:21133417:-1 gene:OB02G36230 transcript:OB02G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEWGGGGDGEEACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGNSLKKREKYARREDAILHALELEKKLLASKNQAQGSKPASVPVCSKHNKDLGSTRYKSKKSKKRKGISTSSDTKKEAEQYFPHAGSKGNFLDSPTRVISDNPLGNHLGDISHVRHIQAGASLESKEKITTVEKIRSDGSDFDESIEKSDKRQALVQILQSSPKLPHQSQHNDDYGDVPTQGEMDRSPANYRAKRSRYVFLPTDSGDTYSHSDLPSIQVASTGGDFDTESYLHHPASFSEEQTSSDLVEKHISESSERECSESETEDDAELLQSSNLILHPASNAHDPYFLPASEKFRHVDIDADADELTYSSYMCQVNESEEDGSSELGVSQWHMKGKRNSRNAPKRLDTTDGYPWLDKSDSFMDGSLYKTNGRNSRKGNIQISNQQLLGQSSYQIKDELNYDSDETDFFEDTGHSEVNFYHGRTYSSCLKATRDFSRSYSYFSDYGNDSSKISPLNRDSDKIFHVDRNAYWDGSSFYQKYSSRLRGMGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEILGDGSTDNLVSCGNISLEGRTGGQPAWCTGRRTAMQRIPRSNPSGASLDGDDEGSFAYPDSEMKPGFRKYSASNHQVKVDKKSTSNARRPSAFKSQKKASKKASLSSQKVRTLSSISTGKRHHGVGGQAKAHRHSGIFGGLIRIGGAVPLVTCVPAKVVFTRILEAVGRPPLAVAHRVRMASPALRDPS >OB02G36240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21137741:21139096:1 gene:OB02G36240 transcript:OB02G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSRGLAPRPPLQEAVSRPYIPSLSTAPRNPAAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAASSPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASSHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHIAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHIVQTYQGHSQEVCGLKWSSSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRVEDHTAAVKALAWCPFQSNLLATGGGGRVVPIPEQPAGNWWWW >OB02G36250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21139049:21139616:1 gene:OB02G36250 transcript:OB02G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHIR >OB02G36260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21140770:21140946:-1 gene:OB02G36260 transcript:OB02G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNPSKKNPHSIFIQENNVLFSTKKSVCFLLVRSILKRSNLSQKKSTFNFIKKIKFFFL >OB02G36270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21145944:21148670:1 gene:OB02G36270 transcript:OB02G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMDRAGYGVGVGGAGAVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFSTAAPPTIPSAENNNTGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQSILEKAQNNLSYDATGTANLEATRTQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDNLRTSDLGFQLYHGIQESEDVECSQDEGLLLLDLNIKGGYDHLSSGAMRGGESGLKISQHRR >OB02G36280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21149420:21154707:-1 gene:OB02G36280 transcript:OB02G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:J3LG61] MELTAAGNTGTRGEWQFEDEAPACRLPLALEVAYDVSYEWTTNCVLFSDVQKPAASAESANGAAPMGECATEYRGLPDGDAGAALSSSSTRTVSMNPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVVWSIPEALITAELGSMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVLGLTAVLTLLNYRGLTVVGWVAICLGVFSLLPFLVMGLIALPKLRPARWLVVDLHKVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALFYAVIFVVVGYLYPLLAGTGAVPLGREQWTDGYFADIAKLLGGAWLMWWVQSAAAVSNMGMFVAEMSSDSYQLLGMAERGMLPAFFAARSRYGTPLAGILFSASGVLLLSTMSFQEIVAAENFLYCFGMLLEFAAFVMHRVRRPDAPRPYRVPLGTAGCVAMLVPPTALIAVVLALSTLKVALVSLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPEIGVEEAAASKENAASTAAAKAEATKAAKEGASSYWGIAPSKLATKDGVEWKWSCFRPWETYSPDTTIDLKKHHEPKVLLDKVAYWTVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEPSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVLAVQGVFFNAYFIGYLLSPKLAHRVVGYLEEEAIHSYTEFLKEIEAGKIENIPAPPIAIDYWRLPADATLRDVVVVVRADEAHHRDVNHFASDVHFQGMELKDTPAPLDYH >OB02G36290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21163097:21167469:1 gene:OB02G36290 transcript:OB02G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILRICNVIGSPDEQSWAQGLSLAETMKYQFPQVRGNQLAEVMTSVSSEAIDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRPKAGGLPKTPPCVGVKGVSEHGIARRYSTGTLSTTKALSAASLKSNALSKTGVQRKLQMDRQAPQKSKKPTEINNRLTTNRVPAKNSPGHPVLRHSRSLPDTGRAAHKVSTITDRLSNLSVTSRTRTTPKPSAPLLKAGLGKSDFLGKSDEIPPAKRLTRKLVS >OB02G36300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21168110:21171628:-1 gene:OB02G36300 transcript:OB02G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEGSHRSAASTPNAGKSLTSQLKDMVLKFSGSGKHQYKSGGSPSLRSSRFHRSSRLAAYPGIIDESGFTSDGAGDAYTYMRTTTIAGGARAAPSTWDLPPKVNHRSFQPRVIRSPRASGIPSIGEEEDDDDDDDDDDEETVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGLSTPTSSVDEAMQRDSFYSRIGSTRESPATTMMPPQPSSAGREHPITRTASNKAVSSSSAARPPFYPSTAVPDPSDHVWAHHFNLLNSAAGPPAAPYDPSRGTTSSRDEASVSVSNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRFSRERFGEERAKVWWEQHRDRIHAQYL >OB02G36310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21187227:21189762:-1 gene:OB02G36310 transcript:OB02G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFGSALGSQLHMDNAAPYAAVGGGTGKDLRYTAYGTRSLADEHSQLITEAINTSIENPWRLLPSQNSSFPLSSYSQLGALSDLGQHTPKSLSKVQRQPLSFFGNDYAAVDSVKQENQTLRPFFDEWPKARDSWSDLADENANLSSFSGTQLSISIPMASSDFSAASSRSTNGD >OB02G36320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21210034:21210799:-1 gene:OB02G36320 transcript:OB02G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNATTYCRRLLEVLAIRICEIETVVVGTILLQQSSIDDAVLFLVLILDDDLNAKATFAPSTFFVPDN >OB02G36330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21217230:21217616:-1 gene:OB02G36330 transcript:OB02G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRVMVSVNATTYCRRLLEVLAIRICEIETVVVGTILLQQSSIDAGRHYLLPRRTAAPPPSCAVDARRPPPEKEEREESEEKSRARVRVWNRRLLLPMFY >OB02G36340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21224707:21228136:1 gene:OB02G36340 transcript:OB02G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-tocopherol methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G64970) TAIR;Acc:AT1G64970] MHHGFYDAGEAATMSDHRRAQIRMIEEALAFAAVPDDGENKPKTVVDVGCGIGGSSRYLAKKYGVQCYGITLSPVQAARGNALAAEQGLSDKVSFQVGDALEQPFPDGQFDLVWSMESGEHMPEKPKFVSELARVAAPGGRIIIVTWCHRDLEPSEDSLKPDELNLLKRICDAYYLPDWCSPSDYVKIAKSLSLEDIRTADWSENVAPFWPAVIKSALTWKGLTSLLRSGWKTIKGAMVMPLMIEGYKKGLIKFTIITCRKPETA >OB02G36350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21228943:21230961:-1 gene:OB02G36350 transcript:OB02G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:J3LG68] MDASRRQGGIQQLLAAEQEAQQIVNAARNAKSARLRQAKEEAEREIAEYRAQMEADFQRKVAESSGDSGANVKRLEQETDEKIVQLKQQAANVSPEVIQMLLRHVTTVKN >OB02G36360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21230819:21231831:-1 gene:OB02G36360 transcript:OB02G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVKDFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRWWGSATTGRVAAGIDETRLLQEQVMMYSCVPVLAK >OB02G36370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21234642:21236412:1 gene:OB02G36370 transcript:OB02G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKWMVDINLMGTFHLVKAALPAMKERTKETRLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLGEALQHEVIADGIHVSLIFPPDTETPGFAEENKRRPELTNIIAGSSGGMKADVVATKALDGIKSGKFIVPCNFEGAMLAVATAGLSPQSSPLTAFLEVIGAGLMRFAAICFQFNWFSTIENWYAKNKKCA >OB02G36380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21237183:21240110:1 gene:OB02G36380 transcript:OB02G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonucleases [Source:Projected from Arabidopsis thaliana (AT2G15820) TAIR;Acc:AT2G15820] MASTSPCAAPSPSLRCPLALSHPFASPPPPPPAFRLAAPKLLPGRLAVSSPGIPAVASALESLVLDLEDEEEDEDEETESGLFQGEAWAAADERDAVRSPELVVPELGELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWINQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVEKCQEVFEAIVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLRQAEFVYHNLVTTNLDVHKDVYAGLIWLHSYQDIIDRERIIALRKEMKQAGFDEGIDVLVSVMRAFSKEGNVEETEATWRDILQSGSDLPAQAYVCRMEVYAQAGEPMKSLDMFKEMKEKNIPPNVASYHKIVEIMTKAWEVDIAEELMNEFIESNMKHLMPAFLDLMYMYMDLDMHEKLELTFSKCIARCRPNRILYTIYLESLVKVGNIEKAEEVFGEMHSNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMNKKKYDVHADSLEKLQAGLLLGKKVIKPKAVNMKLDQEQREILIGLLLGGTRMESYAQRGVHIVHFQFQEDSNAHSVLRVHIHERFFEWLPSASRSFDDGSKIPYQFSTIPHQHFSFFADQFFLKGQHVLPKLIHRWLSPRVLAYWFMFGGSRLPSGDIVLKLSGGNSEGVERIVNSLQAQSLTSKVKRKGRFFWIGFQGSNAESFWRIIEPHVLNSFASSTMQEGSSIGFSGAQDTDTNFDDDVHNKYHTESDE >OB02G36390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21241197:21241621:-1 gene:OB02G36390 transcript:OB02G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGKPASGGGTSSDIRGLLQDFLEQQHRLDVRRQEALERHARERAAFEQQWRQSMQRLERERLMLEQAWMEREEQRRGREEARAERRDELLTTLLNRLLQDDL >OB02G36400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21246167:21249771:1 gene:OB02G36400 transcript:OB02G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:J3LG73] MAKGGIYLSCSAFVCALAFLGVDGDFVDITYVASAVGKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNATTCLQRKHTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQIVMADLLAKGMNKADKALISGCSAGALTSILHCDRFRDLFPLDVKVKCLSDAGFFINEKDIAGVEYIAAFFNDVVTTHGSAKNLPSSCTSNLPPGMCFFPQNEVKHIQTPLFILNAAYDSWQVRNILAPGFADPHGKWHSCKGDIEQCPASQLQILQGFRNDFLEALEEQGSPSTRGLFINSCFVHCQSEMQEIWFASGAPMLGNKTIADAVGDWFYDRSPFQKIDCAYPCDSTCHNRIYDSSKA >OB02G36410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21250259:21260221:-1 gene:OB02G36410 transcript:OB02G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGREVDAAGPPWGPSESTAFLPFAVAAGGRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFKTCNPEFKYSDSLNPKRFLTNPAIPVHNGGLDNANSDLILYVNLELVNTKSGRRYVIKEMLGQGTFGQVAKCWDAETNSYLAVKVIKNQPAFYQQAIMEVSLLSMLNEKFDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASEYDVLCRMIEILGGQPPDNLLREAKNTGRFFQQVGSIYPGIEVQNGPISAYRILTEEEIEARESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETENTDCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEVFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVGSVNRCLPFNSAFPPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMHHSPLAPSGFNLHSQAGGTFLGSSPDIRRRSYLSHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTAAGKYGSTSPARSGHGSLGKAAAVGQYNRRRNLGHPPISMPSHEYTSQLIQGHHGNGTISNRFDGYARGHSGYQQSALPNPGHFSWRPHTGTGSGLSTDPSNHGSFPPSRYNGFPPLHSSNVSADALASPSSIPDPADWDPNYSEESLLQEDSSLSDELSNLHLKDANGQTNQSSRLAHIQSHAIANSNSFSMNHRSDHLFHAPTLGESSASTGHATYDGYNNTNYSQLNFQSRHGQSFQQYNHMTASYLRPMGNRGPPVWPNYGMAEPPPATVVDGMPWGGRPGHSFATGALPSSFAGKDFGRIF >OB02G36420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21264630:21269208:-1 gene:OB02G36420 transcript:OB02G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MKMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSISYDDDSGLSATTGADGCVTTDSLDDEVSSCSSSKDVSSSSFSSQCHQLSKQEEHSLYELDTLSAVHLLPVKEKKPITYTLSASDIETMKEKFGKLLLGDDASGGSRGVCAALALSNAVINLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEALDSMVDTEYWYVESGSRANGRSKKNGLRQNKKWWLPSPRVPDSGLSQFQRKRLVFQAKLVHQILKAAMSINEQVLLQIPIPAAVMGALPKSGRASLGEDLYHAITTEYIPIEEIFVSLSLKTEHSVLETMNRLEGAVFAWNQRIAEEKNKKSPGRHSWNFMKDSSSELDKMSMCIERVETLIQLLKSRFPNLPPTFIDVVKVQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGVTETPPGHIRRSLMDQISLVDGSLDAVVKKKGVKQLMW >OB02G36430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21278478:21278633:1 gene:OB02G36430 transcript:OB02G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLKIVGVKIYGVSWKREKRIHRLALDIKFWRNQVARAIRGEVKYSDNLHD >OB02G36440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21279861:21282334:-1 gene:OB02G36440 transcript:OB02G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRKGRGPDATRALVFQITTAVATYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSADTSADKRVADMKLARDFATTMEEYGKLQNLAIQKEMAYKPVVSQTSQPNYTTGDRSQESGKMPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLVHMQGVTIEEIDTNIENSAAATKEAKVEMAKASKTHKSNSSLLCILLVIFGVVLLIVIIVLAT >OB02G36450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21286406:21287248:1 gene:OB02G36450 transcript:OB02G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWSRGLSCRAAICGVVVLLSATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCAASKRSKSSTAKRRAASVAILVLSWVSFALAVVLLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALLILGLTSAAKPSSCATAAAAGSPAATATARPDAAEDAERASGRSKQ >OB02G36460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21292574:21293056:-1 gene:OB02G36460 transcript:OB02G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARTWRDHRWRRRSSHTSQPHRGLAEMKLGELDTKGVFHPWAWRGDPAPDEEEEPSEAADLGSECSCIESCFGVKVTSGNEAMSDAEHEASEIAGSESGSRLVRVCPQGSADTVAMDDGTENTFDTNYYQNLLAAVVSSPPTRPSPPTTPQPRSLRKTH >OB02G36470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21306799:21309234:1 gene:OB02G36470 transcript:OB02G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCMLMPFLLACAVRGRRRQAGSSEAXXXXXXRGLPLPPGSMGWPYVGETFQLYSSKNPNVFFNMKRNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRASVPAVEAIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMQYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQRGSDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVTEEQLQIAKEKEATGEPLSWADTRRMWMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVSITNPLGVFLFPSPFPFPVRLTIQSVRGLQAVAGMVFADPTFRLGLQVAPKPNTFMPFGNGAHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKHEQE >OB02G36480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21309452:21309769:-1 gene:OB02G36480 transcript:OB02G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACFQAGNLAYIPIRPSQPPCLFSTSLLYSSPIVVCKTEKQKLSKKDKKWLVLQLRATSLWRSLAQMSTCCFVFFFPSTLAPATTSFSSRSPTVVLAEESVQGL >OB02G36490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21317501:21317767:-1 gene:OB02G36490 transcript:OB02G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDGYSNNNKNGTTYVAYSPPLRLQGIEKNKWKSSHSKHKPRIESMRACMRTVLGFSINNIVHIKSIFNGNEIDLKTLMKTGPISFIGRD >OB02G36500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21322842:21323282:-1 gene:OB02G36500 transcript:OB02G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALPDRTFYSLPSSTFRRLPLHLDRHRQLPNAQSSCGEWLVFQRQDGAYTLVSPFSAGTTMVLPRLPADPPVTREMPRSIRKLVVCSPTLVAAVTRTIPSDLLLCRPGSASWSPCRHERLHRCGIRDMVSYQGKLHALGMLLSISIA >OB02G36510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21323744:21326996:1 gene:OB02G36510 transcript:OB02G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3LG84] MAPPAPAPERDGEGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQPRTPLTWRLLASFFVLGLTGIFANQLLFLVGLSYTNPTYAAATQPSIPVFTFILAVLMGTETVSLSTHEGKAKIGGTIVCVMGAVFMVMYRGPAVLGSGQLELDDVHSHGVITDISQPEPVQHLAYLFVAFGLQKWHIGVLCLIGNCFCMAIYLALQAPILVKYPCSLSLTAYSYFFGAILMVISGVFATNGREDWTLTRSEFGAVVYAGVISSALNYCLMTWTNKILGPAMVALYMPLQPVLSAVLSMFFLGSSIYFGSIIGGLLIVSGLYLVTWARHREKLTGIGAPYEKCASELLESTHVVRSGNMVSVPYISFSRLWNVPHES >OB02G36520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21328333:21330276:-1 gene:OB02G36520 transcript:OB02G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGGICPAHCSLTHSLTMRSMRFSYISPAASAAPQNPSYGRKKNSSAAPPSAAASTTVLTSPPATTTTRNPEQIAQEEEQFVAKPKTTRTVTATTDGRAGRTAPSQAQSRPRRRPSAPAAAAAASLPVTFCNALDEVINTFIDPPALRPTVDPRNVLTSNFAPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPASPSSGADPVLCSRYVQTYKYLVERDAGAPVLPNAFSGFHGVAGMARGAVVAARVLTGQMNPLEGVGLANTSLAYFAGRLYALGESDLPYAVRVDPDTGEVTTHGRGGDFGGRLVMGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDQAGNKGADVPIFSVQQPSFQHDFAITERYAIFPEIQIVMKPMDMVVGGGSPVGSDPGKVPRLGVIPRYATDESEMRWFEVPGFNIMHSVNAWEEAGGEELVLVAPNILSIEHALERMELVHACVEKVRINLTTGAVTRTPLAAGNFDFGVINPSCLGRRNRYGYFGIGDPMPKIGGVAKLDFDRAGAGDCTVARRDFGPGCFAGEPFFVPDDVEGNGNEDDGYLVCYVHDEGTGENRFVVMDARSPDLEIVAEVRLPRRVPYGFHGLFVTQAELRSQHQ >OB02G36530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21340570:21340809:-1 gene:OB02G36530 transcript:OB02G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSVTGGRMLVNPAFWIGAHDCFSSTSIVPIDMLLSVTGGRMLVNPAFWIGAHDCFSSTSIVLCISNLLHADDDSCSL >OB02G36540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21340426:21345988:-1 gene:OB02G36540 transcript:OB02G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDLLPCRFLFSPSAASRVPSRRAITLLSAGASPSTPSRSRTTGAPSVRQKRVRAAPSVPSKQADRPAGENGKTMRRRRRRPKPSQEERREERGCVPSMDEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPQGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTTPRPKAVHDTIGVSLNKVKSMQRRIEHFVMHMSDLLRIERDVELEFTQEELNATPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMILQRNGLQKSNASIGIVATLFGDKKDVMKMEQNHLTDWGESSAPDPRISERYALDASQLRALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGESVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFRKELERKKTDLRKDLSYCIEDDSLAAGIRHMLKQLGRDLEKKEKETIREVLSDAQVVLSTNTGAADPLIRRTCCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTTLTVQHRMNDSIASWASKEMYHGLLKSSRSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLAGTGSFYNDGEADTVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVLPGSLDGVSGLGFSQPTLPSIS >OB02G36550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21348935:21351401:1 gene:OB02G36550 transcript:OB02G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAAPSLKPVPLNRRLPQRQLGVGRFLLPRRRPRNLRSLGVCMAEMARVGSGSSREFGVTEESDAILGGGGGARRGATRWGLVEARLNRTSKWLIAGCYASAALWKHDALIMWAMSGSVLNSLFSQLLKRMFNHERPSPALRSDPGMPSSHAQSFLYAAMFLILSLFYWLGITYLSIILGVATLALSSYLSWLRVSQRLHTLNQVLVGGIVGAAFGASWFVFFQLAVREAFASSLPVRIAVTAGSAAFCIGFVIYVIRNWFKDE >OB02G36560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21351784:21355664:-1 gene:OB02G36560 transcript:OB02G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPLLETGSATQTQKATPRPPPAPPLPQERPIPVPAAPVRIAQTVSVTLYAAPESSSLPDSPSSFQGSWSPYLVNHKRRGPCLAKTLCQGDVGSECSQPNLPVTLPLLPRRSQSFEVQEPELAFQQASNGALEGDNGVVENLERQNGMLQKGKGTVSGEDEHDKAEFEFQHGNLDALVRPVNVVRSANGGTPRNVINNDAFFELQDSLSVASNSEAEDAGGHERWWKPSSPFGTSVGTPGAEFYDAFEEISSDGATRSSQCMDDDLREMRLSLLMEIEKRKQAEEALENWQKEWKKLSDHLSLIALTLPPPSLAENTDDSSMDPGAELCQQITVSQLVAAAIAGGFARAEVESDMETAIAGKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRSIRKKRQKWFWGSVGLAVTLGTAAIAWSYLPSAQPQAKTDSNSTNSD >OB02G36570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21358069:21361218:1 gene:OB02G36570 transcript:OB02G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine carbamoyltransferase [Source:Projected from Arabidopsis thaliana (AT1G75330) TAIR;Acc:AT1G75330] MGRLDYTTGPSVLAALLASNVFFSVTHGLISSPAQIERVESASELATLVSSPHRRSSHHSHPPTHRRSPAMAAAISGAQLVLSSSPSSAFSSSSSSSGLLRLQPRAARVSVAAAVSARRGVAAAAVSSPSVASDAGQDAKQVPKDFLHIDDFDKYTIMKILNRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDILMARVFAHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLRLAAVLPLHFVCACPKGFEPDAKTVEIARNAGSKIEITDDPKEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEAMMEMAGPNAFLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >OB02G36580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21361801:21366242:-1 gene:OB02G36580 transcript:OB02G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 2 [Source:Projected from Arabidopsis thaliana (AT2G18230) TAIR;Acc:AT2G18230] MAGDDGNARLASRYPPALNERILSSMSQRHVAAHPWHDLELGPEAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIEGLRK >OB02G36590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21362619:21363265:1 gene:OB02G36590 transcript:OB02G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKWRYSGSSAQTAMILSSFSPCYKLNDHEFIYILLKADTSISYLHQDEDVHRVTVLTECAWNETIVVRVNNR >OB02G36600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21370158:21374896:-1 gene:OB02G36600 transcript:OB02G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEISSGHNRHSRSDSSTKHKSGYEPSDTETEWHESPWNDAVFPSERTHLPKDPGRNIQVGARRQNISPNHRRDYPNEKASNLRNSRTPPTFTEQMHHNSSYGGGKNELRKKSNRTPPRFRPSTESFSRSSIKEKFSHNRSISAPKLRPHEKEHPPRAPAFLGTNLISKQGEIDSADNIKEDSHAEICSQEVNELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDRRAPLQRTPTKHNNDKSLTSENAHAEKYGTQENNDKLGQTQQFVSAHAGLSRTNRNSNYGTSRHTQINSGPTLSSQFNSGRFSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWFPCVTGKTCRKPRSPNSKTTDESESSFIQKALVVENIKLFWADKYRPRTLSGFTCHSEQIEQLKQLVYNEFCPHIIFKGPPGSGKRSLCGAVVTEIFGDSQNVSHYLKSCSGQGSTSMPILVPLSSSDHHMELNLRYQYKNARYVLMDLANEITNKHKTTNPSVRKNFKVIILYDVDKISEDNQRLIKWIIDSSSDSHKIIMTCQDESHILDSIKSRCKLICIGVPNTSEIVDILSYISKKESFELPSSFAATIASQSRQNLREAILALEACKANNYPFIDGQAIPLGWENVLQELAAEILDDPSSKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREVYYWHAYYDKRLPLGASALLKLEEFVAKFMSIHRKTLPVSSRRPV >OB02G36610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21379816:21381213:-1 gene:OB02G36610 transcript:OB02G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36530) TAIR;Acc:AT4G36530] MMQRRDLVTKGVTLSVCCSMLSSSNDSAQALERLPFKADGYNFWTWRGHRIHYVEQGAGQPIVLIHGFGASAYHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATIWMEQVCDFLREVVKDPAVIVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNRPPEETTAPAAEEEESAVSRLVVRPLKEAFTRVVLGFLFWQAKQPARVEKVLKSVYKDTTNVDDYLISSITAPAADPNAGEVYYRLMSRFMANQSRYTLDRLLTKLSCPLLLLWGDLDPWVGPAKAAQIREFYQDSTVVNLQAGHCPHDEAPDQFNKALLEWLASLESGKPAESEPEPALQSV >OB02G36620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21386692:21392645:1 gene:OB02G36620 transcript:OB02G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3LG95] MTPEHGELDPLASGWKRCEGNVIVSCVIFIELAFLNYTDAEEQQPPQPPQQRQGQEQQQQQGRGAHLPRELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRAARTSFVISLVSNDFSQSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSIDEQVPTLSTSKGKEPAVEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPPAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >OB02G36630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21394991:21397600:1 gene:OB02G36630 transcript:OB02G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAIEAFKTSLVRTKARIVEGEAGKVICQEAERLKPAAVILGTRGRGLIQSVFQGSVSEYCFHNCKAAPVIIVPGKEAGEQSVL >OB02G36640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21394991:21399631:-1 gene:OB02G36640 transcript:OB02G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGGDLSRHRKGHLETVESLCQGLLDDVMLDDDKCRAMFGYLQEWQDLGSMCYGSLGEPPLPQASNGSGGFRKRRPEACLDAKAESDSVCKRQRGKQQQQQPCHPDQVAVAGKGRLERARAGAKKKVEVASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQAACNPAALPAMTLPIEQGEPSCLQMGPLQQMQTSAGSSSFGLNMVVSNPYSPAPGGQISASAAMSVPAGASVEPCINVNGAAGWDIGAHNLFSGFDAPFQSVQSDCLLDNLKMEM >OB02G36650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21410118:21410312:1 gene:OB02G36650 transcript:OB02G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPCGGVAICYPFGWFSSLLLCLMHLWPLHSCLDKGKVQCHDYFPAPEVLFSTCQLSAPLNLK >OB02G36660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21417759:21419840:1 gene:OB02G36660 transcript:OB02G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKTIITQYDGKTTMAGEGPPPQQQQPAVKRHRRVTRCPCSCMVLVDAALMPSHPREKPDTIRAPYAAPGHACMQGKKTPSALCQIGQDWDDKRNKRTNVQPPKRFIRADSKNLCTRRLTYQTGMIACCVVVMVDVFRGVQNSSS >OB02G36670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21420725:21424901:-1 gene:OB02G36670 transcript:OB02G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LGA0] MTTKSFESQHMFDRIMHILKREKIVTPIFLLCSRPLRLKIGSHSLWMDNSNKEDMGPSQRNSFPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIIEKGNLIVQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPSLANQIVEVHDDLRDIKFVIDTMEEEAGKIILEMLRQSDATEELELETFLQAASNLSLTSPKAMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGDQNESTQSESQSSSSSFVSDNSTPGKCYTPAHIQRNEDQTSLSGAATPPAEFCCPLSTKLMHDPVIITSGQTYERENIERWFREGHDTCPRTHIKLENFSMIPNTCMRDLIFNWCKEHGFIISDFVAPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKIRDFVIDHSTSSVALSDASYMSDSSHVRDMEEPKDSLTQFSWNADYQECFSFRNFNHDKFLRFFCELSKLPLELQDRSFGDLKNILDEENEVSCAMVSNGFVEAFLDFLMNEDGSYSMQAQKVGFQFFHVFLSNSRTNILHMNEAAFRLFVSFLDSELKIEALLIIHELVQHPSCQQSDLMASIVAPPVFKILESEDAEGLELCLKIVCNLSSDSAIKPYLISLGIISRLSPILSEGSFAECCLEILRNLCDLEEATMLITKTDRCLGSIAEYLDTGNPKEREHAVVILLAVCSLSADDCLLVMKEGVIPALVDLSVNGTDEAKACSMKLLHLLRDLRRSDQLNNSCSQEVVVTDVVEGPPDSSIRKQPIPKSSRFFQRKLNIFSKPRSLTLF >OB02G36680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21436142:21436528:1 gene:OB02G36680 transcript:OB02G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGESMIGDEMELGISHALTENKSRSQMPIVCSYVPTCCLHKIGPIILREEETPKLRGPRSARSYSSFIYLLRRLLLSQWLHHILSRHHSLAHMPQINYQLPFPARFPTFQQVHISVGNPIFDFLY >OB02G36690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21438713:21439018:-1 gene:OB02G36690 transcript:OB02G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVIMYPVIDVQMLSHFDVRSQIFDILEIELAINFYFNMFMKHKYIMKILFKTNLHKPYFNLIAAIPKCHQVLTGWSNIGLFWLKKLQIGYSVHEASSLD >OB02G36700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21436022:21441918:1 gene:OB02G36700 transcript:OB02G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKLFERALATYDRDTPDRWQNVARAVGGGKTADEVKRHYEELLKDLHHIESAGNHQGSSGSSSSWGNANEDQRRYHNFQ >OB02G36710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21446034:21448601:1 gene:OB02G36710 transcript:OB02G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Histone H4 acetyltransferase, NuA4 complex, Ea /.../terPro:IPR015418); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G14385) TAIR;Acc:AT4G14385] MDSGGGGGASHKAVSGSAPSAAAAAANPTAMLSALMSKRAKLQEELRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDGTVDNLSLIILAASWQMEENMDQVVQKAQPLLQTGRKPKKGGRPGGRDGKRIRPANDPDLDDEEDF >OB02G36720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21449967:21453904:1 gene:OB02G36720 transcript:OB02G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSPATVMAAGAAAAASFADVAYADGYSFFRRHSAPPPPAAPDADAAAAASGDSGQETTTEVDSSASGFDPESLERAAKLLRKLNSSKHAKQLFEVMRLQEKTRLAELEAEKVQFVIQQHLRDIERQRKEGEKFRESLQQQAQSEAQRLRYEDELARKRMQTEREAQRRQDAELVKMQEASALRKEEARRATEKKILEQMLQKDKEKAELDRENIKDKAKAQGEARAHEKKLLEDYNRRMLLDQLNGDKEKWIAAINTAFSHIEGGFRMLLTDRSKLLMGIGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPFSRFKVLKPTSSSLSEGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERYQLLKLYLNHYMLKEDGKDSFLGSLLKKQQQKIQVKDITDDLLREAARKINGFSGREIAKLMASVQAAVYGRLDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >OB02G36730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21455235:21457806:-1 gene:OB02G36730 transcript:OB02G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEDEDEEDEEEAGHHQYTTAAAVVATGATQQLHPQVLGSSASSPSSLMDAAAFSRPLLPPNLSLVSPSPPPPGGSFLHAAAHHHGQARRVERERTVEMPGGESQHQVQRHHEPARNGVLGGGGAPHAASTLALVGGGGGGGPRAGEGAAPEAPSWRYRECLKNHAARMGAHVLDGCGEFMSSPGDGAAALACAAVNDDMYLSANTRLGLEPFSFAFDAFRLQKTLVIRT >OB02G36740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21456574:21457791:1 gene:OB02G36740 transcript:OB02G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLMMMVVLWWVVMVVVVVVMGRRRRIVVERRWRRRRRRRRRLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARGAGQRRGTVARGRHELAAAVEHVRAHPRRVVLQALPVPPRRRLRRRPLAGSWTTTPTTTHEGKRRGRVRRATATKNAISRRLMMSLDLVLALPTRHLHRPLPLHPSCLPMVMSRRMQEGSPGGRRRRRHKRQVRRQEGPRESGGVHQRRRRRSRRAKNLRVQLLRRPRGHHRRRRRILMVSSFLLVLLVLILLFFLLLFLLHMR >OB02G36750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21473492:21475916:-1 gene:OB02G36750 transcript:OB02G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVEAQKPLLKRLMRMAGLRPIDVEIEPGTTMHIWVPKHHVSKKTGTISPVEHGGVGVDEKNGAARRGGRKKSPESKPNVVLIHGFAAEGIVTWQFNFGVLVSRYNLYIPDLLFFGKSTTASADRSPEFQARCVAAALARLGVARCDVVGFSYGGMVAFKLAETRPDLVRSLAVSGSVVTMTDAVNSATMTRLGATSSAELLMPETLKGLKALLSISMYKKMWFPDRFYKDYLKAMFNNRNERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFDIEVAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLQRFLAYVNSQPQEEAAGGGAN >OB02G36760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21476417:21481432:-1 gene:OB02G36760 transcript:OB02G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFGISGSDASNICYLRSLDDADKLENVMKTCPGGNAVVIGGGYIGMECAAALVTNRIKVTMVFPENHCMARLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDSTGKVTSVILKDDMVVVGIGIRASTSLFEGQLLIEQGGIKVNGQMLTSDGSVYAVGDVAAFPIKLFDGAIRRLEHVDSARRTARHAVTAILEPSKTRDIDYLPFFYSRVFTLSWQFYGNNIGEVVHFGDFTGSSPSFGAYWVDKSRIRGAFLEGGSRDEYDAISSVVRRKVKVTNIAELEKQGLMFAIQESQKDLPDGGLALCEKPSYIWHATAGVIFAPYERPALSKGYLLPQGAPRLPAFHTCVGSSDELLTEEWYNEHGIVLILGTRVVSADVPRKTLLTSSGETISYKTLIVATGARAVKLEEFRVRGSDARNVCYLRNVDDADKLVGVMGSCPGGNAVVVGGGYIGMECAAALVNNNIKVTMVFPGKHCMDRFFTPKIAEFYESYYTSKGVAFVKEAAVTSMQISAGKVTGVLLGDGRRLPADMVVVGVGARANTGLFDGQLAMEKGGIKVNGRMQASDPSVYAVGDVAAFPVKLFGGDVIRLEHVDCARRTARHAVAAILDPSGSAVGDIDYLPFFYSRVFSLSWQFYGDNVGEAVPFGDLAPGDGSAAPKFGAYWVREGRIAGAFLEGGSPQENEAVAAVVRRGATVADVAELERRGLAFATQATGGGKPSCAWHATVGVAAAVSIAALACWYGWQAPYVLKRDF >OB02G36770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21488317:21489744:1 gene:OB02G36770 transcript:OB02G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSLDELEQQQNITRTWKTMKINRLQRRRACVPSHAQPLSDGSGRAMVMVGAADHAAPQAQPAQRADGALRRRAARLAAPLERQQPLRRRRLHLDGIVLRPPSAERQRQMLAEASRVPERAQCMVTCKT >OB02G36780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21488545:21488835:-1 gene:OB02G36780 transcript:OB02G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIAAGGGAEYHALSALRYAAGLGEHLALPFGAGRAEHDAVEVKPAAAERLLSLEWCGEASRAAPESSISSLSGLGLWSGMISGAHHHHGSSAAI >OB02G36790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21505474:21505854:1 gene:OB02G36790 transcript:OB02G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAGVAWSAQACRAVCLREVTTRAWITMRHLGRPGTLAGRESLSSADSAGRAKQWQRGVTRPNRAPLQHGALCALLSGRPNGSTLGPPQLNSTSRALQVPSGLNCRFRPYLILNFFSKNIILNF >OB02G36800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21510712:21511748:1 gene:OB02G36800 transcript:OB02G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQPMGYYPTSNAIMHAQPATTSRGSFGPVFTVLAVITILAVTACVVGRLCGRRLSKKRSSSAEEQFYGTNAVGGDLEKGFEIKYPVMKPMASSRAMIHDIDDGFEIKFAPGKPAAWKNDSKADSKGHQQHHPQHGMPQHHPQQHGMPKEYAMPPGFRILRRFIGLSFDGDDWTVGMLNTELAHCAHENPALPLTASAIGTSEFSFGSPSGISELLLSSQGEFVFGLRRLS >OB02G36810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21511058:21517900:-1 gene:OB02G36810 transcript:OB02G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLFKLVRSNTGGFHLLFLHVQVPDEDGFDDMDSIYASPADFQRMKQRDKIRGLHLLEYFVNQCHQMEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKADETPQDPVDD >OB02G36820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21520119:21520857:-1 gene:OB02G36820 transcript:OB02G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGVASYFATANVGGVAKRFMRDASTTCKHESTRRSTDDPPGQQSGSCGSRLSTDVYTRAYLTASATVSILATSSPLQIQIKDLQEMGGNSAHEQKRWVPR >OB02G36830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21523028:21527330:1 gene:OB02G36830 transcript:OB02G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase A [Source:Projected from Arabidopsis thaliana (AT3G27740) TAIR;Acc:AT3G27740] MAAPPATASASSWCPSAVNPRAAASLVVHAPPSGGPRRGGDRFLGVRAKAVSGVQSGAVVDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNRCFLAGLIIRNLSICTSNWRCKETLEEYLMKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLQMAKSWKIIGVDLISGVTCDAPYEWADRTDLEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASDVLSLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIEQMKNNRL >OB02G36840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21533257:21538619:1 gene:OB02G36840 transcript:OB02G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDPMADSPRRLNGLLRDQVKLVKRKDSNRYEIVRFPEPLSFEKGFFVMIRACQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKVSCRTGYRTVDVPSSRIVIIEGIYALSEKLRPVMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPMYILKSPRNLTPDEIKAALGEDYRESIEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKSVAEKLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVSADKRNRHLKSGLSHSYSTHGDKNIVKLSKLTETNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKFATKKSSASQQNLALPNETCNGSAPTNLFVSHLGNGTLIPHSSSSNQLLKESPMMDEINAISRGQRQVIHQLDNLTSLLHEHLVLTRQQNAVRKNGILDMDRSICSLVALTVGAFGYLVLRNLNRS >OB02G36850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21542206:21542391:1 gene:OB02G36850 transcript:OB02G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSPPSSPLSLSQSLFSSPSPRLG >OB02G36860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21542385:21548722:1 gene:OB02G36860 transcript:OB02G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGDVAVAVPPSAAGASSSGGSKGKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OB02G36870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21543949:21548678:-1 gene:OB02G36870 transcript:OB02G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVATEEAPNEVNSSQNKDISSAPRSPVASKSMHSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFSSDGEESRIDSELRHLVAGEMEAMKVIEEEEGEGSGSAANAVTTAENGTPVKTQSSNSSKKTSKKAANSQLESDASVGPNGKAATEEGETEVSKPAGRVGRRKKTSNPQNGSEDAGLNNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIHCNLGKYEEAVPVLQRSLEIPVIKEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHRENGEPASLEETADRRLMGLICDTKGDHEGALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAIAKLRTCGEKKTAFFGVALNQMGLACVQRYSINEAAELFEEARAVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEHVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRSTVAA >OB02G36880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21554631:21567807:1 gene:OB02G36880 transcript:OB02G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTSPITYDVTHALSHDDHGWQCSDAHPASDTVSCKPVEVNNCSRTSICENLDVFSEKSPVNFSGLPQEMNASPLKELNGSDAYSNDVRFQLSLSTENSTPQSTSVDVDQKFVSKQETPHYREEMHPPATMLTVHKPCQSDGDAYLSRDKNITEEQVKGDKEVGFDMISNKAEIDTLKAHAVQKELQCTLQDLSEIACSIDPVHKISSPHEENETSVSPKNNMDQHVHNNNNCNGTHYKVGHLNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPINEYPLPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNFSDELHTEAIVENARTATIWKEFAREASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQDSFDLWSQKCNDAHDAETVEILTEELRQAVLWDKVDELWNAPMQPELVPEWKTWKQEVMKQFFSSHPFGNAGTFEQNNCYDDTGMDQQACIKHPKLEVRRGEAHFSQEDANLNTLSEDPNKSNLPSSSIVREALGPLESRDQNNTASFPSTSGVQDIGESNSALQNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREEKSPNVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKCEELQKGMEKMSSSNATCSVGSERANNFQVAVHMKVTPTMAVEISGDKAHVSENTDLFYPASTSMENSNLDTSICIGIRSHDNTVDCQNYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSREEKMYLHQACESLYWFLRSNLSQQRSGLGSDHMPQILAEVSENPNVGDFLLKLISSEKEKLTHVWGFGTDSSNQMYSENQEGSVMVLHEDGPHPSSGLKCKICSQVFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSIFLRCVSCDRKFLNTDLLWQHVVSDHSRDLSLLDHVPRRPRAQSIKITERASDGLLYDNHNLGNDDDTQKFTCGLCGLIFDLLPDLGRHHQVAHSNSGSVSDIPSGREKYQFNRGRHYYSSFKKSLRPSGTLKKRTSSGIEKHFKAQSLELPMDTSQIVESETTPLGRLLDFQCSDVALALFSKIQKTRPQPSNHDILSIARSICCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEYFCPKGCKSKSNSNALLPLQPTQVDFVMNPPNSDEIWSMDEYHYVLDSEHFGWKLKNERVVVCEDVSFGREKVPVVCVIDVDAKEFLHMKPGDFLQHENPLPWQGFHYITKRLMDSSLVDSENSMTGCACSHAHCTPENCDHVNLFDSIYDENLVDLRGQPMRGRFAYDENDKVVLQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEIFRTENRGWAVRAAEPIPQGTFVCEYIGEVLKVKDDGAIRNVEREAKGGSSYLFEITSQVDRERVRITGTTAYVIDATRCGNVSRFINHSCSPNLSTRLVLVESKDCQLAHVGLFANQDIPVGEELAYDYRQKMLPGDGCPCHCGAQNCRGRVH >OB02G36890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21572378:21572731:-1 gene:OB02G36890 transcript:OB02G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERGNETSGRRRRIVSPGKDRRRGSISRFSPSRIGGARGEEEGEAEEEEEDEEESLTSRNSTKKAPLVKGSSRDRDGAGFPAPPGRRGDSAGRSIARAIDRDAEPRAPRAASEIS >OB02G36900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21574325:21576523:1 gene:OB02G36900 transcript:OB02G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCRRESSETLRNKCAACFRQYNRMEHLVEHMKVSYHSAHEPRCGVAPPTPCRSLESLREHLIGPLPKVECARVFAARGCSICLALFDSANAVRCHRASCQFTRAAPMPRGNYGGRAVAMACKMVGGGSDGALDICARVCLIGENETVIFQTYVKPTTAVTNYRYEVTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSSGRAKILVGHGLDHELECLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYDDCVAAMRLYIRMKSQAHPRDYASGSGETQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDF >OB02G36910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21576274:21578264:-1 gene:OB02G36910 transcript:OB02G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEAVVELLDAPPLPEAARFTRADIDAAISGGNPECVVLALRCDAPSKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKGMRSVRQAEELLREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIRNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGDKGNSGDPSHKNTPEKVLTSDAKPSGDQILKSAPEKTLVVDTKSGLPVQ >OB02G36920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21582435:21585731:-1 gene:OB02G36920 transcript:OB02G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVVGARVRSPSHFAGEGGRRRPAASRVSFRSMASAASVDEPAAAETKKGPSGASFIREHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRQLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVTKVPRLPDFSLDIAQIVKVVEQEKPKCIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEGVKNLLLQERDRLFDLLKDIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMEGLKALQL >OB02G36930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21587844:21588365:-1 gene:OB02G36930 transcript:OB02G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSCAAAEATGLVAMDCPVVCCCCPCLVLQITVFLFVRLPKRVVVKSKRIILRRWHRRRSAAAAAAKGGCSAVDSAAGAMRLEELLDDDDFEGAFGRMGEGWRERCFAVAVVDDDDDGVWEALIDQEGLFWFGSFWGRPEQVDDPVFGVGDEQMHPALRFPLVLERACD >OB02G36940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21590966:21591421:1 gene:OB02G36940 transcript:OB02G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTMPSSMPPPPPAMVTAPLQPDYQGSVAAAGAVVAGSRHGGSIGAFFGVLAAVLLLTLLSCVLGRVCARHAAGPDERSRGEALTPRGGRGGARCSVATAGAMNWRSPSPPRFLRQFHSRPAIYSGSKLPKESHMYVNVVEIAMNTDAV >OB02G36950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21595154:21611005:1 gene:OB02G36950 transcript:OB02G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) TAIR;Acc:AT1G55350] MEEEEHRGVVLVCSICGFLFTVLGPLSFWVLWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVISPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPSELYMTKSSRVLHLGLLYLCSLIVLVVYSILYGLTSKEARWLGALTSVAVVILDWNLGLCSFRFELLKSRMIALFVAGTSRVFLICFGVHYWYLGHCISYAFVASVLLAAAVSCWLSISNPSVARVDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGHHGNATDSMYRSNSQSDGVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETTVVSADRHGDPTSSLIVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRIGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVSVAVHRPKPIKVINATHEQFEFGFSILLLSPVVCSIMAFIWSLRSEEMMMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFTTVLVSFCGLSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILSPPFVGAAISAITLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREREMEEEALMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKMCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFVDGVYDGYQNGLPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVAMLHTSICSAEYGLFDLAAEDAWHGSYSARVDDWESEEANFELYDQEDVEWDGQYSSGRKRPVRDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVDPINPSLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >OB02G36960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21613900:21614909:1 gene:OB02G36960 transcript:OB02G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLARRRLAPTRQRTRARARHLQKRRDADARARLNSLKTQCRITKPIINGTRARAGDKASRERASFGLLAWRSGLSWREPSARPPRCHCPPPAPGRSLQAAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLQTDTRSPPVLLVEMAAYSTGALVREMSSGLVRLALECEKQPLNPGEKRRALLEEPTWRAYCNGRKCGFAVHRECGADEWRVLGAVEPVSVGAGVLPDDASAGAAAKEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >OB02G36970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21619829:21627282:-1 gene:OB02G36970 transcript:OB02G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G52580) TAIR;Acc:AT5G52580] MRSDLADQESAETARVDLVYEKERVTIHPTQYGSGRISGKLRLYLQQGSLFLSWEPNVGADSFSSSSASMEIEKYRNLYTIKALPLSDVRFIRKHTPTFGFEYIIIVLSSGLAFPPFYFYNGGLRELFATLKKHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVASVANAMSRQNSLSFSSSVDEAGHGSDAKHGASSSMTEYFPKQRHKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRENQNSSGRTYGRQQQEYVLDNRANDKYKDQQITPDKGSLPSDLKESDKSLAWGKQREQPLSVEEWRSFLDPEGRVVDSKALRNKIFYGGVDHVLRKEVWKFLLGYHEYDSTYAEREYLAVMKRAEYEAIKSQWKSISSMQAKRFTKFRERKGLIDKDVVRTDRSVSYYEGDDNQNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFAILMERLGANFNRDQNGMHAQLLALSKLVELLDPALHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQILLLWEVLWTHYLSEHFHLYLCVAILKRYRSRIIGEQMDFDTLLKFINELSGEINLDRAIQDAEALCVIAGEKGAACIPPGTPPSMPIETDGGLYVQQDEVL >OB02G36980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21628992:21635039:-1 gene:OB02G36980 transcript:OB02G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGGGPPPPGGRGKGTSLRVAELEQELHEYQYNMGLLLIEKKEWTAKLDEISQALTQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVTDLEKALREIRGEIAEVKFMSEKKITDAQSLEASLEEKTLEVEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFENERKAREEQIKKQEESLRDWEKKAKESQNRLIDLQRSLNDQVERANENDKLFKVKQAELEEAKKTLEHTKATLKIKEDDINKRLDELHLQEKEAGSKQNKLEEREKHLAEREEKVSAREKVGLQKLLEDHNAKLESKGRDFELQLENERKSFDEILIQKEADLVQRRDFELQLENERKSFDEMLIQKEADLVQREKDIRSWDDKLSKNEQALNESKKKLEEWQNDLDTKSKALKKWEESLQNDERQLSEQKLQMENERKQAEMYKLELESLKATVVAEKEKILQEQNNLKITEEERQEHIILTAQLKKEIDEYRMRSNSLSEETDDLRKQRQKFEEEWEQLDEKRTRLGEETKKLNNEKKNLERWHENEEKRLKDKEDELDRKYKEQEENLALKEKSLMDTIHHQSVENEEFLKRERADLQRNLQLHRHELEMEMEKKQASKERELEEKENELNGKIDFVENELKRAVELNESKIQKILLEKKELQREKEVLVEDRQKLETDKVDIRRDIDSLNTLSKSLKERREAYNRDRSHLIDMFEKYKVCKNCGVTIFEGLDALALKDSPDIEYPSLAVEADDRSPNPDSVAQDTGTLVNSGGRLSLLQKCSRIFKFSPRKKADQSLEKNTDFGTRLEEASQDDDDYEPTPVYQVANDSFNAEDVPSESGALENEESERQDIADDVQMESSLGVADNGVDIHGNQSFDGNTDMAVDTTIATVDENGNGSSVLPEVDLEPETSKQGRRQQNRRGRSKGGVKRTRSVLAVVEDAKGILGDNLEVKNDGQEDSVAEGGTRKRRFAAATISEQDEDSEAHSESVSLGGQRRKRRQTATAVTQAPGEKRYNLRRTTVANAATAAQTNKRKAAKTGNKQTVEATIDDTEGTSKAEEPAVESKGTSQSVDDASQLPDSLAEAGDTHGPAEVTGAEGGDIMDGIDTLPDAVPMTPSGSELGAEQDDDDDEDSERRNQSIGKKLWSFFTT >OB02G36990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21656555:21660324:1 gene:OB02G36990 transcript:OB02G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYADMLLITFPGRSVHGARTSLDVRVEKRAQNAAESQAVSPSKKQCGASRKTSKAKSAPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLAKDEFWPAQGGKAPEISQVKEALDAILSSSSSPPPPLAGRQPRN >OB02G37000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21667823:21668362:-1 gene:OB02G37000 transcript:OB02G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIDPTVALPPSPAVHAVEEGADDDHRQNRDHYRHPIVARVTWCKNTYGTYPDVNSVTFCQLKNTNIPTTHLQQEIKSLIGTPESAGGDESINRRCRKRYDDDPATPSSSSSQPFINQFSRSSLRISGTTSLLEYIPLVTIGLLERERERERAACTAHPSLLFSSPKLNLKIDSLVA >OB02G37010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21667719:21670692:1 gene:OB02G37010 transcript:OB02G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWEEEEEGVAGSSSYRFRHRRLMDSSPPADSGHSSHNGMPIMVSILAVVIVCTLLYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSIYDATNHFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKDEKMLIYEYLPNRSLDAFLFDSKRRAQLDWKMRQCIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFREEGNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNHQQTLIQDAWKLWSEDKAAEFMDPSLGGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAARVKKAVVSASEFSLAMKTETTKTQSVNDVSISMIEPR >OB02G37020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21677063:21677641:1 gene:OB02G37020 transcript:OB02G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRCVLLCLYVAAASPLALCSAPAALPDPAPLDPALIFPSATPAQPGSGTIPAFPEQSDAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRCLARRAPTRRATWRSATAG >OB02G37030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21684809:21692590:1 gene:OB02G37030 transcript:OB02G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MGYSNTQELIEQERARRESATELISVVKEIDFPEMCAKFPCIKIGDSSPIELYDDAASMKQKETMLSENLTNFMRESGGNFEAAYEFTEKCYPLGPTLTNVDHLSISEESSLKTQPMSNELVADSDPCPSSLADATVSDCSILDRSIKCLPGTTSRLYRKLEGGGFHTIRKLLQHFPRTYADLQNPQGSIGEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSLIESELSSSDKSCNSGAAEKKTIHLHLKKFFSGTRFASQSFLKCISSKYREGDLAYVSGKIHKSLANGHYDLREYTIDMLEEEQQCNLLDRKPYPIYPSKAGVKPSLLSFFISRALKMLTPGIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEAAFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEKLLLKCKNHELNAVGVDEWSPLARKLLKALPYSLTRSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVISSGFQAAFMVPTEVLALQHYEHLTSLLEKFDGDECKPNVALLTGSTSTRESRIIRNGLKTGEIAMVIGTHSLIGDKTAFSALRISVIDEQQRFGVVQRGRFNSKLYTPLTKSSDDDTISDDNSDSEIFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMRDELVDGGKVYLVYPIIEESEQLPQLHAAKADFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGISQLHQLRGRVGRGERKSKCIFLCSASSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQYPGLKVELSMRQPLCILGD >OB02G37040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21693637:21699681:1 gene:OB02G37040 transcript:OB02G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT4G16660) TAIR;Acc:AT4G16660] MSKRKSPALAALAEGSRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSVAQSLFLPYDLVPDARGAAAVRADDGQVYTIEEIVAMVLHYAAGLAEAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLSLINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYNAKEFGKTVSVNQFQVKDVRWSFKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDIDFRSTITREKFEELCEDLWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKMPIKMFRSIRHTKDFDVSVSYEKTSELPPGVTSHKFVEYSVSGLADASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQNLSPEAEAANSTSDSKENLNSESDANKSSAPIEESKAQDIVTEKVLKKRTFRVPLKVVEKTAGAGSILSKELYSEAKSRLETLDKKDAERRRTAELKNSLESYIYSMKEKLEESADILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLTELQKIVKNWESNKPWLPKKRIDEVVSEAEKVKTWLEEEEAVQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKVEKKPPKEESANKEKTASSESDSKEEPQEAESRETPSESAAPEENQSEPHKAEDSEPEAHDEL >OB02G37050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21698392:21702344:-1 gene:OB02G37050 transcript:OB02G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHGGQSACPQSEQHSDHVSDSVEDAISDEDVLAPTRLSLAFAASKEREKENKMVEQDESAIWDEVLEEADELAYVHKVPPSISFLSAGTGKRRKSENKLKFSIRGSSSVSLNVKTENSYVGEQKTSSGVPTNGAPENMMAELMENIKEETEDLPSEFACPTKKANISISELLDNLQDRSASSVGTPFSLHQHTAAKEDKPKVPTSKRTLALLGQRNLEIENPLGHIIGETSSEEEDNVENNLALINKDVKGQTMADIFQQVFNATSMDCSTLPVRSYGAGYYGRMQQILQMEKDRHAEFLRQYNREQDCLGDSRGITVQILSRSLEGKLTICRCLFMEKSSLPSTGDVSTDCAMDGSSMKRTIIFSPKICDSVDLVAGNIIHIFPPWKEVKVKEETVLLCTYFSRRGR >OB02G37060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21704182:21705279:-1 gene:OB02G37060 transcript:OB02G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVSSMRTQGLLSLATFASVFSSLAANPGSSPLKAFMELPMYGMRRDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDGDSYAILLEGCEAAADPHVAREVFDEMARVIGLDPANVPAYDSFLATLISSGSSTALMEAMDYLAVLHRQRCSPGEKFFRAALAAHLKARELRGAVVLWNDFVGRRGLIPDKEMYSTMIMLQGTLGHPEVIVEYLDEMAFNGVFPDADTYNMVFHFLLKGRKLREASAIFSEMIKNEFWPNEANCSLALRMFLDTRDWDTGIKLWRCMVENGLPPLEESGNMLVSKLKDERLPEACKYAEDMIDRGIKVSSSTMSKLKQCLVKVYKGNIHEHLLRKWKAL >OB02G37070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21705697:21708490:1 gene:OB02G37070 transcript:OB02G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASVSQILAVPRTGSRSRRRLVIACSAGATAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLRTCLVIQSQDPTAEQLMGCKIGNSLAECAANLSTIKLETSKMLLEPLDRLKKVDAQINLTQGVLEAVVGEELGVLPGMDSVCSVLAVQKLLNFFSTQKNSSQEEFDVVVYDCNNTEEILRLIGATERVRSYLRYVRDLADKTDIGRLASPSLMRLIYDSARPNGKTSEGKLSSELWNDIEQLLQKISVWFADPSKFSCFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEQPSEMHQGVAEKFLPLPFSFLPFLPTESSADWSRTLNSLSQNTKELLRNTSNKLYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPQAMQGKVGGAKFVDRNLIVTIR >OB02G37080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21710451:21710951:1 gene:OB02G37080 transcript:OB02G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSRMLIDRFFPDATGVGEARPPTDWRETPGAHVFEMDLPGLAKEQVAVEVVDGHILRVRAGGEHEDGSGVGETGKAGGEEEEDGDAVRWHCRERATGAAGRRRAVVTQFRLPEDAAADKASARMADGVLTVTVPKRKGVGKKLRHGKAAGDDGKPVCCRFWP >OB02G37090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21719552:21719812:1 gene:OB02G37090 transcript:OB02G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRSVAMQGIDQQGELWSCNLHFFKQFDQKHIEYGFCSSKAWSQRSSCWLILAESGYQPVVHNDLLAIASTDNQFVHVLMSIDL >OB02G37100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21722743:21723714:-1 gene:OB02G37100 transcript:OB02G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNQTNLEIATILLQRLRVVTCARRGGNVHAGRESGWREERMDYAMAYPPGPPTETHYMRPVARAATVSSANSVYVIPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAAVVLPVHAVPAAEVGGGDAEAARVLLRRVPLQLPDAGARGDLPHGHRRQQAHHHLQRGEPQRLRDHVMRAIHPSSIHPIAAAAAGVRNNQDPYVRTHTRSRARHFVPFHLGRRFAGYLKFVQESNSP >OB02G37110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21724992:21738521:-1 gene:OB02G37110 transcript:OB02G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEGGGELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLSPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRINGEVVTQFLTMETLLDDSVSREIDLLIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIAVISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYASPQIYTRNDATGLWTAEPAMLPESPFIASAHHAGPIDANSNSVSSLAESSPMDLKCQHESDNLADGCIKTQTDDEVVVDGSHSSEDSLIEKKRRRVDEEIAAEGTISSSGDLDELKGGALRHLSSVDGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVVIYHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPGEVVFLHPVHNFALVAYDPSALGAGASVVRSAKLFPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTPGPFRIINGIRRPIPFVRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAEKLLEQGDMILAINKEPITCFLDIENACQKLDQADDSDGVLNMTIFRQGKEIDIIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFEPETATWKRGIIKALQSTVA >OB02G37120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21740757:21744409:-1 gene:OB02G37120 transcript:OB02G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGARKDGGGGGGGGGGGGVFVPCVDIKSFVVSLAFLTLFVALWQLQPYGSLFAAARSTASVSASRCSLVAMAAAASTGVVVPSANSTAETAPNARAAVASAVSVRLARPARPVRVEDPNKRELRPYGSAAALFVQMGAYRGGARTFAIVGLASKPTHVFRRPTRCCPTGAAGNPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNAGGKLLVHAYYSTTSRRYERFVALEEAPGSYDESRFSPPFQYDYLYCGSSLYGNLSSSRMREWMAYHAHFFGPRSHFVFHDAGGISPEVKAVLDPWVQAGRLTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGKLSGYSQFTIEQNPMSSKLCVQDPSKDYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVYGRTTHKTESLIRYYHYHNAINVMGEPCREFVSVPVNGSKLMFEGVPYVYDDNMKRLAGEIKHFETETIGSAHT >OB02G37130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21745800:21753658:1 gene:OB02G37130 transcript:OB02G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAATDDAARNCDRVGASRGLGAIGKTVDARQRQRGEATEIGGVVNELRKHNPQQISFSTQSGNGFASSVFKEFHDGALSPGMPEADAPDNPVYMLFLSVWMCSTAAPAAVSSACRNRIEFAPVGDGNEIASFEFLNVSRMCPQLQHHPNFAIRSMASIEKAVANTPNVRSNQFMNPHVNEHNPFRAQEFRTIRFTQIRGSTVQIKTNNRTRQGGSWMPWRCRRKTAQAEAGAHDEGREGGEDTIEEKTGVRAPCSVAGADQQACHAIVSDVTLLSCHFTDTWMRTGRVTSTELHRYMASLSLGLRVHGTPSALAMGSWPFRLLQCQPNPVERPMTQAILQILTGAALPPDVPLLKPAFMWPAMPVALEDEDDYDDHETTTSRSGMLLTDRAKHVTSPCLVL >OB02G37140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21753955:21758534:-1 gene:OB02G37140 transcript:OB02G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNAGEKRYGTRTSADQRILPVYFSSRKCHEFPPSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSAAPSPTLLFHAAAAAATAAMGSRAIMLMLLMWCPLVCLFLPSALAQATSFTSTVDGKEFTTFSFPKFDKSLVQLDLAANLTFSGNATVTQEGLQITPDSGNRPEIFLVNQVGRVFFTTPFVIWASNSTAAADGRHVASFSTVFKTNLFRSNLNKTVKGEGLAFVVASSNGEPPLPRHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDINGVRSDAAAPLAPYGIQLAPSNTTTDDGICFVWVQYNGTSRRVAVYIAKNETKPSAAVLNESVDLSTILLGKTAYFGFSASTGAATYQLNRVRMWNMTVEMLHDGSAAATKNASPPGWKLGVAIAVACSAAVALGLFAVLYVRKRRKQNGDDPSSIFHNAIDFRKIPGLPKEFDYVELRRGTNNFDEKTKLGQGGYGVVYRATVVGDDGRSTDVAVKQFTGANTKGKEDFLAELRIIHCLRHRNLVKIVGWCRQNGRLLLVYDYMPNGSLDRHIFGGPEAAVLNWSQRFNVVAGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDMAGVTGTLGYIAPECFHTGRATRESDVYGFGAVILEIVCGRRLSRINLGGGGGSGGMEWVWKPPGAAGGGERLLLLGLACSHPNPGERPRTRAILQILTGAAPPPDVPPSQPAFMWPLMPVALEDDGDYDGDETTTSRSGMLLTSSSSSSHYLKFQVCKDRDVAPV >OB02G37150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21758738:21762788:-1 gene:OB02G37150 transcript:OB02G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPGPRRRGAMASRALDLLLLWCASVCLPLPSARAQAATFTSTIDGKEATTFSFPKFDKNLTKQAANMTFSSNSTISQNALQITPDSSNNAQDYLVNQAGRVFFSTPFVMWSSNSSNSTADGNYVASFSPGFRAISQNALQITPDSSNNAQDYLVNQAGRVFFSTPFVMWSSNSSNSTADGNYVASFSTVFRANLYRSNKTVKGEGLAFVIASSNPIEPPPGSNGGDLGHLGLTNASTNGNATNGFAAVELDSVKQPYDIDDNHVGVNINGVNSAAAASLTPFGIQLAPSNTTIDDGSYFVWVDYNGTSRYVWVYMAKNDSKPSTAVLNTSLDLSSVLLGKKAYFGFSASTGETYQLNCVLMWNMTVEMLPDEGATKKAALSGWKLGVAVGVPCAAVVATGLFVALYIWKKKKKRIGDDPSSVFNNTIDFRSIPGVPKEFDFKELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQSMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHENGVLLLVYDYMPNGSLDKHIFGGPESEVLNWKQRYNVVGGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDIIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEINYQVSKEHELADRVAATIMLLQRHLSQNVFVKIHIHADQAPGWAFLLHPQVMVKASVLW >OB02G37160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21775288:21775779:1 gene:OB02G37160 transcript:OB02G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGASSSPESYVRLGRRWRRRRPARGFRLCPRNRFSVRRLRAKLLTFLGLVGRCVRHLVLAGRLMRPAAAAADGAGGGGCLRSSSSRRVLVVGGKHHDGGTMHGKHYSTRCRPAAASSFMRSNSFYAQAIADCLEFIKRNSVPVEDYASSSGRRSSVDLTAI >OB02G37170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21777887:21781179:1 gene:OB02G37170 transcript:OB02G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:J3LGF0] MEGSAGAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGADLMDRCRAQSVRFGTRIFSETVTAVDFSSRPFRVASESTTVLADAVVVATGAVARRLHFPGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNAFRASKIMQARALTNPKIQVVWDSEVVEAYGGEGGGPLAGVKVKNLVDGKITDLQVAGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVEGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVAAQEGKAD >OB02G37180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21784340:21784492:1 gene:OB02G37180 transcript:OB02G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFQSSGWAFPPHKLCESESVLSFFFPLMDTDPSFQNCTRRMQDTTYYS >OB02G37190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21785726:21787164:-1 gene:OB02G37190 transcript:OB02G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAGGGEEEAHRSSGKLAAGKWWERPDDTAMAGMDHGGAAGGAGSSRYFHHLLRPQQPSPLSPTSHVKMEHSKMSPDKSPVGVAEAGGSGSGVGGDQPSSSAMVPAEGGSGSGSGEEEEVAAPAAGGEAQDHVAQSAGPQGQQPAASQSSGVTGGDGTHGSGAGGMSLYNLAGNVAAFQLPGDNFGGWSGAAAGGVRPPF >OB02G37200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21803935:21807899:-1 gene:OB02G37200 transcript:OB02G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:J3LGF3] MDYQAILGQCCEMPVGYVQLPVGVAGPLILDGREYHVPLATTEGCLVASVNRGCRAIAASGGAISVLLRDAMSRAPAVKLPSAKRAAELKAFVEAPANFELLASVFNRCSRFGRLQDIHCALAGRNLYMRFTCITGDAMGMNMVSKGVENVLGYLRNDFPDMDIISISGNYCSDKKPTAVNWIEGRGKSVVCEATIKRDVVQKVLKTTTEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLETVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGSIHDLPGANAQRLATIVAGSVLAGELSLLAALAAGQLVKSHMEYNRSSKDITKAAS >OB02G37210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21810209:21812350:1 gene:OB02G37210 transcript:OB02G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQPTQQQQQQAGSASGSASASSSSSGLHMLVSPFGDTTYTKVFVGGLAWETTSEKLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGIYVGGYGQHRPLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGISSTGNTSNQPFSQFSPSISGLGNGYVAVHGYNVPGSPFVQLTGSNFSSAPPTPRPTIQAPFLVAPVPTHAHLVLPAHSPQFTQTGGSDQRAS >OB02G37220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21812267:21816577:-1 gene:OB02G37220 transcript:OB02G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSDGRCCNGAAGEAADDGTTVFRGTAYSPLRTTVALAMWLGTIHFNAFLLLGSLFLFPRRVAALVLATQLFFMFVPVNDRSRLGREIARFISKYVVGYFPVTLHVEDYKAFDPSRAYVFGYEPHSVLPIALGTLLDLVGFMPLPKMKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKARKGFVKIAMETGSPLVPVFAFGQSYVYKWWRPSGKLIVRIARALKFTPIIFWGKFGTPIPFATPMHVVVGRPIEVKKISQPSFDEINEVHEQFIVALQELFEKYKTKAGYPDLHLRVL >OB02G37230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21820796:21826681:-1 gene:OB02G37230 transcript:OB02G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3LGF6] MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPEATVIAEHPTVRVGVVFCGRQSPGGHNVIWGLYEAIKAHNPNSMLIGFLGGSDGLLAQKAFEITEEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNSSSSFLMDDIYRNPGPLQFEGAGADTKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQGISL >OB02G37240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21828666:21832999:-1 gene:OB02G37240 transcript:OB02G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDGDEGDGDDEEDGEDEGDEGEEEDDDDVDDDDDSTPDASPRAEAKVEGESSTGIVGCANQPVEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVQTGQLQVPLSALPQPGGTNREIGMNQSSSARVRRDAAARAMHGWHAHRLLTNSIYGDQNLKEKESIPSSSRGVLKRKKASSPECAIKVSRTKINKSQDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADM >OB02G37250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21837465:21843906:1 gene:OB02G37250 transcript:OB02G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function /.../83, C-terminal (InterPro:IPR012880), Foie gras liver health family 1 (InterPro:IPR021773); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65950) TAIR;Acc:AT5G65950] MNLLALPDFSKASILARTAKTRDPLAPPPPPAGILKKDWLLKHRTRVPAAVAAMFRADQVSGDPAQWLQACSDLENLKSVIQGRNTKLVVILVQSQAGDELGEDVTVALRKRAEIDSKHLVVLVERDETEWTKSLNKLTSVFTELCTTYYKDEGRRIKSRIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMVGTSTRLPPTQHLVEVKAIAEQFHFKISTILLHGGKVVEAITWFRKHIRSYERVVGSPEIAFLHWEWFSRQFLVFGELIETTAATVPDTLSPRFGTADNVLTEWEFQPAYYYQLAANYLREKRYALECSSSSVNLTEGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASVCSGGMAIEYYAAGDFSNAKQLFDGTAGIYRQEGWTTLLWENLGYLRECARRLNSLKDFIGYSLEMAALPLFSSSGQGNSSENKRKNGPAGSPTISSRESTQQEVINILEGKRTSEITDDGSNFHLMEESTHIDIDQISPLRMVLVACVAFHDQSVKPGSPMLVSVSLQSHLPCPVMIDKLEVQFNQSGCNFVIVSAQEDCSVSNPHADVATQTTSLTLFTDKWLRLTHEVKPGKSGKLECLSVKATISKRLVVCCQAESPVSMEEFPLWKFEDQVETLPTKDNVLAFSGQKLIQVEEPDAQVDLLLDSTGPALVGELFTVPVTVLSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHDVELLGVSAVTAENGSKEEVDNIRKIQHSFGVVSVPTLCAGDSWSCKLEIKWHQAKSVMLYVSFGYSLDSTEEAALHRLNVHRSLQIEGKIPMIVGHQFLRPFRREPLLLSRIRSSSGDDKKGSLALNESNMLIVSARNCTEVPLCLHAMTIEPNDNGKQLFSVEQISGISNECPVIGPSEEYKGIFSVNPRVVSSSFCLGEIWLSWSRDSTLGESQDNRVIMKEMLPEVHIEEPPLVVTMECPPYAILGTPFTFHVKIYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIVSHKLVPLGSGSQQLPRITVTSVRYSAALTPPASAATVFVYPSEPKFNLEKGYSTTDACVS >OB02G37260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21844901:21847068:1 gene:OB02G37260 transcript:OB02G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G42910) TAIR;Acc:AT2G42910] MEVVVAKQQKAKKQINLFYCSECEELALKVAASSDAIQLQSINWRSFDDGFPNLFINNAHDIQGQHVAFLASFSSPSVIFEQISVIFALPKLFVASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPRSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLLNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYEHFTHTNSAGPADQFAYFWITDSCPQTVRAINQQPPFEVLSLAGSIADALQI >OB02G37270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21850358:21852323:1 gene:OB02G37270 transcript:OB02G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGQGMAGHSNSPLAMDSKLSMLFLFLCFVACVATASHHDPSVVGYSQEDLALPNKLVDLFTSWSVKHRKIYGSPKEKVKRYEIFKQNLKHIVETNRRNGSYWLGLNQFADIAHEEFKSSYLGLKSRLARRDAQPHASASTTFRYENAINLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLISLSEQELMDCDNTFNHGCGGGLMDFAFAYIMGNQGIHTEEDYPYLMEEGYCREKQPHSKVVTITGYEDVPENSEASLLKALAHQPVSVGIAAGSRDFQFYKGGIFDGECGVRLDHALTAVGYGSYYGQDYIVMKNSWGKKWGEQGYFRIRRGTGKPEGVCDIYRIASYPIKNVTGWGP >OB02G37280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21854622:21858365:1 gene:OB02G37280 transcript:OB02G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33630) TAIR;Acc:AT2G33630] MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGARGVRSLARRASSPWSDQLLDAGARLVQGDVRKKEDVGRALCGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALQYFPIEGHVDAYARSKSIAEQLVLKTNGRHTKNDKSIRLYTCAVRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALISASMGLLDDLPGRKGTPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVTMDTSVALAISRVFVFIYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLSATISYWQERKRKELDGPTIFPWLFVTIGMLAMFCAAFLPPVGPLKWVFDLHLFVFRSMLVIRLVFVAAAAAHVGEAVYAWFLAKKYDPANATGWFWQTFMLGFFSLRFLLKRARE >OB02G37290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21860367:21861617:1 gene:OB02G37290 transcript:OB02G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGGDGGKESHLMGLRKRLSSFSDKIQPISSASAEWAFRRSKSAPSLGAFAGGPLKRWWDWGVGWLMSKKPGFASDLEMNEEEI >OB02G37300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21863764:21864543:-1 gene:OB02G37300 transcript:OB02G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGADTGIRIENLHHPGQDWEAQKQIHNIFRSGTRRGTTPSSSSSLGLGVPLPLLLQLHLLVLPLLLALLRPLGRGRRRGGLLVGVARRRVFSALPSRCPPPSPLAMAAAAAAAAAAAAAPGPWIATGMDGWMDGRTGGVGWLSALEVSGVFVVKGKLCFLSCSVLYTKGKRREEEENNATRARHGNSRKREGQSAKGTLQTGQRGRQADTARKTNRRNGQRLRVCGRGLETGRVRGCVVCKNFRQKTPYHIYSLNI >OB02G37310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21869665:21870231:-1 gene:OB02G37310 transcript:OB02G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGNGGGAPSFRQPLYRCPVCPAVWPSCHELRNHLYTIHPNEAAELVIPLVQYVENSRRGGGGAPPPRTPXPAPLPPRSPVPVLTVQRSFVPLPPNPAFWEEYRGGGSPPVEIGFFFVVPPPAIAAPPAPEPAVTCGLQAASESDSESSELDILV >OB02G37320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21885127:21886296:-1 gene:OB02G37320 transcript:OB02G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase 2 [Source:Projected from Arabidopsis thaliana (AT3G12120) TAIR;Acc:AT3G12120] MGAGGRMTEKEREEQQKLLGRAGNGAGVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIRSFSYVVHDLVIVAALLYFALVLIPVLPSGTELAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSVLDDIVGLVLHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAMAWYTPYVYNNPIGRLVHIVVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERAQIFISDVGVVAAGAALFKLSSAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGVLNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVESEENKGGVFWYNNKF >OB02G37330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21894120:21898703:-1 gene:OB02G37330 transcript:OB02G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19640) TAIR;Acc:AT5G19640] MAPTDIDSKRMSDTTEDGSMDRRGNPAVKANTGKWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPSGRCGAAGEHCDAPSTAGVAVFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPNEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGKWVMGFWVSAAAAAMALVLFLLGTPSYRHFKPTGNPLTRIAQVFVAAFRKWRTDVPRSELLHEVDGDESRIAGIRKILHSDQIRFLDKAATVTEEDYCTPENMQNPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVVAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVVCTAVGAVSRPAVAGLPSLDHTCRGHRRHVHAQTAGQKSMCGPGAYYYVLSEARSFVSTVYALNGMDAMDGKLPRYCANVEWYNSRRWCTDDATELTPCAVEGCNAVPHYRLELKRRAQIPAATAMNSIAFCQSGIISPDQWLVTWMALPIRSRTVNTAISPPVLADRDCG >OB02G37340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21900145:21900527:-1 gene:OB02G37340 transcript:OB02G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLGVIVVVVEVVSFVTSVSSSSPSSPSPSTEKCELPLRVRTGGFINEGVSSGTGSESTG >OB02G37350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21904089:21905596:1 gene:OB02G37350 transcript:OB02G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19630) TAIR;Acc:AT5G19630] MEWTTVEAGDGAKLSVRLFKPAQGEAAAEAAAAEEDIAVVLVHPYTILGGVQGLLRGIAEGVARRGYRAVTFDMRGAGRSTGRASLTGSTEVGDVVAVCRWVAENLNPRGVLLVGSSAGAPIAGSAVDKVDQVVGYVSIGYPFGLMASILFGRHHNAILKSEKPKLFIMGTKDGFTSVKQLQNKLKNAAGQVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFIKSLPQ >OB02G37360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21906199:21906429:-1 gene:OB02G37360 transcript:OB02G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELATSYFNSSLTNAKLTGCKLKQRATCKLFFLHQYLTKSNWHLALGRIADSATAEGIATLHTFPSLVGSSVRS >OB02G37370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21908688:21909116:1 gene:OB02G37370 transcript:OB02G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTIVRSHEDKVSGAEEVVPARKRRGRPQKRFTDKIDQADVEIFVENAMDVEEGEGDEVKLKASRVEKNTTAAAAGNKRGRQLKESSNLVLEESNSSIRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >OB02G37380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21915612:21917958:1 gene:OB02G37380 transcript:OB02G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVVKTVKATAMGLATGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVESQRKKRDFVNGAVGAFVAGATIFGYRGRSIQSAIAAGSCLAFTSAVLDVGGNTTRVDNGKEYYPYTIEKKPAH >OB02G37390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21918103:21930622:-1 gene:OB02G37390 transcript:OB02G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52140) TAIR;Acc:AT3G52140] MAGKSKGARNKGKAQGGSQAVAVEPEVPVTDGVEDAKPENGEVSEPAAVEGSAPGAEKEQGDAAEETQTTKKPAEDEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHAKDGSTHELEDYNEISEIADITAGGCSLEMIAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADTADSGKTASQELDGLNFMEDSTGALINLLPSALAEIKCVASIVFSSFNPPPSYRRLHGDLIYIDVMTLEGNKYCITGSSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGACPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLSKDQKPDGQNGSGRSATGSTDPGAKSNRNCADPSGTTNSKTDEPNRVLDNSSDASAEAQIADSEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHEVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVAGAPGESIEQLASPSDAMATPVEGPAVSDESSVPEAAKSDENSAPKPGTSDDSSSMRPAEQNESASEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDICSLDISPMDGQTLTDVLHSNGINVRYLGKVAGMIKHLPHLRDLLSSEIIVRSAKHVVKELLRQSPDHDIGPAIAHFLNCFIGNVLAPSTKGSSDSTHSKNQKGHEKTQNQKSTKGQKLSLSASKNIMPTFSHLTSDRVWSNIKEFAKHKYLFEVPDDARAGAKRVAVLRNLCQKVGITIASRKYDLDSAAPFQPSDILNLQPVVKHSVPVCADARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHRDAANCCRYLAMVLYHAGDISGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAICYHALAIAFSCMGAFKLSIQHEKKTYDILVKQLGSDDSRTKDAENWLNTFKTREQQVNAQKQKGQQGTNPPANPIELLKAHPGLAKALKAAAKQPGDGSANVNRSLNAAVVGEGVPRVRGVDERAARATAEARKKAVARGLNVRSGQAPDYMSNLSQILNYLDSAKASTATPATALAAASTQNTHEGQQSNGPTQNGTAGNNTHGRSSKPSGSTPVGLGTSLELKKQKSKQKA >OB02G37400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21934098:21937524:1 gene:OB02G37400 transcript:OB02G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48020) TAIR;Acc:AT5G48020] MDLPVVDLAPYLNRIAGEEEEEEERVRALCAAVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSADSKRLQERPNLHYQVGVTPEGVEIPRSLVDKEMQDKIKDMPEEFQPATPKGPDPKWRYMWRVGPRPASTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVSEMAAVGFDLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALATEQNRSLWRVSSTLFAHIASDATLKPLGHFAEASDAHSYPPICAGEYVEQELSVINLKGNNGL >OB02G37410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21937000:21939922:-1 gene:OB02G37410 transcript:OB02G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVAYPWEKKMREALPIPNSSSFLSMLVLPTALDRAASRYNSVEDTLARANAWVLSSQTSGVPIAFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTASAGEMPVEITLEAGDTKLGFAISRTEEGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHLLMWEGKSADAPARAHEPRLPPQPAPYPEFSAELLRQGSFGVELPRPRQDSFGVELVREDSFGVELVRQDSFGGELLRQDSFACTEPPVRRAEPGIMQGRDTAGDTSFRFHNFKLPNNWV >OB02G37420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21948129:21948842:1 gene:OB02G37420 transcript:OB02G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPELSTAAAAAAETDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPPPPPPPPPPPPPPPAAAEPDGTVQIRRLEVTDHGKGFVELLSQLSACPDLTASEFNACFADLAALGDDHVILVAEDLAAAAAAPERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLSVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAIYF >OB02G37430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21951762:21953546:-1 gene:OB02G37430 transcript:OB02G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTGTGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEE >OB02G37440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21959220:21959956:-1 gene:OB02G37440 transcript:OB02G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLDRRVDPEAGRLQAARSITTSLVDAPRRAMDVVVRNVSLRGLIEVEERSTYRPHAERPDEWTQFRQETTIRCRPLAALAAVAEKVETRCAERFMQNSAKGREVVERICRYLEAEAAGAASSAI >OB02G37450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21963169:21964859:-1 gene:OB02G37450 transcript:OB02G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVAFAAEAPAPAPTSASPAVAPTLGAALGATVVSFFAYYLQQGETSHYNIDNRQFVHFAIGLCAYTRKVEVKSSEEQTTQAKSYTSS >OB02G37460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21971397:21971594:1 gene:OB02G37460 transcript:OB02G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGHGRGRGGRSVRAGRGVLRRAVHPGRGWDHALGGRSVVGDLDWFVAGDLRFGHGSFESFPSTE >OB02G37470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21975277:21979554:1 gene:OB02G37470 transcript:OB02G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYWEEDGEVVGYCYWLVMAERGNQPTVLQKLGGQFHLASSFSEGVRVRNTCPSVSSYDRRFTTRSYMTQSLWGPAMSVNGGINVPMMSSPIYANAPAEKGGKNFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >OB02G37480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21979117:21979497:-1 gene:OB02G37480 transcript:OB02G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSPDTQSWPDTTQTQPYDDGKLFADLTLPPMTNVWRSMVPPKTNVGNVLPYKNYYFLNVKKAKFPRSCKDRTRNNLLLFVIITSPFRHHLSHTSFQRRGSAAGHNQRAHQHQQWHGGCWHQHP >OB02G37490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21982200:21984718:1 gene:OB02G37490 transcript:OB02G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAISCSKGIAAPPPEEAKERVVVTKNGAVEHVAAAANGKQCSEAPRCRKDNDEEEDDEEKVPKAIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFSPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALS >OB02G37500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21984498:21984716:1 gene:OB02G37500 transcript:OB02G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSVCVFELLSLCQGLVSRSNCLFEFRATQQLHAFLVTTSLATLTVSDAFPLSMPELIQKEIEEKLPPYSIG >OB02G37510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:21995959:22000507:1 gene:OB02G37510 transcript:OB02G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSSGHVTTKNLPGMMKKLRGLNEVISEEEIAAHLSQSYPDADQEIEFESFLREYLNLQSRASTKEGGGGGGGKTSSSFLKSSTTTLLHNLNQAEKSSYVAHINTYLGEDSFLKKYLPIDPNGNQLFDLVRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTTDTKQVTLTQTASRDDVLLSREERAFRMWINSLGVDSYVNNVFEDIRNGWVLLEVLDKVSPGSVIWKLASKPPIKLPFRKLENCNQVIKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNMLQLLNRLRSHSQGSQGKEITDADILKWANSKVKTSGRTSQMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEDDEEKKLNATYIISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSEVSEQSEPSSMASDAASDIASEDAASTTAASEGEEVNSLSDSMSNLTTDDATSNAPPAENGNGVAG >OB02G37520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22002335:22002517:-1 gene:OB02G37520 transcript:OB02G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGYVLGRFNPSTTAALPCHRHHQLLVSVLRDWSEEGGHGEGEEAPASLRSSACMVEEN >OB02G37530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22010536:22012937:1 gene:OB02G37530 transcript:OB02G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQDLHMSRGDGETSYAANSRLQEKAILKTRPLLHKAVEEAHASLSLSRPAGKMVVADLGCSSGPNTLLVVSEVLDAVANRYHRQPPPSSTTGVVVQFFLNDLPGNDFNLVFQSLEPFKRLAVKEFGEALPRYYVAGMPGSFYTSLFPDRSVHLFHSSYCLMWRSKVPDEIASGAVLNEGNMYIWESTPAPVVKLYQKQFEEDFSLFLALRHAELVSGGQMVLTFLGRKNRDVLRGEVSYMWGLLAQALQSLVQEIGTSIYIYIYMQVYTLHLVTGRVEKEKLDAFNLPFYSPSVDEVKAVVRQSELFDTDHIELFESNWDPQDDTDDDDVTLHSVRSGINVARSIRAVLEPLIARHFGERIVDDLFDMYAQNVARHLEQVKTKYPVIVLSLKARG >OB02G37540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22017583:22021474:1 gene:OB02G37540 transcript:OB02G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHYTSTSRFFFAGDGEGEFSSYKGTSTTNTHRPTTVRIPVASPAPERSPDDAAAARIQAAFRGHLVVWSFIFRCTCVKMHWKLGENQACGAAMELQGIGDATEGDLWTFEGKHRRNVTSNCI >OB02G37550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22026869:22033124:1 gene:OB02G37550 transcript:OB02G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKDPFNPSGIAVPSSVKMQQLAGNNNPWIVPPPQPMNARNGLGNAGLFSTSLPVLPHEKINFLDSANGTRLMDGASVKLKELDVDDDPDGKEYKFDFDLRQIDDLLPDEDELFVGIGITNETDPAGQTNSMEELEEFDVFGSGGGMELDLDPVESITAGLGNTSIADGLRGNGVNHFGQSNSAGTVAGEHPYGEHPSRTLFVRNINSNVDDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRLPHVGSPIASSPPGAWAQYGSPTDNNLLQTFNASPTGNGMSPIGMPSSLMSNAVKIAPIGKDNNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKNEHLSSSPGTLTGPEFLWGSPKPYSEHSQSPIWRPPAIGHATPSNSRSQGQGLLYGGRQASLFGSQDQHPLHHVGSAPSGAPFESKFGFFPESPETSYMNQVRFGNIGSGRNGAGLMLNMAARASVNPVSALSGSMPDNNSSSFRPILSPRLGQSFYGNPTYQGLGSFGLDNSIERGRNRRVDSSVFQPDSKKQYQLDLESIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMVSPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPEAGNQEPFPINGICIHMPLEDGTIESVDCLGYEDDTIQNVKTAGDNSMTGSM >OB02G37560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22035273:22041334:1 gene:OB02G37560 transcript:OB02G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPPKASIADRLMLTKRKMEEVKEAPVQPTMSTAQTIISSGTTDFQGLHGAPKFAVGAPRNPPAIAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVSMPYTAPSHLKSDKGVNAPPNLVRTGATFGHLNKSFHDTSARSNLNAVQSSTQVVKNQDIKTVSVDATAGNPLPGHHATPSVLPVPSKPTFANHNEIAKNVQRVLHQPANHPSWIPPSTEYMCSHLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGRPLPAKYGKVTRTAVEPKAAPPPAGTQISSQGAAENIIVKENHQKVAVNGNILNQNSTQAVSAVHSSTVLALGVTAAGAQQQPLATSRPPEGNLQNDATLSSEKAVNVEPCSSIAHHNEKPPDELQSRGLPANSTTGTQLGKSPNEEVSSVLASGIVDSTNDNLHEQKSHEISREKCPDNSSIVASEANIKLKADCELILGDVEMVNNDIPMDQTNSVDTEDKPSTQEITEAHTMKAVEVSINTGIQMNQGDSVATEENLHKEATSDPHVISDVATTTNAGTPICPSNNIAIEEKSQFDVVSEIHTSKDTKMSINTATSIDQNINIATEENPLPESISVTEDADICTDSGIPTNQTGETNGLDENGRKEHPTGEIDECKSDNSAMPDMVSTLPITSNGAMHSKDEAVCGHQGEIVHCSAAARQEDN >OB02G37570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22044233:22044848:-1 gene:OB02G37570 transcript:OB02G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTPAGVLLLLVTAGAAAAPVRRHHVVGGDPGWAVASDVLAWSADRLFTVGDVLWFAYSAEDGGVAEVGGEGGFEACAAGSPVGTYTDGLTRVALDGEGSRYFVSADAAKCGSGLKLRVDVRTPVVLGKDHGRAAAPAPAPTPWASRGGRGAATQRACVLLCSACVWCLLFMAI >OB02G37580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22046006:22050252:1 gene:OB02G37580 transcript:OB02G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPRETSCGSLLQKLQSVWDEVGENEDDRDKVLFQLDQECLDVYKRKVDQAIKSRDLLLQALDYSKTELARLASSLGEKSIERNPEKTARTIKEQLTAIAPTLEQLGKKKKERIKELANIQSRIEQIRGEIAGTLEIGQQVPLPPINEDDLTIQKLQEFQSQLRELEKEKSRRLEKVLEHVGTIHDLCNVLGMDFSRTITEVHSSLDDSIGNEHKNISNDTLSKLDKTIATLNEDKRLRLKKLHELATQLNDLWDLMDTPMEERSLFDHVSCNITATVEEVTNLEALAIDVIDQAQTEVERLDQLKYSKMKEIAFKKQSILEDIYASTHVVLDTAVAHEKLQALIESGNMEPSQLIADMDSQILKAKDEALSRKEILDKVERWISSCEEESWLEDYSRDDNRYNSGRGAHLNLKRAEKARILVSKIPALVETLMAKTRAWEENHSLPFMYDGVSLLAMLDEYVTLRREREEEKKMMREQKRHTEQLLNIDREGPFGTRVNPYRVTSAKKVAGTKSNGGSSNGTPGRRLSINNQLNESKNARSAGKDDKKGASKNTATSLKEAAADKEVDSSAENFDADPVSGST >OB02G37590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22051594:22054659:1 gene:OB02G37590 transcript:OB02G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERETPCEICGHYHKSEEGERKTETSMKDGPLHCIVTHHCRTTVPDSQNLFRNSFTYRCIQGERNLDFDGANTFLEQCERDTSRVLVHCMSGKNRSAAIVIGFLMKSRGWRLAQCYQWVKDRRPQVQLTDASQHQLVEYEQKLFGPSVETPAQPSAPTESFPPLGSGFPKPAGDVQAPVFNQQPAASIFERVSASNVPSDFTFGAMEANTPMDNNDTVAPTSADNPMDSS >OB02G37600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22055129:22056983:1 gene:OB02G37600 transcript:OB02G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEPSAMTYKKATAGLDEAARARLRGPFASGAASLGRVCRSSWERTGSVPAGVHEYVDVAAATRYIVEGFREHQTRVLPAPL >OB02G37610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22055965:22056126:-1 gene:OB02G37610 transcript:OB02G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCTGIYKKNTSTTAGVTFFPLFLRTCATQFTLMTQNHKSQPSEEWSHAHQSL >OB02G37620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22059989:22060981:1 gene:OB02G37620 transcript:OB02G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTPAVTQTMGIDTGSDVSWVQCKPCPSPPCYSQKDPLFDPTRSSSYSAVPCGGPACSQLGLYSSACSGGQCGYVVSYGDGSTTTGVYSSDTLTMTGSDALKGFLFGCGHAQQGLFAGVDGLLGLGRQGQSLVSQASSTYGGVFSYCLPPTQNSMGYLTLGAPSSTSGFSTTPLLTASNDPTYYIVMLAGISVGGQQLGIDVSVFASGAVVDTGTVVTRLPPTAYSALRSAFRAAMAPYGYPSAPATGILDTCYDFTRYGTVTLPTISITFGGGAAMDLGPSGILTSGCLAFAPTGGDSQPSILGNVQQRSFEVRFDGSTVGFMPSSC >OB02G37630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22063814:22065329:-1 gene:OB02G37630 transcript:OB02G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRKQETAMASVSTVLVLLLLCSCCHSLVAHAGNGERHKVLELNSKAVCSERNAIPSSSGGTTVPLNHRHGPCSPVPSGKKRPTHEELLKRDQLRAEHIQRKFSRNAAAVDGAGELQQSKASVPTKLGSSLDTLEYVISVKLGSPGVTQTVSIDTGSDVSWVQCNPCPAPPCHAQADPLFDPAASSTYAAFSCGAAECAQLEQQGNGCGANSECQYGVQYGDGSTTNGTYSHDTLTLSGADVVKSFQFGCSHTESGFSDQTDGLMGLGGGAQSLVSQTAAKYGNSFSYCLPPTSDSSGFLTLGGPSGGASGFVTTRMLRSRRVPTFYGARLQDIAVGGKQLGLPPSVFAAGSVVDSGTIITRLPQTAYSALSSAFRAGMKQYRSAPPRSILDTCFDFTGQTNISIPTVELVFSGGATVDLDANGIMFGSCLAFTSTGDDRTTGIIGNVQQRTFEVLYDVGNSVLGFRSGAC >OB02G37640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22073672:22078166:-1 gene:OB02G37640 transcript:OB02G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3LGJ7] MRGGGVRGLLRHLDRRLSARGSGRRSQQQQLSRSASPGTEQPPPASSLQQRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRIQRLADTWHRILLIPVTGGVVVGMMHGLIEIFDQLKLVKPPQKQGINFLAAIFPTINAIQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFSRLVVWFSRIFGHLKETYDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSASYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNHPNDGEFSRFRTPRRGYSSLSSEERNSTSWRRGDSVNDLELNSLRTDINSHGTYNEEMLLDDLKVSQAMSKSYVKIPPSATVTEALKLLHDKQQNCGLVVDCEDHLEGIVTLGDIRRMGFELHEGCLMNGDQLKPAENSSAISLCLTRGFQYQGNERGLLTCFPDTDLTTAKNLMEARGIKQLPVVRRGVGHRTEGKRKLIALLHYDSIGHCLREEIENWKAIYQIKDDFRLIGH >OB02G37650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22084442:22088956:1 gene:OB02G37650 transcript:OB02G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLLLLAFSLLFAVATPIRDITDDVCSSQIKDFQHLNSTGLHLELHHPRSPCSPVPVPADLPFSAVLTHDDARIASLAARLAKTPSARPTSLEXXXXXXAGSLASVPLSPGASVGVGNYVTRMGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQAGPVVNPKASSTYASVSCSAQQCDDLSSATLNPSACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSLPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFTYCLPSTSSSGYLSLGSYNPGQYSYTPMVSSSLDDSLYFIKLSGMTVAGSPLSVSSSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTSRASAYSILDTCFKGQASRVSAPAVTMSFTGGAVLKLSAQNLLVDVDSSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSSRIGFAAGGCS >OB02G37660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22099060:22101507:-1 gene:OB02G37660 transcript:OB02G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASAVAPQPKRSTAAPPATGMARRGWLTEGDDRHGLARRRIDHELMDLWLDPPPYCRPGPARVKDRFHWAVIIDGPAGTPYAGGTFPVDVWFPASYPFRPPKLVFKTKVYHPNIDWKGRMVLDTFREKWSPAFTISKLLVAFVSVLFDPLLDHPVSRRMARQYEHEYELYERKAMAWTAKYSSEPIVSHYPAFAVVAITPPAGNRLLPLAPSWIIHKLLGILLVVMAVEVKQISLQRES >OB02G37670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22104985:22108152:-1 gene:OB02G37670 transcript:OB02G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYPLPSLPKGREKLKEQGEVDENGMWGELRNLVWATSKHDVYLMSHFSILHWSALKSLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKHLDREGVSFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLVVIVGDDPNGLLIDANSGKTLHTLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIFDVGSDYNRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFSRLYEHSYLDSLC >OB02G37680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22108422:22111230:-1 gene:OB02G37680 transcript:OB02G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHEDHIEEMDDDYDMDDTADDMAEEHYDRGMRDSDSEDEEHGQSNYKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTEKGGMYYEFRQNTRSVKSTILHFQVGLFLYSYISYFPFKIMCPNYYWT >OB02G37690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22117645:22119878:-1 gene:OB02G37690 transcript:OB02G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGLLLLVLSAAVLVGFASASPSFLSDGVFQGSVGSTGRSLLQAKKSCPVNFEFQNYTIITSKCKGPRFPAKECCNAFKEFACPFAQYINDESNDCASTMFSYINLYGKYPPGLFASECREGKQGLSCDGVSQKDSAVANDGQQTQSSLLASIMLTFGLVALWFH >OB02G37700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22122302:22127823:-1 gene:OB02G37700 transcript:OB02G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNADGIEISASIDERRDRGDAEILEDEPRQTRIRSLKKKALHASTRLTHSLKKRGKRKAGCRVPKITIEDVRDAEEEQAVSSFREVLFARDILPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKEFGTDTILEDFEFHEIEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKRHIDTTTTILDVHGVGLKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDASQLPEFFGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSVRLRALKLPERIIYTSNAESGSDVDDLGSPIGQEDVEYHSLAPVHEEVRKSGSTACGSCDDHPLLVDEVVETNKRYNLPGNGSGQYNTRQNLLINRVLPEPAAHAPNDGEGDADHGILKYLARKVIGVILKVLSFLRIFIRHRQQLENTRPHTTTVRSNQADLQIIKEDHVNPCLERLERLESMFNQLSRKPPEIPQDKDRAIRDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRRRKFCT >OB02G37710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22129903:22130732:-1 gene:OB02G37710 transcript:OB02G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGAGVGVGVGRRCRKQRQAEASAGGRGGVGGEDGGGDVGSSAAAVVEKRKASRSRREKKRLRQTEKVWVEKDENEGCAYRRGGCGGSTRTSATLRSRMEISAGGVRRENPRGRGRGRGRGDDEIE >OB02G37720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22131175:22133136:1 gene:OB02G37720 transcript:OB02G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3LGK5] MAKGWIFSALLVVFLVLSPHCKAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCQPQDGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEGDVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSTEVYIINHLKFKVLVHRYEGGKVKVLGTGEGMEVISETDTDTKSGYEIVGFEVIPCSVKRDPEDMSKLKMYGKVDPISCPVEMEKSQLIREKERITFTYEVEFENSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCIMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYASVRLWRTLKGTSEGWRSVSWSTACFFPGIVFIVLTTLNFMLWSRNSTGALPISLFFALLSLWFCISVPLTLLGGFFGTRAKPIEFPVRTNQIPREIPTKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >OB02G37730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22137589:22142738:1 gene:OB02G37730 transcript:OB02G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGERDGQAEPLLGKLSDSAYGSSEEQIVKRSGTAWTAAAHIITAVVGSGVLSLAWSVAQLGWVGGPVAMAVFAGVTVVQSSLLADCYISHDPERGVVRNRSYVDAVGFYLGEKSQWFCGFLLNFNLFGGGVVYTLTAAISMRAIQKANCYHREGHDAPCSAGGDGYYMLVFGLAQVVLSQIPGFHDMAWLSVSSAAMSFSYSLIGFGFGIAKVIANGVVKGGIGGIAMVSATQKAWRVSQAIGDIAYAYPYASVLLEIEDTLRPPENETMKTASRASVAVTTFFYLGCGCFGYAAFGDATPGNLLTGFGFYEPYWLVDFANLCIVLHLLGGYQVYSQPVFAVVERRMGGPAAGVVEVPLPVGSPPRRRRCRVNLYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFAFWPLSIHFPVEMYLVQKKVAPWTARWLAVRAFSAACFVTSAFASVGSAVGVFVSKTS >OB02G37740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22144115:22147097:-1 gene:OB02G37740 transcript:OB02G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQPIKSTKPVIGKTRKLKDLMLKSDNRICADCSAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMVEVGGNSYANSIYEAFLPKDHPKPKPDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFEPTNSVKSVNSGFSSTSTKHVTEDTREFVGELNITVVRGIELAVRDMLTSDPYVILTLGEQTAQTTVKKSDLNPVWNEVLKLSVPRNYGPLKLQVYDHDMFSADDIMGEAEIDLQPMITAAMAFGDPSRIGDMQIGRWFMTRDNCLLKDSTVNVVSGKVKQEVNLKLQNVESGEMELELEWVPIL >OB02G37750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22159505:22164454:1 gene:OB02G37750 transcript:OB02G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRISGLRASSAVADQEKYLSDLLAERHKLSPFIPVLPNSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQPESSPSYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNNLGMKRAKTRG >OB02G37760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22164012:22164925:1 gene:OB02G37760 transcript:OB02G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYSSREDQSSILRPIPKYAYMGLPCWPTAQKRSRTASIGAARLTLKYGCLVRPRSVKVEALRELHLALRMVGMGLEHPNILSSILEIEDEK >OB02G37770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22160334:22175553:-1 gene:OB02G37770 transcript:OB02G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGNSGPAHLESAVSMPPPTSEFAAAQPPPIPAMPSAPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDNKPQITGKVEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTRVRRGRDVSSEEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPHASIILLANAKKNAIYAVHVEYGMDPASTCLDYIADFTVTMPILSLTGTHESQPGNEQVVQVYCVQTMAIQQYGLDLSLCSPPTSDTAGLGRDPSISRVYETPLEVVGPESTVLTSFSESYSVSSPSKPSTVDQQPTELDPKPSAPPLTYSEGDGSAHLPSASLASNMDPSGSGSSLGNREMDQAAFDYAMNKNSEPDILKRQDTPMPKDNFVKDDPRDGRSDVTMLPNPHLMFKVGGNTTHLVTPSEIISGALSSAESSHVPKSDGGKIQDATNSGPQMAELEPKHTNDQKVDQNLDLEVAQLVYENTDQVRSSSEQAVKMISERLVTTDKYSVEDSQTCDRSMSEHTGTADESVTKKPVEISEKIDYSSASMDQSSSYTKEKEPIMHTQASGQSSPSTSAFNSTEYSHEPANSAYPPIDSFPEVATQGMLQQLIAMHKDLQKQLGTIVTAPLAKEGKRIEASLGRTMEKSIKANLDVLWIRIQEENAKREKAERERMQQMMTLIGSSISKDLPATLEKSLKKEISSVGPVVARAITPIIEKCSASAVADSIQKVVGERVANQLDKSVSAKLEATVARQIQMQFHTSIKQVLQDSLRTSLESFLVPAFEQSCKTMFEQVDSAFQKGMSEHTIAIQQQVEAAHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHNTNVLQPNNVPVTRPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMAPVPLNQGVLLALLQQLAVDIATETPRKIQWMTDVAMAINPTDPMIAMHVKPIFEQVYNTLVHLRSLPTTSPADSTNIRLFMHVVNSVLLSYK >OB02G37780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22177347:22178441:1 gene:OB02G37780 transcript:OB02G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILENKIKQFGERYKYEMVLFVVLWSTGPNQDFKVSHRCHNDVGILIWSDGLSGGADEAATAATKGDNRLPPAHFAIEVQILSIPHNCSAILTLRKVPQAWTLEPQSINAASTSKVVVLQIQPLPQMRTLQMQIEVYAPA >OB02G37790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22180052:22180240:1 gene:OB02G37790 transcript:OB02G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYIYTSQSCICSLSIVSVICANGQLKIIVEVVFLKKKAAFQKSQVGCSYMLGLKIEKLMI >OB02G37800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22189557:22190422:1 gene:OB02G37800 transcript:OB02G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCYRAAAGGGRRCSWRAATTSRATGWRSPGVWATSRSAATGTSTTPGRAATTASAARSTSPTTRCSGRTASGTPARSATTCALCRSTTLPRRRRGSRRRRESEVRGSLAHERTKPSKAFTVKPCIQQSSCWYAWCFFEVDCYSGEINLFLFGSCGVFSRLIATAVRLICFCLVLLLILLYSVLRSPYYNRRVYMMIDCIDLK >OB02G37810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22190724:22196673:-1 gene:OB02G37810 transcript:OB02G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERWSKGYSAASKGKAIASKKGSLNGGQNSSAKIPHVTIDDSDSDSEGFVEELPRFNPKSNGKAASESLKTGGKASSKGEAGKGGKGSASTAASAKSDAALKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFKYAKEGNHFSTAQSVKQVLDPLRKYGVSDGEICLIANIGPETIEEVYALVPSLKATRSLNEGPIMKALTALANIKAAK >OB02G37820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22207264:22207497:1 gene:OB02G37820 transcript:OB02G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLASCIISTCSSLSHSLSLPLALATSLRLSTPFPLPSPPIPPEKSFFISSFRRRFSTPVSDSLCSCSCSSSAADDRRR >OB02G37830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22208965:22212481:1 gene:OB02G37830 transcript:OB02G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCGKQRSMVYCRSDSASLCLSCDRNVHSANALSRRHTRTLLCDRCVAQPASVRCLEENISLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFIMDIPVVAAEPNCEDGINMMSINDSGISNHCGAPEDSSLLDMASTSLMSDLPTGDKFKPLIGSSSGDGMNLLPLNTDQPAEPVSTTPKAPCVTDKDMFNDGSVYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEVPADESNEPKPMQPECSNVASVDSGMSNLAARQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >OB02G37840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22215365:22215784:-1 gene:OB02G37840 transcript:OB02G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIGHGGGRVRARGYQPVSPASLSFRLRNLRPPPARTASHTPIAVTAATSDASTRHHRSFIISTLSRPPHRGGKRESKGMDQEKNSGGARRQQQGRPGMAPPALGTLPCLPARVAGGVCCAGLFRARARFSRGPWGRT >OB02G37850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22215597:22225884:1 gene:OB02G37850 transcript:OB02G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEIHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTLSLLPVAPTKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVAAEFMLFQKRVSPQKVITLASVSFGVAVATVTDLEFNFFGALVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFNWNFRNSFAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIIALGGMSVYTYLGLKLKESSTGGKKPSSTANSFLGKPKAAGDDSDDASLEGGDSGEEGEEDGDDDDDDGPLADDFLAGSDDESDGGDDSGVESDESDDLEAKSRAIDEEKEKAEEEAEEELQLNIRSEYDEFRLPTKEELEEEALRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPPVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPEVLYFFR >OB02G37860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22227545:22228492:-1 gene:OB02G37860 transcript:OB02G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCKLAGLFFAFAVVATTLVPAGEARVQGFSHEDVSEPAIAGEKTVLIGGVQQPSTSPGLPGFPFPLFPFPLPGSPGGGAPSSAGSGFPFPLPFPLPLPVPGSSGGAPPSSGSGFPFPLPFPLPLTHPSSPGAPPSSGLPFPFPFPLPLPSSPGSPPSQTSPLSPSSAQPKECLSPLMSMMPCADYLTNSAVQTPPATCCDGFKSLSTAPICLCHDVNGDLNSFLPKAVDPMKMLMLPFTCGAMVPLETLFMCSSPAVPPLMPPSPPAPASPSASP >OB02G37870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22234315:22237621:1 gene:OB02G37870 transcript:OB02G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASKPMPQTLPEIPTAPEPQQYPKTASDIPKSNSSCASVPRARQEPNHSKLNHKLDSKDQKPNHNRKEPDDVSSIKRPAEVSEDMVSEGVSNQEKKVVEYESVNGSSTSFHTCEGSGPGKVSGSVRLTDRSETGERGSSSRCRPSTSSDISDESSCSSMSSTKPHKANDSRWEAIQMIRVRDGILGLSHFRLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFKSKKDRKPKPEIATQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQSLRFPEYPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKVPPSTSGTTDVSSGVPQKGSDGYLEFDFF >OB02G37880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22238655:22242468:-1 gene:OB02G37880 transcript:OB02G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRSSPTAAASGDALLAAAAAPGDVPDAALPVAADPEAEFGFRRPELGTEKLAGTVQFHERHVFLCYKSPEVWPSHVEAAESDRLPRLLAAAIKTHKSDLKKKTKLTICEGEDGTESSNGDVLIFPDMVRYRGLTHFDVDNFVQEVLVKDTEWLPGSPEAIRGSYVFVCCHGSRDKRCGVCGPALIKRFKDEISGQGLADQVSVSACSHVGGHKYAGNVIVFSADAKGEVTGHWYGYVTPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEQQRKALELKHTTNGVTEDGAHESPEEAQTVGATCNPAAAGGCCQGNGGFTCCQSELPKETQAAEENHKNSEKGTDKESASGTKKGHMKICSMPTWFETWERADTYAALGIVAAAASVFVAFRIYKNLS >OB02G37890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22244934:22249587:1 gene:OB02G37890 transcript:OB02G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G07670) TAIR;Acc:AT3G07670] MKRLPRQSSVVTVQPGPEKPREPKSSAREPEYIISISASTTSGFVSKKNLMLLVCLHDRHVRAQAVGCPPVRSFRKNVLADRADKAKAAVAMVKREAAAGGVPWGCEIESLESAASLERWLTASGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPPLVISADSEWGCPEVGNVMKRNSVPDWPLLATYLISEASLESSSRWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSYLFPEEVYNLETFRWSFGILFSRLVRLPSMDGNVALVPWADMLNHSPEVETFLDYDKSSGGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELFVSLKKSDECYKEKLQALKRNGLSEFESFPLRVTGWPVELMAYAFLVVSPPEMSQRFEEMAVAASNKSPSKPGLNYPELEEQALQFILDCCESNIAKYTKFLEDGSGSPRLSTNSKQANRTLLLKQLAKDLCISERRIMYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >OB02G37900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22250698:22254759:1 gene:OB02G37900 transcript:OB02G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGRRPRPSAAPHYSQRRSRVGWRWPRTGCTRPRSSRGSFWYGSTGPLTRRPPAGSGVRRWAWLGMLAAELWFGLYWVLTLSVRWSPVYRRAFKDRLAQRYSEDQLPSVDIFVCTADPAAEPPMLVISTVLSVLAYDYMPEKLNIYLSDDAGSVLTFYALCEASEFAKHWIPFCKKYKVEPRSPIAYFAKVTSPPDGCGPKEWFTMKELYRDLTDRVNSVVNSGRIPEDLQCNLRGFSQWNETITSSDHPAIVQILIDGNNKKAVDTDGNALPTLVYMAREKRHQEQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIREALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGNPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGQLNSQEYKEDWSMMVGRTEDANELEGMARSLVTCTYEHKTLWGSEMGVRYGCPLEDVITGLQIQCRGWKSVYYNPERRGFLGMASTSLGQILVQYKRWTEGFLQISLSRFNPFLLGRGKIKLGLQMGYSVCGFWALNSFPTLYYVTVPSLCFLNGISLFPERTSPWFIPFAYVMVVACSCSLVESLQCGGSALEWWNAQRMWLIRRITSYLLANIDTIRRLLAISESGFTLTVKVSDSQALERYKKGMMEFGSFSAMFVILTTVALLNLACMMLGISRVLLQEDAGGLENLFLQAVLCVLIVAINFPVYEALFLRRDKGSLPASVAQVSICFVLPLCILSICK >OB02G37910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22255576:22255944:-1 gene:OB02G37910 transcript:OB02G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKEETGRTIPKFGAWDVNNPASADGFTVIFSKARDEKKGPINGDASTRSSDSKDCNKTEKINSYRRTNSASKKWFCCVSPSPTQP >OB02G37920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22257483:22258242:-1 gene:OB02G37920 transcript:OB02G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVFAAAAFVLLAASVSLSSATLYTVGEGRGWAVPPKGTESYNHWARRYRFRLGDVLEFKYVNDSVVVVNYDGYRQCSTPNPVTRFTGGDTKLTLDRSGLNYFISGVREHCEGGQRMSLRVKYEGTRAGAPGSAPAPGPTSAMPRSRINAAPSPAVTAPVTPRSPSTSGPITSPGPSPGPAQANGASGRALTGFSTAAAVLVVCVAAVFIVV >OB02G37930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22259484:22261793:1 gene:OB02G37930 transcript:OB02G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNEIHSLTQQIMYCYAVNGRSATPAHLWLTGCNGEMATHIQKIPGYDKWMIEKEAKPYLEAFEDCKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKASFFHVIPQRKRGEAEARDDGANVSINDDDAAEWPADEGDLAKGFDEEVDDDDGDDEELQEAETDVAKKKQCIRHENGEAEDASMRPADDHSSGAVAETKPTGGPLPQTEQSKESNGADD >OB02G37940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22264388:22265176:-1 gene:OB02G37940 transcript:OB02G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPGAANGAAADGNNGGTQAAAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGESRGVGVGVGVGAARGDHHHHHHHHVHAAGMSPMLKPRAQGSMATHHDMQMHAAMYGGAVPPPPQPPPHHHAFLMPPHHSQYAPYDMYGGEHGMAAYYGGMYAPGTGGNGGDGSGSSGSGGAGTPQTVNFDHQHPFGYK >OB02G37950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22270086:22270777:-1 gene:OB02G37950 transcript:OB02G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRGGTNMEAKKTVVGLDTGCGVTNTELPMANLIRLMKKVLPGKAKIGGTAKGLTHDCAVEFVGFVGDEASEKAKAEHRRTIAPEDYLSSFGNLGFDRYIEPMQTYINGYREFERSGGNRRVTSPMTPTSMMPEGPTFTNTELQFLRSVIPLSDDESNGYDYGYGYGKNM >OB02G37960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22279250:22280050:-1 gene:OB02G37960 transcript:OB02G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGVVVHVLLLFVALTACAWPACRATHNITAILAEHRDLVEFNRQLTATGLADDIDGRNTITVLAVDDAHMAQLKAKGLPRDALRHVLSLHVLVDYYDDAKLHRLPGGSTVVSTLFQASGDAPGSEGMVKIVVRRGGRVAFVPQDVDDARANVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSAPESKPNTTDPMSKHGSHAGASTAPSPAGQGSSEADTAKGKQAGDGGDRGNKNGASSGAAQCGLPFALAFLMAAFLVVNW >OB02G37970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22289836:22290675:-1 gene:OB02G37970 transcript:OB02G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAAAADLRRAQAQQGPASRCGGIPSPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKSKRSSSSSSAPSAPSTPTATDNAKNQRRASASSPRSSSGGSGNTSPSAAAAAANAATTPTTPATPSSNTISVINHATNPFPTDVPPPAPIFADQAAALASLFAPPPPPPLPVFSFTAQPKTEEGIASVLLAGQTDPVAPAVADMTPFTSLDSGIFELGDVPPPAYWNAGSCWTDVPDPTVYLP >OB02G37980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22303044:22308190:1 gene:OB02G37980 transcript:OB02G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPSIPAIPPIPSAVAPEPDEAELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYTSLYENKYFEELKVKAEEEEREAKKEVSETTQATTAEHKDMKAQSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGDDGGLDDDFDKIDATSVLESDDDDKEKAAGKAESAQQ >OB02G37990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22308532:22308690:-1 gene:OB02G37990 transcript:OB02G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSIADGCRMAIKTYGRHNLWKSIGLARHGRPSVLKLHFVIRSSISVTSS >OB02G38000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22311271:22316062:1 gene:OB02G38000 transcript:OB02G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATVGVKMAGGGAEKLRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGDRNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSGISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLKVQKLRCRVNYASLKFTPQIEELGRRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSFTKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQYNSGSMGWDEFSSLIKSVHANRMGAASKRTVILDKPKEEDYFYANPQECLRDPNLLRTS >OB02G38010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22320717:22321262:1 gene:OB02G38010 transcript:OB02G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; BEST Arabidopsis thaliana protein matc /.../Uncharacterised conserved protein ycf60 (TAIR:AT2G47840.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G55710) TAIR;Acc:AT5G55710] PRAARLLARPPRASNPNNDNDNSGAVEAPDRLVAAVAYLYPFLDGVHHGRFLLAQFPLFSTLLSPLAPAARLFRSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLVQSFAPSTGGGIGFELFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >OB02G38020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22321179:22324125:-1 gene:OB02G38020 transcript:OB02G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFPGEETAHDFLSLRAGGSSSPSFQHRREAQHSSQGYGMEMHRSLKPLEQAKQRSRSSGNTAAGSAVDGASPAGSDSEEHVLPGGIGTFSIRQASGTPSREEAGSHGAVRNAFAPVLHGSRMENAHETGGSGSRAHRAPSTMWQDSGTDQRSIGKTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRLETLRELLPHSDQKRDKASFLSEVIEYIRFLQEKVQKYEAADPERNHEDSKSMPWAKVYYRSCWRNKQNISQVQGGDLSSSTQDMNNEQYSPKHISAAHPDLFNTSTPASSSHMATGTPKNWENNNTSSNQPWLSMSTTRQESESGNRMLNKHERQTLQDENHSISSAYSQGLFNRLTEALKKSGLDPSQANISVEINMSKRARENTSDNSKVNEAEELVHITKRPRCNKS >OB02G38030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22330004:22332018:-1 gene:OB02G38030 transcript:OB02G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPSGAGGHDAEGSEPLLPRKHGDGGQGGGTASSHDYYDGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAALLTDASIELLVRFGRAVGATSYGEAMGDAFGALGRGLLQLCVVANNVGVMVVYMIIIGDVLSGKSSSGGEHHHGVIEGWFGPNRWNGRFSILIIVTFGVFAPLACFKRVDSLKYTSALSVALAIVFVVITAGIAIVKLMRGQIPMPKLFPDVHEWNSIGRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIRPIVRASLLLCLAVYITTSFFGYLLFGDATLDDVLANFDSDLGIPYSLVLNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSSDNRRFGALTAALLIVIFLAANFIPNIWDAFQFTGATAAVAIAYIFPAGMALRDRHGIATKGDRYLAVFMIVLAVAASGVAVYSDASLGTF >OB02G38040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22337400:22341535:1 gene:OB02G38040 transcript:OB02G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LGN7] MLLVVSTDVNQQSFNDSGTVTIKRQTAQRAPSSVTQMPESPPACVNLAKDLTARCRARALQLTDDEILSITQDLDSVIQNLCDYISKIPSSALGSNAYTGVAVKALSARGFLEDDMLTNTVKNMPNRRSFHDSNMPRLVEFLQGMYHESDEFGGQMFSSLPEVSEYIEPLYDALFCPLANEVMTDPVLTESGVTYERRAIEEYFNRFAGNSKTVICPVTKMPLQNRTLMSNSSLKSVIAEWKMRNEAMRIRIARTALSLSSMDAIVLEAIHELMSLVELRRKNREHMHKIGVTRFLARLLDNNNVQIRRSALELLCLLVEDEEGKDIIGKTKAIPRTIKLLSSNGTDERDAAISFLLELSKSPLLLEDIGSTAGSILILTTMKINDSDDPTAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQIHLVEGSEEMQMEMVSYLGELVQEQDLTIDISGDTSGVLIKMVHSCNTVARKAALDVLVQLSSHHPNSKTLVEAGVVPVMVEELFIRKVDDDPLSYKAMAAAVLANVVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSVIRVLLALTAHAKPLAALVSVVRENHRGHSVVELMSSRTEALGVAATRLLITLSAHMGHTIAERLCRTQGQPRRLVRSIIRAGRATVTERHAAAVTLLSRLPFRNVSLNLALVQEGAVPAILRGIEEMRSGAARTSRHAAPYLEGLVGTLVRLTVTLYNPDVLKAAMDHDFASVLTGLLTGAAGSGGEVQRLAAVGLENLSYHSIKLSRPPPEEEPRPKKMTILMRLKDARVHNHNSKSPPLNVCPVHRGVCSPSTTFCLLEAGAVEGLLVCLENDNPRVVDAALGALCTLVDDRVDVEKAVVALVEQGAARRVLAVLRQHRENRLWQRCFSVVEKLLVHGDDGCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYVSLEF >OB02G38050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22342158:22342463:1 gene:OB02G38050 transcript:OB02G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTGALNFWESLIFLRIIPSVYIVSCYIYFGCLKFILISLHLFRSEMRRQNCPNFFLWRTHTWSSKFSDRFVFMSLSLICSYLQFRMIDLLIWRKLFNWV >OB02G38060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22344364:22346102:-1 gene:OB02G38060 transcript:OB02G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYQNVVGGRLKLKGKALDVKEGGVKKKKKKKNHREESSEAGHGELQQGGRSEVPTDPNDQLTEADKMGEEENLQGDYDHLTPAERRYMEQKQKIDMQKLAKVSNKSHRDRIQDFNQYLANLSEHYDIPKVGPG >OB02G38070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22346787:22347114:-1 gene:OB02G38070 transcript:OB02G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRVMLLITTLAALLLSSSAMGSGDVSPPADNACRWVVDPPDENCDPESCKASCSLRYHGIGVCDPVGCQCTYCHPPSPPPK >OB02G38080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22347635:22348582:1 gene:OB02G38080 transcript:OB02G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSFFSRSLLIPLAGGGGCSDDDDDYDEGPSASVSFPSFWPPFPALMSDSDSDVAFPPPHMDRCPPPQGTASAFFGLGFHDENDGDGEWAPPDEEGGEVGLPLCWDCLQLEEHDHQRWDLGANGDGDEWEQVGIRVVEEEEHEEAAASAAVRSLEWEVLLAANSLGGLVVDDADDADGGIDTFFLDDADDVLFGQLAAEAEPPAKGGRAAAKATVEGLPTVVVAEADAARGDAQCAVCKDGIEAGESARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYERWKARRGGGGRGGRYNTIWQLSMIE >OB02G38090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22361017:22361526:1 gene:OB02G38090 transcript:OB02G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSPASAVSFKTHYHVDTNDFLLQYNSLLVPQATSYQHVAHLPHETSLPVGNRSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRITHENCQLRDEQAKLQEQLEKTPVENTESTFMGPDT >OB02G38100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22362451:22370671:-1 gene:OB02G38100 transcript:OB02G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQVCENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMTMLKERIGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEILSKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMENVAQGRVWSGQDAASRGLVDSLGGFSQAVAIAKQKANIPHDRKVRLVEVSKPTPTLPEILSGIGGSLLGVDRAVKGVLQDITSLNGVQARMDGILFERLEDLSGENQLFLFIKDIVNYFD >OB02G38110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22373485:22376772:1 gene:OB02G38110 transcript:OB02G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPQVDWEAESYPAYSDFAAIPFFAVFFFVVRYLLDRFVFEWLARRLIFNKDDDKLDLATYAGGIKIRKFKESAWKCIYFLSAELLALSVTYKESWFTSTENFWVGPGEQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQLWEIRRSDFGISMVHHVASVFLIALSYIFRFARVGSIVLAIHDANDVFLELGKISKYSGYQLLADVSFLAFVCSWAVLRLIYYPFWILWSTSYEVVRFLDKKKHKFDGPIYYYVFNCLLFSLLVLHIYWWVLMCRMLMKQIQSKGHVGDDVRSDSEGEEEHED >OB02G38120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22379860:22380147:1 gene:OB02G38120 transcript:OB02G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNAYVGWAERQMGYEAKTMLASRVSAPEVSLKVKTHFVYLIVFDADVYHRVIMFCYTELHIRANCLPFLRHSFSILYGMLKTESSQQAFSSFA >OB02G38130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22380512:22384202:-1 gene:OB02G38130 transcript:OB02G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVALAVYSLALLSFYFPCRPVSGVLSPKGVNYEVQALMMIKDYLKDPHGVLKNWDQDSVDPCSWTMVTCSPDNLVTGLEAPSQNLSGLLSPSIGNLTNLEIVLLQNNNINGPIPEDIGRLTKLKTLDLSSNHFSGGIPNTVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPIPGSLARTFNIVGNPLICAAATEHDCYGTLPMPMSYSLNNTQGTLMPAKSKSHKVAIAFGSTIGCISFLIPVMGLLFWWRHRRNQQILFAIDEQHTENVNLGNVKRFQFRELQVATENFSNKNILGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRSNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >OB02G38140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22389100:22392471:1 gene:OB02G38140 transcript:OB02G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKVVSREAKVDKKLVLGVKRKNLKRKKDRTLDGPVESEVAAEHAGITEDKGLVRNKKVVVMKQKKKHIKLKSSHTESGDTVELLTSKRDETKLKNKKKSKKNLEEVSDPVKGLQSLSDRINAAMPKLKNKQRIRKGRSSDEPNNTEEVLHGNQDEETPSACVNQPALESGDMDMGEPEVKRGKKNKTKKIKKSGKRNKKDKDASNKEDKVGRSIEDDNANVDVIESVDEDCSRGMKKWILEYKQKRPGLKVLQQRIDEFITAHEEQQEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEIDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >OB02G38150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22393079:22408885:1 gene:OB02G38150 transcript:OB02G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSNGVLGALSFAALLASVPLIGAGAYLLDHPASECQRLARLPAVALGCAALVLSLMAIAGTCCRAAPLLWAYVSVMFLLIVGMFFVTTFVFVVTNKGVATAMSGTGYGDYRVSDYSEWLRERIEEYETWHRIESCMADAAVCGGRVAGINTDEFYRQHLPLVQSGCCKPPAYCGYERVNETSWVAPAQGLGAADVDCLAWSNDQAVLCFRCNACKAGVLATARTNWRAVAALNSQKNSLSSPKRSAAIAAPIALLLALGVISLYDFAFSDRYPYIDAASSSSPSPATVRACNLTRGEWVRDAGAPYYTNLTCPFIDDHQNCMKFGKPSLEYVSWRWKPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKVDAFREAEAAVRRNGGELLLLDITEAMDLRPDGHPSRDGHQRGRQLRGGLPALVLAGADHELKYSANLSAVKMQLLESEVHYTQRPLCKKVDSGVFYCGDPLLQTPNSKPSNRHYHWSLEAQNEELNDVEHWNVYSNLPCDIDSRYGTRCPDDRDKELSSSGNISMGLSSLDSKQILRISYSEISECKDIQLTAI >OB02G38160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22409373:22410756:-1 gene:OB02G38160 transcript:OB02G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSLLVRRAASNTGLLLILLLVAFTVSNYSSLMLSPRQYIGASDEATASRGTSARSSTRASKADDGGSGGAACDIARGEWVLDPAAPYYTNETCPLIDSRQDCMRYGKPGLESILRWRWHPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLISKVELPTEIEAKECIHCTRKYHYRAHNFTVCVFWTPFLVRWNLTRAGALQFMDPHNVFPDEADPEWTRDIAGYDYVVLNGAKWFTRPTMLYEGGRLVGCNNDCGREPNATATANAPPHYAVSVSFRTALRALREHPGFRGTVIVRTVAPPHYENGKWYDGGNCLRTRPMLSNEMGLPETEAAFHAAQVEEFRAAASAAAAGRFLLMDVSEMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWSELLLHLLSG >OB02G38170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22412187:22413830:-1 gene:OB02G38170 transcript:OB02G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium sensing receptor [Source:Projected from Arabidopsis thaliana (AT5G23060) TAIR;Acc:AT5G23060] MAPLPVSSILAPSPPPPAAKVSPRRTPASAAPVAAAVSAALLAVTPAARAAAFSKEDVVDTVDQVIGVGGKVAEQSAGVLKTLGEAAKPALPVLKRAGEQALKLASPVVSGASKQATEALQGAGVDPAPVLSAAKTAAQQGTKVIDAAKPIASATVETIVSWGSADYVVAAGAAFLAYLLLPPAWSLLSFTLRGYKGDLTAAQALDMVTSQDYVLIDVRTEKDKAKAGVPQLPSNAKNKLISIPLEELPSKTKSMVRNAKQAEAEIAALKISYLKRIGKGSNVIIMDSYSDSSKIVARTLNGVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVTPSRVIPAAADRFVTASSTSRATTSRKLLPGSVDG >OB02G38180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22428616:22431306:1 gene:OB02G38180 transcript:OB02G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGAGGGAMFHRGARPVLGMDEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQSRRARWKTKQLERDFARLKASFDALRADHDALLQDNNRLHSQVMSLTEKLQEKEASEGSAGAAVDASGLPAADVKVAVADAEEQAPAEAVFEVQQVKAEDRVSTGSGGSAVEDADTLLGCGRQLAAVDSSVESYFPGDEYHDCVMGPMDHAAAGIQSEEDDGAGSDEGCSYYADDAGVLFADHNHHHHADDDEEDGSQISWWMWN >OB02G38190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22440470:22440952:1 gene:OB02G38190 transcript:OB02G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLSVFLLVAGVVLMLVLHIVVVFWALRRGVFLRGAFRVEESGDQQGAGLTPDEIAVLPCHERKDGGGGGGGECAVCLEAFQAGDRCRVLPRCEHGFHARCVDSWLRQSRLCPICRAEVEVAGRAGKAAAAAGTVAGGSQATTMEIVTERLGGTER >OB02G38200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22444651:22445079:1 gene:OB02G38200 transcript:OB02G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDNSNVFLVAGLALVVVVHVLALLWALWWGYGRARLFARAVWQHGVERGGLSAEEVGELPCHDVREGAGAGECAVCLEAFRAGDRRRVLPRCEHGFHAQCVDSWLSMSRLCPMCRAEVAVVAAGRGKEAEANTLEIVTER >OB02G38210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22445363:22448998:-1 gene:OB02G38210 transcript:OB02G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAASSLLSRRLIARPPAVSSASDSAPLGAGSARGLLPGAIHRFSAAPAAPPPIQPPVEVKYTKLLINGNFVDAASGRTFPTVDPRTGEVIARVAEGDAEDINRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHAEEIATLETWDGGKTLEQTSGKEVPMVARSMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQVIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFVANLLHEAGLPEGVLNVVSGFGPTAGAALSSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARALQRVVGDPFRRGVEQGPQIDGEQFKKILRYVKSGVESGATLVAGGDRAGSRGFYIQPTVFADVEDEMTIAQDEIFGPVQSILKFSTVEEVVRRANATPYGLAAGVFTRRLDAANTLARALRVGTVWVNCYDVFDAAIPFGGYKMSGVGREKGFYSLRNYLQTKAVVTPVKDAAWL >OB02G38220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22451400:22451648:-1 gene:OB02G38220 transcript:OB02G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNPLVYSDLSASGTRSTYFSDIGKLLKSEERCQPLPIFTAIRSSESQDVIAHENQVLSFLLTLLLTECRDQSQYAMTCL >OB02G38230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22453857:22455814:-1 gene:OB02G38230 transcript:OB02G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDSFFANLNELSNNEAYPEEEDADTRSMEEDGDGMPCCEFKYNDLNNASKLHKTNVITALCKHNVNYDD >OB02G38240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22468347:22472522:1 gene:OB02G38240 transcript:OB02G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LGQ7] MDLEGAHGAGAPDKQRRRRESWGSSLLLAYQSLGVVYGEVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGSGTDDELIAAAGRKGGAGRHDARAMSRLRALLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVLLPVTCAIIIGLFALQHYGTHRVGFLFAPIVCIWLLCISVIGVYNIFHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFISVVYPALVLAYMGQAAYISQHHSFENSYRIGFYVSVPEILRWPVLVIAILAAVAGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMVLCLAVTLGFRNTKQLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVLYFSASLVKFHEGAWVPVTLAFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKDLVSSIAEFIRSGDSDHNGVSDDTERSGEKLSSISNGIPLWEENGEVDASASPRKEANTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPTYAATIPHASTLEVGMVYQV >OB02G38250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22475943:22480087:1 gene:OB02G38250 transcript:OB02G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLWSLKSTTKTGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGITTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQRRVLGMVSTVDEPEAQTPLKASIASIPPYQKPLKPSVRPPLIPNEDESEKQEAEEGFFTSIGKLVVGAKSSVAEIVGAVFSRKKRLNIHQQQARVHSWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRSQFNGWNGEAPQQQQQQQQTHHQQYLQHHRQYSSGPQTFYEPSCEATNEIVFGAVQEVDSKRRAVEIKAMNHGDALYDQDGLRHRSSYTGYSTNNW >OB02G38260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22478157:22481453:-1 gene:OB02G38260 transcript:OB02G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKKDSGSSSSSAPESLWKPGSELVDGLFVPPRDPRKANKLARKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKKERKTTLVDELLSDQNLKNYRMRKVREIQESRTPGGNQKWRNKGKKTLKRAKDRRK >OB02G38270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22483886:22486347:1 gene:OB02G38270 transcript:OB02G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFVRKDPRKPVFAAKVATALGLITLLVFLREPTDIASHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGLSLAVSEFSSHMGNYATVFLIICTFVVAFGATLTKLHPKMKPYEYGLRVFLLTFCYVTVSGYNTGKFTATAISRFLLIAIGAAVSLALNIGIHPIWAGEELHNLVAKNFAGVAKSLEGCVDGYLKCMEYERVPSSIVTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMMKYPWKNYTKVGGALRHCSFAVMALHGCILSEIQAPPESRNVFSAELHKVGKEGAKVLRELGHRVKTMTKLSSPNILSEVHFAAEELQKKIDQKSYLLVNTEKWEAMMRRQGGAAANGEHVPGRAIGNPYRGAVHKSNSFASSPFLSSFGSAPTKSDASFKPQPPWPPRQSSFHASLPFEAAAESRTYESASALSLATFASLLIEFVARLRSLVDAFEELSENADFKDPVEEPSAIRREEGGGVLHRIRKFLGLNS >OB02G38280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22486677:22490245:-1 gene:OB02G38280 transcript:OB02G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLGALAGAAYYSFYSDVAVQFLGVEEEAKKERNETKSFLLPLYPKARQGRALREFGDVKLAARRVDDGSGRKSRNKLEVKKAAAAGTNSTSLLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDLLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHLITTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAGISLPSQLASQGIISNVFGHCITRDPNGGGYMFLGDDYVPRWGMTLASVRSGPDNLYHTEAQKVNYGDQQISVRGASGNSVQVIFDSGSSYTYLPDEIYKNLIAAIKYAYPNFIQDTSDRTLPLCWTTDFPVRYLEDVKQLFKPLNVHFGKRLFFIPRTFTILPDDYLFISDKGNICLGFLNGMEIDHGSTIIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >OB02G38290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22490736:22493850:1 gene:OB02G38290 transcript:OB02G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G20930) TAIR;Acc:AT3G20930] MEKPPAPAGGGEVSRAEAVDYYVATLARVLGNQEEAQMSIYDASWDGSYKFCCEIGDEASRDLSKIPGVLAVRPDKADKSEKEQKDNRGLDISSANLANFSDAVYDNSCSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQILTKVLGNEKDAQVSIYHISWERDYGFCCHIEKACAMELADVPGVSSVQPDTNFGSDNKNYKGNDSFKSSEATRADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDRQPPSTALGRSHQMLRSRYHTG >OB02G38300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22493542:22494777:-1 gene:OB02G38300 transcript:OB02G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXEAEQIHALLVKSGAPQSASGVYASTSLARVYARLGRLGDARKVFDGMPVKTVVSWNVLLDGFVRASDLDAAWEVFVEMPERNVVSWNTVIAGFARHGCAQEAVDLFAEMTMVYGLEPDEATMVGFVSAVRDIGLLGIGRSAHGYVIRRGLSLDGALGVALINMYTRCGSMADAFRCFSSVAGKNVEHWTSVIGGFAAHGHPEMALRLFTEMRQLGIEPNGVSFLAVLNACSHGGLVDEGFKYFNLMRAMGIRPTIRHYGCLVDLLGRAGFLEEAFKLASSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLASAEPSHGSSYVLLSNTYARAGQWEDLRRTRRDMEEHGVMKKPGLSWIELDGHVHSFVTADKLHTASEDIYQMLDDLKVNLISAGSEPETLALLE >OB02G38310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22504101:22510405:1 gene:OB02G38310 transcript:OB02G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKAVIDRYTNAKEELLGENATSEIKIWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEISLRNIRMRKDNLLRSEIEELHVKGSLIHQENIELSRSLNVMSQQKLELYNKIQACEERVATNANLSSSTPYSFHIIQNANIPTCLELNQSQEKEGECSKTDAPELGLHLP >OB02G38320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22506860:22507129:-1 gene:OB02G38320 transcript:OB02G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDNFEKLKRTAASSGVNFSMLISSNLYCIALPLKTSFAISTLQNFCTVHCIVLVLSMFVFATIYSVEIMNCSLTCVLCVAMALFRDI >OB02G38330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22511852:22512064:-1 gene:OB02G38330 transcript:OB02G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIKLRIDLIALTQIFPLLISFAEPFPPLLSPSPSPKAKTPPRHFLLFIYYTTPISHLEFWKHRFDPSRPFP >OB02G38340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22517033:22517596:1 gene:OB02G38340 transcript:OB02G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGSACNGSAVLGAAVAVQLLMVGFLVMSAAPLAAAAAARYTVGDSGGWRFYAEGWAKGKTFRAGDVLEFKYNAAVHDVAAVDLASYRSCVAPKGVRKMRSGHDKVTLHKGAHYFICTEPGHCKAGMKLAVRAI >OB02G38350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22518207:22519451:-1 gene:OB02G38350 transcript:OB02G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAASRRYVGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHRHLGGNTSTGYLLVFSLMAGVVGVCSVLPGFLHVRAWRGETLAAAASTGLISWALTALSFGLAFKHITLGNRGRRLRTLEAFIAILTLTQLLYLILLHAGSLDCGMFSLRCRNCDDDGLRNLRCERIHREELDTSSKSVRDAGA >OB02G38360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22522500:22524710:1 gene:OB02G38360 transcript:OB02G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSTALLGGARLPAASPPSVLLPRRNFSPLRLHDAPRLSLLRLIKASSDDTSTSAPTGDELVEDLKAKWDAVENKSTVLTYAGGAIVAVWLSSVIVGAVNSVPLLPKLMELVGLGYTGWFVYRYLLFKESRKELADDVESLKKRIAGTE >OB02G38370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22524570:22526335:-1 gene:OB02G38370 transcript:OB02G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESSDERKAEEDGAHEEEEGEGQLLYRVPVFDPALVEFCSPPSIDDAAAASSSCFKEHADGAVEDTKYPAAAPSPVQQLPDSFVNFEPTDAELREFAADMEALLGQGLDVSNELQDSFYMETLGLITPVEEDGRVKMEPDGVASISRDVSLPPCRPHPPKPEEESADVLDIDFNCTSPTVGDDDDGSDQKSSASNVAAADAKFFQKSLDLRLNYEEIIESWGSSPWTDGRRPHGHQLDDFWPNDHHYQGLWAAGGGGHGAEAGTTVMRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGRRYAHVRFRYRDALLRSCFRRTRSIGTKPHMKFVEAAEFNCKSS >OB02G38380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22527500:22528631:-1 gene:OB02G38380 transcript:OB02G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFQNADYETGQENAKGTRLICMPPETHVYMERNCNWNNTKLSLFRAQFIAYPSGLISTSQAKARDRVQVMDSSAKIEFSLEYGKVVVRISDSRKVAEKDNSWNIGDKRNYE >OB02G38390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22543846:22545303:1 gene:OB02G38390 transcript:OB02G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3LGS2] MAISSEQLKRLKPLYQLAVNNVLAVVAVPLAAAAVLKAVEVGPEEILARARALPPAHLFLAMFVPAAAAAVYLMLRPRAVYMVDYACFRTSPNCRVPFATFLEHSRVWPGFEERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEVELVIFSAIDDLLAKTGISPQDIDIVVVNCSLFAPTPSFTDMIINRYKLRKDVRNVHLAGMGCSAGLISVGLARNLLQVAPKGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAVLLSTNGAKARFRLARVVRTLTGAKDGAYHCVYQEEDDRGNVGINLSKDLMNIAGDALKANITAMGPLVLPASEQLLFAFSFIARKVLSGRVKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPPANADGPWATCIHRYPVDIPDVLKH >OB02G38400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22545471:22545635:-1 gene:OB02G38400 transcript:OB02G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRYRARHMRAQKYITREHQTRVRIYGVLELRRVVKRSNQLKPLSRCTASPKR >OB02G38410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22555790:22557885:1 gene:OB02G38410 transcript:OB02G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LGS4] MENLCNGHLELQNIIILVSEISGVPKSDAERLTSQLIEGLENMRVTDSKKPVNVSQSSDETKGSAETQKKSDTVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLILHWCEEKGIEPPTRSKNDGSYLEVGGERVAIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAGSGAIPALVKLLSSKDLKTQEHAVTSLLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKTAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLDHLSKLQVL >OB02G38420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22560499:22561994:1 gene:OB02G38420 transcript:OB02G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding XPERRPGILRTPRFAATPEWGAGFMMPPPPPPGAAKTPQRWPSLPGTKEKKARFVALPDELIA >OB02G38430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22570156:22573140:-1 gene:OB02G38430 transcript:OB02G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MGLWDTLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLTGIPLLVLGNKIDKSEAVSKQALVDQLGLEFIKDREVCCFMISCKDSVNIDVVIDWLIKHSRTAK >OB02G38440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22576261:22579979:-1 gene:OB02G38440 transcript:OB02G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGPGSGNEIIRLPWDKFIGNTILRCDTTATHSLRPRTHTEAAPLARHGLRLELLRRGAWEEYTLNIRVGLAHEKGGGPLRSTSRVECERRLAWPMKKVADYSVPPPESSAREGHNLRNRLVGTNFDRSGETVSRYFNKCFMLLDCIGAIDGTHIRASVRKNMESSFRGRKTHATQNVMAALDFDLRFTYVLAGWEGTAHDAVVLRDALDRENGLVVPQGKFYLVDAGYGAKPGFLPPFRAVRCKPLVLNFICMNTLCADFSDTLYAEYYLHEDHFGKINRLRKVSAAGWDEEKFIITLDAEHYNDYIKDHKSDADYFNKPLVHHGEMLIIFGSTMATGKFTKDSSSVLGTEDVETENEEPKKAKTTEGEDDGLISAFSSVGDKLVAAIVKACEPDNKLPEGFDTLKNLPGLDEIHRSLYYAHLVANPHIARAFDGLPLENKLHWVGMFISEKFPGSM >OB02G38450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22585313:22586324:-1 gene:OB02G38450 transcript:OB02G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVAFLLSNGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKLVIDLHEQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHRPAVSLAQPDGPDPLKQQRQQEPSVSGAGADDKEEEEEAATSAQPPQVVDSSASSASAVSPSCSSSASASAATPGADVDVDWPDLFEVDGIMDIDWAGFLSASGDDGGCSAVGTDMLFDHYSDVGFDQQVWM >OB02G38460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22589368:22591004:-1 gene:OB02G38460 transcript:OB02G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALVAVVAIAVLARSAAAAATAAAPAAAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKLGVPSPPPFLSLTSDDVLGGVNFASGGAGILNETGVYFVQYFSFDEQITCFEMVKKAMIARIGREAAEVAVNAALFQIGLGSNDYINNFLQPFMADGQTYTHDTFIRLLITTLDRQLKRLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNAYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATPLAASPAPSPSRAP >OB02G38470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22591678:22595168:1 gene:OB02G38470 transcript:OB02G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G57930) TAIR;Acc:AT5G57930] MTGALAMRPSTSSSHSLLAPPTCSSRLPPLRCFVGLRWSAPRVQVREQPDAGAGIAKGTGGRGGRFRAPASSISQSCLRTIVITNEYVQNADFPPNYSKREKKPFPIPVLELRRRAKERAKKAEGKPKRSLPPPKNGLLVKRLIPVAFRVYNARILLINNLKRLMKVIPVRGCKYCSEIHVGSVGHPFRTCKGMSSDKRRGEHDWGSTLVEAVFLPVEAYHLEDRLGNRIPHDQRFAVPRIPALVELCIQAGVNLPEYPTKRRRKPIIKIGRSEFIDANEDDLPDPEPYKLDHPILEELHDNEVITPSSPEEIVALAEETLEAWEVVRGGALRLMKSYAVRVCGYCPEVHIGASGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRDLKSFYGQAPAVVEICVQAGAKVPEKYKATMRLDIGIPSSLREAEMVV >OB02G38480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22596066:22596326:-1 gene:OB02G38480 transcript:OB02G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMPGVDSCTFQLIRSIYCCSGRRWSPSRIVMTRQIVREQASQNAVVQLRQGLVHCMVSSMAMGTPFWRLWFQCQELFRVVSVIC >OB02G38490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22602228:22604403:1 gene:OB02G38490 transcript:OB02G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASLSVEVAVVAAAAAVLVLLLSPGAVVVVSAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFREADPAYSKRLLDRAISVFEFADRYRGPYSSSLHDAVCPCYCDFSGYQDELLWGAAWLHKASRRREYREYIKRNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGAGGSASPA >OB02G38500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22605848:22606040:-1 gene:OB02G38500 transcript:OB02G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLAGAGLGAGDGAVRRESARPALPAREERVKLQLRRSAQGSSSSVVRLPVLLTAICSGGA >OB02G38510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22610472:22611113:-1 gene:OB02G38510 transcript:OB02G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVLSAAAATCGGLRRSPPPPPPLSPPDILDAHPSYWKREVQPELAGAPPAPPPPPPPLSPPDILDAHPSYWKREVQPELAGVFRRFDTNGDGLISAAEMREFYGCSVDEAEEMVAVADRDGDGFISIEELGAVMVGGELEALRAAFDEYDVDGDGVITAEELRRTLPRLIGEDLTAEQCAQMVAAVDSDGDGVISFDEFKAMMAATKDMEA >OB02G38520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22613712:22616464:1 gene:OB02G38520 transcript:OB02G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G24610) TAIR;Acc:AT1G24610] MAAAAAAGATPATARKALVTKTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAAAADAVLTQLADQVPEELWAMRLGLSLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKEAKHKLSTVPLEDHPFCGQDVNSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSTDMSVKVVAETKINQTAAVTLNYGCYHNDFFLLDYGFVITSNPYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQRDILSQLNLYGEGAILKVSIGGPDIVDGRLLAALRVIIAADPEAVSGHDLKILMSLKEKAPLGPAVEASVLRTLLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLSLDKSTV >OB02G38530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22617055:22617660:-1 gene:OB02G38530 transcript:OB02G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRDVEGAGQQQQQRRLAVVHSQVRRIKQEEGEKVKVDETYLQHHQVSEMRLALRDLEARQRSRSPLGRAAARPVISIGGGRLVRRRLTRPHTGKRII >OB02G38540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22630100:22631430:1 gene:OB02G38540 transcript:OB02G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYTSSGGRIVVGCIPYRVRGGEMEVLVITSQKGHGMMFPKGGWELDESMDEAARREALEEAGVRGETEPSLGCWYYKSRRYDKTYEGFMFPLRVTDELHQWPEMASRKRTWATVQQVMDGCQHGWMREALEQLVSRHAMMLQSAL >OB02G38550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22631023:22631301:-1 gene:OB02G38550 transcript:OB02G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVITAVHFFTLSVASKKESLIAFALWVLIGFVQLDPIFLQRCRIFQLSKILAPLFAATFDDDDPAAQGKSRRVLRSKWGACCVHRRLALR >OB02G38560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22635580:22636703:1 gene:OB02G38560 transcript:OB02G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARQKHVAFFDSDHDGIISFSETYEGFRALGFGFVTSKLSAACINGALGPKTRPENATTSLFSIYVENIHKGVHGSDTRVYDSEGRFVDEKFDEIFTKHAKTVPDGLTFDELGEMVRANREPKDYKGWIGAWTEWRRRTSSPRTVTASFAGTTSELSTMEASSVNWRRRRWVPLQT >OB02G38570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22637699:22637887:-1 gene:OB02G38570 transcript:OB02G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKSPVLRTKQEGNSSLTEEHGEEQESGHSHPWAQPPPPSSVHVARVAGGAFSAFRSLER >OB02G38580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22637180:22639962:1 gene:OB02G38580 transcript:OB02G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRRRRLCPGVAVAALLFFPVFFASRRRRRRRRRTAMLQTALQKHAAFFDRDNDGIVSLSETYDGLRALGLGAGLSSLSAAFINGALSSKTRPGDATSPRLSIYIENIHKGIHGSDSGTYDSEGRFVPEKFEEIFTKHAKTVPDALTSDEIDEMLQANRKPNDYSGWVGATAEWKMLYRLGKDKDGLLHKDAVRGVYDGSLFAKLVAKRYEENQA >OB02G38590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22641554:22642354:1 gene:OB02G38590 transcript:OB02G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSVAATVLLSLLLLARARGADDDYSGFVYAGCSQGRYASGTPYASDVDSVLTSVANSAPYAPYANFTSPTSSSVAGLYQCRSDLPASLKAGCGYATAGEVYLGKCYARFWGNGGGGFSSGASRNGYGFGPRVHGDRLALAAAGGFFTSLAYFTLVLI >OB02G38600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22644751:22644972:-1 gene:OB02G38600 transcript:OB02G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGRWIVGFSGGMGPQDARLGDTRGREVPPCGSRRRGAGRCTGFNWATRSRSPMAESWLYGKRPMGTRNLVKGR >OB02G38610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22645140:22645946:1 gene:OB02G38610 transcript:OB02G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSGGPLCPFLLVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVEGSDSAGAMALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHHCTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLDQLQQRVDKLKKRDD >OB02G38620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22651023:22655229:1 gene:OB02G38620 transcript:OB02G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT5G51740) TAIR;Acc:AT5G51740] MHYGPRFRPVARSSPTEQLARKSGAAPRTFSRPSDTTPLAAALCHLAPFLKPHTHTHTPRSDQTPVPKIPQKYGEKIEEEHELLEELALRPLQAPPPQAPPPPGPXXXXXXXXXXXXXXXXXXXXXXRHKAVPFNRRRGSRWYHDQRKLTAVVVISGGAAVAVYFGNLESVPYTNRTHFILVSPPLERQLGESQFNDLKKQLAPKILPPLHPESIRVRLIASEIVRAVHRGLAGRHRNAFAADDASYGDISTDIVIKNHEADTEEMMLSRSRGKNASASAAAQRDEEILDDRWVTESRNRGKERGAEPETRHLDGLNWEVIVVRDDLVNAMCLPGGKIVVFTGLLDHFKTDAEIATVLAHEVGHAIARHSAEMITKNMWFWVLQIVILQFIYMPDLINAMSTLLLRLPFSRRMEIEADHIGLLLLGAAGYDPRIAPSVYEKLGKIAGDSALSNYLSTHPSSKKRAQLLRQAKVMDEALELYREVSSGQGTEGFL >OB02G38630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22638198:22661235:-1 gene:OB02G38630 transcript:OB02G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:J3LGU6] MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTISGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRYNAAKIFSNPDVAAEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDQLWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHCNYSTKSMRNDGGYEIIKSAIEKLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >OB02G38640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22673783:22676580:1 gene:OB02G38640 transcript:OB02G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYETLEVNAINNQNETAMDLAEKVPYGESKMEIIEWLTEAGAKNARNVGKIDETSELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYYVDRDSGGDVGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRGSGSKSFSWSIHEGLSDLEAFSDHEKRIYAL >OB02G38650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22677898:22679388:1 gene:OB02G38650 transcript:OB02G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGAPVSRFVLPSVLAACAGLRDIRMLRAVHALVIKRALCQHVIVGTALVDAYTDFGLMDDARKVFDEIADTNIVSWSVLIGGYVRSSRWEEALDAFSAMQHAGVLPNDSVLVMTIQACSALGRLVHGKQLHALAVVLGFERNVTAWNCVIDMYGKCGDVDSCRMVFETMIGRDQVSWNSIISSYARLGLCEEALDMIVQMQESGFIIDRFTLGSGVTACACLADIDSSRAFHGYLVRRLLDTDVIQGSALVDMYGKCSNTESARLVFDRMDERNYVSWDALLSGYVDDGQVDLALEIFRKMGCANLKYNQHTFANLLKLCGSQRYKEYGRQIHAHAIKTIEKMNVVLETELIDMYAKCGCIEVARLLFLQMNERNLISWNALLSGYAGDGQPVATINIYRQMELACIRPDKYTLAGLLSLCRYQGLLHYGRQIHAHLIKIGSEMNVVIQTILVHMYIKCGRQQDAENVCAMIKERNSYVLDAFSKVYGDGYLI >OB02G38660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22681940:22684193:-1 gene:OB02G38660 transcript:OB02G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPVTPLRLWLVGYALQCLVHVGLVCSDSRRRPAHARSSDVESADGDAAGADSSDSDDEEDEGREQRSSFAKRCESVNTMVSFLWWIIGFYWVVSGGDVLEHDAPRLYWLSVVFLAFDVFFAVFCVAMACFIGIALCCCLPCVIAILYVLAGQEGASDADIGFLPRYKYSDPSEDGQKGTDEGVMIPVLNNNGTSTSERILLREDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >OB02G38670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22685263:22685631:-1 gene:OB02G38670 transcript:OB02G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGKAAATVMRSPVKGTTLLDVYEVEWITRELERLLVSGSGCGGGGGGGGRRAGDGRRRLSGTKQVVGSYTKPAAGDRKGGVLTELLGRHAVSICGDTAAAVASGRARRGPGSLREVEKV >OB02G38680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22699928:22704993:1 gene:OB02G38680 transcript:OB02G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGGRSALQGSARRRGGVVQPPGMDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKIARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSNRLTEGKSISNGLSRRLSLGGADNMSKISPNGMLARRSPSFNSRSSLSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLRNGPHLLNRSTDAVTDCGTTHDWKASTEEKSNETTNSNATDTVSGVLYDMLQKEVISLRKSCHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKEQENKAKRPGILKGPGTTSQALPGRNAPRGGLTRNLQ >OB02G38690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22709852:22717209:1 gene:OB02G38690 transcript:OB02G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G14790) TAIR;Acc:AT1G14790] MVGKTLQVSGFSLHDTAEYVKDLLERIVGSGNVYALKLRHPKNITATSRAFAIVQFQSEEHGSLVKIAAQRNILRSGRYYLKVHPSDRDIVPRPRVSMFKLEDATLHIGCLLRERVLSALWSRTKVSVEFGFNLKKIYFHLQLPSGSTEFKLELSYESIWEIELHRPPRSQTKFLLIQVEAAPKIYEPTPRRSGVMFEDPSFNYFRDSTDDQWTRTTDFTASSCIGQSYILCLEVPCCRDLPNFREYFFYYKEYNHDFECQRGGHPYSNDTRFVPIVKSPGYVPYEILFKINHLVQNGSLSGPTVDGSFFRMVNPAFFPIDHIKRALEMMSYLKKTCLNPTSWLSEQYSKLRRSRYVQASPSILLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSADIENFLRISFVDEDCGKLRATDLSPRSLGPDAKKTALHNRVLSVLSNGITIGDKNFEFLAFSSSQLRDNSAWMFASRQGLTASGIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVYKHEVEEISDITNGTKHVFSDGIGKISSAFAIEVAMKCNLKRFAPSAFQIRYGGYKGVVAVDPTSCWKLSLRKSMLKFQSDNTTVDVLAYSKYQPGFLNRQLITLLSTLGVRDSVFELKQKEAVNQLNRMVTEPQAAIEAIELMPMGEITNAVKEMLLCGYKPDDEPYLSMLLQTFRASKLLELKTKSRIFIPKGRAMMGCLDETRTLKYEQVFIQATSSANDNDKFIVTGKVVIAKNPCLHPGDIRILQAVDLPVLHHMVNCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDSTLIPPRMVAPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGIIANAHVVFADKEDLKAESSPCIELAKLFSIAVDFPKTGVPAQIRPELHVKEYPDFMEKLDKATYESKGVIGKLYREIKKHTPHIKHFTIDVARRSYDTDLIVDGYEDYITEAMALKEEYDFKLCNLMDHYGIKSEAEIISGCILKMAKNFTKNSDADAVRLAVRSLRKEARSWFSEMSSDDNGYDYDASEAKASAWYHVTYHPEYWGCYNEGYERPHLISFPWCMYEKLLRIKQRRKFVRKMQPELLTPYNLRIV >OB02G38700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22717655:22724388:-1 gene:OB02G38700 transcript:OB02G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGTEAAEVGGGYRSSMAAAEAAAEKAVRCLGRGFDMAGDLRLKYCKGDAGAGCLVDRSGETAPLTVPGVGVIGDVPADVRCDKGDRVRFKSDVLDFNKMSELFNQRSSVEGKIPSGQFNASFDLDSGSWAHDAPRTKCLAMDGYFISLFDLRLDRRRLALAAHVLADVPPAWDPSAIARFIEKYGTHVIVGLSMGGQDVVYVKQDKASPLSPSEIKEHLDRLGDQLFTGTCAIPPLRSKSKDKFKGVWWQIPEAFNVFDAQIAQQRLHGITTLVSSKEGVTVIYSKRGGNTTVTGGPSHSEWLLTVPAMPDVINVKLVPITSLIRGMAGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCMWVPVLGELPLGPCSNRQGSSPALHFSLLGSKLYVSSSEVVVPKLPVTGMRLHLEGKKNNSGFYYSCSTTEERARDAGNVLHLRLLYAELPGHAVVQSKWARGAAAPTPLSGKSSSFLPMSFSGSTSGGAGEGGPEQGGLPVGVNINSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLATGARLDVDKGRIMLHVKFSLLASAS >OB02G38710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22652458:22729466:-1 gene:OB02G38710 transcript:OB02G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 1 [Source:Projected from Arabidopsis thaliana (AT3G17810) TAIR;Acc:AT3G17810] MESLTLRASPSTAAPLCGVPGRRHAAVSVRASAGSSGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAGKVINVTPRYARLRADPNGSTKSPIIGWENIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKSAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINEKATVPVWAKMTPNITDITQPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTHGGYSARAVHPIALAKVMKIAKMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQQEAIKQRKAIRKGLESDKDWTGDGFVKETESMVSN >OB02G38720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22731560:22737428:-1 gene:OB02G38720 transcript:OB02G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52640) TAIR;Acc:AT3G52640] MGGGSVASLILAIACVFQAFFPPVASGDAATLESVPDLVKAMYINVESFPCVRLLNHSGQIGCSNPGHDKIIAPIVRLRNRNDQLVQPSAVLLPLDQMTDFFLRVSNDPELYRKIAGVLVEANGADSVLEFSPDRKFPQQAFAPYSNLSHHWNPAGSGIMWNKYDFPVFLLSEESTQTLQKLADKNEKTPNGYLANVAEFDLVMQTTKAGTHDSESCLREQSCLPLGGQSVWTSLPPISNSSKKHQKPIIMVTASQDSGSFFRDRSLGADSPISGLIALLTAVDALSHLHDMSNLKKQLVFAVFNGEAWGYLGSRKFLQELDQGADSVNGISSLLIDQVLEIGSVGKAVSQGYPLFYAHAAGNSPISKKMIDVLQSASESLGSDNVQVKPAASSNPGVPPSSLMSFIGKNASTSGLVLEDFDSQFSNRFYHSTLDGPANINSSSIAAAAALIARSLYILASADLPIDLITLNSIRVNVSMVEELIECLLKCDPGLSCGIVKSFISPSNSCPSHYVGVFQDLPAGTQFPSYADDISRFIWNFLADRTSSLASNSSSCTGQCRDEGEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNIWHVLPVNSSDPLSAADPVWTESFWNTIGLRVYAVQTTAYDWLVLLIGIIITGASYLAVIVGRSYISKIIKRD >OB02G38730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22737500:22737871:-1 gene:OB02G38730 transcript:OB02G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRDIRTRETGKFTTHHVPVLADVELPRNQPGDAGARGEVRHFPSLPPSSRADSPEPTGVPEEREAGVRRRRRRASRNQLGDGSDARAAMDGGDEPNQRVAELESVGDPVWAGLICDGSWAE >OB02G38740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22737648:22742959:1 gene:OB02G38740 transcript:OB02G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MEDSNALILPCKRKNKAQGKAKDGKKIKEDPKMSKTKLKKLQKLEEEKQKKLLQAKSIEILRKHKISDDAYSLLHASGSIGQAETLKERRRRAVQFSKAGFDVPEELSLFKKDGDKIVPENSESSEEISPQKFVDSAKSEDTLRQCKNDINSDATNPVKCKLITDVGLSNQEPKTEVADDVPNMLANQIIQSSIPSYSGKEIDVQDKEPGHEECIVQECFNPPIVVPVSRPHDVEKTRRDLPIIMMEQEMMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRADRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIHCGLSIDPEEKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKLQRASKQQTDKKTENVEGDGNGLSPEVDEREISEAYDIDIDESDHQDDMFCSYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVSYETTEEDGPVLAFLKGAEGSSVLKASFKAISRVSGEPESIDIPSDSAILEESIHAPFSKCTEPRPVSLGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGMATYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKKIPVDGVVLMLKFMDINKVANFPFPTPPDKESLVEAERCLEVLEALDSKGTLTPMGRAMAQYPMSPRHSRLLLTIIKILKSQQGFSRSNFILGYAAAAASALSFTNPFLMQNEFSGESKDNPESEDKDQQERKRQKKLKAMVREAHAKFSNPSSDALTISRALQLFELSESPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKSCEEFSWKLGGFEDVEEAWRYESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFSGSSKDDRKVRAVHYQSCALNDTVYLHRSSYVAQIAPEFVVYSELVHTKRSYMHGVTGVKPGWILKYASSLCTFSAPLEDPKPYYDPQKDQVYCYVSPIFSRHNWQLPLHSLPIKDDTSRLQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLNRMKIGSKLIDSRTALRDKWKVNPDFLYPEIKAWFQDKFHGQFGAIWEQMHQEVVLEGDELFPKRYKKVKANQF >OB02G38750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22744020:22744502:-1 gene:OB02G38750 transcript:OB02G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPPRPGVHPRYIPKRGSVLKGIVRRMLGLFVFFLPQGGGGGGAAVNDGGGGGGRVRPVGDGAKQGK >OB02G38760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22749169:22753552:-1 gene:OB02G38760 transcript:OB02G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNLASNGVAGAERVHHHHQQQQLRSPTQKKPPEFSSGAPSIKNSRLEEASAASLRRITTGGNRRSARRRESDDNVGVVRRQGSRRCNAGEDGGGVAVAPPLRAQEGRRAEERAFDGKARGDATPAKTAAVSQSPPRYVPKRGVVLKSVLGSFLCCFRSAKTRPLPRSGSPAYR >OB02G38770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22754378:22754848:1 gene:OB02G38770 transcript:OB02G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGSFLPLLSRIPCAAADFSCALWFPLPRSHVHPCFTLPVRALDSLFLCVLISRALICRSSQRMDRVSGSMQEDLVLQLPTVLRPLLRPPK >OB02G38780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22759275:22759514:1 gene:OB02G38780 transcript:OB02G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKKLAASVIVMAMVFLVVSGSTAAAARPLAGQEWAGGDNAAGDDSVVVRFLRQLYLHKLAGRPGHSCQTYNPNGGC >OB02G38790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22764045:22764281:1 gene:OB02G38790 transcript:OB02G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRFVLPLMVAVLLLSSAVSGSARPLAGGDKRTGVATSSDHPLIHFIQHLYLQQLGHQPGHSCKTHDPNNPPCHN >OB02G38800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22766241:22766480:1 gene:OB02G38800 transcript:OB02G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVAAAMLFVVMAMSAPWLPVTARPYAEQTSAAGGGYVNIALPPATHWRGGGLRLPPLEQKQWHPPCDKTNDPNTHCH >OB02G38810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22771899:22773254:-1 gene:OB02G38810 transcript:OB02G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LGW4] MTRPRARARPGRLALFEIPLAVRRLAWRQQQDQQTAAEGGGDVPDHFLCPISLEMMRDPVTAPTGITYDRDGIEGWLELGRGACPVTGRPLRAEELVPNHATRRMIQEWCVANRAFGVERVPTPRVPVSGADAREVFEAVAAAARRGDGVACGKMVARARALGKESERNRRCFAAAGAARALAFAFSRLVAASDQAETRALEEILAALVVFFPLDEESRRCIASPASLDALVKIMSHGEPVARVSAVVVLREIASSSDSQCLEAMSKANAMYDALVKLVAKPVSPQATKAALVTAYYLVKNVEHAASRFVDLGVVELLVELLADADKGTTEKALAVLDSVLVTAKARDKAYAHALAVPVLAKKTMHVSDMATEFAVSALWRLCKNSPVDGGCTAEALQVGAFQKLLLLLQLGCEGITKERASELLKLLNASRDSAECIETADFKGLKRPFT >OB02G38820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22773117:22773452:1 gene:OB02G38820 transcript:OB02G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHIAAALGRRLLILLLPPSQPPHGERDLEQGQPARAGAGARASHRRDKPVADFDVFIFVYLKCGGVRAPAMGLRGRRGEKARGYIGCGRQGGTERGWRAFLFFLFSFFF >OB02G38830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22789524:22795423:1 gene:OB02G38830 transcript:OB02G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEERARMLQEQFGVTVNHVRALAILISTFHFQKVPSAIDQDTFATYTARTSFERPVLNGVAYAQRIFHHEREMFESQQGWIMKTMKREAAPPQDEYAPVIFSQDTVSYLSRIDMMSGEEDRENIIRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMVMYGPQSPDGKVPLLHVSTLDFGDPFRRHEMRCRYKQKPPLPWSAITNPLGAFVIWMLVGYIICAAWTRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANKVLNGTMNGKDGKVDTAANGAFNTLSGFQAADERNNWDYFKLLLSDKELPMDEAECEKSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGHISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIIVQVVNSLSIGLQTLSGQNGVKESREKLSMLFIESDIWRPETDNLLLNRLNELKNNSQVHEVPKLVLLVTSEADKDRYGSTFDIVMCKPIRASTIASCLQQLLKVVMPERKENENRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVDSGKDAVCLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEAKANEERKTLASMEGSTFVESHLPILAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTTESAV >OB02G38840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22796070:22796294:1 gene:OB02G38840 transcript:OB02G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTKTQPNTYACVQVGLSSGDRTIELNGTEPYGRPNFQLGSSGFFHTLDSSRNSSVCSRCCNLEMSPRTTLRRPR >OB02G38850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22804627:22807735:1 gene:OB02G38850 transcript:OB02G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3LGW8] MRAAAQGRAHAKTPPGGSGPRAMRGAALPGRRLVVVVVLLVAVVGAGAAAEGKAHRHNYEDALRKSLLYFEAQRSGRLPHSQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYGSVSGYKDELLWAALWLHRATGKAEYLDYVVDKADCFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHEERHRSTLEQYRAKAEHYVCGCMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYGDYLAEAGEEAVACAGGETARAGEVAAFAVAQVDYVLGTNPRGISYLVGYGAKYPSRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLNRMARQEREQEVATARSTATQTAADV >OB02G38860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22808818:22816831:-1 gene:OB02G38860 transcript:OB02G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAMEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASSGSGRSRTDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQSGSVGSENSLETAWQAEAETLKSILTGAPRSRLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQDELARLGEQMVSSAEGTRAVALELCREFEDKFLSHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLKSLIRGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKGQDAEHTNKGSGPQTGSEQAGGTFKSLKEKFTQQDKDTKEGPNLQVAGPGGEITAGYLLKKSAKNNDWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETMADKVEWMKKIRGVIQSKGGSVKGSNAPEGGSMRQSHSDGSLDTMVRKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSVHDNRASVASYTNDTSGAVVHEPLASQVRTGGLPSILQQMALLIDPVHTMKRGQEVPTAVAGAMKTGTPTVLILAVDAHQTVCRQHLQGKNIKH >OB02G38870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22820285:22832685:1 gene:OB02G38870 transcript:OB02G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLLLPLPSSAPRHALPQASPAPLLLPSLPAQVHAAPAPLHLPSARSPSRAAAPVSDDDEDEEADEEDDDEVDIRDADAEADEEYDDEDYEGEEGDEELDEESGGEDEEEGDDGEEEDVRDESAARRRKSEEYKYQRVGKLVAEVREFGEDIIDYNELAGIYDFRIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNRRPVPLTWHFSKKYALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEYYYVKGKRKLRTNKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRLQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTIGHSVLVQTTYEGPEDCCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDVKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQNEIQYLSSEITDESVDQKCREELSEEDYTEISLLQKKLKEEKQMRNELKKRMELERMAAWKIRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFADQKILSLVEGDSLVAGKQKVDSEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYRTGLPSVPSAEGDPLPRETLKQLLLREDMVWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSHEHQDAVECYKQQRRKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKIIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKILLDLKPSQLAAICGSLVSEGIKLRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHGVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >OB02G38880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22830417:22834800:-1 gene:OB02G38880 transcript:OB02G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LGX1] MAPASPLPLILVVAVVLLAGALFCAPAAAQGQNIQTRFPSTRTPAFATPPPVTSPSPPPGTPPPSPSSSSGKRSNIAVAVVSTALSSFAVSGLAFFLFLRLGKKKELTEADGNGHYGGAHGGAYIGKRPEREPKRPPRGKNMVDENGLDAIYWREFEKEGDNGRGRKPTGSWRPPQPPPPRQYRAEGRQDFQESAPPPPRRQHRGERRQEVDESAPSPARSRKNKIDQEPLIPRGSLDSASIEFDDSLHAPSAGSSSSFSVAAAEAYARPPSPAIAAVSSVPRPSPPPAPAARPTASPSLPSPPGKASPPPPQSVAAVSSAPPPPPQPKPAAAAPPPPPPPKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGAFASSSRPPAAPGLPTGSAEQQAKLKPLHWDKVNVAATDHSMVWDKITTGSFNLDEGIIEALFGTAAANRKTKPADSKDPSGSSSSGLGRSNSPDQIFLLEPRKSHNISIILRSLTVGREEIIDALRDGHTELSTEVLEKLSRLNISKDEENTLLKFSGNPDRLAPAESFLLRLLLDVPSPFARVNALLFKANYAAEVAQLKQSLQTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRAEGKRLAINRNYSIRRSGSLTKSTDGGNPAAGSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDTVVNECAILGNRLAGTKNLLETYGDDGFARGLRGFVKAAEQELKELRGDQEKVLELVQRTTEYYHAGATKDKNAHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKAPPPSPSQPAAPAATAKGAADDTPAPAQKPPVEADSKRKRVMPRFPNLPAHFMKDNADSDSSSDED >OB02G38890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22846870:22848132:-1 gene:OB02G38890 transcript:OB02G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LGX2] MWAGLVVVGVVALVAGGVGAAPLPRGGGGGAPGVEELRSAVAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFWSDPQLGDRVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIELWMEMQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIAEGDSSSASVPSRTALSSSALPAAAFSW >OB02G38900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22859484:22859736:1 gene:OB02G38900 transcript:OB02G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRKGKEAKTNMVRFFLTTHLCTGSFLQQRMAEMPEDFFTNAYFSRLSYHIRRNNVAIFSLSAYGSVALNSFLSAPNDCTIK >OB02G38910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22859332:22863118:-1 gene:OB02G38910 transcript:OB02G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3LGX4] MAAAVLLRRIPAARAPATALIAARSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAITNGPIVLEMDTYRYHGHSMSDPGSTYRSRDEISGVRQERDPIERVRKLILAHDLATPAELKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVDSFGADRKELRATLP >OB02G38920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22866244:22869036:-1 gene:OB02G38920 transcript:OB02G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVAPPSSSTADAAVAGAGPALEAEAAVPAPALPRSQQPMLVEGRGPKLRDHAYSRKQKSLGLLCSNFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGILVRKAKNRYSWIGFAGVPMALRELKERALREKSGLAPLPVEDPSAANMSDDEDEDKMGDVDGDTGSEKLSQPVDNPSDKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENSMRTKVRRLYDIANVLSSMNFIEKIQQADSRKPAFRWLGSPGKPKAENGVTIALPPPGKTMSNKRAFGTELTNIDMNRSKLDSAIPKKAKLTQSGGDILKDCKLSVQRQLRQGSVGGFVYGPFHPAGARKQELDDGNKRQTDSAQDWESFAASFRPQYQNQALGDLFAHYVEAWKSWYSEFAQGSSMMQQHFGMHVINQFL >OB02G38930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22872214:22877464:1 gene:OB02G38930 transcript:OB02G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGRSRRYSIKILVFDQEFRAVISHALGRDGGRRDSDQRGAAPKTPIKCFKCGKEGHHQANCANPPLCYACHNTGHIALNCSLHVQKRGIKLVGFGIPGQGFFSLHVDIPAAESEKIPVRGIMSIIDGFGEVDKIEKELNHMFSDVSWEWKVKQLNEREFLITFPSKMIRRQLSRTKSFDFECYPIKASVVETAMTEEAVDELMAVWVKIFGIPNFVRDEVHVKEIAELVGEFEVLDEKSIKGDGPVRVRVACKDPNELFVSMLIYINKVGYKVRWETEDQKDDDDVDDLGGDDDNESFLFEKTLQDKLRKGAASAPAKTGKSSGQSHSKKVALDDKSCFSPIPMLKLEMDNISGSKESNPLAMVVWEEDEMSICTQEPQEDKMNVNVVTEERMRAEEALDEIDSFEVVSSKRTKKKKGKIPILVKRKSDRNKGQVVPVQKRVEFLAKKKNLDNSGTNRKEIDRNIATIKAKELAQAALAECEWKKSNETKKENFSDRELGLLQGWIISENFKDLVLEKMPIRDNDYILNFWNRKLSSVRKFLKGWGANKNSEWKRAKQELVSKLESFDLEANLHDLCPEQWEERYKIEKELDQVFELEELYWHKRSGEEWLLKGDKNTSYFHKIANGKRRKSLIHSLVEDGRITEDEEDLSSHIVEFYKNLFRADSFSSIHMKENFWSESGIIPENMREEIDKPFEMKELDKVISQAKNNTAPGPDGFSIQFYTFFWDHLKQDLYEMLIMLYHGELDLKRLNYGVITLIPKCNEANSIRQFRLICVSNDCFKIISKVITNKISLIAFEIISHTQTTFIPGRFILEGGIVLHEMLHVLKTKNLSGVIFKIDFEKAYDKVSWEFLFEVLKRKGFSDNWIGWIKSCVTGGKVCVNINGNRSQFFGTSRGLRQGEPLSPLLFNLVGDALARMFELAKSNGILSGLVPNLVNGGLTHLQYTDDTIIFIPCIDSEIMAIKFLLYCFEEISGMKINYHKSEVFSVGISPEEEQRVANMLNCNSGSFPLCYLGLSMSPNRLRADDFSYILQKIRKRLNSWQAGSLYYSGRAILINAYLSSIPSYSMGLYYLPEVIYSQIDSLRSKFYWEGLGDKRRYHMVKWSNIAFPKDFGGLGFTETRAMNTALLSKWIFKLESEDNSLCTNLLRVKYMAVSGFFLEEVVEGRGGKFARRMDSETSTRDKKSQGSKKRIWLKQEI >OB02G38940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22877865:22878165:1 gene:OB02G38940 transcript:OB02G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILKINFDGAIRERMRDGAWGFVIRDDKGRGVLAGSGRLPMVSDALMVEAEACSATLEAAINHGILRVIIETDCLNLVSALNTMEFHKSTSGVVF >OB02G38950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22878594:22881953:-1 gene:OB02G38950 transcript:OB02G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATAAAVEASAPTADLASYIHKLQPRLLKLLRSNAFKAKPALISLIGVSASAAGAAEVTSSVPCLRDTIASDDGGARRAAAEALAALALEHKDLLVSYKSSCIAMFEARRFDKVKIVRDSMNRMIEAWKEIPDAEEDECSSGAPTASQSQRRSSHAGSASDGRYPVASATRRNSFPASRSPPPDASPSVNKRHNSSSARNKKQSPTSNRKASQAKNRDYKVDIVVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRATKVAGLKAGSRVVPYEESGNMEEISEIGGSERFPSGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDAGRFSVSDGRNSSEGSRTSYKWERQKFGLQGGFVTNPLAEPNNSSAARTVTGQEGRRRNLTLSKSRMG >OB02G38960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22896505:22903029:-1 gene:OB02G38960 transcript:OB02G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] MAMLLRRRLPVVRLLRSLQTEAAVSTTSAPPPPPLPLQNRPAAAAAAPSVALGSRSGFLSGVPRAEARDASASTKAAFLAAGAVAALASLPAAYADADEVGVVDPAVSSDAATGEDLAHRERKRIMELIQSLGMPRGSYPQYDVAVKGQKVVVKFNLPSTCNLSHLIVDLVTHIGLEAELSGGGSEMLLRAWNSAAARQITLNPHKKTANNGDDNEDDLCVLIFEPLVGSEYSEIEFIKRGRFSLNELEALISVLRFVGQKDVKKSSVKGNKSYTTGKGNGQRSKHVPSMEKIISDLEGMGVRVYGFDETSSVPMDGNGTVMWENIAGYEAQKREIEDTILLALQRPEVYDEIANATHCKFETNRPRAVLFEGPPGTGKTSTARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSDMHEVTRRILSVILRQIDGFEQDRHVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEIAAQYAKHLTKSELIQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNEKGELSLPPLNEYVACSEQRRRSLPDRTRQISQSPPLKLA >OB02G38970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22903549:22904915:-1 gene:OB02G38970 transcript:OB02G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPTSSVCTYFAPRIRACRRDWLFLFFCLCMGLTIFVHGTINSAKLVGFKRSPPAYLSLTPQTSRQLMRGFRGANYASGGSGILDTTGTTVITLRKQIEYFAATKSKMMANGGGNSSPAVDDLLSKSLFLISDGGNDLFAFLRQNNNRTASQVPSFYADLLSNYTRHVQALYGLGARRFGIIDVPPIGCVPSVRATSPAGAARCVNGANDLARGFNDALRSTMAGLASSGALPGMSYSVGSSYNVVDYLTANPAAAGLRVVNSACCGGGRLNAQTGCGAPNSTCCRNRNEYLFWDGVHGTQTTSRKGAVAIYSAPVQMGFASPINFKQLVSS >OB02G38980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22911272:22913551:1 gene:OB02G38980 transcript:OB02G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFSSYPYPEKIPIGSRVAASYPSAPAPASAVASQSAFRSGNLADADGQAQRTDRRYTSYKWRRPGKGGKGRPASCYMHTLDPVNSRIKAPHTCSSCATAFSMSGQGADTVLEEMKRLLVHGKFSYPSRGLAGKSSVMPALCNNVLPRFLSTEKDENPVTEIGEKARSTAEQFLRVAKEKTDEVSENAKETLHETKEAVVGESDDEKEKFKQRVEEGRYHQN >OB02G38990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22916260:22916463:1 gene:OB02G38990 transcript:OB02G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVVRVDLDMTVVPVCVLVGSIYCTSLSFWAMNCEAGSPGSDINLAICFNQKLGFLAFPQFFSFD >OB02G39000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22917481:22917750:-1 gene:OB02G39000 transcript:OB02G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGTSWADQWDYGGDPSPRAARRDGKKQGGVEKTKAAAATGLKKVKEGTAHGFQWIKDKCQKKNHGGGGKKQQADEESGIAGYQ >OB02G39010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22922297:22923418:-1 gene:OB02G39010 transcript:OB02G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSPRLALLAVAVAAATVIVASLSPVMAFPAGLPSTASPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPSSSPYSDAFDDALEAAIAAYQRNFGLNATGELDTTTVDQMVVPRCGVADVINGTSTMDRNSSAAALRGRHLYSYFPGGPRWPPFRRNLRYAITATSATSIDRATLSAVFARAFSRWAAATTLQFTEVASASNADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVANGDVSTASSFGTAVDLESVAVHEIGHLLGLGHSSVPDSIMYPTIRTGSRKVELQSDDVSGIQSLYGTNPNYKGVTPTSPSTSSREMDGSAAADIRPWSGFVGLVATVVLILAP >OB02G39020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22927752:22929206:1 gene:OB02G39020 transcript:OB02G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAGEQEESQIQHEAAEGSMLSGAMSLASQPSLRSLPSLGVHDLNTSPSFHRCIATLRGNSSSSYVSALAVDGDSLYIASSDGRIRLWALDSARRSREDHQQDDTCSSTTVADTGSSVKSLLATGNGGLLLSSHHDGKIRAWRAGSSRRDGTRQFILRASLLATGNGGLLLSSHHDGKIRAWRAGSSRRDGTRQFILRAVLPTAVDRLRTCLLPWSYVEIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRSLKVWRLPDFRCVESIAAAHDDAINALAVSPDGRVYTGSADKKIKAWTRGGPGQKKHALVGTMERHRSAVNALALGANGKVLYSGACDRSVVVWESADGDVGGRMEATGTLRGHAKAILCLAATGELVCSGSADRTVRVWRRGPENAYTCLAVMESHGAAVKSLALVYGRDDVSSCSSEGASALVCSGTLDGDVKIWSVFIPCLLPGSS >OB02G39030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22933257:22937800:1 gene:OB02G39030 transcript:OB02G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKSEKGSSAGAAAGAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEISQLELGQSVCRKVEKQSAHFYSVDITDKEAKMGLVCRVQSTAKSKFKLLYFEPEENGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEQNNSAAAAKDPDSAFFKRLDGFQPCEVNELKAGTHFFAVYGDNFFKSATYSIEVVCAEPFSTEKEKLRSVEAKILAKRSELSKFESEYREVLAKFTEMTGRYAQEMQTIDDLLKERNAIHASYTNNPTLQRSSSSNKGKTSSKDSKSDDDQTMKKEKKSKNKSMEGSRSDDDGPRKEKKPKERLRRKKWFNIHLKVDKRRPC >OB02G39040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22938270:22939286:1 gene:OB02G39040 transcript:OB02G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LGY7] MRPCLLLLIVVVAGVFGRAARAAPPVGEKLTPQYYSQTCPRAERIIAEVVQSKQMANPTTAAGVLRVFFHDCFVSGCDASVLVAPTSFEKSEQNAEINHSLPGDAFDAVVRAKLALELECPGVVSCADVLALATRALVTLTGGPRYPIPLGRKDSLSSSPTAPDKELPHSNFTVNELINMFGKKGFSVQELVALSGGHTLGFSHCKEFADRIYDFQGKPGSADPTMNPVLTKGLQVACNLFHKDPTIAAFNDVMTPGKFDNMYFVNLKRGLGLLSTDQELWTDKRTKPFVEIYASNATAFFDDFSRAMEKLSLYGVKTGANGEIRRRCDSYNNGPMPK >OB02G39050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22943460:22949007:1 gene:OB02G39050 transcript:OB02G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT1G27520) TAIR;Acc:AT1G27520] MRFPRRPPRSALARLVAVALLLAALAGGAAAGAPXTGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFERGILWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHKIHLKDGLYQDQLLLLAENLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWNMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYFLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVSAANISHREFYSVWQRFGVLPERYLLDLGMLHPTENYYPLRPEFAESTFYLYQATKDPWYLEVGEAIVGSLNYYTKVEGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLKKQNYIFTTEGHPLPIRSTWHEKIPTTHVPSNWTFVKDDDQPIRVSALSSQVCPETIFRQSVDSPWESACHIPDVFPTHRCRTDDECGVESVSCRRRTCSMAGYCGLWLGVY >OB02G39060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22950268:22982139:-1 gene:OB02G39060 transcript:OB02G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MGNCQAAEAATVVIQHPGGRVERLCFSTSAAEVMRANPGHYVALVTLRVAEERHDAEGGARRTVRLTRVKLLKPKETLLLGHAYRLITTHEVTKAVQARKEEKLRKAQQQLLESRQKLQSKARTAPATEAEAEDNENNNFDDEAALDASLDQKLGWRVRTETAATGAPARGTGSGGLRCTASTSRAGADALSTCQAHITRPQKWLIVGELISHGLRARVPGAYQSAQVVQLWHMHAASVAQCIYFSDLLPEELRLLGGDVAAVAERADAAIRELRQQVDTVRAESDAAAIAAEQTCALLEQRYATLSAEADRYQAEIAELNAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISELHKSKSQSLELIGQRDAEMREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKLTHLQATCNRITQEKELLEKHNLWLDEELKEKIKNLAEIRKSNMDEEARMSAKVAELEREISESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLATELSTVMKLVELHKESSEEWSKKSGELEGVIKALETHLAQVEDEYKEQLEKETSAKRDLEKEATYLKQKLEKCESDLENTRKSRELSFTSLVAPDPCDLAGLPMKDISLSDAANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGYSALSTNTSTGVPVVGNNIHEHMTFNDINELVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRANVEHISNNLQDDGRNDLMVLFEGSQEVSRKAYEQVSERAKILDEELTKLRTELLSLRSERDKAVLEAEFARDRLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESVKAVEENSRKLSMEMSILKNEKEILAKSEKRALDEVHELTTRVHRLQATIDTIHATEEVQENARSVERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDECLKQVEDMRKELQNSWKAATDAELRAAVAEAKCSDLEAKLKSRKTIFRDGGRDILSSTEENDELFQLKEELEKYKEEAQANKNYMLQYKEIANSNESALKQMESALQDYKTESENIKKSLEDEITKMRSKLSELEKCYAMKCEEAASAIEAKEKDTTSLMNEISLMRNEVSEKVLQIERLEIELASSKSALDEQYKRWRSAQNNYERQVILQSETIQELTNTSKQLSSLQQEITVLRQTVEAQKAENDALRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNRLEALHIRLAEKERDIASISSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQSDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENRHNFEECQKFRDEAQKAKIEAERLHNLLLEKQVDAEICKKEIEMQKIEIANLNQKISELVENSKGVDLNTYETMKDELQKIKSSLRENSAELERTKNFLSEKDSVIRNLEEKLAGCQSDLDAREKKLNDVEASLKSEIERQRKVNFTIKRRLDASVKEKEELVKEKQSLSKQMEDMKSSQKTTSENANEQAIKEKDFRIQTLEKILEKERDDNKKEKVMRRRSEKVFATAIQNMNQERKQVEESIEKHRQAVKEVIENYSGVSSQIPSGSAIDELLRSYFLAIKTVEESSPFQDGATSQATSVETSIVDASAATAGRPVATPPRPAQVKVMEERAVSTLPKPSTEVRRPGGRRPLIRPSLERVEEPQADIDATVLESSTEKGGLLMERETSGGMSALQPSRKRLVPSAQVRDDASQGESTDANPPLKKPKEGSSHGTTELKTEQSPLEDVVTAQVPVLPSTEDQDEQQPGEEMDTDQASLPIEEAEETREDDLGDKDDMEPHIDAPMDIQGQDAETGIDNDTTVVEDVPVKSEAVMESSFEEDLKAEDSKEEGQFTAAADVEDEREEGELPEEPEQPDSITPVLDGGEQAGDSFRAASPAGQTEMSDVDTPEETGEVDATVEPDQSPLRQSGVADASPSQTTDVSPAREPSPNTLQASAPSEQQNPTPSPVHAGAPSEQQNPTLNAGASSEQQNPTTAAEGVETRTRTINLTERARQNRQARILRSTTQQTARGRGQQSLTYRKDGGRGSRGRGGRGQS >OB02G39070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:22988655:22994171:-1 gene:OB02G39070 transcript:OB02G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGAAPAPKHDELTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIFGFSGLWRNVARYLSPLSAAPLVMLVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHAIHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGIIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIFAALYCIFFAYVGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHDGSVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >OB02G39080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23008519:23012339:-1 gene:OB02G39080 transcript:OB02G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGEEFAIGVLISAKTTLGDEFEGQIVSFDRPTNLLEGVGKAERGERRNVRVIKANYIREFSVVGRADDPLDPAGCVLDLSAIHAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVLDFERKRLLVRVPGQF >OB02G39090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23017777:23018016:-1 gene:OB02G39090 transcript:OB02G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDGENQHGPSYALQLAESSAQVDKRSSGAEEQRSGKEEKLVPVPVVELPLRELLATCAERDADSCHNPHRRLRATHA >OB02G39100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23017360:23023686:1 gene:OB02G39100 transcript:OB02G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWREGGGEGCGKSRRLVRHMWPVTRVEAAPPQAQGQASPPSRSSVPPPLTTSYPPAPTTPPAAAHKKDRVDSPRPASSDSFVKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEDGFPKLRVSWLYRPADIKLNKGIQLSAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNRCLWWLTDQDYINERQEEVNRLLYRTKLEMHAAVQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDLAKRDRERVLKVEDGEPGNFKMENLKSEITKITEKGGLPHAEAAEKLVHLMQLDRTERKIDLAGRVMLADIISATESPDCLGRFVQLRGLPIFDDWLQEAHKGKSGEAGSPKETDKPMEDLLLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPDIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFPEISSAGNRRSGSSEPSLKSPVSQLSSSKALTSKPGNADAPAKSSPVVSGSSKLQHMQPGNTVTNLKEQPCRSTGGTCGSELPVVKEEKSSSSSQSMNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGVQKEAVVARSTSVDRSSLPEKAPQSGTACEKGTDIPSDQGNGHRLIVRFPNPARSPARSASGCSFEEGSRASSPDKHEQSDRRVKMKIENSNPPHLGNDTNAESWHSNDVKGTSVSEEGDKSPHAMLTDDRSRTTEEAGKDAPASRVVCSDVNEKGVCSSESGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPANEEGREGDNVGKLKVQSDMDLSRHSGPTNNAEKITSDKGEKIGAGLVTKEEQCHVDAKDNMVPGINTKDVESPKTENHEVGNTDKCSNLVSSHGLLGDDGEKLVTKQPADIKIDPKSNTSSYTTTELRGSDKQAHGLLKSTDQKHRLGQPDNSEAIDRSGDSAAVKLDVEPSFSSSTMEVKKSDGMLVGNTVLREQVKELPSSSADATKLAVPVGNGISIKESKDNSSESSSHARPGATNSQDTENSARHSSKKSSDDASGNEDLVSSDDGSSFAAKISSSATAKLDFDLNEGIPGDEVHQSEPDTSPAICSSAIHLPRPSPLSAPITVAAPAKGPFVPPENLLRLKPETGWKGSAATSAFRPAEPRKILEMTLSASGNPASDTSGKHRPALDIDLNVADDQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENGQFVPNTSHRVEVPLLSRPLPGIFSSANTNGSRDFDLNNGPTLDEAGTEHAPRNLSSKNISSIPFLPQVSGVRMNRAEMSNVSPWFASANPCTPVAVQSFLPSRGEQPHPVETAAGSGTQRIITSLSDGVQRGNDSSRAPVISASPTMVFHPPAYQYAGFPFTPAVHLQAPSFSIGSTSFTNSAPTGVSYFPSIAPTLLGPAGGMPAQHARQYAINLPEGTSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFVEEHPRAYQMPGVGIKRKEPEGSWDAERSSYKQLSWH >OB02G39110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23035832:23042326:1 gene:OB02G39110 transcript:OB02G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRQGGGEGCGKRRLLIRGMWPATRVEAAAPPAQGPASPPSRSSVPHPLTTPCLPAPTTPTAATQKQEWVDSPRPVSPDSFVKDGREIRVGDCALFRAIDVPPFIGLIRWIEKQKEGYPKLRVSWLYRPADIKLNKGIQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHTAVQQGGRSPKRLNGPSASQQLKVGSDGGQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDSEPGNLKGDNVKSEIAKITEKGGLHHTEAVEKLIHLMQLDRTERKLDFAGRVMLADVISATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPSLKSPVSQLSSSKALASKPGITDAPAKSGPVASGSLKHMQPGNAVTYLKEQPSKSSGGACSPELPALKEEKSCSSSQSLNNSQSCSSEHTKPIGSSKEDARSSTAASGITGGRTSGNSSRVLRRTNNGLLGSGVQKEATVARSTSVDRSLLQEKAAQSGAECEKGTEIPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVIADKHEQNERRVKMKTENFNPHAGNDTNAESWHSNDVKGASVSEEGDKSPHAILTDDRSRKTEEAGKDASTARVFCSDANEKVICSSEIGGRNSFSPMNALIEIKYSEASRSLQAGDDTAMNLLASVAGEISKSELISTVSPQNLAGNEKGCEGDNIGKLKVESDMGLSRHAGPTNDAEKSTTDKGDKIGVGLVAKEEQHQGDAKDKKVTSSVLPSLPGIDSKAIESLAKTENQEVGDTDNGEKSVTKLPADTKIDIKSNISPCTTGELRGGDKQAHGLLKSTDQKHHQCLPDNSEAIDRSGDSAAVKLDVEPSISSSTVNKAGLLVGNTVLREDEKKEQPFSRSADGTKLAISGVPPGPKNGISPKELKDNSSESSSHARPGPTVSHDTEHSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRSSTTAKLDFDLNEGIPGDEVHHSEPDISPAICSSVIQLPRLSPFISPMSSGLLAPITVASPAKGPFVPPENLLRLKPETGWKGSAATSAFRPAEPRKYLEMTLSAPGVPVSHASGKHRPALDIDLNVADDHFLDEDVSQSSVQTTGSESGHTKRSNGPVRTVGVEFDLNRADEVADNGLFVLNTPHRVEVPLLSSRPLPGIFSSTDTNSSRDFDLNNGPTLDESGADQTARSLSSKNTSSIPFLPQVAGVRMNNAEMSNMSPWFASTNPCAPVAVQSFLPSRGEQPHPGETTAGSGTQRIITSLSDGVHRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGMHLQAPGFSIGSTSYANSGPAGLPYFPGIAPTLLGPAGAMPAQHARQYAINLPEGTSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRAYQMPGVGIKRKEPEGSWDAERSSYKQLSWK >OB02G39120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23044380:23048373:-1 gene:OB02G39120 transcript:OB02G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGLIPVTTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIQPPTKQREKKKKKARRGCSMTNVFCVRKMSCFKS >OB02G39130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23055675:23060931:-1 gene:OB02G39130 transcript:OB02G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVDDDEFWDGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFSDRGKCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKIHEFKIKLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVANINIGYEEIVNTQVLAFNGQPVKNLKNLASMVENCKDEFLKFDLEYDQIVVLETKTAKAATRDILTTHCIPSAMSDDLKT >OB02G39140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23071994:23074562:1 gene:OB02G39140 transcript:OB02G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTHGDPQWVYAVFWRILPRNYPPPKWDLQGGVYDRSRGNRRNWILAWEDGFCNFAASACDQEDTPAAAAGYTDYAAVHEVKGLQPELFFKMSHDIYNYGEGLIGKVAADQSHKWGFQEANEHEINLIASWNNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMRKVAEDLSYVVALRRKFGYLESIPGRAL >OB02G39150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23080479:23088874:-1 gene:OB02G39150 transcript:OB02G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAPHQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVYGSTGSSSSLIFGQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTAEANCGGIIPQVMETIFKKADALKDSTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKAAGQPARVPIQIRETASGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISLEQKKTSSASDKLANNEYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQTELLFSRSGTAALEELQLLQQKVSLLELKNSELNHELKERELSCEQLAQRALAAQLEKDQLMLKLESARNGKSWDDIESTDVDQDVDVMKSYILKIQKLESELMRQKFSSTCKNDLNDRFAMDKDLLLDDLGSVCEVGTPDVSSEADEEEKEREHSSMQDKLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLHEMEQEKKALQKEIEDLRHALTNISSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLLRQKQKSDEAAKRLQDEIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERATISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERSFNGRGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHFISELKEKLVALNGRIRQLETQVKDLNNQNILLFTAMNEAKNPVGTSRTGTGGSEDGRPYAVRKSVRASHSLLYSKNNFFWSDDMDISDSEKSEESDADWEASDADCGASDADCIESSKKVRRRRQTVSSHLNPNLGSGTTHKNVKSEMASQEKPTAQKDLAQQCCSCTKYSSCKTQKCECRASGSQCGGDCGCISSRCSNRVDMKQEMDSSQKEVDALVDASSSDDVDDAKTQEIVKQGVMLLENAMSEKEAQEPKSRKPLADIGNNVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPAAPENTEPVARNRDIPLRLPRAMSSTAVDSNPPLTDRNAAKPDESVSTNKENVTAVRARSPTRPRKNANEKENHLR >OB02G39160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23096571:23097761:1 gene:OB02G39160 transcript:OB02G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAASLRSFTPLSTYQSPSPDLRLHAVVRDSSAYTSRPPPQVQQTASGGGGNGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGAESAPSPHNRHVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEEGRLSEECEDGFQSNRHKPMDEEQTVPEKRVFPVRLGKFKNVGNTAVGGVENGSAAGIVSREPGESSSSSLDARRCFSMGTYQYILGASELRVALQPGRNKNGVGSRLKGRAVGISSVNAEIMEGKRICAKNKGESFSMSKIWQWSSVKGKLPAGSDNCSESGSFPWMKRDATGDKSNM >OB02G39170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23101079:23101516:-1 gene:OB02G39170 transcript:OB02G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPRLPRLLRPLRRPAGLRQVIHGPRRPRRAAALQPAAATRRRRPGVTSRSPPVVSPDQTRRKGKRASKGLQEDGALSSIASAAMAMGVLVSEIDRRFSFPCHLLFFRLSSSLYKTKHRFVAFVVALSYCRHGFVDRATVLSRMN >OB02G39180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23107026:23108194:-1 gene:OB02G39180 transcript:OB02G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDGKRSHYLHCKSTHFQMYHLPEKESRAICFHPLKIPASPTNIHYQLRWSGKLSTSGSCKNSETEKVLYRGIRKSGKHDTSIFWMACMDSQSIIKLDNHYMHA >OB02G39190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23116382:23119259:-1 gene:OB02G39190 transcript:OB02G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3LH02] MITAADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPGLQAEVGEDGRMRVTVRKSTSSRSEAACSHSHSHSQNMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKYPLPASNAAPMAGHYPAPNPAVAAAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAPAVKSPRKMDGAKDREDYVERDDFSFGNRGVMDRDAEAGDEKAATAGGDPSKAMAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPHIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >OB02G39200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23118609:23119239:1 gene:OB02G39200 transcript:OB02G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEWEWECEHAASERELVDLRTVTRIRPSSPTSACSPGSTTMEAMLAAVSGNCSVMSIRAPRYSNMKSMSVYQMMHCSTTICTMRLPENSPYMPLSNGIPMTSVLGSVESEKSVMVHSRLPRRLRWLHAVSMASTISFCSVSAAMNRRFIVYGLLVEMKWNDSSGTANSATKRLIPEHWSGVKMRHHFTDPYASIIATYNGTIAVITW >OB02G39210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23130817:23139356:1 gene:OB02G39210 transcript:OB02G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLSIGEGSGDGASSSPPHPPPSRKGSGGGGGHHPGAEQRRPSASAVSSWLDAVPGRTQPPTPPTPSEAEGSPFSSSVGSGAADERRQSGAAERRRSQEEEWERRRAPGRGRGGGAVREMRRSQEEDAMEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSSAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKELRAQSLSHRVNWEAVLVHRGEDPELMKLDQAAVIMSLELRESKPSEFVGNDLVQKLASLVARHMGGTFFDPEGMLVKYQKMMRYLRTSIGSVVIPLGQLKVGLARHRALLFKVLADSIGIPCRLLKGRQYTGSDDGALNIVKLDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNEDNDLSQMGSSHSLENSAGGSFEYVFPDRRSTWSNVGPSDTDGATASQTSKSNQQNTLSASFDILSVSTCTSENKPITSESGSTNNIAAAAKNKESSSAAINSLSTSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVVPQRAPLDSLKPVEGLGIYHSPDIRDNTSFISPYEPSAPPQESPSQLTKQLPVTAAAVATAAVVASSMVVAAAKSNNDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLHSLPSPSEGNESVEKIADDFWDKQNFEIDHGQDNSLEQEKDSVEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIRRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPVIAEIITKCWQTDPKLRPSFADIMAALKPLLKNITSNQAPRQRVQQTDE >OB02G39220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23139583:23147614:1 gene:OB02G39220 transcript:OB02G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFWGGGHPADEVANFDEYDPTPYGGGYDLALTFGRALPPSDEICHPISTASSSSSCDRPQYGRRPPAEETYGSAGQGRKPDDDKHDGYGGGYGRKGRIDDDDDGEATHGGYRKPKPAYGDDQPKYHGGGGGGRKKYGNDDDGSGDERKPRDKKHDDDDDDDERKPRYKKHDDDDDSDDRRTARHGPKLNCACKARALPGGAALMEVALAPAEDGLEESGVAVYLPRMLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLHARFLQEQNVPSAYTTHRLQISISDFGHDDLYDIFGDFSSKGLSRESLKKLPHYVVTDQTRNSFGEDLSCAICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPVCRQCV >OB02G39230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23148018:23151420:-1 gene:OB02G39230 transcript:OB02G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVITELREHEDATATARKAIAGRSDEEILAAVLARRGGPLPFLQAAIEVAQRRSDLFRDPSAAGMVAAMAEEAWAKAHAEAVRVRDPKPNTGNGLDLEKYSWTQQLPEVYISISVPKGSVVICEIMKNHLKVGLKGFSFIIDGELYQPVKVDECIWTIEDGVTLSILLTKQNQKEWWKSVIKGDPEVDLRNIKLSELPDLDPEARQNIEKIPRRHSGRFLVAREARQGTNSTSAKILRREYTQQSAAPSQIRWAADVMRRCTRNAVASISIRETGTSQSNAHQLFSYGQHSVFPPLSP >OB02G39240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23152798:23153211:-1 gene:OB02G39240 transcript:OB02G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEATATASTKHGEASKTAAGRTVVIDTSRGGAVSGVLSVVDLIPRVVAVDVATAGSANAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPAGSAIAMGTTNQKLPFLIHAVTPVQGAAQ >OB02G39250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23153550:23155233:1 gene:OB02G39250 transcript:OB02G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 [Source:Projected from Arabidopsis thaliana (AT1G18730) TAIR;Acc:AT1G18730] MALPLLKSHAPFSALRSATRAELHGRCRATLGGFQDLRFNSSSSKSSAGRGSVKTNASPFDVVTLMVTMVEHVDMQRDYVVHKSIWHLSDAALKSVYTFYAMFTVWGICFFASMKDPFYDSEAYRGQGGDGTVHWYYDRQEELEAAAREELLREELLEEIEQRVGGLRELEEAAKEEQLAK >OB02G39260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23165388:23167684:1 gene:OB02G39260 transcript:OB02G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVHPARPEWYEKMYATAMDRFMKPYEAEISEYKSKLFSQLMTAGKDILELGVGTGPNLKYYANADGVNIVGVDPNKHMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVLCSVSDVEMALREIKRVLKPGGVYIFIEHVAAPDGSFLRFVQGALNPLQQFVSDGCHLTRETGEIIREAGFSSLDLNTTRLSTAFILSPHVYGVACK >OB02G39270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23172220:23173062:1 gene:OB02G39270 transcript:OB02G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGPFRRGGRRGVAGGGSHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALFKAGIVPVSYRRVACLKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSASLLNAQMLSFRVTTGDGRSVVSNNAVPRGWSFGQTFSGAQFN >OB02G39280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23179404:23191306:-1 gene:OB02G39280 transcript:OB02G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSPAAFHLLAGSSSATSSSPRRRWGRVSGSVGSFGGTGLRLHWARPGLVRDGAVVCSASAAGGEDGAGKAKPAGSSKAVAVKGSTAKGDDVEDSVSSPKQNGALGSSPSKSDAPVSKPKVGPSVPASKAEADGNAQVAESKSAMGDKEDVGVTGPLDAKADPGVDVEAASSADDIENKESGPLAGVNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRRRYRVAGQDSEVNYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRVVTVSHGYLWELKTMDGGWGLHEIINNNDWKLHGIVNGIDMAEWNPEVDVHLQSDGYTNYTFETLDTGKRQCKAALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQLVMLGTGRPDLEEMLRRFESEHHDKVRGWVGFSVPLAHRITAGADVILMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVPPFDPFADTGLGWTFDRAEANQMIDALGHCLNTYRNYKESFRALQARGMAQDLSWDHAAELYEDVLVKAKYQWRLCTGRTAFARTMAVARRGDGGMRCRAGMPPRGRSKVSNGASDPSAGQVSELAPESQVYPGGQEAAAMQGSGRALRASDRLLAAPTVAEAVESLVRAWRQVRVELLVPLLRGAVVMCVVLSVIVLAEKVFLGVVSSVVKVLRRRPSRLYRCDPVVEDKEAGSASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECDKWGKKGINIKYETRKDREGYKAGNLKEGMRHGYVQGCEFVAMFDADFQPAPDFLLKTVPFLVHNPRLALVQTRWEFDDNAAADLLLSSPPALIRTPVLCMNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQAIDESGGWEDRTTAEDMDLALRAGLLGWQFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWGIIAAKRTASHERFLSRGADSVVGADLHPCSYYSSQFSWDSELLDHKDAHLTNSMCFRSIHLIVLWFLFENVMALHRLKATMIGFLEAGRANEWIVTQKLGNVHKLKSIVRVTKSCRFKDRFHCLELLIGGLLLISACYDYLYRDDSFYIFVLPQSIMYFLFCPSRLCILQLDLNSWVSVSLVRSRQSKSKTCSGTRYCSPIFYRVDKGKFYSYTLHSLKGGRRRRRQVRASAQGGSTAGGSGELGRGRGVATAIHIAINHIDEQLPTSCRLLHLPTCLHAVELVHHARSILADTTRHHMELGAAVKIAGEAAVLFWSRRFSVRIDNHIVVDPVFLAVIEQQNHSEMQLCLT >OB02G39290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23195780:23198531:1 gene:OB02G39290 transcript:OB02G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulphide oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G74470) TAIR;Acc:AT1G74470] MVRREVLDDYLRTRAEGAGAQVVNGLFLRYEAPKELNGSYVVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVRIPDDKMKYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >OB02G39300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23196500:23197372:-1 gene:OB02G39300 transcript:OB02G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEHLVTYPAASPTSVTLPATLRHVSKPDQLSASDGEQIMLECIRILASLLIMVPLRPRVLGDRVRLDADDLAALDPVLGAEARGSLELLDVGLVGDGAGADGDVVALGEHPPVEVRRDVVADVHLGALLVVLHLVVGDPDALLERDGVLVVAGAHVLGDAGVGAVRAHDRVHLERPLLAAGLAVGAVVVVVVHHVRAVEFLGRLIPFKEQRLHGSFVINIFEGAFSCSFYPYKKEVVHVSYTLIIVVWIYCIFSKDMQCAQLQYNQVQIVAITTSGPCLEHYQFIWN >OB02G39310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23198449:23200521:-1 gene:OB02G39310 transcript:OB02G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRIFHEDDSLFQDLSFDFLQQDDALFSTRHFCTSVSTQGILLQDEPDAFFTGDILSIWDEMEQGTYLSHQKSDKDSDENAQKLEIGKAMELQRRRPSGHGSEAKPLTFELVSQYFCMPIKQAARELNVGLTLLKRRCRVLGIPRWPHRKMKSLDTLIRSVEEIGEQTGQLKDKARNAVEMLQQKKKLIEQKPDVELDDWTKTLRQMYFKKNYKRRVLAIEK >OB02G39320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23206074:23208909:-1 gene:OB02G39320 transcript:OB02G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPAAPRLRAAAAGLSLPAPVRARFVGLPIRRPALHASLRLRASAAAAPPAKEGAEEMGFQEMAAGTRRRDYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVQVEGPEAPLFALEINPEKAREEFRTATQKNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESARLHLSLKKESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDVIRNDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >OB02G39330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23216714:23220441:1 gene:OB02G39330 transcript:OB02G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAASRTNSRANYSNEIHDLSTVQNGNMPTLYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPVSVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLQSQQSVAADDDEMENIQV >OB02G39340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23232087:23234870:1 gene:OB02G39340 transcript:OB02G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSGDIDKQDEIMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKTIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSGKNEAYTAPVSQTTQRSLHISDNTSSVMTDVISSTIQFTGSSYLPSIVPSCHNPLSMIDNNSRPDASVALPSPATEHQTTGILSAIPLDIPAGMDIASMVFNASSFTLPNIDRNTANIEFGHPQKCNSSNSTMTNRCVVDMPDVTNNINSGPRSINFSLQGTLSDDWRMILPWESLPCTTEVSTNFQSTKCYT >OB02G39350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23234519:23234752:-1 gene:OB02G39350 transcript:OB02G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPLLILLVTSGMSTTHLLVMVLLLLLHFCGCPNSMFAVFLSMFGSVKDDALNTMEAMSIPAGISSGIAERIPVV >OB02G39360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23236696:23238264:1 gene:OB02G39360 transcript:OB02G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LH19] MAGGSRASSAQRRAALAALITLLFLASLSFLLSATGTTSAPKPSRLAAIRRHAEDHAAVLAAYAAQARKLSAASASQTESFISMSAHLSSLSSRLSLSTVALLEKETRGHIKRARALAGAAKEAFDTQSKIQKLSDTVFAVGQQLLRARRAGLLNSRIAAGSTPKSLHCLAMRLLEARLVNASAIPDDPPVPPPQFTDPALYHYAIFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGTHVQLLAVSDFPFLNTSSSPVLRQIEDGNRDVPLLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGMWRIDLGGKVNAALETCFGGFRRYGKHLNFSEPAVQEQFNPRACAWSYGVNVFDLQAWRRDQCTERFHRLMEMNENGTLWDPASVLPAGLMTFYGNTRPLDRSWHVMGLGYNPHIRPEDIEGAAVVHFNGNMKPWLDVAFNQYKHLWTKHVDTEMEFLTLCNFGL >OB02G39370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23241390:23248840:1 gene:OB02G39370 transcript:OB02G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNPKGKATASPSALPPAKTRKVRPKVFKKKAKADPEKQKVVAGEAATTDEAALAPPLKQTEASPATARAKETGGQKMKMSRKEGKMKGVEKGRIKEKERKDVKIGERKGEAGFIFMCSAKKKLECFHSGVFGLPKWKMDLVEKIRPGSKLFLYDFDLKLLYGVYKAKTKGALDLVRSAFNGKFPAQVKFKVDKDCLPIPESSCKHAIKENYNSKGKFTQELSSRQVHRLLELFKPVKLPPPPMQYVEERHRLDVSKGHLPHYVEERRLSRHAEEMHHSRLIEEHRLPYDHEERRRSQYFEDNRHPRFLEESHAINNSLRDPLRSRHAIELQHAPPTYYHHVAPSFDNRHHQPQADMIYERSAPRTIVEATDREPILARDYRVPEEIVARLDHVDELYRSYRHATRAMDLHQGPSYVTTVYDNPTPAYSERIQRPVSTRANAPGVPVSSLYSFAGAPAYR >OB02G39380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23251179:23254532:1 gene:OB02G39380 transcript:OB02G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding HARTTRSFATNAQPVTSRPLLLRTDGDGRVLSSTSLPSSAVATTTSNLHNPNSDPSFFRRRDPGCELGSLNPGRRRRRLSTFVLVCGGAFLPRGRGGGGGGGGGGGRGARRRRTSWGSLGSGTSTGWSRYWTASSLHPREEEAAKNVVSRGAVVRGVLVQQAFQVAVSLTLFAVVGDERGTEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPVEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGFESRPVKLSIAEQTKTD >OB02G39390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23256173:23258879:1 gene:OB02G39390 transcript:OB02G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT2G02910) TAIR;Acc:AT2G02910] MKDVEERTEQRQTLDAKVKENLSMAIDELEPFKRRKKSHKHYVPCQIEFLPSVDDLVEPVIYNNFTGFSLNYILKENALSSNGLFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPDDYTDTGFDLDENDQMYMASCRVVASSCIFGSSDYLRKPTKSKIGPYSKKNVCFIMFLDELTLGTLSSERSVPDEAGFIGMWRIVVVKELPYKDMRRSGKVPKFLSHRLFPSAMYSIWLDSKLRLNADPMLIIEYFLWRKKAEYAISVHYDRTCVWEEVLQNKRLNKYNHTAIDEQFYFYQSDGLLKFNESGKEPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFAYTYLKLRRMNTGKPFHLNMFKDCERRAVTKLFHHRAKETADPPPANL >OB02G39400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23259633:23261660:-1 gene:OB02G39400 transcript:OB02G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKYGEKLYAGLESTMTWRLKEISKSIEAAQGGLFLEELNTKWMDHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGDYLKKSERRLNEEMERVSHYLDAGTETKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVSDGLSTIRYVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRSYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKLYRYLA >OB02G39410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23289078:23290226:1 gene:OB02G39410 transcript:OB02G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGPSSAGGNGNNGSGSGVGGAGGEMQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSAPAHLRALPSPAAAARFGSRADAWDRVVGLGFPSEGPASSSSSPSPLLLNFHSGSVGVDVQPSPSAAAAAADISRKRRWEQEMQQQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNSTQGGAPSGGGGGGGGGGGGSGESIWTFPQMSSAAAAAAVYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGGGGGSGGGGGGEGHMGILAALNAYRTQAGGGGRDGCRR >OB02G39420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23299349:23302737:1 gene:OB02G39420 transcript:OB02G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3LH25] MGSGSGSFLKVVVKNLDVLAGPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPILEWLPLWSYVKLFFNCWLVLPYFNGAAHVYEHFVRPIVVNQQIVNIWYIPRKEELDRPDDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAETRDENPFFNQNYRY >OB02G39430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23302409:23306252:-1 gene:OB02G39430 transcript:OB02G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLKEEKAAGESGDEEKVESSLPINLNSLPAAAAASATAADESGLHSAVELGANNSNTTKGIGSVGTGQKKIPKDEVLDEDDVQVCAVTKSDSVVPSNSKNPIDEKDALANVVENGQSADGSPEDQRVTILSVVKKDEPSDDVRDSSNPVTVAGYRNEKGGTSASARTASVRPAGTRSSSFHGVTRHRWSGKYEAHLWDSSCRMEGRRRKGKQVYLGSYDTEEKAARAYDVAALKYWGQNTKLNFSVSEYERELEDIRDMSREECITYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGLHCIEGAGLKLLASKPE >OB02G39440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23315931:23316146:-1 gene:OB02G39440 transcript:OB02G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRQLIKRTSGRRHSPATRERLARVYIPYKYIYVSPRTLPNVLRATRSHAYTRVYLSIPLSFTRSRALALN >OB02G39450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23315593:23316923:-1 gene:OB02G39450 transcript:OB02G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGSISEEEINEPISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >OB02G39460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23323821:23330906:-1 gene:OB02G39460 transcript:OB02G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT3G47700) TAIR;Acc:AT3G47700] MEAAALPRPPDVTPELRRFLDAHFRSQADLSAAADIETEIRGRCAELEASVSDLSVRLAAAAAAYSSSRNAAGASLSNVRDCLAALKASTSGPGFTEEVEVGSEKLMYQQLPSLAKEVARVEMVRDYAETTLKLDSFVGDVEDAISSSVTGKLKSRTENSLKTYHVPIGYLKTIEDILTSVTRTRPQWTRLISAVDHRVDRSLAVLRPQAIVDHRALLASLGWPPSLSGTNFSSINSGKPSEIVNPLFSMKGDLKSKYSESFLSLCSLQELQKSRKARQLQGHIVNSQLRQPLWVIEELVNPIAASAQHHFSKWVEKPEFVFALAYKIIRDFVDSMDEILQPLVDKADLVGYSCREEWISGMVIALSTYLAKEIFPKHIGLLQEISSSDASSKPSEARVSWLNLIDLMISFDKQTQVLISSSGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTHDKLRLSMENEKNWNTRIQGTVLEYGSDDYKSPAVTSAIQKGLSLLIDRARPIPNTALRAEFIKISTSPIISEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLIEWCDDVFFLEMENVGRGECIFQVEINQLKDFRVQWTDKMSTVILRDFDARSRDYLKNKRQWQEKSEGLALSRAFVECLDYMQGRIAKLEDGLNILDFVTVWRTVASGVDQLLFNGIFTGGTKFSNGGVERLHGDLSILFATFSAWCLRPEGFFPRLSEGLKLLNVDEKQLRDGMFTDANRLREYGIRRLTVAEVERIIKGRIYGS >OB02G39470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23337129:23338409:-1 gene:OB02G39470 transcript:OB02G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCDQESRLAYMTYHLLEITRSKRVSGAPRIEQDSFAAVAGLTKRPKSAKNQESEQLDCQGSNDQGFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKKWITLPCMNKPRRMCSGVFMDGKFYVIGGMASNTEVLTCGEEYDLERGTWTVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRRYNKKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >OB02G39480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23354984:23356084:-1 gene:OB02G39480 transcript:OB02G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHAFRGGVRGGKSAYARSRSGPAAVHHTVSLKSSTLGSLSLERDRDEEMMKWRDDGGAAKTTPPPQKMARRQRQLVLATAPAKTPVREPEVINVWELMEGLDDKDEDGNVRGEERRERSTPGSPEFDPDIIAAFRKALDEVPPAGDCPGDEVSVKKREIQRFPGIVRERVSAFQKKIDAKLAKMAPSPPSPPPEPQPQLPPPPPDSDRKVVLYLTSLRGIRKTYEDCWSTKAILQGYGVLVDERDLSMHAGFKEELHAALGAPGTLPQVFADGRHLGGAEEVRRMHESGELSKALGDCDMAPPSSAGKGIALDTCSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >OB02G39490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23360405:23360636:-1 gene:OB02G39490 transcript:OB02G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRWGEWNPARDGDGGARLRCDGDEGGGDATAISPAQLIRFSRRAALALGSWMLLVLGLLNVGPNLKWASGKYHNCSL >OB02G39500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23360738:23371893:1 gene:OB02G39500 transcript:OB02G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLTRPAVSLGIAASGGGGGCFARRFGAVAAPRPWGSGRRLCRFYGSSKGGVGSAETRSAAAAEGSTGRFSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFLRRVVPWEKGNLTWQNFPYYVNENARQLLSECTASHLRHKGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESEEDDHDESEDEESETEDEDEGDEDWTSNGDAKSDESDDEDALKSVEELKKSVDRLRKLVPCTIEEFAKRVSGPEEGTTSGSSESPESSVEEKRPYQRGDRVKYVGSPEAFEADQRIILGKIPTQDGSRNAYTFISGRTLSKGQRGEVYEINGDQVAVIFDPPAEKLHDGDNDAASKEENAKASIYWVDSQDIVHDHDAESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLPGPVVMICGQSILEAASKDKDKEPPALMFHNLSRLSSLQSSLKRLEGGMKGQKYSRSRSISKLFTNSLIVPLPEENEQRRVFNSQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAALPSIKGDRLIIPRESLDVAIERLKEQGVKTKRLSQNIKNLAKDEYERNFISAVVPPGEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDAQNRMKILRILLAKENLESDFRFDELANSTEGYSGSDLKNLCIAAAYRPVHELLEEEKKGGSCSQNTGLRPLKLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >OB02G39510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23376983:23379627:1 gene:OB02G39510 transcript:OB02G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVGLALQSRAAGFGSARRRSALYGGEGWGGSKPVAPLRAKKSSGGHETLYNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLGDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVNDPVGDSQAGSHAERIQTL >OB02G39520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23381227:23383245:-1 gene:OB02G39520 transcript:OB02G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYSIRIGLNCCGYLGDPTGKVYPSGYGYRKIFLPVTAAPVKVAWGFIIRDDQGEGIAAGAGALSHLHEPMCAEAEACLNALKIATQLGISRMIIESDSAALVQALKTCDMDYALGGLRFREARNLINLDFLDVSIQHCKRSCNLCAHELARLGLNGDPNQLSVWVDPLPQFVRNLCARDLAEQII >OB02G39530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23384048:23394932:-1 gene:OB02G39530 transcript:OB02G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREGAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINNLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEASAARDSLSDDKELIHTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRTWETFEKALWGHVTNFFRLSKDSPQTLVRALRVVEMQEILDQQLAEEAAEAEGAGAMATIANQRRTANRKGAGATTTPRSTQDKSKVQGKGYKDKCYEFVGKAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVKYQENLIGLGVDDSLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVGVIFEDPGVQDLLVKLYQKDWMDGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKNHIKEDTIERMRLDEEVLMDFFREHINVTKVENRVRILADLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWRKLGQ >OB02G39540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23397859:23400100:-1 gene:OB02G39540 transcript:OB02G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase/Diphenol oxidase family protein [Source:Projected from Arabidopsis thaliana (AT5G48100) TAIR;Acc:AT5G48100] MCTAKIPAVLWLLAGVVLALAVAVSATHGARTRHYDFFITETNYTRLCREKSILTVNGQYPGPTIYARKGDLVIVNVYNHGKKNITIHWHGVDQPQNPWSDGPEFITQCPIRPGGNFSYQVILSKEEGTLWWHAHSDFDRATVLGAIVIHPKRGTTFPFKRPDKEIPVILGEWWNRDVEDVLEEAKRTGGDVVPSDANTINGQPGDMFPCSRDGTFKVEVQRGKTYLLRVINAGLTNDMFFAVAGHRLTMVGIDARYTKPLAVDYIMIAPGQTMDVLLEASRTLGSNSRYYMAARTFITLPVDTIPSNNSTATAIVEYTDSAAARAGPPDFPILPAVKDMDAAMAFLMQLRSLGNKEHPVDVPTNVDEHMLIDLAINILPCDDTDVTNASCEGPQGSNKTRFAASLNNVSFVSPAIDVLDAYYYGIGRGVYEEDFPNKPAPVFVDLTGSNDGPGLTKRGTKVKVLEYGAVVEVVFQDTSEENHPMHLHGFAFYVVGRGSGKFDERRDPATYNLVDPPYQNTVSVPKAGWAAIRFRTDNPGVWFMHCHFDRHVVWGMNTVFIVKDGKTPEAQMLPRPPNMPMC >OB02G39550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23403099:23404289:1 gene:OB02G39550 transcript:OB02G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLAPMPLLFLASAPLAAVHLPARCRLRLQLISRAAPETATTVPGNHHFAVEDYLISKCHLTQPQALKASKSIAHLKSSSNPDAVLAFLADLGLSTKEVAAVVAANPRVLCARIDRSLAPICTELRALGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVAFLKQCGLSARDISKLLVAAPRLVTMHPDYVQDAVRRAIQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKESLGWSQEEVSLAVSKAPRILVASAERLRRNAEFLVNEVGLQPQYIARRSVLLMYSLERRLVPRHLVVKLLKERGLIEKDRCFFNAVAPTEEKFLDKFVAPFEESVPGLADAYESACAGKKVPVEAEH >OB02G39560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23406600:23407847:1 gene:OB02G39560 transcript:OB02G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVQFSFREESGSSDFAFPSPPGQPPPPAAMLHLQKQLLRLRGSITPALLSLHRVLFSTAVAVDAASRGQFSAVDYLVNNCGLTPEQAVKAARYISHWKSPSKGDAVLAFLAGPDLGLSKADISHVLVSDPRVLNCRIDRTLKPRLDGFRAHGLSGAQIRSLLLSSPCSFRSCNIHEILGFWIPFLGSTEELIRHAKRSDYLLKANINKVVKPNIALLRECGLSDYEIARMCVPNSRLLTSNPERLKVILARADKLGVPRHCLMFKQAVTTAMALHTETMASKLKSLGEIMGWSPDEVAKVVRINPVLLRYSEERLRRVSKFLMMVAGLNSKYILSKPTVLMYSLERRLVPRYYVMKVLQEKGLPRPKSFYTLLPIKDELFRLRYIQPHKGVLPGLADAYTAACNGELPEARYE >OB02G39570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23417400:23418109:1 gene:OB02G39570 transcript:OB02G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase delta-subunit gene [Source:Projected from Arabidopsis thaliana (AT4G09650) TAIR;Acc:AT4G09650] MATLRLTSGYATALSEVASENGTLEATVSDLEKLEKIFAEESIAEFFDNPTVPRDEKGQLIDEIAKSSELQPHIINFLNVVVDNGRAGLMTQIVREFENAYNTLTGTEVATVTSVVQLESQDLSQIAQQVQNLTGAKNVRIKTRIDPELIAGFTIQYGRDGSSLIDMSVRKQIEEIASEFEMPAVTLDA >OB02G39580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23420431:23421588:1 gene:OB02G39580 transcript:OB02G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39620) TAIR;Acc:AT4G39620] MQKQKWYIADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFDKMKGIDRCQPNIVTYNILLRAFAQAGDTKQVDILFKDLDESPVSPDIYTYNGVIDAYGKNGMIKEMESVLVRMKSNQCRPDVITFNILIDSYGRKQVFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAECVLEKMTEIGFKPNYVTQECLIMMYAYCDCVARARQVFDELVNSQNNVHLSSVNAMLDAYCMNGLPMEADQLLDSVIKKGVVPSASTYKLLYKGYTKANEKKLIQRLLQRMNSQGIVPNKKFFLDALEAFGNSGKKPRTVPSIKSASKPDVDSAGNCGTDTSSKPNLSVSRVAA >OB02G39590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23420414:23423765:-1 gene:OB02G39590 transcript:OB02G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G36310) TAIR;Acc:AT1G36310] MLQIFSRIATSSPRRVICALNSCSSNCRSASTSSPMNSNEMLGVQETVQTDGNHNCSASVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVVLDAGCGNGKYLGFNPDCLFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVIRKGGLVLITVWAVEQEDRSLLNKWTPLCEKYNEEWVDPSSPPVRNQSATVLESIAETDEDTGAVKQRNDNLNRSNDGLDDNSNVICSNSSIIDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYSRYYHVFVEGELQRLVAGINNASVVDQFYDKSNWCIVLEKL >OB02G39600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23424733:23428654:-1 gene:OB02G39600 transcript:OB02G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQAGGRRSGREMAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTIL >OB02G39610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23427765:23428676:1 gene:OB02G39610 transcript:OB02G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIITSPHVFSPNQRRSRSGRDAHVLHQFDKNMFASPCGHRARMNSLSAETVVEGSYLLGPISVPSKSRNLTSIGSFPPAISRPDRRPPPPTPSRDPRNPGSEVHGTKWITANRQSHTKDRILMNNSCFRVGESEGAATRWEERREGERKEKRRDERRGPLGFLG >OB02G39620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23440124:23440795:1 gene:OB02G39620 transcript:OB02G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHRSSCRGPEAPDCLGLRSLLVADAAAPAGRVVTRTMVATRVQETTNREGECSGGCIDEEEGGKEDGDDGNEGYWVAYGRRGRMRRLPPPLPSLRAALRRTRTEDGRLVIPEAPAGARPPEYIRARRCGGRLTLMKLVERNYFYPCPALAGPSPAQEDEDEDDIVTMEAVNDTSTAGAVGEGVRRHRQKAAAPPPLPGVGCFEDVIKYHPIESTSLHRLRMVH >OB02G39630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23449987:23454496:1 gene:OB02G39630 transcript:OB02G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G10080) TAIR;Acc:AT5G10080] MRLPVLLVLLLAAAAEAEAAMLAARMVHRLSDEARLEAGARGGRWPRRGSGDYYRALVRSDLQRQKRRVGGKYQLLSLSQGGGIFPTGNDLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSSYHGSLDRDLGIYKPSESTTSRHLPCSHELCSPDSGCTNPKQPCPYNIDYFSENTTSSGLLIEDTLHLDSREGHAPVNASVIIGCGRKQSGSYLEGVAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQLSTPFVPLNGKLQTYAVNVDKSCIGHKCPDGASFLALVDTGTSFTSLPLVAYKTITMEFDKQINATRAASGDNPLEFCYSARPLEMPDVPTITLTFAENKTFQAINPILPFNDRQGELAVFCLAVLPSSDPVGIIGQNFLVGYHVVFDRENMKLGWYRSECHDLDNSTTVPLGPSQHNNPEDPLPSNEQQTSPAVTPAVAGKAPSSSGSSTLRNLLDNSNLLLLLTMSTVFFIS >OB02G39640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23460584:23461833:1 gene:OB02G39640 transcript:OB02G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKALGKRQRSQGTMHRTPSMASVPSAAKQGRHVMVMNGEPPAPPVSRPAGMGMGARGVAAAAQRRVTGHHAGVETAAFLKNCALCGLALGPGKDTYIYRGEVAFCSQECREWVIEYHERDRGEQNCSLTSIKDTPTVSGASGSDQSGSGSETVAAA >OB02G39650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23465690:23466145:1 gene:OB02G39650 transcript:OB02G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSKVIEPASVEASSVDGSLQIMVSEKDDDRSSDVLMVPNSCSEDEDLCLCKRCGEVHGVQDIEACRRIRREQSRCSRCRLVHNDYDLSAWIVHGFEKFECELYIPNIDEMQMDGETIILPEHVQKRVDELCPSLQKIKEQEANKKTDA >OB02G39660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23470638:23472073:1 gene:OB02G39660 transcript:OB02G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LH49] MAPPISSLSLVASNPIPSPPVTKPGRALAVVPCSSSSASSPAPSTSCSAAGSLPVASAGRRELLALGAGFLASAGLLCPAGEAGATRIEYYATVGEKLCDMNVVKSGLGYCDVEVGTGAQPPRGQLINVHYTARFTDGIVFDSSYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNTTLLYDIFLVGFYK >OB02G39670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23474119:23476684:1 gene:OB02G39670 transcript:OB02G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPVCLQPGRLSIKPNPETDTRAATQPKPRSPTNPRRAAPRPACARTREKTRGSCSCVQQTGPSVLSPSSSLVSPPPPRRSPSARPPAATADLSREERARFHRPAGAIRLAPLRPPVESRRLPVLFSDSLPPRMAASTSQHALVSVKSLCAGANFGLEKRTSQVRFVSVGQCRPGTRKLGLVCSSNSQSSVMEPAQLPLSPQSGSSPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHNESEQAHRWSQIYSEETKKQSIPVVTAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKKGKFIRRGSPSGPSEAGVYAYTRSLAQYRQKLDNMFQ >OB02G39680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23478177:23481081:1 gene:OB02G39680 transcript:OB02G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNFPCDIPKPASVDEFVKHGKKKKSFMSSIFRKKGRGSTGSSDKKLLSRRDIVFDLEEKCDDRTELMDASPAVRKSFSDRHCTTKIESLTLSCLDSPNRQNFDTREYRVFVGTWNVAGKPPNSNINLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLGLIYQALNKPQDQSSGDELSPPETSDSRQGGGCRDTIPKSSSGGMLFFQKPSLKMLSKNYRVDSALVKTCTCLTDPSTMQRRAREMREFIYRVEASPPPSLASAAAAADQDRPPDAGELARSSVNYCLIASKQMVGIFMSVWVRRELVQYIGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFICSHLASGEKEGDELRRNSDVAEIIKSTQFPRICKVPGQRIPEKILDHDRVIWLGDLNYRVALSYDETKTLMAENDWDTLLEKDQLMIERQAGRVFKGWKEGKIYFAPTYKYTQNSDAYAGETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVIEADVDNGSRIRKGYSTLDSRIHCESAMPQRHSFYDDY >OB02G39690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23481324:23483668:-1 gene:OB02G39690 transcript:OB02G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSVNGDGKETALFEQRLSKIGEVRAALGQLSGKTALYCSDASIARYLVARNWDVKKATKMLKKTLKWRSEYRPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPPDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKIFESFWKMASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQVTFNINDYAARMREDDNKMPLLWSPENSTLASEPYVMTKDTAQEGSSDLKSEETTSEKREETDSESENKEETESESERGETEAVSRKREETEAISGKSEETEAASEKREETVTDSEKGKENLSCAVEPGEGKGITPADRNGCSSSDP >OB02G39700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23486479:23491128:-1 gene:OB02G39700 transcript:OB02G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAHSPLHAPLLLLLAAAAATSWHVTVASDPPFSCGAPSSAAFCNPKLPIDQRADDLVSRLTLEEKISQLGDQSPAIDRLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYGLAGAVNTTDLEASACCKHFTAYDLENWKGVTRYVFDAKVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTARGDWRFYGYITSDCDAVSIIHDAQGYAQTAEDAVADVLKAGMDVNCGSYVQQHGLSAIQQGKITEQDINRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALEAAQDGIVLLKNDANALPLSKSKVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNSAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINAVANAAKKPVILVLLCGGPVDVTFAKYNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGNTVYKFGYGLSYSKYSHNFVANGTKVPSFGSINGLKAMATAAAAGGTVSYDVEEIGSETCDKLRFPALVRVQNNGPMDGRHPVLLFLRWPNATDGGRPASQLIAFKSLHLKSMQTAHVEFEVSPCKHFSRATEEGKKVIDHGSHFMMVGDDEFEMSFTP >OB02G39710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23502662:23505470:-1 gene:OB02G39710 transcript:OB02G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGQGSGRRPFGDLTNVLGKRSAPSDLEKSAGGIKIVRVEKASEQRKEFDETAKASGGAARNTLPLFDGITKENLVRSSIFRETKIQHMAAEAAGLLSKESDDVRNRTMSLGSSGLHDREQESSLESEGGCEDEEEDDDMDSEFLAYTRGSCKMATNDGECLTQEETAGSSGNQKPLSSFDFATGFDNMPYSNVHHSLGNDGLEDADTTKSCACSFCLKAAFMWTDIHYQDARGRLAAMKKSIKFARSLGTRSQGNEYAVNASRYNLKRAAEMEFELYQQKRSLFLHTENVLIRESAQLHSSLVKLKELRENCKTDLEMMSGSSLEK >OB02G39720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23508638:23510415:1 gene:OB02G39720 transcript:OB02G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPEAIESAEQCSIRIIPGVEISAVYSPSDGVGAEEPVHILAYYGPLGPAKPQELDRFLGSIRDGRYTRAKEMLLKLRRLDMPMELEDVCTIAGNGVAPGRLHVARAMVEAGYVENTRQAFNRFLYDGGPAYATGSEPTGESVVQLVCRTGGVSVLAHPWALKNPVAVIKEFKAAGLHGVEVYRSDGKLSGLSDMADTYGLLKLGGSDYHGRDDRDEPDVGSVDLPVLAVSKFLDVAQPIWHGATKEIMPNMTETVPGLNGSTELSSGKDFCNLCLFSPGLEVTEASEVEVLITEFADIALSEIGPQDT >OB02G39730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23519920:23520828:1 gene:OB02G39730 transcript:OB02G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEPFIRSASSSSASSAYHHYYSSSPSMSQDSYMPTPSYPTSSITTANTAATTSSFSQLPPLYSSQYASPAASTANGPMGLTHLGPAQIQQIQAQFLAQQQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSVDAKLTAICQSLGSNSFKNAPAESAASAAEPESPKCSASTEGEDSVSAGSPPPPTPLSPPVPEMEKLDFTEAPWDESETFHLRKYPSYEIDWDSILS >OB02G39740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23520111:23520557:-1 gene:OB02G39740 transcript:OB02G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLASTEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCCCWAKNWAWICWIWAGPRWVSPIGPFAVDAAGEAYCEE >OB02G39750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23538223:23541879:1 gene:OB02G39750 transcript:OB02G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPCQRSYIECLGHWKPYRDYVNQATLGLRSEKKPPPLRGLGRGWICRMVRGIERLENGCSSEREDSVSRSHRVAQLATNINSFLYGACKTVAGASGLIDVTSCIDALSSDSPSSAVDSYKKFAIIAVDLLRTNATSTKSKIDGMHALERWR >OB02G39760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23543121:23545447:1 gene:OB02G39760 transcript:OB02G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LH59] MTNQDVVVSEMGITAGAALPGPGGPAGLSMRQTYLDLAAAAAVARSASCTWAETMRASSPTRSSRSAADVDEFTAWVSKHPSALTKFEEIAGKSRGKKIVMFMDYDGTLSPIVADPDAAYMSDAMRAAVREVAKNFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESVLCQPASEFLPMINEVYKILVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVMKEYPKLKLTYGRKVLEIRPSIKWDKGQALEFLLESLGFANCSDVLPVYIGDDRTDEDAFKVLKKRGQGIGILVSKCPKETNASYSLQDPTEVMEFLLRLVEWKRKSSSSLMIRPRV >OB02G39770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23545423:23545773:1 gene:OB02G39770 transcript:OB02G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEKLKRDARRPAGRCAQSCEHTYPHHATYYPHFSPMISVVASATDPIVWWLHAVRLRPLCHRGKGGHTAKKKKRLSLSIALDQIPACLSSAKAKRADPSMLVLVVLQLQSRTV >OB02G39780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23548737:23549110:1 gene:OB02G39780 transcript:OB02G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPTSPTWLPGGEIYPVDQKERGDW >OB02G39790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23558976:23561279:1 gene:OB02G39790 transcript:OB02G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQGYSSAEEDDPAAAGAAELSDYGESSAEDAGSDGEEAPAAPKPAAKPRPRPNPSRGDAGGDGDDSSLPSALDAFAEISGPPEFLNNRVAEPEEAVEALGVLDRRGKGGRGGDSKQPPPGAVVVAKPQLVAIRERVSSGTNGANPPGSAEGKRTIGAANPGPEDAADLLRMCLQCGIPKTYSHARGMVCPVCNDRPQQIKEPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >OB02G39800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23562712:23564273:1 gene:OB02G39800 transcript:OB02G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAASLLFLLAVASSATVLTHGRDLPTRIKLVRGADAGGGVVSSDKAECVYTVYIRTGSIWKAGTDSNITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMGATPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCGQQLFTVEQWLATDAAPYKLEAIRDKCSSSGDDA >OB02G39810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23568230:23569196:1 gene:OB02G39810 transcript:OB02G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRAGPPPTSTALFKAASARNPSRRARNPSRRGADVPVDDEPSSPKVTCAGQIKARRPAAKPKSAGAGAGAGGGKAKKATWLQALGIKKDALPFLNAVHGAFRLNVAGCFGSFPGAGEYTSGEDDDDDDEEELAGKETEHGAALAKWFMVLEEGKKVSSKKREQEPQRQEAEEEQDKEDDVAPPVNALMLMRCRSAPAKGLPRRLEGDAEEEAMTKTAKKEEDEDEDEEENKERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >OB02G39820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23573914:23576829:-1 gene:OB02G39820 transcript:OB02G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRPPTGCAMFGIYSGMFRRRRSNSMSSLTRINGAPPPAAEHEHEHEQEQEHEDGAKAISVPANSAHRKGGVHEDSSLVHRPVMSLPGTNNGVQRVHTPANDRAMNTTKAANGGAKNAVVAAAAAEYTGMAAELDKMIHDHQRVKGTTQLVRATSGNMMLHRNLGNLNSGVPGASARNSVEHNNPKPANERKPQNGYAFSGLGNIVKETKAPPASELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMVDPTRPAYWSNKAAALAALGRLIEAAGDCREAVRIDPSYGRAHHRLGGLYLRLGEPDKAIHHFKQSANDSTGADVSRAQSVKSRIAKCGDARKLRNWITVLQESQAAVADGADCAPQVMALQAEALMKLQRHDEADAVLGGAPRFGVDESTKFFGTVAHAYVLMIRAQVDMAAGRFEDAVATSQTACQLDPSSREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRETGNAVLLCNRAACHARLARFEKAVEDCNGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPDNEDVKKALSEVEAKVKSQRNGGVATRS >OB02G39830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23584267:23584533:1 gene:OB02G39830 transcript:OB02G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQELTGFPAAAIFRPLPRRVPVHAAHQLAAAHGCDGGSGGAVHGHSSDASAASVPAAQLQQCSPPGVFDGLPDLGSPEFDSWPDLSN >OB02G39840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23585331:23588794:-1 gene:OB02G39840 transcript:OB02G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:J3LH67] AVKQAYHERFKRSLEEDVAAHVTGDFRKLLVPLVTAYRYDGPEVNTSLAHSEAKILHEKIHDKAYSDDEIIRVLTTRSKAQLLATFNSYNDQFSHPITKDLKADPKDEFLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDEDSLTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >OB02G39850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23594243:23597354:1 gene:OB02G39850 transcript:OB02G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVERRWRFDPCDEVAYVVMNDFALMNDHTMLLQGHDKSRISPAGFSTKSRPTQGGGIRNNIAHSDSRSINVSSGKRSSYPQTYTVPKSTEPHIFDADEYVSISNFSGVPSTEGKTMQDEHPNKGKDLLYCDWSELANLDDFEANLRSFESTFEMVSNHFEDPLSSSVCLPDAQIVPSSCLFDNTNLSTVSNESTTKSILSSVSVSDTTSTEALFLDQINTANPINIQQTSSNERSLTSLNHEALACSSGEIEQFSQHSDADVFCPFDNVTSVERVNCCEGLEAIFGSNQEMLATTAASSIMCNNETVSSSTYSAPDLVATYPLSIKNSHDPLNGTPDMILDIMAGNPLEMYFPPLTAYEQPEHLNNATLTQTHQFPEGFAGDDVVKSADLQFFSKGKNSVDLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKACIRDALYRLANRVEQRHCVASTAETLNRLGTMEPSVSERWREVQMNPMDRSVAQLLLQKPPHHKSPPDSALGIGP >OB02G39860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23599275:23602492:1 gene:OB02G39860 transcript:OB02G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSSLGSKAAHFVSDLTTVILNPISEREPSSPLPEVDKCEEKSEDDKDSEQNSDIPDGPDTSSFRAFLISFLSPSGSSKDSMEVIPDQDGELGYPTLMPMAKSKKGKSGLLSRGKHSIGKIINKAARIGGFKQNVEPKIDREVVDHVESVSPVLELEESKEVASFINLPAMSEPSVLLSEVTRSNLYASFPVLAKGMNWVLLYSTWRHGISLSTLYRRSMLCPGYSLLVVGDKEGAVFGGLVEVPLQPTTAKKYQGSNSCFVFTNLHSNPSVYRPTGANNYFTVCSTDYLALGGGGHFALYLDSDLLSGSSSSSETFNNMCLSHSPDFAVKDVELWGFVYPSKYEETLALCRTEKPGICRW >OB02G39870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23602753:23607785:-1 gene:OB02G39870 transcript:OB02G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPXXXXXXXXXXXXXXXXXXXXXXXXRRPKQAGAAQQEESAAGPRPSRSMPPMREGAPLDAEAEEEDAWTCRSCPLVPTPGPVEPPVMGIGLGAAGRLFFQSHWPEQAVEEAIKGGNAFMAKFRVNAHNRNEAYCTIEGIPVDVLITGVAQNRAIEGDLVAIALEPVAYWTRMKGPNIVCNPAIGEGSAVDEVGETNGNHDGKKGQTDASCRFGNCSNGQPVLDRMHNRHKNSGFSKAVKCENGHATVSENCEDLGEGKTEASRALHRICAMVYSNPSRRPTGKVLSVIKKSPRRDTVVGFLAPFSVFPDSEQQKNQMNMQVSKSTNHRGSSYFSGLVLLLPTDPKFPRMVVSANALPDSVIKQLEEGAAAKELVAARISEWNEESLYPCAQVIQLLGKGGQVKTHMDAILFENSISDAEFSHESMECLPDISWKIPQEELEGRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPSRLSEDLVSLNPGVDRLAFSIIWDIDPHGNIVNRWIGRSVIFSCCKLSYDLVQDLIYSDGTKFRSASSLQVHGIFKQEDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMILFDDSGDPCDSCRYERNDACFIVEELMLLANMSAAEIISNSFPNCSLLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKEDPVLFDILMFYASKQMQSAEYFCTAGLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKQRRSLTGQNGLSTTSREMMRGCFTGLQFNKDAAESKEGSEALSAAAKKFKVPSSDNLGEVAEHCNKRKWASRRAEEAGQKLYMWAMIKRKEVLVAHARVLGLGPKFMSVYVPKLAMEQRIHYDEVEGLSIEWLEATGTLVLDACRNRPPRRRGNQVKYRAFEEVAMVVNPSEAMLSEEDEESGATEAGCDIAKSVLPSDEAVKAQVAPAVLPLVVQYLSEIPVVLHAIGGEDSAVDIGVRLYMSSYFK >OB02G39880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23611159:23613302:1 gene:OB02G39880 transcript:OB02G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein [Source:Projected from Arabidopsis thaliana (AT5G65220) TAIR;Acc:AT5G65220] MATMSLAAASPLASTPRGLSVSAPRTAFPGLRIGGASLTRFSGLAAGAGAPLLPAGRGDAAVVRMAKREQELEEIRAMPTEKIEEEVVDLKGELFMLRLKRSARQEFKSSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >OB02G39890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23616783:23618246:-1 gene:OB02G39890 transcript:OB02G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDEKLMEYIEKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFNSAEKDTILQLHAALGNKWSAIAKHLPGRTDNEIKNYWNTHLKKDLIQKGIDPTTHQPRTDFFAALPQLIALANLRQLVGQLPWDDPAAAAAGLXXXXXXXXGQSLQALLQLPPSAATSPGSGSIGGAMPAGDMEQMGAGLLSPPARTSSSALSPLPSPMSTSSLSPLPSPMSSTAFSPFPSSFMGSINVGRGSFVAGEFPTIQMHTSSVFDQPAAIVNDVNQNQDYAANSGERGINGAPKTMLLSEDSLPPLADYPVISNLGDVCTTPSCDGNITELPVFPDAFLNALMRDCAPSSSS >OB02G39900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23635483:23643800:1 gene:OB02G39900 transcript:OB02G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-V [Source:Projected from Arabidopsis thaliana (AT5G09420) TAIR;Acc:AT5G09420] MLRKQGGTYVGSTVMDELGFGVTGGNLHYGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIPNSQSLDAIGWFARDPSVLHRVGDVLLPAATGGLIQTKQLFFADDCFQLLKVPNEKTINVIENAIHTLPGYQPPKHINIGQYISSHVPSLKDFCEPTMKMLEGMSALKALSTVMLLLHRYEFKTNHEDWVNTVKPKLGLDISTRVLQAVNFTSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNAKQRFSSGFEDRICAFAGIATLSGCCQAVIPLGNHDDHPISLSFVAAHGSDKFLLRNVLYMYSSIREQVVLASQLVTAPVINRDADFGAAELLKEKGNSAFKGRQWSKAVEFYSDAIKLNDTNATYYCNRAAAYLELGRYKQAEADCDQALLLDKKNVKAYLRRGIAREAVLNNQEALQDIRRALALEPQNKSGLLAERRLQKKLR >OB02G39910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23645614:23647039:1 gene:OB02G39910 transcript:OB02G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQQRRNQLRMPMDPLASFAASFFSALSPPSAAAAAAAGDRSTHANSREFELCPGGNEEKGAIAEQRVSLLRSWLALLCRGSNGTDAPVLSCKERTEMVAVLDDLIGKLPWEQQEEVLALWLHHFAACPDTDWPNLESCYTRWYAESRRLLE >OB02G39920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23646361:23651942:-1 gene:OB02G39920 transcript:OB02G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASLRHHLLLLRRRPRFPLNPSPAFRACSLPRRYQRLFASAPSSSTVEDGYPPSLVEPSDGASVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRVMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAESELLQAIILLFERLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCVIVDKLGKLTRDEIEKELITTGLSSEAVQGIIEVLSLKSLPKLEEVLGSNVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDMPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEELEGPASSVASSLRRKGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGMVRVKILSTREEFEVKAGELQ >OB02G39930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23652150:23655726:1 gene:OB02G39930 transcript:OB02G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDHRREKIKKKMAPAAAAAGERKLAAALRLLAAGRGSGRVPQVRAPPCESSYLPHRSYSAANTGESGSSTYGWKRSRARRIVSIGVISIAGGVALSALNDLAIFHGCSSKAIKKATENREVVEAIGVPIVRGPWYDASLDVSHRRRSVSCSFPVSGPQGSGIFQFKAIRNGEDGFLSFLRHHDWDILIMEAHLHVPSDDDKQKTLKIDLIGGPDHPSRVSDGKSTPGECKEMES >OB02G39940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23656082:23657597:-1 gene:OB02G39940 transcript:OB02G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDDYIAVLFYASWCPFSQECKPNFEILASLFPAIRHFTFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYRDVSGFDISMTSANLEAVDSLDGIELKKDTEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIGSFAKQAWRRHTLFPNLVGVHEYFFTYLEQARQKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNEQR >OB02G39950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23661626:23665501:-1 gene:OB02G39950 transcript:OB02G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEEDQISFPSDAHMADGVEDYSHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFPLKQLPYPYLSFDMVHCAKCNVEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRTIRDIADSLCWEMLSQQDETIVWKKTNKLDCYTSRKSGPVLCSHDPESPYYQPLNPCIAGTRSQRWIPIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNHLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKRQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLICQKPFIRKQ >OB02G39960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23674161:23676950:-1 gene:OB02G39960 transcript:OB02G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) TAIR;Acc:AT1G62830] MAGLCKYGNTTQRERTKYVFQLVLRKPRYLAFWPFRLGGSRPSSWLLHSAGPRPTPEPSPPQILRSPPGELDAARRNPAMEEGNEAQPPVQPQAEASETPPPAPMDQDEGQAAAADPMDGEPGGATAAAETREGEAGYAAADADPMEDEAADEAGAAEPMEDDPPTSSPTPSAPSATAAVDDSTIARKRRRRKKAVPGMTPPAGVRVLRAAASTPSAAHLNGVPRRRGRPPTSSSLRLARELDAEALIALAAGFPADSLSEDEVAAAVLPRIGGVDQTNYLVVRNHVLALWRSNPLSPVASNAALTSIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSLPPPSVLIVGAGLAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAVEHPDIAASADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDNRVEAAFNQLLDKVCQLRQVVADNIPHGVDVSLGMALEAFREAHGVAAEQEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRVQYGCDGVMVYTDKQHFRGDMVLCTVSLGVLKKGDIQFVPELPAQKLEAIERLGFGLLNKVVLLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTSPAENVEKVLETLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVCDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVGSPEKMDVNDEVKFEIEVDSIDLDDLFRTPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVANLAAMEGDERRLSTLYRDFGTKLMGLDGLGDSGSSLIYRIKAAARK >OB02G39970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23683285:23689768:1 gene:OB02G39970 transcript:OB02G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSAGGSSPAAHATAGGGGIPNHRTRFGDTTLTKVFVGGLAWETPSKGLHDHFQRYGEILEAVVIADRETGRSKGYGFVTFRDPESAQEAVLNPNPTIGGRRANCNIASQGPPRPSSSPSRGRAPRGSHFPDQPHIGPHPYMGGRMPPQQMTAPPQPMYYHPQYWYPSDYSYQHPYQQAVYNSQVLPHYYPQLYGPNSPSTPSYQLMGYMPGGPGPRTGYSPMQQAARPPFVQQPTAQFEGSFPPGPSLPPNFRLQLPPHAVSRQPDDTAGAQSAPPVSASAAPTPTTTDNQEASKTVGPN >OB02G39980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23691566:23695238:-1 gene:OB02G39980 transcript:OB02G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LH81] MSSQSQTRQPHAVLIPQPVQGHITPMLHLAKALHARGFRVTYVNSEYNRRRLLRSRGPGALAGAPGFRFEAVPDGLPESGNDDVTQDIAALCVSTTKHSAEPFRELLVRLNSTPGSPPVSCVIADGVMSFAQRVAEEMGILAVVFWTTSACGFMGYLHFAELIRRGYVPLKDQCDLTNGYLDTSIDWIPGMQGIRLRDVPSFIRTTDPDDVMLNFDGGEAQNARKARGLILNTYDALEQDVVDALRSEFPRVYTVGPLPAFAKAVAGEVGAIGGNLWKEDTRCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLASCGRPFLWVIRPDLVSAGEKAMLPDEFAGETKETGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMICWPFFAEQPTNCHYVCDKWGIGMEIDGNVSRTEVARLVREAMEGERGKVMRVNAMMWKEKAKEATEERGSSSKNLERLIEFLLSGSDAAR >OB02G39990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23701338:23702985:1 gene:OB02G39990 transcript:OB02G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LH82] MGSLGSAEKPHAVCIPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGEAAVAGIPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKETCLGPFRRLLAQLNDPATGHPPVTCVVSDVVMGFSMDAAKELGLPYVQLWTASSISYLAYRHYRLLMERGLAPLKDAKQLTNGYLDTPVENVPGLRNMRIRDFPSFILTTNPEEYMVGYVIEETERCKGASAVIVNTFGDLEGEAVAAMEVLGLPKVYTLGPLQLVAREDPPTARSSIRLSLWKEQEECLQWLDGKAAGSVVYVNFGSITVMTNEQLVEFAWGLANSCRQFLWIIRRDLVKGDTAVLPPEFLAETAGRGLMASWCPQQEVLNHPGVGAFLTHSGWNSTLESLAGGVPVIIWPFFADQQINCRYQCNEWGVGMEIDSNVRRDAVAGLIGELMDGEKGKEMRRKAEEWREKAVRAAKPGGSSHRNFDELVRHVLLAKDQ >OB02G40000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23713315:23714336:-1 gene:OB02G40000 transcript:OB02G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIVMVQFDSAPLPLLHHFTPINRLNSTQEGEANEASLFSATSPTTHTCSWLQRDGLMAVSSPQRALLVAMILVSFLLGAATGIRSTTLSSSQNLAEDKSRLGSTPPSCHNRCNACNPCTPVQVAALPGVSRPASAADRAEVAGFKQYSNYKPLGWKCRCAGRLFDP >OB02G40010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23725154:23726080:-1 gene:OB02G40010 transcript:OB02G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLLIVAVLLSMVQLSMGSRRLMELYIPPASDRLTYHHGSVLSGDIPVSILWYGKFSPSQKSIIADFLGSLTGAPNAATPSVGQWWGTIEQLYLSTAAKNGQTSTRVLLDKQVSDEQCSLGKSLTLAQIDQLAASVGSKKGGIALVFTDEDVTVEGFCSSRCGKHGSDAGTGTTHIWVGNSATQCPGQCAWPFAQPVYGPQGAPLVAPNGDVGVDGMVMITASMVAGTVTNPYGDGFYQGAQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANGRKYLLPALYNPATSSCDTLV >OB02G40020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23725806:23726132:1 gene:OB02G40020 transcript:OB02G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHHCPTDGVAALGAPVSEPRKSAMMDFCEGENLPYQRMDTGMSPLSTLPWWYVSRSLAGGMYSSINLLLPMESCTMLSNTATINSENEAMDREKNGALSWDRASAT >OB02G40030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23729773:23730717:-1 gene:OB02G40030 transcript:OB02G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAMALALLVVVSMAQLSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGVFTPAQRSILFDFLLSLSVAPYAAAPSVAQWWGSIDELYLSKAEVQTNSNGQSKKSRVLVVDQVSDIKCSMGKSLTLAQVAALAAQTKPKKGGIALVFTAQDVTVDGFGMSRCGLHGSDAMSGTTYIWVGNPATQCPGECAWPFHQPVYGPQGAPLVAPNGDVGMDGMVMNLATMLAGTVTNPFGDGYYQGSRGAPLEAATACPGVFGSGAYPGFAGELKVDQATGASYNANGANGRKYLLPALYNPSSGSCKTLV >OB02G40040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23743430:23744017:1 gene:OB02G40040 transcript:OB02G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHKNHKHYSFFNRNKICSYAVYTPVYHISSGITPKLNRLTWQDRTEPEAGISSRGLHWRCSSPPSRGPPPGSRRSRGRRRCRRPPGTPSRPPAAPPWDPGRTRHRTGWSRRRRPYWRCSSPCRPSRRRRWAAPAPASEARRPAGGTATRATRTGRGTAWRSCRPRRTPCAPWRLRRACRSGSCRTLPRPRPPR >OB02G40050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23743744:23744502:-1 gene:OB02G40050 transcript:OB02G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLMSHAATVLVSVLLLCSAHQSVGARRLMELYKPQPSDLLTYHNGTVLQGDIPVSVIWYGRFTPAQKAGRRLRLPPVAHRRLASADPVRVAVVEHHQPALPVQGGEGQERRRCQDHHAGEARRPTVRRPVLAREKPHAVQAAGAGGEGQAQEGRDRGAAHRGGRGRGRVLHEPLRHARLKRQGAHGVRLGRQLRHAVPRPVRVARVAVPPAGLRASDAGAGAAQRRRRDGRHGDEHRQYGRRRRDQPVR >OB02G40060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23747540:23748311:1 gene:OB02G40060 transcript:OB02G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPWALYFSWALAVVTGELCTNGTTKPTRTVVRKLRQCGTSKAVVIHPTFKTRMALAHATCHPPIPNVRVPPCLPSASCFKPPPREANLLLPTPAVPTLLIPPAIPKLPMPLHRIRPLSYCIRCASFRGRTVRCLLSNSWEQAGHANPAGQ >OB02G40070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23748542:23749477:1 gene:OB02G40070 transcript:OB02G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAVAACLLACALLFQTCVASRRLTALVQEQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRAVITDFVSSLSTAPAPQQQPEPSVASWFKTAQKYYANSKARFPALSLGSHVHDQSYSLGRHLKDRDIVKLAARGSPSRAINVVLTSDDVTVDGFCMSRCGTHGASPRSRAGRFAYVWVGNPASQCPGQCAWPYHQPVYGPQAAPLTPPNGDVGVDGMVVSLASMIVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >OB02G40080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23763631:23764327:1 gene:OB02G40080 transcript:OB02G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGEYRCWEELLPDALGLIFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSRRRPXXXXXXXXXXAPAAASASPASPATRSSPSSETTLEFRLNFTEAHGATS >OB02G40090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23764640:23765051:-1 gene:OB02G40090 transcript:OB02G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAMARASSVWHALPATSVGCITRRSPTRDLQFLPNASRARAPILVQLLMSRNVTLGSLGATLSTAASLISLRGISKVLSARALAETQQQNQHR >OB02G40100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23764980:23765423:1 gene:OB02G40100 transcript:OB02G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTDVAGKACQHDRRGTRHRLHHAEAPAPRDGVHGHRDGGGAGHPRAVPRPPVPRPPRLLGRRRQVPAGEAPRPESARPRRRRLLREQLSGGVFRLLRRLLRLLVGAHGRRRRRRLLRRRERRRGHMGRRPGPREPGGEVLRWRLQ >OB02G40110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23771225:23775484:-1 gene:OB02G40110 transcript:OB02G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >OB02G40120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23775506:23776955:1 gene:OB02G40120 transcript:OB02G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARDLIGSKRMGLKRAGYFTGPVDIGPSESVIREAQDEASHRITEGGSPAPSGTFPSRASSLACSCGFRKLLNSSPRFAAVISSILYPLGGAILSARIQKRSSVTHREQRRAMASIVASKRIPLFRLVEQLLAASPAPGCPAYSPGPGAVAGGSRGYNTGAQLRRYERDESDEDGGREYETRRRTGDTPVPAFFSGNVFRDPFGAPQSLGRLLSIMDDLASPAGRATATLRRGWSAKENDEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEADEGAAPPRYSGRIELAPEVYKMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >OB02G40130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23779504:23787277:1 gene:OB02G40130 transcript:OB02G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKSGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSVHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMALKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLSQMLQDDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILIKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRVINFFGSWKRFKFQREEEDMDGFDPSGVIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENSMEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQPVKPLKKEISSTSVSLLEEGSSEQRSNTGAPVSSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPAGLPVDYLDDDDDDNTGDMDLNFQDQ >OB02G40140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23788317:23788538:-1 gene:OB02G40140 transcript:OB02G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHACSEARERDAKMRSSACAAVNSFLTSIVWLARNSRFVDVCFFFLFLRLFWFISLFDFGLSCTGLKQNDI >OB02G40150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23787655:23788761:-1 gene:OB02G40150 transcript:OB02G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHERVLLDGDEVASVVRRMRERRQARISFWTKLLHATLGGGGGKKEGCSMAHSKALDQERSTTAAAKWILF >OB02G40160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23795389:23796360:-1 gene:OB02G40160 transcript:OB02G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSAGASCLGMVALLLVACASSAAATSYTVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGAAPTSYTVGDASGWTTGVDYTSWAGSKPFKVGDSLVFKYASGAHTVVEVSAAGYLACAAANALGSDSSGSTTVALKTAGKHYFICSIPGHCAGGMKMEVDVSGSSGGGGGSTPSSPTPTTPNPSTPTPTMPNPSTPTPTTPYPSTPTPTTPYPSNPTPTTPYTTPTTPSCPGGAGVTPVTPGTTPFMSYNGAAGLGPVALATIGMVCIAVFVQLGLL >OB02G40170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23803728:23806045:-1 gene:OB02G40170 transcript:OB02G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCAATYNAAPSLGCGVVDMDEFEENGGVVLQEEAAAAAMHGGAGGGTSAWVNAATCARSIASGAAVEEFWDERHFPVSPPALSRTXXXXXXXAKAAARRRRRRPKVVKNKEEAESQRRNHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVAGAINFVKELEQLLQSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGGGAAVPRPHRAPPQRHHHRRPFGPLFLQSQDGG >OB02G40180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23807693:23809014:1 gene:OB02G40180 transcript:OB02G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKIPDSAGRARRCWMTLLMVLACSARLGGSVKKPAGDDAGVVLAPLRVQLTVLGGMCRQQGQVIGERRCDGTGDSDESGKKKGRLAPAGTAPVHRQSFNGRSAYS >OB02G40190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23819584:23820698:1 gene:OB02G40190 transcript:OB02G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTMKYAEAKALRVGKVTGAALECAVCLSEFDDDEMLRFLPKCSHAFHPDCIGQWLASHVTCPVCRRNLDPNKDTTEELIIPAATAAAARETNSTSSEIVVVRQEDGVHGAALVIDVVTEEDEEERRKEELELQEIGTQLRAMRSRSGRQRPKTATAKLLRSHSTGHSLAIRLDRDLERFTLRLPEHVHREMVAAGEKSVRHGRRVAEGITLGASCSPRFARSSRWPSFLPSSLSGKLSFLSPSSRRTPDSTQMEVSSSSSSSATKVKGKRVAAIDVADGSAHATAHPGCTVASSAAAVAVDVEKAATRQVRT >OB02G40200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23820359:23820580:-1 gene:OB02G40200 transcript:OB02G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRFPFTFVAEDDEDDDTSIWVLSGVLLDDGERKDSFPDKELGRNDGHLLDRANRGLQLAPRVIPSATLRP >OB02G40210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23822560:23824215:1 gene:OB02G40210 transcript:OB02G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73400) TAIR;Acc:AT1G73400] MACPHLALRLRRLLAAAPVSLLAGAHSTKHSSPPLNPSTLLTPRRRHPPILPARRLYSTTHVVLPTNLQDERFGVLSDRIYDAVIETAEGSSEGTEAALDALHAELTTPLVADVLHRLRYEEKLAFRFFVWASHQDGYEHEAAMYNDVIDILCGTRYKARQFGVLCDVLDHMKRHRTRSVPVDDLLGILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDGFCKCGMVREAEAVFSRVKRKLLGNAETYSILFFGWCRARDPKRAMKVLEEMIEMKHTPENFTYNSAIDSFCSAGLVSEARELFEFMRTEGSTISSPTAKTYSIMIVALAKAGQMEECFELISDMRKCGCMPDVSTYKDLIEGMCLVGKLDAAYCVLEEMAKAGFPPDIVTYNCFLKVLCSLQKADDALELCERMIDAHCEPSVHTYNMLMVMFFEMGEPDRAINIWIEMDNRGCHRAVDTYETMIDGLFDSGRTEDATSLLDEVINRGMKLSYKKFDAIMLQLSAVGNLGAIHRLSEHMRRFYNVAMSRRFAITQKKKSIGLRRK >OB02G40220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23826722:23826892:1 gene:OB02G40220 transcript:OB02G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIEHFGYHPSRRCRRNTLNLRTREQMGKCAGCRRVFMSSEVNMAACGWKDLCAK >OB02G40230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23830712:23834111:1 gene:OB02G40230 transcript:OB02G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGAEEADSPPSEMSEDEDGGGGGGDRWMPDLRGGNGGGGGGCGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTISNDDLALVAKSFPLFKELSLVCCDGFSTQGLAAVAERCRYLRVLDLIEDYIDEEEDELVDWISKFPESNRTLESLVFDCVSVPFNFQALEALVARSPALRRLRMNHHVTVEQLRRLMAKAPQLTHLGTGAFRSEPGPGGALSVTELATSFAASRSLTCLSGFRDVNPEFLPAIHPVCANLTSLNFSFANLTAEELTPIIRNCVRLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPFDATEDSEGSVSDVGLQAISEGCQKLESILYFCQRMTNAAVIAMSKNCPDLVTFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQSVFEGCSRLQKLEVRDSPFSDKGLLSGLSYFYNMRFLWMNSCRLTMRGCRQVAQQMPDLVVEVMKDHPDDEGEMETVDKLYLYRSLAGPRNDAPPFVNIL >OB02G40240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23834256:23834495:1 gene:OB02G40240 transcript:OB02G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMGESHRRMYCLLRIIFHLRANHYCCVVSQNPKLPPIINNKQGVFFITTMVLTYTFFIIKKLHFRSLAFPERLATM >OB02G40250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23839177:23841185:-1 gene:OB02G40250 transcript:OB02G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin protein family [Source:Projected from Arabidopsis thaliana (AT1G77180) TAIR;Acc:AT1G77180] MASLKELLPTPKVSASTFYDHSSDPWFKERYGGESAQSASAAAAEKPAGPAKASAAAAEKPAGPAKPVPPYGKRGGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDEKGGSKILALTVDAKGSIAFDAVVKQGENASKIVYSKHSDLVPKIATADSEATEDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPSSAGFPASGGRGAVDDRDEDMDLEQPREQRRESREEKEARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGTMKASGGSSMRDDYEGGGSGRSRINFERGR >OB02G40260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23840630:23840994:1 gene:OB02G40260 transcript:OB02G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSPCFTTASKAMLPLASTVRARIFDPPFSSRRPMPSGYCATWISGKAPPSPKSSGRRGTNPPLFPYGGTGLAGPAGFSAAAAEALGPPVRTHPSSRTAARAWRGPRASPPPPRKPSGRRG >OB02G40270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23842697:23844433:-1 gene:OB02G40270 transcript:OB02G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYHLLAAVCPVSPLPIEHCILNLPSPVAALPAAAVQLEWRTLPARPVPVLGRRRTVLDPFILTSIHGTSWPGLPGCNEGCLAPRIKLHRKAPRRKPGNDVDGRAGQGWLDLCSSCRCDEQSSEKTDLELFVARRQGLFSTAPAVAEKGEKLTILFVARDDDVRVRF >OB02G40280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23846737:23849035:-1 gene:OB02G40280 transcript:OB02G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSYDCAASVLLCAEDNTAILGLDGEEEEEECSWAAATPPRDAAAAAAATDGFLMDFPALSDECIAALVEREEEHMPMEGYPERLQPRPESVDLAAVRRDALDWIWKVIEHYNFAPLTAVLSVNYLDRYLSENGLPEREAWMTQLLAVACLSLAAKMEETFVPLPLDLQVAEAQFVFEARTIERMELLVLRTLKWRMRAVTACSFIDYFLNKFSDHGAPSLPALSRSTDLILSTAKGVEFVAFRPSEIAASVALTALGECRSSVLERSITSCKYISKEEVLRCYEMIQEKITLGNIALKSAGSSIFSVPQSPIGVLDAAACLSQQSDDTTVGSSAACYRSSSASKRRKLSS >OB02G40290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23860468:23862815:1 gene:OB02G40290 transcript:OB02G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVSTVASTRATAPLSFASFHHRRRAAPSAVAVRHSGGRRPPPPAPPPPGGGRRRLLQARGWRCASAAVPDPVPSEEPSSASTVVVVTEDKPDPLAEEKSGDVAAVSNGGPLETAAPAPASSETAEEDGGLDDILSKLDIQVTPTYVLYGSGAFIVLLVLSSVVSAIDSIPLVPKVLELIGTGYSIWFTSRYLLFKESRDDLFAKFNDLKERII >OB02G40300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23862989:23866055:-1 gene:OB02G40300 transcript:OB02G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33735) TAIR;Acc:AT2G33735] MWWECEDDGEEAARQREEVPVDFDFVSLLRKPKDYYKILEVEYDASEEAIRSSYIRLALKWHPDKKLGEENATSRFQEINEAYQVLSNPAKRQEYDKIGILYVQDQNVVDYLNRHKGLILTCNGLGIRHSVW >OB02G40310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23869685:23880505:1 gene:OB02G40310 transcript:OB02G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSDTEEVEVCSGRYSVDSSPRREDILRRTAMPQYRYATAPGVQSYYSSDYSDLSSSRDTALPRAKAQQLRRPQEQVGRYVEEEEYSDSAGSSEFSSQVETRSNGVASRGGYASEYSHNGPARREANNAGPKTRMAAGENYSRTVPLNPRTYQRENYSAHVPAQDNVKSSQMDGLSDVPSAPPIHAYDQEISQASSHGVNANICDDSTVKKEEYNDDNMEPNLPDKSERSTLNPAHSSKPSSSIPLRVPTLHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECALLRNTFCLQNVLLQSEEELMAKRTAELVSNGVASKPKKTIGKMKVQVRKVRMSVDVPSGCNFSSLPVVKLNSIRYRLSNVQSTLSSGWESVRRIQTFPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYYCQLRLKSLPEDDVVPMQPGSGETHVFFPDSIGDDLIIDVSDSKGRPCGRVVAQVATMAEESTDKLRWWSIYREPEHELVGRIQLYIHYTTAADENNAKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGSWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPVLMKTQGTTALSHQENRILGEVEEQIEQTLAMVFENYKSLDESLPSGLVEDFRPPTGLAASALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAMIESLERQYVEVLAPLKDCIAPKKFGLKYVQKLTKRNSVGPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCIPNGGNTAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKVIQDSKELVMESDIRSRMQALKDQLVEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKDLEPPRSIMEVRSILCKDAPRQKNSTFYY >OB02G40320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23878975:23882512:-1 gene:OB02G40320 transcript:OB02G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LHB5] MGFEKQILKAGTGPTPVKGQKVTVHCTGFGKDGDLSKKFWSTKDPGQEPFSFNIGQGSVIKGWDEGVMTMQLGEVARIQCTPDYAYGAGGFPAWGIRPNSVLIFEIEVLSAQ >OB02G40330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23884792:23885334:1 gene:OB02G40330 transcript:OB02G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHITLRELLMTGDQSVDLVLDFGYFEKDGDRVGFADRALKDGGIFAAPIGSTSAFHLPPNYRVAYIRRFTETFVGIKKVARTGDNGIAGARMGMAATPALKEGVLAFSAQTADTAGAQLKNFSRKLLLPDIAGASAAHAHQGSLKLRRRPVIAVDSPDTRNADELQPKHTLILQVKSVY >OB02G40340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23885860:23887997:-1 gene:OB02G40340 transcript:OB02G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIETHQRRITQAIMDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKRMFLGSVSNYCINNVTCPVVVIKGT >OB02G40350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23896714:23902751:1 gene:OB02G40350 transcript:OB02G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVIDKYNTHSNNLGKAEQPSLDLNLEHSKYANLNEQLAEASLRLRQMRGEELDGLSIDELQQLEKNLEAGLHRVMLTKDQQFMAQISELQRKSSQLAEENMQLRNQVSQISPAEKQVADTENFVTEEGQSSESVMTALHSGSSQSQDNDDGSDVSLKLGLPCGAGAWK >OB02G40360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23904301:23908230:1 gene:OB02G40360 transcript:OB02G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGVGEGEGSTAAAAEMVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGASVATGTPLHYKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGPNFGDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGEADCPTTDIVIVDCGELPEGGSDGVVNFFKDGDLYPDWPNDLDEKPTDISWWMNAVDSAKSFGNENFKKKDFKAALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREAEGNPKAFFRQGQARMALNDIDAAVESFKHALQLEPTDGGIKRELAAVKKKIADRRNQERKAFSRMFQPSGGSEKIDEENI >OB02G40370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23908707:23912147:-1 gene:OB02G40370 transcript:OB02G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33945) TAIR;Acc:AT4G33945] MAIAISQDAFDAMVRENMEDLGMDPDEALADAVDALTLQGADLSGIIKRVPGEAAEAEVSPVMRVLDELKASAAANDSASGRSEEGIDMLASLLDELRELCSGEGLENAAVAVRNGAVEALVALCASAGVKQERLLASGFKALSSLLRDVGSTEKFRQSEGPQVVMDILKGGSRSSDILESGFRVVAAASAGNEVVKECFMDLNVDELILQAMREESNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAETGIAVVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLQCIDEASEQKNKVIARSCCSLLSKLAGSDANKTTIIERGGFDRFLKLTSRFSEDPPVIQEVMSMVTILALRSPENAARAMGAGYGTLAIRAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNNGVEKLIRKAKVMHASCKDAATSALRDLGVDNYNS >OB02G40380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23915195:23916493:-1 gene:OB02G40380 transcript:OB02G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLPASPPQDDFRFDGPMFTAFPEAAPEAGTNPFASTDAMGDSNPFLATAVTAPPSPNPFELHQSASPGIVSGAADPFDLFQHFTSAPASPARAAAIYAQFDSSVGDGSGPDKDRAVVDDDDDFQPRASYSTAASTVPFDWEEKPGKPKTELACAAASAANGEVDDADFDFGVLLDKNVQVAELTTADELFDEGKIRPLKPPPGLLDGGSVASSPRSPISKSSMWSPRLRGLVGSGADFDPFAAALAKAAKGPSPLGAGAKDAADAGTASSPRKPDPVSVSVTSPRSIPPVTMINGGRKKWRLSDMLLFRRSAAKARATGNISKEPVFKYSPVQQLGSGTPVKKATAAEPVAANGDVSAGKHKKQSKKVAAAVEDGMASPHRHSVMGCAGLNPGLHRLAKGFNGSSLHFGHRRTARRPVSDEQVKIHGG >OB02G40390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23918581:23927251:1 gene:OB02G40390 transcript:OB02G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRNSGRRLPPRCRRPRPVVQAAASSSSAPYRPSFSSRGRSGGARLLAAAAPLHCSGRYWPLTAPRLARRLSAPAVSTSPSPVPQDTDDVHEYAAKLGFEKVSEQSIDECKSTAVLYKHKKTGTEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNLMGRVSQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRILSEYLDQFEASPAPNESKVWPQRLFKEPVRIVEKYPAGQEGDLKKKFMVCINWLLSEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFILNNAHRVTVEMKPDPEKASRDEAVEKEILKQVKASMTPEDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKDHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSIRGKEDPLTHIIVRGKSMATRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNTAGWIAEQMGGISYLEYLRDLETRIDQDWDKISSSLEEMRQSLFRKDGCLINITSDWKNLEKSNKHIAKFLDSLPNTTSPGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTIEVYDETAKFLRELEMSDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLRITEEERQQRREEILSTSLKDFKEFADAVETINDNGVVVAVASPEDVEAANKENPLFSDIKKCL >OB02G40400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23927223:23928215:-1 gene:OB02G40400 transcript:OB02G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSKGGQGWSPFDAIRSFPSTPETLMSQIDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGWRWRAARRRRPRPWRRCGPCSPSRPRSCTSSSTRRSSSSCNAASGS >OB02G40410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23931808:23932116:-1 gene:OB02G40410 transcript:OB02G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFWFNYLIRSNQLVLISFLVLKVKNLQKIFKRAEKRRKELPLELKQKISYEIVQLLHNLGDNSSFAQQRGIFTFTFSYLVSNQEIYKSTVLCTAEWPLRFGI >OB02G40420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23933391:23937534:-1 gene:OB02G40420 transcript:OB02G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3LHC5] MATPSSPRLLSSFLGDRLGALSARPLLHGTAPGSRRAAYQATRTLCNLVDILFNRGQSDRPGNNPRRLQPGKVFPRLSVPNHIQRPPYVNTRQRPGLTNGPEIHDERGIECMRTSGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDNRAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDKAKKLVQVTRECLDRAISICAPGVEIKRIGQTIQDHADKFKFGVVREFVGHGVGRVFHAEPVVLHFRNSEWGRMMLNQTFTIEPMITVGSINPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >OB02G40430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23940763:23945763:1 gene:OB02G40430 transcript:OB02G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSSSDVEASLLAHLNSGGEVPDSRSYASSLGVTHGELGDVIKSLSAFRIVETAEITKETWVLTEEAKGYAASGSPEAQLVAAIPPEGASKDVLKEKLGSIFDIGMKTAAKNKWIGFEKGNKDLVFRKVDTINDELQEQLKRLESGEVLSDKMIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKEYNFGAQGQPIAIGYVQPLLEVREEIQNIFLQMGFSEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLEAPAATKQLPEDYLEKVKEVHQCGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPQGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >OB02G40440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23946228:23946734:-1 gene:OB02G40440 transcript:OB02G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:J3LHC7] MAALPLATAEVCDANAHLIMNGELRALHPIFQIYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >OB02G40450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23953647:23954153:-1 gene:OB02G40450 transcript:OB02G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:J3LHC8] MAAMPLATAEVCDANLHPIIKGELRALHPIFQIYGRRRVFAGPVATVKVFEDNVLVRELIEEEGHGRVLVVDGGGSMRCAILGGNLAQWAQSRGWAGIVVNGCIRDVDEINGCDIGVRALSSHPMKSGKKGAGEKHVGVAIAGTRICDGEWLYADADGILVSRTELTV >OB02G40460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23956662:23957168:-1 gene:OB02G40460 transcript:OB02G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:J3LHC9] MAAMPLATADLCDANLHPMIKGELRALHPIFQIYGRRCVFAGPVATVKVFEDNVLVRELIKEEGHGRVLVVDGGGSMRCAILGGILAQTAQRNGWAGIVVNGCIRDVDDINGCDIGVRALNSHPVKPGKKGAGEKHVAVTIAGTRICDGEWLYADADGILVSKNELAM >OB02G40470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23962195:23964573:-1 gene:OB02G40470 transcript:OB02G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G02820) TAIR;Acc:AT3G02820] MAAAAPTGCYKCGRPGHWSRDCPSAAPGAAASTDNLNPNPKPSASRFAPYPRPRFGKTAPAAADGEEGSGGQAQSKKKKKERATRPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNRVRRCISELRERVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEAPVTENHSVEQMEEDIDPPVGINDVDPTQEDLLNEIYEKAAVEPQIPAVGGRAEDAPQAMAPEEANPQRDPPRETQNQPAKIHLTEEQRARMEANRLKALERAAAARARASQPA >OB02G40480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23965097:23969311:-1 gene:OB02G40480 transcript:OB02G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:J3LHD1] MDSTIEGVRQPPARAGSRLCTRCGERKAALKRPKTLEQVCLCPSHLLCSCPMFRDKPICRECFYIVFEDEIHQTIVDNNLFKLGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCELCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGVAGGDGDSDSDQQAKRSGRSRSSLQGKHGNVEF >OB02G40490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23977516:23979289:1 gene:OB02G40490 transcript:OB02G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWHLISGGDASGGRRERVGENPKRTSHSHTYPTSAAVQEEGNGQRGDGGGAGGGRRSSTQMGKYMRKFRGATGEEVAAVEVTQVVGVRTRSRSAAATRGAVATKVQGASTRRRKALLPAPVGSAMGALRDSGSCYLQLRSRMLFMAPPPPPPTARAPAVEAAPPGAARGAPSTASSVDLAAQDRSLACRSDVAEAGGEHVHESSASDSGSGHDRERRESTPSSFLPGEVSDLESDLAGQKRSRPLPSATASTHQEATRPRMPPAAEIEEFFAAAEEAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >OB02G40500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23983028:23983273:-1 gene:OB02G40500 transcript:OB02G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARDREGQWSASASTRHGPSSCSGLAFQIFFLDWLSSFSTLRPDTHDCIIISFGDQKTIGINGWEYTVLVYIGSVWQNFSS >OB02G40510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23988596:23989686:-1 gene:OB02G40510 transcript:OB02G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPVQNRAMNLRCQEEDVNFEFSVGSHPMMAADQLFSKGRILPLKEGASPAGRPPTTLRDELRGGGGGDDRASGKGSSRWKEMLGLRKPLCVGGVHGAAKKSTAVVDAEMATDVSESKQEL >OB02G40520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23994794:24004280:-1 gene:OB02G40520 transcript:OB02G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD54 [Source:Projected from Arabidopsis thaliana (AT3G19210) TAIR;Acc:AT3G19210] MPSRSKCNRVADEEEEDEEIVAVSSDADESEPESAVGSGAEEEEEEDDDDYVGESSDAGGGSGDGDEEGGSGDIGDGEDGGGRVRSARRGVTAPDLERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLSRRLSARKRFVPWGSVQPFAVTNILPRSPAVASDDSVEKEETLPPGIEPLILWQPEGCDKENNNFSAIEVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGIAGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRVLLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLTSLQTTLYNHFIHSKNVKRLISEETKQSKILAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGEGMWVELSGKMHVLARILGHLRQKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMKGSSLSTEDLRDLFTFHEQVSSEIHENLKCNRCSKDDCMVLDGSEFESAATEHEAFNSGGKDYVDIGGFGEISGCVQKMKSSNQQIGRPSEEDLGSWGHHSDPSTVPDTILQCSAGDEVSFVFTNQIDGKLVPVESIARPATHRTNEMTVSAEKDVGKINPSNVTGTEKQSLLGKKLKMMGFNLKHSSIKCPMKSRRMLPNCLQGLSKTSLSSDHQ >OB02G40530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:23992066:23992220:1 gene:OB02G40530 transcript:OB02G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKAVQVADVTGRRPVVAFLPWIRDQPRLQRLDVEDLKNTPDDPRKRPS >OB02G40540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24005070:24005372:-1 gene:OB02G40540 transcript:OB02G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDVSGGGRIGREELERALRSLNLWFAWWKAREAMREADANRNGVVDRDEMPRLYAFAQRHLHLKMNELEDVASY >OB02G40550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24009158:24016995:1 gene:OB02G40550 transcript:OB02G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKYSAGAPPPAPQPPAPYQQAMNTSRPGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQIADIVDKGTCPKYFDLFTGSALVDLVRDSAACLASGTVYALICASTAMGCLYSCFYRSKLRAQYDLDEGDCPDFLVHCCCEYCALCQEYRELKNRGFDLGIGWSANMDRQRRGVTGGASVMGAPAMPVGMLSINIKNNKSFLKFFYNKRPTREGERQAAARPVLCIGHTSSSRAPSISSPTRSLQRFDPLASEEWEERSRHKRASSKRTAPTTDDFGIKHECLIEKQSKQADADADEEMDVKRTRSPRAPGVDADEDKKRAAAAEWRGAVRPQMVLVGFLITLPILVFVFGGRWGSFPTSSSSATSAPSVGGRHVVPGGGTTKQKTEAPKNVTARPTATTSLSQDRLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPPSPYLVKKLRKYEAYHKKCGPGTKRYRKAIEQLRAGRNVDGAECKYVVWFPCNGLGNRMLTIASTFLYALLSDRVLLMHVAPEQEGLFCEPFPGSSWVLPGDFPHNNPQSLHIGAPESYVNMLKNSVVRNDDPGAVQASSLPPYVYLHVEQFQLKLSDNVFCDEDQLVLNKFNWMILKSDSYFAPALFMTPMYEKELEKMFPKKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLMRCIREQRLLPELGSAEPTNTTTDAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNKERSEVACVRSTSVEPCLHSPPILGCRAKRDVDVAAVKPYVRHCEDVGFGLKLFDS >OB02G40560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24020815:24029580:1 gene:OB02G40560 transcript:OB02G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRSLHSLSMSARINHQSSVAAAPPAPEAQEDNVTASTRHDHEHDRLLGGLLSPAFDDHSCRSRYASSLYRRRSPFRPSTYLVERLRRYEARHRRCGPGSALFQDAVEHLRSGRNTAGSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFAGSSWTAGKISNSTAEKNLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVVKSDVYFAAMFFLMPAYERELERLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLASADERVGLQVRVFPEMPIPFDNMYGQIIRCSEQEGLLPKLARSTVAAANGSSPGGSSKLTSVLFSPRVRVAHSTCLNAKRIMTTRQGHFQPSSPAGRAAEHEARRGRLRRAAVLAALALCSLTLIPSVLLLHRRRSSSWPDWLLEHKQDIQDNLPNDLTPSQYIGYDKFLGGLLLDGFDEVSCQSRYQFARYHKNSTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKQLRSHQDINMTTDCNYLFLTIHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIDDLFCEPFPGTSWLMPSDFPLNYGEFTQSTPESYGNMLRNKVVGGNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQGVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNNIWYSVTRYYRSYLAKADKTVGIQIRIFEKEGILQKNGRFPHVLEQILSCAQNEKLLPEISMTGEASPVTKNNRTIAVLTTSLSSWYSDQIQKRYSEHPTVDGTKVEVYQPSHEEYQRSKNKKHNMKAMAEIYLLSMTDVLITSGFSTFGYAAQGLSGQTPWVMFRSESHAVPDPPCRRAMSIEPCFHQAPFYDCKAKKNADLGKMVPYVWHCEDVSWGLKVVNQTHW >OB02G40570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24033637:24036513:1 gene:OB02G40570 transcript:OB02G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQRKPKACGESGAAAAARGGGGGGDLQQQHGDSWQLEAAEEALERSAPRKRKAAAAAAEARRWGSVAYVVLAAFAMAAPTAVVLLGARAGAPAVWIAASKALRRGSDDVPIPLARSAADKLLGGLLLEGFDEESCRSRYESSLYRRNPGRRPSPHLVARLRMHEELQRRCGPNTESYNRAVQRLRDGGGPADAHAPVDEQCKYLVTISYRGLGNRILSAASAFLYAVLTGRVLLVDRSNEMDELFCEPFPGTTWLLPRDSPLATSRANFSADAAESYGNMLRNKVIRTDGNDAPAAQTPAFAYLHLDHGYGDDDKMFFCDDDQRVLSNIQWLVMRTDSYIVPSLFLVTTFQEELDALFPERDAVFHHLSRYLFHPVNHVWGLVARYYRAYLAPARQLVGVQVRVFDHRQARSLHVVLEQITSCAWKEKLLPEILDVDLEHAGMPPPTTPAAGGNSFKAVLITSLRPWFYERIKGMYWERATATGEDVGVHQPSHEEYQHFGERSHDGKAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGLRPWVMYKPVNITAVPDPPCGRDVSMEPCFHSPPFYDCKTKRGVDTGKIVPHIRHCDDVSWGLKLVDPNGIED >OB02G40580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24040110:24041285:1 gene:OB02G40580 transcript:OB02G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNYLVWVAYSGLGNRILTMASAFLYALLTKRVLLVDGDKGTSDLFCEPFPETSWLLPSKFPIKQFRNFSNGSPESYGNMLKNKAIHSNPAFLYLHLVHDYGDYDKLFFCEDNQNYLRTIPWLILKSDNYFVPSLFLIPAYQEELTRLFPQRDSVFHHLGRYLFHPSNVVWGMITRYYDSYLARADERLGIQIRVFDHEPGPFQHVLDQVLACTLKENLLPETNSQQPIISTRNSRSKAVLITSLNSGYYEKFRNMYWEHPTKNGEIISFHQPSHEEHQSSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKPWLLFKPENRTAPDPPCRQVLSMEPCFHAPPFYDCKTKRGADTGKLVPHVGHCEDMNWGLKLVDQNEW >OB02G40590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24039874:24043249:-1 gene:OB02G40590 transcript:OB02G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 5 [Source:Projected from Arabidopsis thaliana (AT1G45474) TAIR;Acc:AT1G45474] MFHRLTASSKPPTKQRQRQQANSRPPKSVNASEFGILGFYPTSIQSPKKQHPKKAPLQLCTSASKEAVAAMASLAANCHGRVLHTCTLSPKPVTALRRSMAAMPGCHVVQSPRGARVAVRANAERATWLPGLDPPPHLDGTLPGDFGFDPLGLGEEPADLKWYVQAELVHCRFAMAGVAGILVTDLIRVSGISNLPVWFEAGATEFDFANTKALFFVQLLLMGFAETKRYMDIINPGSQAKEGTFLGIEAALAGSQPGYPGGPLFNPLGLNKDIENAHEVKLKEIKNGRLAMVAMLGFFVQASVTHVGPIDNLLTHISDPFNKNIIHTLYSP >OB02G40600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24047182:24047877:-1 gene:OB02G40600 transcript:OB02G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLERAGGGFHLPNSEREDSLFIRALISVVSGDTAVPALLPATAAACGGVGGELVLAAGSSSSDEGEEGEEEECSGAPAAASATGGVEGGVGKRRQRRRGAAGDGEGSKYRGVRRRPWGKWAAEIRDPVKGARVWLGPFDTAEDAARAYDVAALEFRGHRAKLNFPASSPAQRPRSLPVPDSLRENCGSNASSPVQHAPEHAPAARDKEIWDGLQEIMMLDDGTFWSMP >OB02G40610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24050511:24050759:-1 gene:OB02G40610 transcript:OB02G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQRSCATAMSDFLQFGTCIILGCDVLLFPVWELCIGLGKVNVFDISIQRKKRNSLASCQHSVRQVLRRSTPNTSRRLDSS >OB02G40620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24051849:24055630:-1 gene:OB02G40620 transcript:OB02G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNRLSTSFDELSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTVVLYRIILKKKLSEIQWVAFILLCAGCSTAQLNPSSDHVLQTPFPWIVGYVMALLSGFAGACTEGIIKKLPSRKINVQNFWLYIFGMLFCVVAICVQDDAVMNKGFFHGYSFIIVLVILNHALSGTAVSMDQW >OB02G40630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24056340:24058221:-1 gene:OB02G40630 transcript:OB02G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:J3LHE6] MASRQFLSLFVVVFLGLSSSLALGQVLMQGFNWESWRENGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVKVIADIVINHRTAERKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYSDGTGNPDTGADFAAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADVAKIYIDNTEPSFAVAEIWTSLAYGGDGKPDYDQNSHRQELVNWVDRVGGASSNATAFDFTTKGILNVAVEGELWRLRAADGKAPGMIGWWPAKAVTFVDNHDTGSTQHMWPFPSDKVMQGSAYILTHPGHPCIFYDHFFDWGHKEEIERLVSIRDQNGIHAESELHIMEADADLYLAEIDGKVIVKIGSRYDVEHLIPEGFQVVAHGDGYAVWEKN >OB02G40640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24065532:24066197:-1 gene:OB02G40640 transcript:OB02G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLRVHLASGAGEELVEKIGFVYQLNISPKRIGVDEEVFVVDLRKGVVSKGAYEGKPDATFFFTDDDFLAISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >OB02G40650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24066667:24069500:-1 gene:OB02G40650 transcript:OB02G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrite reductase 1 [Source:Projected from Arabidopsis thaliana (AT2G15620) TAIR;Acc:AT2G15620] MSPSISALLNSPRASSSAPRPLPSPAINNHTHRTHGHHHHRRHSAPPPPPPPPPPSPAMASSASLQRFLPPSPHAATSRRRPCPPGRARPLQSSTVSAPSSSSSSSTPAVSAERLEPRVEEREGGYWVLKEKHRTGLNPQEKVKLGKEPMSLFMEGGIRELAKMPLEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSFITGNFRGNPTVTNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKLGFNLLVGGFISPKRWAEALPLDAWVPGDDIIPVCKAILEAYRDLGTRGNRQKTRMMWLIDELGMEVFRSEVEKRMPNGALERAAPEDLVDKKWQRRDYLGVHPQKQEGLSYVGLHVPVGRVQAADMFELARLADEYGSGELRLAVEQNIVIPNVKNGKVEALLAEPLLQKLSPQPSLLLKGLVACTGSQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCAQVQVADIGFMGCLTKNSAGKVVEAADIFVGGRVGSDSHLADVYKKSVPCDEVAPIVADILVERFGAVRREREEDEE >OB02G40660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24072017:24074620:-1 gene:OB02G40660 transcript:OB02G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MRIHLSASALVARGRGCGVAVRARGQGATTPDPAAPAVATTAATEEDEVMEEERESEAESSLGGGSREDEATEEGRSKLPEREWVDWEDLILEDTVPLVGFVRMILHSAKYENGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRQKYPLYADSFILRHFRRRQDYRISDS >OB02G40670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24079828:24081181:1 gene:OB02G40670 transcript:OB02G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLLKQNSWSPDIERDEAWERRRGMHKGSSALRRVRSVTDDDLDELRGCMDLGFGFEAAGCPLCGAGRSRLVETLPALDLYYAVHGGGGVGEGCAAASPCSCGATSSEASEESPLGSPMSILSPGDPPETVKMRLKQWAQVVALSVRNRC >OB02G40680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24083884:24086669:-1 gene:OB02G40680 transcript:OB02G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRPQQQQLPPMTPLPLARQGSVYSLTFDEFQSTLGGVGKDFGSMNMDELLRSIWTAEESHAGGGGVAPLVSPVRPVSSNGFGKMEGGDLSSLSPSPVPYIFNGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNEELQKKQDEILEQQKNEGFGGCI >OB02G40690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24086491:24086742:1 gene:OB02G40690 transcript:OB02G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSSSMFIDPKSFPTPPSVLWNSSNVSEYTDPCLASGSGVIGGSCCCCGLPLPPGKSISKLQASNQTKTPPKIRDDQQRPD >OB02G40700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24088820:24090538:-1 gene:OB02G40700 transcript:OB02G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02310) TAIR;Acc:AT1G02310] MGSKRQVILPSLAVLAVAAAVLLSHGGHAGADAAAARPFARTSGTRFTVGGRPFYSNGFNAYWLMYMASDATADRSKAADVLRQAARLRATLVRTWAFSDGGYRPLQKSPGVYNESMFMGLDFVIAEANKRGLYLILSLVNNWDGFGGKKQYVQWARDQGHYLGSDDQFFTSEVTKQFYKNHVKAVLTRVNKITGVAYKDEPAIFAWELMNEPRCQSDLSGKTLQAWITEMAGYVKSVDPNHMVEIGLEGFYGESMHKNLNPGYTVGTDFIANTLVPAVDFATIHSYPDQWVAGASSDEQVAFMRRWLASHIQDAAAVLRKPLLVTEFGWSARSNGYAVAARDAYFRMVFDAVYASARAGGPCAGGLFWQVMAPGMESWTDGYEVVLERSPSTASVVAHQCARIAGLSPAGPAWQNCQLL >OB02G40710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24092400:24097377:-1 gene:OB02G40710 transcript:OB02G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRRRWGLAAWPIQIQRPRRRCACTASAATPRVARHREVGEEEEVVVVEGRGDGGESVEERGAASDSFSHSLRECQKQRQLRSEGAALLRSPATHELNGGGVGSIDLLVLSPRCLVGGNAGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGAGTNRRYGGSGLVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYATASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETAQDDRLQGLQGETSVISSPIIKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSHLPKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIVEWRKKTIETRAPSFDEKERESCISKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRSAQKKAQDMRSAVSVSEDQCGVRATKKASYLRRTGKSFSCCFTYHAC >OB02G40720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24101683:24107173:1 gene:OB02G40720 transcript:OB02G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPGGGMDVEAPARPPTTTATGAASASKARSPHDVLAETRASVEEVAARILAIKKDGAPKTELRELVAQMSLLLITLRQANREILMEEDRVKGETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPEEEFHRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLLDTIANQKRFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHYAAELLPTPLYIIYTQLLGQKEAFGENIEVEITGSTKDAQTFAQQQAKQENAGTLSNGDNRVDDEIIDDEEDAQRRRSRSRKNVVKEASNSVASYQLHPLKVILHVFDTEDSGAKRRKLMVLRFDYLAKLNIVCVGIEDSKGLDHDILCNLFPDDTGLELPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDHNRASRNADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTGQLDYLTKLKWPQIEHENAPWASRTPLCSLHSWSFTGIFPEPAPRSPLMVGAAGSADSDMERRSVTHWEETENTREDGELLAVAPAENTPNTSKMSHSEVSPEVRNHSRGLSLISKSATPSKLSVSHSFGRNEDDLDLLMYSDSELEEQTFADQEIEKVNLATDKSWDEYASREFTMVLSKTLNNGPKVMLEAKIMVSMEYPVRPPFFRLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPLSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGTDCSSSCL >OB02G40730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24105651:24106145:-1 gene:OB02G40730 transcript:OB02G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLEAYSSQDLSVARFTFSISWSANVCSSNSLSLYIRRSRSSSFLPKLCDTLSLDGVALLDIRDKPLEWFRTSGDTSECDILEVFGVFSAGATARSSPSSLVFSVSSQCVTDLLSISLSALPAAPTIKGERGAGSGKIPVNDQLCRLHNGVRDAHGAFSCSI >OB02G40740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24107731:24111089:-1 gene:OB02G40740 transcript:OB02G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGDEDGGGGAGFGSDFMVLRPDKGGLVDLFCLLWSCEVAENAAVDCPVGTVIAERRRRWALFVSLVAQMMLLWTKKPVALLGRATEYWMNLLNENGGSVFVLITHALQGKVKVPDRESINYRSCIGLLDTRIELDKKIKPEDRNYHAALSIMAAKLAYENELVVRTVVQKHWQMDFVGFYNCWNDFQEDYTTQAFMVADKAGAGSLAVVAFCGTKPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQRNGGWPENPTGAGDDGCRPFAYYAIRERLRTFLAENPNAKFVVAGHSLGGALAILFPTVLALHREDEMLARLHGVYTFGQPRVGDEGLRRFMDRYLSTPASRYFRFVYCNDIVPRVPYDDTALLFKHFGTCLYFDSFYKGQVTEEEPNKNYFSLLTVVPKYANAAWELARSFVIGYVDGPEYGEGWLMRLARAAGLLLPGLPPHAPRDYVNATRLGAAALEPLR >OB02G40750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24129226:24129790:1 gene:OB02G40750 transcript:OB02G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYEIPVLAKLLADGSEEKLPRLSPRLSVELVQKKVFAAFDQKTFTVREIFAVMSNANG >OB02G40760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24130538:24130807:1 gene:OB02G40760 transcript:OB02G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINLAKQYKNTYFRTSLVSISNLLILAGMLPQGTLILPVESEFSCQCVHSNYQTLLPSWEISSTACDVKCHFVFSPKLGMKNFHMAWW >OB02G40770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24129637:24132370:-1 gene:OB02G40770 transcript:OB02G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGDAEQAVPSPAPAAGDGSVVRRYAAAIGRAADARCVLVLLLSIGVLLLALFMLLPLPASKIIPDDDPGILPGEVQASFFLFKKRQQLISHVRMLQKDISREIGVPNTMVSVSMHTSKYKDSTYVKFGILSNPRNSSISAQSIIALRKNLIQLILEQSNLSLTPSVFGDPHCLEILGFPGGITVPPPPDGNICRTSLFNVTLNRSIHELRVQLKELESVLRFIMQLTPDEDLIVEMTNKNGSTINLPVTVQILIAPNDPSNCIQTYKLKQLAHIIIKSISKNLGLNPSMFGMIENLRLSPYLQSSIPSSAHSPAPAPSLLPSNPEHPESTTTKPYGSFSCPALERRQNGISHRRLLMISPMMVTRFDSWNVHTGRKIRSPLAKSVFLVAASRPK >OB02G40780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24132902:24136290:-1 gene:OB02G40780 transcript:OB02G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATSPALALAVAAAVAAAACLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTSEAGNYLACFWIDSAEKGTGISASLDWKIGIAAKDWDSIAKKEKIEGVELELRKLEVAVQAIHQNLIYLKAREAEMRAVSEKTNARVAWFSILSLSVCIVVSVLQLWHLQGYFQKKKLV >OB02G40790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24145088:24147562:-1 gene:OB02G40790 transcript:OB02G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3LHG2] MGVSRVMNDVKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRMTLVIFLKIMGLALLEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMERVRLRSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGASGGAGGAAGGGFLQGTIFIVVACVCWSGFFVLQSNTLQSYPAELSLTTLICLMGSVMSGAVALVAERHNTRAWLIGFDTRLFTCVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAIMGSIILKEEIRLGSVIGAVIIVVGLYALIWGKSADNVNPPASDAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXGGHVFDVETPPPANGHY >OB02G40800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24157750:24161764:1 gene:OB02G40800 transcript:OB02G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 6 [Source:Projected from Arabidopsis thaliana (AT5G09650) TAIR;Acc:AT5G09650] MFCLPSEGTLVPPSSTMVGNLTQSMEASFYSIILRPFKSSIKNISRTRTGLRYFLRDLKRTDALRYARLERYLKIRYEVFKKKLWELNGPYSSDCRRVLTTTALLRTAELRPKEQGLPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTFANTDVEGALGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIQETNESWEKLVKRNIPAGELSLA >OB02G40810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24164509:24164865:-1 gene:OB02G40810 transcript:OB02G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding HERRVRDLCASVWGDDLEPLAEGDDEAGADQPRTVPDAAAMLPVLTEMLDEYWKTDGRALSGVSLEKGLSLLGTEEARFIEGKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKGLGP >OB02G40820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24169491:24181165:1 gene:OB02G40820 transcript:OB02G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRVGGMARAEGLPEGAAPGVGVDLYAQARKALSLRTPFEGEGTAPRVPTLPARLVSWAGQSDARKKHKKIQPQDVADAEHPPPPATETSAKTGVWEQFEAYFRPVNLDDINMLTPNFPFSYGGLDSCILVPFLGTPKELLDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSEQSVEQGIHEVIVRQFISNKEHSEQSVEQGIHEVIVQQENWPLEVEQATNNAGIMSSKCEEEGEPSLNWLLGAKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEASSICDVCCLGESGTASNSMLNCNSCKVSVHQKCYGLHLVPDGEWLCTWCKDLESTARLKKDADSTSSIPCVLCPKEKGALKPFKGEAAQTAHVGNLKHVHLFCSLWTPGALVEDMESMEPVTYVGSIQENQRKLVCSICKVKHGVCVRCSHGTCRTAFHPICARESKHQMEIWGKFGHPSVELRAFCSKHSAIGYINSVERSNCASQQSPTEVRPKDANLITGKIPKLRFTRKNKDRFMNYEATSFNSSTLVKVETIEQASLPHIIKGSDSLAIEGMEMDADNLMRNSADIAVVLRKLIDQGKARVGDIASEVGISSESLEAALLGETTTFSHGLKLKIVKWLQNSQAKTLKGDSTVVHNSKPGRFEDTGGVNVKSSLVPYDEKGTTVDLSDSAVMKSSSRSKDNNKMVRDNKSICATGVTCLQNGFKKLAEAGTDDECSPAENCAKEHTQTFCPVGGKDTPKKEHEESILNKIFDGTQFGTSMLIANDNKGTSSGNKRDNLTEGEPSPEQEGFNSLNQCLSPRNNAKRGLNSVENGVGNNHDCNTDNVPGQPFSNLDDSHYYIHPLIKEKMAQLWDNKLKQNKLTQCHSDPVCSPDDKKTPDSSIERTETTAGMDQVSKAKSLGILDHSPDDEVEGEMVYLQARLLDTAAVLKHRYEDLIAKVVQNLSRELDAFSKRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDANDDVVPANQKSSPKSGAGPPRVGQRTSSILRLKDSSKPSYNKVSQDNNCSAFHMPICSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNLDNSTGPWKCELCKDISPEAACVGDQTDCNGTDLSLVHCDLCHGISGAFRKTADGKWIHAFCAEWLLETKYVRGQDNPVSGMESLVKDKDTCCVCLLTAGSCLKCNNGDCQTTFHPSCARHAGFYMNTKGFGGMLQHKAYCSKHSIEQREADMQQYGPEEFNSMKRMRVELEKLRLLCERIIKREKVKRERILCDHDILAKTKDTLVFSYLAHGASSESATTSVNNKSYSSTMQRSDDVTVDSTISGKKAIRFSLSNRDAEINTADSSRTLISFKRKLSERGSLAGKQLPQRPVTSLQKLEGGEKKTKDKKHRETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKLRNRNTQAHEPQEPGG >OB02G40830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24194892:24195203:1 gene:OB02G40830 transcript:OB02G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEEKKGGKVKKGWLAVRVGVEGGGDEGAGGFRRFVIPIAYLYHPLFQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASGGSQHHHYSFSPCTRAKVSS >OB02G40840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24203303:24206155:-1 gene:OB02G40840 transcript:OB02G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLMCGVEAKTTIEPCTGSDSCGALLGYTLYADMKVSEVATLFGADPAALLAANALDFGAPGAAHRILPVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVIPLPCVCFNSSDNNLPAMYLSYVVQVGDTVPIIAASYETTVTDIMNVNAMGSPIAAPGDILAIPLPACTSTFPKSASDHGLVVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTASCPSMQCSHSNVLLGNVSTRTTSAGCNVSTCSYGGFVNGTITTLLSTGLQSRCPGPHQFPSLTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPSPSSSSPSVQGGSFTLPKVSTANANGPAGSVSAAPWMNRPHQILSSFILCLLLYSQM >OB02G40850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24214673:24215740:-1 gene:OB02G40850 transcript:OB02G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPALFAWIRLRGLLSGSRHPVCFLPLHQEASSGELHRSLGPGFNPFNHAAYCPVRSIPSSSSPSNCVNNPLHAESRVRCFKSMPICFAASSSTCFLLVCYPANFRVQVTYNSAGNCLGVLWMTDHSMQIANTTTTLCISIVPTSSVHIYDLIKVLIDKVSL >OB02G40860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24223939:24226215:1 gene:OB02G40860 transcript:OB02G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAQPSPPSDRPGLWDSAPEPVLEDRDVLGAAQDEVGDHVEADTADANAIAPVVEKEASTSTSSMVSVHASMVIRRSIMKWRKVDLVGAGSSGRVYKAVAEDGSVFAVKEASLIGPESYAKQSAGQLEQEILLLSQLEHKNILQYFGAKKEETVLCIFLEYVSEGSLVSVYEKQKLEESTISSYTRQILHGLTYLHHHNVMHRDIKCANILVDQNGIVKVGDFGLAKEIKVWKQKRSCTGSVYWMAPEVVCGNPYGYSADIWSLGCTVLEMLTRRIPYPDDNWVSVFYQIGRGQLPPVPASISPVARDFIDNCLVVNPDDRPSADELLNHPFVALPEPNWCAECWPGLTLYSSRLALTLVGKNTFGKSGHPVAHCFLPGSSGPECLSISSGFCPVNTSI >OB02G40870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24227245:24230979:1 gene:OB02G40870 transcript:OB02G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSYSAAEEDGGVADDVQELVGDGGAGGEYASQTSFRIRGGGGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASFEESAGAVTERSAPPELLEGSRGTAAVTTNPETFTENGQEAGPAPKLVQSEAIEISTRAYANATPPAESTIRVVASPAIKFVQAEAIEVPTRAYATPGTESSIRSVASTSKREVHAVPKHGSAGEDKEKSKSVRVNRSRVDRRREVVAEATRETTGASTLVVEATSESTSRDIEHLISPSPHRRFRRTIKSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHDNIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILNGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLTIGRGIPPEIPTTLSEDARDFIMKCVRVNPNDRPSAAQLFDHPFVQRSLQHKGA >OB02G40880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24232058:24233371:-1 gene:OB02G40880 transcript:OB02G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05750) TAIR;Acc:AT1G05750] MLSSPAAPAPNDVTLLTVLSACAGSSSSPLARRLALSIHARALKLFPSHLLLSTCLARFYLTSRLPHLALQLFDSMPVRSAVTYNTVISGLMRNGLVDEAFEVFDGMPAPDKVSWTALIDGCVKNGRHDEAIECFRAMLLDGVAPDYVTLIAIIAACAQVGALGLGMWVHRLAVRRGLQRNFRVANSLIDMYARCGQVALARQVFGGMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRREGFKPDAVTFTGVLTACSHGGLTEQGLRYYDLMRAEYGIAARMEHYGCVVDLLGRSGRLEEAMRVVTSMPMRPNEVVLGALLAGCRMHGDVVMAERLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRNLMKARGLRKRPGYSAVEVDGGVHEFVSGDRSHPQAEEISHMLALLIHEMAGHDYDHIDLLNME >OB02G40890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24233981:24237755:-1 gene:OB02G40890 transcript:OB02G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3LHH2] MDATLMGAPSVAAGDSPASGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEELEPQNSLLDILAAGNPDPMVQ >OB02G40900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24238956:24242214:-1 gene:OB02G40900 transcript:OB02G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGACACGGSGSGSSEAAGESSSASVSSCGSVSRLQKGVRLRRRGRRRLVVVAPGGDGRGAAGGAQDLALPLGMSFAAVLAQVLSRSNCSGRSLQPDFLSKMCTSAVKESLTNIYGDRFDNFMKLFEKSFGSTLRTLQLINETPVYDEQDKPRCSHQDGTSVAEIKISGADSQRLVHDIQQSESLNSMDDNQIILHADANQQLVQLPCKKASPEFDRHILNAFERSLNEQARSNELKELEIGLNMRKLQLKQSQIALSSYSHMLEKIKISMGFQKASFREEKFRMQMEDIRHAELLKRLIDMLLAAVVFMSICFGYGTYIYSYQRIKAVTAACAAASREPKSWWMPNSVSAFNSGLLFFRCHFIAATRISFGMLMILLIAWLIFQRSAMTGPNMPITFNVMLLGVLCGFVGRFCVDTLGGDGNVWLFFWEVLCFVHLFGNSQPSLLHRMLYGPVTVTDRTKAVGLPYWVRRYTFYAILSIILPCLAGLLPFASLSDWNGHVVEYMMSKFIGINTEV >OB02G40910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24251390:24253698:1 gene:OB02G40910 transcript:OB02G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRAAIDLSLEAERRPEEGDGDGETSDGGAMAAKDVKQGEAVPKEETGGEEKVVEVVVDQGEDGSNEEIKYRSRQGEMMEEDKQPAAANDDDDGESDGAGASAEEKHIVAEAAGNGDDDGGDSRTAMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAADEPKETEVFLSLGATAAASAGSGRFQEAKSKEQAPARRPSVGSDDTDDGKEDLGLSLSLGASSYEEDQKLEARHVDVVDGSAAAIGDGKARPGYALLESSKVQGAPAGELAAAGIASQSVNPANRKTRVSVRVRCAGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSVSDAAGVSTVPSSSYLSPYLLNSASHHSSSPLLMPGTSGSGGGGGMQHLNLFGHSSSSSLLAPQAPGSNKYPWSLNHPPLGGGAGGGLGGGKRPFWSTGADDKPTPALPENVGAVVSDPNKFSAAIAAAINNFMGKDGESSSSKWGVVESLPPHE >OB02G40920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24261674:24262888:1 gene:OB02G40920 transcript:OB02G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARARRHMARQLRSTPYPIPSYRWKAMKESNRKKILPAAQKTDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPANTVGTDLDSAPLTTGEKDESIDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRNHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDGDDNANGHGTDNARRMLLFLMRQVAQHHQNQRLQNTSGSSGSADDNYAVSGGANGTTPYPLYPLEGDDEDDLVMGGGGNTGMIRPERRRRRRRRNRERLFLGAN >OB02G40930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24262839:24276235:-1 gene:OB02G40930 transcript:OB02G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAADLLAALSSPSSHASLRSRFAAYLEPFSPYLPTLNPSAKPPPKRTTKQGMQQQPPPPTPDAATVRPLAKRFLPFLCRALQVLPPLLRPNPSSRDAGCPDELLDVYGLLLDCLAVISTCLAGKPYSVLLQRGRFVCCLESRGHYARAEADAAATLDSLRSVLSVSTASKSRRAASVASLLPDPGVAREAGADPEVTILAVELTVCFANCASKCKVKDAAPYERVVNLVENLQPWLRILAEDVSRKYLTLLVNALSRCAIFLAAESSLFDTNLVREFCRATLGECMKAQTIERLPAVARRICSSVDVRCVGSTQLLLDVLNTVVGSSACVKADLPRSVNEIVEFVSSTSATASVLILYAIGLYFSAQQIENGEQPHKSTDFLNNEKYLQTLNSALATLEHLFCFANGRSTPLDTLGKASSSTTHPGHSNKHTLSHSDDHISSVAYLDSLEFLCKILSQYVNAVWKNFSDGTTPRYSRNMTYVLTALHQFIDSSISAYSCTKMPEGDKERLNEQHGTLLKALVSATKVSFVTKEGIQKSMSFINFAISSTWINLDELKYLMSSLGNIGVTLYNIGHLDEAPKAIELCCQTIWVHTRLSYHRLSASQEGQIIVEDIPKDTMKDIITDAFARIAKMVDTLHKCGVKMISDVIVKSLSELLADDGTIELLNSSLVLIKLWVKITRKDVEDDESVDSAPLLYHPLIGYSPPLPKKLVGLILEQELLAYALVESRGTMLCVKMQKRIIDILLNKLYCSTEHYLERSRVLVKKARVLRASGVQSISSCLESLSEAISLLEANLGAEVIFDNAQNVRDLLSKVRTFCYYSPGMISHQPSETLVPLLCSLVDLLAMKGCSELQFDLCNLMINIWKQENLPVEKLFSMLRLWPIDSFISTSPEPYFRRLFGFSGSVHEVDSAASSLVSEVSSNDQSIFLAGYMYFDLSDRLLSRGQIFQAFSYGKEALQLRKKLLRKKFKFNVGKFATEGSQCSGGQSSVSLEAWGSTIAEIWPDHTRSTGTGDYFLTPWNVLRCYLESILQVALLHDMVGNGAEAEVLLRTGKDISHFHGLAVFGITFTSALGQIYHKRQQWDSAESELKCARDLLAQNAAFVSCKLCKLTLDISVDVQTGDLFWSLFEKDFQKQSPGNLPNALGMYQSAMDKLKSTKLELPVGSYDKHKTTCIACSKAFISETKHEVCNNGKELLAANDGVLPSCNVCANFSQTSGDQPNKFLALKSQKHILKDYEGCPPLDVKVKRTTRNSSRLAKEQNVEAHVKNRTRSSKRTAHVKGEKASAELSKNDISCSDEMPTNALDHGKTNCSLDGVDKSMFYTCDAFGCWNCLFVNSLNSGSIQNILQLRWDWVWHQNNVSILLKIARALGAHGGLHGAHEVHNIYWQCISSLYFRSLPQDCYRTYELNLIGLVMDENTGDFLTSERAEILYSMSLFLLKGFLSEQSRDMCCRFCSVQMPDVVPWLLRAFVLSRENPLLFQEVCRLLACIFLLATIDSTAQLPLYSNGSLSLSHWAAYFHQSSVGTYLNCHYFASLQSLPRKKNSKGPVEEFRYESDEGISKFLRFSSTDIGHLEIHIIEFFDKLPDVPIVCISMLEGDFVNVLGEILLLPSFFPAWMLLSRFDSTNKPITMLLPVDAISEETQHEDSYSKELGNPVRSSDKNWQCPWGYTIIDYVAPTFKKILEENFISLSSATLTLSDGQANHVRWWSYRMKLNNHLDKILKNMEESWLGPWKCLLLGYHSTDQHIEAALANLIAALESEFKFEVNPVLIKVILGGATSVDEVHDCVSQLIMYKGYFGRGGCCGKDRLRAFSSCGIESEALETVECLITSTVNELTEPVDRDPVIFVLDTNVQMLPWENLPGLRSQEIYRMPSVGGVLLALTRSNDYCKDARIIAPQFPAIDPFNTFYLLNPSGDLSSTQEEFDQLFKTYEWKGKAGYAPTAEELVLALKNHDLFLYFGHGSGTQYVSGKEIEKLDDCAAALLMGCSSGTLHCKGGYAPQGAPLSYLFAGSPAVIANLWDVSDKDIDRFSKALLDSWLQENLMAAKNCSKCCRLTQEFESMTIAAEDNGRPRRRGARGKKPEQVNDSSKRCTCGDRRVASHLSEARRACRLPLMIGASPVCYGVPTIIRKK >OB02G40940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24278744:24284340:-1 gene:OB02G40940 transcript:OB02G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:J3LHH7] MAGGNSCLESAGFGILRRCSYSVAAAERDQQKRKGSRKEATKVYRQDHQISERVAVGALVCMPGVHELPRIHLGECRGHYYAPSDAWVRRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGPVPKADWSTWTLEVTGLVKRPARLTMEQLVTGFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGVRLRDVLRWCGVMGASAGAANGAEDLPGGGGCKYGTSLRRGVAMDPARDVILAYMQNGEPLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVADKESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCNLDHPERPTKYGKYWCWCFWWVEIEVLELLAAKEIAVRAWDESLNTQPEKLIWNIMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQGGGWMARQKHLETSESAVGTLKRSTSTPFLNTASKQYTMSEVRRHTTPESAWIVVHGHVYDCTGFLKDHPGGADSIVINAGTDCTEEFDAIHSDKARGLLEMYRIGELIVTGSDYSPQSSSADLTSIVESPRAAPAAPVSTVALSNPREKVQCRLVDKKSLSYNVRLFRFALPSPDEKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGYIELLIKIYFKDEDPKFPDGGLMSQYLDSLTLGATIDIKGPIGHIEYAGRGAFMVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYANRTEGDMLLREEIDRWAAEHPARLKVWYVVSKVARPEDGWEYGVGRVDERTLREHLPPGDGETLALVCGPPAMVECTVRPGLEKMGYDLDKSCLVF >OB02G40950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24286918:24287154:1 gene:OB02G40950 transcript:OB02G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQREHKSDKKCNFNNGDSNMMIYFGFLGPFFLTSPGLFRYSSMWSFLAFIILASKKRRCTNNMRDIKSVVSAIPGR >OB02G40960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24288627:24294320:-1 gene:OB02G40960 transcript:OB02G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32950) TAIR;Acc:AT2G32950] MADRDLLCPICMAVIKDAFLTSCGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKVLKKMSARQIAKTASPVDQFRYALQQGNDMAIKELDSLMTLIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDDPAASKMWPSPMDKPSSFFPPNSRGPLSTSNPGVLQNKKLELKGQMSHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVTMNKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSTPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWDVKENCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVASDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >OB02G40970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24306588:24307499:-1 gene:OB02G40970 transcript:OB02G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSARPPPPPPEVSSSLETSSGSDSEDESEEEAIPTRPAPVVPNKGEESDSSDEEESEDEEEEEDMVKSSATKSRAPPPENLEGEDSSEEEVDEPSESEKAPPLPLNPAPKQWAEENGPKNSTPKKQAFQRSWSTEDEVRILEALAAHREEHGALPHVDALVASLAGIFDKAGCDRRGLQVKIGFLKRRYEAIAQKGESPGKGHDRRLFDLSQRIWGSQAANGATRGFDEMSELYPHLAEEVKAWEVKHPGLFKRHFGRLDDNKARALDMKLKKQRLSEITLEMRGSDLTEKVGKAIAELIE >OB02G40980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24308176:24312723:-1 gene:OB02G40980 transcript:OB02G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEIAPAPRQRSQQEAEQEQRQRAEEAVGGVASIEPWLHPKLPGGDDDDAGGAALVPPLNFAEVHDGIFRSGLPAADNFAFLRSLKLRSIVYLCPEPYPEENTRFLEQNGIKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNRPVLIHCKRGKHRTGCVVGCLRKLQKWCLASVFDEYQQFAAAKARSTDQRFMELFDTSSLMHLTASQC >OB02G40990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24328284:24331455:1 gene:OB02G40990 transcript:OB02G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGASFPVINMEKLETEERGAAMEIIRDACENWGFFEMLNHGISHELMDEVERVTKAHYANCREEKFKEFARRTLEAGEKGADVKDVDWESTFFVRHLPVSNLVDLPDVDDHYRQVMKEFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGGWVDVPPMRHAIVANIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVAGAEEERADAASYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSSAEVVHAAPIATA >OB02G41000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24338585:24342755:-1 gene:OB02G41000 transcript:OB02G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGLRALILAVALPVLFLSAAGNRRCYEAGECGFVEREDVDVWIALVAEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLAAAASRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALTRLNLDKAVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSFLMVNAYPFFAYSANADVISLDYALFRPNAGVLDSGSGLKYFSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRADADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGSSSSKGNGGLGWQDNGGVGSGSNAPTGAGGGVKATSTGEAWCVANAMVGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVSHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPKMGKCELPSSV >OB02G41010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24340707:24341093:1 gene:OB02G41010 transcript:OB02G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVSWKLSMETMGWDCPNAAQQLASNAMQVIKTRGRDLKIAVLLVTTMLRLLAASFPGVVLTGEPGMGERSHVWMVAKTTVERESFPYDAKHLSFDLYCNPSIHPCTHRLFEEWFLRLYVLAQTQVL >OB02G41020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24346957:24348464:-1 gene:OB02G41020 transcript:OB02G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPIYSSPLSCTALLAHGGGVAYCTRFILPRHPRHVGLVNQPMSAAVQDPGNWQKERSVRRTITLLPFLAVRRSAEL >OB02G41030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24348780:24353889:-1 gene:OB02G41030 transcript:OB02G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAQGGGVGVVMESGAKRHFFPLTSLQIGDLQSYLADLTVFVCPHTKKFLILLDNRPWLLDQDTRPAHLWQLMVTKSRLSPFAITRTRRRGDETGKKLVFSKDPRHGSHLWNPTSRWYTLIDDAMRNKKLHVNRLKDSRLLNKELHRTLYGFIIFEVDWADVRGMNYLNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFCGNYSECQLLQYHLNSISPKGDVFYDTRNYFSTPEWESENVQSDDDDSGPSQCMREPSSFTSSSYTSPPCSGPYKRRKIIRSDAGSNMSEESYSEVVTSPRYSSSSSSCCSDDDCGKTLLEPSTYKDVLILFRFDDHDLPFKLKEVILSDVRLLTLLEYGLPSWVIFFQSYPVFCKIYRPWMCPLARALYVLMSIVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSILTKPIMGPILEVLEFTLPLWNLCAETVGHLSSVIMLAMETSCSVVISTIQMIMWPFWLVFSVLLNIANSVLYPFIWLLGEILAAPFRLFVVLASFVADIFVDIVGVVRETWSALSSLYQVGSLSRSTGLASETTIWGSLWKDLLYQIFRAIRSIVYGFVAFFSTCNRHRLSIYNHVQVFLRRLSRVLSGAHHTTSCEAARRYSSQNHHQRKIRTR >OB02G41040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24363111:24363347:-1 gene:OB02G41040 transcript:OB02G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDAGPERGREPAADVAHRRPPVPRLYAFQLMLLGATAIVGACAAAAAPVSLPRLFLALVIWLVGCLSLFVFMART >OB02G41050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24365748:24368786:1 gene:OB02G41050 transcript:OB02G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18335) TAIR;Acc:AT1G18335] MLGRKKAVKELIKKAVAVKDHLAQFPDFHKYERNGIFVYLESRHGNQLPLPTRKYIQNLLKLNMEGPYGPEWPSEEKVKRREMVAQEARYIFVRQSSNAFSAKNIMKQDSGLECTHEACNDDCLIGFVHYRFVLEEDVPVLYVYELQLEPSAQGKGLGKFLMELIELIAYKSQMGAVMLTVQKANDLAMAFYKKLRYVISSTSPSQVDPLIGLEKNYEILCKTFESEAKSILEEGGWKLTVQ >OB02G41060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24370260:24379520:1 gene:OB02G41060 transcript:OB02G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSPETPPPTAAFAAAGGEGDPYAPSTTTSSSSSLFPLFPLSAPDASSVPGTGSQWLSNPSFSFDASSLNIPATTSSSVPPPLSASSDEEEAPRPAPAKYDLLPSSPSPPASDEERRDRRKDRKRRKRRREKERYDGSQASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQTMPEARGLKRHLFHNWELVSVHMGQESDLDGLDSKLRAGGRYYSSKYAVVERNKGFKHLKVLKEDISVILPEDFIPLGTTSLPEKTTTGRHELEESWEDEILRRTREFNKMSRECPHNEKVWLDFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTQSLLDKWEQILMKHPDSCKLWKQYLLLCQGEFSRFKVSDLRKSYSYAVQALSAACTKLCRQDTQYDNFKPPYSSLVHLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEYSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKEEESRQNIDIEENTQETESGGWSGWFDPSLRNNNETSEVSNKSMEPLASDGNDAEDQDDEDPSAQDDVESLLKKLGIDGDADYNSEVKDPKTWNRWSSMELSRDNEQWMPLHEKSGSLFSDDAPTGEGNDQLSRVILFEDVTDFLFSLSSEEARFSLICQFIDFYGGRISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSNLVDKNINSVYNKMASLLGTMNDFSQRPGIGKFLKNAILLFLDIFPRNRVLEEAVLVTPQTHTAQKKFLSTPANSSRALAKSLLKKDRQDLLLCGIYGRIEAMNGDIVKARNIFDLALSTSQGASEDLRKKVPILYFWYAEMELAISTSRNNSDSLDRAIHILSCLGNKVKYTSFDGSVSRPQVLKARQGFKEQIRSLRSLFASNAMKEESVALICSASLFESMTSGYASGLEVIEETFSMALSESNHSLEYEELWMHYIKLLQKNLNQLSLSRFWPRVSQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRDPSIIGLLFALSFELCKTGSDNRIHNLFERALADDKLQKSVLLWRCYLAYEAEIACNASAARRVFFRAIHSCPWSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDM >OB02G41070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24381013:24383805:-1 gene:OB02G41070 transcript:OB02G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNYSYFDDHFVLPPPPPPPPPTQLDLDWDWDQLQLHTFGGGGGGDDAARDGIHGAFPAMLGVESPESSSSEASSGYLQDAVAHWSDRGNKRQRMAEAATPRRPAAAAAANEDLHCLLQSFWDSTSSGEGGLLHDDLNIMIPESGSFVSGDEDDASGWEQEQGQGQRGLSAAATSAGTVQVPAAQVVVVVGGEAASSRTTTTTTTTGQAAAQQLQLQKATSAGADHEPGGRGNYSCEEHVVVAKQPQQRRQPSSSSRAASASPRSSTLTGTDKRDTGVLYPFAVVKPLGLEGGGAATLNDVNQRILKRPARPVRHPVGQFACSPAVYAHGLGLSGKAVVSLTRIRTAGKGTITIIRTRE >OB02G41080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24393792:24394091:1 gene:OB02G41080 transcript:OB02G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPDGRTGTHGHCTGLLLHTTRRTINNKVHISTYIICIIPSTQPESHACAIWSSPNSAQPSALGGQKQPQILLRILFFTPLWPAILDHSGKSFLRLP >OB02G41090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24400388:24402150:1 gene:OB02G41090 transcript:OB02G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03270) TAIR;Acc:AT3G03270] MAADKRTIGLGMDYSPSSKAAAKWAVDNLVKAGDRIILIHVLPKRADASHKELWKSTGSPLIPLLEFMEMNVQARYGINPDREVLEILQDESKSKEVEVLAKVYWGDAREKLCEAVDDLKLNTFVLGCRGLGPLKRTLLGGVSNYVVNNASCPVTVVRGPTGSNA >OB02G41100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24401267:24405224:-1 gene:OB02G41100 transcript:OB02G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:Projected from Arabidopsis thaliana (AT1G48420) TAIR;Acc:AT1G48420] MAGVAAASAAGKIGSFLSKKPYAPPSWASHLSPAPSQTFSLGHFPTPIHKWNLPNLPSGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIQEIEHQIQISGDVRFDDIVVACGSGGTIAGLALGSKLSSLKANVHAFSVCDDPEYFYAYAQGLIDGLHSGLVSRDLVNIENAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAVYGMLKDMAANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >OB02G41110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24407371:24415410:1 gene:OB02G41110 transcript:OB02G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQERDDIPMLQRNVELPKFPLRSTSMCIPVRDDVYEEDTFIPHTGPLFVQPPTQPAAVGIPFTSRDALDMPPRPSQGKQVNKPHAVMPEEIQGNRWSYSGDIPKNEHLMMSGPLGQCDNPDCVNCPPACKSKRHFHKGPNTLDSKFQIFLYKHGGGWKKEIEHFLSRIPVMNPHAKIVQQWNQFFVISCLVAIFIDPLFFFLLSVEKGNKCIVLNWHFATALAVVRSLTDAIYFVHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANYAKNLLRITVLLQYVPRIIRFVPLLGGQSASSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACSASKIPSCDGFIDCGRGINIGKQNETNRQQWFDDSASTACFDTVDGAFHYGIYVQAVLLTTEDNAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYPGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGKIRFQGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFSRFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRNRRLKRAGMSKLGDKCYSSAREHGS >OB02G41120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24418158:24418328:-1 gene:OB02G41120 transcript:OB02G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVARLGGVRQQRPRRRAPRRRWPWSPRQVVERLLRISFDQTKMMAPPNCFSGSVS >OB02G41130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24429844:24430200:-1 gene:OB02G41130 transcript:OB02G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLPSRGAASRGAATRPLHHTTPRRVAGATTVRPAAAGATGSAVLFAVGLLGFHYGFLSSRWDTAERGSLLGWELAARHWNELSMAKHPRSSMDDEESDVDEEEEDDEEDDEDSD >OB02G41140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24432630:24434349:-1 gene:OB02G41140 transcript:OB02G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRHKRPTKTKEPTPQSPPPLICTLPKSPISIAPSSLSLSLSHTHSLFPISLSLSSHPSMRIRRRPQSQALPSPLHPSSDPSTAPQPPPRNHGRYWLAGDKEEAEKKNRPEQLHLHPNADLGDDESSAVMRAAAALPSGPVVVVVVHNREQQMVTGENGHYSKPGPAIKSTGERLVNGVVRAMPVAVNVKEETRIDSSGGGGGGAKKRRGPAVLMEGSRCSRVNGRGWRCSQPPAGGARSIDRSVGST >OB02G41150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24437448:24440271:-1 gene:OB02G41150 transcript:OB02G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAWKQSGVADHVGHLGGGALVGRARRARICLYVLAIAFGAFAAFLVFAPSLPAPSPSSPAAAWFDGLLSSASPYRAQVSSYFSSLFPTNSSSPEPGGVAIAMTPGGQSGDGFVERGGQVGRKGSSTAGAGEPSGRGAGASSNNSEGVPSGNSSSSNATAVVQSSPPPNDQAGGGAAANHSTTDSAGGAASPSGGSATNGTMSKDGAPDRINGTDVISSSSESGNVTAVKANARYAARSTHQLGGASAIASSSNGTSLPFTNQTENSAVATNSSGAASQRGVPGKNQTLPNPPAVNDQIQSGSRVASVGSNSTMDAIPQRIASNTTEAAADAGGKKKSHWIEAMASCDMFYGNWIRDDSYPLYPEGSCPHIDESFNCPLNGRPDNAYQRLRWQPSGCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKSKVFEVSGRQRFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWEFPVRKGLIKETLRLDMISNSFPRYKGADIIIFNTGHWWTHEKTSLGKDYYQEGNRVYSELNVDDAFQKALQTWAKWVDSSVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEKYLTPYPRKMSVLEDVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEMKSPQIYQDCSHWCLPGVPDSWNELLYAQILVKQHQMLH >OB02G41160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24439806:24440351:1 gene:OB02G41160 transcript:OB02G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCHSELLPNCCLMLRLLFPMAHRLQLCWSLFFPLARPSRRTRHRSVLQASSRSPLLPAPGRTSWWGTGRRSSSKPARGRATRRRGGRRTTRRPATRARVRGARARTRGTRRTRRMQSQGRRGRSSPVARGRPGPRRRGGRRDRPHRSASTRPSSDTAGTGAARIPQGLERSGDGGDEAGG >OB02G41170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24448398:24450973:1 gene:OB02G41170 transcript:OB02G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDSMDKLDDALRWSVENKQPIIIDWMASWCRKCIYLKPRLEKIAGEYPGVRFYFVDVNKVPQTVGKRGNITKMPTIQLWKDGEWAAEVIGGHKAWLVMDEVREMIQKHK >OB02G41180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24450548:24450844:-1 gene:OB02G41180 transcript:OB02G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDATGFISPEIRDALAKVAVFVLVQGLVYLILRNSSSVFSKDSKLRSMSFRSMRSMSVRRVLAPLSDVPVGTDEPSPSPSPSPSSLSRLWASRRED >OB02G41190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24434561:24457422:-1 gene:OB02G41190 transcript:OB02G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLRAARRRDLASPLGTLTANVQSAYSANICSKWGSFARTFSAKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPFKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNSLIERTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVATEIETAVADLRSDMASDDIEKIKSKIEAANKAVSKIGQHMSGGGGAGGSQTGGSQGGGEQQAPEAEYEEVKK >OB02G41200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24459966:24462179:-1 gene:OB02G41200 transcript:OB02G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MTPTSSGAPTPPHFKRSSPRKKPQLRSRRVAADLLAVEAAAGKIPPHPSCTTVVPAPSPLGCALPRDFFEVDALDLAPRLLGKLLRRDDVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLGTIQQRRGQQTEKPILLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLRPM >OB02G41210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24464975:24467350:1 gene:OB02G41210 transcript:OB02G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEFESDPELLELEDKEQRQSESAMKISNYANIILLAFKVYATVKTGSMAIAASTLDSLLDFLAGGILYFTHLSMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAVEELVANNPGEKMTKEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVRAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQSAPPEMLQMLTYLAMKHDSRVKRVDTVRAYSFGALYFVEVDIELSEDMHLGEVHSIGESLQNKIEKLPVVERAFVHVDFESRHKPEHRVRSRLPSSEP >OB02G41220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24434561:24471027:-1 gene:OB02G41220 transcript:OB02G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G77470) TAIR;Acc:AT1G77470] MAGTTTAATPMDIDAAAPPPGAYAKDKAPLSTTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRDRLQHIILSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMQKDIEQIAFWLLNEPFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEYRLCFACNDKLQLGALISTFTGARSAMVAAAN >OB02G41230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24474458:24474619:-1 gene:OB02G41230 transcript:OB02G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVGEHGGWYDQRRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRR >OB02G41240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24481220:24493406:-1 gene:OB02G41240 transcript:OB02G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LHK7] MWSHQRPSPQISACGGNGDAAGFAAAEGVFGGGGGGTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPLKDSGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIILDNENNELLSPVEGLGMTLEDSKEEKKNRKGILNWFKLRKRDGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLVGESASVDLFSIGHGEFPTDSLPGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNNEKIQMEMKKVTDEIKGKKHQIASLERQIPHSISNNQGMIDKLELTPSYAELLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMALQEEVAHLNEQLYRALQAKDSLSNSIMMNNAGIHEIDNHAGQDPSVPREISGEMVPKEPQSAEIDELKQKVCELTEVKAQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRGNNGLMRGTRRDSISRRHEPAPRRDNNAGYEREKALEAVLMEKEQKEAELQRKIEESKQKEAFLESELANMWVLVAKLKKSQGHDLEDFDTKYGS >OB02G41250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24508524:24508745:1 gene:OB02G41250 transcript:OB02G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYKRKSRKYMKYTWLWQMEHMLKRVISVQSNSDGRIIGPWGEEKKGHVHYIHTGPCTTTVLDPHILNLLC >OB02G41260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24509286:24510107:-1 gene:OB02G41260 transcript:OB02G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQDVRSVDSFSQLPFIRPAPPPPPPQQQQPRDTIRLFGCEFSNDLQLRPSEGAGAGSSDAANGSTPGGGGGGGAAGGGAAAGDQARRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYMPGHMYGLFNYHHIGGRFDHPPPPPPPAHYPMWTSAAPGAFAGPGSMAQPINGSPVQGLWGVPPQTENFGAAAGRQLVADDKATVAGPAAGDVACKDEKVPMSLLSSSPSLSSCSSTSPEMLGRCELGQKEGVSLDLHL >OB02G41270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24523316:24523692:-1 gene:OB02G41270 transcript:OB02G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAHFLLLAIVLMSLSSAMMARSAGTTTMERVSALTPNCNSVILYPGKPCDPVACRANCSKMYKGTGTCFARDGCDCVYCSSPSTTASTGSNN >OB02G41280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24529756:24530102:-1 gene:OB02G41280 transcript:OB02G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVHAALLVAVVLVASSSSGVMADTCTAMINPGPTCDSGLCVANCQRQYGGGLGHCVGTRCKCVYTCAFPPPATN >OB02G41290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24531603:24531974:-1 gene:OB02G41290 transcript:OB02G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCCSLALFFAFAVVLVAAEAARLPPSSGDLIGIDLTPNRCTRVRMPDVPCSRCETVCDSQHPGGIGYCPPDVSCECAYDCYQPPASN >OB02G41300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24533140:24533551:-1 gene:OB02G41300 transcript:OB02G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQLIALFFAALAFVVIVAEATSSDSDGMKSGCTSQVILNVECQRCFSECVREYSYGIGTCISEQTCNCVYDCSFSPPKASPPPQLP >OB02G41310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24536054:24536451:-1 gene:OB02G41310 transcript:OB02G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRQIVALLLASVFLVSTSSSGLAAKCTTEYTCTIFIYKGACEPSKCYADCAAAYKGVGEGQCFPQQGCRCSYCCKTRPAAAAAAANIAAAA >OB02G41320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24537439:24541100:-1 gene:OB02G41320 transcript:OB02G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSSSSPSYGSKGDSFRKTVDGLMRSTLTFDNNNSVLPGQNIDYGQPMSCISYPYNDSGSGVWASYKSRSVLYLKQFHPQIVGGGTSTRVPLPSLEIADDEPIYVNPKQYHGILRRRQLRAKLEAQNKLVKTRKPYLHESRHRHAMKRARGSGGRFLNTKQLEQQQQSHTTSTKATTDSQNSSGSTHLRLGGGGAIGDQTSLPFKAVDSQDKIKRVAASASTFTVTSAVHNDDAFFDRHGHHLSSFSGHFGQSGAQGGVGSRHNGTQHRVSAMR >OB02G41330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24544932:24545240:-1 gene:OB02G41330 transcript:OB02G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSTLHIANENNKKLSYIISIYLFDWHWVCAFATYFLVSTLSLEQRIDMIKLKSVILQKQVHLIRFVWITARLHIKLRNDGACVISSSLAELNLGQVILL >OB02G41340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24549100:24549987:-1 gene:OB02G41340 transcript:OB02G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQHSDADRVAPPRRNRENPSFSAALLAAIYHSLDADGSLPASSPAEGSPVTGRHRPSQGNLSPSVSSVRSPRLQKTARPCRVRPDPQPSLLLPPPSLLPESTGDGAEKKRSRRKNKKGTKSAPFACLLNALLCNRRSAKSAEPTTPRAVAMAPPVVTAEPPSARSILSSRASRRQSAATGGTLTPARRAVRFSPVAVVVDDDEHGCREAGVARLRGEEMEVAAAQESAAEAERRVEELLRALGVAEENERAKDSSESSSDLFELKSFPAFDDAELPRRANASLVLARPRPRVF >OB02G41350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24555736:24560959:1 gene:OB02G41350 transcript:OB02G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGSQDAMENKSDDNDFDKNANSDPVVYQLVRVEGDGTLVPATEDEVLQFESFLHDEKVDLPSIEDVSHVEEYFTNDCIKPDFGEGPSKLETADVQVHMLDVALEDDRLHTPDDSVVLPSNCSAVHDQELDKLNTEQGGNNTAQQDNVSTETTKSTVLNDLSSDKEKADACSEPVNNTSACQSVSGFTSSVPDFSILRGEVCLDNLTIRELQEAFRATFGRQTTVKDKLWLKRRIAMGLTNSCDVPSSGCVVKDYKVIGMGSKQEIAAVEGIPKMELEATLVRDQVLNPGHQRDLPSSFPYHSEEQQRSSKRLKRVPTDNDEPQVTIFTGQGTTKRIRKPTKRYIEELSDIETNEPTGRFFSPRKRPVYDEVLLRPREAPFHEVGSLGTTYPTRRDTLGGCSVHVPYVSRMRRGRPRSNFIPLWDLEPSVECTEVPIADAVNLEKEGEWGNHKNTKREGEHVEAADSKKVQGQQAKDFANADVNPKIQRGGKRKHHRAWTLSEVVKLVDGVARYGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSTIPIPPSILLRVKELADMQPQVGDLRVPVKFSGKSSMVVQGKGSGFL >OB02G41360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24561115:24564393:-1 gene:OB02G41360 transcript:OB02G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication protein A 1A [Source:Projected from Arabidopsis thaliana (AT2G06510) TAIR;Acc:AT2G06510] MLTPNGVVAALAGDTNLKPVVQIVELRGVNVNGAGVTRGERFRAVVSDGTTTSSALFAAQLSDRARSGALRRGSIVQLSEYVINDVGPRRIIVILNLEVLIEECEIIGSPTTLSETGFPNSNPMRVEQFNGAPQYGLMAGNSPNTTARPTDNGPVFQRSMTGNSSNFATRPNDNKVPVFQPTVQPAYRPAPNYKNHGSIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALLDRFYKVVEVGKVYVVSRGNLRPAQKNYNHLNSEWEIVLENGSTVELCPDEDSSIPTQRFDFRPINEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVEVTMWGDFCNREGSHLQEMVERGTFPVLAIKAGKVSDFSGKSVSTISSTQLFINPDSAEAHRLRQWFDGGGRDASTQSISRDITPASSRNEIRKTVAQIKDDGLGMGDKPDWITVKATVISFKNDNFCYTACPNMIGDRQCNKKVTKGTTGNWTCDKCDREFQECDYRYLMQLQIQDHTGTTSVTAFQEAGQELLGCSARELYTLKEREDPRFADTMLDCLFQDYLLRLKVKEESYGDERRVKITVAKVEKVDPSGESKFLLDLISRSSALH >OB02G41370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24567663:24569693:-1 gene:OB02G41370 transcript:OB02G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:growth-regulating factor 5 [Source:Projected from Arabidopsis thaliana (AT3G13960) TAIR;Acc:AT3G13960] MMMMSGRPSGGAGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLIIPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPSSSASSPASNASAGAGAGATTTSSPAPSYNRPAAHDAAPYQALYGGPYAAATARTPAAAAFHPQVSPFHLHLDTTHPHPPPSYYSMDHKEYAVYGHTAKEVHGEHAFFSDGTERDHHAASHGQWQFKQLGMEPKQSTTTLFPGTGYGNTAASPYAIDLSKEDDEEKERRQQQQQHCFLLGADLRLEKPVGHDPAAAAQKPLRHFFDEWPHEKSTKGSWMGLEGETQLSMSIPMATNDLPITATSAYHHDD >OB02G41380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24581436:24591471:-1 gene:OB02G41380 transcript:OB02G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] MVDKNEGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSKDESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDIKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERRLPLKYKDILSFCLPGGVQVNAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPIFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSPEETCEDEDVCEGTKVSTDKQYLDGHAVDLEKSSESSVGVCPKELSDTDSSSGCRDNQLDLNSKEVQGECGVQDDLVTVTVPQCDTLESPDNCLSEHTTADQSGIKLHELDSVPVILNESVTTKNCGDSLQDDVDDEQLDIFVSDTILPLMRSHLCEGSESSPSSQDSPSAGINFRSDTHESDSEEPSSIGHGDLFGHNNILQWAKAKNYGSLQVVSQYYQLQCPARGSSLIFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDMSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYIVGVQNKTSDVHSRLANAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKLFVDTQLFSVHTDLVLSFYQKD >OB02G41390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24602404:24609405:1 gene:OB02G41390 transcript:OB02G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTTRGVLLMLRLLIPAVAAILLAVAGADDDGRTLLEIKKSFRNVDNVLYDWSGDGAPQRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVVSIDFKSNELSGQIPDEIGDCTSLKTLDLSSNNLEGDIPFSMSKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEICQLTGLWYFDVKNNSLTGKIPDTIGNCTSFQVLDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNKLTGFIPSELGKLTGLFDLNLAKNNLEGPIPNNISSCINLISFNAYGNKLNGTIPRSLHKLESITYLNLSSNNFSGAIPIELARMKNLDTLDLSCNMVDGSIPSVIGSLEHLLRLNLSYNNLVGYIPAEFGNLRSIMEIDLSSNHLGGLIPQEVGMLQNLILLKLESNNLTGDVSSLINCFSLNVLNVSYNNLVGTVPADSNFSRFSPDSFLGNPGLCGYWLGSSCYSSSHVQRSSISRSAILGIAVVGLVILLMILGVACWPHRPQVPKDVSLSKPDIYALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHAGSSKKPKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPAQPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNRVE >OB02G41400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24613584:24629394:1 gene:OB02G41400 transcript:OB02G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWMEAEVVELKDRSVVVLTSQRKKITVLAEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPRSFHYLNKSRTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDSSSNFHLQMAAKLFMCDPDLLVSTLCTRCINTLEGAIIKALDCSEAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGSLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNRPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFIDRFGVLVPEMMLGSYDERALTKGILEKMKLENFQLGSTKVFLRAGQIAILDMRRAEVLENAARLIQGCFRTFITRKEFVKAREASIFIQAYCRGCLARKMYMVKREAAAAIIVQKYVRRWRLHRTYQQACSAALLIQSCIRGFIARCYFSVIREQKAALVIQSVWRRRKVIILFQQYRQATVAVQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKMIESLSVECAEAKSAAQIEHDKNLLLQRKLDDSLREITMLRSNKIMTAETEKENFNLKNLLESLSKKNSSLENELTAARKGSDDTMEKLKDVEGKCNHLQQNLDKLQEKLSNLENENHVLRQKALNMSPLNNMSIATKALSEKFATPTGLPNGEQKHGYETPQPTKYLASLPQSLTGSRRTRMPVEKQEENHEILLRCIKENLGFKDGKPVAACIIYSCLLHWRAFESERTAIFDHIIEAINNVLKGEEADGRLPYWLSNTSALLCLLQKNVRSNGLFSTPSRRPSGPLGLGGKIVQTLRSPSKLVGRSDTLAQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSTRPQPGKSSKSPGVGTQPPSNSHWNNIVNFLELLMDTLHENHVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWNSDVTDEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISNDVVNAMREIVNKDTQNLVSNSFLLDDDLSIPFSTEDLSIAIPAIDYADVELPESLHHYPSVQLLLKHHDPQPI >OB02G41410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24630296:24632821:-1 gene:OB02G41410 transcript:OB02G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLRCFFGGEAEAEAGAEGPKKAAVVVQKKSVRRMRSATGRLRSLSLEDLSRTLAQSGLHAFTLAELKSATRSFSGSNFIGEGGFGPVYKGFVDAKLRPGLHSQHVAVKYLDTDGPQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPERLHRVMDPSLEGGYSDKVANKAAMVAYHCLHSVPKSRPHMRDVVDALEPLLHTCGDVPAGPFVYTVPAQSPPPAAAAAAVAKDDGKATLAGAAEDGEEVAKRKKRYVASAVHAEGALRKGEHRYASSVSGSPRQSRDRGA >OB02G41420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24632577:24632942:1 gene:OB02G41420 transcript:OB02G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPEKLRVADLSSASVKACSPDCASVRDRSSSDSERSRPVALRIRRTDFFCTTTAAFFGPSAPASASASPPKKQRSILLITAATSDDDVARADNLISSFSPAERRSRSRRRSVECGGLVG >OB02G41430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24643870:24655185:1 gene:OB02G41430 transcript:OB02G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) TAIR;Acc:AT5G49030] MDAASCCRVFSTQRCRFPLRRLAGGXPGRPFCAESTSEPFAGSSASKRRSRGPVMAAKKAAQGAKQEEGKYKHTVDLPKTSFSLRANSIMREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFIPGWDCHGLPIELKVLKSMDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMIMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSIYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYAVVELQSVLESESTSGGNQRKHGNILSPGSEKPFIIVAADLVSALESKWGTKLVIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYLTGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVKYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSRQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPKNSVPYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYFDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKNEEWLSVSKDDVDFLSVILELRSEVNKILESARTGKLIGSSLDAKVYLHAENGNTVSKLKDLASATNDADALHRLFITSQVEILPSVSDETTSGVTYTGTFSSERTGNIWIGVTRADGEKCERCWNYTRDVGSFVDHPTLCARCHGVIDLPPVPAAAAVS >OB02G41440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24663287:24665619:1 gene:OB02G41440 transcript:OB02G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:J3LHM7] MGSVVEAPTVVAGQEEVTDNMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIRSGNDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEYYNAKDKVKKIDAAKPISEVFEDVKVIFAPYAPNAA >OB02G41450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24670032:24673977:1 gene:OB02G41450 transcript:OB02G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIKLPIDSPISHDIGLGLIAHFGTLVESSFQHPRHICSTGNGAVQETFSCFNKFAGAFYFWLSRASNPKIFHRLSAIAVSSSRACRSQIKQVTSCMQHLAGVRFGSQVREEHAIQMLLARLANATFGRLRNEVEERHACNILMLAAATVIPPFENISPKMLADSMALGRDGGHTRQPQDRHPLEETRSGCACVAVPRIVLPGDATEPKTGIKFPTLLEDNSNPTAEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLGPKYASVPESELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDICIPAGTKIDFRQTSDGQLITEIDGKQIGAVRSKDLCRAFFDMYIGDPPVSLETKQDIAQNVGGLIRRCY >OB02G41460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24675232:24676892:1 gene:OB02G41460 transcript:OB02G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9A4 [Source:Projected from Arabidopsis thaliana (AT3G43860) TAIR;Acc:AT3G43860] MFLEAQRSGKLPPNNRIKWRGDSGMEDGKLAHVDLTGGDYDAGDNVKYGLPLAFTVTTLAWTAMAFEHELKAAGELDNVHAAIRWGTDFFLKASAKKNHLWVQVGDPNADHQCWVRPENMPTPRTLYEINEKTPGSEIAAETAAAMAASSIVFRKDKSYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIGHEAISSSVAEFSWDLKFPGAQVLLAELNMTSNGGLQSFKAQADNFVCAVLPDTPFHQVFITPGGMIHLRDGANSQYVTSTAFLFVVYSDVLRRINQPVMCGAQAVPPARLLEFAKQQMDYLLGANPRGRSYVVGFGANPPTQPHHRGASTPVLPPGYQVNCGMSFSEWFTPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGANLLATH >OB02G41470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24680525:24683612:1 gene:OB02G41470 transcript:OB02G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGPGLGSGGGGGPRFGRVTRCAYAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGESDSPAAAAKWEWDGEEVEGGDGEVQSSYRGPFDTMDALQEALPFRKGVSKLYNGKSGSFAKHEDSMIPSPPEKGLPKPENPSPRKRKGLLPFSFKWGKPQNKEVFPEDVVISPTNCRRMTLSPAATSSSGSNSGSDDEQYRSPKLHTRQPLRRPSNALGVFASPPAPRPPQVLSAHMRSHSMLDLQDVTESTAMFSPRDKRQKNSEGWILMHCRSNCWCPF >OB02G41480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24685647:24687000:-1 gene:OB02G41480 transcript:OB02G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLWDARYALQELWKFCGSLLMSNAIAGVNCRKGVSKLYNGKSGSFAKHEDSMIPSPPEKGLPKPENPSPRKRKGLLPFSFKWGKPQNKEVFPEDVVICPTNCRRMTWSPAAASSSGSNSGSDDEQRRSPKLHTRRPLRRPSNALGVFASPPAPRPPQVLSAHTRSHSMLDLQDVTESTAMFSPRDKRQKNSEGWILMHCRSNCWCPF >OB02G41490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24688102:24690115:1 gene:OB02G41490 transcript:OB02G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSADTLMLGQIPKGGTASTMEPTAMRGNEKMGVIDHDQATDATAGQGVTVSETHVPGGRIITEFVAGQSKVEAITDSSLICINSHEDMYKDCNEQNPPPNNGTIHHYINSFRTREYNYDDNYDIHWSRYKVYLLNLPHQPLLAKIVSCWEELDLVTQ >OB02G41500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24693078:24693940:1 gene:OB02G41500 transcript:OB02G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVIIVLLSCCLLAVAAAAAAAAAAPSSAAGHDEEADEGMSTYIVHVMPAHAPLRGARVRLARTHYSSFVRELLPPHIARPAPRLLYSYAHAMTGFAARLTAQQAAHLAAQPSIAAVVRGTVYKLHTTLSSTFLHLSPSSGLQAESNGATDAVIAVLDTGIYPKDRASFAPLPSLPPTPPPTFRGGCVSGPNFDARVYCNNKLVGAKMFYRGYEFAKGPMNETVESKSPLDTDGHGTHCASIAAGSPVPDANLLGFATGVAKGTAPAARIAVRMLHR >OB02G41510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24694043:24695509:1 gene:OB02G41510 transcript:OB02G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVDVISMSLGAVQKTLLDPEAIASFNAARQGIVVVAAAGNDGPGGSTLSNVPPWMLTVGSSSMNRQFPAIVVLGDGQTFVGSSLYPGDPHSFMKSLILADSAGSAYCEIGKLDASKVAGKIVLCRGGKVGSADKGVAVDKAGGSGVIIVGQEHDGEYVRAIAHLIPGTIVPFAAGKEIIGYVGSTPYPVCKILFFGTLVGGSSPPAPRIATTSSRGPSIPAPGILKPDLIAPGVNILAAWSGVSSPTKLAADTRRVEFNIISGTSMACPHVSGIAALLKKARPSWSPAMIMSALMTTAYGTDNAGDEIGDMATGKAAGPFELGAGHVDPNSALDPGLVYDAGEDDYIDFMCSIKASSPYNCSTRVSITGAELNRPSFSVKFKAYGENITLQRTVRNVGSNVDAVYTVGKRTGFPPGTRLIISPGKLVFDAEHQTRTYTIVFQSTLPPGNFTEYTHGSIVWSDGVHNVRSPIAVTWPFPTAALSVM >OB02G41520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24697383:24698666:1 gene:OB02G41520 transcript:OB02G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQFQAIVVLGDGQTFSGTSLYAGDVDGGMKSLVFGGFAGSSVCEIGKLDASKVTGKIVLCEKGQVTDAAKGVAVDRAGGFGVIIASRSDLGEFASATAHLSTVPHAAGLEILRYMLKTPYPVGKILFFGTVLSSSPSAPRIGSFSGHGPNLAAPVEIVKPDLVAPGVSILAAWSGLISPTELSVDTRRVEFNVLSGTSMACPHVSGIAALLKKARPNWSPAMIMSALTTTAYDKDSNGDAIKDMATGKAAGPFELGAGHVDPNSALDPGLVYDAGDDDYLDFMCELGYSDMKTRVGIFLRDGTVTKCSTRASTTAADLNRASFSVVVKAYGDNITLQRTVRNVGALVVYTVGGVPPPGTQLRVRPSELVFDEEHQTRTYTVVIRTVSSGSFDKYTHGSIVWSDGVHRVKSPIAITWPSQSAA >OB02G41530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24700580:24702169:-1 gene:OB02G41530 transcript:OB02G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14067) TAIR;Acc:AT3G14067] MSPAAHIAAYKICWKSGCYDSDILAAMDEAVADGVDVISLSVGASGYAPSFFRDSIAIGSFHAVSKGVVVSASAGNSGPGEYTSTNIAPWILTVGASTIDREFPADVVLGNGQVYGGVSLYSGEPLNSTQLPVVYAGDCGSRLCIIGELDPAKVSGKIVLCERGSNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGEKFGDKIKYYVQSDPSPTATIVFRGTVIGESPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGEAAPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAQPDWSPAAIKSALMTTAYNVDNSGATITDLATGLESTPFVRGAGHVDPNRALDPGLVYDAGTDDYISFLCTLGYSPSMISLFTTDGSVANCSTKFPRTGDLNYPAFAVVLSSYKDSVTYHRVVRNVGSNTNAVYEAKIDSPSGVDVTVSPSKLVFDEKQQSLSYDITVAVSGNPVIVDSKYTFGSVTWSDGVHDVTSPIAVTWPSNGGAASM >OB02G41540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24707335:24707706:1 gene:OB02G41540 transcript:OB02G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQHTAAGHAARRVKLFRMPRRPAATAGPLVPAGGRKKRKMAVARLGGAGGRRRLFGAFRRLRIRWLAALYRRSLRRLRADYANAVRDLLEGAAAMSTLRAQAAADCSFGTAFAPVVTVGY >OB02G41550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24709323:24709616:1 gene:OB02G41550 transcript:OB02G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVVAPRREAALRAARRTVTILRRSSRRRRMAVVMLGDGRRPRLYLATLGRLRLRWAAAMYRRALRRLRACYAKAIKDVVEGAALVGAVRADAGV >OB02G41560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24711226:24722373:1 gene:OB02G41560 transcript:OB02G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIIEALLEQNRHDDLRTIACQTLFYFVNNQVDSTYMFNLESQIPKLCHLAQEIGENEKTSIVHAAGLQALSSMVWFMGEHSHISSELDNVVSAVLENYESPYANSHNDGAAIEVTRTRWVSEVLETERHEPPSVTILTRVPSWKDIRSSRSLLNLTIEESESPNFWSGICLHNLARISREATTVRRVLEAIFRYFDNNLWSPSKGLALCVLLDMQIVIEKSGQNSHILLSMLVKHLEHKNVLKQPDKILDIIEVTTRLAEHSKAQSSTALMSAISDMVRHLSKNMQSLVGDLGSGDGMVMNEKYGKSVNECLVQLSRKVGDAGPILDTLAVVLENISSTTPVARSTIAATYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFPGSSQTSKIDLKRTLSRTTSVFSSSAALFGKLKREVFSFRENPRLDGTNLIPISEDSDQISGNDPKLFKSQTIQRMASTKDTSLTSSSEISSSSGPTQETDPVTLMLSGRQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFSEAKKSCLDLLVSSFQLAFSLQNISIQAGFLPPSRRRSLFTLATSMLVFFSKAFSVPSLIPPMKDLLTESTVDPFLRLVEDCKLQVVESCSIIYGSKDDDDLALKSLSNINMNDQSQQASISLILSSLKDLPEVELSTIRKQLLEEFSADDACPLGSHLVESASISPTYNAKLHQKSLEVIPVGFIFEDDTLVEAADSLAEPQSQHSLDNSLLDVNQLLESIGETSRHVGRLSVSTNHALPFKEVANQCEALSVGKQQKLSVCMSVHEKQDGGSLTENLESPQHISALTGFVSTNDEQCHSDFCKLPVLSPYDKFLAGTGC >OB02G41570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24726385:24730002:1 gene:OB02G41570 transcript:OB02G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGFSPKDRDSGSALSSTVTTLNPNAAEFVPSTFRSTFGSRIVADACKPNFRGSSGKTILDRSESSKSNNSDDETHQFWRRQLPDDIIPDFSDMENAEQPHGELSLSRLSLNAPPFVGTATSNFSRDCHSLLSQTGKNVELGSILYYDENSSSNSGEQNHADNLCYTNGKHDLLYDHDPLENLASQFPGYSMESLAELYHANGCDFDLTVEILTHLEMQVDVSSCQNLNLAPNTPNTGTGNFPVLPGTENPNCLFEGSVGAHGKTNGHNSSTMSTTGDFVSAIRRFVWQDPVGMKFEKGSPRDANGLSSIVAQKQYSCNTRSSFGNKFYKAGNVQSTPVTASMFSESTGEADDFARVRNTCFEQATQAYMLGNKALAKELSMKGQLYNLHMKAAHEKTREAMYQQRTGQDRLTDLHELQVSEAIRVLKAELALMMSAAAARSAGEGTNPEPYWNRPPHQGLWRDKVAGRP >OB02G41580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24733366:24736402:1 gene:OB02G41580 transcript:OB02G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52210) TAIR;Acc:AT3G52210] MAVTPHHRLYEFAKTALIKIFAFPYATVCDLYCDGGVDTDKWGDAQIGQYIGIDASALGVNDARELWESKRKPFTAEFIKLDPSADDFEAQMQEKGIQADIVCCMQHLQSCFQSEEHAQKLLNNVSSLLKPGGYFVGIIPDSSTIWTKYQKNVEASHGKVQGLKAVPNSIRSENYVITFEIEEEKFPFFGKKYQLKFANESIFENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRTQFAPLLGSFSASLVDPRGKLVARSYDILGLYSTFVFQKPDPDAIPPIVTPEVHDPENAQEEEWHWTQQAPMDDGRVSRTDVLPPMDNEKGILGPGPADMRL >OB02G41590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24738406:24742680:1 gene:OB02G41590 transcript:OB02G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal;Lipase, class 3 [Source:Projected from Arabidopsis thaliana (AT3G14075) TAIR;Acc:AT3G14075] MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCDCGNGRDAAARGAVSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGEDSVELKGAAVIADLKHLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKKCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAHALHIHPDYKIKVVGHSLGGGTAALLTYVLREQQEFASTTCVAFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSKLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSSSTITSEEIRTSTNDASESTSLLTENTLETTQIVQTETMQFAASEEVQSSTEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRGEDDEIVEEDLTESAITEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSNRVETVNAEESNVPQEDDTAADLDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNVEQLIAELEKDSSFQVSDCPDSELP >OB02G41600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24742797:24744524:-1 gene:OB02G41600 transcript:OB02G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFVLGAAAAIHLGNTNSCIAGYGHAGPGPGPGARPNYYQFCILSWVAIAGDGTILSGEAAMNHAASSPRAAISAFMRLLDQRHAAGGGRRGEERDGACAVQVHQDAWMGLPFPPTGGHPWRSCWKEFSLAHIAGILISHLKKMAEAHLGSEINNAVVTAPSRLSYTDDGKESLSLAARGHAGFRAVKVVDQHIAAAAAYGHHTTQGDRKAILVFHLGGRTSHATIFKFVDGTARLIDTIVDHFLGGDDFTATIVDHLAELIKKQHGRDVRQDKAAMARLKVACEQAKRALSEQQETLVQIDSLLDDGVVFSAPLTRADFEELNHHLFHRALGLVEEVVKGRVEMVDEIVVVGGSARIPKVRRLLRDYFHGRRPNSRKGVEPEEAVVHGAALLARPVAVVQPQAARDLEVEEDSHSSLDFDHWFRGATDKRVYKV >OB02G41610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24747229:24755018:-1 gene:OB02G41610 transcript:OB02G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G35740) TAIR;Acc:AT4G35740] MKRSLPIKGASRASGLGHGKKAPQELENVLKQHFGYSGFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVNSGIVLVISPLIALMENQVASLKSKGIPAEFLSSTQTSYNKQKIHEDLDSGNPVLKLLYVTPELVATPGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNHFPDIPILALTATAVPKVQKDVISSLCLRNPVILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAACDDLSMHLSQQGISSSAYHAGLNSKARSSVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSRSVLYYGLDDRKKMEFILRNTNNKKSQLSSSSAELSEKGLADFSQIIDYCESSTCRRKRIIESFGEKVQPTLCQLSCDACKHPNLVSSRLEELRRVATCRYNKISPVFKSSLVNPSHMDTEFWNREDDENISVEDISDSDDGKEVASNAAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASWKRLLNGLGQAKLRLGNLPFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSFDQIRDRLHALTGEITDHGAAAGSPSIVLESPPASPDAVCKIAGEASSNETKDTRHTPLMNNTDEFVTTEHSGEFAKTAISSENIELPKIPSFREFMNQKGRDRATSSSKVESHPSAFRRKTSTEKQGTTGPSKRMKS >OB02G41620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24755499:24755876:-1 gene:OB02G41620 transcript:OB02G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERAGTVAAGPWPIGGSVPRKPRLSPSPARRLRLPAVASGSPSVVRASRAGGSTRTTAPERRGAGILHRALPNGRCVSIWMSPSPYPEPTGLPPNHGTAPMVSLDAVRFSGMALGPDLTGCEES >OB02G41630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24757621:24760802:1 gene:OB02G41630 transcript:OB02G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGRLHPHGQRRGVAAFLAANKTLLVAAWVIGFALVFLWQSTSVLVGGVGGGVGFLRLRSAPPPPPHPAPLLRPKAYNLTDFGGIGDGRAVNTAAFERAVMAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGSEILGIPDEKYWPLMPALPSYGYGRERKGPRFGSLIHGQNLEDVVITGHNGSINGQGEAWWLKHRRRMLNNTRPPLLQLMWSKDIVVANITLKNSPFWHFHPYDCTNVTVSNVTIIAPVSGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSGNIVIRNLTVRSLVSAGISIGSEMSGGVANVTVEDVRIWESRRGLRIKTAIGRGGYIRNISYHNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSSIPIKDISFQDMSIGISYKKKYIFQCSFIEGRVIGSVFPKPCENLDLYDEQGQLVRRAAMLNSTEVDYDI >OB02G41640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24767067:24767807:-1 gene:OB02G41640 transcript:OB02G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSWSSSSSSPASAVETEGAGISDRGAKKYKGVRRRKWGKWVSEIRLPNSRDRIWLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGAHGRGLPALAVAGVRHTRGGGAGRARRRQHRLAPGHGAPAAALLAARVGHQCLRLLAGSAAGAGVRRGHGRRGGQPRCKRFSLELRHARLLLLPILINMH >OB02G41650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24773330:24773560:-1 gene:OB02G41650 transcript:OB02G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTMPLFLLLFLFRLTKVIIRSLPCARGRALCVGSRSACAASGDRAAKLHGVPEVVAERSVGAGRRPSSAERSVG >OB02G41660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24774832:24777925:-1 gene:OB02G41660 transcript:OB02G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQFSGAAVGAVAFARKGPAHGLCLPAPPAAAVGVLRQARRPSRGLFVRAATVVAPKYTTLKPLADRVLIKIKSAEQKTTGGILLPSAVQSKPQGGEVVAIGEGRTVGDNKVEVSIQVGAQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLSDHVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSTVLYSKYAGSEFKGADGTTYIVLRVSDLMAILS >OB02G41670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24782360:24789878:1 gene:OB02G41670 transcript:OB02G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MFLYGFFPVKPTLPGFSGAESYRMPSCGPAGGGEEPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLLAGCKAAGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNIVDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLEFEFAAKDWNVLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHSSASGPEDNLERTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVHSSYCSPYDQNEVLQVDLAPTLSILFGTPIPKNNIGVMLPELFNSLTDEQKMRTLELNSLQFLRLLQAQLPAFCFEDCINGKYGLGIDSFPESVEKKLCHLLSKAFDSHHPPQLHQGSNMKPIEAGYNRTAVDAYHEFLRYASEWLSHRATNKPFYLLIYAIALMIVSCIFLMGIVFCLLKGNSPSQFENYSKWISDNHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESSSAIARTKGKIRHENNFSCFTSCKLVPTKQHGYKLCTILIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSSVVKALQIISVLAVVVLYSILLLLLRPSKMNILVVWLSHFFCGIMVVLHIWQSQINTSLPTNHSTTSIAQIFYVIASISLASTFVASPWIFPVCSMEAEPTTSGSNSESAIHLQGINHSMFLTGITYTAFWCLLQLLLQQPINAIPLLLIFVQIISSIIHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFIITYGSPLLLHLGMVVYTSVISTDGISTPHPLKWSCILDKMITLPCLIPLVINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFVIAMTAVYTCSVFSYKERNYRDKSI >OB02G41680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24788537:24793041:-1 gene:OB02G41680 transcript:OB02G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSSSSPQVAGRRVPEVAAELRLRKRTLETVLEQCQRALEMMREADLGIAEEEEEEEEEGAGAEVANPEEVGGSRAAGEGCDEGAPPSSPPSDADYETDELCDLLKSRVASPEFLEKLDNIHKSVYQNGAVDETISWDIISSADIWDDKGMNISDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQALSKTFSTKKRKGKLQKAWDGTKVIYNIASWSATAIGIYQNPAILKAATAAFWTSCRVASKFL >OB02G41690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24794001:24795288:-1 gene:OB02G41690 transcript:OB02G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIYHKSANHVPLKILSIEDGSPLKTFTQLLHRSRKVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKTSKEVRDRDGTCEGIGSPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >OB02G41700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24803848:24808647:1 gene:OB02G41700 transcript:OB02G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELERQFAGYKQRLAKRSGAHGGSSVADDAADARGGGEEVVVEEGGGDVRGRMYEAYTRRRDERLREGWRARMERKEAEVMALWAQLERGAGAGWRAATTTNDDDGGDCTAREGSRGTINGKFMTIHDQALKLFTSDSAILLRSFNSPGRNCMRSAGSKLRQNIQIPCVNKTSGGLEDLKEAVLPNTCPVAAPVQSCSSEQAAFHGETGRASLPAPFAGPASPDSDRGEVVGSGADDREAEAKCVVEHNAEEVAVSPGKLANGEITSDSDAEPSYVFVKKDVVGEEDAMTTRSDARPEPEAEKKNGDASSEGTTAPPVDAVAAESATTIAGEAPARESSDESSSFSGSRSGGSPPSSAPASCISRAPSIERLLEEDAALLRKKRQESAEKSGGSPPSSAPASCISRAPSIERLLEEDAALLRKKRQESAEKCAVPTTTTTLTTPPVRVSGAARSPREAVRGFKRFLSFGKKNRGGREVTVIDCTSPSVPSVADDDSGSGGWQSNGTIKPRMASLDDASDDSYAVSSPRACSLQNLVAASPAKSELHEIDPQEKSPKAHRSFFSFRSFNCGMS >OB02G41710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24807628:24808119:-1 gene:OB02G41710 transcript:OB02G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSLPPLFFFPKLRNLLNPRTASLGDRAAPETLTGGVVSVVVVVGTAHFSALSCRFLRSSAASSSSSLSMDGAREMQEAGALLGGEPPDLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKPPDPPPPPPPPLSGTAPRRRP >OB02G41720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24811480:24822181:1 gene:OB02G41720 transcript:OB02G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34357) TAIR;Acc:AT2G34357] MSLTDADAGLQIAYSCVRRKLGKNVWQEETVRAYCPQQPRLQEALQYSGVTGLCFNDHFAFIGGREVADDVVRVLELPSTPDSGVRAGVRCLAHLISAGEKASWEAVEPLYGVVLRLAADHRPKVRKQSHSCLRDILQSFQRQAVLVPASEGITRCFERFLLLAGGSNAVNTDVAEGGPKGAKEVLYILNALKCCLPLMASKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDSPAVQLKSDVLLDIVCSLGLSVSAERKSGDEMASIARLLNVATRKIYNQNKNICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDENMILQGIAQIKSRHPGVRSDPTTIEKICAILEGLLNVRYSDAWDKSFHVISMAFDKLGESSSGLLPEALHNLADMQNLSDDDFSFRKQLDACLGAAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIRDIIRAVEKNIPKLLKEDKLFSAKRAEGYVYSLWSLLPSCCNYARDTSIHFRALQNVLCDMLKSQPDLRGIICSSIQILIKQNKEALSVPTEEVILAEDEITKSERRAKERYTKEFAEENLKAIRAFSSKFLEALCSIFLASSNDAIGLLQPAISDIASISDKDTVGRFFLDAIRKLLDATKAVSAEQMNDGSMQTDTDSNTNNMARALLLDFAASLMPGLSAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDAEFIERNLDTLLELMISSLPCQFPSKRYRLECLHHLIVYILKDSTKLGKREIIGSFLTEILLALKEANKKTRNRAYDVLIEIGRACEDAENDGRKENLHQFFGMVAGGLAGQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADILHEHLKGVVEGLLSWQTDTKNSFKAKVKSLIEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKSKSSEDGDAVSMTSRATRQSRWNHTQIFSDFGSDEDDSNGPFSAQHTVTSRTGTKASTRSSRKRHADKSLLEKFIDQSTGEPLDLLDQKTVRLALRSTKKRTTPDEDDDEVEMDSEGRIIVREERDRRKKKQPFSRDDEADERSTVRSQSVKRRKMTSSGWAYTGHEYTSKKAGGDLKKKDKMDPYAYWPLDRKLLNRRSDRKASARKGMSSVMKVTKKLEGKSAASAIAAKRTQMKRKQKKNK >OB02G41730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24826597:24834268:-1 gene:OB02G41730 transcript:OB02G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFAASFSSQSGSVPGFHHSAMHNIHSNFLPGSLAQRNAAMSGLPSSGVQQPGGRFSSSNLPVAMSQIPHGHSGVSGRGMNVGGGPAFSSSLNIGGIQGLSSSLGAGGSRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIATRMNLSGGSGNLNVQGSNRMMNGILQQGSQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMMHDASDGPVYDMNEFPLLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMDLHHKEQLHDNVPVLQAQQYPLSRSVGFNLGSNYPPNRQQHQQGANPVQNAGPQNIGLRPLNSPNQTSSLGSYDQLIQQYQQPQTQNPFRLQQQMSSATQSYRDQSLNPIQGGQTQPDPYGLMGLLGVIRMSDADLSSLALGIDLTTLGLNLNSTDNLYKTFGSPWSNEPAKGEPEFHIPACYSAEQPPPLQPIHFQKFQTFTLFYIFYSMPRDEAQLWAANELYTRGWFYHKEVCVWLTKIPNVEPLVKTPHYERGSYGCFDPNKWETVRKDNFVLYYDKIEKKPAVPSSQNVG >OB02G41740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24834613:24838301:1 gene:OB02G41740 transcript:OB02G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPYNVGDHLAPGYKWVPIPNPISGSHISIPVQHPSHANPGQAFPNKINRETYVYISPILGCKGFQQTEGDQRDRRRSTGGGGRLQEKGAAEDAKRWRRVTEEVRAEEDDSRRRAGRRMASGRGGRRGKESATGGGERPAEDGAASAASAARTTRAHAGGPKP >OB02G41750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24839507:24843862:-1 gene:OB02G41750 transcript:OB02G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGKCGDAVGEGGGSDLYSVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAEHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDDNDDSLQGMGDFIGEMAQMMSQTRPTRQESFEELQQLFVDMFQADLDSGFCNGHSKGYRTQAQSQTRTPSTSPSTSPSPPPPVSTEAKSPSCNGINKRDSSAMDSGKPPRASEVGVGQSQSGFCFGRSDAKPGAGTRGGNTASSRRRNGRKQKVSSKHDVSSEDKMPGSQRHAAA >OB02G41760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24845656:24846202:1 gene:OB02G41760 transcript:OB02G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54050) TAIR;Acc:AT1G54050] AGAGGRRSAGDHANHAGRGHGDRIGGIGGGGGGGAPVDIVETLGTYEFVLDVPGLSKSDIQVTLEEDRVLVMKSSNGKRKREEEEEDGDCKYIRLERRASPRSFARKFRLPEDADTGGISARCENGVLTVTVKKRPPPEKKTKSVQVTIA >OB02G41770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24855416:24855784:1 gene:OB02G41770 transcript:OB02G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVATGKRASRAKFKRIARISRNNLYLLLRQLTDFSSPLPPPRREFSSSSSSSSSSPRFPRSKFLFEKLHGSRFEHRGRSTRPGGGDFRGGGVAARRLRRWLGGGNALPQASGRAFRVPAGGG >OB02G41780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24855868:24863550:1 gene:OB02G41780 transcript:OB02G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEAAAEQEAEAACLQSFELYESESRFYIFGTNTGKTHWRLLKIDRLEPSDLDLHECPTVYTQSEYCGLLKNLDKEHRLTGGIKFVTKFYGIIGFIKFVGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKMRSKFLNSKDENRYRKILNTLDLRKDFFFSYSYPIMRSLQKNLSDPQEGWSVYESTFVWNEFLTRGIRNFLRTTLWTVALVYGFFKQDKFSISGKDIMFTLIARRSRHFAGTRYLKRGVNERGRVANDVETEQVVYGAGPRPTEVSSVVQNRGSIPLFWSQETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKKRERRESILRREFDRAIRIINESIQEENHLRFLHWDLHENSRGKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSDIAHHWPSLLSGLDPFLCEENSNSDNTDCMEIVGDMSQEDISGSSDSSCNGTVEDKAENNELPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQSAINLFLGYFQPQQGKPALWELESPSVDHIGVLSGDHTRTMKRVKSDGNILCESNTSISGCSGCYNKDKEPLNAASPDVKCGSQCPVSESHSVQDNEISSTCESEVSNLRHTPTLSQIHHVPGIVEIDCCINSGDSNFLDLEWLSASGNSSDERSIAISTPDINLSAENVIGGLNSETMENQGADVHAQNLPEHFVQWVNHGDTFWY >OB02G41790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24864185:24867657:-1 gene:OB02G41790 transcript:OB02G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILTSLKGHPAGSRRVTEALLWPEKKKPRWGGGGRRHFGGFMEEDEEDFEADFEEFEVDSGESDLELGVEDDDDDVIETKPVKRALSRDNLSTITTAGFDGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPETPTTAQKRRAGSTTAKAPKSKVEQKPTVKPAFNNLVNTNAFVYPSTNFTSNKPFVQPENMLFVPAMNSAAPIEDLIINSDQGSNSFGCSDLGWENDTKTPDITSIAPISTIAEVDESAFIRSNTDNSVAPPIMENSTVDLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVASNMDLWSFDDMPVSDF >OB02G41800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24874678:24887019:1 gene:OB02G41800 transcript:OB02G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18730) TAIR;Acc:AT3G18730] MGRGGGKKHLQLAKEADDLVEQQRASTQLGRTYYEILLKSENDHSAMRNAKKYFKSSMKLARVLKENSSSQKALFLKELIDAYNNMGMLELELDNYEEAEKLLIQGLKICEDEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQIMKCLEDEDALMDQIHQNIETVTKAAKVLEEMKADEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKASMITAWSKLKEFSKAQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGDLSNVQLDALENMHYSHMIRFDNIEEAKKLQQKIVNLKRALDQHAARDTVSDYCSETESEDGGVSDNMFDTEDNDANVANNISEESDDDVVLASLLHKSRPSKTKTSNHSIPKNVDGLRGMDEGTKEVLSKSCSNHSGRKRVRVVISDDEAEESPEIYQSKRAFTGRADSLSTSERITNAATSNRNQHTSHPTETREVDSVCTPCPPEESICSFKSGSPVRHGDDAPDLGASSIRKLSVSKPAASGSKVGTPAANSHPQGQNAAGVHSSDADHKFWVFKIGEHLVYLDANSCTCEGAFSIESLKVEVACVYYLQIPDEKRSKGLLPIIGELKYCGKVLDDTASRDYIDQLASEQKCIDVIIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQVIYYPINLFLKVKPQICECAVMTDRLEVLNLSGNRLTDACGSYLFTVLQKCKALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGKNNPVSGNTMFNLLSKLASLKRFSELSLTGIKLNKLMVDKLCLLAQSSCLSGFLLGGTNIGSVCDAIVALLANSQCSLRSLTLDRCNLGLAGIVYIILAVAENDQLEELRMAENTNLALQRTLHYDEDVQDVSPGTDQNQRTNAEANNDVALGRVDLDKMEVPDSEDEAAGNEEPRAAPGPDTSCASSCQRNSYSGCHSIQELAEAIVSAKQLKLLDLSRNGLSEEDIQSLYFAWGSGPRGDGIARKHVAKEKRFANVNLDDLPKHQFTTTHSPSKTSKAMNQMASRSSSHHPSSSIATPRFGTRKAAMIRFIRARVHSCSSSNAHLPQPAAPVKMVFEDQVRGVVCYRDDKGEVICEGFDEGPRLGMRLPEKACFPWPMGVQVTDFIELSTLRVFEDIDFQQPKKEKKRKF >OB02G41810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24892802:24895569:-1 gene:OB02G41810 transcript:OB02G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLVRALRRLGPAAGDGRPLLLLLPLAPLSSKPAAPPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLAPVVKSLRGLDVDRQDIPRVLDRYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEMLPLVIAQYPPILGLPLKAKLAAQQYFFNLKLQIDPDGFASAIEKLPQLVSLHQNIILKPVEFLHGRAISNEDVARMVVRCPQILLLRIELMKNSLYFFKSEMKRPISELLEYPEYFTYSLESRIKPRYMRVASKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNEESEDEVLYRRTVML >OB02G41820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24900520:24900687:-1 gene:OB02G41820 transcript:OB02G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding RELRLRRQGIYPVSQPNFRLKSLHCLSFFKAVTFSRLIFGATYNYLSYKKICFIL >OB02G41830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24900797:24912441:1 gene:OB02G41830 transcript:OB02G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MGSAGSEINDTLLGNGVVGILAETVNMWERRSPLTPSHCARLLLGGGKGRTGVNRIIVQPSTKRIHHDSQYEDVGCEISEDLSECGLIIGIKQPKLEMVLPDRAYGFFSHTHKAQKENMPLLDKILEKRVSLFDYELIVGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDPEKLSELSKARSLSQHPQSSKRAFKLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHEKIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPSTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVVRLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTVIELPPAPTNILPDKKYNTLVSLSGHLFDKFLINEALDIIETAGGSFHLVRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSVANAHHADTNARVETELSVKIGKVNECGIDDSMAKEGSKVLILGAGRVCRPAAEFLTSYSNIFGSGANDHDINQIHVILASLYQKDAEETIDGIKNATAVQLDVADIKNLSNLVSQVEVVVSLLPASFHATIARVCIELKKHLVTASYVDESMSKLDQAAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHARKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFLGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDQYGISKEASTVYRATLRYEGFSEIMATFARIGFFDAASHPLLQQTTCPTYRDFLIELFNACDISTTATKEYSEVSGGQDGELISKLLKFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQRKGVIRPLEPEIYIPALEILESSGIKLAERVEI >OB02G41840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24905367:24906119:-1 gene:OB02G41840 transcript:OB02G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLKCEGSSNSSLAEANLTTNFDNMSPKCWEASLENSVGRMSTAKQITPLPSMSSWHELVEGSYLDKGLSIEVLLFTNSMEPPISQVISEIPTKGQPFFISCCNWSMLSNRGNLLSQYMQFTV >OB02G41850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24912506:24912730:-1 gene:OB02G41850 transcript:OB02G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWCSSAYLEPSDQTSQGSSDEKLNIFHPKPYPLPRLFFFFLVVEWSGEWSLPFYPSGHPSIPSRPVKLLPSSF >OB02G41860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24912017:24922258:-1 gene:OB02G41860 transcript:OB02G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OB02G41870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24923348:24923847:-1 gene:OB02G41870 transcript:OB02G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYRMPVEEKLQNDRTRLGRNGAVTFSIICQSTHLRHGSIPQMFGVYLGTLML >OB02G41880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24924976:24925469:-1 gene:OB02G41880 transcript:OB02G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAAAGCHLLLLPTTSRPCGGPPPRALARASAADGRVSVVGRRPLEGGYKVRVERGAAARERVEALRVMETWSTWRTGGRCRMPWDWQVDQLVYIVSGEVRVLPNEATTGEEYMHFVAGDLVRYPKWFEADLYFDGPYEERYRFLAYGDDN >OB02G41890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24926425:24927462:-1 gene:OB02G41890 transcript:OB02G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LHS2] MPPAPSGLLKPANGSKHEAAAMARKHGFPKLSASSKALVLLPLLLLGFIYLFVYPKEFELQALMSSCSPPLGAYTAAPRRLAGEPPASRKPDFRLLIGVLTRADNYERRHLLRMVYGLQLAAGDLTAHVDVRFVFCRLYKDDQRVLVPLEILRHGDVIILDECEENLNGGKTYTFFSAAARLDRDEPYDYVMKADDDIFLRLPRLLESLAAMPRDDAYYGATIPCDSMDPFREYMSGMAYALSWDLVEWVATSDVPRNRTVGPEDRMTGQWLRWLRLGGKGKNRFNAKPAMYDYPLPAPVDKCSHEFVPDTIAVHRLKDNPRWAATLEYFNFTAGLEPSKFYKID >OB02G41900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24931669:24932604:-1 gene:OB02G41900 transcript:OB02G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LHS3] MLAMKRLSSFVFLLPFLLLAFVYSLFFPGDFTILPSLARCSNVQETTASSINRTEEPAVDLRVLLGVVTRAEMYERRALLRLAYALQPPPERAVIDVRFFVCSLAREEDAVLVSLEIIAHGDVVVLNCTENMDDGKTHSYFSAVPGLFVDAPYDYVGKVDDDSYYRLAALADTLRDKPRRDLYHGFLAPCHAGPGAPRFMSGMGYIVSWDVAAWVAATEALRRDVRGPEDEVFGRWLRSGGKGRNRYGEETRMYDYLDGGMREGVNCFRHALVADTVVVHKLKDRLKWARTLKFFNATQGLRPSKLYHVDL >OB02G41910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24937110:24938043:-1 gene:OB02G41910 transcript:OB02G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LHS4] MKAMKKSFSLVFFLPFFLLAVIYFVIFPNEFRIQSSLATCDGGGTAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPVRAVVHVRFVVCNLTKEEDAALVGLEAAAYGDIIVLNCTENMDNGKTYTYFSAVPRLFAGDPYDYVGKADDDTYYRLGALADALRDKPRRDAYYGFLTPCHNRPEVQYMSGMGYVVSWDVAAWVSATTELQNDLVGPEDKLFGRWLRWGGRGRNVFGAEPRMYDYLDGEMRQGPTCFRHLLQPDTVAVHKLKDNLKWARTLRFFNATDGHASPLYNVDH >OB02G41920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24943500:24944447:-1 gene:OB02G41920 transcript:OB02G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LHS5] MAASIGAWLVPVVVLAIFYLVLFPNDLSQLQSALAPCSDGSPVATAASELTKTAAAAEDVDFRVFFGVVTRADFYERRALLRMAYALQPRPRRAVIDVRFVMCRLDKEEDAVLVALEIITHGDILVLNCTENMNDGKTYEYFSSLPRLFAGEPYDYAGKIDDDTYYRLEALADTLRGKARRDMWHGFLNPCHVSPERQYMSGMGYIGSWGAAEWIAASPELREDHEGHEDKAFGRWLRRGGRGKNVYGEEPRMYDYLDREMYAEVTCYRHELMADTVAVHKLKDRLKWARTLRFFNATDALKPSKMYHVDLTPKI >OB02G41930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24950896:24951527:1 gene:OB02G41930 transcript:OB02G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYVYKAKTKSSGTQYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMGRKVRVFMYPSSI >OB02G41940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24955307:24963399:1 gene:OB02G41940 transcript:OB02G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21530) TAIR;Acc:AT4G21530] MAEGEHMEEASTAAAATPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVILHRFNWQRLWTISPGKCITSICWSPDGKIVALGTEDGLVLLHDVENGKMLRRIKSHDVAIVCLNWAEDEPLSRTDKDGLLSYEDRTTRFFPPAPVIPRIGGLNSGDTGLADENEESIQEFSSASCHRFNILCSGDKGGCICFSIFGIFPVGKININDVPIHVQSSGNKTCYQLQDASISKVCLSGNLHQLVLLCPGKLVDIDNLSLSNHISVGLHCLHLDTSIFFNRKNELHQISQQASSIQDLVEVVRASISMMAKQWSSAMNLFNEKFSALPSLIAAHGMESSSEDEFMSLLFGTRTSPALHQFLVSSLGEAALKRIAKAVDSAGRELRVVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLIDGVTEKIGMLVVQVERFSRVAATVLYLVPSSCISILNLCLNLGILRKIPLLVSEYDMSVHVVSSEFQNFFSWVLKCVKILLSEPTDQVPAANSELVVLFLKFLLDKDPIKQLLDANQSFECDLDTVRHLEQLVVLGGFTDTQFLDKTLMKQFNELDESLEEAFLMPFTTISSQIHCQELLPLYPVASSVDLSSSYILSSASFYKDEDSQNSGSSYSLTDYICFKIPEGSLNLKNCIGVIKNFSNSSSSRPSLSGCLLRIPDEYECIDVSLYKDNQIVVLLSERPCSDSPGRSYVVMLRTENFSFVPLSRMFPSNIYSVQELSALELQLDTDYGKVRSIPHAVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDEASDME >OB02G41950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24965222:24975207:1 gene:OB02G41950 transcript:OB02G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRFLLAVCPASLHLWSAAHHRARLARLDRSPESLAAHGENAHAVWSPDAKTVAVLTSSFYLHVYKLQFSGKSLIIGGKPQPGLCLASISLIIVEKVPLANGVSITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVRDALVFDPSSLRENSNTSPAPCCTGNSAIIHVELSVKLRLLVALYSGCHIGLCTIGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVELYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEESLSERVLAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASHDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYMESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVLQDHILVTYSPFDVHIFHVAISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDDGKLKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQALTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSQGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRISFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATTDSESPRFLSYFLIRSPFKRQSSDLKSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFREYLDLLNTLEEELSSVADLTLQNGPMS >OB02G41960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24975438:24977125:1 gene:OB02G41960 transcript:OB02G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLNLQGELFCSRRFSFLGPLDREAAGVTLTDYEGGVTETTAGADRPADRRDTWLGSGSRPAALLDFMVSWELHVGIGYGGNGQKFYV >OB02G41970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24983044:24983232:-1 gene:OB02G41970 transcript:OB02G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRRPALGYLPSSRKRGEKKKHNRFLPHKSLAPFISSQKRKGSPFSPAKTEGIITIKDKNE >OB02G41980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24983651:24988614:1 gene:OB02G41980 transcript:OB02G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein with octicosapeptide/Phox/Bem1p domain [Source:Projected from Arabidopsis thaliana (AT5G57610) TAIR;Acc:AT5G57610] MAPAAAPAGVSASSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVTYADLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAASAVVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGQYGVHDPRDFPISPRFQVGAEDFDEKIPDDFVRLSPKYRHYEVHSPQHMDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGSTCDPRYADPRWRPIQQHFDQPSMTNEYSGHPTNSCPDCSRPGDRFVLNQDVRLENGVYVKEQTGSHHPPMFYNESHSHDRAWHAHANQSHQRYDDPRLHLPGSGRVMEPYIVDSNSVNSAFTPNKAYDIHSASLSRSSHESPHYFHGSSEHGTDTYHIQQVGGGGPYVQASGLEESTGQHYSHSSAYGADTFYQMQQNLPPIQSLRRRDNSPVHTGSPYDSPHLPVPNGGITSNFVRNTGDVSPRIPGMPAYDRMPNVWPSPNGSIPYRVVAHDIPAVVENPGALGPRSNPNSGHYVQPLLAPESVQNQQGAPLMEIHPERACAGSMLSSHVDGRVAVSALPLTDQLSRMDINPLKKQGPEHEKLTQNVNETNSLHVLNDPSELPHHVGVVSEVDPKQRKPVEHETDTAILPEGGATALQECGDISEDRLKFLPELVASVKKAALEDSEEKEKAQQGARPALLPACDEEGNGKKLDEATTGNTDADQDSDVHGSGEQQKSSKIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGDEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMESCWASDPADRPSFTEISQRLRKMAAAMNVK >OB02G41990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:24997416:24999645:1 gene:OB02G41990 transcript:OB02G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANQDPCGLAGGGATGGAASCGGGRIQLHMRLQGLYSAFGCTASSNVVTPPQWPKLETLMPNRPLPAASAGVTSVEMTSSSMASGFAGYGIHDELYDFLYKCESGAQDSLIPSLPELQCPDGSAIIGADEKFSTWTSSCDYGSGGSGEYGLGYDQ >OB02G42000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25005732:25006368:1 gene:OB02G42000 transcript:OB02G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTCARARIAVAVVLVGIAVLFPGNAVVAVGAWLAEQPRYNYWSNSCAGGMCGHYTQIMWRATRRVGCAMVTCYNGRGTFITCNYDPPGNYVGVRPY >OB02G42010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25012040:25012613:1 gene:OB02G42010 transcript:OB02G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVALVVSALLVHPLLATASHGVARRRQVRERDGVRHRGGEVRGVRRAGRVGGVGGVPLLPQPGAAGAVGAAAAGAVCAVGQFALGENIFWGGAGSAWRPGDAVKDWAAEGVDYSYAANACAPGRECGHYTQIVWRGTTSVGCARVVCGDGGVFMTCNYYPPGNVVGEKPY >OB02G42020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25015149:25016064:-1 gene:OB02G42020 transcript:OB02G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSRRLSCWCCCVVVAAVLLLSSPHTLVAAAGAAPRRLLQISEAQQFVVPQTPPPGDLRPASAQVEQRPGGEGDAVGGPEAQHYDYGANACAAGKECGRYKQMMWRDSTQVGCASVPCASGETLMACHYEPQGNIMGQKPF >OB02G42030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25018082:25022156:1 gene:OB02G42030 transcript:OB02G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRSNLKNISFVAHSLGGLVTRYAIGKLYDASINAEAYLDKDNFSDEQRTSNGGKIAGLEPINLITVATPHLGSRWNKQLPFLFGVPFLERTAAGTAHFIVGRTGKHLFLTDTDDGKAPLLVRMVEDCDDGKFMSALRSFRRRVAYANVTYDHIVGWRTSSIRRQHELPKLQLAPSDKMYPHVIHVDKGNSEHHLPEESVEASLTYSIEELMIRGLTQVPWERVDVCFHKSWLRYNAHHNIQVRIHPVNSDGEDVIYHMIDNFLV >OB02G42040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25023012:25025138:1 gene:OB02G42040 transcript:OB02G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASGHDERRHCRDTATAGQFHHVAVREGDEHVGEEGVPGLLGAGVVGHLLHQRRPSPERGPVPAAAVERVGRPWPRPPQLRGGGAHAQQVGRFLRQREHVALPEQQLLLVLRCNGRHGGRDEAAAAGAQADHGHVQHGVQGGAHGEAEGDGAAALEDGGGAAVAGDAADRGVGHGADRAGEGQGEGGHRGGGGVAAAGGAGGAEADQRGDEGAQGGRGAAQVHGSRPRRGQVPEVHHRGDRARHRPLRRAPQDRRGRLRPGLQGPPGPHAGGHQGAPPRRGAGEVAVPAGGGGAQLHPPPKHGAPPRRLPGLRLPRLRVHGQRQPLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGLLGVKSDVYSLGVMLLQIVTARPPMGLTHHVARALEHGALADLLDPAVQDWPVDEARCFAEISIRCCELRRKDRPDLANVVLPELNRLRALGEDNMQFCDTMNGRSSLQSSLFHSNSSIHQPYRQNDMASDPHSVGRSPYNGNTSQHAVPARRLYYN >OB02G42050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25024232:25024933:-1 gene:OB02G42050 transcript:OB02G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRVAVEQRTLQAAPAVHGVAELHVVLAERAQAVELREHHVGEVRPVLSPELAAADGYLGEAARFVDGPILDGRVEQVGERAVLERAGDVVREAHRRPRRDDLQQHDAEGVDVRLDAEQPRLLVLRVDVAEGPRRRRHVALRDAVGDGRRHEAGEADVADLADVVAVEEDVGRLQVAVDQRLGLGLVEEEQADLADVVAVEKDVARLEVAVDERLGLGLVQEQQGLPLSMYS >OB02G42060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25026867:25029253:-1 gene:OB02G42060 transcript:OB02G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDHMDAMPWHDDDDDLRCSAAVMFSDSGEGSIVWTPWMMHARESRSRAGNRFFKQGGTLRSMDGRNITEGVVILHETSHELHRKKQSGVIFKIDFEKAYDKAKEDGQSVGVVPHLIDEGLTILQYADDILIFMDHDIDKAKNMKLILNVFAQLSGLKINFHKSLMKVKDSFLALGSFMVNNGSQVLFRVTYWLRFWAHLQKHEENK >OB02G42070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25033781:25034677:-1 gene:OB02G42070 transcript:OB02G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHRSTGRPYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIANEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSRNRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPLGENLGKQGDWAALMCAICYSDSPAAPPNASPEFKSFISCCLQKNPARRPSAAQLLQHRFVAGPQPQPQPPPAPSRSRSPPRPPAAAAAPRAPSFMMNSDGIPALIRARAWMDGGWH >OB02G42080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25049347:25053691:1 gene:OB02G42080 transcript:OB02G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRMEQTPGVSGEHIIDIPRDSGPSASTSSVPRENPGESNPVDRPPTRALVPALQAPSAVGAPNAGHSSGARRSDNYVRRHRSPLNSGLWISIEVLVNLSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENESAHTHQGSSQNNSTEAAPSASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDTRRPNQTDIPVQEIEMH >OB02G42090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25055583:25055783:-1 gene:OB02G42090 transcript:OB02G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQREVLSTGPLHCCALVVGIHHPRPSSPSLSLTNSRCGATAPGFDNHTSLQTVRPSPSTKVGERT >OB02G42100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25062224:25068922:1 gene:OB02G42100 transcript:OB02G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLERSASSSSSSLFLILLFPLLAAALVVVTAQRNAPPVASVRVGVILTAGSPIGVRRRTGLQMAAEDYYAAHPGSATRVELHFRDSAAGDVLASASAAVDLIKNVQVQAIIGPPTSAEAEFVVHVGSHSHVPVLSYSATSPALSPVQTPFFVRTAVNDSFQAAPVAAVLDAFSWRAAAVVYEDSPYGSGILPSLADALQGVGAKITDRTAVPADATDDRLDALLYRLDAMPTRVFVVHMLYDVAGRFFRRAKMLGMMSKGYIWVATDGVATFMDRFSPEEVDTMQGVVSLRPYVQETDAVKNFSARFKARLRRDSPAVDDVREPTALRFWAYDTVWAIAAAAEEAGVASPAFQTPQTRMPLTDLDRLGVSATGAALLRSVLNTTFDGLAGKFRLVDGQLQPPAYEVVNILGRGPRTVGFWTPESGITQDLNAGSAARTLKQILWPGEPRETPKGWTVSPTGKPLRVDVPTKRGFTQFLDVGNVTLTGNRNITGYCIDMFDEVMKIMPYPVSYEYVPYPESSESYEKLVDQVSTQKADVVVGDVTITASRMEEVDFTMPFTESGWSMVVAVQKETSTSMWIFLQPLTTSLWLTSLAFFCFTGFVVWVIEHRINDEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGYQEGTFIVPLLEKMGFEGRMRSYSTADQYADALSKGSANGGVAAIFDEIPYLKLFLSQYCDGFTMVGPIYKTDGFGFVFPRGSPMVADVSRAILTLAETEKMAQIEKKWFGEPGACQSQGSAVGSSNLSFRSFGGLFLITGVVSTAMLLIYLATFFYREREKLRAAEAAAISGASGSGSPSIRRLRAWARHYDQRDPKSRTFKRSIDESVRNGSEYGNRTPRWGDESPFNGAGAGGDAGRIPEDAVVGMSPFSVSVSTSSERNNNAVSPPPTPGDMPASEFDGSFERGAVAGTSQPR >OB02G42110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25070360:25077834:-1 gene:OB02G42110 transcript:OB02G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:J3LHU4] MPLYARRAVAAAVKAKDEAAGVAAAGEKGRGEEGEVEAEAVTPVVVFVNSRSGGRHGPELKVRLHELINEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDDCAKTLRKKLRIVVAGGDGTVGWVLGCLTDLYRLSREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVPMPSSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >OB02G42120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25085094:25087113:1 gene:OB02G42120 transcript:OB02G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:J3LHU5] MAVEAAATQKIRWGELEEDDEGDLDFLLPPRVVFGPDENGFKKVVEYRFDDKGNKVKVTTTTRVRKLARARLSKSAIERRSWPKFGDAVHEDVGARLTMVSTEEIVLERPRAPGSKAEEPSTSGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQAEAFVDRPPTADGPGPSGGTVKGSYVPPSMRPGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >OB02G42130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25087575:25088147:-1 gene:OB02G42130 transcript:OB02G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRANKVSTVHVKSGEAEGSPSTESITQDEETLQRDLEIAIKEEDYAQAAKLRDELRVLQEDSRSAILAANTRFYNAFKNGDLVTMHSTWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGRQVATNVFEKVDGKWFMCIHHASHFDE >OB02G42140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25096869:25099494:-1 gene:OB02G42140 transcript:OB02G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELATKIKELGVAIRSELLSPASKSTGVVVNLGNLQWLVEERCVAPGEQEKRRDMVLDTARAAVAEMARILGPSGESDHRVWVIGTATCATYLKCQVYHPALESEWDLQAVPITPRPPPPPSLGLSPSVNGVNRGILSSSVEVLSSAMTTSAMQRAPSLCNACLDGYERERTEVAAAPSAALHPTEQPMSQWLQIGTPSSARPPLDRAQDKAREADELRRRWLDRCAQLHSHGAGRTSPMVTCSEWNGASVLANMQPMPVRPPPQAAVDTDLALGPAASTTTTRPACDTDEKLLVKRLTEAVRWQPDAAAAVARTIAKVRSGEGRRRSVGTKADTWVLFSGHDVSGKTKMAEALSMSVFGTNAVTVRLGCSQAAANNDGGESIMSCRGRTALDRVADAIRANPFRVIVLDAFDQDDRVMHASLLSAIESGRLVDSVGRDVALGGAIFVLMSPDDTRRCQEEFTDSPWNLELRVRNKAPKRRPEQPLDGGGDVRRLKPRKDSLLHLDLNLSMCEDHTDDDDSGGEESRNSSSDLTVENEQEYGQPAAKSSTPSSISELIKAVDGTAVFKPVDFGPLKRSVSDVVSAKFGDAAGGGWSVHVDDGVLDRLAGAAWTAGAASATSLEAWADEVLCPTIRQLKRSLSANDVDGATVSLSAVEGGGRRKDGEVFPTTVTVAVDGN >OB02G42150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25102965:25103168:1 gene:OB02G42150 transcript:OB02G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPCSSLLGGWHFGGEIIIPIQFVSFHVMSCKQSWCFLSPLAQLASPIPRSLGDGGERKGGKRLPGHFS >OB02G42160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25103569:25103820:-1 gene:OB02G42160 transcript:OB02G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSAIFLPSKVTNPFSSFHWCLKLPDLFFFFTTKGLCLLFCYTPSPSILWAWVGIMHDALCQALLSQVPSHLTLLPMERES >OB02G42170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25104191:25110844:-1 gene:OB02G42170 transcript:OB02G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G41190) TAIR;Acc:AT2G41190] MAAAEAKQREEEEEEEMTLDDGDIEESPRRSFEDGDSEDGGDEEREDDEGLGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSIFEGGRSSLGSDLKLPLLSDKLDGKQESVKSLRKTLGSAIDGKSSFLTQHTGEVYIGQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWVGLAVLAMFAIVCCYTGVLMKYCFESKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFPHIGFDWLGIHVDGKHFFGVLTALVVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVGLVGATDGVGFHLTGKAVNLSGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIMGYLMFGEKTLSQITLNLPKHSFASKVALWTTVINPFTKYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIRQNKATTPQIIASVGIVILGIISAALGTYSSVVKIVENY >OB02G42180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25112904:25118556:-1 gene:OB02G42180 transcript:OB02G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRNVDDEMALKRQRTVRFYDEKAKPTIPTHQKQAGFAASKLGVASSGKNKIFMPGDELWHNKILDPSSDFILTWNRIFLFSCFVALFIDPLYFYVPKVTYGTSYSCIGTDRHLAITVTFFRSIADLLYFIHIILKFRTAYMNPNSTMRVFGRGDLVTDPKEIAWQYLRSDFVIDAVAALPLPQILVWFVIPAIKYSTAEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVVGALWYLLSVDRQTACWKSNCKHENGCNIKFLDCDVIPDQTWASRTAVFNNCDATVNSPPFDYGMFQPALSNQAPGQSFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPNKLRERVKRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDRQLLDAICERLVSSLCTEGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLRFVASQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRHRRRKMARDLSVMESFSSMRSDYSNSEDEYPPKQNLALKILAGSRNPQNMKELPKLKKPDEPDFSDEPYE >OB02G42190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25118118:25118974:1 gene:OB02G42190 transcript:OB02G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATKSSATTSWLHRPNLAAGRTKTDLLRIGGRRAVSAEANGLDPNPNDGICHRAKKRGSRELTDALLSDWHVPAELQGNSCPNHLTGDAAFLGAADCLQVGYSEDGLIKASCQRKRMGETHVFVLYCSPRMA >OB02G42200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25118373:25118555:-1 gene:OB02G42200 transcript:OB02G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKSSLFLSYSSSSPDDHLQASFSPLLIAKKERTKEKKSNHHWLTINPSNKCTTNPKAID >OB02G42210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25121116:25123958:-1 gene:OB02G42210 transcript:OB02G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNTSGRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSRSPQYRKSPTYGRRSYSPRDRSPRRRSVSPARGRNYSRSPRGRGDSPYADGRDGARYRRSRS >OB02G42220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25125290:25128834:1 gene:OB02G42220 transcript:OB02G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLTSSDEEQEEDYDAQNSPSAGRREDILRTSTPSSLEFLDAKLKALDLKYQAPNAAKLYLHVGGASASARWVPAERRATYSFVDKGGDRGDGGSSRWVLEVGPGPRVSAPVGPALQLKALPGQRRADFAAGGSEYDRCLFENTYGVEATDEGRKEVFGADFAAWARPGDADDAVWADAEDTFSPPVAAPARDLLEEFEEEAGDDSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRISGGRGGSESAYTTPQKALLMRGETNMLLMSPGEAGALHSNGVHHVDIETGKVVAQWRFEKDGTDISMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLQWSKGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGAENKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKDESIVDSRFMHENFSTTDSPEAPLVVATPMKVSSFSIANRRLH >OB02G42230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25129234:25129548:1 gene:OB02G42230 transcript:OB02G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRRRQSQWHYAMAVLSLCLCVAVALSMSRCLRDLLPLSPNNTISHSCSRCRTTYISNAQPPSRRYYKTVPPPPTCTIYRPFSLRLFLLLLPSRTRIMDPW >OB02G42240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25145369:25149403:1 gene:OB02G42240 transcript:OB02G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLAAAADDAAPLPPSPASSLRLPRVMSVSPASPTSTSTPAPARRVIVSHRLPLRAAVDAASPFGFSFTVDSDAVAYQLRSGLPAGAPVLHIGTLPPPATEAASDELCNYLVANFSCLPVYLPADLHRRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRALYHSFLSANRAFADRVTEVLSPDDDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTITVKILPVGIDMGQLSSVVSAPETGELVGQLTETYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNARFGTPGYTPIVLIDRAVSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTVLEDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMPDAEKRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVMPEGSTDRAPSIDKAPSNEVISVLNRLCEDPKNRVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSPWETCGVAVDFDWKKAAVPVMNLYTEATDGSTIEQKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVDNLLSSMVSQGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPTSTEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQRPRQVQLRVSFEGSL >OB02G42250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25157608:25159642:-1 gene:OB02G42250 transcript:OB02G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQDPSPTPSSSQQGLYRLSRKIRALDRRAYKGGVFVLVWICSCLVLCAGALRMSNSTWQPPPEQPPSSPSAIDGVENKISPSIVFIVAILAIIFFVCGLLHLLVRHLLRLHRQRRAREDAESVTAFQGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGGGGGADGKDPFDCAVCLCEFAPDDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCSPVVMVLESESTRDMVPSANRARDGDAVADQADVGVEDAPGHEGAEEVVEVKLGKFMCVEGNVNAVVAGEGDGAGTSSNDNGDANAKAGLGQRRCHSMGSYEYVMDAHASLRVAIKPPKKKPNPAVSKSRRRGAISECEFGASKRGESSLRLPPFRTPTAQKRHDPDGAVAGAKLAKDSFSVSKIWMVPSKSEASGAAATERRAVSFRWPVAAKEEEGNKKSGSEADWDVEAGSCGGNSVVSSVAEERPSFARRTLLWVVGGRQLSRVGSCS >OB02G42260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25158023:25159486:1 gene:OB02G42260 transcript:OB02G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRDLETAGFFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRRRFLLRRLDGDAEGGVRVHDVLVGPHGVAPSLPETSFGIGVAIVVAACAGSIPFAGDDGVDVALHAHELSQLHLDHLLCTLVPWRVFDSDVGLVGDGVAVPGPICRGDHVAGALGLQHHHDGAARRRQLGEEAPPAERAGGVRQEPGVDALEVERVAALGQQPELVVGRELTQADGAVERVLAIRAAAAAHDVAVQEHRERVDEGLVHAGVVEVEQLLQLPLERRHALRVLPCPPLPVQPEQVAHQQVQQPAHEEDDRQDGHDEDDARAYLVLHTINRRRRRRRLLRRRLPRRVAHS >OB02G42270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25168523:25171522:1 gene:OB02G42270 transcript:OB02G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGGALHFAALHGHARCLRLVLVDYVPSTPNFWNLMNDSLSEEGSSADFDEDGLLKMVNQKADGGLTSLHMAALNGHVECVQLLLDLGACVSEVTIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPACIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSSPSISSHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPAFCMGAMDTNPCLIRCSRFGSSLRRSASQGESSRRAWPLTFDGIAATGS >OB02G42280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25171076:25171291:-1 gene:OB02G42280 transcript:OB02G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKVRGHALLLLSPWEADRRKLDPNLEHLIRQGFVSIAPIQNAGLSFIAGNLWQLRERKDDEPIGGMRAH >OB02G42290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25171912:25172226:1 gene:OB02G42290 transcript:OB02G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIWLTLLLIQFQMFLANHLMKWQWGGRTWPVRARGLSRSGPGLIWLNFVVAAARGPRDCSGRVPEEARFPPARVDGPIRHTSFFRVTHLTLSSYQNNFVFLL >OB02G42300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25177039:25177194:-1 gene:OB02G42300 transcript:OB02G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRCCKPCNGPRILQRHHEQQGHICRHWHYGICMYHLIITIFLYACTQITG >OB02G42310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25177242:25178370:1 gene:OB02G42310 transcript:OB02G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPGVQAAGGGGGGSGRLKRALLRNLILGLRKAGVASREMGFHERKSAIKRAADAALASARGDAPCWSRSLAAELARHRSSAIRPAPSAEPCKALATPPRPSREMVCKKILRRSLLRRPKPGNTTAANRAYGAGVVLARAMVRKRTNVLKEIVPGGKALDVCTLLGETLDYAVSLKAQVDVMQLLVRTLQEQKKLK >OB02G42320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25180854:25182777:-1 gene:OB02G42320 transcript:OB02G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAPSTGDKPTVQIKVETTPGDWRFPSANQTKRCFTCYIECIEAKGEGSDECTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >OB02G42330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25191106:25192419:1 gene:OB02G42330 transcript:OB02G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDLFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPSLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNL >OB02G42340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25190758:25191482:-1 gene:OB02G42340 transcript:OB02G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPSPRLRSASEQWVPTNPAAPVTSTDIPGSRPRRPPRRGGRADLALPVQPTPRGREVLGGGVDERLEAEVRRRHGDEEQRPQEHGARGREAPVQLAVHRRRPLDLHLPRRGREEVVLQHPHLLRRRRRRRRALSCNPQQHAQESLVLVLVLVLFLSTSTQPYATRSTNKDKIPVPFVSNHDGIAPIPHSSTRRTNRPIDGLENFIREGKRGGPEGGQGLDVRACLRKGRRRGRGRV >OB02G42350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25197259:25203371:1 gene:OB02G42350 transcript:OB02G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWEKVAAAAAAGLRGERGERRKYPIRAEDYELHEEIGQGGSALVYRSLCRPLNEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVNAHCSFTNKQTLWVVMPYMAGGSCLHIMKSVYADGFEEAVIATILREVLKGLEYLHHHGHIHRDVKAGNILVDSRGGVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRQFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSNDFITRKLLEGLPGLGDRYQALKEKDEVLLAQKKMPDGKKEEISQDEYKRGISSWNFDMDDLKSQASLITDCDDTISCKDSDALSFYDLDANLPERATGPHLLKYDTDTEYINAQDNKWRTCKWPGQETQLRRNSKHQINIYNRDKCNGGPLQVADEPSPEGLPKVPKSSVGNAEDHDDRSKPPLIQQRGRFKVTPGHVELDKGHPPVLQKCHSMQAISHLPSLSIPSSFEAASTIIGGSFYMQLYNVLQTNMLQREQILHSMKQLSSCDMASPACIAPMGRSSSPSSALSVDRSLLEAALEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQVTTFTSINNFHNSLKVIN >OB02G42360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25203887:25208150:-1 gene:OB02G42360 transcript:OB02G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) B [Source:Projected from Arabidopsis thaliana (AT4G30510) TAIR;Acc:AT4G30510] MASSSSPPQQILCASFNQDNSMFYVGTKDGFRIFDAHNGRLCYEKNIGGIGNMEMYFGSNLLAIVGTGEQPVLSPRRLCLFDTKIGATKKDLNFKTSVLAVRLSRKRLVVVLQDRTFIYDLNSTTILEEIETVPNTKGLCAFAPKSEACYLAIPASTSKGSALVYKASEPELICQIDAHQSALAAMAFSSNGTYLATASEKGTIIRVYLVAQATKSHSFRRGTYPSTIYSLSFGPSNDLPDVLVATSSSGSLHMFFLDGARNRRNQTNRLLGSIIPGAITDALDPANHHIIHNVIPAEIKSCVVVHNVENSQNSSKLPALRTVVYIISHDGYFREYTINTKKSNESSWVLQREFSLLGTGNSTPQQDEQQMD >OB02G42370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25212683:25215586:1 gene:OB02G42370 transcript:OB02G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYRTTAVGVVVAVQEWGEDGLTGEAHARACLLERDALGCRCSELELPTHRGMVRRTRGGGCFAAAAVPKPWHCKSRKRRMVTKKTMGKMRRKKKTVSGCVGSSDFSLS >OB02G42380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25215782:25215934:1 gene:OB02G42380 transcript:OB02G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKNDREKTGRRELGESMGNDPLLYGIPATLSCPLCCVLVLLRSCEVVRG >OB02G42390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25224490:25226779:1 gene:OB02G42390 transcript:OB02G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWYPLYLTKEVPDDAALPLTVFDRQLVLYRDAGGELRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGQGKCVKIPQLPDGAKIPKNACARSYEVRESQGVVWVWMSESSPPEEKKLPWFEPYARPGFSDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGRQRTPHLRNLLRFEAPCVLTNTLEFVDKDGREQCFSAHFLCRPAGQGKSMLLDTQETVNGTYCSSNVVER >OB02G42400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25227015:25230652:-1 gene:OB02G42400 transcript:OB02G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT1G16180) TAIR;Acc:AT1G16180] MARIPLCAGINHFHKTPDREWFETDAVLRVSLGNFVFFTILAIIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIGAFSFSGLLFHWFTPSGHDCGLNMFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRAGADKPLLPFSKADEEAEKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIATQWATAGLYIWSLVAPLLFPDREF >OB02G42410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25234164:25235719:-1 gene:OB02G42410 transcript:OB02G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYGLTVQAIGPLRLRRAELESWDDDLNLGHIVFQDDGSSARLPSDSLSISEYADVSDEDGEGSSSEEGSDFSENGDQEDDSVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGASGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVAQDVAEGSAMKSKKDSSGEANGHSKKEDRKSLLAYDDEVKELRSRVEKLEEMMERNRKDKAFYEAALKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >OB02G42420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25236193:25239669:-1 gene:OB02G42420 transcript:OB02G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGEDEAASIELQLEQHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQIDEIFPNQESTSKAPEFSAETLDDVEPEPLEPQEFPVGSKCRFRHKDGRWYNGCIIGLEGSSDARISFLTPTSENMLMCKFFLQQRCRFGSNCRLSHGIVIPTLSLRQFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDDLNLGHIVFQDDGSSARLPSDSLSISEYADVSDEDGEGSSSEEGSDFSENGDQEDDSVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGASGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVAQDVAEGSAMKSKKDSSGEANGHSKKEDRKSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAALKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >OB02G42430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25240099:25241649:1 gene:OB02G42430 transcript:OB02G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46680) TAIR;Acc:AT5G46680] MKAADVLEARGVLVSSSRRRRDQDSANVGKGRCMGIKQPSCMPAWYSKASGAMARGRGRGRWLLPTRLLNVCLAALCRGGSLALAESMLVDAIRLGLPPDAVTYNTLLAAHCRAAGLEAGIAVMGRMREAGVEPDTVTYNSLIAGASRRGLPIHALDLFDEMLRAGIAPDSWSYNALMHCLFRSGHPEDAYRVFADMAEKGIAPCGTTYNTLLDGMLRAGYAMNAYRMFRYLQRAGLPVGIVTYNTMISGLCRSGKVGYARMVLRELGRTDHAPNVVTYTAVMKCCFRYGRFQQGLDTFLSLLDKGCISDVFPYCTVISALVKKGRMEEANTYSDLMLKNGSRLDSVCYNMLIHMRCQEGKLDDAFELVSMMEDGGLESDEYTFAILVNGLCKMGHIEAAEKHLCYMEMRGMQSNVVAYNCLVDALCKLQEVDAAIRLLQCMKLKDDFTYTSLVHGLCRVGRYHMASKFLRICLHEGNNVLASAKRAVIDGLRSSGFKNDLRKVQVALNMAKLLRP >OB02G42440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25246230:25254900:1 gene:OB02G42440 transcript:OB02G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MAAQPEERLDVLTAGGEKTGTSKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLAPIPLEAITLQESEVSAVRYMRLDEYKGCLAKESREYVPYDVDGAYGQLFSIIEERYKDNIKSRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNRALRDWLKAHANSSSFDKLKWSYYSINRSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPLNKPPGANFYPPDMDKMEFEIWKNGLPEKEQKDATGFFTVIKRSDALLPSTLAQSDGSNQAKTSDDLFIVPYSEEHKSSLVKAAELLHKASECSDSPSLKNFLRTKADAFLSNDYYESDIAWMELDSNLDVTIGPYETYKDDLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDTVSAAPIRVINLLYNSGDVEGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPSGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKCLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQVLQFNWLYEKGAFVLHSDGKFSVDFTKNFLLRKVEDAVESLSREILTIQAKGDKHAAKSLLESRASLTQPLRVALDKIESMQVPVDITPIFGTANKLFASDQ >OB02G42450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25260733:25262016:-1 gene:OB02G42450 transcript:OB02G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTADPDPAASSTPLHPSILFDAHAYIGDRPNGTTAEELTSSNRSIQVSIWAAAPPSPSRLFVHIPNLDPDLFCDEAHIVCSADGVLLLRVCIVWPKIPLQKKKKEEDYFIYRYQAGRPSLRRLPKPLPFNDDEAGLLPRGEHFTIAVLSVSLNGFNLQLFKSETWNWTNVSKVPVVTKQRPFPIQIPRNAARLNMHITTTVITLGGKGGTMGWVDLWRGILFCDVLSATPTLRGVPLPLPSALINHHKGIDGCPKPNRGIAVVDGCLRMVELDVHGEILPNHDPETGLHNFRVDNWELCMYTNRRITGAWEDWQLEHRVEASNINIDPAMHWKLRHSGLLYNKTEEGKERKLQNLLPCQPTLSLDDQGAVYLLTKVKFMQPEAWVLAVDVKGNKIQALAEFGIDRTLGLSLAYCPSRISSYMDA >OB02G42460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25264240:25265485:1 gene:OB02G42460 transcript:OB02G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPTLLPLHRLCRRCRSLFLLLPPTASCSSTCPPSPGTISLLHVSNCPLGVGKKVVKLCGFSIPGQRFYNLHIDKLPDNKNKGVAIRILSIKKGTADGNRVTKELTDLFPGLKWDWKVKQLNEKDFLVSFPSEENRNFFTRFPSFDFRCLTIKASVVASNMTEEAIDELISIWVKMKGVPEIAKTETYIRAITEMMGEFEELDDSSLMGDGPVRVKCACMDPRALFFKVHIYINKVGYDIFWEPEGYQPKGKDEDPPRDDGDDDHEKGDGGR >OB02G42470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25268709:25269784:1 gene:OB02G42470 transcript:OB02G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFGSRATRLEACLSAIPTYAMGLYFHPDGIHKKLDSIRARYYWEGIGDKRKYHMSNWESLALPKDFGGLGFLDTRLRNLALLAKWIFLVENGVPDNLCAELLRKKYLTRGGIFQFNKIEGSQFWKGLLNIRGWVKRGCSWLVGKGSHVFFWEDVWARSCPLKVYFHSLYIICNQQGCVVADAARMGIDGFTFRRNFDQTERVEWEELRDIVENITLTNDNDMLQWDLEHKKTFSSSSMYRFLSFRGIADYKMNIMWKAPIPLKVKHFLWLVSRDRIQAAAVLKSRNWEGSDFCKIYGEVKTTKHVMFDCPMAKFVWCVCRDALDWAIVPGSFEMVLHLGLEGFSDKHCKTVMMIIGA >OB02G42480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25276450:25282813:1 gene:OB02G42480 transcript:OB02G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGPTPAGDGDCGVVAAQRGRAAQGRHGITGMDPDSVILSHDILDDIESLTHEIYMCLDPRGSYIKKNCQQYFGLKYPRSSFSPSRALSLVKNRATPESPLAAPPHRHPPLAPATTSPDARDDAEARAEARVSSSIHLVGSSGPVMALPHGWRRAAARDGEERRP >OB02G42490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25280226:25280980:-1 gene:OB02G42490 transcript:OB02G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEQKRARQPRFVFMAKSEIDHLEDGYRWRKLVCAKGSQEQPIPKHPYKSRQRQKRSRFISIHINPGNARNARN >OB02G42500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25295807:25299619:1 gene:OB02G42500 transcript:OB02G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQEVLGKRRAQPTAPLHSPAIFSVEAEGVHGGNVLLHKRVKEMQVQPSPPSQDMHMLHESSPLGLKLRKSPSLLELIQMRLAMENSNKRDIKPKSLATSERMKASSFVANFLKIGTWEYKSQYEGDLVAKCYFAKHKLVWEILDAGLKRKIEIQWSDITALKATFHENGIGILDLGLARPPIFFMETVPQPRKHTMWQAASDFTGGQACLNRRHILQCPSNLLSKNFEKLIQCDQRLNYLSRQPYVTLDSSVFGSKMESSILESPNKSKGRDGFSHLDGEHEAHLSKCIDHVSPYDLSLMYKKDGMIDVTMNQQQSFSQPINWGASDIGFQADVSQELKSPHSNSFSQLESSISIDDLLSNIGDCIMEHKPVVGNNLSLPISDSSSSELLEKISQYLLSDSQGPLASDEECLMARVNSFCSPDVVPTTLPKFEPNDSGNIFVDSDGFDDELSSALDIETAYGTNAPTISRNDYDSYGELPRFLFNVPEDFDG >OB02G42510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25300144:25303023:-1 gene:OB02G42510 transcript:OB02G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPASADPPRIFWKSRRRPASANGRSLQQELNKEDADDQANNQAQEEAMKIDDTDAVSTDVHQEPKANLSEKRKALFEPLEPINGKRSSSEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREITGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVSMLMNIIDENEAFDEEGEVPPPDSL >OB02G42520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25304896:25308552:-1 gene:OB02G42520 transcript:OB02G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRAPNPLPAAAENEDNDTGQAEPSCSTPAHHQAFRPVTRSMTRKPTAIAASPDVKEGGPASTSRCKSTTGPCFSTQSAASRPSVTRVRSPHKVASSAWKPLTQPVAMDEDLKRTIAPSTNPSVKRSRVASSQAAKDSPTVHRGKKRNEESTSRGDQLDGAAIPSPSKKLQTGKNPSDVIPKRKLTIRNQDGKLAAPLSMVKLETESGESSVIVSSNIGHATRNDNCQSVEAVQLCAQQLQLDTKNNSNDIITEATANVTNQANLPVASGNTEAIVSGTSQVNQLTFPVTAEAIPNRAHHVKHLAAPFNIKPMVNRTSQVNKPVSPARTDATANRGHQVVAQNKVSAPVITVPRQNFQEDVQRKLAKLLIARRQISGSAPAGTSAPLVTPKLEIGKAKASPSNVLSDPAYANAKAFLIKQQEQLLQQYKSASSQQQQVHIKGPALADKDEAPPVEPLGTRCQLCKIDVAFRPQGDNARDNAPPVVAVLACHHAFHSHCFEAIYGLAEPSQCVACVDSGKA >OB02G42530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25311702:25324831:1 gene:OB02G42530 transcript:OB02G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITEVLQKDDGKDRWSVMYRPLQIVCWTELPASNYNCCSLTVRAEGCKKQITGGGKRTKRKGEARKCSSPGQFSPQQHHNGTISVDCSWRGGRIVRGGAVNAGGFETGSSSTPVRDAVAESQSAPRRLSGGRAPPDGLWPSMRNLSSSFQLEAKGKRSNGSSTDQTKTRDAAAGDRKRSPSRGRSATEQPENPHAKVIDHHRWPAMMGGRASVSAMSRSVDLTDKISRPALSSIPYRGVSPKKTSLSSAANTLSRSIDLADKIDRLVSSSISSRGSSPRTPTASNGTADLSKSMSVGKDAKPAAVAIPSRGISPIRTATSGGTRALSKSMDLTERDNSTLSSAVSSPGISPSVSVSSVSNATSQAAKSSRGLSPRRTSASSGSGALSRNVDLPENDKRPATSSGLLRGNSPRRRTASDGINAVAKNIDFAKKDSRAGISSIPSRGVSPRRRLASDVVDAISRSTDFSDKDSRPSTSSSSALCGISPLRRLASDGIDTIPKGTDFTDKSYRSSTSSAASRGISPRTRLASDSGGTISQSMDLTDRDNKPSTSSVSLRGMSPRRRLASDGINGISKNITFTEKDDRTMLSSIASQEISTIRCLPSDGADTVSMNIDRPEKVTRPATSSAASRGLSPRRRLASDGVNAVSKSIDLAEKDTGPARSTVALRGVSPRRQLTSDHVDSISKNTDFTEKEDIRPSTSLVASRGISPRRRLASDGVDAISKGINFTPKNIRPSTSSSRGISPRRRLASDGINALLKSTDFSEKDYRPSTSSAALRGMSPRKRVTSNYIDAKSMDFSDKDSSRTLTSSGASHGTPQGGSLASDGINALSEVVDTTVKDSLQSTSSVESGETSDTRIDVTSTLVKGIEFAPEVNTATPDGCNGHISESMDSHDTGTSAPSVSITSQEQSPSRTISDGTKTISEDANATKNDSRAISVRVPSRGASPRRRLASDGIGSISKSIDFAEKDRPSITMTTASRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSITPSRVISARRILGPDGVNAMSRSVDLTDKIKQPISSTVRKMSLADSRAKGPDLLSGDFKSPGSTNGNESQEENAGSNLDTPSNDSEKSAAPKRLVRTLSSPSRGLIRPSSPTKASSTSSFTPRRMPSPSRIRPSTPVSPCSSARSDSASSILSYIGDATRGKRSPSHMEDAHQLRLLYNRNLQWRFTNAYVDEMLSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWADLQTEHSTSLSSAIEALRASTLRLPVTGGAKADVLTLKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAAKESCMLNEYRELLGTAAALQVQESSLRTQLIQETE >OB02G42540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25318736:25319728:-1 gene:OB02G42540 transcript:OB02G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEASLLSGEIPHRADDDDVDGLLSLSEKSVLLDMASTTSEASLLLGETPRDGIDEMPALLSFLAKSMFFATALIPSEAVRLLGEFPLNKPDEVAGLLSFSGRSTFLDKAPLPLDADVLLGESPRDDFAACDVALDTLDTDTLGEIPGDDTAEERVLLSLSVRSILFDRALVPPDVAVLIGEIPREGIATAAGLASLPTLMLFDKSAVPFEAVGVLGELPRDEIDEETKRSILSARSILLDNVFAADDRDVFFGETPRYGIDDRAGLLILSVRSTLLDMALTDALPPIMAGQRWWSITLACGFSGCSVALLPLDGLLFLSPAAASLVLV >OB02G42550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25330132:25333038:-1 gene:OB02G42550 transcript:OB02G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVSVSEAVRIADENDLILAILSLDGDPPVLRLFDEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELIRRFQNDVGEMATEESKNFMERNIYVVLVPNKAAIQKEQDELNKKDIAIEDSDQSQDQPEETSQESKPVPEQTEESKESEAEVSANV >OB02G42560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25342323:25350275:1 gene:OB02G42560 transcript:OB02G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LHY9] MKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALKFLVSWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPNPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDATYKAIMSSCNFTSANVSRLCNRAMSYAMNHEFGDIDQYSIYTPSCAAAAXXXXXXXRRGKAVLRFKDTFIRRRSFGYDPCTETYAEKYYNRPDVQEAMHANTTGIPYRWTACSDVLIKTWRDSEFSMLPTYRLLMKAGLRVWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSARQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >OB02G42570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25348965:25349201:-1 gene:OB02G42570 transcript:OB02G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHPIPVQSPACSIVNDGSAAPAPATDSKPKKKICCACPDTKRLRDECIVEHGESACTKWIEAHKRCLRAEGFNV >OB02G42580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25351373:25355101:-1 gene:OB02G42580 transcript:OB02G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGDPQLPVPPVSEVHESSVDLRVSFAAKDVEFSDWKGDVLAIAVTEKDLIKGSDSQFENAVLKKLDGQLGGLLSEASAEEDFTGKAGQSVVLRLPGQGFKRVGLIGLGQNAPSTTAACKGIGESIASVAKSVQASSAAIVFASGGGIQEDFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDKKLKYANDLSSGVIFGKELVNSPANVLTPAVLAEEASKIASEYSDVITATILDVEKCKELKMGSYLAVAAASANPPHFIHLCYKPPGGNAKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPAGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRGATGFGVSTLVEWVLKNSS >OB02G42590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25356675:25366180:-1 gene:OB02G42590 transcript:OB02G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LHZ2] MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMGDDRFEAHIRGVAAHLLDNFSDHSFMISNFGTRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQNNFLIMHCEQGCWPILAFMLAALLIYLGHYSDEQKTLDMFYKQSPLELLEMFSPLNPMPSQLRYLHYVSMRNVMPEWPPVDRVVTLDSVILRMVPDFYGEGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNLVRFYSQADELVKINLQCHVQGDVVLECINLYDDLDREDMVFRIMFNTAFIRSNILMFNRDQIDMLWNTQDRFPKDFRAEVIFSDMDATASHITTEPVSHQDKQGLGIEEFAKVLDIFNHLDWLEGKRDTSLQTPQQKTSSTSHGNICVSPADEPETFFDTKEELYFDSLSGESSSSLVLKFTDDYVMVGSTELERDPLHSTSTEVLSKLQTIEVAPSCTRPPSLLLSPTKIKMPKTSASSTALPSSTVIPQAPSSPVQLQQLIDSAVQTPPTQSASTSAEKSDSQTPVNQEPSPLIVNNSASTASLIALCTPPPLPPPPPTVSLAPVSPISPINTSASIINFTLRSTIPSPSLPPESSASPLALARNEELVKSQELSCENLEKFPPEFSRAPNVTTLSSDSLLSMEKESSCTRTDVPAALPTIPLTSDTLTSLTPVTTSTPPTPPPPPPPPPPLKSDIVMFPLSYGKVVTSTKEKVAPPQPPPPPPPRPIQPTLISNSIHSSNSSMHSTPQKRDRSPPLPPPFPSSSFSPPQPPPFPPAVPGMRARPVPPPPPPPPLPPPPMVPGMQTRPIPPPPPPPLPSQTSSSVSSILSTSKKIPPPPPPPYQASSLGSSFPSTEKGHDVVAPRPPPPPPLYSRSSHVTSAPPAPPAPPLPPPKLVGVSKSSQEQTITRPPPPPPGPAPKNSSNSLQNRGNTVSSTHPPPPTFSFGAKDRSTARSRSPKSLRPNQSSKRTPLKPLHWVKVSRATKGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEAKRARQHPSVAVKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMDAVLALDDSVVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVQDLKNSLNTINYVAEEVRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFDKDLTYLEPASKIQLKDLAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEREKMKAAAHKPDLPEP >OB02G42600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25365882:25366067:1 gene:OB02G42600 transcript:OB02G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLRTPLQRNAPSLRIRAASCSTSTEISRNRETLESSEQSSCSELLIRGLESKSAEKRLLL >OB02G42610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25370456:25371824:1 gene:OB02G42610 transcript:OB02G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERNATPPRLSASSSSAAASPSTVGALLLAKVGSAEAAAAAPARECPSSPRSLLSRILHRRGGGGGGGVGWRIRLPRYCSSGAAKDNAAEYAAAEVEAVAVAAAPKVVGDQAVARESPRISLGKKAAEEVSPASLGLGASLVLLMSKSAAELNRMAELRAQMERLGGDGKGGGGGGARPSASDDHADTTKEPVACTGGESRALSRCSLTAAAPACGGGGNAGQHAAVAMDQMEAELEAELTRLQFATSNTDECATPRRDRQLETQLKSDGDDDDESTETHAATFAAADDDADTDGVDDDTLTDQFVNDNDSESGEEETGPPPCGGVSARELERRLHELLQSQHEERIAELETALERAKKKLQEKEREVFWWRNTAKLVTRHKDDSRLR >OB02G42620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25372135:25375097:-1 gene:OB02G42620 transcript:OB02G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLAINIIALCLSYFVLAGGVMIHINQDEIIGSTIPAKDADMMIIQTGDGDVYNCVDIYKQPALNHPLLKNHTMQMEPSSYPLDLDIQSIMSSNISEADFPDVKCPARTIPILLNDNSSKAYVPNGLQEEVWPSFSGDRFARFHIRWVDSSNKPCYDFRCPGFVQVSEVAAIGGRITPVSVYNGPQYVITVMLFQDRKTKDWWLARLDKSSAVGFRALGYWPRRLFTSLQEKATFAFWGGWVRGPTVSSDPPPMGSGRFAKEGYRKAAFVKAIKIANRDNNFVNPNAGKGTPVTTRPPCYTVDGFGVVDAGMHAFFGGPGECPS >OB02G42630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25377727:25382165:1 gene:OB02G42630 transcript:OB02G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNGHEMHGGGGGSGQDDFFDQMLSTLPAVWSELGSGKPAWELAVGGGASDDHSAAAFDESALLASRLRQHQIDGGGEKPIMLQLSDLHRHHGLAAGGEDGGAGGFLPLPLFADRSQDDMDAAFKSPNGTGGNQALYNGFAAAGMHGAAAMQPPSFGQLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVTPLVANMSSESNGNGNGASSSGNGDAANGSSNGDNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISSSAAASSSPLLPRPAGSVHEGGGNATSPPPVGRTAAMAAAAVNSESGWVVHEKSLC >OB02G42640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25381743:25381990:-1 gene:OB02G42640 transcript:OB02G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAREIGIRHSPLPCRYCMAEPMSSSISFATCCSVTRRVPPPLSPLLLPLAASPFPLLLAPLPFPLLCKKQTKFEQMTRDC >OB02G42650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25383199:25388146:-1 gene:OB02G42650 transcript:OB02G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MVAMARKEDGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVEALQRMGIESFFPVQVAAWLETIGPGAFERDICVNSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDGIAPVVGLSVGSAVGQSSIADEISDLIEMSKGGLYPTLDEEFIQMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSTNQNHSWCDTNGKTILHPLTTIRRSGIERGFKGKCFPRLAKIILSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTSSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEIKAFDKMLKKADDSSCSLHSLPDESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNVSKRKRTVHT >OB02G42660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25388532:25389755:1 gene:OB02G42660 transcript:OB02G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRDEEDEDASAGGAPKGRFLAQRGVKEDDDDAEEDYSGGGSGEDDDDEDEDDDASGVSLGTIGSDAIMLPPGMKNAHVANLLRARKLILVLDLDNTLINSVGFRRFSPTEKANGFTKEIRDDPSRGLFRLEPYRVSTLTKLRPFVHEFLREASGMFEMHVYTLGGRSYARAVVKLLDPDGVYFGERIISFDDSSQPYRKSLDAVVGPSSASATEHAAVVILDDKARVWDGYNDNLIEMEPYLYFASDCRRYGSKAAQSLAERRLDESESDGALAVTLRVLRQVHYCFFSGSVCCGSFSDVRELIRQMRREVLRGCTVVFTGVIPPEVVQPSCHDMWRKAEQLGATCSDDVGPEVTHVVAANPSTSEARWAQEHGKSLVNPRWISAARFRWSKPKEEDFPVKEES >OB02G42670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25391863:25392048:1 gene:OB02G42670 transcript:OB02G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSILLKIASKWQKFYHFKVSKRNIKAGAAHVISICLRRSIRNCWMDVLRFVAADRYALAS >OB02G42680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25392919:25393812:1 gene:OB02G42680 transcript:OB02G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTAAVIPRPSGGGGSEEEVEEEEEEEELVEIREVWADNLEKEFALIRDVVDKFPFVAMDTEFPGIVCRPVGAFRSSADYNYATLKANVDMLHLIQLGLTFSGPRGELPVMGDGRRRCVWQFNFREFDDAHDIFASDSIELLRRSGIDFRRNAERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQASFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSFVTSCAFWKLKDSFFGGSTEKYAGVLYGLNADNGVSVH >OB02G42690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25395989:25397988:-1 gene:OB02G42690 transcript:OB02G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27460) TAIR;Acc:AT5G27460] AMAAAVRRSRMVGLLVGASRPNPRPFASIPSPPATARQDDDSDLLSRRLLRIARKSGPTAAVERWAQERGRVSRPELRRAVDRLRRARRYEHALEISSWMDSCDDFKFSPSDHTARLDLIARVHGTSQAEEYYKKLTTAASKKAASFPLLHCYVTERNVQKAESFMAELQSCGLPVEPYSFNEMMKLYIATCQYGKVLRVIDLMKRNNIPRNVVSYNIWMNACAEVSGAASVQSVFKEMLNDDMVEVGWSTYCTLANIFRKYGLNSKALACLRTAETKLSSTKRLGYTFIMTCYAALNDIDGVMRLWEASKSVPGRIPAKDYMTAMLSLIKVGDVGQAEWIFGSWEAECRKHDVRVSNVLLGAYVRNGWIEKAEGLHLHMLEKGANPNYKTWEILMEGFVQSKQMDKAVNALKKSLSLWKSCHLRPPLELLEAIAKYFEEQGRVDGADTFIKALQEFNLTSLPLYKSLLRIYINADFVPQNIPQMTARDQIAMDEEMDQLITRASMI >OB02G42700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25404401:25409174:-1 gene:OB02G42700 transcript:OB02G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:J3LI03] MRPEERKGVVARRRDQFPVGMRGLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFGNRDRGNIDIYEECNKPPNADSDHVHGHVSCVSPDQSGRPSKKRKEYCSEEEDEGEGNTQEIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGAFEGLQGYQAFTSSSALSSFTSHGLLNSPRNNPASLGTQGVPASKSIQTVNGSHTLSHSISDANKYNISLPGSQHGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNVLANGMSSTLQNVSPLLPQELVECTQAKIGTQPSVRTTSVNSEHLERPVGVSSSLLDSHVSQQSTVPLSGFSASGLLIHGSFNNSCPTKLGGTSSACAPARSSNDLMVARETKAGASSFGGTMLLPPDMEQKYLNFGGGNDFKQKLDERGADNLIFDWNSVASSQLPSNIGAHHSVSQRWNNSNSNRSNIGARMIGQTSSNASTVMPQMKTDLFISGDMLMPKNVSDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >OB02G42710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25408630:25408917:1 gene:OB02G42710 transcript:OB02G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGGAQKRRARRKQRRRTLSAPKKTIFLRNRKGRDTTDCSRWPSKQATRLAKTQSTSHSLTLISAATTSTQQQRLQLCKNTKRGDCSCFRGGART >OB02G42720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25418365:25421914:-1 gene:OB02G42720 transcript:OB02G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYELVAEEEGGGGGMRMTGVSADAGVEVHALPTKGGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKMYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKLVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLAKEKAAKAPQMLPSSSMTEVKHNKCLRFGKK >OB02G42730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25428421:25439624:-1 gene:OB02G42730 transcript:OB02G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G29380) TAIR;Acc:AT4G29380] MAACAAAQTAPSAFDTLEHERRLERIRNEFEGLEESHVWPFQVWLQTDKAAYLLRQYFYSNLHDRLSTRPFLSKIEKKWLAFQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGAETQVAADAPLQPSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPIHTLEKIQDTGIRDMVLHMIQLDPKERLSCKSYLQKYESVVFPVYFSKFLHKFFSDIVPLHSDARVEKTREYFEKMHDVMISGSTLEKIQGSATVSVHNKLSGEKGITGQTINSSKEYAKSIPVVKINTPGQHKHVVGDLNFLLKELEKDDPTSTKITQDTDQSVTSNVSHANGIQSLRQVCQAGRQNGLMGQNNCVSHLHKISKSNLLALVSSYDAQSDTYNFDIFQQTEYKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKICSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLVQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIEDARPLEESMVAPRSQSVESPAKKQHDSINGQLARLRKSIYEIVQELVMGQKQTPNVRRALLQDISYLCYFFGHRQTNDFLLPILPAFLNDRDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDDMEAVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKQSAVRFVASCSESIGPVDTFVYLCPHLKHFLHREPPSWSSETALLSCLKPPVSKLVLYQALEDVHDAGDILLKGDGKKEMTVHGGRYPAMTQSVSSTTIEDDARLRGPKLSSDLSLNIKDCSSANSAYISSAVHASSSFYDGVPKGIPLYSMQGTSLHANLGSDRHGGLSSSVPGLHTRENHFSSMHRDGSISDSLNSISSMPGDVISNSDTSGSFTRPAVALETSWRPRGVLVAHLQEHRSSVNDIAVSNDNTFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCTRGVGNVIERYSGIADVKRKDLKEGAILSVVTCSSESFSPTILFSTEHCGVHKWDTRTNSESWSFRSSPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFVAAGRDEVTLWDAENGSRHQIFRTASTENEAVIPKAPSIHPNTKDETRPANYKFRIEELCDPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSVKGAGNEESYDIRSSSCVQVVQEICRQPMTASRLTHKTQLAIAAADSAGCHRDAILALASVNLSSQRLISGSRDGAVKVWK >OB02G42740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25441504:25442093:-1 gene:OB02G42740 transcript:OB02G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRATQRQREAATAAATPAASSAATPLGSNATFSDDSGTATATTYGAEWSGGVPEEQHVEQMIDELLDSNFSMEICY >OB02G42750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25450129:25451105:1 gene:OB02G42750 transcript:OB02G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OB02G42760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25454186:25458121:1 gene:OB02G42760 transcript:OB02G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3LI09] MASISLEDVRNETVDLETIPVEEVFQHLKCSKQGLSVAEGQNRLTIFGPNKLEEKTENKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWLEQDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNIGHFQLVLTAIGNFCIISIAVGMIVEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVYGRGLDKDSVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGDWHRISKGAPEQIIELCQMSKDAEKKVHTLIDQYADRGLRSLGVSYQKVPEKSKESAGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSTEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALVTVLFFYLVHDTDFFTTTFGVRSIKLNEKEMMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYANWEFCKMQGIGWGWGAAIWAFSVVTYFPLDVLKFIIRYALSGKAWNNINNKTAFVNKNDYGKGEREAQWATAQRTLHGLNQPATGSDLFNDKTGYRELSEIAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >OB02G42770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25458458:25465236:-1 gene:OB02G42770 transcript:OB02G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:J3LI10] MSGWDEGAVFYSDQAQFPRGGPGGDPSADLTRHSALRKFKEFLRGFTGPAGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAGSEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTMPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVLGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYAKICSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDAIEGENWLKRYIEYCRVSCKPRLSEKAAEMLQNKYVEIRQKMRQQANETGRAAAIPITVRQLEAIIRLSESLAKMRLTTVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELSRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >OB02G42780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25462258:25464503:1 gene:OB02G42780 transcript:OB02G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYRQQHIPRELTSWNILIFLQFESLEIHVLALVWNCNPGVQGTRLFSRLRNMVTRSWDNSPTEARPARHCFHTPAVLAKQRHMSGLSFHPRRGDRDPGNLHQLGH >OB02G42790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25467277:25471746:1 gene:OB02G42790 transcript:OB02G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase 5 [Source:Projected from Arabidopsis thaliana (AT4G31990) TAIR;Acc:AT4G31990] MASAPFAVSSSPAASALAARSKARLLGGGGGKSEARTGCRMGITRKNFGRVMMALAVDVSRFEIVPMAPPDPILGVSEAFKADTSDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVKRGLEVFVAQSYSKNLGLYSERIGAINVVCSTPEVADRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDNSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVS >OB02G42800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25474952:25475674:1 gene:OB02G42800 transcript:OB02G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLRSHDVLDNRMHLEAFSVSPASKPRRRRHTKPAAGSVTGSPPTKMAVVSSPVVKTARVASPPPKATTATVAQGRRSPPARPAAARKQGSPTKELPKQKLVMGEIRILKRGEEPPAPAPAPSPAPVAAQAAPVVSRTPAAVQAAPVDQRIPRVPVVAQAPPVDQKVHRATRSKQQHPAAVPTKSKSVPNAAGYAGPAFSSASPEPSSLPFPAFIMRAEAEATRGLRCLLRIGELP >OB02G42810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25479557:25481558:-1 gene:OB02G42810 transcript:OB02G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family [Source:Projected from Arabidopsis thaliana (AT5G35160) TAIR;Acc:AT5G35160] MARLLFFVVVAAAAALLSATGAQGFYLPGSYLPPAGSLPAQVNSLTSIDTEIPYSYYSLPFCAPLEGVKDSAENLGELLMGDRIENSPYRFKMYTNESDVFLCRSPPLASDAFALLKKRVDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEEANVARVMGTGDASDGFPSGGKDGAAGSSGWMVVGFEVVPCSIKHSPDDVKALKMYGKFPRSIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVILILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >OB02G42820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25486690:25488344:1 gene:OB02G42820 transcript:OB02G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MATGNSHHRARLRSRAAPLLLVVMLAVLALTGLLRASRHDFVPPVARAAAGGGGNGNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPEGHLKVVLYVHNRPRYLRLVVDSLSRVDGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPDAFPGVSSGDCQGKDKATEKHCHGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLEETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPRKCPQCYAINLAPSDVKAKGEGWESLIAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGASNVCVDNGDAAVQLDAIDKVPNIKANWPVHIIQRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSA >OB02G42830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25488850:25493903:1 gene:OB02G42830 transcript:OB02G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEHSMKVSIPSLMVVYQFYGSIALVLLNLYRYAELLAQDEGHESNVQFTFTVHKFTESFSKKNLALDSASTCLSSCVICHVDEWCWLMRLIQRVCHNDPLHLWKKKWEYEVTYFSAGLTGSFFSLAWKQRSGGCEEAAARARHGWTLDSNAREAKERLDQKLRAAAAVVKRPNSAGKLPQAGTSGGAGGGGDGEQPGSTTTTAAASAPQREVYTRKGGGWPRRRLRWFRLGWRAAAPEEEEEEECAVCLEELRAGEAVAHLPCAHRFHWGCAVPWVQAASRCPVCRAAVHLNLTGGN >OB02G42840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25495798:25500885:-1 gene:OB02G42840 transcript:OB02G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRASVAAKDIRVSSESDPRVHPSSSTISHHLRFNHLNSHENKEDSLRTEIAETSVRQGSSGKEVMPRGSFSNEIAYAERTAREGTSHIGRDVLEPAVNNAETDIVCIPEVGGSVSESDFSSSQMPSERIMTDLEDREIAPHGTSYTTIVSSVRSDTSQSSLTSVLPATSTASSTIGESVPDTVPSRADVPIFSGAQGQIGGNTLHDDMMSIFSNDGAVHVRDSSNADTRRSHRRVLWDAFSRRSSRGYLDSDTDDLGFYSRWIDLGDELFADEIEEARFFHRRRHGSIRVSQYSRSRIREHRRAVFDSGADQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPCKSYKKQTAQCSDDMEQCHICLTEYEDGDQIRSLPCKHEFHLQCVDKWLKEVHRVCPLCRGDVCEGVA >OB02G42850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25507650:25514288:1 gene:OB02G42850 transcript:OB02G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MKTMFKPVPPPPSPDSSPAPGPATAAAAPALEDCLRLLRGERDEQKLAGLLVAANVCRAGDAAAVVEVYRAVGPRFLRRLINTGLGKVEGGKEEEREAYLRLAVTVLSGLARIPEVAADEGVVSTVPLIAEIVSKSSDLTITEECFELLSLIAIASDGGAYKFCEPGVMDIFLQISNFPDGSRCSELAIHLMQLLVHKLRLDNLSVEKLQAMAGMVTSLARLFAVLHTAIKFESLHMLTASFWDRRAPLHDALRSVPSTIWKSQIRVGITDVLQNRVVSSEKLQALLLAECMMSILGENWLSEDYKFPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNFQRDDISQKEKNLAILFSLIERIIKMISNASGGEGASCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQKKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGRDESSPFHSICFMIPMLSQITTEDDGCRALVSFEGYKMVIECLIKMTEQDGMMVDTGSIFLACDTIINFLKNMKKAHIRMESCFVRLLQALVAWSGSADDSSVTMTASCLGTLVMDLTTEEFLLSCSDFDSKTLESLSQLIARSLYQDIPDDDMEQSNQKQIILSGYRRWSDRYPHVTTILQQHVSV >OB02G42860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25522477:25526922:1 gene:OB02G42860 transcript:OB02G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELDGTERLDFGKAEEVEAPADFAMEKVCESSASLDFKQTKLSSFLPVIRSGDWSDIGGRDYMEDAHVCISDLAKNFGHNRVDDEIISFYGVFDGHGGKDAAHYVRDNLPRIIVEDADFPLELEKVVRRSFVQTDSQFAERCSHQDTLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRTCCVNERKRIESLGGFVDDGYLNGQLAVTRALGDWHLEGLKEMGEPGGPLSAEPELKMITLTKEDEFLIIGSDGIWDFFSNQNAVDFTRKRLQEHNDLRLCCKQIVEEAIRRGASDNLTAVMVSFHQEAPPQLRVNRMGRVERSISAEGLHSLRVLLEGQ >OB02G42870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25527494:25530149:-1 gene:OB02G42870 transcript:OB02G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSSSLQELNQGKDRLKLLQHELACTTAVLKAKDSELEGKKFKPSKRAQAEARQKAAAAVPSYTNCQEPTQDKTTKRHTNKRKSESCEVTKDANTVQHSCRPHKQSSGSLHDDDPRKTLRRRSARLNPASFEITEVSCDTFHEDATVPLFHSSSNVPNQQEPNAGKDMRTSLQNELLCDAIAQVEAPELKKNERNMHMQKEVHLEEEMQEAGSRVAGVEADKFDIEDSKPPQDRGTKRKSMRIDANKRKLESCEDRMASNKEDCKPLQHEEKRTRRKSSRLDSGPCEVSNETSEIVQEDKVAPSAHPSSKVLIEQTKNDMQNGCSSSTNPSEELVIGRRSSVGRPSRRAAEKIVSYREVPLNVKMRRS >OB02G42880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25540911:25541301:1 gene:OB02G42880 transcript:OB02G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSRLSVAAAVILVMALMAMEVEGIRLDAETRAATSNQIMVNKSTENVPKDSVDSSLEAKRSIAGNEVRAVAHKLPEFHEDYYGPSDHTPRHH >OB02G42890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25546684:25551978:1 gene:OB02G42890 transcript:OB02G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLVRIAVVLLTVLPLCATQPGPAFHAPREFHKAAAPDRYGLVARRSIAEAPVVVNVTTNSSFVLAQERTYRKDPLNGFRKYTGGWNISEVHYMASVGYTAFPLFIIALIWFVLFFLVMLGICCKHCCCPHRSYTYSRVAYAVSLILLILFTCAAIVGCVMLYDGQGKFHKSTTTTLDFVVSQANFTVDNLNNLSDSLSAAKKIDIGQSFLPNDVQNQINEIQGKLNSSATELATRTTDNSNKLQKWLNKVRLALIIIAAVMLLLAFVGFLLSIFGMTFLVSILVVTGWILVTGTFILCGVFLLLHNAVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYRSKQVTFQLVNLVNQVITNVSNRNFPPQTPFFYFNQSGPLMPTLCNPFTADLNNRTCARGEVSLDNATQVWKSFECQTTTVSGTEICTTVGRVTPKILGQMAAGVSVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNYCPGLERYSKWVYVGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHGYPDKPPAAGADA >OB02G42900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25566682:25566933:1 gene:OB02G42900 transcript:OB02G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPETHVPPFSLSHQAHELSKTSGGFVCTMRGFDSSFELVHGSKTPCLACSKLNLTGTTMIYRGKNMYNSIVYYCLWDLSAN >OB02G42910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25575261:25579641:1 gene:OB02G42910 transcript:OB02G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALCSASPAISAAAALGVAARAPXXXXXXXXXXXXXXXXXXXGRPSWRARRRFAAPAAPTATEEEEEEEEDGAGVEMMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGRFDHIVIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESAVSEDSHEDHTEHEHKHDHGHDHDHEHHHHDHHDHDHKHDHHAHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >OB02G42920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25580084:25580248:1 gene:OB02G42920 transcript:OB02G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVRRNVTYPCVNFPFSSVTLQCLKIVKNFRTPDMQHGMEVRLGLSKGPVCPSFN >OB02G42930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25587937:25592581:1 gene:OB02G42930 transcript:OB02G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKLVGFCFWAVFWLGGAATVSTNAGAGGEAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNLDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDNGDPRQLCTPFTKNSSAMFGFTQGCLPLHRWDELNVFFQKSGAKIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAADVLALKQIIDTSYQGHASKPMVIAPGGFFDAAWFTDLISKTKPNQMDVITHHIYNLGPGVDTHLIDKILDPSYLDGEAGTFSSLQGILKSAGTSTIAWVGEAGGAYNSGHHLVTDSFVFSFWYLDQLGMSSKYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWHRLMGTNVLSTTFNSMNKIRAYAHCARESKGITLLLINLSGNNTNHIYVTSEGTGAQPYSTKREGRRPYRTKGGRRFGHIPGLGEEAQLIREEYHLTPKDGNLQSQHVLLNGNVLAPDANGDIPKLEPVKVEGTQPITVAPYSIVFAHIPSFYAPACR >OB02G42940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25592430:25594365:-1 gene:OB02G42940 transcript:OB02G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G18430) TAIR;Acc:AT3G18430] MGNASSMLTQYDIEEVQEHCSYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVSFLSTFSAKASVQQKIELIFKVYDIDGKGKVTFKDLVEVLRDQTGSFMTEEQREQVVTKVLEEAGYTRDCTLSLEDFTRIIDHPGLKMEVEVPID >OB02G42950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25595654:25599812:-1 gene:OB02G42950 transcript:OB02G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPIAAVVGIAFSIAQWVLVARVKLAPTPPGASRGKDSYGDSLIEEEEGLNDHNVVAKCAEIQNAIAEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKSHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGSVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDLTGMLYPLLVSSMGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTAFMTVGIALVSWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAISIGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGPGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQVCTLESPPPXVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAGIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >OB02G42960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25613145:25617580:1 gene:OB02G42960 transcript:OB02G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMASPRGRSIRETVLETVAAYHHQQRMRRKFRKSLSYAGELSSAGGARGEGGMMGPSSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGAPHSAATKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTARALGESLFDKELGKPIGQLIVICGRNKTLSASLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSENALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >OB02G42970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25619171:25621183:-1 gene:OB02G42970 transcript:OB02G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDFLRVLRALRDAARRVEAAGGGGDDEEGPALHALLALEASADDLLAGDPDLGTLRRLLARVGALAWSVRFARGGGEGGGGGVVGFLRARIL >OB02G42980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25623920:25626318:1 gene:OB02G42980 transcript:OB02G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSAPTSPQAPAAGGKTTNFESIVESLKNFTPTRQPQQRRQPHSPTPFMSPVPNSIFGKELGERGRKAEGEEGSVIELKREYSYEELGKKLGQLRPSGGVKEFSLQELQGRISKLSELDMENDTLFGGEYGEFRQTLRNLQKRTEEQNRKMRSMQGLPFIANIGGETTPQYLQHPPQEELLERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTVKIKHLSAVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSKSTSKAKSKKSKSKNKRKTKA >OB02G42990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25627221:25635219:1 gene:OB02G42990 transcript:OB02G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) TAIR;Acc:AT2G11000] METSSSSSAPPPPPHSIPASGVWEDASPLLAAACRDLQDGELVHGENFSLFGAMSALEIMDPKMDSGIEKSGYYSIEEAIEDGIAPVPLSLDRTIDVQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETISRQLRACKAQALSKKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRKPHGKGLELARKHVASCLTELSLMLKSRDFLKSQSNHTLQQDDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKILSWSDAIRYFEKLLCDLDIICSSPLDPVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASNENSRRKGKKKKDHSKDSSRDMAFPSSCLLLQCYVLLSEGLSMMLAALRIKSNLFQLPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHATIADLMKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVRAGDPSLRVSFEFTHHPHFAVAVVKRS >OB02G43000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25639104:25643735:1 gene:OB02G43000 transcript:OB02G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDSTTVSIAKVNSDYKDLDIAIVKATNHVENPAKEKYIRGDIFYHLSAGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDSTFRDELISYGKSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLECFRVLTYDVETDPPKTRDLDTTGLLEQLPALQQLLLRLLGCQPQGSSSYNIIIQHALSMVAIESVRIHTAINDAILNLVDKFFEMQRDDAIRSLDLFKRAINQAGQLSEFYELCKTIHIGRGERFLKIQQPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRQPEDEESSSSAPLPRPPPVSTSEPEPEAEPAKEVSPTPEPTDLLGMDEPTPDTSEIDKKNDLALAIVESENAPKAAPPSTENVATSWELALIDAPSSNGNAVTSSKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAPGTGPMMQQQPTQNPFYASNAIAPPINVQMAAMAQQQAFMLQQQQMMMMMARQPYGQQQAASSNPFASPHMPASVHPYGPGMQLHAGNAYTYSGTGMM >OB02G43010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25644683:25646057:-1 gene:OB02G43010 transcript:OB02G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT1G32410) TAIR;Acc:AT1G32410] MALSRSMRTCLHSGRLALLAVLVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDAWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNGEDEYSSVF >OB02G43020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25646906:25647348:1 gene:OB02G43020 transcript:OB02G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAASRGRARGCRRRRARGGEPRAETRDGRAVEWARWRARREEFPAPQGRGELDWKGWCLGGGGAVDGPAAAKEEEASCVVFTGYTSTIFTGRG >OB02G43030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25648689:25653382:-1 gene:OB02G43030 transcript:OB02G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDAEDDQLASMSTEDIVRASRLLDNEIRVLKDELQRTNLELESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >OB02G43040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25653913:25654446:1 gene:OB02G43040 transcript:OB02G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCTQVKSPYTSYFYVLRVFNKRQRKIKCLINSKSEYLLFKFDVLADLLRKLAVADQGPTSPTKKVPPAAPRTWHGSTAGLSSLPSTSCWEEAPRHWPCGTVAWPPQARARAGARRPAGARFLCGLSGTGPRLRRRRDAPPFVVEHAQGVTAHARRPWLRGALRAWWGGGASRWTA >OB02G43050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25676799:25679576:1 gene:OB02G43050 transcript:OB02G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57280) TAIR;Acc:AT5G57280] MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVAQEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPASLNSSLPKGKGQDGAMCSDDDESDDGSGDEDEAQTVGIYERNRPKKRQKTKKNGKGKSWLLNKKEQMRRRGREVPADTKYTGRKRKSYF >OB02G43060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25680214:25681157:1 gene:OB02G43060 transcript:OB02G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACGCGVSVAAPSLTGGKSNRNSPQRRSLRFHPRGRGVAARFSGKSADADTKAEADSGRRVEDDPSYLLKLGLGSVAGAAAIKYGSILLPDITRPNIVVALSMVCIPVAVAVVLLLKAGGSRED >OB02G43070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25680767:25688069:-1 gene:OB02G43070 transcript:OB02G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT1G80030) TAIR;Acc:AT1G80030] MALAPSTLPFAPSNPSPSSRAAAAAAAAAAGVHFAAASAAGRSGLPLACAAPRHRHRGRRRRGGGLVVWASSDYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDEKKRALYDQYGEAGVKSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRSTAVQGEDIRYDVILGFSEAIFGTEKDIILSHLETCDTCAGSGSKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLYVCLDIEEPSDIKRDGINLYSTVSINYVEAILGTVKKVKTVDGTSELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNGGFVRAPVKPKPTRQENETTVPQENSDQPDEGEGDWLKKLQDFAGSIANGAAKWLKDNL >OB02G43080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25691810:25692289:1 gene:OB02G43080 transcript:OB02G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRLNLICAKPQALWCIWELGLLLIIDLLLSLSSRAAYWLGTSIANGFGILLSEHSSADMNCTTHHWNIGSPDRPDYWCLSDDEPSQQAAQHIITVVPPDRPDYRCLSDDEPSQQAAQHIITVVRHRKQSQGIPGEQPVHSQQSVGIPGSLACVLSG >OB02G43090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25693471:25699450:1 gene:OB02G43090 transcript:OB02G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:J3LI42] MYVVKRDGRQEAVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAISNLHKNTMKSFSETYVLICSSYVGCDVLMSISACRIKVMYMHYDERSGLMAPLIADGVYEIIMKNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECATISKCAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQSNENWALFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPMESHPAKLVGSNGSTNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASTEIAAKEGPYETYHGSPVSKGILQPDMWNVDPSDRWDWSVLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSSVLKNKIVYEDGSIQKINEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNVHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQHNADEEDVQAKMAQVVCSLNNRDECLSCGS >OB02G43100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25700306:25709225:-1 gene:OB02G43100 transcript:OB02G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAISSFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRTAISENEQELRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKTESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVYLLVNDTNVKPLTKELVDHLDLADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALIVVISNASELQGYSVRSLYMALQSFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEKPITVTESDAVDAVQIALNRYSADVTIRAMCLVALLKLSSRFPSISERIQQIVSHNKENIVLELQQRSIEFSSIMQRHQSIRPSLLERMPVLDEASYLLKRASTTQATLAADKPTPAVTPGGLKLPNGVAKLATSPLADLLDLSSDDVPAVTTASTTTAPNNFLEDLLGIGQINASTAGAPSSASTDILIDLLSIGSSSSQNGPPAAGFSPPSQVGAVVPEATDLLGNLSSSTPVSGTKSTPAVPQDIDLLDVLPSNTSVSGLDNHSSITAFQSATLKINFDLKRQPGKPQETAIHATFTNLTSTSYTDFVFQAAVPKFIQLRLDPASSNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPPGL >OB02G43110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25715409:25716483:1 gene:OB02G43110 transcript:OB02G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LI44] MELELGLAPPNAHAHRLVVDELSSSGGGGGGSARGKRGFPEAFQATTLPLFDDGSEEDGCRGRRKPVVGWPPVRPARRACGANYVKVKKEGDAIGRKLDLALHSSYDELAATLARMFPTNGDHHHHHQADEDTTDDHDRRRQPVVTYEDGDGDWMLVGDVPWDDFSRSVKRLKILG >OB02G43120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25719052:25722249:1 gene:OB02G43120 transcript:OB02G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:J3LI45] MLELRLVQGSLLKKVLEAIRDLVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNEDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKVAEMGYIRFYLAPKIEEDEEMKS >OB02G43130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25719823:25723627:-1 gene:OB02G43130 transcript:OB02G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDGGGQEHLDLIMRHHGSMGLDRCCESEEALGSSESEPTTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPVNLSGAPENLPVPQMCAALDQNSAKASHPSVVLQPANQISGAHLPFELPNQHKPLFLPGVPNTTTPEPRLLVESSRSNLQSLRFTESAEMIYPDEMMLKHRLTSANESTAVPGTDAKSGRQDTYMMNADRFDTYALSKDQLQNIMPENTENVLDMPHLHRLQTGDAEVRVEGRIKVNL >OB02G43140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25728542:25731544:-1 gene:OB02G43140 transcript:OB02G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSILHRASSFSKPPVQRHNFSTFQEASDVSNRHEGLALLTTIVESDGNCNHSSDSLPNQSLLSTSLISKILHLIDALDLPCQRSYVTLDTLLLDTLKALKIAYPKCLSGLSAYHNTSSVQQGLVHLHEVLSLVQDGNAQHKQLGNSGSDKHTTTSNQSFEQVGEHVIEMLDQVTPFAKEMLSSMENSKISVAAWPEDLPERRSLPPVICRPESPPHREIAGDPSDIAAAVGTSCKDDGRTVRTMDQGICSKQGSQSEPPPSTPTGDHTALQTTPSPVSPHPLSAPPPSPIPLEGLPMLLLSWAAVQNGTPAVAMVAPPAAHPVETAASAAQHGTGIAMVCDGKDDPTSSASAGEAQLTSPAQQQSVDANAAAANGPPPPPPTTTTTQSAEPVEAMANGPPPAKGPSAPPPPPPGGDGNIAKALRAKKAATKLKRSTQMGSMYRHLRDRVEGGASHQHGAKSSHDKKSRSPPAAGGAGQGMADALAEMTRRSAYFRQIEEDVVNHATAILELKDAIGAFQSKDMGELARFHHHVEQQLASLTDETQVLARFEGFPSKKLETGRMAAVLYSKLDGVAAILKGWKLQAAAPVSPQLDRVEAYFNKIKDEVDTIERSKDEGTKRFVSHNVHFDFAILVRIKECMVDLSSSCVELALKESQDAKDTAAAKAQARNPSTSVSRMLWRVFQLAFRVYNFAGGQDERADRLTTTLAREIEAHARIPL >OB02G43150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25733428:25733958:1 gene:OB02G43150 transcript:OB02G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPQSSALERSSAEVFDCARGVWEIIPGMWQLDVPPNQIVAVAGRLFSSGDCLNSWKGHVEVYDGELNIWSIMDHSTLPDLSLLATLPPSAQRLYLTMAVVDTQLYFLAGYQVAVADAGDGFRTVSLVHSFDTGATPGVMPAWSSFHPTMDQESVEDGSKELLSQCCSVQLSS >OB02G43160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25733832:25736924:-1 gene:OB02G43160 transcript:OB02G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) TAIR;Acc:AT5G54390] MEVAVRVVQAACTLCQRVQGSLLHPASGAGGVHSKLDRSPVTVADWGVQAIVSWLLSDCFQDENISIVAEEDDETLSSRDGAAFLEYVVDAVNGCLIEAPRYGLRSPEKELKAHDILQAIRRCNSTGGPEGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQTWMQPLVHDVGKLDWRNSREVRVSTVSDPVSATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVITDAGGRPLDFSRGVFLEGLDRGIVACSGPLLHHRIVDAVDASWNSSTL >OB02G43170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25744495:25746306:1 gene:OB02G43170 transcript:OB02G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVARIKEETNGKGVDVILDNIGGSYLQRNLNSLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVEGKVKPVVYKTFPLSEAAEAHKLMEESSHIGKILLIP >OB02G43180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25746222:25747411:-1 gene:OB02G43180 transcript:OB02G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFALALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKNKQLQDDLLKAKASLSTSTKRFDLLKKDNEVLKRQIEIMKRQCNCTVPVLTQE >OB02G43190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25748036:25757822:-1 gene:OB02G43190 transcript:OB02G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRAHLDDIYKDFTHYKFSVPVAGAIILDDTYEKCLLVKGWKSSASWSFPRGKRSKDEEDHTCAAREVLEETGCDVSKLLKLEDNIEVSIGRQRVRLYIITGVKGDTVFAPQTKKEISEISWHKIDELLPASDDAISRGVNGLKLYMVAPFLHGLKAWIATHRPTHQKSDTSARGTVWKAKNSSSGVPSGAVPVENPVITRAGSDPQTVDSRPAVTVTLNATNASTFVILSLQFVHMNAFFFSSLQIVYTPYGFEMLLKMELFLHQGKKLELRTGGPTVFLIYNCHVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKQRRKQPKPKPKPPEQQQEEEEAAAAPRQGPSVPPPSYPPGLSCLELMAADFEALKLYIGYSKHAIEQRFLGTKGQPQHQEPPKPKLKQKQKQQQHEEQQEEKYQTLQPPAFPPPSYPPGLSCMELMRADVEALKLYINYYVAILTTPLPQHYDPELLSRYFTSRPHILAFRTIQIIFAFVSGAVKMQISKRANLITDATNSGNSIRSKGFDASQYMFGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPREDAMKIIEGEFELPVSHIFSYISDEPVAAASFGQVYQGRTIDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKIAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEFHSRYSFMMVPKVLRQLTRRRVLTMEWVSGENPTDLLSLSKGISSEIAESSKKQNIEAKGRLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQNAMLSSIMHIVNGDWASLVYDLTEMDVVPPRTNLRRVTMDLEDALGEVTFEDGIPDVKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNKRKEFQWHKITAFLKLASARSNFRYNTAVLPERKGLDVAELVEISNASSLDLNHATPEKALRAANLCLRLFLAKESIVIRRLIMTANAKSLAHDLISKDASVFRVLISRVLADVIYQWMVKASGFKRAGRLEPHPRMATGNDADQRLSKDAPALVTFQTAVRDRRLRVIFSKFVRDIREDPILMIRVSWNVLAISVVSAAIGVHHFIVFLSEEFLTALPPPVRPPRLVQIQGL >OB02G43200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25758032:25762593:1 gene:OB02G43200 transcript:OB02G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39000) TAIR;Acc:AT2G39000] MMPPALSLSRSPSSSASASPPYPGLRSAVVAVRHRHRPSFPAAGICCASPAVELLPSLSPDILVRDARLEDCWEVADTHCSSFFPDYTFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNTNALSRSISIECGDLRDADFQEKHGLSKVSIAGILTVDTVADYLPRKGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEARAKSWGCRSMALHCDVNNLAALHLYKKLGYKCIPVPQDAKWPEPKIAQGLRYNFMMKLVPKM >OB02G43210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25781563:25781853:1 gene:OB02G43210 transcript:OB02G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDRKFKRALHFRAAKIHCHVFCTNSPVHCCFIRLPDENLKVIRRKRKASGLDSFSSILKVSNFSQPNSKLVSVKLKKGGSFRLQRHGGLLDIG >OB02G43220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25783073:25784879:1 gene:OB02G43220 transcript:OB02G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEEMLREQSLLEATCGDLFDHIEDLLDFPKEESAADCDDLDMDMAQLEWLSDLFDDGTIPHEPSFPGANCAAPIKASALAANAGVVLPDKMEEALFRSSSPISVLEHSGFNAAPNGGSSSSSSSSASSSSESFSGNGHAWSAPVSPRPEPPVLIIPARARSKRSRPSAFPAVRTSPAETETTILVPTPMYSSTSSHSDPESIAESSPHPXXXXXXXXXXXXXXXXXXXXXXVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKATRSADASCDLLQYIRRRD >OB02G43230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25787166:25790022:-1 gene:OB02G43230 transcript:OB02G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 2 [Source:Projected from Arabidopsis thaliana (AT5G60700) TAIR;Acc:AT5G60700] MGVTRAHIVKNDALEGMLGEFVGGKGGGGGGKAGRAARHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRTKPDFAWATRIAQHWKQLMAQPGGGVAPLSPEEVCEHESIDFEQKKSTDATMIRLKRELYEEVRAFQQRSFGAETLPELLRMRSRWSAGGGSQPRVTVILNHFKRRTLCAQLETLRRQTLPFHRAWVLAFGSPNEAALRRIVESYNDSRISFVSSGYDFKYYGRFQMALQSESDFVYLLDDDMIPGARMLEILSHVGGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLAADLVKALFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPADKETWGDSEHRLAYVAETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVAGGGHCPCEEAATVLKWPKVVCKERRFKIFDLGLGALSGPSPSEVPVVQAVYSSMRGIVRMHNPSVIVAVSDVNAKVKDALRMAADAAVNRTALVLLPRNSISKVLWMATLRPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRSAYYVGDEVPISFNMDSRVDAATLNVVNSFEWPHGGKTLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPQVALPELSSISLYTPRLVEVVKERPRWNATAFFGRSHHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNVLKHDRRDFEVPLVADDFSPLLPAGKMPPASKLPVLNLFNQPVSFKGLKAAGAKLRQDVIGCAATQLVAVDHVTGLPKNCTNF >OB02G43240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25794603:25799210:-1 gene:OB02G43240 transcript:OB02G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATNNYHEYQMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISALADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRTSGTHRSPGGYDNDRYEGSYGSRYDNRNGYGGEREYGYREDDRYGGAGNTPNREGDRYSRDSNERYGRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNGDGATQDERPIERKPSNQQIASPPPNYEDVTRDAQDNIHNERNGGTVPVPVAAQKVGAQKVSSPPVSTISVPPGQVNGVHDSTVEDVPAPPPAHAEANGFDEFDPRGSVQDTSAPVNPSPAVNSLEMDLFGSDPISSLALVSVPQPTTSPIVEPSANSGFETNGFMGMPPASTGFDESFDATNPFGDPTPFKVVHEETPAVSQTNAAPAGSFHATGPAADANPFEPASAASFGFGDTLGDLSFGSNAASGQQDIFAPTSLPSDVSPANPSMLPQQAPQPAAAGPPPATHVAATSFAPQAHQAGPSYPHTVTHASSTFPHSQPPHPAATNQSPIPQTVAAAFAPSQMLQPALNLPSGQLNFYAQPVPGTGIDGMSGAPQNGAPSYTPSQPSQFAAPTNLQPSQPAFPPQTATTAPQATSISQGASQPLAPPNSMPSGANFQLQSSSSAPPETIISALQFSQSEPVKKFEPKSTVWADTLSRGLVNLNISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTINMGKAMGAGSGIGRAGASAMAPPANPMGAGRGVGMGIGPGSGYGGGMGMNRPTGMGMGMNQQQMGMGMGMGMNQQQMGMGMGMNQQPMGMGMGMNQQPMGMNMGMGMNQGMAMRPPMGMGPGGIPGAGYNPMGTGYGGQQPYGGYR >OB02G43250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25803618:25804382:-1 gene:OB02G43250 transcript:OB02G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRRSTGPLRPRSTASSSRSACGCSRSGWGSAWRLRWRRKPGSSARARLQSAMASAGRPDERNASVRLTRQAVAKWRTASAGRPASSATTATAHRRSRSFTCARSCDTDSASLGTVPDAAPDLEALRLAGTDMALAVRLEGEKLSSDQHTLSRRNAAEARRRPVWSSAAASSINPRWKQAWPKIKYLHWVSAVVFLEKPATTRCFSSEMSKQQRKRVAPELASERVASVVFLLKKSAAARLPPPPLVHRRPP >OB02G43260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25803859:25804848:1 gene:OB02G43260 transcript:OB02G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAMSVPASRRASKSGAASGTVPSDAESVSQLLAHVKLLLRRCAVAVVALDAGLPAEAVRHFATACLVKRTEAFRSSGRPADAIADCNRALALDPGFLRQRSLHAEPQPLLEQPHALREDEAVERGLSGPVERRGEVILRQATGERLGFLAEREAADVVEGEAEEEVLEVDACAGLGGVRLDGQQAVVDGPQHPPRHGSAQRASGELERRRLLLRHPRLPVGVEDAVAEQVQVSEHAVLEGALEDVAVAATTTVHVPTSIGYHHQSSNRAARCYRQQSCALPPIKQQRREEE >OB02G43270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25806059:25810935:-1 gene:OB02G43270 transcript:OB02G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDGDSPSPGSSPAALVSPVASSSSAATSANTTPTAEQTGGKVKGGSGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLPAEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSVFNDDEPLDSLSEANEGSEQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTDSKLGDGNSLSTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRLQSLQAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTAGVHKAAPEYCALLPYTTSGCQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETINMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRKMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRQMRHVKSFPARPQPR >OB02G43280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25825668:25830102:1 gene:OB02G43280 transcript:OB02G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAVFNAPLARAVVFGVHIDVMRGSCEFPGHLVVEGLLIAAILFQLSRKSYKPPKKPLTERIDELCDEWQPEPLCPPIKEGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKRTEKIRRYIVVEAIYQNSGQVAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAISAVNHLEENPAVLANLRSNIALLHKELSDVPGLEIASNILSPIVFLKLKTPTGSAVADLELLEVIAEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDISKVSASLKRVAASLLQ >OB02G43290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25832309:25834433:-1 gene:OB02G43290 transcript:OB02G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGELALRQEYVVGEEIGRGRFGTVRRCYAVDTGEALAVKSTPKAPLREEAGDALDLALAEQEPKLASALASCHRRGVAHRDVKPDNLLFDGSGVLKLGDFGSAEWFGDGRPMTGLVGTPYYVAPEVVAGREYNEKVDVWSAGVVLYMMLSGTVPFYGATAAEIFESVLRSNLRFPPRAFASVSPEAKDLMRRMLCKDVSRRFSADQVLSTQPSSSSRFAPVSAATRYAGHPWIVSRGGTVAMG >OB02G43300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25849893:25857929:1 gene:OB02G43300 transcript:OB02G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAIVVGAALGIRPPPRPLRRSSRVVVDRLASCCSRYSLAVAAPLRRPALAPARSYHTCRCLSSWLVWAFDPLIGAYVAREGRGDGRSKPHREGSNSVRLSVKANNDQETYLTDEQRKEIDIWELFSEAQRNILYLNKQRLVAMEELKKLQDENESLLQDIEVLETEMKGISTETAESSIFCELLLRIDTMAVSGMVGMAEASDLRKKVFDNRYMISSIFCDTHHMQDTELLSELRLFLRKPVEKPLHVVHICSEMDPIASCGSLATYVTGLSSALKRKGNLVEVILPKYAGINEDGIHCLRKAEADYESYFGGHWHKNRIWTGISSGVSLTLIEPVKLSYFDRDMIRGYPDDFERFSYFARASLDYIVKSGKQPDVLHVHNWETSIVGPLFWDLFAHQGLGNTRIVLTCQDLNSQCLEDPNKLELCGLDPQILHRPDRLQDNSKTSLVNVLKGGIVYSNKVLLMSSTHPRAVVIQGLGHGLEATLTTHKDKVLVASQWLDGEHWDPSKDIYLPHRYSANHIEGKSFCRKALKRRLGFHIDSSIVVGCVYDGYSNIDDLREAVHVALDGGAQVVLMECKGPAMSSTVQALKELKGDRVMFIETYDEALEHLIFAGSDIFMCSSFHDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDNPSTALSQYIISTYGGMSLPQALDDINKTPSQWDWRIKNGMSKVLSWDAECYDLHWEAYSAVRKL >OB02G43310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25860004:25860186:1 gene:OB02G43310 transcript:OB02G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLKIKHVVIVTYYILFPSAIAYHQFYKLFLIMDIGNSRLFQILLKSNHIIKIDIFLS >OB02G43320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25860647:25863401:-1 gene:OB02G43320 transcript:OB02G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIGVDLKAALAMFAVVLLYLGMALAPVTPASAQPSPGCPDKCGNISIPYPFGIGSGCARDSTFQLECNHSSSPPRLLARIDPTSSSLKLHLVGLSLADGEARIYKNAWTKDSPYSDGAFLGREGPFRFSSAKNRLVALGCPNLGLLMDADEMYVTGCMTLCASPSPAAISAAACTGVGCCQTSIPSGLHTYYVNQDKPRNVTLQYYGATESRYVFVADAEWLGSSGAGGGYRGDFNRTGDFAVPVVLDWAIRNSGGNCSAARRNATADFACRADHSVCVDSTNGEGYRCNCSVGYEGNPYINGGCRDINECERRDKYPCFGECTNTPGAYSCRCPRGARGNPLIRGGCVKTNIGLTIGIGVGSGAGLLAMVFGVVFLTRKITNRRVIKLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGIVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPLSLSWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCISAEQTGVTTVVQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSTEDDSLVAHFTALLTHGNLGHILDPQITEEGGEEVKEVATLAMACVKLKAEERPTMRQVEMTLESIQSLFLQQEVLHSVARKQSKDNHVPRSYPASEGTSKESTRQYILEEEYLLSSRYPR >OB02G43330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25862274:25862957:1 gene:OB02G43330 transcript:OB02G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPRAPRGHLHEYAPGVLVHSPKHGYLSRRSHSLISSRIAQIDRNQLELIRKKNGFCMGGVLFLTSASAVDVGVALVAHGAIAPIPFSVGGVDAHAVVGAAREVCRRVTPGGAAVAAAVPDGPIQHDGHGEVAGPVKISAVAAAGAAATEPLGVRHEHVARLGGAVVLKGDVPRLVLVHVVGVEAGGYRGLAASHAGARRRGNGGRRRRSAQGHAAGHVHLIGVH >OB02G43340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25866021:25866975:-1 gene:OB02G43340 transcript:OB02G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFVVKDKWIHSTYRARADFDQTDYACRNVDWAIRNVASCDIAKRNRTDYACRTTNSDCVNSTNGVGYLCYCSKGYEGNPYHDGGCQDINECEQPDEYPCFGECTNTKGGVAERLERGKYCMTHASASAQHARRGSGETGAWKILYGRKII >OB02G43350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25870090:25872806:-1 gene:OB02G43350 transcript:OB02G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMATSSSALRMQPVALAVLLVCLGLASVTPAAAQQPTGCPDKCGEISIRYPFGIGAGCARDEGFQLVCRDNESPPRLVTSQFKPPQQLVGLSMDDGEARVLLKPQGKCYYKPPIMGGDIPTVSYTSINGSGTYRYSVTKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSSVKSGASLPRLPGRCTGERCCQSIIPPALNSYEPRMFNFEDGTADAELRGSTTPCRYVFLVENTWIETVYDDRKDFNRSDGEFEAVPVVLDWAIRNVYNCSTAMRNKTDYACRSTHSECFNTSDRQGYRCKCSEGYEGNPYLDDGCTDINECLRQKNKCYGHCTNMLGSYTCVCPPGTSGNPKENNGCRPNDKFTSALEVVTGVSVGIFLSVFMCFWLYLGLKKRKLIKTKQKFFELNGGVILQQQMHSGGGAPGFRIFSMEELKKAINNFPTDRVIGHGGHGVVYKGVLEDKMLVAIKKSKMMEEAENKEFAKEMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHFIHGKNPKADVPLDIRLRIAAESAEALSYMHSSASPPILYGDVKTANILLDENYNAKVSDFGSSKLVLTDEAEIATLVQGTCGYLNPEYLMTCQLTNKSDVYSFGVVVVELLTRKKALYLDGSEEDRSLASCFTTAVKAGHHQELLDSQVRNEMDDDMLTEIADLLMQCLSMTGQERPTMKEVAERLEMLRRYQQHPWAKSEGNAEENERLLGMEQQNGNYQFRQHDVLDLEGMARNWMI >OB02G43360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25877729:25877986:1 gene:OB02G43360 transcript:OB02G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKSKTSSCILQKANFKIQAKVSSPVTNGNLQLMIPSVSHVAWLVMASLSQIAWLTMTCLSQIAWLMLNRKVRYLWTGLGFSI >OB02G43370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25894953:25895681:1 gene:OB02G43370 transcript:OB02G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHHHHHMMSGGQDPAAGDAGATQDSFFLGPAAAAMFSGAGSSSSGAGTSAGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSASGSGAGPSSEGSPPPGGSASGGGDTSASPQFIIP >OB02G43380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25913942:25916562:-1 gene:OB02G43380 transcript:OB02G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVVNQHRFAGVGGGAGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAGRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKADTETEYSMESEQDAVIAMTRSAAAMKGGSGCYSNSSSPHDAGHHHHSPPFPPSLACSSGGGGGHHYQPPPPPVIGGSLNEFPITTTSMALYSSIFDFSQHLDGTGVADSGGGAGGGGGGGAVRSDGPRARGALQLQQPDADVIFHPP >OB02G43390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25930185:25931078:-1 gene:OB02G43390 transcript:OB02G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDAKEPEMQIGNPTDVKHVAHIGWDNASVTAPPSWVTAAAALFTTIALFPAALSSDYYWLQMNEFKGTTGGEPEPSQTGGAGGDSAEQPGGGKAEQAERPRRGARGKGSGASDAKRRDEGPRRPPKTEVAESCEGEATAADKPKQRRRKARASGGTSSGRSKSSSGGGGGGGGDCAPAADPQEARPAASEDDGEKCF >OB02G43400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25931708:25932373:1 gene:OB02G43400 transcript:OB02G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKVCYLDLFMVNYFWPRLPLVQFHFDIQQFVRLWFCLTGLQRKWREWAEREKEIYMMFVKQWFRFQVDALGSERFAWCLSTNGLDFRLML >OB02G43410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25937732:25942493:-1 gene:OB02G43410 transcript:OB02G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoribonucleases [Source:Projected from Arabidopsis thaliana (AT3G04480) TAIR;Acc:AT3G04480] MEVVALVSGGKDSCFNMMRCLDYGHKIVALANLIPLDDAVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSSRDQGLKYNVTAGDEVEDMFALLSEVKRKIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAFLWKQDQTLLLDEMIRRGVVAITVKVAAMGLKPSAHLGKELAELKCHLLQMNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVLLHSPDSIAPVGILHPSAFHLEHKPNSSGSIGDSTIAQESSSCLYEVDGAIAHSVVENKQDTLSPVATVDTCTDIDLCISKTGKKMFSIGCWIKDPSGSAEGLKADLVAVLSRIENQLKEEGLGWVNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTIELPLIQVGLGNAYVEVLVTNEQVKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGPTAELELALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHELHSSYVSILDCSNTGSYPTILYIFASDLPKGAYVEIKPILYVPANDDGVTTNKLEAGGPWPSSSKSFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSITNDIALKICSTEQLYHSEEHLKALGRFCAFQLAKILIHNDFSWNNVTMLRFYYSVEHSVTADVMFRVFSEAFAELEEGGVGPCRTDGIPIFNIVPVSASGCSASTSDIISCELLASKV >OB02G43420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25951966:25952241:1 gene:OB02G43420 transcript:OB02G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFNESMVDSMADQLVIRGSDMICNISMLTKDDACILTGVQIIIWNMVRLRFFNHIFLIPFSAFNATCFCLIFLRFFHLFERLNNMFFK >OB02G43430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25952710:25956358:-1 gene:OB02G43430 transcript:OB02G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGDEGWKRSGIEVSALQFGYDGQPPLFARFDLRVAPGSRCLLMGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLESWATDIAYIQEGELRKSAKYSDVEELKGAKNLLSVVESWLRSETKLPKKEHPRPEIQPRRSSPFDVSPFRSSRHMAYYR >OB02G43440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25957776:25961256:-1 gene:OB02G43440 transcript:OB02G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14330) TAIR;Acc:AT4G14330] MVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGRGGGNSSGGENDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKARLEVMGKKAKNATYLSGNEAGKISKEVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVECIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQSVLRKKEEELAQLRAKLKLIEGQGAAAKEEEINSKVMEKTQTLRTELMKMEEKMLRQQQELFALQQRLKEAEREKPVQQDIIGGRLLARLSEMSVRADQSMSMDMSIDFDAGDQPAVQDVNVIKEDTHQQGQMWNQTNSAGSCTSAVEQEDVVRLSGYPEKVALSTVFEEGDEEEEDKDSGVEEEVCKEVVEESYVMQQPLAEPEDPATRNNRIQNIFRLCGNHREIAKHSSVQSPAKQAFRDEHSEPAKQVFGDENMQPAKQIFGDENVKPAKQVFGDENKDPSAWGAMEPPMCDVRVTDSPVSSQLSPIVCQVVDEAKLLPVSEQQTKAPHDERENNRASGQEQEGLLDVYIKWESGHLIKGLKLLSTSCLSDLRKLLEAHFEEAGSKQKHQFTFLLLGDPCGAPVSKEKEAGVPIGKLPNFNNQPNCFLACLRAVKKPAAAEQLPFSPLESKLNSALNDVHRAALSPKVAPQMSPNYIRELRA >OB02G43450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25967665:25972104:1 gene:OB02G43450 transcript:OB02G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G04470) TAIR;Acc:AT3G04470] MSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVTLMSKTNMYRPGIDVTQAELIPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNHEEEFEERGEEGDGGADHMEANGVSKDKKGWFGWGGKKGAKNDEKPSKVGSKDESGDLGKGKEKSSNKKKKGASSGDSNKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEVDPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGNHPMMDGFEE >OB02G43460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25972846:25979716:1 gene:OB02G43460 transcript:OB02G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14310) TAIR;Acc:AT4G14310] MASRIKDRGKAAPASRPLTPKTTTASSSSSSARRTPAFAAPGKENSASKPSKPTSAVRWSTSSIPRASRIPSSVESSKLVSTLRASSVMPGRASIGKDMDAEAGLRRSVSGGIRGAPERARRSVGSVGVRVSDARRASSVPRADARVKGIDETSGKREGFDGKHKQMHVIYRKRESFDAKLKLTSGKRESVDVNVTKQCDGIKGKRVGSDSNLKKQCDRIGGENEGIDVNGRPGDEINKKKDGFDTKLVKEIHPKKTVSSATDLGVAPFSAAEKDGDGGNDAVIPVFTVHVMDTIDVPCIVREEKKNTDEPKKQEEKSKLADKIRVFEKASVSVEGKSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADDVECEISHPQKAAKCAVTKPSDLKHAAKGMNTDELEARFFPHHKLLRDRKPSSAAQQEASMSVKKDCNINMELSSLVCPEDENSIAMEFLASLDGEQSDFFKNRRVKNLEKTVICEAADSSSKTSGQSSSNNAVGPNHEQEIELLATEKLEEFDEQENKSSMILQEETEEFCSDQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANREFKSEYKPPSVISNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALDPGFCSWDYYSREAKAFHVEEISHASSVTSSRTVLGPLPNIGSSRSSSAISTLERQQWWYRPCGPLLLSSASKQKMITAYDIRDGEVVMKWEASNPVQGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKKLYCLHVNNTDAELGGGVRQRVSSSEVEGNDGVFSTQESVNIFDFRVPAGIGLKMARNGGTASSIFSRGDSVFIGSTEGKLQIKGGLRSRVQQYSLRKGKVVATYELPDFNAHFHHSSITQVWGNSNLVLAACGMGLFAFDAFKEDVQQTYSFDRGATLGVREAIGTDDLYCPTFDYSSSRVLLVSRDRPAHWRYLS >OB02G43470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25977171:25984101:-1 gene:OB02G43470 transcript:OB02G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MAALERNGVSFVGLAGKTKGAKDGGKGRGRGGGGALLQMPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRGTDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVGLFTGTFVSLFIIYAILAHVSGIFTSTGNTAYMEIVYHVFSMFALISLHIFLYGCNLFMWKSTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNKWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHMGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >OB02G43480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25983582:25984188:1 gene:OB02G43480 transcript:OB02G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPEFLEQLEAAVERHEGVADVLLGVLDLLPVDERGGGVGAVLAEHVHQLLPHLERRPAGARRRDVDPHRRRHLQQRAAHGPAAAPPLAAVLGALGLPGQPHEADAVPLQRRHHLVRHRRALRHLRLLQLQAPICIEHHLRR >OB02G43490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25989127:25989435:-1 gene:OB02G43490 transcript:OB02G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKRLKKLIKKIKVSRRDALAAGDILPPPSPPYAGKEGGGGYGFSILDPVRAVAARFSARQLHLHAASASVRRRVGLNITN >OB02G43500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:25999004:26001733:-1 gene:OB02G43500 transcript:OB02G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGHCNSSNKSFERLISRRALQMGSSAPCKIWALGFFCGVCIVYLFGVALPPIQILMIRSAHPPLQRAILWNSTSTEPDGATATDGLSVLQEKIETATNGEDIDEVGQMHLYNAWSSFLDTTGDEAMKSSDMPRPPHLENCSLNCERNKKFDSYGDDGTFPPWTLWKGPLGLELFNQNYSEEWKQMYFRSNAKSDRPPYPPWIAGSDEENYPLTRKVQRDIWIHQHPPNCSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHSGCKGLARSSWSCYFLPETSADCQKRALDLMQSKDSWANGIIKVKENYTSKQIWAGHIPRVWGRPWKYMQPTTEIDGKLITNHRKMDRRWWIAQGVRYLMRFQSEYMCRLLNVARHSAFGMQAAKTVLENVPDPPKTSITRIESDIERLVWSEHKPYIPKPLISMHVRMGDKACEMEVAGFEKYMELAGRLRKHFPTLKNIWLSTEMQEVIEKTKLYPTWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFW >OB02G43510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26011720:26012532:-1 gene:OB02G43510 transcript:OB02G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSIGVWIWATWNLMLAVVDAGRVPPPWTEPPRPRRRQEGPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEPPSAGLHRRRRRSRSRRQRRPHRRTLFPRRRRQRRDEHDGADENAQAEADERPRSSWCWSSMSNPWSSCHARTDQTKQRILSPDCRISQATTNQDAHESKKMRELADVSLSL >OB02G43520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26022409:26023128:1 gene:OB02G43520 transcript:OB02G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNDDRHTTNPVSDHVVVSPAVSPGEFQRQIHGLEKLQVTDTAAQQPPPPAPATAAPPPAALPRNGSDDSLTRAYPPATVTPTPTATPEYYLPKYQEKPTAPPPSSAPPATAFLPVPGRYTSVAPASGADHGPVFFIPAPPHGYFTTAAGHGANSFPAVYAVAPHNGNANANGNGPSSAASNAQAYAQQMAYDSNGRAIYYTSVLPQYASAVNGVPAPATVLGTDPAKPVAVKPTVS >OB02G43530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26023756:26023947:1 gene:OB02G43530 transcript:OB02G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKCQHEVLIGFFAYCVRLAASHNVSNEKIVMQLMILCCLRSMLCSAIPHQASNFSLFSITILAW >OB02G43540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26029033:26030605:1 gene:OB02G43540 transcript:OB02G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEASNNSSSSNGEEKKRQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADSKNAHLLELEGAEERLSLCRADVLDAGSLRAAFSGCDGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDESCWSDYEFCKQTDNLYCCAKMMAEMTATEEAEKRGLELAVVIPSMTMGPMLQQTLNFSSNHVARYLMGTKRSYPNAVAAYVDVRDVARGHVLVYERPDARGRYLCIGTVVHRADLLRMLKDLFPYYPATAKCEDDGKPMAKPYRFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKGHLPLIYPVPKRAYL >OB02G43550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26034040:26034776:1 gene:OB02G43550 transcript:OB02G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEERQIPANGDRVLHPGGAPAWRRSGGGRSSTGSRRFGAGSVCIGGHSLGAGFALQVGKALAKQGVFVECHVFNPPSVSLAMSLKGFAEPAGELWDRVRSWLPTGA >OB02G43560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26036307:26036960:1 gene:OB02G43560 transcript:OB02G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCLHVHGSLHPCAQNWALSLAEEERSTRASLLNQARPVQARMQRRHTLVRELQGSSCPRSPSSPLRLHHRRRAVVSTIVTARAVGAQAPGRRRGRRRRQWPVARSANAARCQICREGREAVVVPAIDARKLLVEATAHAVQNERLPVRCVVQVLFSEHGSKLTRLAMDHWLLPLRPGTEPRMTLFSFFLFFSYQYVCTNEKHINICAIFTICSPV >OB02G43570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26040149:26047467:1 gene:OB02G43570 transcript:OB02G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSVEASNGEKKQQMVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLELEGAGERLTLCRADVLDYASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMRAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDPEFCRREDIYCYAKMMAERTAMEEAARRRLELAVVVPCVTVGPILQPAVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYERPDARGRYLCIGTVVHRADLLRMLKDLFPCYPATSKCEDERNPMVKPYKFSNQRLRDLGLEFTPLRQSLQEAIDSVEPWRGNNNNTIKAPFATLQTPSSAYKKKERASQELAIVDFVQLTDRCATAHAHPALVALYRYVPTAGPVPLQTNAVYKVNVDPTNSHLGWESWDKGNERTDLTPRYTSSNDLKRATSPRPWKPKRKIKLDRSNLISALAGSSRFTVMSMLPNCKVVTNNGDDAKRQLVCVTGAGGFIGSWVVRELLLRGYRVRGTARDPADGKNAHLLALGGAGGERLSLCRADVLDFASLRAAFAGCHGVFHVACPVSNHDPELVKVAVEGTRNVMNAAADMGVRRVVFTSSYGAVHMNPSRSPDTVVDETCWSDPEFCRRTDMYCYAKTVAERTASEEASRRGLHLAVVVPSMTMGPMLHQTLNLSATHVAKYLTGAKKSYPNAVAAYADVRDVARAHVLVYERPHARGRYPCIGTVVHRADLLQILKDLFPYYPATTTTKCEDDGKPMAQPYKFSNKRLQDLGLVFTPLKQSLYETVICMQHKGHLPVIVPQRLSCL >OB02G43580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26050769:26052852:1 gene:OB02G43580 transcript:OB02G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKVNSNGVSKVNNNGDDTRQQQKQLVCVTGAGGFIGSWVVRELLLHDYRVRAAVRDPADRKNARLLALEGAGGERRRLPLCRADVLDVAGLLAAFAGCHGVFHVACPLSKHDPELMPVAVEGTRNVVNAAADMGVRRVVFTSSYGAVHMNPARSPDAVLDETCWSDLAFCRQKDMYCYAKTVAEITAMEEATKRGLELAVVVPSMTVGPMLQPTLNLSSTHVANYLTGAKRSYPNAVAAYVVVRDVARAHVLVYERPDARGRYLCIGTVVHRADLLQMLKDLFPLYSITAECEDKGKVMVKPYEFSNQRLRDLGLEFTPLRTSLYEAVICMQHKGHLLPVVSP >OB02G43590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26055140:26062046:1 gene:OB02G43590 transcript:OB02G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESAGVEEVFVFCCAHAQQVKEHLAKAGWTGKAAAREMTVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLQDALQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIDPETKELLYYEDRADGSSLYVTIDKHILASNPTLQLCNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHSAQIGANSVIGNATSIGENCKVSNSVIGEGCNIGKNVLIHGSYIWDNVIIEDGCKVSNSLICDGVHLRAGATVEPGCILSFKVEVGKNVVVPAYSKVSLLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVAGYIWANVDTGVQEEWRQSIGPIPKDKLKELQHAASFDDDDDGSEDDLNNRPTVLDQDDDSDVSVAEDDDYSKFEKEVEETFQRALDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSTNGSLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENAKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQWLKEAEEEDEEEE >OB02G43600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26063687:26066402:1 gene:OB02G43600 transcript:OB02G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAASAGGAAIYRLPEECVAYAISMTTPGDACHSSAVSPAFKAAADSDAVWDRFLPPDHAAILARAVDASSAAASECASKKDLFARLCARPVLLDAATMSFGLDRRSGAKCVMLSARALSIAWGDDPSRWRWTPCLPGSRFPEVAELLDVCWLEITGKLQLSLLSPATTYAAYLVYSFADYTTGLECNIGMPSPKATVTVVVSGAGTTSRPPATEHKICLQHMGEDETMMHRQELVISLRKDFGRKVRLDPDMDIKCPRLRDGGGGGGWREVELGEFAVPGGAGGEGGGGGGEGEARSRHGHQMPAAEGRRRRRRVEGGGAGRVRRAGRRRRRGGGGGGELQGGDQPVEDGAHRARHRAQAQMHALLAKLAS >OB02G43610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26072831:26086321:1 gene:OB02G43610 transcript:OB02G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMGEFFNEEGEDGEIARLPEELLSAAISRTAPRDACRAAAVSPAFRAAADHDAVWACFIPRDLPPLADGELAPAPHSNKELFLRLSGSGAPFLLPDKLMGVWLDRETGAKCFMISARALVIIWGDTPQYWRWIPLTDSRFAEGAELRNVCWLEIRGKIHSKMLSPNSSYSIYMLFKIADEFYGLDSPFQEASVSLGGRGSTKSVCVQSYDSEDEDGSGQVPDNYWSITMVSRGPFRRQRVRRRNHRPVHLEEGVTLPQRRADGWMELEMGEFFNEEGEDGEVQFSLMETKGGNWKRGLIVQGIEWIHDEALHPQWILELSLLIANVAVSTPPDARLIQEAREGHGCEGKSSAATPRIRAPLKTRQIHHHFDFASTLLLLLQVVDFVLHASAAANCAPISSGFRGVEKSMARKMLKDDEVAVADGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGASFWGEFEDSKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVSIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAEVDLFYRKELPLRARILLVSKEILIKVSRGFDDEMRAVVASNLAGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDKIGAIKVDEYSRTSVPSIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTIMKLVVDSETDRVLGASMCGPDAPEIIQGMAVALKCGATKAAFDSTVGIHPSAAEEFVTMRTLTRRLYGEVTQPVVSVQPVRLLTLPVQLCNLPLIGCSFTASYRLQQCTTVWILSF >OB02G43620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26096403:26097122:-1 gene:OB02G43620 transcript:OB02G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARRWRFSVKARTAALGSALLRLTPRRPSASSRCTAAAWASSWAPMWARTRQRSSILARDRSAGSLVSSAAAPPQRKRQLPSIMDLSVPVYQLGAQDSEVRTSAMVRGRVSMTLRARSTETSPALQPMPERLYAMTSPRIPKRRTTPVGERRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGRPRRLLRSMMAPDCSIVTLRSSEGLWQA >OB02G43630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26096698:26097633:1 gene:OB02G43630 transcript:OB02G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDVMAYNLSGMGCSAGLVSVDLARNVMLTRPRTMALVLTSESCAPNWYTGTDKSMMLGNCLFRCGGAAALLTNDPALRSRAKMELRCLVRAHIGAQDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPAGELARFAARLLLRKLLRRKSAGAKGEGPKINFKTGVDHFCLHPGGKAVIEAVSKSLGLNSYDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLKAGDRLLMVTFGSGFKCNSCYWEVTKDLADAGAWEDCIADYPPEKMVNPYMEKFGWVNDLPSQGQGGAFPFF >OB02G43640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26101855:26102212:-1 gene:OB02G43640 transcript:OB02G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRPPFCLALVAVAALLSSKMVVIQDDPKCEVMERCSVDNCTAYCVSIGLQQIGFCTFRDLQFYCCCPI >OB02G43650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26103076:26108808:-1 gene:OB02G43650 transcript:OB02G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQSTAKEFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQLKAREQQQQIQMQQLQLIQHRHAQLQRSNASHPSLNGPINTLNSDGILGPSTASVLAAKMYEERLKHPQSMDSEGSPLLDASRMALLKSAATNHAGQVVPGTPGNVSTTLQQIQARNQQSIDIKSDGNMGVAQRSLPMDPSSLYRQGIIQPKPGLSDAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSINYGDLDPRRYTSLTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLMKVQQTSSQQPQEQQQQEQQQQQSQQQQQQNQQQMQQGNRKRKQPSSSGAANSTGTGNAVGPSTNSPPSTPSTHTPGDGVGMAGNMRHVPNNLMMYGADGTGLVSSSNQMDDLEPFGDVGSLEDNVESFLADDDGDATRDIFAALGRSPA >OB02G43660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26112469:26113797:-1 gene:OB02G43660 transcript:OB02G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLPAGRTPYGRPVRVVELGETHIPREVFEDYLRDISPRYTAETYRLLSHNCNNFSHEVAQFLVGAGIPDYILKLPAEVMSSPMGPLIMPMIQNLESTLRTNAAPQATQFVPTPASVSVPPPQPQPNKPSSAAKSSSDDAKQQSEAADPLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGNAATTMQQS >OB02G43670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26114779:26114949:1 gene:OB02G43670 transcript:OB02G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAQSFERMFGLLTLPIYEMVACKPFTTIALFGHIKTLTVSIWFNKLQQTKWKLLKF >OB02G43680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26115722:26122159:-1 gene:OB02G43680 transcript:OB02G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYRSDYSGWPTNLVSIEVPTVRDMEAYPSVMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSVGLLHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDILYEFEGSLLSRQVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTRMNVNHHEGHGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKAFRGPLRNEDCHWDYAKARCGFPEHCEYRYTFGDVHLGMSCKLKNSSTNLLQQYL >OB02G43690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26122659:26123216:-1 gene:OB02G43690 transcript:OB02G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRGDLACAAVAVAAVVAXXXXRWRRGLRLPEAVGDHNPRVRVDAAARVVCAGLPLLAFRLQPPRLRLARHRQGESPPIRTVLLVIFRKLLSWW >OB02G43700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26126151:26130410:-1 gene:OB02G43700 transcript:OB02G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPFTRWPWHDLGNYKYVLLAPWAARSTYKFVTARSEERDLLSFMVLPVVLMRLLSSQLWITVSRHQTARSKHKIVHKSLDFEQVDRERNWDDQIILTALIFYAVNATVQQAQGVPWWSSRGLVMTAALHVGPVEFLYYWLHRALHHHWLYARYHSHHHASIVTEPITSVIHPFAEEAVYFVLFAIPILTTVATGTASVLTANGYLVYIDFMNYLGHCNFELVPKLLFDVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPMYDYIYGTMDKSSDDLYERTLQGREQQQAARPDVVHLTHLTAPESLFHLRLGFASVASAPLGAASAGRLAIRAASSLASPLLSLFASTFRSEANRLDKLNIETWVIPRFTSHYTSKSDGHAVSRLIEKAVSDAEASGARVLTLGLLNQGYDLNRNGELYVTRKPNLKTKIVDGTSLAVAAVLNMIPHGTSDVLLLGNANKISLVLTLSLCKRETQVRVVNKELYECLKQQVQPEMQKHLVLSHSYSSKVWLVGDGLTDEEQMKAQEGVHFVPYSQFPPNKARKDCIYHCTPALLVPESFENLHVCENWLPRRVMSAWRAAGIVHALERWDAHECGGRVTGVQKAWSAALERGFRPYDHHQPTGNATAAA >OB02G43710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26143903:26144474:1 gene:OB02G43710 transcript:OB02G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGAAGCTAYPWPRDGAQRGGKVFMQSDCTACHGGAMFSASDDGAARAAAWEPKAVEIVVFDFEEVQPAATLNGGAYPPDLTLVSKGLRGNLYAAGGGAAAACQELRKRTLGSLVWL >OB02G43720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26145979:26146535:1 gene:OB02G43720 transcript:OB02G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGDAAACSAYPWPTDGAQRGRKVFMQSDCAACHSMLPYAGLSDDAARAAAAAQPKAAEIVVVKEAQPAATVNGGACSPDLALITKQGLRGNLYAGGGGAAAAPTMLAGAAAVCQELKKRALAGPAWL >OB02G43730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26146501:26149410:-1 gene:OB02G43730 transcript:OB02G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRNGKVPRYLSSSITVVPALSEVAFPNFSDYRPAYTEREQCGSSMNSFGTSSSQPMCMLASNFTSDIYINNSESPVGKLSSESYAIDTSCCDSSLLPTQSSYKGNPNSLRMLYPKVSEQNSWSQEPLPGVFVCPTSVDCLNQQDATIFDQQMQDSIARSPNTNLGKQIEWFSSGTSWQYMENSDSARSVLKAVDATSTAPSNYLHCHTPRNASNLPNSNELCSGNLTSSNITPNKPRMRWTPELHERFVDAVNKLGGSEKATPKAIQKVMKVEGLTIYHVKSHLQKYRTVHHRPELSDGESAKRNGQADEVSSQPMKGMETCEELRAQIGLQKQLHEQLEIQRKLQMQVEEHSKYLAMVIAKQSESLKQLGALPRSLGAPSTQVLDNKETCEGQTGDTDSAEQKSEEHDLEISAK >OB02G43740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26151393:26155008:-1 gene:OB02G43740 transcript:OB02G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHETVAMEEVLALVRSFVDVLVLAGGSTSSGSAAAWRSGDVKKAFQWALFFEEVFKNLRDIGRYDDSARDLDAALVELTSSPNFPKGIEDLRSKTLSRARELVVKHFLKTTSVDNLGAVLEAVVEMDIDGICPSGERDVCQEYVRSILDMNLSRLMLKRNACDVGHPPSSEICEESLLGNSQILLKELQKKLDTSLCISLAERGLSTLLKSMKNNSFDDAGSTSCTPATAWKTQIIDKFILWKQWRAKCLSYLLNERTIRIISGTKLIFNAPKEQWIGLFGPLKVSADSYQSGLIEVMEICLLGLAARQWNSLIESFMSHTFSFFPISKQYADLRQLLQGTAQDVCEDKLLGFKEIDIFEYVRQSLESKPYILWLLPPVLTAAAMPPWSSLFKIYLVEIDKQFDEAASIDRKCKCRGDGIDQHQNCEITERIQCLYTFHVQRTQLTIQ >OB02G43750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26155232:26157465:1 gene:OB02G43750 transcript:OB02G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OB02G43760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26157583:26158879:1 gene:OB02G43760 transcript:OB02G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44000) TAIR;Acc:AT5G44000] MPPMWSPPSPPPSPLQLRRPPPSPSPFPYRPRRPLRNRLSPVAASQDPLTALTRLLWGRALPPSQLVLAVRHGWTSAWGLLMRQLAPSDPATGAFTRTPSRFPTVVGTPSPRLHLYVGLPCPWAHRALLVRALLGLEHRLPLSVAFPGDDGAWSFPPDSPDALYGKRKLREVYAARRGGVEGRASGPMLWDAERREVVCNESIEIAKFLCGLADADAAGGLDLWPPELRQDIDRWYSFIYPSVNNGVYRCGFAQSQEAYDAAAGELFEALDRLEDHLSGSRYLCGDRLTLADVCLFTTLIRFDLVYSSLFRCTRRKLVEYPSLHAYTRDIYQMPKVAATCDMAAIMDGYFGALFPLNPGGIQPLVPASCDREALLEPHGREALSSAAAGSGSKQLEATSASN >OB02G43770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26159295:26161272:-1 gene:OB02G43770 transcript:OB02G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) TAIR;Acc:AT5G55810] MEELELPLPTEKLAVDPARDGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLSAIHRIRLCELACESSSFVMVDRWEAMQKGYQRTLTVLLRIRNALCKDGLANGANLKVMLLCGSDFLESFSTPGVWIPDQVRTICKDFGVVCIRREGKNVDKLISSSETLQECRDNIISVDEIVPNQISSSRVRDCVRRCLSIKYLICDEVIQYIEEHKLYKEADSSDTR >OB02G43780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26161688:26163899:1 gene:OB02G43780 transcript:OB02G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIRRERGDRCVSLWLVLAVDLTRLILVLRRVRVRGASASAGTRRTRSACAAAAAASTCRRAPAPPAASDSLDFGVAQGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSMKAIRRKTTGTGRMRYLRHLPRRFKSNFREGTEAAPRKKGAAASN >OB02G43790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26164941:26165810:1 gene:OB02G43790 transcript:OB02G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHATFSFGSIHPEQCRRAAGTATTASRRRRRRPPPHPTCSRSPSSPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSAAAASAARGTTGRQVAALQLRRPRSLRPQGIVNVAVALLDTTDAHTAPLSPDSPDAFSVKDLLVKRPGSLARIAEVSESEEADEPPAALVEHSGPMDARGSAVEQRKLELTLEKWKADLSPDQKANHRRRSGSRRRRRRSSCFGGGAERER >OB02G43800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26166423:26168917:1 gene:OB02G43800 transcript:OB02G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G60000) TAIR;Acc:AT1G60000] MEEAAATAVEEEEEVDEQLQDEDGAVLDEAEEEEEEQQGGFLEAVSGGGAAEAEAAGTTTTTTTKLYFGNLPYNCDSAQLAGIVQDYATPEMVEVLYDRVTGRSRGFAFVTMSTIEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEVFQQCGDVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSTLTGTELEGREIRVNLALGKK >OB02G43810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26170828:26173484:1 gene:OB02G43810 transcript:OB02G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAALLPAAAAASSSRPSRFRLRRCRYPPTVLAVSSDSPKPVTSSSTGGDNPDEEPILPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWEMADTSTSNSLVKQIPSMEPYLTGSAKSAFGKRLVSAGRRFQAMGQYGKGELKKIAETMSKTGKLLSKRPVVQSEVEAMKVKRKLKFLELEFELTAEKANIGAAVGLVFGLLSWQLARGVQNIPDGTMQYANDNAMQMAKSLKVSLLVLGYTSAALSVFAALGLLLLAQQINSDDKPE >OB02G43820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26174385:26178836:1 gene:OB02G43820 transcript:OB02G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G43940) TAIR;Acc:AT5G43940] MASSTQGQVITCKGGGAGDPKKPMTIEDVQGAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECKECKFCKSGKTNLCGKIRAATGVGIMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGAIVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVLIDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVVVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYLNKEIKVDEYITHSMNLSDINKAFDLLHEGGCLRCVLSMDK >OB02G43830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26179258:26179468:1 gene:OB02G43830 transcript:OB02G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIPFSAFWLRSSVVSVLISLISDMWPCVHCDIKFIFCGEGPTTLACQWGPHALPRRCTAAWAWRTPTK >OB02G43840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26180410:26181849:-1 gene:OB02G43840 transcript:OB02G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPPADAPRMAIAGTVQFISAVHTAREILTRDGYHDIVVPQAKPLSSGEVLGCTAPALKRSEGIGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVREEYDHVGMKQARKEAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGGSVDAWVQIACPRLSIDWGEGFTKPMLTTFEFDVALGYVPGWWEKGSNECGSGCCSGLGTSTDCGCSNGGCADKDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRIGSNVQVEDKQ >OB02G43850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26183621:26187649:1 gene:OB02G43850 transcript:OB02G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT4G17740) TAIR;Acc:AT4G17740] MPRGGSQLRGHYPFISQPQNSLKKSRKDLNCFQFQFRVDQRKKRGLQFWSRAHRLRKRARPMATCSRPLFSVPAAAASPSPAVTRRGARLLRLHTVAVVSTWEPRSHRCAHMNLCCKATRRTSSDLTTGQHISALPRAVKRMLSSYASLLMSLRGNLAKGYKAAKPFLSIGFGRVVLGVVLVMSVSAATYTSPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRETALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKFKSLRSGSQGTLTGVGLSIGYPMALNGSPSGLAVMSATPGGPAEKSGILTGDIILAIDNRSTEDMDIYDAAERLQGPEGSSVDLDIRSGSNTRHVVVKRQKVTLNPVRSRMCEIPGAKDNSKIGYIKLTTFNQNAAGSVKEALKKLRDNDVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKIGVIPDRPLPASFPTDEDAFCSCLRDSSSACNLNAAQLFTR >OB02G43860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26187557:26190340:-1 gene:OB02G43860 transcript:OB02G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT5G38890) TAIR;Acc:AT5G38890] MAATAMEHDGGGEVVTPGELLGNSSSLLAGRGAYAEGRSVRGSVTGHRRLVPPPPGSTDQRFTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGTIRQQDVRATEIDKVDMYQSYRPGDIVKALVLSLGDARAYYLSTAKNELGVVSAQSITGGTLVPISWTEMQCELTGQIEQRKVAKVE >OB02G43870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26190737:26195472:-1 gene:OB02G43870 transcript:OB02G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPAPYPSGSPDTRLLGPRISPAFSPRAMAPESTGVSVHPPSHKHHIRPQHAHSPSPSPLPQGCTDNCSDPMTTTPIGSPCGCVLPISVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHNDPTGTGEDPITADVHHGRNKKLDSWIIVVVVGSSLTLIAACIGLAILLVKWYKLKQLHEAVSPATTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLAQLEKATDGFDSKRVLGQGGFGRVYLGTMDGGDDIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEQNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDADEACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIDGLHDPRYPLSTGAHVQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQMPRH >OB02G43880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26204276:26204452:-1 gene:OB02G43880 transcript:OB02G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGRAPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPLTV >OB02G43890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26211589:26213811:1 gene:OB02G43890 transcript:OB02G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G04150) TAIR;Acc:AT1G04150] MQYLYVRVVRARGVPAVGETVAEVKLGNYRGVTPATAAHHWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMAIGRYPELFVRAQVGSQMLRTRPAPVAANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVPVSSIERRWDEKLVVSRWFGLDRGAGGGNVGSGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKTRDGRGATSDAYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVAARLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWHRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRPRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATLLFAIACVLAAVIAYTIPMKVLVGLWGLYALRPPRFRSRMPSPLMNFFRRLPSKADSLL >OB02G43900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26214626:26217635:-1 gene:OB02G43900 transcript:OB02G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREVLGGDEAYAAARAAGGGSVDILHCIESWAPDEQRRAYEVIARFEQDGLDRLQIMPGASELCGFLDAKQIRRGLITRNVKSAVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDIVCGKRAGAFTCLLDETGRYGPHDSLPEDVRPDFKVSSLSQVLSVLEEHFDLAPVASESRI >OB02G43910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26219163:26220412:-1 gene:OB02G43910 transcript:OB02G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWLVVVSGAVVCMAALVPASHAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDFPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPQLRGEKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFREYQRKLRALVGEEQAKRLVNGALVLITLGGNDFVNNYYLVPMSVRSRQFAIQDYVPFIISEYKKILAFMAELPAACHRTTVKSIGRCHEVGGDVFVGINTKRAHDDFIEDPQAHGFATSTEACCGQGRFNGMGLCTVVSNLCADRDAYVFWDAFHPTERANRLIVQQFMDGSPDVITPMNLSTILKLDQQPLN >OB02G43920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26232469:26241431:1 gene:OB02G43920 transcript:OB02G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRLRELTPAPGAELSEASAAALAECCAXXXXXXXXALCSARGGAMRRHSEELAPLVVGRLGDGDAAVREAARKFLVQLMEMKEMHARMGNGEKTPCISDIQDNRCMTIEIEPTDTNQAKKSPKVKIITRDTSLLAGDGDFTRKLLGPIKVFSEKDLAREIEKVASTLHPDNEWAIRITAMQRVEGLVLGGSADYSAFPMLLKQLVTPLITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPKIIEFAKNDRSAVLRARCCEYAILMLELWVDTPEIQRSVDLYEEFIKCCIEDATSEVRSSARACYRIFSRIWPERSHQLFSSFESSRQKMINDEDAETHQRHLSPVERVKLMQPQSSSCNSTALDKVVKVDSGTSFSSADLQSVQVKASLQHDDTTTKIQAEGRTDGNSTTGNSFKDTSTLEKETIPDKEPDPDKCDSGVNFSACDPSSATQLALEPISELSSSDATDVTIVQDKVECRPNTEQMSQQAQVLIHPSDLLNISPSVNLKESGNSLKQNLIQVNSDGSSGGKTGVQKVKNRVSTPNKSVVCKEPQNNYTPNFRRPLLSKQMTNWFYASTRGDLDDKQLILGEMVGNMDVPSSLTEALSLGLNPRSDWMMRVYAFNFLRQSLLEQGPRGIQEVAQNFDKVMRLVSRYLDDPHHKIAQAALSSLSEIMPVFKKPFEHYLDKTLPHVFSQLNHPKESIKQQCSAVLKLAGEIYSIDSVLPALLRALEEQKSPKSKLAIIEFANASFVKCTVSSDNYSSSFLKPWLEKLALLFKDKNSKLKEVSVAGFSSIYSHYDPASLLSFIVGLSMEEQKRLRRAIKQLIPTMESDLEEFLQQKKHKPKISLFDSFSIGADQPYSKGLVIKKNKHHQHATYQSDCLKADDVFNSALHSLPRISLEVRERRTGKIESGSSDESNGHDTEMMDKRSSATRSSDLPRIFDHSVISDNTVESPRKEGIDIKRLEESNASELSITFRNKDVMSNNCQDHRPSISKTYHQDTISQMEEVPEINGPPASIKNLHQMSSSLLEMLDDPEESTRELALSLLVEILEKQRIAMENCIDTLIGKLLHATKDAALKVVNQAHICLTTVVTQFDPLTCLRAIAPQLTCQDEKILIVSINSLSKLVIRLSLDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >OB02G43930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26244864:26249592:1 gene:OB02G43930 transcript:OB02G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQDRKLKKKQDLQVLTPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDVSPAGKDRGNAFKMAIGPFSSQIEDKKRDSQTRKQSPTKRLSSPTKRSGETPVKMLIEQDMWKEGMSGEEPLNVVARLMGLNDAAVHQSDLKSGKRSNKEYRSGGFDEHSRNLRPKKESKTHQKSEPCGGLNDQTLRMNISSNKYQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSNNNYEFQPVTPPSETKQITILKPSDSIKRNGSTLVGRQLYSDGDESEENKCRRHQSLNVSPANSTFSEPTRIVVLKPGLVKAYEPKILRSPSSSSTVGDSEEDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLHSYEFHDIYIGDDSFSKSEVENAKEISGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRILERLSMVSSTVSGEEEREARRSTGTLGEMLTIPEVKKDQVFGDVTLENTTPEMDSEEPFLCLPRSRSVPISLSFGGIELNGVATGSHEVEKEKNRKSLSFREKVSSLFSKNKKTARGKLDSSEIPSTNDRLKHGNTATASDFNENTDHSALDNPLNCTSQNADEISMPTLMASSWHSNGMENIPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNNRSLLCSSESFISASPQTLSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDRDGCMLASPLELELLEKFSDYQEEGIKLRERRSKEKFLFDAVNEALTELTWTAELMAYPWRRSFSLEHKDCENDCSNSAADEIWRVIRNWSILDRYPPGETIERNLLVEMILKREVVEAARGDMTRLETFELTTTICAMVLEDLIADAAVDLSV >OB02G43940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26250642:26250794:1 gene:OB02G43940 transcript:OB02G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKNSFYCATTWESSFRVKSSELFFWLPAFSASGLLLCYAHFCVLPCY >OB02G43950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26255702:26260320:1 gene:OB02G43950 transcript:OB02G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor A (KIESEL) [Source:Projected from Arabidopsis thaliana (AT2G30410) TAIR;Acc:AT2G30410] MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESDEPGAEIGEAESTIAEVEAVVKPTED >OB02G43960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26257845:26261838:-1 gene:OB02G43960 transcript:OB02G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24190) TAIR;Acc:AT3G24190] MEEDEQLSTLMRGLRGQNLRDSQFADDNVRLRLVEVSSTNNNEALPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISHLISDLINNKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGTRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWVEGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELADIGAQPSTSLVPVPAFPMAISQPEQPVQARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQISASFGFGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNANPTTIDGDVNVVYLVQELLPVLPGISSKILPEVMSRLSSRVFARLIREAFL >OB02G43970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26263408:26265499:-1 gene:OB02G43970 transcript:OB02G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQAPWRGGASASRLSYKSVTVAVCAINLLATALLLRNYFSSWPRIAGGHRFDSAQLRYIWESEEIRRAMEPVDLIRRVKEIEQEAYGEHGMSTQEDAKQTAAVDVSKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTASGPRTR >OB02G43980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26266344:26271801:1 gene:OB02G43980 transcript:OB02G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20360) TAIR;Acc:AT2G20360] MQAAAWRRQLLERHLSPTTAAAISAFRSASQPALAPQGLGGADGARYMSARAPAVKGTGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGTQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVNSIKAVMAKSNVVINLIGREYETRNYGFEEVNHHMAEQLAMISKEHGGIMRFIQVSCLGASASSPSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWSFLPLVDGGTTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYINVPLPVARAISSPREMLLNKVPFPLPSPSIFNLDQINAFSVDNLVSENALTFADLGIMPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >OB02G43990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26280658:26295136:1 gene:OB02G43990 transcript:OB02G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATIAVGSQVWVEDPDVAWIDGEAIKVHGDTVIVKCSNEKTVTVKGSNVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDHNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKKYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDESIVKTLDPEAAKGSRDALAKTVYSRLFDWLVDKINCSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTYETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQKICRGELARRIYQNLRREAASLKIQTFYRMHAARKAYSELSDSAITIQSALRGMVARKELHFRQQTKAAIVIQSRCREFLARLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEETKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVIKEVPVVDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKVSEERLKKAMDAESKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEAEEPKEPQSAPPAIKDYGNGDPKLRKPFADRQLENVDALIDCVAKNLGYCEGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPILAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFSDVKPAEELLENPAFQFLQD >OB02G44000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26295743:26301057:1 gene:OB02G44000 transcript:OB02G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFMITYKRKRVTSHVYSADGTTLKSSGASSSVPVSNLTPKYGVGADNDILHGDNFSTSTKQEDVSDSVQQVIKEESPKQSAHASGQERAELTSCESLSQKEQPDICSTHTSIGEEGDNKLERTDGTNNQSLVSSCVHAGRTINQAEDSNASASVGVNCQLQPSNTTEPSKSKSRFSPLLTFRRRVKNKIGLEEPAAGSYSINNDKHCSTLTCTPRSTPLNGAPMFKQTGGSTLDVEDKVTIAGTSTGQSVIAEHLLEQKSPHIPKSAIHHMVPSQPAKDANQSSTPEDGTPVSEFIRVQEVSELDARVEDSNRTTADAIEVQKVIEVKGDEHGNRETSSLQSPRKKINVDLSKPANRSEAADLLESQGLTKNIPIIVLDDDSDERGKEQEKSEVLDQLVQEKNKSSLSLEQINLNLHCAELPQESLVNLDGTSVYKLQDQDQYVHEQKQMPHPVERLFFTKEKDAMHGKQHHHEGTSTMHKSYSNFFDPAPSSSWNAGNFKEPSSMPSELKFRILDKVPEFNLDLRLDNFPDNNVSALRHNKLFRGGTSSGSHFLKERLGKYSYKRHLVPWSEEELDFLWIGVRRYGTNNWNAMLRDRRLRFSNSRNAEDLAKQWDKEQRHLLGADFLQSIRSSAQCPPPPSHIQEDYVGNSSWSGCSKSPFLSAPTDLSLGDMFLRSARTSERGQHHLSNLGMLNLHPTDNGPRNLSLGGFPVSSSPYGRSSSKRRRVSKLPKSYYDNKAVWCQDPSERVAQFLPINQEPINNLPEWLTKDSEMPGVSRVDAELWPSIKAPGHSAVDRLNDMKPHVLPDGSLKRAPKRKGEWRTISKKLFQTGDGALDLNQRAAAMAGPLGAAGTSDTGASSEETVSDS >OB02G44010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26307242:26308532:1 gene:OB02G44010 transcript:OB02G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPQHHGSSSLVAEELNLLHRDGGEGGRGGLGRRQWKCRLLGSLAGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAVLTVSVYCRVMEQEEIHGGANINGRAERKNKGKGSYASCLPDLSCLRNRASAAAAIRSERGGCGFITIENSAAEMEEEAGEEEGFITMEKGTISSRSRRAAAEAEAEAEAEEEKPCLVMELSEEEAVEVAERVEEEFLAMLEERYWARSKEIEKGLGVSLDVGVELGLDLDSLIKDAEMELAKAEQAWRSKLGAAIVEEEEYNDLLRRWTSRPNASSAFAFAFGSPI >OB02G44020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26310997:26316987:-1 gene:OB02G44020 transcript:OB02G44020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIHQFAECITCHAWSPDHSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRGSQASTSTDWKFGEQIAQLDLSSMWAFGVRWSPSGKTLAYAGHNSMIYFVDDVEASPAAQNIALHDLPLRDILFLSEKTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEALGKLYGQSKQAAGSDTVEPSKPRGGAHENCITCIVPLRKGNERIVKRFSTSGMDGKIVVWDSENHIDIKK >OB02G44030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26321303:26325452:1 gene:OB02G44030 transcript:OB02G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium channel beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G04690) TAIR;Acc:AT1G04690] MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDQGMAFYWGTSEWSAQQITEAWSVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGLGLTTWSPLASGVLTGKYTKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMRALDVIPLLTPEVIDKIEAVVQSKPKRPESYR >OB02G44040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26325599:26328656:-1 gene:OB02G44040 transcript:OB02G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LID7] MSGGQTGGPKAQSFAALHAYPQANYSPLLPFLPLSTSRCTPTSLLLFSTLALARTQAAAAAMRGGAGAGAGGPTAGEPPLGTEAEAEESSAGDEEELELGLSLGSKKQQQQQHAPCRILTARDLQPAAAALSPDSSVSSSSPATGASKRATAEEGPGAATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQPKENTSEADTKKTNTNESDVQKDKEECEKKVRVAGWVKVNMDGEVIGRKVDLNAHRSYKTLALALELMFTKPSIGLCTSHNTKSLKLLDNSAEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLRIMRTSDANGLGQRYQGIHRTAASTRGRA >OB02G44050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26331578:26335398:-1 gene:OB02G44050 transcript:OB02G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSSNQPLLSASACAAGDSAAYQRTSAYGDDVVVVAAYRTPICRAKRGGFKDTYPEDLLTTVLKAVLDNTRINPGEIGDIVVGSVLGPGSQRAVECRAAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNSMGWEGQVNPKVSEVQKAQDCLLPMGITSENVAHRFGVTRQEQDQAAAESHRRAAAATAAGKFKDEIVPVSTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFRKDGSTTAGNSSQVSDGAGAVLLMRRDVAMKKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHN >OB02G44060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26336562:26340277:-1 gene:OB02G44060 transcript:OB02G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGSHGHRVPDKPPVTTLAPVKRSLRIRKKQMYALDLLATAAETLLADQDNLSSRPNINGTPEGMKSVKVEQFDKAFPLRSMAVQRDACKGCTVGRAGISGFLRQANMRLAENSSTQNLADSVLESLTANSDVLAKDSFVRSRKSCRLGFGLGTIPEYGAIGVCQPWSTRSAEVKQVHRARPTVIRSQADTDAAALCALVETMDLDTRPPAEASSGSSSGVHMCSDYGGHSSHPSCLANVQHAACRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNKICGKMSCKGSKLNFCAKKMSTVRRRVQQTTLKRKRHAQLYSEKSSDEVKLTIKSFSIPELLIEIPDNATVGSLKKTVSDAVTTIIEGGLRVGVLIQGKNIQNDNKTLRQAGICRGRKLDDIGFTLECEAARDSSPGVIVPEEDFVDASDVDKFATIKPEEPDENEQLMQDFPGCSLSDPGSVDYPVEWTTQETSASSQAIVPFADQNVEALAIASVPLSRSKRLDFGQRRIRRPFTVAEVEVLVEAVEHLGTGRWRDVKFRAFDNAHHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAQSYWSQQQAKLQGDPPVPEICPT >OB02G44070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26341814:26342029:1 gene:OB02G44070 transcript:OB02G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHLPIVAFTACVQALNLQQKSNKSSCYWVRSAASSAKQLGRKQTENRSQHASANAKRHQMTSHLPRRRAS >OB02G44080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26342378:26342926:-1 gene:OB02G44080 transcript:OB02G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGFSSSSNRRLPSTNVDARHRKVGDPLPLILVPLIFAPLRTEQLWNVLMQAIISLYLYSFFLSESSFSCRSWRKVLFIVSWILGVYCHGAEVDRHCMGGAAPPMIATALILLVDFDLICAPIDFNINASISSSCASAIRDSEEVLLLSIISYSIQIFVWQNPTSWTCKLGRTVLASRYL >OB02G44090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26342220:26344122:1 gene:OB02G44090 transcript:OB02G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLRGAKINGTKIRGRGSPTFRCRASTFVDGSLRLELDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAIEANNQRVALLIEAMSAPVMVATAEQTLEEVECHFETVSGLPVVDASLRCVGVIVKSDRARASHGSKTRIAEVMTSPAITLPSDKTVMDAAALMLKKKIHRLPIVNQEKQVIGIVTRADVLRELEALLED >OB02G44100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26344394:26349316:-1 gene:OB02G44100 transcript:OB02G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT1G31800) TAIR;Acc:AT1G31800] MAATTAGKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSASPAPPAAGRRRLLLRCSASGDNGKGGGSGSGSDPVLEEQRRRQAELAARIASGEFTAQGPAWVATLAAKLAKLGPPGELASALLTKLASGGAASRGPEIPQAVGSLRAVAGQAFFMPLYDLFLTYGGIFRLNFGPKSFLIVSDPVIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAVVPALHQKYVTAMIGLFGEASDRLCQKLDNAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIATCKRLVDEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMSKLQDEVDTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVKRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPESTSSTPSMSVSAASIASGEDQQGEVSTIEV >OB02G44110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26351475:26353212:-1 gene:OB02G44110 transcript:OB02G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08125) TAIR;Acc:AT1G08125] MALLGCDVVTTDQVEVLPLLLRNAERNRSWISQSNSDSGTFGSITVAELDWGNKEHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKIMLGYEIRSTTVHEQMMEMWKSNFNVKTVSKSKMDAKYQHPSIHLYMMEPKSTLIPEAGVSENGENEEEEVVSNLGGDDEDPGAESGPCTGSDSAEAKTGNLDDWEIRRSGAMAARLLKDVKLA >OB02G44120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26353662:26356278:1 gene:OB02G44120 transcript:OB02G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQTVVPRCFELGSCSRWPSNSSEIADVLGEFNLESRTAHVPQSVNQAKQIGVLDAAIGGGGGGGGGEVGKRRPREEAAKDACLSWSMASGELLRRSSGGGEDRVVNLGLGGDLSRCTRPSLQAHAQLLLLGLPVPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRRPSPPDSPEAVPDSFSLSAALAACSRHASPSPGFSIHGFILKSGFASDVFAANSLLNFYGSFGLHSLARNLFDEMPVRDTVSFNTLISSYVPSCCINDAFEVFRIMKERGFMPDGWTVMALLSACAESRELRTAKAVHGVARRMLHTQLFDSGEVVNGLVDVYVKCRAMALARKVFDLAGEKARDARLWTTMVSGYAKVQEFDIAQVLFREMPEKDTIAWTALISGFVRAGRYKEAVVLFEEMDEAGFEADEATVVTVLSACVGYGNIDLAKRLHHRVGRGLISRNAKLATTFVDMYAKHGCIQTAQDVFSSVDDDLKTLELFNAMINGLARCKFGEKSIALFHQMESLGIHPDKITFIGILSACRHNGFVSQGLRIFDSMVEKYGVKQEIEHYACMVDLLARDGQLDDAYLFIQKMPFKANSVVWSSLLRACMVHDNIRIRKIAEEQLLQLDPNYKPGNLPLSNLFSVGKRKERAARLRKFMNHKPVSRRTK >OB02G44130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26358493:26358795:1 gene:OB02G44130 transcript:OB02G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRAFRAMCRSIDGQRLPARVACGRHLTLLGLLLVSSNLSRCIYLRSSPNQRYYVFVFSSFFYRSLEHMPICHPRSLADRMLLHYMMVSLSKHVLLRA >OB02G44140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26364333:26365018:1 gene:OB02G44140 transcript:OB02G44140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKQSGGNGDKKAKAASTEMLLRVAMHCKCNGCRDKIRSGINDLAILSGVEALDKTAVESKGEVRLVATAEPEKLRQRLHKATGKKVDLVVIVPQAKAKPADDKDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLQPRLAAS >OB02G44150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26367313:26368896:1 gene:OB02G44150 transcript:OB02G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDGCAKRIRASVRHYPGVEGVAMDEDKGTMTVVGRFDAKKLRDRVAGKTKKKVDLLPANNNNKNTKSNNDGDVKPIKQEEEQDDDKGGHGGGGKAKQGAKDSKKPLMPVVGTVVLKIGAVGLHCDGCMDRIRSKLFHIDGVEQVAMEMAKNQVTVTGTMDVKALPESLRRKLRRPVDVVQPPSSNSKQQKQDGGKDAAAKALAAEKEAWKAAFYDQQALMATEFMLSDENPNACTIT >OB02G44160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26369280:26369492:-1 gene:OB02G44160 transcript:OB02G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQPSFFFDPFCMQSTTSKKLYHLRQFATPAQAMQGQKVASHTRTAQPDLTSTPLGNNDRSSIRVSDII >OB02G44170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26377071:26377442:-1 gene:OB02G44170 transcript:OB02G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRECTYVVHLLLLCPRKGPICNLCRCGIAERERERAMAHQCIREAEEGGPRRRRQWCLKGDIFLPPYICRRSSASATFISLYLLLLHSLKLRLLQSQLLPAWPVCVPLALLSFLFNAYEIFH >OB02G44180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26379684:26380524:1 gene:OB02G44180 transcript:OB02G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVAAAARRTGNEGRAGEVISGSMQRSMKEDEKEEGEEEEEEEEDRVAWPLKRDWGGMAGQASHTVHMIAPPTQLLITH >OB02G44190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26391135:26393834:1 gene:OB02G44190 transcript:OB02G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G04270) TAIR;Acc:AT5G04270] MAGRRGGAAAAAASPAVVGAVSVLALVYYSTVFVFLDHWLGLGTTAGAAHAAGFSLVVAACFFSFLCAAASDPGSVPASFAPDAEDPQRQGLKSRYCDKCCMFKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNAAIGSLYSLVVFLFDLFQTEHKYDVPYVKVIHVLVGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREATRAKWLAEKSGQKYRHRFDLGTRKNIQMIMGPNILCWLCPTATGHLKDGTEFQITNN >OB02G44200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26393974:26395657:1 gene:OB02G44200 transcript:OB02G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 2 [Source:Projected from Arabidopsis thaliana (AT5G04260) TAIR;Acc:AT5G04260] MATXACAGTGAGEATASPPSRRILVGGRPPPPRVSFLSKSSPGWALISLPPGPRRVAPAAAAAEERVEEEEEPAWVELEPIGSEQQLDRALAEAQQIGLPIVLLWMASWCRKCIYLKPKLEKLAAEYYPRIRFYCVDVNAVPQKLVNRAGVTVSLAMHCIGYSMFDSPL >OB02G44210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26398680:26403469:1 gene:OB02G44210 transcript:OB02G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) TAIR;Acc:AT3G10360] MAPSPAAAAGPTFEDLERDLQAVLMDQNHVATADELSMFRSGSAPPTVQGARTAIGSLFSAAPVQVDNFVDVASGGVGDVLSDEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRVAQRVQAGSGGVGDRRRRPSEVGGGSSLFSVQPGARHGGGEEFLMNDRIGGGERNGLARQQSSEWLGSGADGLIGLSDASGLGSRRKSFADALQENISRPASAASHLSRSNSRNAFDSPNPIRPADSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGNTDKKVEGSAAASHNHDTADIAAALSAMNFSGSKMTSLEAEVQNRVYQNFGDQTDVLFDVPKERRQFSQPKLAQNADEESINALEYAVFPNGSSNYNNSNMSKLTVDSKSKFPIQSPHGNAHNKGSILSPAGSVSLYQNLNGDSSNIDVSARNAKIRSSSFGSSMLNNQLNADNEYVNLLTNQGGSGFQGQPMETIYTPYLQANSDSPLGSATNMSPFQGSSFSGSVPLDSPGYQKAYIASLLAHQKLQYGMPYLGKSGSLSPNLYASDPAFSMGMAYLSSPTSTPYISSPQSHVRQGDRLARIPSITKPTTGGPMGSWNSDNGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETAAAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSTHPYGCRVIQRVLEHCDDESTQSTMMEEIMQSVVLLTLDQYGNYVIQHVLQHGKPEERSAIIKQLAGQIVKMSQQKFASNVVEKCLSFGTPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGMSSSSS >OB02G44220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26403831:26408360:-1 gene:OB02G44220 transcript:OB02G44220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPQCASSKPPPPLPHAAAHEVGDDMAEAPAPPKLLYIAVSDAAGRRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDVSKSDSVDKEENAPSHAIVKDVDTLRPKILEATISSIPFELYKTRTTVVVSRDKFLTVVCDALSSYKYVGPNQKADLLLACRIKEKKESVTILLCGTSGCGKSTLSSLLGSRLGITTVISTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAKKLDIVSHPNTNEGRDGNTSDDKTHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKESVIVEGVHLSLNFVMGLMKKHPSIIPFMVYIANEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQDYLCNRADKRLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDLNTNTVAVVNEEYRNQRAANSLGSKGMFQLIQRQGSSRNLMALLNTDGSVTKAWHVDKSNGNGYLDGTTSSDKSTKNSMFDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDVHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSSKNSPDQEKIHGAADGGGVDDDDGRYHHNLAFFLKMSNEVAAKELPCA >OB02G44230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26411505:26411690:1 gene:OB02G44230 transcript:OB02G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIVEKEDFVFFYTCPVGAISFDILRLVLQVRLDCYATTLEQQHRPFIYGDEQDSSCPV >OB02G44240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26412890:26416436:-1 gene:OB02G44240 transcript:OB02G44240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MNMCEKDQNFHWGYDLFRDPFAPLGYYGPPNGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGQHDHDVYIEPTNSNSCPESDDCFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIISQLKGNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHHH >OB02G44250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26423407:26423628:-1 gene:OB02G44250 transcript:OB02G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGYQSSIKLEMVSPLVTAAKIPSFQPNLTVQQHLLLLSCGNKVLSCTILPSKIILLLCLVFREANTCMFLT >OB02G44260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26424390:26427439:-1 gene:OB02G44260 transcript:OB02G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFFHGDSKEEDPVNKSASVRSLSTTSTERDVRSGSDFNSMNVSDMSAESIRRTQYPSFTDRPSNLRAFSFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDEPNERTEIAVKQLNRKGLQGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDAARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTMGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVESIETGTPQPPLHYHGSVSEPGAKRTKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC >OB02G44270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26435230:26435817:-1 gene:OB02G44270 transcript:OB02G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G54826) TAIR;Acc:AT3G54826] MDIFYNQYIIMYNRYFHLCDRDELSSELEKYVIAGFLFPAPVTAGIRSLQTIMEARNNVSDDGAQDVEDSKTDTPPATIPSVDSSFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYQKGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSQVSDKGPSDQN >OB02G44280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26441334:26442770:1 gene:OB02G44280 transcript:OB02G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALWKRKPKPEPEPEKEKEKDRRMCKTKSSVATAASVSSMAASASTATAATTPRKHQRSPRTTANYSTSSAAPSTSSSSAASLQALRDSLPDLPLLLTFHELAAATANFSSSHRLTPAGNSSSSFTPLASWQSRLKIAADLCDALHYVHLQADTVHNRLSASTVLVCGEGPHLRAKIAHFGAADLAGELPESDKTDQGSSGEGHRRTGSRGRRIEGTRGYMAPELIAGGAPSRRSDVYALGVVLLELVSGQEPVKYEYNKATGEDSFPVDAAEALTTLALRCVAKDRAARPEMSWVAAKVSKLFLEAQDWADKFRVPTDISISIAPR >OB02G44290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26443481:26447056:-1 gene:OB02G44290 transcript:OB02G44290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LIG2] MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVSEFLEKHELDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKSLRGW >OB02G44300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26452884:26453840:1 gene:OB02G44300 transcript:OB02G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADRDPVFPVQQMPSLLFPPPPPRPLSLDSSSSLSSSSFVSHHPSITSFPILVLTVLGILTTSVLLLTYYIFVIRCCLNWHSSSSSDTPVTGLISRRRRGTVPSGLPTVAEPRGLEEAAIQSLPAFRYRKALKKDTADSSECAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRAAIATNNSQLPWDHLLRPEEVVIQVITGAEDEGDQVQLLEANTAGSDPAGDATTDQQVISKKRKNQDASHVSISKGDECIVERRDREVLPLRRSFSMDSLGCAGEVHLQVQNILQKSTQFHGDISDSSSTITL >OB02G44310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26454391:26457387:-1 gene:OB02G44310 transcript:OB02G44310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAVSLLLSRPRLRAPVPIPARRTPKGPPPPPRRHFSPPPPPASTVAEAAEEAFEAAKGTRDLLDAFSRLEAVLPPSDKRLALACLKLGQHLDGSGSGDPSRVLSLALRSLGILEAAPNPTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLSRSLRLLSPLLPSKDVAAADASGEEGDGDVEGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYATVLDFKEALPLCQKALELHESTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKFDEAVTVLKNVAKQVEKDSEIRALVFISMAKALANQEKTGDTKRCLAIACDILEKKEFAAPDKVAEAYVEVSSLYEMVNEFDKAIALLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYKAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALAFLSSENSAIQLPEPQEKEVDSDSTRVAQQ >OB02G44320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26459903:26460922:-1 gene:OB02G44320 transcript:OB02G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLDKLTVAASPPAAGGVLPLTFFDVPWLFTGPVERVFFYPYPHPVDHFTAHLQPSLVSSLSAALHGFYPLLGRVRPCPPDGGGGYEFWSTGGDEDRVELTVAESSYDFDELSGGGPRDVGRLYSLVPQLPRPEDASFALAAVQVTIFPSRGIAVGVSIHHVACDDSSFMHFVKTWAGHCRVAAGADAATGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHRRPGRRRSWSWHRSRSLATALTSSSSASRRAAAAAASTARPSPWRARTRGPALCESTPAPPAGSERTCCSRWNAAAGSPRRCRRSTWATASDLASWRSTWAAFSAPTAW >OB02G44330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26465455:26466244:-1 gene:OB02G44330 transcript:OB02G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAAAGGAAAAAAAAAGTGAGAGSPCGACKFLRRRCVPECVFAPYFSSEQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQIACGIQSSSHSPVSHHQWPDSGSISALLRQDMARRPLDDCFAAGGALLPELMAAGFKDDVAMQQHCSKAVDAGELQYLAQAMMRSTSNYSQ >OB02G44340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26467702:26469771:-1 gene:OB02G44340 transcript:OB02G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIEAMHKITSHIGKPSKFSKASKLALQLTEAGSVKPGTISHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTELFNQQQKNQFNIWMLHAVVANDLFTDDSFVFSKAVGKIKDAISALPIATVDDDNDEAAALAAVESKSGTTHNKADDNVQAAASNSLPDDSTHAAPSNSREESSDPFGLDGLLEHKSKKSEKAREKTTATLISKADDKEPKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRFTAQQREAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >OB02G44350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26472023:26472205:-1 gene:OB02G44350 transcript:OB02G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFSYLRAERRLEATPELLEAPRRRRSAAVQRRGEEKERESDLGEANRTIEKFCCVAVV >OB02G44360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26472115:26475471:1 gene:OB02G44360 transcript:OB02G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSNYRSTRTSLFDGIEEGRIRAPSYSTHEIDENENDQAIDGLQDRVSILKRISGDIHEEVETHNRMLDRMGNDMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLIYYLTR >OB02G44370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26480163:26481170:1 gene:OB02G44370 transcript:OB02G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPEQPSGSSGPKPELSAAAKEPEASPTGGAVADHADENNESGGGEPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPSSGLGGGGGGDGFPWPAHPRQQY >OB02G44380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26486735:26489144:1 gene:OB02G44380 transcript:OB02G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDGYISAPTFEDGPRVPWQLDSTDPYVENLDELLVGCMTPHEIEDSIEIIADEVAHGDKSQPYVGMEFSTPEEAYTFYNDYAYRVGFSVRKSSKTKNRDGVSSVRFVCNKEGFSDSQKKKKKPIGSVNDQRTPEKEKGMTRTGCKASCRIRLYKSGVWRISVFEENHNHVVIKSPSKKRNLRSHKCLSEEDKKIIRNLSAQNMKPSQILEYLAVQYGGKQNIRFKKKDVSNEVSAENRSLLGVDVDTTLCYFQKKKEKDPEFFYAIDLDENGAVKNIFWVDGRGRRSYQEFGDVVTFDTTYQTNIYSMPLAPFLGVSHHRHTISFGWALLRLEDAPNFCWLFKTWLEAMYGKHPSAIITDQDPAMKKAIVLIFPKTKHRCCQWHVMRKARDHLGLLYSQMEGFKEELQAVINRSLTVVAFERDWEAMLVKFKLTDNSHLKLMFSTRTQWVPAYFRDTFFANMSTTQRSESMNAILKLWVDSHKSIYQFVKQIEKLTDGIWQRESDEDLKSMNEQPHLYSPYQMEIEARMVYTRNVFSVFKDIVRESFLGFVTEIIKDKLYHVRISFNPQFRNFKPESYEIEVDIETSRVSCTCKGFEVEGLICPHSIKVMHHIGMAHLPAHYILTRWTKGANANSKKHLSERSMDTGQTIELQALRFATIKSSLMEMGKVGALSVETFNCLKQIIIDGMAKLMTMEDAKLMSMGQTIVTVDPPEDGTKEVSSKPLYIDPPDAQCKGKRKKLTRFQPPADKKERKMRTCSICNAKKRPQC >OB02G44390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26490124:26490990:1 gene:OB02G44390 transcript:OB02G44390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVCMYVTR >OB02G44400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26495647:26495829:1 gene:OB02G44400 transcript:OB02G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCSELERPIGPGVVHEINKLISSKSGEDPHLMLYARCCLPTCSPLATAVSCLKLQNHQ >OB02G44410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26496461:26499292:1 gene:OB02G44410 transcript:OB02G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRIYAKVAIFLGYLAPATCAMDSPPISDKARPFPRIGAALVHWMMPPRFRCPDYHRSISAATTMRRLLPLLLTVAPTAVAAAAGDVEYHHCHCDGNGGGGGFWSMENIFRWQKVSDLLIAAAYFSIPLELLYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTVFTYEPHSFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRIKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLELHNCAVWMPAEERGVMCLTHELRRGGAGVEVVGVDDADVVEVRGSDGVKLLGPDSVLGSASGGGKEGTGPVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPSGKTWGPHEMEIVEVVAGQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEDSLAPEQRLVVDTMARTATVVSTLINDVMEMSADSRDRFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENMLPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRTDDDDVPEDRPGQRWDPWRPSYSTGYSSVKFVIGMMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKNSSPSLPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAASCQLVVLNLEMKRANALDVATRISQYRNRSGRWPIIMAMASDQKVWEKCAQSGINGILKKPVILQELKDELARVLQST >OB02G44420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26500491:26502023:-1 gene:OB02G44420 transcript:OB02G44420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLLKQFGNGNAKVQFTKEPNNLYNIHSYKHSGLANKKTVTIQPSGGKDMAVVLSTSKTKRQNAPAKLNHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >OB02G44430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26513153:26513386:-1 gene:OB02G44430 transcript:OB02G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPGGELDKAEVEMREAGSDDDEAGTRQPYNCTFCRRGFPTAQALGGHMNVHRKDRVGRATPSSTTAAAAARRSVSYDT >OB02G44440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26515520:26517775:-1 gene:OB02G44440 transcript:OB02G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDRRRSISSSSSGRRTPTPEPERERWAWSPAWSRPLSVDGGGGGRAASLRSLFRSIGVWFSSLSTPSSSSSSSSSSNARRTRRRSKEADDLIKKPPLPRPPGGEGKPPPSMRGMYNGSRGGGRGGSTTQFQSSVFSMEEILRATNNFSPALKIGQGGFGAVYKGVLPDGTLVAVKRAKLRTQNPHVDVEFRSEVKAMARIEHQSLVRFYGYLECGQERIIVVEFVPNGTLREHLDRCNGRVLDFGARLEIAIDVAHAVTYLHMYTDHPIIHRDIKSSNVLLTPSLRAKVADFGFARLGVGEAGAADGVTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLLELASGRRPIETKREMRERLTARWAMRKFVDGAAADVLDPYLPRTPATDPSLPRTPATVRAAEMVMELAFRCLGPVRHDRPSMGECCRALWAVRKAYRDMVQAAAGDETPLSDRASSSAASTSTGTGGDRSGELWRN >OB02G44450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26517450:26517818:1 gene:OB02G44450 transcript:OB02G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQEKTLQGGFLIKSSASLLLLLVLLALLLEEEDDDDEGVESELNQTPIDLKSDLSEAALPPPPPSTESGRDHAGLHAHRSLSGSGVGVRRPDDDEEMLRRRSRPSMFAPASCSHARMEI >OB02G44460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26520831:26521595:-1 gene:OB02G44460 transcript:OB02G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPAPNACPHSVSSALPMPSCHCQKKLVLIRSMWMEPWRDGRCRTHEEAEGEVGDDGEANGDGGELAVADVAGEDLRGGVGAVEADDVEGDGRADRPQAPRLRCEHLPRAARGANDGRVGVVVRPGDRGAIAIAIAISLGGDERXXXXXXXXXXXXXXXXXXXXRGGGAVGFGWCGARRGGGVPCRPWRWEEEEEMAIGWRAHFTPALDLPFLAFAVLHVHVSVDRDRKLTCSPDLSTDFCFLSHATTEKKT >OB02G44470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26521537:26523039:1 gene:OB02G44470 transcript:OB02G44470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAFGAGQVAMLGIYMQRSWIILTASAALLSPLYAFAAPVLRLLGQEESIAAAAGEFTRRIIPQMFALAINFPTQKFLQAQSKVTVLAWIGFTALLAHVVLLALFVSALGWGIAGAAAAYDVSSWLIALAQVAYVVGWCRDGWTGLSRAAFNELWAFLKLSLASAIMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVAVVLVQSLALGLLAMVLILATRNHFPVIFTGDRRLQKAVANISYLLAVTMVLNSIQPVISGEQRFTSHTNLHLSTSTIIQSRRRGRRWMAGRRGVHQPGLLLRIRPPSRLHLWLPLQMGREGNLGWHAVWNGNADSSSHVHGMPN >OB02G44480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26523878:26526971:1 gene:OB02G44480 transcript:OB02G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGRGDGGGGRGGGGRGFGRGGDSGGRGGRGRGGRTPRGGRGGGGGGGGGRGGMKGGSKVVVVPHKHDGIFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGGASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >OB02G44490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26527278:26530321:1 gene:OB02G44490 transcript:OB02G44490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQSAAEQTSPRGNDWEVVQLTASTYASAPGPKMSELSDEAEVKGYGTKGDDSAAALLMSGHFSISRNEVETLLRDTDSKERQKELCGQDAISAEGDDGKFQETCEHKLKDDLHRIPSFDKGKNLSLVGMEFDDGKAFQGMGLVGEEPVGFSSSRYSPIDSKNELSWSVTESKNEKKTEEPALQNVNPVVDSSKVVASSDENKPDDSEPPRDASWRKQYKNVRKSIKFWPIIVVATALVGITYFGRRWKKTKLLHQPVKLYPSSNEKINEAVGPLNRIKDILVASNHPAPSIHGHARLS >OB02G44500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26534103:26537819:1 gene:OB02G44500 transcript:OB02G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGMRPVHNSVDTVNAAAVAIVTAESRTQPPAEPRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDAPMPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNVETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQASSRCTFPSFPVREPPKILDGESVATHKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNISGESAAASFRLVPTSNGDHIQPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDATSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >OB02G44510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26541358:26544186:1 gene:OB02G44510 transcript:OB02G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGKVLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHLRSSHHHHHQPGCSKLDSLDHHSCCEVYEPVKPTTNGDSKADPAVGIHQRRGAAVRAKDAPSFRCVEEKEAEAMEQWKSTLWKTCVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPFARIDTPSGTVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAEKNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQHGYTLSFFSHLQFGFPATLIVTGIGLLLIRTN >OB02G44520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26544914:26554467:1 gene:OB02G44520 transcript:OB02G44520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:J3LII5] MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDAISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQKAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGEADDLDWLQMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELEELEKRRDQLLQEMNESSAAESLNSELVNVASAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSASTR >OB02G44530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26555687:26560881:1 gene:OB02G44530 transcript:OB02G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MVSGLFDEVIKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLSFPSYPGVPVGYMIPQVPYNNPVNYGPNNNGYGGRYQNNKPSTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTSEAIQAAESMIMQRVSASSER >OB02G44540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26561619:26564983:1 gene:OB02G44540 transcript:OB02G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSPPPDSAAAVPLAPGFRFHPTDEELVSYYLRRRIQGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLIDADGGVPQDLYVVCRIFQKTGSGPQNGAQYGAPYLEEDWEEEDDAIENAPASGTSTEIAAAADIADEESNEEDGNFSFKTNNEPLHTQECPPEIAPLKAQDSKETNGGGNSCDVFSLDEILQEPENVCKNEEQNAIDDNFTIAELGYPRQDDGYVGENGPVNWIGPSNGDTANWPLRAYSTQNHVNGTLSADGFFDTGNDTISYSGQQQICPSDNQNLYLQDDGLGTSHQVDDNMPFYDASSNHKWVDGKDDYVNLNDLLYPPVENQSLFDIGDDLMAYFDATEDDFKFDIMGTEGSSSQLPDMSNDFVQRDDNNNKFTLDGIPDTIYGASSSGSHGKYTDTAVPDMPMDDTVDKGFGKRLASMLGSIPAPPAMASELPPSTGKSVGPLSAVNPNSSIRVTAGIIQLGSLTFTGSTERLQKNGDFNLVLSFTVEGDVSTKSIDFEPDRQMSTTPMVFRSGMYLFFVSAMILMLSYKVGLCIYSR >OB02G44550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26565787:26570491:-1 gene:OB02G44550 transcript:OB02G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidyl inositol monophosphate 5 kinase [Source:Projected from Arabidopsis thaliana (AT3G09920) TAIR;Acc:AT3G09920] MTAPVALPNDLEGISRSQRVELFRDTSCNIEKEVLSSLANGQDSHASGTNPGFRVGELRLSNGDIYSGTLLGSTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGATYEGEYSGGYIYGEGTYTGADSIIYKGRWKLNRKHGLGFQTYPNGDTFEGSWIQGEIEGHGKYTWVNGNTYIGNMKNGRMSGKGTLTWKNGDSYEGNWFDGVMHGYGIYTWKECGYYVGTWTKGLKDGKGTFYPKGCRVPVSDELYINNLRNRGVLPDLRRQNHGSRIVHSSSVDMGNMKVGLTRESSGASSRRNSMEQPHLKNVSLERRWSLEVAIEKFIGHDASGSSGLERCESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKMVRETKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGSGENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARLQIQLGVNMPARAEQNPKEEESKSFREVYDVVLYLGIIDILQDYNMTKKIEHAVKSMQYDSVSISAVDPHFYSERFLKFIQTVFPANS >OB02G44560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26571426:26571764:1 gene:OB02G44560 transcript:OB02G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIINSGSSQSPIVGQLRWETPGRGTYRDREGGTAETRPSAAPTRRGSNGDPEEAPPPAAAAAAAVGVRLIRTGREWKRLRLRLRLRLRRAGRLRGVPSPPPKIFYSARSLT >OB02G44570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26579720:26582978:-1 gene:OB02G44570 transcript:OB02G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRRRAPPPSPGAPPPPPPPRAVVPRRSSSSAYTLAWSSASPAPSSRRRAPSLVIVAQGKVKKYRQVILTDDIAEVGRKGDTLKVRAGFYRNFLLPKGKAQLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGSVTAQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPDVTAQVRLTVYAK >OB02G44580.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26585773:26586518:1 gene:OB02G44580 transcript:OB02G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAFATTEARQAFRRRLPWIELTEMAGSPEKRTEAASEQNSEMAHSISVTSEAASSVPPLGKIQKTVLGDILSKSTTSKILREHPETCSKQVISKL >OB02G44590.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26585968:26591914:-1 gene:OB02G44590 transcript:OB02G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGINGDAGGLAAAPYDQWLPFSTAGGSTRPSARYKHAAEVVQDKLYVVGGSRNGRYLSDIQVFDFRTFKWSVLSPTRDSNQLNTENSTTDQSFPAIAGHSLAKWKNNIIVVAGNTRTSTSNKVSVWLINMETNSWSSVDTYGKVPISRGGQSVSLIGSRLIMFGGEDNKRRLLNDLHILDLETMMWEEVKTEKCGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDLYLLDLQTLEWSQPDTQGAHINPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSPRDPLACEGLTLCSTTVDGEKFLVAFGGYNGTYNNEIFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITATDEKTRDILATDDLDVKRVQPAGFSKQITTQVDALNGEKSKLESRLVEVHDENSKLKDRLDMVKLSHSELTKELKSVQNQLAAEGSRCQKLESQIAAAHKRLESAGSLENELEVLRQQISQVEQTMTTAQRQKSGGVWKWVAGSAEASDDE >OB02G44600.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26596904:26602972:1 gene:OB02G44600 transcript:OB02G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEEEEHEEEEEEAVYCAVGKEAGKEWRANLLWVLANFRRSRRLVFVHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEEKISKVLDDLLDICKSQKVNASKIIFSCDDTAGGLLQLVDDHGITELVMGAASDKSYSRRMRAPRSKKAQKLQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTSTSPRSSTSDYSRSKSSPRAHSISSEPPFSIQDSAEPTTSSVDQTPIREDNAMDRSTEGFNHHEAVAVASSSAVPVSENVETVQRSAGAASVQSLQEIEEDSPTPSGHGSEDAGDLGDAAYDKLKDAVIEAENLRHEAYEETRRRQKAERDLAAATRIANDAESSHQREARHRKEVEERLARERAAMEQERRELDDILEQTRKVDARAAELELQIAGSERMMSDLKSKLSESYGLLHQLRRDNPAAAAAEATDGGQRTTFLRLGLSELEEATNHFDESVMIGGDGSRGTVYRGDLRNMTVAVKVISRDVAVDELGFCSEVEAISRARHPNLVTLVGACPEARAVVYEFVPGGSLEDRLALAGEGAAPPLSWHALCGVAHRTCSALAFLHSTQPRATVHGDVRPANILLDEECGSSKLAGVGMRRLVRDSGGVALARAAVGYVHPRHLATGEMTPERDVYALGVVLLRLVTGKPPFMAKKEARDAARRNKGWDEVVGASAGGWPVEVGREVALLGLKCCDVEEEEEDPAGARRLPRGLLDEACGVLEAAMSAAPGRSWSSLSSTSDGEGGGGGGAPSYFLCPILKEVMRDPQIAGDGFSYEAEAIREWLGGGRDPHPQVMRDPQIAGDGFSYEAEAIREWLRSGHDTSPMTNLRLPRGELVPNRPLRDAIHEWRLRRAMRSKFAATR >OB02G44610.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26604548:26609510:1 gene:OB02G44610 transcript:OB02G44610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPFDCCEPPTQKVAGDVLLVQRGKCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDMQQLLKSGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVTEQEKLLKDGHESSLNFEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSYWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCVVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPPPKDTNCDASS >OB02G44620.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26611427:26612008:1 gene:OB02G44620 transcript:OB02G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGTEEKDYREPPAAPLFEAEELASWSFYRAGIAEFVATFLFLYISILTVMGVSKSASKCGSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQKTLYMGSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTV >OB02G44630.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26612109:26613227:1 gene:OB02G44630 transcript:OB02G44630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRAHAWHDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >OB02G44640.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26618913:26624885:1 gene:OB02G44640 transcript:OB02G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQMWRDLEHRRSDADQPFDREPSPDTADRERVRQIARRLTANTDVPTAAAAAATGEWLGRGGGGGVRLVREWVQMASQPRDSRVASRREETAAGERERRGEPPRLRGRQARLDVITRMARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSPSDSSVAESVGVLGSDEPQQGAEVRVLSGTENTNQVMLENVGLPEADAENAGIESASVALDNMVEMHETQGDNGLQDEAGRDARYWQPSLDDSLDRWPNETAEDAERNWEDNAEELRSETMEEDVREHGHLQHEHDEWHDDESHVSENWQDDYQDSPLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGRGPLNWNLDGAMPAVNSPNENQEPERNAETRQFQAPVNRPVLVIPPPPLPPRQPLWHRELRNNNWSSRHRVHHRDPEWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGYPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >OB02G44650.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26630494:26632787:-1 gene:OB02G44650 transcript:OB02G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLACLLPLFLIPVVNALPLLVDLIISKIYRLFGWEYRRPERAPPACPFKPAAAKKIDEGASESKPLVEPQTATPAEGKKED >OB02G44660.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26636494:26637611:-1 gene:OB02G44660 transcript:OB02G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 32 [Source:Projected from Arabidopsis thaliana (AT2G36870) TAIR;Acc:AT2G36870] MFRSREFGRDFASLWGAEHQQQQETGPRGVTVWLDSRPGSGVKSRRAYRSGYFGAWVRLQPGYTAGVITAFYLSNGEAHPGRHDEVDMEFLGTTPGRPYTLQTNVFALGSGDPPRSLGREIKFHLWFDPTADFHHYAILWTPAHIIFFVDDVPIRRYGRRGGGEAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXR >OB02G44670.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26638997:26643469:1 gene:OB02G44670 transcript:OB02G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPSVRVLSRTPPPTSSPSPQPPAAAQPSHDGVVAVGFVGGGWTARLADRILDGHVFSPGGSAVALSGGVRYHRDGEKRMVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAFAPFVRSLVAPAMPSKGARSNTPTKPTHRSSSISPPARRGGRHPSAISLMSGTGSHPSMLPGLCIPVVLFVFEDDIIDGPGAATSLDDTSDTSSSNQASNTDGLPKANMTSKGTSSVVMLARPANRSDGSFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGASNANHLPLFSLDTSRVVALLDRTINKKREPLDIIAGLFEDSLTLKSSLDVSSVENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNATSGSVSGVGMVAAAAAAAAASAASGKQMGAPDLPSFETWLSISSSILSVLISGEDGLSSSQSKKGSPTHISSFPKNDQLPSAGSNGIQTALSCLESNKGLNMKFSSSWCQRVLPAAKEVYLKDMPAFYPTSMHEVQLQKALRSFHSMVKGPAVEVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKFSSSDAVERHSSGYVFLHACACGRSRRLRDDPFDFETANVTFNCFSNCEDLLPTLVLPRGTDAGSFPVSSWSLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGHGKHGTRATTKPSSMASNADPQALPVSVEVKSTVSQTTAEIKSVKLESSRKQPEVESMNNSINFGKGLPNFTMKKPFAEVVAGHTAKDTEFPALQQKRPVKPGIRKDERQVSITDQTNGQGHAALSQGPIAENESEKVIRDKTNGSSDRKTFLQIGSNIVPMVVGNETKEINPPVQQFVVYVGFEHECSYGHRFLLSEKHLKEIDSSYLPFGRSNLNNEAESKHGSQKLHQNASRLAATMDVTSGGKHSRPTDSSGRNSQQQLLQPKVDTATLEPAHWLSDPQNEKRGGLSLQYVTLDDGGEAFSLLNKNLPIYMHCPHCKSSDMKGNQDAKFAAAVSQLQRIFIVTPDFPVLLASCPVIQFEPSCLPSNDHEQQGLFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTTPLKYLEQQPELTAWLVGGTALQIVSVGHATEKEATVK >OB02G44680.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26643751:26644711:1 gene:OB02G44680 transcript:OB02G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGHHFLYINRCQKFLAEEFKGSTSRMRIKNQKLVHFPASIGDMNAPGWQQQPLHAARRLENVETGRKRMSQSCLTSVKAQKVDDITVESRQPSLCDDACPFFFHLQNNRFHVI >OB02G44690.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26649415:26650445:-1 gene:OB02G44690 transcript:OB02G44690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADHQVADVVDRERGLALVADMSVEDKQQQLAAAVVKRKRTKRPRHHAAPAVHECSICGAEFGSGQALGGHMRRHRPLHAPPERAVTTATTADTKKDGSTSINLELDLNLPAPSDEESVSPPPPPPVLLALGGQFNDGKKPILLTSSAALVGCHY >OB02G44700.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26659867:26662305:-1 gene:OB02G44700 transcript:OB02G44700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14770) TAIR;Acc:AT5G14770] MCKRGVPFDRVTVNTLLAGLCRNGQVDAAAGLADMLLLRGRGIPALDVIGWNTLIAGYSRVGDMPMALGVSQGMMKQGLPMDVVGYNTLVAGFCRNGEVDAARGMLDTMKEAGVDPNAATYTPFIVEYCRTIGVEDAFDLYEGMVRTGVLPDVVTLTALVAGLCRVGRFSEAYALFREMDKVGATPNHVTYCTLIDSLAKAGRGNESLSLLGEMVSRGVVMDLVTYTALMDWLGKQGKIGEVKDKFHFALSDNIYPNGVTYTVLIDALCKAGSIDEAEQVLLEMEEKSISPNVVTFSSIINGYVKIGSVDKANEFKRMMKERGINPNVVTYGTLIDGFFKFQGQEEALKVYHEMLFEGVKVNKFVVDSMVNGLRQNGKIEEAVALFKDMCESGLSLDHVNYTTLIDGLFKAGNMPAAFKFGQELMDRNMFPDAVVYNMFINCLCMLGKSKEAKSFLTEMRNMGLKPDQCTYNTMIASHCRKGETGKALKLFHEMKRISIKPNLITYNTLVVGLFETGAVEKAKSLLNEMVSAGFCPSSLTHRRVLQACSRSRRLDVILDIHEWMMNAGLHADIIVYNTLVHVLCYHGMTRKATAVLEEMLGSGIVPDTITYNALILGHCKSSHLDNAFATYAQMLHQKISPNMATFNTLLGGLESVGRIREAGTVLIEMEKSGFQPDNLTYDILVTGSGKQSNKVDAMRLYCEMVGRGFVPKVSTYNALISDFTKAGMMTQAKELFKDMQKRGVHPTSCTYDILVSGWSRLRNGTEVKNILKDMKEKGFSPSKGTLNFICRAFSKPGMTWQAQRLLKNLYRV >OB02G44710.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26671870:26673557:1 gene:OB02G44710 transcript:OB02G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) TAIR;Acc:AT5G52400] MAAVVAAAALLAVFFFFLLYTLCLSPAAAACRLRDAGFRGPTPSFPLGNLREIASSLANNNAAAAAGGGGGGKDIHAAVFPYFARWRQAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLVMAGGDEWARHRHIIAPAFSATNLNDMIGVMEETTSKMLGKWSDMVASGKSCIDVEKGVVRNAAEIIAKASFGITDDDAGGATVFHKLQAMQAMLFRSTRLVGVPLASLLHIRSTYEAWKLGREIDSLLLDIIEARRRRRRQGGYGGRKNTDLLALLLAGNEASAGAERKLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQTAVREEVVEVAGRSGPLDAAALARLTKMGCVLNEVLRLYPPSPNVQRQALQEVVVEEGDDGGKKKTVIPRGTNMWIDVVAMHRDGELWGEEANEFRPERFMREAVQGGCRHRMGFVPFGFGGRICVGRNLTAMELRVVLAMVLRRFHLEVSPEYRHAPRIMLSLRPSHGIQLRLKPL >OB02G44720.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26672336:26672731:-1 gene:OB02G44720 transcript:OB02G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLASGTPTRRVERNSIACMACSLWNTVAPPASSSVMPKLALAMISAAFRTTPFSTSMQLFPDATMSLHLPSILEVVSSITPIMSCTMQARGWWRRRRGR >OB02G44730.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26679608:26683394:-1 gene:OB02G44730 transcript:OB02G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVTSGPNFSSFYVQHRGIGVPGASSHPAGLHAPPPGGYRQHLDAVSAGYPFQPPHIGGSHIGQGYHHVDASAPVVQHSAGGAGGSMDIGMGVDMSADTKGDQGSGAGHDEPVKKKRGRPRKYKPDGAVTLGLSPSSSTPHSSSPTMGTMVTTPGSGFGAGTASGGSGSGAPTEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISATGAVSTATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEQETENNEEPNGGDEETPTMALPEHNMPHHTMGGWSAGLMRQMDSRTSNIDINSIRE >OB02G44740.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26680036:26680197:1 gene:OB02G44740 transcript:OB02G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGSAIVGVSSSPPFGSSLFSVSCSAFALFFFDPPYMKLPTITYFNNNKKA >OB02G44750.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26686025:26688323:-1 gene:OB02G44750 transcript:OB02G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLKREKEAKEKLDRKLQAKKSKMKIDGGDTKRKGGKFKVGKKKVKTKLSALAKAKAAQAMEVDK >OB02G44760.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26689164:26690760:1 gene:OB02G44760 transcript:OB02G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGGAGGAGRRRCWCSSQDSAADGPSAPADKAAMPTGSADRDAILAKVELERKLSMIKAWEESEKSKAENKAQKKMSSILAWENSRKAAVEAKLRTREEKLEKKKAEYAEKMRNQVAAVHKAAEEKRAAVEATRREEMIKYEEMAAKHRSKGTTPTKFLSCFGS >OB02G44770.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26691518:26692839:-1 gene:OB02G44770 transcript:OB02G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASPAASHTARPASLRSSSRAAASGSSSAIPRPPLPAAVNTRDVSSNCKGVAKRLDYDDNFAFPDALAVPDDDDLAPLLVLPDPADSFSSSSSSTLVSATLDDAVTASADSAHTQVACHVLGFCKCSRWGFVWGLWNGEFKLRFVSCFSAPESLQIHFAAPSFAGNFACVTSLFLIAFGVLFEVTAGETNEMVEEEEPLPDQVNLALAELHGGRGLSPRSKRLIADVLELLAAERNPTATTLRLRAAFWGKRLLK >OB02G44780.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26692953:26693417:-1 gene:OB02G44780 transcript:OB02G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVGDDGDEGGAVGRDAGSSRHVDLPDRGKQQQKQKSWIRQRRNPDATVDRHYLNLDRRIASHRLASSCVDESHLDPLEDDGRGEYGEARECAGISPESRSPERGAEFAVVAGARRRTREKPRVEEAAAIHRFVSVSSRYSSAGPWAGLVPET >OB02G44790.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26693046:26696699:1 gene:OB02G44790 transcript:OB02G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:J3LIL2] MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >OB02G44800.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26696896:26701802:-1 gene:OB02G44800 transcript:OB02G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQYKRMHEKLARQKQQGLLRHEKQLYLARLRSEIRASRLPGASPSPGGDDDDGPTSSRAHIRALADRFLLPGAEDLWNEDDGPIHRAERPPRRVVSGGRNGGGRHQLVSGREQPRGGFNPRREYQTLAPWWWQWRSASAIPSRAKEASFCFFGPKRRYSVMPPPFQAHHESVDAPMMPLIARGLAGGPVALSLFIQERFYSVAAGRFGRKWRPDSSDEDDDISTAKKDLKFAKLGASSEEESEDDELEARTAIRKKWSSAALRNCDIKKERRALKSYEEENNDLDGSFRELREEIKNREVLGVERRRYESRGESLFTNKRFDECGISPLTVKALTDAGYLQTTVVQEAALPMCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKNHTNHRVSPIFSLILCPTRELAIQLTAEANVLLKYHQGIGVQSLIGGTRFKLDQRRLESNPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRSDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPNKVEQLYHIVPHELHFHMVYRLLREHIDQEMDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDRDHYIHRLGRTGREGKSGKGVLLLAPWEEYFLNEIHDLPVQKSQTPHMDEDMKRKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSIGLEKPPALYRRTALKMGLKDVPGIRIRKK >OB02G44810.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26702059:26704300:1 gene:OB02G44810 transcript:OB02G44810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00560) TAIR;Acc:AT4G00560] MATNVPSSLVSWLLSFGNDSTLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTLSPVAKSLPIQWMDSVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAIQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVVSPPDISMDITKLTQMLGIKPISFQDGVRATLAAEAST >OB02G44820.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26705854:26706132:1 gene:OB02G44820 transcript:OB02G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSKQHLVSCILFLLLVMSHLPIPSFALRRTTFREEAVSGFRSHELPPSPTIAPSQEKAAAIFANADSICGKKYTVSRRTVPQGPNPLHN >OB02G44830.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26710755:26711063:-1 gene:OB02G44830 transcript:OB02G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDDDDNPXXXXXXXXXXIPPPPPPPPPTRTTSSLPFDSLLPVSSTAMTCHVSSSRVPFPPLLARSLFATTCYL >OB02G44840.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26710837:26711181:1 gene:OB02G44840 transcript:OB02G44840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLTGSSESNGRELVVLVGGGGGGGGGMXXXXXXXXXXGLSSSSVERLMQEQRSREAKKAEVTLAPTQLAQLSMAAPAIREARLGFRRAGGSKAEVEAGLTTPLLSVLEATK >OB02G44850.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26711987:26717508:-1 gene:OB02G44850 transcript:OB02G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) TAIR;Acc:AT1G64550] MAAAVAAASMGVVREVLGSDLVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFHALGDLLIDAGCVADEQHCLEVCSKLCEKFGKHGLVKPKQTMRSLATPLRMNEGMDDKVAPKKQADVFEGPLLSSRDKAKIERKKRKDERQREAQYQMHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFNVTVGGRDLIQECTITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEEAHLVQQQKDLEYEAEFEQSTSKSKGGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRISMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFGGTFKDYKKMLKS >OB02G44860.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26719230:26721057:-1 gene:OB02G44860 transcript:OB02G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKGRRRPRKKKSAVNLSELPNDVLLDILERVDTPDAVRTCFLSRNMATLPRLLSRVAVDVDSFASRDDSLPQRDLVRRNGAVADAVNTVLASRDPRCCLHEIKLRFYLKFYDCLSIGKAVAQAMSEHKIDIIEFTILTEKGSLECTSDDRFYYLKQFNSFLGACPTVFAGLTRLDLQNLWFGESDIPNILLTCEKLESLRLYSCKAADESVLRVEHPHLVELQIAYGNFETVQLVDLPKLQRMTCQTWISYQDPLVFGHTPCLSNLSLTDISMSWQGNLRLSSFLANAPTIQVLNLNFRSEKIWVVPESSKLLLPVLSQLQSITLVDLPEGCDIAWTMFILEAAPNLKELSITVRDHWCTMVRGKEERELHGYCKKANVEWEPSVANLKHENLAKLTISGFQPNENFVGYIRRVMEAASNLKQIFLHDRNVDKCCAHLDPEIVKEVTPSRYPRTMEEQELLKKEMTERLESEGMDLSDVVHFQS >OB02G44870.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26721475:26721627:-1 gene:OB02G44870 transcript:OB02G44870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRWVTVNPRVEGGAPLLEQQRVGGALGMRPCPQTAPSERARSAGGL >OB02G44880.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26722274:26729042:1 gene:OB02G44880 transcript:OB02G44880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G15410) TAIR;Acc:AT3G15410] MERVLKSARESGSLNLSNRSLREVPNEVYNNLDTGTQDEKWWEGVDLQKLILAHNNLEVLREDLKNLSSLVVLNICHNNISSLPAAIGDLPLLKSLDISFNQINALPEEIGFATALVKVNCSNNRITDLPASLARCLELSELNASNNTISMIPDELVGCSKLSRLNLEGNKLVTLSEKMFMSWTMLTEMNVAKNLLTTIPDSIGALSQLIRLDLHQNKITFIPSSIKDCSSLAEMYIGNNLLSSIPADIGMLSSLGILDLHSNQLKEYPVGACRLKLSFLDLSNNSLSGLPAELGTMTTLRKLLLTGNPMRTLRSSLVSGATTTLLKYLRSRLSSDEEGSGSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETDDVIKLDLSKNSIEALPNELSLCSSLQSLILSNNKIKRWPGTVISSFANLSLLKLDNNPLAEILATDLEAMSKLEVLDLSGNVSSLPEPSVVSALPHLQELYLRRMKLHGFPYGLLGLKQLRILDLSQNYLTTVPEGIKDLTSLTELDLSDNNITTLPPELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAAE >OB02G44890.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26732618:26734645:1 gene:OB02G44890 transcript:OB02G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRPLMRGIRPPRVFPSTRGGRASPYALALAALLLASAFLLALIAFGVFSLPVSAPNAATTDAGGDADPRPARTRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHVHEYKA >OB02G44900.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26737740:26738963:1 gene:OB02G44900 transcript:OB02G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRRQGREVSVPSISQAGSPRIKSNQMAKNKKGRRNKPAVQDRDRLTTMPNDVLLNILERLDTLDAIRTCTLSKKMAKLPAELSRIALDVDSFAPNKCVSDLLTLREVVRMNGAVADATDKLLNFRSQEITLRHLSLRFYLRYYDCLTIGKAVQHAMSTYNLETAEFTILTEKQGDYCEGTDMIYFGKQFKTFFAACPNAFSGLTRLQLQHLHFAEPDIPNVLSTCKQLKSLHLFSCLTTDDPTVLRIQHPQLVELDVIYGDFVFVELNCVPELRRMAYDHWDCYGDPLYFGDVPLLSTP >OB02G44910.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26741289:26746121:1 gene:OB02G44910 transcript:OB02G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGGGGGLTAIRLPYRHLRDAEMELVSLSGSPRGASPKDHQGTPATRTAGTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYILGDTTEHCSTYKGSRYRAAIVFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNILGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSNEPSNGALTNGHTHGSSIPANSNTEDSNSNRENVEVFNDGPGAVLVNILTSLRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLTERKAYDNGVREGAFGLLLNSVVLGVGSFLVDPLCRLMGARLVWAISNFTVFICMMATTILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNIPAFALASIFSLGAGVLAVLKLPKLSNSYRSAGFHGFG >OB02G44920.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26746270:26751419:1 gene:OB02G44920 transcript:OB02G44920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEADAAPDLPNGSSAAEKKKSRESDRRRRRRKQKKNKAASNAADADAAGDEEEKPDVKPQVQGVEVEVEYVPEQADLDDGLLADFKSIFEKFTFKDSSAADEDDEKKDEAGTDAAKKAAGSDSDDDEQGTLQKKEGGISNKQKKLQRRMKIAELKQICNRPDVVEVWDATATDPKLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEEMEEGMQSVDTISSTPTGVETPDVIDLRKLQRKEPERQTEKQLYQVLEQKEERIAPGTLYGSSHTYVVGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >OB02G44930.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26751939:26753644:1 gene:OB02G44930 transcript:OB02G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKNDNLEVFLQAATPHLRWRSASIFMVDECFQAPSNVWQLDSKKDEVDYFTLQDLWEHYAESSVYGLAVPARLESGNTTITQHFVPYLSALQLYTTKPLLASSRGSMASETDSWSDGSTGDKLSRSWDAALSDDDYSSQHSSSSLSAKQDGRLNFQYIEWSSPYERVPLADKVAELAQRYPCLTSLNSAQLSPSSWMSVAWYPIYHIPANRNLKGSSACFLTYHSLSSVFQDNVSGSSSRSAGVSPFGLATYRAEGELWASARSSHLYWAASSWLKQLRAYHPDFIFFTSHRPSAFLPPRLL >OB02G44940.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26754667:26760172:1 gene:OB02G44940 transcript:OB02G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLLGFLLGLLALAAAEAAALLWLLRRLRRRDAAPPPAQDADELPGERPFPYEKQGFLWILEPEKTPKANTERSSIGGPKEAKDKKNIVEVFPSKKSAKIKGHSLILSGPDGFQTTIKLLNCTVFAVSASSMQSRKWAKRYPIKLESKEYQIYNGSKACYLYAETSWEKESWCKALRLAATADKEKLSWHANLSKEFCNYISSLNSEYPCFLKPAVFSGEDHEVIDRTIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSAQGERKMLDKLRSYQGTPFIEGLIGSQDDKSNSSSSQDTVKPSPPTPALSQTGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSSMRTPAYVGDITLADFRLGNLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDPQKSVSSVEEKDETDGNQPKSTGWTSTYITRWKNIVHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESIPIPFMLAEKDDWVPRKDAPFIWLNREPTETRSHAATVTPTQPEEVTLKDDASNKIAAPSLGNSSARSEEALETATPIEEPSQMPVVAADASHELRKSPLAPAGEASPSSPDTIDELRRPLLITEKIQEEDSDSRMGSPSAPYTSLRGIVPAGEQAGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRHIVEKMRENARTNSFERSMTGSSTSNS >OB02G44950.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26758833:26762246:-1 gene:OB02G44950 transcript:OB02G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT5G08400) TAIR;Acc:AT5G08400] MMPPLPKPLLCLLVTPVPPPPRLRFRRLTATAASDNAAAASGTTARERRLAKVREDRRRRQHEQDHTYPGWARVLENACKDDDELRAILGDSIGNPELMKQRIQERVRKKGRMQFNKSKTGSIVAFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDQASGVMPSSFHDIGDVEFQDNWGRVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMTNSDYGYKHFKI >OB02G44960.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26762884:26765680:-1 gene:OB02G44960 transcript:OB02G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MRARSIVPDPHACSALLTALARSRMTATARKVFDDMTRSGVAMNTHVYNAMLHVCLKSGDAALAESLMTRMDAAGVPLDRFSFNTMIALYCRKGMQYEAMCVRERMENEGVKADVVTWNSFIHGLSKEGRVKEAAQLLREMTAAGVAPDHVTYTTLVDGYCRAGDLGEAIRLREEMETMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDETKVQADHVTCNTLINAYCKRGDMTSACKVKRQMMESGLQLDHFTYKALIHGFCKANQLDEAKETLFEMMGAGFSPNYSVLSWIVDGFCKKNNAIALLAIPDELTKRGFPPDKAVYRSLIRRLCKKGFIDLAGKVFNEMLGQGLEVDCLIYATLACAYLAAGKSGAALDTLNEMARKQLHITPQIYNCMCTSYADEKESLNMLWVHAIERGLITKSVYKTMHQARMKSSNSAV >OB02G44970.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26765968:26768295:-1 gene:OB02G44970 transcript:OB02G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:J3LIN0] MEFMVVEPIRIRHALLQIFTLGMVITSALIIWKGLVVMTGSESPVVVVLSESMEPGFERGDILFLHMTKDPIRTGEIVVYNDGREIPIVHRVIEVHERRDSAQVDVLTKGDNNDEDDRMLYTNGQLWLQQHHIMGRAVGYLPKVGWVTLVMTEKPVIKYLLIAALGLLLITSPE >OB02G44980.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26769469:26772231:-1 gene:OB02G44980 transcript:OB02G44980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKPNDAGAIRRRPGSIGEVAVFVPGLRVPEISEELPLGDGLPRRLTERLAALRNRIVAMAAQEALYMTKPSWRISITQHGGSKSADLLQTLEDYLPTLLALVKDGSELEDKIQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKMSPEKRKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHTEAKAAAYYYHGLIIDEGNSEKCHRTAVAALQSAEEFLEESRAACEAFHAASPVSRCPPVWGSMRYLHEKIQKESSCKVRINKDLYTSDRMIHESSGVPALPDFAVALKPEEYRLPLLALAD >OB02G44990.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26775811:26777550:1 gene:OB02G44990 transcript:OB02G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPHLAAALDAARPFLRGEEEQVDPALPKLAGVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDVGRDHVRPIVGAPAERLVHLFCVVPRHQLIHDDLLFHYADQDLLADLASSEASLHDAQRGLFRDAEPWRLKIQRLLPPTGITVKHIRTGEDVALSRRVVATFLLMTMADFSDQLFDWQDRLFNNSNGHLEFSGNSWASLWPGTGKPGLWTTSISRMGALYTLIVREEEIYIAHRQQSSSLGRQEGDGRDEDIELVIPPVFNGCTEVVSADDQKAARDLYWEAVCSGGDGEDETETDWRRVEELLRQSIGKNPFVGEPRVVLGQVLLNMEMYEEAEEQVEAGLELLLEWGSSWDKRMPWEAWVSWGRAMLTKAKDKDWPHTSFGILSLGLVK >OB02G45000.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26780568:26781104:1 gene:OB02G45000 transcript:OB02G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSHFFYYSFPHPPLSLLPRFTLSLPHISSILLSLPTMGDCLLGRASGGGGRVPMATRWIWRAAARRASSGSAVEGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLDARSGDVGGG >OB02G45010.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26796808:26797020:-1 gene:OB02G45010 transcript:OB02G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYTATRSQRAATAGFFLTGVALILAAARLSYTNMEPRQAKAAERRRVLLDFIRRKRGQDHEDPPKP >OB02G45020.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26799040:26805446:1 gene:OB02G45020 transcript:OB02G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEATDGFGQEAKRKRGRPRGSGKGKRLSGGDRAPAMRPEGEGLGVASRLRDRKPPPAAFSQLDGIDDDDDELLNKPTRRDAGKKRGSRKKKMDQENIKSHRHNANAVKDTILCNHKVLNTAKKRKRGGAAVAKKGKKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISEENQIRYACHVVRLLRPWLIELRRKQMVEKELEAKIQGVSVEEIKLEQAVCDLDERVYCNRCRTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEIVDPENRDKGYAFGKIPLSNDENQMASLKCHSDIPNGESYDGMISDENQKKILLLWKANADGSIPCPQKEKGDCSCSSLDLKCLFPEKLLAELEDRAEEVFRSETFAKELARTSDLCPCFDHSGKIRSDSTKLRHAANREDSSDNYLYCPVATAIQDDDLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRALRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTCWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPAGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCGTYDAGQIKKVQKQMKMQDYMEIYGTMQSGSELKPSACPVELGDKSVDVAPKASCSKENIHTFKDKLKGLDINALPADDAGDDARDEALSYESLARSEVAQCPNHYHEAYNSDITCNGVQRCRKKARGCPPQTGPEVTEHQKSGGVKTAPEVSEHQKSGGALGDIFRKEDTEKLQDFLRKHASEFRHIHCNPVKQVIHPIHDQSFYLTAEHKIKLKEEYGVEPWTFEQKLGEAVLISAGCSPQVRDLKSCIKVALDFVSPENVGECVRLTDEFRRLPSSHKAKEDKLEIKKMALHALNEVINFLDPPSSERSEAAERKPTRGRGRPKK >OB02G45030.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26805342:26805503:-1 gene:OB02G45030 transcript:OB02G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLLPSIRATNQSPARAFFGSTTSPCRLPLCCFTPRLTTFLITWPSTLFTI >OB02G45040.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26811154:26811324:-1 gene:OB02G45040 transcript:OB02G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRVRPSKQRHALVLPAAAHSFSLQASPAADSTLSLLLLLAAHLIEGSELRARKK >OB02G45050.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26816282:26819400:-1 gene:OB02G45050 transcript:OB02G45050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSQPDPFSPSQFTSSQNAAADSTTPSKTRGASSTTPLTVKQISEAQQSGSAGDKGAPFVVDGVETANVRVVGLVSGKTERNTDVSFTIDDGTGRLNFIKWVNDASDSAETAAVQNGMYVSVIGSLKGLQDRKRATAFAIRPVTDYNEVTLHFIQCVRMHLENNKSSLASPAKTNSAMGSSSSYGFSEMTTPTSAKSNPAPVSSVTDGSETDLHEQVLKIFREPANVESEHGVHVDEIVKRFRLPTPKIREAVDYLVDVGHIYSTIDDFHYKSAFNE >OB02G45060.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26820300:26824649:-1 gene:OB02G45060 transcript:OB02G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAGTPPPPSLSSASTSAAAASCFLPSLHRRRRCRCLPTPKAAALPPRRPAPLSASNLPFPQTPVVSEPTQLAPPASSTFAPGTYRGAGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFAVFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGRSMGPVAGGVASDVIQNVASGILQDVASDIIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQVIKSKKNSQTTVIGNPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDITIGTGEVELGSLKDTVPTDKIVTLYGGWGLFGRRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDGPSTDMNGKERETFMDLLAALLVSEEFQGIVSSEPGSFRDSEQTNDQQATKPRDGENIAAAATDTGTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >OB02G45070.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26825494:26830771:1 gene:OB02G45070 transcript:OB02G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQVLTPAAAMEMAAILAEVSTAVVGWAPAIAAHVKVQFGGMAHSSSLMLLHSVLTLFVQFPDAFGAEDERKMARRLALAAREAHRPLPARLLALHWLLGSEKFRFAVPGLSRWFYPGVFDPLAVKAKKLDCLALVSVGIDGEKTEGARDVNQPIGLVDDGLACVAAFRWLPGWSTETGVAFRALHEVLIAAAPHSLDGSGCSGAGELLNSTIFHHLQAMLVDMTSEHRGLVPVIADFINRLLACNTHRWAGEQLLRTLDENLLPRLEPGYQLASYYPLFEKIAENETVPQLRLIELLTKQMVSLAKKHDPETELKTWSQGSKVVGICRVMLKHHHSSSIFVPLSRLLVLTIKSYPDLEVRDHARIYLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPSQDLKSMPGLTSYIHLERVVPLVVKQSWALTLPNFSIQSRASGHILSIQDVSSTPPEQEKTPQPTIERIGYTPEALRVMDSKGAETLEILRRHFACIPDYLHSSGLKIRIPCTFRFDSEPFNHAWGSDSAVPGSEGVDGLPALYAVTINFSSSAQFGKIPSCHVPFLLGEPPGSGMDIVPLDNGHQLESSYCASVVIELEPREPSPGLIDVVITANTEDCQVISGSIQPITVGIEDMFLKASVPHDILKEDVAEYYQDLFHALWEACNSCSSTGRETFSLAGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLVTILRGNAIIKNIVWEESESATTVGADALVPYSVDTNLSLRRSDEDEFEVGAETYAHLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >OB02G45080.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26827100:26832763:-1 gene:OB02G45080 transcript:OB02G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALLSLRFAAAPAPVASPPARRARAATSFRASASPSPAVGRRRPQNVPGDFFVDHRCIDCQACRWMAPEVFKRIDGKAAVAAQPVSEEDRIKALQALLSCPTASIHTEKPAKEILQVQNMFPLPIDTDLLPGVYLCGYHSQDSFGATSYLITHPDGNILVDSPRYTAELANNIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSDEVESVTADVEWKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKVLFTGDHVAKSEESDDLYLFLMYNRQPVSLQLDSMRKLLKLDFEWFLPGHGHRIHYKDVHAKDSAIESLIANYTS >OB02G45090.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26832868:26836894:-1 gene:OB02G45090 transcript:OB02G45090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19895) TAIR;Acc:AT3G19895] MDDAFRCFGEAARAALSAIARHLRLRTNAFDHLLDDTPLPVNEVSSSELLVAYSHQHLASSGAQVDRGFLMLVASDHPGMEVCDPNGQWYLADGVSGPGDLLLLTGRALSHVTAGMRPTSRYRITNESRASLTFRLMPHANAILDCSPISAAGHCVPQIYQPISASQFMDDLCAEELAISNHSEAPSESQGSFVSEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIELARCTICNGEVDPANLFPNLALRAVATVVKMEDDRRLFHNAALRKRRKEVTERMDVLKSTGGSKGNGELVLDAENSTSPRGVQYPFVVGERVLIMGNRRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSEVQLTSEMRLQPLTFLQNK >OB02G45100.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26837891:26839999:1 gene:OB02G45100 transcript:OB02G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:J3LIP3] MAVETATQKIRWGELEEDDEGDLDFLLPPRVVLGPDENGFKKVVEYRFDDKGCKVKVTTTTRVRKLARARLSKSAIERRSWPKFGDAVHEDVGARLTMVSTEEIVLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQAEAFVDRPPTADGPGPAGGAIKGAYVPPSMRSGAGAADRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >OB02G45110.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26842743:26842997:1 gene:OB02G45110 transcript:OB02G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVDAIEAAYGALLDAVAALLAESVRSVQALQELRQRLDAFHASCDRADDLVCAATNRLALTADANLDALLRSVQAMDAKPS >OB02G45120.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26844887:26846155:-1 gene:OB02G45120 transcript:OB02G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVALLRRSLAAGPAPALLLRRQLLLRLLSTQTQTPADLAHLKNSIRSAAHTPDTLADLFLSGLSHPAFLADRPLFTLSVHRLASAGRRDLVASILSSSLTSLPAPHPSEGFLIRLISLYSAAGMPDHSLSTFRIISPPSDRALSALLSAYHDNRLYDRAIQAFRTLPAELGIKPSVVSHNVLLKSLVANGDVASARALFDEMPVKAGVEPDIVSCNEILKGYLNTADYAAFDQFLKDNTTATAGKRRLKPNVGTYNLRMAALCSKGRSFEAAELLDAMEAKGVLPNRGSFNTVIQGLCKEGEVGAAVAILKRMPEVPRPNGKGVSPNSETYITLLEALVNKGVFGPALEVFKECLVNKWAPPFQAVQGLIKGLLKSRKVKHAKEVAMAMRKVVKGDAKEEWKKVEAEFSFEPTAKKA >OB02G45130.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26846659:26849500:-1 gene:OB02G45130 transcript:OB02G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTSISQLAVCLVDGQARFYVDMPIPECILDLEATTKSKLATLNEKLDILERKLEVLEVQVQAWAGWVAMTMNMVASSSIIQAQVYHVWQRERGYQAAVGMSSGGRRRSMAGRREEAERGGGRGGGGARSDGAKEEEEEEERERRQRRREELERVVGRAEDATFSGADLAALIRSKYGRSYDVTLIRKEFMGRSLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVSHVRSSLANLKDRPRIGKAVSIFIDMPIDDARSNEWIYK >OB02G45140.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26850900:26852411:1 gene:OB02G45140 transcript:OB02G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAIATLALHLLLSRPSLTAAQLRQVHAHLLVSSLLADRFFPNSLLRSLLPASPLRALRLFPRLRRITTNANPLFPNAYTFSFLLTASASLAPPHHASPRLLVSSLHALAILLAFDAHAYVSNGLIHAYASCGLLCSARRVFDVNGSCRDVCSWTALLTAFARAARLEDARALFDAMPNKTTIAWGAMLSSYVGAGSFAEAVDVFQDMLRARVRPNRAAVLGVLAACGALGALEQGRWVHAFVNGGEMAGDRMVATALVDMYAKCGSLETARQVFEGMAERDVFAYTAMITGLSDHGRSMEAIEVFGRMQEEGVRPNEVTFICVLSACGRAGLVGRAREMFGSMAAEYGVEAGVEHYGSLVDVLGRAGMVEEALEVVRGMPMRPDAYVVGALLNACVARGDVEAGKKVAEMIAELGLEHSGLQVQLSNLYAGRGKWEEVVSVRRGMEERRVLKVPGCSMVEVDGVAREFVAGDRSQEAWIIDVAEQLDAQLRMVALAHH >OB02G45150.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26852609:26857936:-1 gene:OB02G45150 transcript:OB02G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKKLPRAKNKKGKKKTPTPKTQGYFWPMKPKRRGEERKEKKTRREREDAMGPPRVASAIAMVLLLLSSSFLLRLPLARARLPNPNPTSRALLLLPASSPLRAFCPASRRPSSATCSAYAAMAATDDNPLLADFDFPPFDRVEPAHVRPAVRTLLARLEGELADLEKGVQPTWGKLVEPLERIVDSLDVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWETLSEARKRIVESQIKEAVLSGVALEDEQREKFNQIQQELEKLTQKFSENVLDATKKFEKLITEKNEIDGLPATALGLAAQTAVSKGHENASAENGPWIITLDAPSYIAVMQHAQNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVQDMEDLKVFAKGSASPEANDLTHWDLNFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANKLFGVSVESADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGIEWDAVELPSQFMENWCYHKNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHSTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPAAA >OB02G45160.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26858725:26859638:-1 gene:OB02G45160 transcript:OB02G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSIIYSVVGRYSFLCQLLVYKGQEGEAAACRSPFTNTPKRESACSILCLMSTTTVPEPHVLAVDDSIVDRAVISRLLRSSKYRVTTVDSGKRALELLSLDRNVHMIITDYCMPEMTGFDLLKRVKESSELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCSRVMK >OB02G45170.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26862262:26867817:-1 gene:OB02G45170 transcript:OB02G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGHSKDFQPMPSDGLRKRRTYTTNSRATESIEEKIQNTMSSNVTDSSFGMEFPELSDSDVVYSQANIIKTSSAPLIRCQEMLPRDGNEEVPAVSTHLNQHGGAHDSTEDTVCSPLDYRNFSEPFTIVKLAEPQTVHQESPIGGGEDKVINRLLDTVNTNFNSSEENLICSFRSHDSLFDREDDACLTEHGRPSLLTAFGELPVEISEENSLSQPEKLEPYPVSINEAPASPSDYTVYYGSLKDVSPSPSDPVLSASENFEKVSIKDGKEESLLKPQKSAALQTDTFTPEKLPATHAIHDSRLIVNPDEGTNALACIFTNANINAALVSINAGSPRLNLPVCEELRREEFEDPEASFSSSAELLMKGDEDAREKEPCQFNGGEGNDVLICSEEEALLVPLVVSTTEQYVKTSGFPLCCQDADMMEVPRIVAANPELKNSTCREVLTNNDEVSKEELSYGLHLKETNDLHFNLEKDDFLHPSVVDTDEHSLATSDFLLSNEGIETTITKAHGALKKSSSESQGEGYNPIEAVVDPSCDDSNTQDVINSVMPANFVPDTNMRGSFQVGQEKTLEAFSNQLDKRTCNFEGILLSSSEINNDIFYSSGSSSHLLHASSVEDNAPSSVQGKLSESKDETASAFVDTPTFLDEVTRSENWTNHSGSSQCIPDRTEIQSIHDGKQVPYETLHGITFGGDESFISPEESINSEKYSLYSRSSSCVSEVNMMYAPGGGASSEPENSHIFSLDDKNGMMFHSVNSTENYENSIRSVEFIPETDMIKTLDVAGESMAGLLHEVPSSVVDGFITPGMGNGMGKSDDYLDLLSSSVHTVEDSKAENNIYKINSSLFSPDVNLTGCLGGGQQGTHLKQEETTLCFENLYMACQDTNSEDHFTNLGSRDIPDASISENFLVEERLSSDRLHDGIFSTKGSLISIDDGNNAGSNNSPCVLHNAHINKNLLGLQEGSFNPQDGPTMTSISRNKVNIAEKPACFSPEESMVADLQDTNKTTSVPRDGNSSSFSGACNFLDESNSSINHPYYSRSTSPMPECNLIEIPEASWGETVEPDDDNSCSFEETLTSGISSNNHRSPLYNCKQEVVVSSGKGSTDPVLVDVHSFDMIPVGEEQTTIIKEEFKYGKSFSSKPGLFSCDPKDNCIINPENFDKRSFETHYQEGPEIAVSLAGMPFFVDTNSEAEKEHDNTRCSSSHAEFNIAEAPKELSIDAENKVFPKGVEVHNWHCMDKEPKDGRLDDVKEDLVDLDEDHENNPIVLPEVAGKITALSPMPSLKLYAKDASSRDSSVGVTNDFEVARAAGLRQRKQVLTISSSTGSSTVSELADTQCTELVDDVVDSLSAPLPSSSATTIQNISNGMSAHFLIEQLATDQPPTSIEQI >OB02G45180.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26870591:26871658:-1 gene:OB02G45180 transcript:OB02G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEQQRRRRRRRRRKRRLLIVVDEKRMDLGGISTYHVFRLNLDRLFADQNDGGWAALRPFPRHLARFNTSPRQSERLDLAAVAPNMVVGVSNLKRTVLYDTAAGAEVSPSPELLYQVPGGTVPIPLGRRVYTIGYRPSWSPSGFQVLLPESDRRWRRRRWSWRALPAPPRELTSGFGSLQGWMAAGTRVWVSAKGKGTYSFDTVRLAWRKERRLGPPHMWPRPLRPRPRHLRSPLLPLRLRPTGFRHGAGGPVPPASPTWGTAPSASPGPWAGIEQDECHMLTRFAPLLIAVQVVFNNSELCLVNRNLRCYRIPATGQEAYVLQPSLGHHV >OB02G45190.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26872166:26873007:-1 gene:OB02G45190 transcript:OB02G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKARIQRRHATARAVRRTIAFTSLTLETAAFVYGLWMARRRRRDGINKTSAAAKTTTTKLLLPVPAFAALLFAAFSRFHHFFDAKDQQKLDRLRAERKAKMGLHSNGSHHNMQKLLIHNQESDPGSPAAAKKGHSRLSFHVGDDE >OB02G45200.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26877409:26881833:-1 gene:OB02G45200 transcript:OB02G45200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPCLLLLLLLLFASSNLATASDADALLALKSALDRSDRLPWRRDTAATFCSSWPGVRQCSQAQPPRVTKLVLENLNLTGVLTASLLAPLSDLRVLSLKSNALTGPIPDALPAALPNLKLFYLCDNRLQGRIPPTLALLHRATVLVLSSNRLYGEIPPSLTTLPRLTSLLLDDNLLNGTLPPLPQPTLRLLNVSANRLSGEMENAPAAAPSSAASERGREFSWEREGIGKLVFCGGVTEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPAAGAAELGRRAEELGRLRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLLHGSSSRASSKGKPLHWTSCMKIAGDVAAGLVHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHADLASSASVLYRAPETRAAHLFTPASDVYSFGVLLLELLTGKAPFQDLMDLHGDDIPSWVRAVREEETESGGESASAGGAEEKLGALISIAAACVVVDPARRPTTPEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVQMAMGVPRDQGELGLT >OB02G45210.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26886091:26886979:-1 gene:OB02G45210 transcript:OB02G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHGAVVDIRMHKEFSINPTREPAGHSMPEFTKFLHETFSLPRDAPVSFKPGDETMKPRMMVISRRHPRKLMNVDEVVRAAERVGFEVVIDHPPMEHIGKVYFGDPTEDMQLKYIAYSAGVDESTLVETLGRDHPAVRVPESVHQSGLGKVAEHHLGKQDIRLDLARFEPVLRSAMDLLKEN >OB02G45220.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26888452:26901702:1 gene:OB02G45220 transcript:OB02G45220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15680) TAIR;Acc:AT5G15680] MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVLRIRLQLMYWMDKQSKHIAVGFPLVTLLLCLGDSQMFNTNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYNIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMCLWRVCLSEELLAKDMQNVKRSSLGSDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFAPRAAREIFHMVFPSLRHGSESYAFAATAALGHSHLEVCELMLGELTSFVEDVSSETEAKPKWKNPRSRREDLRTHVANIHRMIAEKIWPGMLSRKPVLRLHFIKFIEETYRQTMSSSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDNRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREVERYKASQHSRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDSARKMSGRVISWINNLFRELSPKAPFGHSPVDPRTPSYSKHPDGGRFGGRDKQKTSHLRVLLAKTALKNTLQTNLDLFPACIDQCYSSDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDDEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDNQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDCLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETRKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGNPDTRLLMHITGLLPWLGLQLTKDAPSLGSSSPIQEQNQKACYVASNISVWCRVKSLDDLAKVFRAYSFGEIFSLEDLFSRASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTHCQEALNVLEALLRSCSGVTGGQADDIGGFGENGHGMGEKIHQSMLLPQSSFKARSGPLQYAAGSGFGTLVGQGGGSAADTGGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >OB02G45230.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26903661:26908346:1 gene:OB02G45230 transcript:OB02G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVRPPPVDEEDARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARVNPRDCWYWFNGNCANPKCSFRHPPLDGLIGAPTTPRTSQQSAPQAQPAQAPVSNPTSGIAKQGVPCYYFQKGMCAKGDRCAFLHLPQTTGNPAPQHTAKVFAPASVPHPQLKNSWTKPNASAQQNAPPATFGRPKDSAHNGKPAQKPSLTNRPDHSTGIIHDKSSYMPSSVTKNYRPLPTIGDDLAENGMEMGEFVREPSAGSDVLTGGADDNAEQSLREDRSTYRRTNGDQHIGVLRQTHGNYGFERSHRSSSEKLLSESRFSHREPMPSPADNSDLRQRLLKQRRLNNPRSGHVSDRHNIYLEDERHDHHRRRGEEQASHDGVSSSRLKGRIKLPAETSFDRLGLQPEKERERGPRARSPPPNQTDLRGKLHDRLKARPNEDVSANVKSSLAKANSGEDADSLNFAGPKSLAELKAKKVVGSTGGHSVKSAGSLAGPVRMTSEIVAVKESSDLVPFDGPKPLDAILKRKREADPRNATDSGNRLEEHTIGDEGSQFQNNEEDIVGTNTEGNEEAYQADDDLVYGDSMSPADDIAAETADDARKELEEQQNTEPAEEYDYEMDDVNAEDENDYQEYEDDDLEDDDDFARKVGVMIS >OB02G45240.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26904863:26913572:-1 gene:OB02G45240 transcript:OB02G45240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHKRKRPEAEEDGTEPGAGAVAVDLSSLEAADAVEVLDLRAAKRLLLAFERRLRDNLEARMKHPDDPARFADSEIALHAETDRLRLLAGAPDLFPDLVPLGLASSLSSLLTHDNTDLAAAAASLLADLTDSDDPDDLAGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLAVVENLLELRPHLADIVCDRTKLLRWLLARLKAREFDANKQYASEILAILLQDSPANQKRLGQINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMEFSTKIPVNKKNKKESYQEALEERVISLIASLFGGITKGSRKIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERFDGLDLDDLEMDEDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKGILQVAIFVERAQEDLMQKQPVRSRSLGPWDGDGRSCVGPDHPRNEEEEEEEAEAGNPIPIRLREERMMMMLFGGVSAHCPPSPAAALRRFPPPPTTRRSFLPLPSPSPSPSPCPWMRRRRLAAVSVNDDFFTVDYDPEEEEDEEEEEEGSPWEGALVYRRDASVQHVEYGTTLERLGLGDLSSPHSRARAATMGILSSTPTATDHTPVLVSLDVARRRGRLRLDGIVRTVITLACYGCAEPAPEGIFANFSLLLTEDRVEKPDVVDLGTIFEEEQTKAPLLTGGQDEDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCLGCGTNLNTSSCNCNTQKQQDKNVQRRGPLKDLLKPLQR >OB02G45250.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26913893:26916335:-1 gene:OB02G45250 transcript:OB02G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFGPKTSGERRPGPKNNTNGHPVFIHRVGFKIHHFQKRKSDRSIDQPTLLLSASPRQPPPERKRRRQREKGSMEPAAAPLPGGVDDYIRDSIHDSLGLPVPDRSLRLKLLASEDHRRRLQDHVFSLEEDLRAAARRIELLKSESAMNATGLRRCIEEKEAMVTAYNDLASHSAKLERECALYERDLERAMESCDDLAKENDEIRARLNDDTTLTALTNEVQALQKDKENLRINLNKAEEEVKLLFEENKILDEENKRLLSLLEKERQHRSERKHSSSASASTKHKRKSSSLKETSPVGRAIDFKGADSSRHPLSPLPPNSPDYRAHKK >OB02G45260.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26917842:26921542:1 gene:OB02G45260 transcript:OB02G45260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:J3LIQ9] MAVGFGRSDSIADMMPEALRQSRYQMKRCFQRYVSQGRRLMKKHQLMEEFDKSIDDKVDKDQLLQGFLGYVISSTQEAAVLPPFVAFAVRMNPGIWEFVKVHSVDLSVEQITPSDYLKNKEALVDDKWGAYDEESQLEVDFGALDLSTPHLTLPSSIGNGAQLVSRFLSSKLSDKKKPLLDYLLALSHRGDNLMINDTLDTVEKLQTALLLAEVSVAGLQPNTHYSEFEQKFQEWGLEKGWGDTAETCRETLSSLSEVLQAPDPINMDKFFSRVPCVFTVVIFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALENELLERIKQQGLDATPKILVLTRLIPEAKGTKCNVELEPIENTKHSSILRVPFKTEDGKVLQQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDIKWREMDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNDEHIGHLADRSKPIIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCNEDFIYWSKMSTAGLQRIYECYTWQIYATKVLNMSSIYGFWRTLDKEERQAKQRYLHMFYNLQFRKLANNVPTLGNQPAQPTESTEPNRIIPKPKERQVCPFLRNLLKKEQGNN >OB02G45270.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26898234:26929544:-1 gene:OB02G45270 transcript:OB02G45270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPTHHQPTDDAPDPPHPAAAAAGGGGRGRGRAGAGRYAQPHQQQGRGRQQQPPRATPTTARAASSSATASSGPLAPELRQAMEAPRELAQPSSTHTQAGPSQPPPPADTTPHHIPSSSKSIRFPLRPGKGSIGTRCMVKANHFFAELPNKDLHQYDVSITPEVTSRFVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLVDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDFVAQLLNSDIQSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGVTIQATRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVGNQQRLNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQHPRDRERDIIKMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVVRGFCHELALMCQASGMDFALEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKLWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSAVSGAGGRGPLSGSSTSRSTRPPGGAAVKPLPALKDNVKRVMFYC >OB02G45280.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26931264:26931420:-1 gene:OB02G45280 transcript:OB02G45280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCHEKFKNCMRKVTKAGKVGFSKKCPYEMAMATMTQGWTWPSCSVKPR >OB02G45290.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26932056:26933748:1 gene:OB02G45290 transcript:OB02G45290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAVSRGAARFTEEQEALVIKSWNIMKDDSANIGHRFFLKIFEIAPSVRQLFSFLCNSDVSLENNPKLRAHAMSVFVMTCEAAAQLRETGKVTVRDTTLRRLGTTHFKKGVSDGHFEVAKFALLETIKDGVPASMWSPAMKNAWGEAYDHLVAAIKQEMKPAALL >OB02G45300.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26936763:26937704:-1 gene:OB02G45300 transcript:OB02G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRSPLLLVVFLAAVVLASATSPPPPPCSHSCAALNCDSVGIRYGKYCGVGWSGCEGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVTKAGKVGFSKKCPYEMAMATMTSGMDMAIMLSQLGSQKLEL >OB02G45310.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26936803:26938912:1 gene:OB02G45310 transcript:OB02G45310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIPEVIVAIAISYGHFLEKPTLPAFVTFLMQFLNFSWHFTLIRPATKKRMKIKKRGSERDDKGNKETTGVWSWSRQQASRSSQGSSPSQPLQPTPQYLPYRIPTAAEKGGRSDSDGEMPDRSNQLDAQSQLRAAHEWLHGGGGGEVAEARTTAARKTTRRSGDLGGGIVKIGIGNGMGLPLGSGLGSSAASAAAAAKAVDALFGSRLHQDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLIPLSSPPALRLHFVLVTPDFEAPTSKMRAALPKQVAVQQHVRNSSQAAALVAAVLQGDAGVIGSAMSSDGIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIEGEEKGEEVGQRMVEAFASAGKLKATATIAQLDRVGARVISTSSLE >OB02G45320.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26940507:26942277:1 gene:OB02G45320 transcript:OB02G45320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSSSTSTPTYAAAAAAAHVYXXXXXXXXXXXXXXXXXXXXXXXXXXRQLRGSPPAVLGHVTPPLQELYSMGRKLGQGQFGTTYLCTEVATGAALACKSIAKRKLLSPEDVEDVRREIQIMHHLAGHASVVTIRGAYEDTMYVHIVMELCEGGELFDRIVERGYFSERKAAEITRVIVGVVEACHSLGVMHRDLKPENFLLKDRAREASLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLRGALDFDSDPWPTISDSAKDLIRRMLRSPPKDRLTAHQVLCHPWVCEDGVAPDRPLAPAAASCAFWWLLRLKKMALRVIARSLSEEELAGLKEMFKAMDTDGSGAITFDELKEGLRRYGSNLREAEIRDLMDAADVDKSGTIDYDEFIAATVHLNKLEREEHLLAAFAYFDRDGSGYITVDELEHACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGAIDIIGNGRLTFGRPPCSAATATSDDPSPSISSPR >OB02G45330.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26944627:26947012:1 gene:OB02G45330 transcript:OB02G45330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMGGEAAVPRGSKMRGAVVAAAAAALGNMLQGWDNATIAGALLYIRRDLPALQAHPALQGLVVATSLIGATIVTTLSGPLSDYRGRRPMLVASALLYSLAGLLMLWSPTVEVLLLARLVDGFAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFVIMLAPIPNWHIMLGVLLFPALLYLLVTVLYLPESPRWLVSKGRMKEARAVLQMLRGRQDVSAEMALLVEGLTTGRDTAVEEYVVGPLEDGHGEAKVMLYEPERRMSGVAPGSVFGSTVTLASRQGSMLDHLKDPVVALLDSLHDMKPPAGGMDVVPNLGSMIGVHDRPPIDWDEESAGADDGDIDAPLLGLRRHSSLSIAGEATSTLGIGGGWQLAWKWTEVVAPDGTRQSTVKRMYLHEEQGDAAEEVHAAALILEQAGVDVLLSRLGLRADSASILISGLTTLLMLPSIGVAMRLMDASGRRSLLLWTIPVLIASLAALVVASVAPMAAAAHAALCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYSLPVMLSSLGLAGVFAIYAAVCCVALAFVALRVPETKGLPLEVIIDFFNVGAKGTLPNLHHDEDDED >OB02G45340.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26946562:26946795:-1 gene:OB02G45340 transcript:OB02G45340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQKASEQMAMQRPRTRVGKISAHRMLGMGPNPMTKQQRYTTTLPVHSAACAAAAMGATLATTSAASDAINTGIVH >OB02G45350.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26950011:26950481:-1 gene:OB02G45350 transcript:OB02G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILASLLCALICVAGLALVARCACRRPRPRPRRPSSSAAPPASIPAPKGLKKKAIDAIPTVSFAAGKQQQTAECAICLAEFGAGEELRVLPHCGHGFHVACIDTWLGTHATCPSCRATVATLFVPGRCRRCGEVDDAAALEAARFSTTNSEDDT >OB02G45360.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26950171:26950593:1 gene:OB02G45360 transcript:OB02G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATWKPWPQCGSTRSSSPAPNSARQMAHSAVCCCLPAAKDTVGIASMAFFFRPLGAGMEAGGAALLLGRRGRGRGRRQAQRATRARPATQMRAQRREARMTTMSESMEMAAGGGGEEAEWSRARILLCWCWTPTQAGFN >OB02G45370.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26952159:26954624:-1 gene:OB02G45370 transcript:OB02G45370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGPSQPQDGLRIHAKEKLPIASNALLQAHGEIHTITGAPTYLALLLRNFYPRLSANLGLGVAIHLHNKLPAWDDFTYTLRAKKAIIPFPSNALLGINLKGRLLADTNFKLTSRTAAIELAWTILDLRRGQDVRLKLGYQLVHKMPYFQLRENNWTFNAYMDGKWDVRFDL >OB02G45380.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26957799:26973319:1 gene:OB02G45380 transcript:OB02G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASGGGRRVGPDTPASGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKSDADLLVWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAEKEAERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRSDADFFKTPEDHSFRGEVNGENRPAGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQKQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSYYVEIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRTGFRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSGGKDRDLMKRMKSDPYFTYAIKECYASFKNIIYTLVVGTKERDVIQKIFTVVDEHIAQGTLIKELNMSNLPTLSKKFVELLDLLHKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRHEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALENQNEDGVSILFYLQKIYPDEWTHFLQRVDCKTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARENDLMEGFRAADLLSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASRIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALNTGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDMFVCILAFMPTGWGLLLVAQAIKPVIVNIGLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARNKE >OB02G45390.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26974194:26975315:1 gene:OB02G45390 transcript:OB02G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQMMARSFPMWAADDPSAQPPFLPPPNANRGWKRKNPSTGGGGYQPPALGDLQVQNRAKARRWFKSHNPNPNQSQGRKHFFPRPKAAAPRNTTSFIIRAKRSGGIASLVSPCPVTPAVLPTPRLSPSREGLSDMAQAQWGVDGYGSMKGLIRLRTSPHPANPSASDDDDDANSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGDDAAAGGGYVFEDDDEYDQDAHVARLEEENLTLKERLFLMEQELGDMRRRLEAIESRFAGTDGIAVDAMAVEEEAQDDKEMADPGSRPSADQSVPRDGLSSDKSRENSTEIAADAAGSEKKCARDHAEEVADADADAAGSEKSSAQDNNAEIAVGGSEGIGKEEMRG >OB02G45400.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26976545:26978641:1 gene:OB02G45400 transcript:OB02G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G12100) TAIR;Acc:AT3G12100] MMDHPKPWNPNYGVVGSGDRRLAFSRQLSSSSSTPRLARSDSSISMPPPLAPPGTTFRWLTTRPMRRLALLIALNVAYSATELAIGLLTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDSLYTYGYKRLEVLAAFTNALFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKPEDMNYHSVCLHVLADSIRSAGLILASWFLTLGVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNMPPSALMKYCRQISACEDVSEVCQGRFWELVPGHAVGSLAIRVKSGGNCQTVLDYVHGLYQDLGIQDLTVQTDESGII >OB02G45410.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26978841:26984825:-1 gene:OB02G45410 transcript:OB02G45410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRVLLLAAAVAFIYIQVRLFVTQSHYADRLAQAEKSENQCTDQLRSLIDQVSTQQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQNLVDNNVVPVAAVVVMACNRPDYLERTVESILKYQTSMASKFPLFISQDGTNGEVKKKALSYTQVTYMQHVDFEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFKQYLEPIRLNDAHIKWNSEDLSYLKEDKFLIQFGKDVSSATPLLGSDAALKAHNMDVDVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPNSLSQLGV >OB02G45420.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26985762:26986640:1 gene:OB02G45420 transcript:OB02G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G66010) TAIR;Acc:AT5G66010] MMGGGGVSDGYEGSKRPRMMESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAGGGSGAFYQPYSGNLPGAGANNAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDPEYHHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIAYRPDGKATGEAYVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRTRQ >OB02G45430.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26987181:26988323:1 gene:OB02G45430 transcript:OB02G45430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSWNPRLSWACSQRNKDGGSPCSPSSYAAESSMGMRRRSEQQAAGGTTRKFTLAQLWAATDGFHESNLVGEGGFGRVYRGRLLLDGLGVGPGPVAVKQLCRGGAQGTPEFLVECMMLMMLHHPNLVSLVGYCSESTGERLLVYEFLPRGSLDAHLFPGGRRHDPLCWDARVRIALGAARGLRYLHEVVTPPVIYRDLKSSNILLADDLTPKLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAMSGKLNVKSDVYSFGVVLLELITGRRAFDAARSPPEEQRLLVWARPYLAGDRKRCFALADPLLQGRYPRRAFYQLAVVTSLCLRDNPNLRPSMTDVTQALEHVASQPWQHHHNQRPTPDVLRSTSSSSPHAS >OB02G45440.1 pep chromosome:Oryza_brachyantha.v1.4b:2:26994728:27002886:1 gene:OB02G45440 transcript:OB02G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LIS7] MATAPVVVVVRRYGKSAAVVSLLSKSASAGDGTLASQLQLHAGVAKRPLSAAASNSLLCYFLRSSRLDLALAHLRCRSTPRDSLTYNTLLNHLPPSSSSSTTFRLFRFAMRDAAARFRPNVAALLALLRASSCDSDHVQMVHAHLLKTAACIHTPVANSLLATYATLGDFTSASIMFGEMPARDVASWTSMIRACLGAGYAVDALRIFREMVADGALQVDGVVAVVVLRACAMLEDVAVGASVHAVAARRGLEQGDLFVANSLVDMYAKCLDVRSARKVFDPISVKNVVSWNTMLSGLVHADSCREALHLLASSTLQIGVGDETTLVVLLQLCKKLGGQAMWCRSVHGAAIRWRLLSMALLNALLDAYGKCGLIDDVLRLFQGMRDRNVITWSTVIAACAHNGRSHAAVACFAAMRESGEPPNSVTLLSLAEACANCAEMRASRRAHGVAVRSGLGLELAVGNALVHMYGKCGDLGASARVFDTMPAKDVLTWNSMIGALGLNGRALDALALLHRMEVEGGARPNGVTMLAALSACAHGGLVEEGIACLESMARHKLQPRVEHLSCVVDMLARAGNLDGAAEIVRSAGSGSSAAAWSSLLSACRRRGDGGGEVGRGAAARVLEMEPGNSAGYLLSMGLGKGWAAGMRSAMREKGVKVESGHSVVHAGGESQRDLHAGDVRNELVLLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNAGKHSSSGKLELSSVSSALILAAGIGTIEALALFLGSGLFLKLMGVSTASPMHKPARLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFYIGLGNLSAVVLLPLLIYVFRLGIIGAAISTVASQYIITILLLQSLSKRAVLLPPRLDQLEFGGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYAILDYRRIQKIAIFALQIGVVSGLALSAGLYTSFSNIARLFTSDPEVLMVVRSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMAAGILRLLWKSGPWSFLHEEEPKTELAG >OB02G45450.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27005550:27006737:-1 gene:OB02G45450 transcript:OB02G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVLWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRSPQAQAPAPSPPILATPPPRTHPSSPSPYRFPASPQLAARPPPTEDPAEVFKRNAIAKLVDMAYADAATLRPAREAEVDTLFAMQATLRNRGEVISDGVHKIGEEKEALERRLQDVMMATDVMEAWVMENRKGAVAASNTEADEAIETADVLSKQMLECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALAREQFFQRVLSTKVNKAQQQAQVARMAARVPQYAS >OB02G45460.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27007443:27014686:-1 gene:OB02G45460 transcript:OB02G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLLTSRANHSPAPPSQARRVSQPLVSFPLRRTRFVGLRLCLARAAADSQGPNGAAPGPDGNGEAKPANGADTTLPKNRRDILLEYVKNVQPEFMELFIKRAPPQVVDAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKVDSAEYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLGNLMFWLMIVGYSIRNIEVRFDMERVLGAAPKIGELPPGENI >OB02G45470.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27009265:27010065:1 gene:OB02G45470 transcript:OB02G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGEVEGRSCQRARSEELRRPSHGGSRRAADLGGSVPPAPTSGLATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAGGSGHGGGGGGGGVPTAFAENSPAGHAGEDAGAGQGPSHSGHPGSGGLGGGRQRAVWVPDEVRRHGHADESVLQLHRLPLGGPQARQQARRILCQHRHIGRRPGDHCVSISSPFLHKWIN >OB02G45480.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27023181:27025740:1 gene:OB02G45480 transcript:OB02G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDDDAKCNDEVNDGTYFMAGVMAVTGLMATVLALSGVFHCMLRRLGQPSIISHILAGVVIGPTVLGRVVDLRRLGMKDAGSALRDTIYFVRIVFMFFIGLEMDHRYLRHHLRRSLALACGGSGLCLLLAALAGPFFYGLLHPAQGPFQPDKLYASTALFMLVLTTTASPVLIRIVTELKLTGSEAGQLAIGAAFANDMASLTVFSVMVVGTTSYGPDGQPTPAFPAAGIVMSMALTVCLAVALAARAVMLLNRLKRGRRYINKYELCAVLVLIVGLSLLEQVFGYSASMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLVMPLCFGAIGARLDFAAAGSFTAAQFAVAVAFTTLLGAAGKVGGTVLAGRLLGISARESLVLGFLLNVKGYCDILAINFGNEAGIWGETAQVVLLLSSILNTFMAGPASAAIVRQQRRASRYRSRCLQDLKVDHELRVLVCVHGAGGVYSMLTLAELSKGTAPLAVYLLHLVELMAARKYAITHLYHDGDGDDDGDEWGYAREIEQVAATVNAFTYDAAVPVRQMTAISSLGSMDADVRNGIEDSRASLVIVPFHKEQRYDGRMVCRREGRRQLNQRILQHAPCTVGILVERRLAGDVDKGVAEAMSHVVAVFLGGPDDREAVAYATRLAAHPSVSVTVARFLPARAGKEDGSEMAVGEEADEEFMADVCERLVVPGQVEYMERYVSNGAETVNALSGMVGTYSMFVVGKGGGGAAGMTSGMGGLLEEECPELGPVGEVLSSDDFTACGTVSVLVLQQHHSQSHRMRRWNHDHNHHPLDCQCTHHHIDIVTSSSS >OB02G45490.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27026863:27028643:-1 gene:OB02G45490 transcript:OB02G45490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLGGMGSPQLSLSSCSSFLSISSAGNSAADGAPHLSLGVGGAEELDLLMQVGIGGGGGGGGDEEEEERKTIRMMKNRESALRSRARKRAYVQELEKEVHRLVNENLKLKRHCKQLKTEMAALIQPTTKQSSHRRSSST >OB02G45500.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27033976:27035562:1 gene:OB02G45500 transcript:OB02G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42180) TAIR;Acc:AT5G42180] MAPAMAEAAASSRSRSHLDLVVLMLVLLVGGGEALSLDYYTKSCPQEAEAAVAAAVKQAMAKDRTVPAGLLRLHFHDCFVRGCDGSVLLDSSGNISAEKDGPPNASLHAFYVIDNAKAAVEALCPGVVSCADILALAARDAVALSGGPSWVVPVGRRDGRVSLASETTTALPGPTASFDQLKQAFHGRGLSTKDLVVLSGGHTLGFAHCSSFQNRIQQQGVDPALHPSFAATLRRSCPPNNTARAAGSALDPTSSSFDNTYYRMLLTGRGLLSSDEALLTHPKTRAQISLYAASQPAFFRDFVDSMLRMSGLNNVAGEVRANCRRVN >OB02G45510.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27044773:27045587:-1 gene:OB02G45510 transcript:OB02G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin-related [Source:Projected from Arabidopsis thaliana (AT1G02180) TAIR;Acc:AT1G02180] MLGLWMLQLGAVSPAKIHGNPANDLVALVNANRTASKLPRLRTSAGLGCMALQYISECIGGDACAGDNTVACRPPEAHITEVYAANCGVELPTVDVITGRLVGCHRQRSDAESALEAVLSSGNGNGNSTTATALAVIRGKEHTQVGAGFDRAHRHGPFFWCLLFSSGSANSTFLLEAAGKGVHQTQGCFSAPGNLSCDAANANAVVHLLLLLLLALASIVL >OB02G45520.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27049255:27055419:1 gene:OB02G45520 transcript:OB02G45520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MGGVAQVHHLNLLLPHPAKYTTRTRRSSTRTGRARRRGVLCCSLSTDGQPAAAAAVGAPMMTISITGATGFVGRRLVQKLLSEDHKVCVLTRSASKATSIFPASKCPGITIAEQGDWDKCIQGSTAVVNLAGMPISTRWSPEIKKEIKESRINVTSKVVNYINNASVDARPSVFVSATAIGFFGTSEISSFDESSPSGNDYLAEVCREWEARARQVNQENVRLVLLRIGVVLGKDGGALAKMIPLFMMFTGGPLGTGRQWFSWIHLDDLVDLIYESLKNPAYRGVINGTAPNPVRLGGRGGGGGGGRVIGRPSWLPVPEFALKAVLGEGASVVLEGQKVLPVKAKQLGFSYQYPYVQDALRAIARDL >OB02G45530.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27055689:27056499:1 gene:OB02G45530 transcript:OB02G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPVAAACVVVVACLLALQATTVAAHFSLGLSDDYYTERREVTPRLHRGPLTGIADSASRFHDRVHQRLIDRVNSRRPLRENDQPLT >OB02G45540.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27070669:27072036:-1 gene:OB02G45540 transcript:OB02G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVVLNNDSAQIKDIEQLNGTNFHEWKEQMQVTLGLLKYDIVIPEDSLIASPDDAPPDVKKAHEERAGIWEEANPMALMVIKNSISSSMRVGIPDVETTKDYLANVE >OB02G45550.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27075424:27075636:-1 gene:OB02G45550 transcript:OB02G45550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKHKQMHMQDEVNNCVLPLLLEVVFYKISTSTSPVLHLSPLAVHSVCHCLSFPDTTTMICLFDLRNM >OB02G45560.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27079453:27079632:1 gene:OB02G45560 transcript:OB02G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVLLLEPCSCRCTCDHFRLVRVHVIISYFDRVFYGQIATLSIAWKSILFGCTKKCNI >OB02G45570.1 pep chromosome:Oryza_brachyantha.v1.4b:2:27080042:27082667:1 gene:OB02G45570 transcript:OB02G45570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATGVVRVEKVGDRSVVTRCFAKYPLKFITPSKVGLASSGAAWLYTITYGGGIVSGDVISCVVDIGDGCTTAMTTQASTKVYKAVDSKFSEQVLEARVGKDAFFALIPDPVTCFSTARYHQKQVFHVFSDSNLVIVDWLTSGRYESGEKWNFSFYKSINHIFLDDQPLFIDSVLLEQNSNFSIADRMQEYNVVAMVILLGPKLKHIKEQMQDEVKKMMSVQLRPLNSAGGRYSTKLQRLHPHRPPLIASCSPVGRMGTGMIARITAMSTESVYRFLSHHLAALGPFLGTCPYST >OB0302G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592258.1:1155:4003:1 gene:OB0302G10010 transcript:OB0302G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTRPLPLIAPVRHRDAAPHRAVTAAAPSARCRRRASPSVAAPQPAVDLSLSRCPPSPLLASLFLAGSRGPLSRRDDELGGKGGVVKTSYAGSLALRFANNGHPTIVVSTDLAHSLSDSFAQVTFFPVELLLPWFKVEGPEAPLFALEINPEKAREEFRTATQKNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESARLHLSLKKESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDVIRNDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >OB0303G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592259.1:3363:4063:-1 gene:OB0303G10010 transcript:OB0303G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPSPERMLRFWRTQRSVTSSDALSVSKKTYRGDERPHGLRGLREAREEGHVPSRRREHGGDRHGHAEGDGDGVRGPAGGAAGGAADGPGGGVLAVAVRRRVLPVRDTVPGGRHLHGDAQVLRPRLQRPGNRLLPQPRLHPHRRRPRPRLLPRGQRPCLRHHVT >OB0306G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592262.1:81:1175:1 gene:OB0306G10010 transcript:OB0306G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVDALDVAAELAIPAYFFFPSPASVLAIFLNLPYYYPSVPSFREMGKAALLRFPGMPPIRTVDMLATVQDKESVTTRVRLYEFKRMTEGKGILVNSFDWLEPNALKALAAGICVPERPTPRVYCVGPLVDTGKKGGSRGDGERHSCLAWLDSQPRRSVVFLCFGSKGAFPAAQLKEIARGLESSGHRFLWAVRSPPEEQSTSPEPDLERLLPAGFLERTKNRGMVAKSWVPQAEVVQHEAGGAVVTHCGWNSTGEAIMSALPMICWPLYAEQATNKVFMVEEMKIAVPLDGYEEGGLVTAEEMEAKVRLVMETEEGRKLRERLVRTRDMALDAIKEGGSSGIALDEFMRDLETNISENGGCK >OB0307G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592263.1:48:3651:-1 gene:OB0307G10010 transcript:OB0307G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGSNAGTSEAANALPNTTVLEAAGPSNSKMPFVNSDQCGLASSSAVQVQSKSPTVATPDPPACKFKDFDLNDTCGDMESFEGGYEGSPTPAFKTADSPNCPLWIHQDTTQSPPQTSGTSDSTSIQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSYLHKLLNSSTGNFWESGLVFVMVRHQIAFMHNGQVMLDRPLAKSSHNYCKILCLKPIAAPLSTKVNFRVEGFNLVSASSRLICSFEGHCIFQEDTDNIVDDDEHDDIEYLNFSCSLPGSRGRGFLEVEDGGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFINELGWLLHRANVISKHDEVPLASFNIWRFRNLGIFAMEREWCAVTKVLLDFLFIGLVDMGSQSPEEVVLSENLVHTAVRRKSARMVRFLLGYKPNESLKATAATYLFRPDAQGPSKFTPLHIAAATDGAEDVLDALTDDPGLVGIHAWRNARDDAGFTPEDYARQRGNDAYLNMVEKKINKHLGKGHIVVGVPSGVHPVITDGVKPGGEVSLEIGAASRCNACSRQALMYRHSTATTFLYRPAMLTVMGIAVICVCVGILLHTCPKVYAAPTFRWELLERGAM >OB0309G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592265.1:26:1327:1 gene:OB0309G10010 transcript:OB0309G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSSSLTNLLNSANTSGNPQNPISQQHHFPSPQYPMNYPPTQFPPNFHPQYSHMFNPFGAQSSYPQFPFTPGSYQGPPYLGNTGQGSGQASPVGSMAFFQGSRGTNSRADENSPVGSASPVSLGQQIACDPIDTTDWSERSESSPEESEKKEGRVHWSEEDNLRLVSAWLKNSNDPIIGVDRRGDRYWNDVAAEYNLHTVKERRRKASQCKNHWNKTIPFITKFNGCYDKAKREHGSGESDDQVMDRARQDYKGLVKTKRPFALEYWWRAVKDQPKWSKAYPIEEMMNKRSKLNASGAYTSSNQDSEDADPAARCRPPGRNAAKAKQKSKGKLVHSEDSISNENVNLFNELQLRKTIAAEKMAEATLVKAEAAKVKAEAENKMAEAEKEKAMLQKMDKYMALLDKDTTGYDEVAKTRHEQILVYLAKELFS >OB0312G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370067.2:86:2560:-1 gene:OB0312G10010 transcript:OB0312G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSRGGGTRRRRDGTAEAGREAMEVRRKGGSGGTGGQRRMEGVQEAAPGQAGDGGGGEVYRGRCGGCETARRWRRIEGVEAVEEGGKQLLGHVDYSASRTKVLRMVNTLAVENEAKQTIEMSNYEAVRQYGADEGDGDGGDDGLSGVNEGGAGVAALGDGGGGGFGGVGAVGEPDGVDEGDVGVSALGDGGSEGGT >OB0313G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592267.1:88:3100:-1 gene:OB0313G10010 transcript:OB0313G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNLLGVSYPEAANNVDAEPRDDLGASQMQVDGPVALNRAADLEPVDAMAIDDAPAQASSQPALEKQSPPALMDTIVEVQKQLKRKRASSGPALAAADKDALVAGCRQELDGLLEYYREVSGHMMQFEAGKLSTNAAVGCLLEESNLGLSKLVEEICEKLKGMEGVSAASVRSSVLLIGQRMMYGQSSPDADVLEDESEMALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYSTLSVLEASGAEAQVNDIRKVSLKLSKVLNLEGIRSLVERLAQKSNIERGAKNIGSTAKESMQEMVKSNNDTGITENVDNSELQKNVSTNEKEIQKAQKQIEKELKQKEKEDAQMRKLQKKQLREQKRREKEEAERKKQHKRQEDEALKEQKRREKEEAESRKQQKKSQEEVEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDTEKLDKSGGKDLAVQTIDPCTTNKEVVSLVTSRIDSSFSQKENWSLEDLRRCANAVTFHGNMSQAADWWLAEVI >OB0314G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592268.1:1541:1765:1 gene:OB0314G10010 transcript:OB0314G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNFVPSVGESAYVYHHGTEAHASLQHCKYDCSDGQMAPRDSHLPPTSRRAHGHTRGRGDDPCSSYMGLGSQR >OB0315G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592269.1:32:2689:1 gene:OB0315G10010 transcript:OB0315G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LIU9] MEQAMTGLMDLTYRKAKPPELPYEFATRWPIPVDDDEVLQARIMSDPVILASGYSVDQSYHNYQKQHSPRTNTITDHSSPHTLSIPNHLLHDMISAWCLDHWDLSPPITPDEGSVPMDSTEKHIERILEKFSGNYASQIEALNQIQELSKTTKGVQPCLAKFPDLIPVLINLRKKYKSSWTRDLEEARLTVILNLTLHRQNREILAGRSELPGALKIIAQKAYSLGRPASSLAKVASVVAILSEFCVFRKRMLDTGGMKMLRDMLKMKDTVVITEAATAILALCTDYESKLSAQVYNVPEMLLKCHLFTDEILRLLDCVPRSPYVFKKICNQALQLVNIIMADHAFGPVTSKGIHSAISLVYDIVERDVGKMKVLKNMEDFKERLRQLSSDRMPMQTMFQVESIISTLSEVFPATTV >OB0317G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592271.1:2340:3134:-1 gene:OB0317G10010 transcript:OB0317G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGGGGGVVSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEEVMLELGLGKVAHSMIGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAADGGRTVVVTIHQPSSRLYHMFDKVLLLSSDGCPIYYGRAADALSYFASVGFASPLSLNPADLMLDLANGTSPSATPPLTITVSLSLSLSSFL >OB0319G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592272.1:516:2935:-1 gene:OB0319G10010 transcript:OB0319G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISFRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLYVFFSHYL >OB0320G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592273.1:678:2105:-1 gene:OB0320G10010 transcript:OB0320G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTPPCVLMNRIVFFVGDTLDDGTSRDTAGMPIGWSKARALSPREAMEAMEPITFLAEPPEVSYLQMRSPTPAHALQMGSVDRGDVSGTHKGIVVIYADFYRPGCCNLHGCYLLYDASKPNNGDALTVIPQLPDSRSDPTLVYLGNSAVLVTDPHSAAGDDDYILADIVTSPGPELVGHGLPEATIFTWSSAAGGGEWIRSSIPQLPLPAHLCGPNQMFQIDRTFSLDSGRICWVDLLQGILFCDDLLAPEGPKLGFIPLPAGCCSDVHHKLRHQKMPSVHRSIACVSGVIKFVTLFGCGRDFRTPAEAMLKTWALSPDFKEWEEDTRALSIADIWASESFNQMGLPHVIPVSPVLSITEDGVMYAVLNDIERVPAQFDEFGNVVLRGHLVAKANYMIRFDINQNKVLSSTKLSELASLSWTTPNFFATDFTAYLSDHKVLATTSSFTSTVSSPQLFHCGTLHFRLNSHLDTC >OB0321G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592274.1:1:231:1 gene:OB0321G10010 transcript:OB0321G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGAGGGGGGAVRAALAGAAGGAARHAPPRRLRQLPHQRQGHLRPHPHLLRPLRHHHPRPPPPHLHRLAGWLPLVN >OB0322G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370079.2:2786:3109:1 gene:OB0322G10010 transcript:OB0322G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEAVLAHVTGDVARWSLNGLLAFILVHRRYLGKPKALHYLHLVKADLAVALCLVEIDRMIPSSGSCSGSATLTTNAKVALKCAAIASKHPCPASLTNTWLSMASH >OB0323G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592275.1:1649:2146:-1 gene:OB0323G10010 transcript:OB0323G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELAAEILTWEPSLLTRVDSAGRIPLHFAIQHGKLGVIRLFLKTEASVARVCDGEGLFPLHRAAIAGERVIIDEIVGKCTDFHELVDNRGRNFLHCAVEHGQDNVVRYICQDIRFAMLLNATDSDGNTPLHLAVKYAHPGLLSSLLQSATVKIDIINKDGLTAADLA >OB0324G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592276.1:62:418:1 gene:OB0324G10010 transcript:OB0324G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQETVNFELVPAKELICTKECLDAATSLASTSVGRCGWQPEEAWRAAWRRPALEVVLTELEAEAARLATTGGGAPGSSVDGDVKEATVSSRGDERRRGGGRRDGVREALGQGAAGDG >OB0325G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592277.1:4:380:-1 gene:OB0325G10010 transcript:OB0325G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSFFLGIGFRFNPSPEEVVAFYLPRLIAGQQPSDTEECIHRADVYGAEPKDLAAEFAPVARSTNGDRFFFTQCRRIRGRFSRKAGGGTWVSQSSRAIKNPQGAKIGESKNFRFKKKDGKNTD >OB0325G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592277.1:1933:2139:1 gene:OB0325G10020 transcript:OB0325G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSPGSSSRPWGTRGSTAAVGLDKNGGGGGGDLMLAFKDFMRIVERKGGNEEEREELQRAFGASRR >OB0330G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592280.1:264:2514:1 gene:OB0330G10010 transcript:OB0330G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLPNSAMCHVRGKLSLGLLPSSSMRIIFAGDDGHSEQLALLNNDHEVSEVCIEEISADNTGRSFLIRISESKVLYYWCAEKSKKSGMHLLAKMKNLLQGRPTLSDLTGISNSRLDGFATHLHAYLLASSIGDVKSPGSLNDCLSASSPHDHYLQPSSVVSKSSRFRTSASNAGKASSVYQASLSPRSGAFKDGVPRMSCAKIAGRDKLKRRGDWLSSTGPVDANLSMPKIDNSDSVSEKCDGDCSENSASSPPLDLPLSFPLLPSLFPLATQYPLPMVSSEQPFKPYYCWCPPCPPSLQYSVTPLHIPVTSVEPLPLPPLSSLLSNDQPPSSTVSAKMDTTDLPSLNLPSILHDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGASMSSTVPLLPSLKPLIPETESLVERSARETLMRLMASTPSASNSQLVNILPAVLTDVSKKNVKKHVGVHPGDRLLSSSCSINGLAVTEDETSVGDGAHATLAEYDDIGDPQHFQSI >OB0331G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592281.1:1:2886:-1 gene:OB0331G10010 transcript:OB0331G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNYFPEILLFLTKIRQLSVREDNCDPKCSAISEISMESEKNYQVRKNIHAESYTLHLSAQENTQHEECGYYMWRQKFRVKPENRVDKRADIDEYVITLAFPHGQRLARGKRILPGVYAFLPTEILTNFPFIIQADFLLASSRETILVDSMWNKGILECVPSAFLNAFVALVKSRADYPAMSLPSMFNFLPVHPSHVPFLEPLRCVIKEKVLAEDIMPCESYTLQKMFCKPGEVGRLKPDFWAILRKAQEAGVDLKNLSAHGTYIVSCHFDKSIYDNVFSFLDVKGVSAEWYAKCIEGSNLADELPEDLYIELLHFVATNWDSLLSARMKSIPLLKYVDSYGVSSFWSISRAGQSSDRLCISQKFIQWLVNWNQEFPSCKQFFLPLSTHRALFKFSKYDFLVNWLRNCVNVQVVSVYSYGLNIAKSLDSDRRSIVAFAHFLYHSFKISHIENKYFLIELCRSMPIINIYGKVVKTKNIVLVPAKGSKWVRLMGTNPWKDEKYTVLAADYMSSANFARNTTPDGQLLKFLTEHLPVLDVPSVDPPDASFPTVSSPLTVDNALLLLEWLQNLKSRGVKLPAKFVDCISKGNWLMTSADYRSPSESFMSSAEWTGLLQIGSSFVDIPIIDQQFYQNKLRLYNEELKAIGVRFEFNEASVYIGSHLMSIAESNMLTRDNVYSLLQLIRFLQESNLPTGALVDSVSSGEWMKSTLGYRSPANCIIYDSDWAVASCISILPFLDVQFYGENILDYQPELELLGVLVGFEDDYIVVIDNFEFSSNAISSEATVLILKCLRYVSPCDDFITKLKDIKWIKTNVGFCVPSKSFLIEPEWECLLKVFDEVPLIDLGFYGGVISLYKEELKKTGLIAGHMEASNALADLFKQMVSKSSLTKANVLALLASYRQLKSHEPSPMKLFNCLRDEKWLHTSHGFRSPSDAILFDESWWLLSPIASLPFIDDGDSCYGL >OB0332G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592282.1:646:2824:-1 gene:OB0332G10010 transcript:OB0332G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGSYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMLFLNTIAVLVLVVAKLPNMHKVRIFGINADI >OB0334G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592284.1:503:1153:-1 gene:OB0334G10010 transcript:OB0334G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMHVRLSLMALLILLVASGTIVIQPAHAEATMVAHNVPVSILAPCSRTRDKNACIEFLSAFPEAQKATTVAPLAELYLQAIANRTMEGKEMASKQLAIEKGKGVPPVCLEQCATSIDAMSNALASFFSTTTKKDVDDVNKKYREMDRFLTEFLRKPIARKQMPICQSVCPIRSCSLEEMAVADKFKEAWKLLSNADGLITQIVPAVQDKATSS >OB0335G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592285.1:33:2014:-1 gene:OB0335G10010 transcript:OB0335G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLIAQSYPLPDVLDGGMHLVNTFEHEFANDIWSKLTKKVASSMSRIVVSLASFNGEERIFACTGIFIGCNESNTRILTSASLVRVSDDENKVDDNLKIVVHLPNKQQTIGTLQHYNLHYNVAIVNIRGYRCLRTEEFHDPGKIEPGKEVLSIGRIFNSGKLMATAGVLTDKQSKLDCKKLMVSTCKISKAGIGGPLIDFHGTFIGMNFYRRKRTPYLPRDTIMELLSYFDGKGDVSAEAMDNQNRNRWPVPEIRWHYPSFSEPEKIEIPRYEKY >OB0336G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592286.1:303:1802:1 gene:OB0336G10010 transcript:OB0336G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGVGLLWSRMPEHFHEEARYIISSLVPMAMSYFNPYEQVIVPEYGDERFRRNKLFDAVSTYMSSVRLEGASRLKAELSYSSKDDPVISLDENQEVADSFDGARVWWRLCPKASKNRGSTIITLHGRDADEEPRCYRLVFHKRHRQLVLSKYLPSIIQQWRVLIAENKKRQLFTNHIVNGRPTWSNVPYNPSATFDHLAMDHAKKVEIINDLTAFKMGQDYYSKVGKAWKRGYLLYGPPGTGKSTMIGAMADFLDYDVYDLDLTSINGNADLRKLFLETTDKSIIVIEDIDAIDVELSTKRKEGKAANGGEGNDNHVLIKVCDKEIGKSKVTLSGLLNIMDGLWSACGSERIFVFTTNHVDQLDPALIRSGRMDKLIELSYCRSEAFKMLAKTYLEIDDHSLFGEIGRLLDETNTTPAEVADSLMLRRESNDEIGRVLDEIGGAPADVADNLMLRWKRKRAADECLESLRETLKKAKMESATPPI >OB0337G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592287.1:13:1722:1 gene:OB0337G10010 transcript:OB0337G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPPLPAALRGVGGRPARQVPLRSPRRSARRQGRPPWSTPLPNCHQVIWACVIISDI >OB0337G10020.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592287.1:945:1208:-1 gene:OB0337G10020 transcript:OB0337G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMDNTSSLLLNSSSDWRNVAWNWKYDEVTHTNIFLTKENSTRIEWSPQAHHQNKIITSTKSDGYKQLCNYTFFFSFPSASYIKHL >OB0339G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592289.1:81:632:-1 gene:OB0339G10010 transcript:OB0339G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKLTDVETMVATLEESQLIGRVKEKSDIMKLITNQASHQRSHVVSIWGMGGLGKTTLVQDIYRSPEIGRIFDKRACITVMRPFNSGKLVESIAKQFGDENEKDLAKLLEGSKRYLVVLDDLWSTKEWDDMMLCLPSPSTVARCIIVTTREENIAMHCSEERNIYKLSGLKHDQARHLFTKK >OB0342G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592291.1:761:2620:-1 gene:OB0342G10010 transcript:OB0342G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCDFGWGKAVDARSGTANKTDGETALYPGRDGGGSVDAELMLTPEHMAALKHDDELWLEILARNGDEGRLDIVGANAKGGGHDVARGRAPDGAPTGAAAADGCDVDGAAIPIDAFGWN >OB0345G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592294.1:608:2071:1 gene:OB0345G10010 transcript:OB0345G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLRHRRTLSATVVDETVAAAATLVGKWHPDDDGASLFLHASASEADHFLHAALDLHRAMLFFASDPTNAHNGNGLAQAHHLLDTAMRRLQLELRLLLSPPARGEHHARTLHALAQAMMTAGYGKECISTFKERRRAAMAATLRRLHIVVQLHKLTWDQVDDNIQSWLAAARVAFSSVFPAEKELCDTVFAGDASVGDAVFEDVASHQATNLVAVAEAAVARARRAPERLFRVLDVHDALTEVLPAIMSVFGDRSEVAKRARSVLFKAGEAAQGALGSLEAAIEKEPSKATAAGGAVHPLTRYVMNYLVFLADYEGALDRINQQPSIGWLLRVLMRKIEAKGGSCREVALRHLFLANNTHYVGRKVRGCNKLQQVLGDGDDEELARATRRHVEAYVRAVWEKVLKAIAAADGVEAALMETVAMQDNWVTADQSMGDALRAAATAAVVPKYRMFYRRHGAALRLTPGDVNAMIGALFNAASHPDRP >OB0347G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592296.1:384:767:-1 gene:OB0347G10010 transcript:OB0347G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIENVKTKIQDKEGLWV >OB0348G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592297.1:52:2313:1 gene:OB0348G10010 transcript:OB0348G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASIPPMFASPAAPTEPNQRQPYMVHLNGAARANGTANNTVVIPDLQTSGAATAESGKRKRGRPRRVQDSSVPSAHLIPPVSSAPGGNFTAVQAPSSATTDAPGKKKRGRPRRVDVPILSTPSAPQVHSTPVLQTPPASAVNQSGTRKRGRPRRVQDNADTSAPPIQSKYSEPVLQTPSVVTSSENGKRKRGRPKRVPDSSLISSSHSGFSIDDDSGDITTGKRGRPRKIDVNLLNLPPLFSDDPRESADNVLMMFDALRRRLMQLDEVKEGAKQQHNLKAGSIMMSAELRTNKNKRIGEVSGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVKDLTCPTGKIYIYDGLYKIREAWVEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSCRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFTYTTKLSYINSLSSMRKMQGCSCASVCLPGDDNCSCTHRNAGDLPYSASGILVSRMPVLYECNDSCKCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDKNSMIGEDDYIFETPPSEQNLRWNYAPELLGEPNLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELMYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCRGSFG >OB0349G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH370084.2:405:1468:1 gene:OB0349G10010 transcript:OB0349G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LIX2] MAACAAAVAVAFALVAATTGNAQLDPHFYDSVCPAALPTIKRVVEEAVSAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKNAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAASAPPTGSSGSTPPTRTRSGGTSPSPWCGWAA >OB0351G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592299.1:503:1385:-1 gene:OB0351G10010 transcript:OB0351G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGRDKHSGGDVVPLGHGGGWAQSGRGKRRRSESRLGLDVKGRAGLSGRPGPGGERGGGRGEEGKEWASRLRAGLRGGGRRKGKEEERIGLRPNSENEKKKEIKEKEGKNRVAAIHDVFHVSQLKKCLRVPEEQANLEHLEIHEDMTYVEKPARILETSERKTRNRVIRFCRVRQSHHSEE >OB0354G10010.1 pep superscaffold:Oryza_brachyantha.v1.4b:JH592302.1:78:1713:1 gene:OB0354G10010 transcript:OB0354G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEVFQKFLEFQSLVERLFDRKILAMQTDWGGEYQKLNSFFAKAGRVYVSRDVVFDESIFPFTKLHANAGARLRSEIALLPDTLFPGNRGLQQCSHMSNSPIDFTNQYGPENFPIQDSAGSQPTCDDNVMHGTDDTGNMSALDSSAAHSPASSSDSALDQHRTDTSTPDQQSPSPTPQQPDNAHAPSPASGAGSSAARGEPDTAASNDDQDATASNDDQDATTTMALRPRTRLQSGIRKAKVYTDGTVKWGLPTVTGEPQNLQDALGDRNWKNAMDDEYMALIKNKTWHLVPPQKGKNIIDCKWVYKIKRKQDGTLDRYKARLVAKGFKQRYGIDYEDTFSPVVKAATIRIILSVAVSRGWTLRQLDVKNAFLHGILEEEIRQSLIWLETSSKSMVFQTK >OB03G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3526:6926:1 gene:OB03G10010 transcript:OB03G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAVSNSEPRVSDEPVFIGHRRSYGKLQTRMIRVPGVELVSLPVIPFLLHGQEDEVIHLLVTRLKSVAPDCRRGLHPAKHEEVPEKGEIWSSCRDCCKAVATLWQAHEFASRQLVTCALAKPFGSFVSQLYAKSSDLDVSVELCNALNLPIIMESFAKESGIIALLL >OB03G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9866:10678:-1 gene:OB03G10020 transcript:OB03G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT5G46700) TAIR;Acc:AT5G46700] MALSYVGVAAINLVAALFSIPVIAAGSWLSSQVDSACVQILQWPLIGLGVAVLAVGLAGFVAAFWRLPWLLLAYFVGMLLLMVALAGLAVFVFVVTASASGHPVPSRAFLEYDLDDFSGWLRGRVDDPGRWEQIKTCLAATPVCTAVNQTYATAQELFSASWLTPLQSGCCKPPTRCGYTFVTPMFWISPISPTADPDCTAWSNDQSQLCYSCASCKAGLLQNLRREWRRADLILVVATVALLAVYALGCYAFRTAKTDELFRRYRQGYT >OB03G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12903:14066:1 gene:OB03G10030 transcript:OB03G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVRISPPSSSCSLPGHGHASTSTSISRLKGGLLQCCVLPRGAGAGAYGSQYSDVIPAAAALGLPPPPSSSGRLRIFSGTANPTLAQEVACYLGMELGRVKIKRFADGELYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVVPYFGYARADRKMRGGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPDEVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQSEVVHLIGDVRGKVAVMVDDMIDTAGTVSQGAELLHREGARAVYACSTHAVLSPPAGGRLSSGLFQEVIVTNTVPVMHHRSFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >OB03G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14875:20037:-1 gene:OB03G10040 transcript:OB03G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MPSRSAERPRRRRSESRWSLAEPPPGLFPDRDDLLRLLAVLSIAAAAAAACSLLSRRPKPFCDSNGDFHSSAAYPVYIHTHDSCQPCPQHGRCVDGNLECVQGFKKYGRMCVEDGLVTRTAKKISELLERRICDQHARALCGQPAKILFKELDISNVADELLSKDFVGLSQDGVKLAKIRVLENAEIFLEKTMSNNGVEGFKCTELVAELYRPMTCQLRQWIYRNMMFVIAFGFLFSALLWIVWSIYRSQALAMRAEQIYGQVCEILEDNATNAKIGNSDYEPWVVTSWLRDHLLVPQERRNAFLWKKVEELIVEDSRIDQYPKVIKGESKVVYEWQASGSLRGKSKRMQFVAEGKSRAGAAGGAVKLAEELGLGAG >OB03G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19707:20055:1 gene:OB03G10050 transcript:OB03G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMNLRRMEVSVAVAERFGAAAEKAAGSGGCGGDGEDGEQAEEVVPIGEEAGRGLSERPPGLGPTPARPLRRARRHGSASSP >OB03G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25789:26151:1 gene:OB03G10060 transcript:OB03G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYKRNHAYSKERTLASPSVLTTLPKPEHLFAADDIKALDAEPSPAAIKQAMAECAKLYRGVGFAFAGAHDEINNRAYAAGEAKLAEALSLAQQCNVAFAKAGVPLQQPLAQLTSSSPS >OB03G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27552:28178:-1 gene:OB03G10070 transcript:OB03G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT5G51110) TAIR;Acc:AT5G51110] MALTNHGLAPAACFGRLPLTPPPHQLGVGVGAWRRQQRSQKSLVVAMADLLGDFGARDPFPEEIESKFGEKVLGNVDTLHQILIPTLSVLSLARLPLDPSPAPVDAADARRLLHKVVGWRLLDDADQGMRLECIWKVRDEACGHELVARINAAVDGAPATVLFEAPNQVRVELQTPSAAGLTVNDFIVAARIDQVKTLDLIPKKRVWA >OB03G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27604:28107:1 gene:OB03G10080 transcript:OB03G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATMKSLTVRPAAEGVCSSTLTWLGASKSTVAGAPSTAALILATSSWPQASSLTFQMHSRRMPWSASSRRRQPTTLWRRRRASAASTGAGLGSRGRRASERTERVGMRIWWRVSTLPSTFSPNLLSISSGKGSRAPKSPSRSAMATTKLFCERCCLRQAPTPTPS >OB03G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:33074:35866:1 gene:OB03G10090 transcript:OB03G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidyl-prolyl cis-trans isomerases [Source:Projected from Arabidopsis thaliana (AT4G17070) TAIR;Acc:AT4G17070] MGPGGQLGLRVSSSIAPTEDGCCRGLQGLELWGPAVNWGSDHRLPSAAACCASCKAMCTHGDCRCNSWVFCGDETRCGHRFGECWLKKQKDAMAPSVIAKGDDVMWTSGLVFGKGEGIVGLETNLGTIRIQLLPGCAPHSVDYFIEVLGLRNCAGCRFYRAEGRGNLWDTKGEHINNVAYGPPYALLQGTLEVDGLPFKERGKEACPALRRGSIAWVGSGPEFLVSLANHGEWKEAYTVFGSVMPEDMGIAEEMALMPTSTEVWSNVTVKVLRDPVYFKVKRSTSSGAP >OB03G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:35853:41701:1 gene:OB03G10100 transcript:OB03G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41150) TAIR;Acc:AT5G41150] MQTLVVMGRLWRRPTSPLQSVGSAARSTLLVVSPVSLPFFRGEGKDAAAAAMLPFEEQVVADLLEDPNGGLVVLSSGLPLASLASALLLQLYHQSPGGCFLILSAPDPLKDQIRRRLLQPNSQPLLQLHDVAPDLPAHHRVALYASRAALFLSPRALVADLLTHRLLPSHVHALLLLSAHRSSDTSSDAFVARLLRQHHLLPVYAFSDRPHAMVSGFAKAERTMKSLYIRRLHLWPRFHVLAAADLERAPPEVVDVRVPMTQPMRGIQAAILDAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLLRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVRADGTKVAADSKGTPTKKRKVAHSSSRKGKETENEDSNDNKDNAQKVHAETGVVVEEILEESPKWKVLRELLQEIAEEQAKGDGDNVTPVHEDRLNESGIVLIACKDERSCLQLQECMSKGLHQVMRVEWEKYLLGKAELHGLHRKNKKTSQQPKGFGVLDGEVPMRSGESAGPVSISKLETNALLAAASEISTVTKEANRDDDSNVNCRKRGLVKGKGKFKKTMAKREANNLRNRNTTEHTNLEVEGQSVKTDEHEIDACKLSAEDDSASAPAVDKAVNCMSAFGDFVDAKPLPHVQFYALDSDHHVLDVWKPSVIIVYHPDITFVREIEVYKAENPSMKLKVYFLFYEDSAEVQKFESSIRRENEAFESLIRQKSLMMIPVDQNGRCIGPTLANEPEALLSQNSITRKAGGRKPPEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQIETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPRNISSKLSLLVLHFPRLRIVWSRSLHATADIFLSLKTNQDEPDESKAMRVGVPSEDGVVENDVRAENYNTSAIEFLRRLPGVTDSNYRAIMEECNSLAEMALLPLERLSELMGSQKGARMLKEFLDAKCPTML >OB03G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:43541:59773:1 gene:OB03G10110 transcript:OB03G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G17610) TAIR;Acc:AT4G17610] MLILSSSSSAFCLGVGRGAATTPPHHPLLFSRQLPETMALAALESCLQAVPTDAVPGVVDCVLASSSSTSASQLFHALLHSDHAAAASLPHAAALCHLLALLDRTEDPLRALLWRVFLPLLRQTQGNQLQQTIALMCDAVSTNQSWDLLGATILPLCIRSSAVAMDLSADHRSIVYHTGENPPPPETGILPISKATAVLASLLQHALERRRRGEGSDSRLDALVHNMTWDLSWLALKMFDHSQECRTCATRVLLQPLLLSLADVSCVTVEFAAAQLKLSSSSFLESIWASCLSLFALGPAERLDAYNILSLYFSTLKLGGLRDVILGADAVQNFYDLRNVNEFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSENYGGQQCCTGRSATMPSQPNSNTAMTKRERWAHKEAKSLGIGEMSQSAENCSSGQDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTQQSDYSDHLSYGVFHAQMESFEGFFQWMVVLWERGFTHDNPQVRCLVMCSFLDITWEHYLVHPQIVPNGFMLGPLLRGLNDVVHHKDFGVRGVYDSKTIKGAERFFGIYAQTLTTRDRLHLVWSLAYSAKQDSFGRAGLMALAFCIASCACQSNALDSPYASAGQEMAKCNGDAHTAVNTADLLDVLLILCEKSKQHFNPKYRLKVCEQVMKAATSLISAAEIPLSQLLYFISTIPREFTDYSGALRPTVQKWFVQNKECSSGDTLLEKLIDFPTTFVKHTEVDGLYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFKQIFVFLEKYGNKLSEQYPTGECIQVKFFIIVLCLIEELEVKHKRLTHQNSTISKEGSDSSNGSEQHALNKNLAKLLLVILENMVVFSKTSCSVFWLRNSKDMDLPSSVKGKLGGPSQRRLATSMTSLVLQGIWSMRTISSIVTWCNHYLSNFSLYSTLTFLWEFCWNVIQHHSYATEVGCELHLASYEVLSYVLPTLSTACTSQFLDLVETKEINQSNKFSLDFLVVSFLDNINNLLVNGVLKRSRRAVLMCWKWLCLDALLSFSCCCGENESQLKMPYPLFSESTLRSIFVDIVESLENAGENSVLAILRCVRSVLGLLEFIMRTRNLSSLGISYEMMMQFVKSSWVLHLSCNKRRVAPIAALLSAILHPAIFPNLEMHQENEKGPGPLKWFIENLLSEGSRSPRTIRLAALHLSGVWLMYPKTLSFYMEELKQLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFHHLWKQIKEKGTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKHSSVHRRKVRIWQMICVLSHYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPTLAEEELIPIFHDNEMRQQALSSYVFIAANLILHSRELSAQINHLNQLLPPIMPFLTSHHHSLRAFTQLLVHCVLSKMWPILQLESSENPIFERRCFQDLKRYLAENADCVRLRASVERFLDVFNPDTSNTPSGIFSSRPEVSSDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHNEDRTDETIVGLLEPNQDALNFQKKITPYRNLDQALNIGGHSVAGDDYISRLLSELEGDDQLLDLVLESRNHAVETIKQSQQQLIVVASLLDRIPNLAGLTRTCEIFKTAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRQEGYSVIGLEQTANSKPLDQFSFPSKTVLVLGREKEGIPVDIIHALDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRLAAAEPLI >OB03G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:66579:67163:1 gene:OB03G10120 transcript:OB03G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLEGGAEMRTPPSRSPPPLFSLYPLCAPLPPPRWRLPMPSPRRPSTWYFPLLLFSFVSLCIDRFHLSGFDWISNLASHPQRHWLLLDEYNGAYNNNTNNTAPESQESIPVEEVLEQLKCTREGLTSEAAQQRIRVFGYSKLEEKQESKLLKFLGFMWNPLSWVMEIAAIMAIALAHEEELPGEKVYTPFIS >OB03G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:67003:69982:1 gene:OB03G10130 transcript:OB03G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELIVMYAVHERKYRQIVDNLLVLLIGGIPIAIPTVLSVTMAIGSHKLARQGAITKRMTAIEEMAGMGVLCSDKTGTLTLNKLSVDRNLVEVLTKGIEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTFQKLDCESVTRSSWLSFQVAFTNRKDFGREARMAAWVGYTSSVQCTGYSLEAGRKLRQMAEEGLGLPGKKKWLRRSGGVLRLQGNLIIFGLVFFTCIACPAHRMGISFPG >OB03G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:71950:75238:1 gene:OB03G10140 transcript:OB03G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSKNPPPPPPPPPPPPPKTTTADLYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTNMSRSEVRSFLEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSLCNCVLPESIKVSAVRDVNALPEFPDSVATDDDLESNASIVDGSDADDLDHLITTPSSDVVSSKDKILTPGRDSL >OB03G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:75409:75600:1 gene:OB03G10150 transcript:OB03G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPWMRMVMMSVAQEGSACDCLTVAKGHGSVARSIRCFILRGGRGGECVDREILILLCIWCN >OB03G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:77287:82964:1 gene:OB03G10160 transcript:OB03G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLFLLLLLLLVLVLVAPASAVPFIVLHGIGDQCANHGVTKFTRLLADWSASDGYCLEIGSGTWDSWLMPLQKQADIVCTKVKEMKELSNGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCMLADSLIKLEIYSDYVQEHLAPSGYMKIPTDIEDYFKGCRFLPKLNNEIPGERNATYKERFSNLENLVLIMFQDDAVLIPRETAWFGYYPDGAFDPVLPPQKTKLYIEDWIGLKTLDDDGRVKFVSVPGGHLGISKSDMRKYIVPYLKGKGKGKASIAATWRAITNSIGEAFVLN >OB03G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:84605:87555:-1 gene:OB03G10170 transcript:OB03G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGGGAGYSGRVTPFVVLSCIVAGSGGILFGYDLGVSGGVTSMEPFLKKFFPDVYHQMKGDKNVSNYCRFDSELLTVFTSSLYIAGLVATLCASSVTRRFGRRASILIGGSVFVAGSVFGGAAVNIYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQHRGAINNGFELCISIGILIANLINYGVDKIEGGWGWRISLSLAAVPAAFLPVGAVFLPETPSFVIQRGGDVDEARALLRRLRGTDGVQKELDDLVAASEVSKTIRHPLRNMLRRRYRPQLVIAVLVPFFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRVCATVANVVAMAVVDRFGRRKLLLVGGVQMVVSQVMVGAILASKFKEHGGMEKEYAYLVLTVMCVFVAGFAWSWGPLTYLVPAEICPLEVRSAGQSIVIAVIFLLTFVIGQTFLAMLCHLKFATFFLFGGWVCTMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRIVGPLQSQPQPAPAPAAASSSLELQQQHQQASSHRSQ >OB03G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:89438:92112:-1 gene:OB03G10180 transcript:OB03G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMAMQRIVVMRHGDRVDHAEPLWPANSPRPWDPPLTDAGLLRAWTVGKRIRSAAAADGFQIHRVLVSPFFRCLQTASQAVTALCALPDDNALLDLHSTANLPLDPSRIKVSIEYGLSEMMNAQAMGLLVSQVAPSIDKWFPDLSQLEAALPAGTIDHSVEPLYREVPKWGESVSEARSRYASVIKALADKYPDENLLLVTHGEGVGASVSFFEPGAEIYEVEYCAYSVLGRQQQQKAELKLGAEEEGLTNLTVLSTSGPTGIHYYYTTRAL >OB03G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:98798:101227:-1 gene:OB03G10190 transcript:OB03G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAAVLHRLYHSPSPLTLVASPPPLSPPPPPTTTPRSRCSCSKGVEMAAVKAIRVHELGGPEVMRWEQVEVGEPKDGEIRIKNTAIGVNFIDVYFREGVYSAPLPFVPGMEAVGVVTAVGPGLTGRKVGDVVAYAGTPMGSYAQEQIIPASVAVPLPPSIDHNTAAAILLKGMTAHVLLRRVVKVQSGDWVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAQDGCQHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKASVECLATRGMLVSFGQSSGKPDPIPLSDLAAKSLFLTRPSMLHYTATREELLESAGEVFANVGSGVLRIRVNHTYPLSQAARAHADLQDRKTSGSILLIPDDS >OB03G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:101708:101908:-1 gene:OB03G10200 transcript:OB03G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSSSNRPELQVCSRFHTSVCVFPTGKALAHIACIFRLQNNIPVQRLCFAATILLSWVSFHLGV >OB03G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:101274:109258:-1 gene:OB03G10210 transcript:OB03G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G44980) TAIR;Acc:AT2G44980] MGLGKTLQAISLLSYLRIQSIAPGPFLVLCPLSVTAGWLSEFNKFCPSLRVIQYVGDKMHRRDLRRGMFEEFQKSSLSSGSNELSFDVMMTTYDIALMDQEFLSQIPWHYVVIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCMPSIFGSLDEFLSTFKQAGDSLTGGETNKANKQFKILKHVLRAFMLRRTKALLIQSGILALPSLTELTVMVPLTPLQKKLYLSVLRKELQTLLTFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLVMLDLILKKLHELGHRVLLFAQMTQTLDILQDFLELRQYTYERLDGSVRAEERFAAIKSFSSQPTKGVVRDDNQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQSLQRAHRIGQLNHVLSINLVSQRTIEEIIMQRAERKLKLSHSIIGEEDATDGKGKYLENEASDMRSIIFGLHLFDPTDTTAETINDDTNLETIKEETMSKLKSMSEKVVLMRSHEPSEKDERTFKINPNMTDNSGAVVSRLSDSINVDLDFNETAYLSWLERFKESLHSKENTTVELESQRTAPEEKFLKREANKRKAEEKRLAKWESLGYQPLTVKDPDILPNQNIPDSGSVQLVYGDCTNPSIVCPAKPAVIFSCVDDSGTWGHGGMFDALANLSACIPDAYHRASEFDDLHLADLHLIELDEAKCNRSLDALLWVALAVVQSYNPRRKVPRSEISMPDLELCLSKVAFSAAQHSASIHMPRIGFHGRSEWYTIERLLRKYSSIHGVDIFVYYYRRGNRQCVSD >OB03G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:113391:115861:1 gene:OB03G10220 transcript:OB03G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLTRDQDAPAEDIRPGELNQPVHVPQLEGRRCSECGQVLPESYEPPADEPWTTGIFACTDDPDSCRTGLFCPCVLFGHNIEALRDDIPWTTPCVCHAVFVEGGIALAILTAIFHGIDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHREREGRLAENNAVPMTVVNPPALQEMSMSESRGPASQGMENGAPSTSKAEHGAPETDHDDVEVIPL >OB03G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:116349:120880:1 gene:OB03G10230 transcript:OB03G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MRRFRVHPPSPWPPLFASRPSPHHHHRCCPLHASANSGARQGNFILPGATAATIVMFGILHARRMYEDQKVVERKEKGIQPEFSPDFMASFLRLLPLRSISRLWGSLMEVELPVFMRPAIYKAWARAFHSDLQEAALPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRFGELRGPGTMIEQVKGFSYSAASLLGASSFLHGAAEEDLPREHTEQNMPADANAKSWWRVSVASPKLRDRTLLSPKKGIFYCVIYLHPGDYHRIHSPIDWNIVKRRHFSGHLFPQNDRAVQTIRNLYVENERVVLEGHWKEGFVAIAAVGATNVGSIKVFVEPELRTNRAGSKILKFQAPDECVYEPEGTGVMVKKGEEVAGFNMGSTVVMVFEAPVSSKAREKGRVASDFDFCIKAGDRIRVGEAIGRWSA >OB03G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:121017:122006:-1 gene:OB03G10240 transcript:OB03G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVEVESPSPARYLVGAAIMMAGVVLPLAYMIFRSKRSSSSTAAXXXXXXXXXXXXXXXXXXXXPPPPPPPPPPPPPPPPLPPPPSPSRRPRASSDTSISDLTNCRCCCFNIVVVSR >OB03G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:122244:122567:-1 gene:OB03G10250 transcript:OB03G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPREAMHSERRATMPTVSCGSSMRKEERIASSRGKAERESRDRALEEVDAEEVAGGDSGGEGAPGQRQHLSLPLRPPLPLPLIFSFLLLLFFWCGPCGMVGGCKN >OB03G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:122355:125171:1 gene:OB03G10260 transcript:OB03G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARRPLSAAVPAGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDVFVPDDRPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRRKDNHVRRFLERHGIPYYYLPTAPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >OB03G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:125412:125933:1 gene:OB03G10270 transcript:OB03G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3LJ01] MSTMKFCRECNNILYPKEEKERRLLLFACRNCEHQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCSACGHGEAVFFQATARGEEGMTLFFVCCNVSCAYRWRE >OB03G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:127522:134564:-1 gene:OB03G10280 transcript:OB03G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAPDPLLLHTNASASASSSSLSQSQSQIHSSPTNYNVMMQPPEDHAADSSDRASPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKRVAESENSSASVESKTERQHENELHEKKMDVTKEDPSNSQECMSEEGRISEGLSCAKSHEIISSDSAYSTEKNLRAEGEGHKDLDLQKNNATKKDKPATEPIVVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLIKNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESSKQGGS >OB03G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:137149:138096:-1 gene:OB03G10290 transcript:OB03G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNGGDVAAYNESAAAVGAGWGNAKATWYGQANGAGPADNGGACGFKNVNQYPFMGMTSCGNQPLYKGGKGCGSCYRVRCSRHPACSGNAQTIAITDMNYFPLSQYHFDLSGTAFGRLAKPGRADDLRRAGIIDVQFERVACEFPGLKVGFHVEEGSSPVYLAVLVEYENGDGDVAQVELREAGAGAGAGPGRTAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLLLLLLLLLYGNSTTILLISIIIYVFTNIYNIA >OB03G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:143386:144919:1 gene:OB03G10300 transcript:OB03G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLWSVAGGAALLCLLAAHGCTATTKHHKPPKPHKPIPSPSPSPSPGNASSSSSNSSSTVPPPPASIPGNGSSTSGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVGHPACSGAPETVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVACQYPGLTVTFHVEEGSNPVYLAILVEYENGDGDVVQVELMESIRAADGAATPTGVWTAMRESWGSIWRLDTNHPLQGPFSLRITNESGKTLVADQVIPADWQPNTVYSSLVQFDS >OB03G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:148919:149206:-1 gene:OB03G10310 transcript:OB03G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTCFFFPNLKTSVSCFTKCPCNNCLKEHARLEQCIPLDGIIKKKRVYVCVAEPARVRPHPRLPESVHLRHCLPASTNIAFSPQRVARLDRIRA >OB03G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:150767:152964:1 gene:OB03G10320 transcript:OB03G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQLPDAWDYKGRPAIRASTGGWSCAAMILFVELNERLTTLGIAVNLVTYLTATMHLGTAASANTVTNFLGTSFILCLLGGFLADTYLARYLTIAIFTALQATGMAVLTISTAATGLRPPPCTDPIGSCEPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDAGERGRMARFFSWFFFLISIGSLLAVTVLVYIQDNLGRRWGYGICVVAILAGLLLFLGGTTRYRFKKLQGSPVTQIAAVTAAAWRKRKLPLPSDPAMLYEYDGENVKGKQKLPHSNQCRFLDHAAILDAAEEKKRWTVTEVEEVKQVVRMLPTWATTILFWTVYAQMTTFSVSQAAAMDRRLSTSFVIPAGSLTVFFVAAILFTVPLYDRLLAPLARRLTGHPHGLSPLQRIFVGLLLAVLGMAAAALTERRRRDAAKSGVTQSVFLLLPQFMLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTISLGLFLSTALVSVVHKVTSRRPWLADNLDDGRLDNFYWMLGAMNVLNLAVFVAAARVYVYKEKRPADAGIISSAHDDGDDDVLVHA >OB03G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:154535:154939:1 gene:OB03G10330 transcript:OB03G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKQLQVYCGVLFLALVSLQAAVQACEPYCPTPTPPVIPPPPSGGGGRCPIDALKLNVCANVLNLLKLKIGVPAGEECCPLLGGLVDLDAAVCLCTAIKANVLGINLNVPVDLTLLLNFCHKTCPSDFTCPL >OB03G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:163747:164261:1 gene:OB03G10340 transcript:OB03G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12530) TAIR;Acc:AT4G12530] MAKKAGVVMGMLLALNLLFFTFSDACGYCPSPGGGGGSGGGGGGGGGSGGSGGGSGGGGSGGGGGSGGGGGSGGGSGGGGSSSCPIDALKLGVCANVLNGLINVQLGTPPRQPCCTLIQGLADLEAAVCLCTALRASVLGINLNVPINLSLLVNYCGRRVPSGFQCPNN >OB03G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:163835:164191:-1 gene:OB03G10350 transcript:OB03G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRLMPRTLARSAVQRQTAASRSARPWMRVQHGCRGGVPSCTFISPFSTFAHTPSFSASIGHAHYCFRRRRCLHLTLRRLLTLLRLLTLRRRCLPLTLRFRHHHPLRLHFHLLRRD >OB03G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:172050:173207:1 gene:OB03G10360 transcript:OB03G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHMPSSPPFPQPFPKKPPTDDDTSSGGVDQLAQAAKLAEAGDVFAARQILARLTPRLPAAPTPLLRSAFYFKEALRVALSSPTTTTAASTNSTPLDLLLKLTAYKAFSDLSPVLHFAHFTCVQAVLDELGAAPCIHVLDFDIGVGEQWASLMHDLAQRHTHTGVALKVTALVSTASHHPIELQLIHDSLSSFAAELGVPFRFAAFDLNATDLTGLLAVTAGDAIAVHLPVGSVHAPAVPSVLHLVRRLGAKLVVSVDRGCDRGDQPFAAHLLQALQSTVFLLESLDAMGTDSDVAAKIERFWVQPKIDECVRAAAAGDRTPPWRATLASAGFVPVQVSSFAEAQAESLLKKLPVRGFRLERRAGSLFLHWQRGELASVSAWRC >OB03G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:178915:182004:-1 gene:OB03G10370 transcript:OB03G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSIAGNNVLDKSNLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKRLGSFTTIFSSVQTAMEDALLRILTPRRSIDILRDLHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKEYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYTSGAPVMFVGCGQSYTDLKKLNVKSIVNTLLK >OB03G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:184678:185058:1 gene:OB03G10380 transcript:OB03G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLWFNPYSICYLHFYCNFYNQGYMLIWHTCKSSVNVTMGSPSFSLYSTFLIHALVDDAYEFLSPMRQIANSLLPSPGCFYPTTTSSSSHRSRVSLYIPPHKVLTTSPPSMLIPASSLFLRWVAV >OB03G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:193235:195137:1 gene:OB03G10390 transcript:OB03G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3LJ13] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEEGEYEDEEEGDLQD >OB03G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:197513:200867:-1 gene:OB03G10400 transcript:OB03G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPAAIPPSLLIQPHYQPEKRRRGRPRNCDRLPPPPGFFAPLPPPPQTPAPLLARGQGQFGGLQPHLLQIDAGEEIIPKITALSKINGRVISVLSVLGSVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDQGCLRVTLASADSSVIGGVITGPLKAATPVQAVVASFYNDAYCPNKAPKIIASYPNSHFTMTNGSTLSSQCANPGYASCTAMDQNESSEVDVKPSLAMLKLASLFGGNSKEYLASHHEIGKAYQVIIGDSSLKTGPASCIRSTLVASDNFI >OB03G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:208770:211728:-1 gene:OB03G10410 transcript:OB03G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVVASKCETRSRPGAASENLQSPRRTIGENGHRDRRHHQLKCSDGHLGELRLESIPNFHCKSLPTRSRNTNVADCIVGKRGSMYQSSSEITRIRKLHEGRRKKIDSALDGDAFLSFEIVDPSSQPSTSGSYLFSLQNRRSEAKSSVESRKFHRDFLDLSFRELPDENCRLDRPRMDCTLLKNDAADGFLEISLEEETTKGPCRNAAPQLIGGQSSEGMEANCLHKKTSDCPTENNCSKRERDSTNNLPESMSAKVSISDGTRPLQSVRHSIENSTKVRSSPFKKILDPIMKSKSHRSPSLVEDSSSVTMPINRKNCVSRKSLLSDFSRTEQSQAINSQLNGEGQHMTSTLSPAHLQAVLKLDSKNGIPVFEFCVDGPEESISARSWKTGNELNWIYTFHSGGKRASAAGRTSKDGRWCSPLIVGQMQVSSYLCSEVGKDGVLNNSVVTEFVLYDIAHARRSFSVEEKTQCTETTQTTLSSAIDKSALGDCPQRINLTDQQNGARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNRSKSKEIKNGLSPGTVKVVTPSGLHGLPDDNEASPSPLLDRWRYGGGCDCGGWDMACPIAVLGNAYDNNWANSVTKEGENPMELFALGGKEELPALSIKGNEKGQLLVYFHARLSALQAFSACISLLHCSEASTAVSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKITEKSPRSVVLDPPFSPMGRV >OB03G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:214059:216527:-1 gene:OB03G10420 transcript:OB03G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAAAADLAGMAMRHPAPAPHLINYILAFPVALKCHIINHSDIKNDLQGLLSEDDLNVVLRSKHRPRCIIEFISQSLYMLDFGESKRNIMESKLSCFLEGIAVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKQLHDSTQDVMSLQSLVHKRLTATRKKGQGSGMNRCSDNGWPSSKSEEAKID >OB03G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:220581:220886:1 gene:OB03G10430 transcript:OB03G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEARGRARAFASSFLEASRTVSRFPSLSISIDCGFRFRFHASLCVCINNNNRPPECPALGVGGTTILARFQTKVYISIFCSADLFVLSFFKPMSTDYHSVC >OB03G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:221706:227616:-1 gene:OB03G10440 transcript:OB03G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRPARQQENNTGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFSGFAGRGHSRKKSRDFEEIDEDADNVEECNARKQTVKRLMEDELGKVKQMKKIPSDEVQRILADLGHDVCLEKSSMQSSKQNRTKSHNASRAMASPSGLLDPSGSKSMKQEEENDLELSLADFVGELHRYHDEWPHDDCKSKSELCPELKTLIHTKLNELKSAPCELAYEEAPDRGQKETFNEKHLCGSRPYQNKLIDEPGMLSPEKELFLKTLQNPSLHALEKESTQRNQNRQVVTKLDPRKMVEKGENTKNSKQQEVAVKTHGKEGRHIFFWRKDKSVIKGTSEGTNSSKTVNKIVILKPNPRGIDTAVATASTCLQQQSPKYSATESSKFSIKEVRRRFKIVTSGTRRERPSVYEDDLQRDSLWIHDSVFTVRKDSKQVHERTLAWKASGIDKDDLRPSTSGKQKQRNDELGEINRDIITSKSIFYEEAKKHLTDILEYKSQITKHPTVHTSKSLIGMLSLPQCNASSPRSSPRVKDCIDLSPEETNISAIHKAGRTEYAKETDQSDEDSGSVACGVLDGKANQDRHSMKQETEQDGDIVHIEEIAKPACSETMCSAETILKEQWRCNSLPEMVEGVEPDREQAGMLLSYPENAVDSLEQQEPKTPRSSPSLELISQISPEGNHEKQEQPSPVSVLDPFFHEDVDSPDHETMIKRKLHQDMTMAHTPDVISDQGVFWEDEDARIGYIKAMLELSELYTCQNLEVWYLEDELISPCLVEELHQGNQADDLKLPFDCTCEAITVIQETYFRNPPCLSFLRHKIQPPPMGENLIQEINKHIERHLHNQFPRTLNQLVNIDLEDGTWMNLQLESEEIVVDTWEFILDELLEEVANDLLI >OB03G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:223205:223795:1 gene:OB03G10450 transcript:OB03G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVIMSLLISPSSSFLCFCLPLVEGLKSSLSIPEAFHAKVRSCTCLESFLTVKTESWIQSESLCKSSSYTDGLSLLVPLVTILNLLLTSLIENFELSVALYFGDCCCKHVEAVATAVSIPLGFGFSITILLTVLLLLVPSDVPFITDLSFLQKKICLPSLPCVLTATSCCFEFLVFSPFSTIFLGSNLVTTCLF >OB03G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:225655:225948:1 gene:OB03G10460 transcript:OB03G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYCISLCSSGITFHLNMKLERVTCKPTESVSSASLLPVQQELGVASEIEHADEAPHAACVVLLLPSRSLTSLPHVGWLVPLLFAICIPLLFFTG >OB03G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:230062:234788:-1 gene:OB03G10470 transcript:OB03G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISVLPPRRTGGSGMSAAASAAVASQPRSQPLSFSQQGVGSGGASLLHSHSQSQLSQASIDDNLLGLHLLSPARDQRFGVHEDSSKRMPSLPASSGSCVREESQLQLAKVPSNSMHRWNPSVADTRYSILTGQVANEDVERKFQHLASSLHKMGMVVDSVQSDVMQLNRSMKEASLDSSSIRQKVVLLESSLQQILKGQEDLKSLFESSIKGNPDQTSVLNSHARKLDEISSTLSTLQTLMQANIRASKLDEISSTLSTLQTQMQADIRQLQGDIFRVFTKEMEGIVRAIRSLNGRPAAIQMMADQSHNANERLLRSQIPVENRKSPMNQIANARSCVNQTPILNGRPLVSQIPVVNRRPEMNQLNRWIQMNQTPVAAGRSPMNQAPAADGNPRINQKPITKEHPAHLVYPAKVTDQKPKVEQGKVKAAPQKPFASSYYRVAPKQEEVAIIKVTPHVPAKKAPVSIIIDSDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRKARKRRRREMQSIVLAS >OB03G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:235070:238651:-1 gene:OB03G10480 transcript:OB03G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3LJ22] MYIAECGLDGNFSKGEVVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGAILLFRPEENASRMRDGAERMCMPAPTVEQFVDAIKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEDNFHRAAPGGTGGVKTIGNYASVLKAQRIAKEKGYSDVLYLDAVNNKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIVEVAQRKGFKVEERLVSVDDLLEADEVFCTGTAVVVSPVGCITYLGKRVEYGNQGVGVVSQQLYTSLTSLQMGHVEDCMGWTVELNQ >OB03G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:242944:243747:1 gene:OB03G10490 transcript:OB03G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVAAAMVSAVSCHPPKVPPGPNITATYGDKWLEARGTWYGKATGAGPDDNGGACGYKDVDKAPYLGMNSCGNDPIFKDGRGCGSCYEVKCSKPEACSDKPVIVHITDMNTEPIAAYHFDLSGHAFGAMAKEGKEEELRKAGIIDMQFRRVRCKYPADTKITFHVEKGSNPNYFALLVKYVAGDGDVVGVDLKEKGSEEYKPLKESWGAIWRLAPQKPIKGPFTIRVTTESGEKLVAEDVIPDDWKANSVYKSNLQAK >OB03G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:253636:254439:-1 gene:OB03G10500 transcript:OB03G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVAAMVSVVSCHPPKVPPGPNITASYGEKWLEARGTWYGKATGAGPDDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEVKCSKPEACSDKPVIVHITDMNTEPIAAYHFDLSGHAFGAMAKEGKEEELRKAGIIDMQFRRVRCKYPDDTKITFHIEKGSNPNYFALLVKYVGGDGDIFGVDLKEKGSEEWKPLKESWGAIWRMDTPKPLKGPFSIRLITEGDEKLVADDVIPNDWKPDALYKSQLQVD >OB03G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:255755:258972:-1 gene:OB03G10510 transcript:OB03G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESELAVIKPEALKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDEILCFINGDGGSGGGKASKSKKKNKRRKDHAKNPPKANPEPVNKEEAARGVSFVGSGNISRTPCQSSNVQDDVEYPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHMIGGNGSLQRFSGFNHR >OB03G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:263218:263442:-1 gene:OB03G10520 transcript:OB03G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACSEEQAMLYVIIMASLRFTKYCYMHSCDQSVHVILTGFACTVSCLVHSYFCFCLLACVFGNSIWSSCFGV >OB03G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:264014:266809:1 gene:OB03G10530 transcript:OB03G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDSAMAELETVVEEEVLRRLGTGEEAGLTDKEAARRLRLHGPNVVVRSHQEDSILRKFLMNLFSLWGWNHAFPKYRNMVRVILNSMSWVTVLTTIVSLTIASASQRSYMLSITIYLLATSLIVCLTVRLVEEYAKAPLEEKAYTPRAKVLRDGMWINMHAANLVPGDIIFLKVGDIVPANARILRFQKIDTMTCWAKRSVDCVHFLIYYAWTVSCGQGTAVVIATGRAIPRSTLQLYPQRYTWPGQLKEGIMLAGCFCFSLMIFGTIAEVVLRLFFQKPSSGAILQGGHFMPLIGVVPMAMPVVLYLALAFGSLRLCLLGIASRGTVALEDLASMDIMLFNMMGTITCNKPFFARDKIELFANGVNKDQAIVLASRASRSHYELYMEQIDAAILSLLDDPEQARAGVQVIEHHARFFVALKMALSAVYSKHNNG >OB03G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:271797:274485:-1 gene:OB03G10540 transcript:OB03G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFHVISPDDAYASIDLPILGLLFATMVVGSYLKNAGMFKHLGRLLAWKSQGGRDLLCRVCIVTALASALFTNDTCCVVLTEFVLELAADRNLPAKPFLLALASSANIGSATTPIGNPQNLVIAFNSKIPFPKFLIGILPTPPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQQMEAAEEGRSPSVASLKSPQLNGNGNALSLEMSENITTKHPWFMQCTEERRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAIGIPLIGKI >OB03G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:281208:287675:1 gene:OB03G10550 transcript:OB03G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFFNFRAVQVPAVSDRLFLSIVSVDTGKTVAKSSKAAAHIGICQWPDNILETIWFSQDEVSKKFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKKCNSGTVLQLKVQYLGTKSKLSGVRAWKDLSPRLDDRSPTNDDIDSKSDGSDSIANKSVRSSSGNPLGGTTQDEPGHRETSFSASGSHRSSNSGDSTADRTNFSPRDSSNGGVHVGRQDSASSYVSYVSASRGDEEFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQVSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSEKSKQQAELEVELSAAHAERDSYRQEIDELKSSMQEVTTRQKVGGTSKYGDWIDLQKELEDDIKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKTEISKLSKVRNVTDLDALNKDSLVKQDTEWAKQLSIKEDEITMLREKLNRVLNIENAGVAASGAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGVTKGQGNCIPNKSNLEIEELTSKICQLEEELRNKELLHTGRFADASISSSKELQEKCANLELKLLNFRSQAYDLEEKFQKSQEELEQRNLELSELRQKLDSSHSTTLEDVQTNGTRGYQFRGESIDNEPDTDMLKAKIQLQQQENDDLRCSKVEMETVISKIQAEKSRLEERLEASLKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVAELESCKAELELHISNLEQENVELSERISGLEAQLTYMTNEKDSSELQIHDSKSLIVNLKDKLERQQSEMESQRLEFKQKQQEAQRKLSEAQDDSEVQRRSNTKLQSTVESLIEECSSLQNQIADLKRQKLELHGHLTQQEQELDNSKKRNFDFGKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEERINRAHFMLNKIEKEKTLEVENLEREVMSLTAQTSSTQEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLTADAEHMKKLMEVAKSNEDELRKSSGELELKLKASDYEKQQMMEEISGLKLQVQKIMGLQDEVLKLKSSLDEAKFEKGKVEELLHSATEECEELKAQKAMLTDKVSNMQESLDNGEEKKRSRVAMQAKLVRLESDLSALEASHVHEAELKNEINRIKRSNSEYQRKIQSLEQENEDLTRRTQLEQMSHIKEEDLGKQEIGGSPVDEEASIHLKIQLLEAKLAEALEENKMYRAQHKSPMPDGQSAAGDGKESSNDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAAKKGRWF >OB03G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:293063:296473:1 gene:OB03G10560 transcript:OB03G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGAAHTPRLRGRSRQDVVARMAMERQRELQGLSDHRAVSTFAHRGRIQSFLRGRFFHIGRSVHDERPLSMAARELGQLRQSHPVSRLREEVRSRTDVATNGRATNHNGPIDTRSSSVSDQQGTIADLVLRGNDHHQENATHNEFQTLQSIEDESIDIQSSIPTSSYVGQNDFDEEQLHRYEEYSDSGSSEEASEQSDSSSSSPSDNSAQQEAETYEQQTDLQWSRETSSNEDAEDSTFLHRDAEWHAMNSQESEPQWGSNRSFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYVRRQELDDPLDWDYERQETTTGLLSGHRAEGSIDEAAHRQDVSDATNQPSIPPQQRHWQIELPHHNWSRQAMRHSEFDLDAIHVLRDDLTALQRGMTSMQQMLEACMEMQMELQRSIKQEVSAALNRSLAVPADEGMLEDGSEWKQARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLRGVGKCPLCRAPIVEIAWRDPKGLYATNVYRINYSSSTR >OB03G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:297312:299560:1 gene:OB03G10570 transcript:OB03G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1990 (InterPro:IPR018960); Has 259 Blast hits to 259 proteins in 120 species: Archa /.../ Bacteria - 197; Metazoa - 0; Fungi - 0; Plants - 57; Viruses - 0; Other Eukaryotes - 5 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G17695) TAIR;Acc:AT2G17695] MAWGGLFLSFSRPSQEQQKSCLAAAGGFNYDAPLHGASKPRSATDLTPGAAGASDKALVERGFFVNRSRVLLGSGAATFSHAKSALLSWKHLALGWASVEPDTPVKTGTKFCICYKELIPWVMLPLQIAYVMTEGSSSGHGKGGIFAFGSGTLQGHLLAGEERFSVQLDEEDQVWYEVMSFSKPAHILSSLCYPYVQLRQRHFAHHSGQALLRHVASRSTDKPR >OB03G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:302692:302895:-1 gene:OB03G10580 transcript:OB03G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAVLVLLRRSGAGGGAGGREGRRRRPVELGRSGHDGGWRLAREERMEGRREESVKRKVGMGTYMQRG >OB03G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:302791:303369:1 gene:OB03G10590 transcript:OB03G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYRPSPAAFSPPCTAAGAGAAEENEYSCRPPTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGAAAGAGAAEENEYSCRTPTPSLKAKEPATCPPAPRKPRAAACRKLRFDPGHAQAQVITLRLDELERLFRPISSNAVHARSPPNN >OB03G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:304179:309585:-1 gene:OB03G10600 transcript:OB03G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNHNGGVTYIHCTAGLGRAPAVALSYMFWILGYSLNEGHQLLQSKRTCFPKLEAIKLATADILTGLSKNSITLKWESDNCSSVEISGLDVGWGQIIPLTYNKEKGSWFLERELPEGRYEYKYIVDGKWVCNENEKKTKANADGHVNNYIQVSRDGTSVEEKELRERLTGQNPDLTKEERLMIREYLEQYVER >OB03G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:312194:312643:-1 gene:OB03G10610 transcript:OB03G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAEVVRRDEHVVRPAGAVPVRHLGPDEVHRVGEQAAGVRQRGEAVGGGEVAGDVNRDEEEVGVLGARGAGVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVAERKR >OB03G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:312569:314765:1 gene:OB03G10620 transcript:OB03G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWNRSGGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGTHVCQEVDHVVIPPHVPPEVTFELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQNYGRNRKFYLKRKRYGNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLQWSDISLQVAEKDVASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMQEGDATWQVLRELEILLDRSQRRYAGPWRS >OB03G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:315589:316973:-1 gene:OB03G10630 transcript:OB03G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDSRIAVVDVRDEERMYDAHIAGSHHFASDSFAERLAGPAQATTDKETLVFHCALSKVRGPSCAQMYLDYLSEAKEDSGIKNIMVLERGFNGWELSGRPVCRCKDAPCKRVCS >OB03G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:318184:319090:1 gene:OB03G10640 transcript:OB03G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGGDDEAASTCSICMEPWIRNGEHRICCIPCGHVYGRSCLEKWLNHSIVLLQQCPQCGERFERDHIINLYAPGINIWD >OB03G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:321244:326117:1 gene:OB03G10650 transcript:OB03G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRRRRLLPPPAPPLIQELHEGIDFDVQVDYSDDDDEEEEEEGEESDEDEEEEGQGEPGEESIGEGCGPSEQARVPGGAAEKHTCPVCMEAWTSQGAHRICCINPCGHVYGRSCLEKWLARQKRNTAAPCPQCGRRFKHKDIINLYAPEVAVPNNDLEKEVLYLRGKSESLEEKVMKHEKLLDEMNERLLELTSAQKRQIASEQRLINDGSSKRQKEFIMDGLRVMAIDACNQTILASGKAPGVGQEHILSKFSIFSHYEARNIQLPADTKAVRDICILPSGFAVFTSLGRKLSSFSMATDRVILQCDLPSPGWSCSADETSHQICAGLQNGNLIIFDIRQTSRPLHYMVGLSTHPVHTLHTVLDNNSSKFLSASSIGPCMWDTDGNQGRPKLLLGADNQRVCISLACAPPSSDLLVASFRPKVDPSEDATSSQVYISQTPTPSGRGKLGSHALIRRAGNSSSFTEDRTCSAFVSEIRMSKSAIIPYGNNQQLFAYGDESLRGVRTWRLPSFEMHSDISTLQQPVMDLRYAESSTGGRFLGYLSTEKLQVFRIR >OB03G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:326015:327347:-1 gene:OB03G10660 transcript:OB03G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIKKKQAAANHGGSRFVGCNLVVVVVMVVACSGGGAGAQPSPGYYPSRATRSMEFGEGYSNLWGAQHQTLSPDHRALTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYLSNNELYPGQHDEIDVELLGTVPGEPWTLQTNVYVHGTGDGTIIGREMRFHLWFDPTADFHHYAILWNPDQIVFLVDDDYSRNHANYPEC >OB03G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:331299:333828:1 gene:OB03G10670 transcript:OB03G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEVPRRKYYETSGRWLQHLNMHDGTRRRGTLLDIEGKSKDTRILPVSIRGIVRKDIYEAIAELGNFFKELCFKTLKIDVLLRLKAEIPVILCKLEKIYPPAFFDVMVHLAVHLPDEAILRGPVYIFRELEKNSVNDIDTRLEREFPKWFKNYIEELHYNRAPEVSNDLYSLANGPDRRLRVYSACNENGVRYHTIDREKNRKTQNSGIKVEGAHKNLIIDFYGVLIEILELQYTTNKNGDRSVFLFRCDWFDLDGRKTRMRNDGYFTSINIRGRWYKKDPFILAPQAKQVFYLDDTRFGKDWKVVQNFEHRHVYDVPEKERTETNENDDFQMNNQDAYQQESSSTEFITHFTVMMKMFWTFRLKWLKIFETKKAKILLMNFIVVTAKKNVKTIPGTIHINNGVDGPLSETDDE >OB03G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:342443:343951:1 gene:OB03G10680 transcript:OB03G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDYERKSNIQIHRNNKVLQSFGLPELKKSLFSMFHGKGNSSEQVILAKKRRNTSNQEESGSDYEPNEEETETDSDDIDVNSLGQMVQQKHGKTLARLHQIKPLINLKMAPGTRPQKRAKSSSNNESNPVSTRSRVNPLDNAHVTDQRIGRAITSVSNHLLDWNQIRDPQESTPCLSNSPMATQFSRLSNDITDGNSATHNCDGMHQLALKNVNRLWDMDLRSMYRGQIIKCTSLSLIGKFDMNANDEVARATCTNIIKDGIRQQRYRLKSKYFNNVPISEVLSKGPPPRVSPEDWAKLVEKWTDPKHKV >OB03G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:347000:349517:1 gene:OB03G10690 transcript:OB03G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNECRNIERQIRVPSCFVDGWIPGADVQREEKKVEKAIREAAKRNDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSREMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDTVRKEKEKMKQPSTSEPAERTAIAEAVDDDDELEHIRERLAKVRS >OB03G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:355241:355724:-1 gene:OB03G10700 transcript:OB03G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEEVASAETEEHVQRILLAIDAFTRQVSEMLEAGRALFKNLAADFEDRLCSIHKERVERWEEEIRELRARDAANEHARALLHNAQLHLLATVSHDH >OB03G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:351809:355267:1 gene:OB03G10710 transcript:OB03G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MEVAGAQAGVLPLLLRRPASLRGSVSCAGARRSWAAATTAEAGRGEEGRGYERVPMDTPGAYRLVDRTTGRSVIVWGGSDDGDEVSMPSPAVLSRTTTRVPNRPKENGGSTSIGNFGRLKAQKVKSLARRSAHLKRENSSRRSISQFSESPLDDYDEDETNFERGRARDARRNNRDDRTRGAHSLNSVLRQYRGADDSDFPESEATSDSKRWGKIGDVTYGRQDQRRKSPLDSGFFSRRSFNEIGCSDEILSALRSFDFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVIILTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQECFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENVVRRLDKKASQIKVLPFHGALDQEQRITNIKEFLNRQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNTKGHPLHDVPCV >OB03G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:361172:362623:1 gene:OB03G10720 transcript:OB03G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLRAIESRLLPGFRFHPSDEELVCYYLRNKQQQQTAAATMLVEVDLHACEPWELPEVAKMGADEWYFFSYRDRKYATGSRRNRATKQGYWKATGKDKAILHEEEDGRRAVAGARKTLVFYFGRAPNGRKSGWGMHEFRLLHLHPNPKNMQEEEDWVLCRVFHKQATGVVATTTPSSSSSAPATHHLQQLQVDNESSPLISSSPAAPVIISEQDQFMSIQLHEDDHHQQRIVCSSRNDEQQQLLDLSNSVLQAPAFLEFEQAPGAGMEIITEMESLQTTYCSVLQDANNYYSQLY >OB03G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:364552:365841:1 gene:OB03G10730 transcript:OB03G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFRPSHSEHEMNSASPRNNQRPPTAHHSPLRIALPPPAASRTFQKFRALPAPAPPPLQLRGGHPASSPADAAGLGHRKPSQPTNSLNSKMFKIRFSRIADILVCILTSQQPFLLEIMSYHRHGDAICIYIYLAEISVDKARNITEHTHCLQLGNSRRLGTFATDS >OB03G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:367896:368276:1 gene:OB03G10740 transcript:OB03G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHGCRNGYEWELNRRTLRRVHTRSGSCLPACLRNRRRRGMMPRQSAATAGSAAASIPRFLSLPCRGPKHVGSYLLPSCLPARLPVATPVIPFTSHDPTQSMLISSPLLATIGLFSKAWTPKFNF >OB03G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:372729:377525:1 gene:OB03G10750 transcript:OB03G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3LJ49] MRQQQQQQQASRFKRTCVFCGSSQGNKTTYRDAAVDLAKELVARGIDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEIVGETVGEVRPVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVCMHGSCIIQNLPYAYFCMQVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIVSAPTAQELMDKLEEYVPYHDRVASGLNWEADHLGF >OB03G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:386555:394502:1 gene:OB03G10760 transcript:OB03G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGFDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMFPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGTGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIKTGSNACIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSTTTQASGDASPDDCNMRSVLTIAFQFPYEMHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQSGLNAGQRIISGFPEAPTLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >OB03G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:396036:396695:-1 gene:OB03G10770 transcript:OB03G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDEIRVDKETLDMLASLGMAELPGVVRQADVSSSAPQYGARLPXXXXXXXXXXXXXXXXXXXXXXRMAFVPEKSALEVDEIRVDKETLDMLASLGMAELPGVVRQADVSSSAPQYGARLPYARRDRA >OB03G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:397144:404522:-1 gene:OB03G10780 transcript:OB03G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:J3LJ52] MDEDELMFGEGEVIILTQLLESKPPPGYPEEGRFPPPHVADGLAQPSAMGGSMDAAAASVFPYGNGDGDGSALPPAMCIGCCPGLSSREEAARFAPPNAPLDWVKVVGEILEENAGTMGDNDQWSPAAGVAQQEWPAPQLQVQPSVMDYDVMPWSNQFDANRMGMNQTTFPNQFHAGLLQGANQRIIDNSAAATDQVHMPPFGYYPHEQVEMALQYYPHGSAEGTGYPQQHMLDNEAMEMAELRRVASECGDEASVVWSPEEDRVLLDGLSRLGDQDIVCMCIEIACCLPKKTAMDVAKRIRWVQNKNISPAQTGVISKESKGRTTRKPQAGVGSTESARRKGRKGKGNEGPNVKRNKYVPSESFKTIQAQIRDNSRLMDRIDDNLKTGQLMHIPNIFNDVTTNMGAILTKMREMGISTDQLKIDVEALEEVTQGFPPPMNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVSSIPGIEEVNIFKDDVVIQFQNPKVQASIAANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPGAEAGASAGAAQDDDDDVPELVPGETFEEAAEEKKEPEEKKEAEPEEKKESS >OB03G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:405934:408993:-1 gene:OB03G10790 transcript:OB03G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFASVLLAPRAVGVAARCASSSSAASPSVAAATYDHASFIKEVAATDPPEHLNSLLNVLQARGEKLVSPGAKRGLIPLVVPLSESPAGNLTSLLRWPTAPSGMEMPVVEVRNYGLWLLAKNVNQYIHRMLVEADVTTDNTDDLWSAVGAAGKNLYTKGDFKESQMADLDAYLLKKVGLFPDVIERKTSRHFEKGDYVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTTEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVTEEGKRDDLKKGKAPEQVVLDEAAFLMDLASVDGNWDDVVDRIAGCYREAGLDDIAKFIAYRE >OB03G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:410972:413044:1 gene:OB03G10800 transcript:OB03G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPAPLGSSTSQQESEGDQHHHADAVDGKVHIDTTAPIDSVKGAVSKFGGILDWRERRKQVQDELDKVQEEVADYQKRSQEAAAGMARAAQELGGANGAIDELRLSLEKAQAEEARARQDTELAELRLRETQQGVRESTAAKAELAVARDRHATAIADLRSVKAELELLRKEHAAAAAEADAAAARARVTVSSSQEAVKAVEELTAELVAVKEEVEACHLAHDEAEEKRMRVAMALEQEKVQWRRELELAEQEVKKLADSLMATKDLESKVAAASETLVGLRAELFARAVEGTIGEEAATEKEKPTVSSRAKLDKTKKELEDVKATVDKAKDEAKCLRVAAASLSADLEKQKAELAALRRREGLSATSIPALEEELSRVTTGLTAAQVARAKDGGVETKMPAQLEEARREAEKAKAKARSAQEAVSKAREEAVLAKAAVHTMEARLEAVKREILAATTSEGIAAASAAALLQEGKPARNAQLQEDVEGSVTLTAEEYDELSRRARETEEDAGKRVTEAVKQIKEAKDAEVRSLDKLAQLAKQTEQRRQALEAASAEAEEAEFGKLAAERELRQWRAEHEHQRRLAGETASPRTGLAEVSVFDDASGGGNPHILSPRGGYMPRTEMAAAAAEAEGAKQKSTFFPRMVMFLARKRAQNWK >OB03G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:415789:418197:-1 gene:OB03G10810 transcript:OB03G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRYRNHPEDLAACRSSKRRRGMVADAAATTRGSSSPWASLHEDLLEPIAREVLAGDLVDYVRFRAVCPHWRSSTTCPHGRGIVDRRFHPRRWMLFPEGHGLYPGHGKLGFVRFFNLSTGAFIRVHLPIFKDHCALYSEEGILLLQRDHDAAIRLLNLFTGDILDSPPLETLLRSVSSSLPGEKWYYLRDIRAASINVSADQVVSLMIWSQGMVQIGFATSREQQWRVSSWCFNQIFSPLPFQGKLYVVHNYVTYGQPDILEIDPPQLEGAELWLPPPRLIAKCPASTPQTKFCYILVECDKEILLVTLSCGFHKNINSVYRLADLMLGRTVRVTCIGGNALFIDQRNLCVRSKAFPTVVGDTIVFFHHKQRYLAQYHLNSDTLLPASDGSIREFAILSHFSIIYHIYTCCYREQWNKGQIRFRGDIKGWRVKRKWRHG >OB03G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:419226:419741:1 gene:OB03G10820 transcript:OB03G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLLVAAVALLAAVSPAAAGRPCGHAQTLLISFSSVSRPNPDPTNPTPVTTTVVTVLRVRRLGPHQPLQIRRPDPLPAASDAASSVQDRAKDILVVVSGLLFGFGCGALTAATMYLVWSLVASTCAPSYEQVYGEDEDDELSDSESPKKAGYVIIHDAEQYVGGKN >OB03G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:423755:431204:1 gene:OB03G10830 transcript:OB03G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear matrix protein-related [Source:Projected from Arabidopsis thaliana (AT5G09860) TAIR;Acc:AT5G09860] MGCDLGPSKRGPSDTIPSLPEPPWTWKPQPASAAGWPSPLHVAGAEYYASSEAGREGLASPSRTLAWPTVLIRRAMADLTPPPPSTAGLRILLSKDRPPASSSSSAPATAAAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQEAIKPQKQTVLVLEENQSLENALRALLQELASSAVQSGKRIMQYGNSLDNGESNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYNTLWSLQEHFSNPALTAANLTKWQNFASNLTVVLSTFEAQPLSEDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLILFDFLKAPGKTDKEGPTGSMKEEIDSCEERVKKLLEIIPPKGKEFLQSIEHILEREKNWVWWKRDGCLAFEKQPFEKKSGQAGVKKRKPRWRLGNKELAQLWKWAEQNPNALTDSERICMPSVTEYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFSARQDLEGFSRFCDYGIEGVVPQELLPPEVRSKFYSKPSDKAKRPKREDAKGTSAQPKEQQVASATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEASKDGPSKETA >OB03G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:433598:436070:1 gene:OB03G10840 transcript:OB03G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIISRGVAMDMETEQQQQQEQLPCRLPTCPPWLQAAIADIEQRVRALAVSLPDDAAATATDHSFAERAENYYHKRPQLLALLTDLHHRYLYLADRYSQSLLANKPQLFHAAAASGSDCGSSDVDDRSSDADSSLSYQPPPAVIHGTVAAAPASSCSSSIHAVDAELVVAELVLAWVGRDILADEAERRKAESARKIELQGSLLEVLESERLVLLGENARLGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKLGMAEIERLRAENKRLAAEASTAAAAARRKRKGGGGGMWWARVRMAAEWTPCSPAVRKDGKYNAGGCFCL >OB03G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:442460:444747:1 gene:OB03G10850 transcript:OB03G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLHSYYGLSKPAKGDPKNEGTEMATYSIGQNRKARSLSTGFSLVNGDATWEVDDAEKPIRRRQKSDSEFSTWEGNSGGVLMKAGPGLALRPKSGNRPDINNSQQDLVATAVPSYGDGLQAVRKSSSTPEFQDSDNSIASEWLKSKLGLKPDAFTLPLPILLLDSIPKPIFDSFPKQIAAWRNKAARD >OB03G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:446876:450558:1 gene:OB03G10860 transcript:OB03G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAQATPQVTSPQKIPVSVDPQGQSNTPPWEQSLTSLPTKQDWASDSDDDSKFCWHDDGEAEPSSAAALRNADAPGPSTRPREDANGKANGSAPPALVADFMGMGFPKEMVLKAIKEIGDTDTEQLLELLLTYQAIGGDPSVGNCSASTSTPQVIEEEEEDDDDDDVNWEEDDAAGNCARAPDSDGSGDEDFLQEMSENDEKIKTLVRMGFPEDEAKMAITRCGLDASVDTLADSIFASQTAGNGYSANSSEYEDTEFSSFGGRKKTIFMNGNKKKRKRYGSGPQGSQLPFDGSHEESMPLPNPMVGFSLPSDRLRSVHRNLPDQAFGPPFFYYENVALAPKGVWATISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPIENRTPVLPIPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCVASAKLTERIRCALGSVGDAPPPQVQKYVLEECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLREMFPTGMNVLSLFSGIGGAEVALHRLGIRMKAVVSVEKSEVNRTVLKSWWDQTQTGTLIEIADVRTLTPDRIESFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYFRILDSVKTSMAGM >OB03G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:451225:454495:1 gene:OB03G10870 transcript:OB03G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein [Source:Projected from Arabidopsis thaliana (AT2G32500) TAIR;Acc:AT2G32500] MLMPASAQIPHHTIRPVASYYGLHGRRLHNSDRRFRLQIPMRKVVICTRSVLGSSNGAPSGGLVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNMENFTHAVFMRFQQKDDIAKFQSSPYYYKILDEHVKPVSYGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLESASRDSVEDALSSLQRLISQCSSSIVQATLGCCLNHVDSGYSHAAVIRFPSFDDFKLFREGMEYKDVWASKFQPIVEKSLVLHFTVDPVGNQLM >OB03G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:453864:454175:-1 gene:OB03G10880 transcript:OB03G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSVRLMLLLTLLGTELAGLCHGRIIPSLEVIQVHEEEAELPLPSPSPPSKGYGVLTMAEKAPPGDAPRRHYYSSRRVQEEDHGVSASKRVVPEGPNPLHN >OB03G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:454801:459691:-1 gene:OB03G10890 transcript:OB03G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:J3LJ63] MPHRPPLAQLRRLLVPCSSFLPAAVAAAAAPDPGRRRLLLYAREMASIATAADAAQARAGGVVAAAEYEDVMGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDNIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPRQLKDQHLGLHGEHQYLNAGLAIALASTWLEKQGHVDRIPLNQTDPLPDQFISGLSNASLQGRAQIVRDSQVSSGEELVFYLDGAHSPESMEICARWFSHVTKEGRRVPSPMAPSQSCGNSQKILLFNCMSVRDPMRLLPHLVDTSAQNGVHFDMALFVPNQSQYNKLGTNSSAPAEPEQIDLSWQLSLQRVWEKLLHGTNNTNSSETSLVVESLPQAIEWLRTNARQNRSTSFQVLVTGSLHLVGDVLRLVKK >OB03G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:460035:462391:-1 gene:OB03G10900 transcript:OB03G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKVEVTKDIAEEKAVVPLPAPPSQHDDSKAIVLVKDAEATGGSAERDAYLAKIVSEKRLVLINAWEESEKARAENRAAKKLSYITSWENAKKAEMEAELKRIEEQLEKKKAAYEEKLKNKLALLHKTAEEKRAMTEAKRGEELIMAEELAAKYRAKGEAPTKLFGLLKA >OB03G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:467009:467836:-1 gene:OB03G10910 transcript:OB03G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISRPRTVAIGINSLPYTRGGISQATGEAAARDDADGDDDEEGGGGGAGGGGGGVQLTVCAGAIAGGAKPTAACCSSLRAQQGCFCQFAKDPRYGRYVNSPNARRAVTSCGIAVPRCH >OB03G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:470268:472477:-1 gene:OB03G10920 transcript:OB03G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVPTMSDDKSKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLRKLGKIDIISVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKDKK >OB03G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:474297:480346:1 gene:OB03G10930 transcript:OB03G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAVFGERRVKVFRLRVDVEDGEACSVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSKRLFLTRVKSPEKCLLYSMRMWGDSVKSLLVASGTILNEILIWKLVSQTLEKSLLSSYKRNTHGVEDYENMHFSDKKYIAMHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLNSQSQNFVNKAAGQDDIQIIPKLTIFGHSARIWDCYVSDSIVITAGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLWNSSFHDKVEDKVDSDNANHDSEFFSISSPTVSGHHGPLDSKSEYVRCLHFVEENDLYIATNNGYLHHAEFSNSKDVIWTEVIQIADMAPIICMDAMVMHSDTSPNREDIIALGDGRGNVTVVYLTVSDLGPKIDLSFTWLAEKDRQLLGVYWCKSLECRHIFTADPRGVLKLWDIRNALFSDTLDATSQKVPLIAVFESSFGARIMCLDVSPQDEILIAGDKKGNITAFPFPKVLVAHESNGIQQNVPSCDRFKGAHGISSVTSVHIITSTSDHLEIHTTGGDGCICLFKCHRNVQKIEFFGMRQVKELGTVQSVFSHHASENQLLSTYAIGFTSADFIIWDLENETKMLQISCGGWRRPYSYYLGTVPEYQNCFAFLKDHNIHIRRHWTPTQDKKLLPQVLHMQFHGREVHSLCFIDLAGYSNPEKSSNLYIATGCEDGTVRLTGTTINSAGRWCSSKLLGEHVGGSAVRATCFVQKAYTLLDKSCNTIPKGDSDDILIKNKDNIGLLISVGSKQVLTTWVLQPKVAENRLVCSSVLDVDSKQSSESTGDGDPAMTFQWLSTHMPPKLTNRSKIGHVKQNNDEGDYSVVQPNQVIMDQLENDWRYLSVTAFLLEHPSTKLTVCFVVVACSDATIVLRALLLPSRLWFDIALLAPQESPVLVLKHIIAAASVNCKENAYSRDTYIVVSGSTDGSVTLWDLTDTIHGFMQLLSETQPHMVTDCQKRPRTGRGSQGGRRRWRTLPDRSLKKINEAASLPDRSNPDTPSATENASETSSVEEIGTTNNQNYVFSSSQSCNLPVVTPLHIFSGVHQSGVNCLHVSEMKDCSYSIPGMSYCVLSGGDDQAVHSFCFTLGSLQDCSINTSLHSPDNSTVKVICQQRVPSAHSSAVKGIWTDGLWAFSTGLDQRIRCWKMEPSGRFTEYSHVIISVPEPETLDVFHEREKKYWIAVAGRGMQMVEFLSSEDD >OB03G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:482519:493335:1 gene:OB03G10940 transcript:OB03G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAIILSTYAKILMHSQTPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSTALVVADHPPVNGSVPASNHLTLVKMPSQNITEENNVTHEETPVEIPKENGAPVEVVSKVESIPETNIESKVEPPISQPASQADLLADLLGPLAIEGPSAAVEQSPVQGLEASQSPVGDLALATLEDQSNAVQPTVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPSHLKVELSSVPDTIPPRAQVQIPLEIVNLRASRDVAVLDFSYTFGTALVNVKLRLPVVLNKFLQPITLSPEEFFPQWKALNVQPLKVQEVVKGVKPLPLPEMASLFMGLHLAVAPGLDTNPNNLVACTTFFSETTRAMLCLVRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIDIPRVQAAPAPVPVQPQLPPAVPATYNDPGAMLAGLL >OB03G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:497788:505688:1 gene:OB03G10950 transcript:OB03G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQFLGSIIGEPAQAHQAPAEAGATVGVKRRRGRPPKKRDGAAAAAGPGEPARKRVDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKIVVDFDDQNSWEYLFKLYWLDLKGKLSLTLEELTSAKSRWNAPTTYTRKEKDESSDDLYDANNDDDAGSDCSSGKRKWNSSRKKGQKRQKTNSDCSIATKKFESVTRDSETLPSKVPIQEASLPVDTKWASPELLEFVGHMRNGDLSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFLVSEPSAVNDDSQGITNPSSAQIDHASGYSDMAAKFSPDRRRRMHRKMERESQANPEDYAAIDMHNISLIYLRRSLMEDLIDDPTFSDKISGAFVRIRISGLGQKQDMYRLVKVVGTQKVPEKYSIGKKTTNLALEILNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQAKANIFQLVRVNDLLNTPEERARKINEVLDVHVDSHMDPDYESAEEFGSKKTAEWNVNWARSDPFGSPVKVKYPNTVQKNCDATRHLKNQSKQNTVRKPVVARNSENPRSSVGMDIPKSVTNAKSTMCGTTPLPYSGVTVSGDIEPEKVWHYKDPSGNVQGPFTLLQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPAALTTSSKSTLADTGQNRSTQLVDLNKAPSPVGYSMLNSSETTIQCTKHSGPERESVNSLDDRLSLTMDSVPLKDTKDSNSQPIYQIKHSSFPPSSGSPRRQSDLHHDEVQGGRSGEWNNQHNSGEMWSPAMPQTSSSAHSNVESHHNHYALCSQAKSFAPATPVEDRGSSSPSGMLSHSERAPICSPQSAPSVSASDTCKMEETMNQQKPLEADISNASVNQSPNSKILPESSPDNQDIEREYPSPPPMSECKELSPQSRTNRESSPDNQEVERQYPSPQPISESKEVPPQLKIIPESSDNHDIEREHPSPPPIPESKEQSPQSKILPESSPDNQDIEHEHPNPPPIPESKELSPQCKILPESSPDNQGIKCEYPSPTPIPESKGLSLQSKVPGPSHDDQDVGHEYPCPTPICESKEVSRQSKIPSGSSLDNQDIEHGYHSPNLISESKELTINLSQSISLAPEKIASGEDSSLPFIFPKSPRAGDGALKSVSDIETTHIEGGDSNVKELYVKEELYVESTVIREDMVVNPASGVESVDMSENLLESLMEQSCGTFYLDGAAALEGFLSKEEPQCSSPIALSTCSSPIALSPWGESGYYQGDSVGSSLWGVQDDDPICEMWQLSTQTPAVQYSSGTTAHFIDEVTVTQVNNEIIPRNTTPGEKVELPNSGACTVWGLVEQMNPEANDTSVSMIDRSTGLVCSQPSANGGPNVDTSRNTNHNTNLSLSHETAVPLSKGSGEASRKPGCATDLNVATSGEALGNTKNWNPSAGNANRGSQRSHHRDRYSQISESWLLSSNYSRSRSDGFGSGGSSRSTPRGQTQRGICKFHESGYCRKGASCNYLHP >OB03G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:506537:510714:-1 gene:OB03G10960 transcript:OB03G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVLLGAHEDDAEEGRASSSSSSSSSSGSADADLWTHDSHGLLRPVFRFLEIDPKAWSGLEETAASSEAKHHIGAFLRIIFEEDGESSSDRSLQELALAKGVDVMVMSLGNDREVDDTIKQGDQDALPCSSGTDKSPGESSHDDLLGINELSLDDIPANNHRKMALLYALLSACVADKPVSQEEEDRKSSRFRKGYDARHRVALRLLSTWLDVKWIKMEAIEVMVACSAMAAAKEQEQSQERASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFTFDEDDFCRPWEGWKDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEAARKMVAGRFVNVYSRDDWILGVTFRASLLSQGLAGIQNIDVPGVENIDVTELVDGHSSYLSAAQQILEHLELNTYYPVFIPLSAASEETDIIVT >OB03G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:511611:513225:-1 gene:OB03G10970 transcript:OB03G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVEYWLHEQWLVLWLALASLTFALLHLRRARRRPPLPPGPRPLPIIGNMLMMDRLNHRGLAALAARYGGLLHLRLGRVHMVVVSSPEYAREVLQVQDGDFSNRPASIAIAYLTYDRADMAFSHYGHFWRQVRKLSAVRLFSRRRAQSWLAVRDESAKLVRAIARSAGEGAVDLGELIFAFTKDVIFRAAFGTRGGGSNDELEVILQEFSKLFGAFNIGDFIPWLAWLDPHGINKRLRAARAALDGVIDRIIDEHVSNSAGDEDSDMVDDMLAFLDEPAGREQSGGELQGTLRLTRDNIKAIIMDELADVVGLGRGVEETDLDKLPFLKCVAMETLRLHPPIPLLLHEAAADCVVGGYSVPRGSRVMVNVWSVGRDPGAWKDADAFRPARFMPGGDAAGLDLKGGCFELLPFGSGRRACPAIVLGMYELELVVARLVHAFEWALPDGVQPEELDMVDGFGLTAPRAARLRAVPTSRLTCPM >OB03G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:518225:519300:-1 gene:OB03G10980 transcript:OB03G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHRHRLLCCGGGVAIAPGRGAPFGGDGITDHRQSKNAATSARQLSWAQVEAMTRGFTSAVVGEGGFSTVYLARVAGSLAAVKVHRSSERLQRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHDQLHGGESGKGGVMPWARRVAVALQVARALEYLHDRCEPQVVHGDVKSSNVLLEAVHCPRPRPSALAVLGSPGYVDPHYLRSGVVTKKSDVYSYGVLLLELLTGTQAFCDGRLLTAAVAPKLRADPFDVQRLVDQRLGCQYNAAEAANVAALAAACVGDNPSLRPSMADVVRTLEQHGQKFRR >OB03G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:522336:522762:1 gene:OB03G10990 transcript:OB03G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPEDHGLHPGHASLRGFVRFFNLSTGAFVRVHLPIFKDHCVLYSVEGILLLQRDHDTAIRLLHPLTGDILDFPPLDTLLRYVSSLSGGDKWYHLRDIRAASINVSAGQVVSLMIFAQGMILLLGVMVLLGIGLVLDSLG >OB03G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:529185:534301:-1 gene:OB03G11000 transcript:OB03G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPGMPPFSAAGEAASPISSRPPAAQQQVEEQLGGANGPGSGSSLDHDGLAGEDGDRGGSSAGNRRPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAEMGYTRSAKKCREKFENVDNANNGGSSGGMQTKASNGTAAAGFPVVEGAGAGGNGVASDNKGSKQESVVKERGGAAGGGQRQPQPLAMNHNYGNDRMADDMDSDSMDEDDDEFDDDDEDDDIGSGKMQMQYETSSHFQRPQMQQNQTVVVRPNGGGGGGGRMVQIERRMLGVLSQEWQPYKISFDISGGSSRRNGCNACTGGRLILKKYVKLTPFENILWSCKIKVAGYILRLLD >OB03G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:532097:533323:1 gene:OB03G11010 transcript:OB03G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELPPLFALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRAAASGRRHVVQLRRSPDSGELLALRLWLELGLGLWRHRHYVGLNWLRWRLLMLMLRRCRRRRGGGDRRRLQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPARPSLVRL >OB03G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:541081:541502:-1 gene:OB03G11020 transcript:OB03G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRYRSAACDDNKSTMIPLLLTILVVAAASSTVARSAWVQDYASNHGCGETAAAELCDPGDPAANRACSDACHYNGCRGGRCVLLYYLGGGGGGGGGGIGRGCHCRR >OB03G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:542537:545221:-1 gene:OB03G11030 transcript:OB03G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIQGGILRGDVKELLLLDVTPLALGIETLGGIFTRLINRNTAIPTKKSQGYSRRVGGGDRGESATVRRVIGELQLWASKVFKNLM >OB03G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:545778:546083:-1 gene:OB03G11040 transcript:OB03G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNDLVPRGIGASKYFLLDRSKSQATNGDTFVCGEDFDNTLLEFLVSEFKRTEAIDLSKDRLALQRLREAAEKAKIELSSTARPRSTCRSLQLIPLEQNI >OB03G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:548315:554793:1 gene:OB03G11050 transcript:OB03G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARPTPADSSELPNGAAEPEAVPPPAPGAPAPAGGGGGIADDSEANAGLGAEGEASRPFTMRELLGELKDGGEAAGGRSALAEGNGIGSAGAEGASQDSLQLSSHHDVAMELINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRTAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSQFILNHEGLQQANVSESPSSKSLGHMGRSKKYIKVAVTDIVSVSACSDLTLPPGAGLCIDTIHGPLYLVADTWESLDGWLDAIRLVYTIFARGKSDALAGIITG >OB03G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:559345:561300:1 gene:OB03G11060 transcript:OB03G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSPGAGSLRFLGLLKQPEAGPDGAASFELDESDVLWPDSANSVPDLHFSILTWNPIGLSAQALMKKYRWSVFLRAADVTK >OB03G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:560372:560524:-1 gene:OB03G11070 transcript:OB03G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYKVSCIMHACKCNAGVKQPPSYRCCRIIGWLVKLLFGLLDGWIPWFY >OB03G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:563579:569600:1 gene:OB03G11080 transcript:OB03G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT2G22610) TAIR;Acc:AT2G22610] MEGEEERCPADPTPMDFSWTNDWEKRACDGAGDGDEAESAPAPPAPTPQEVAESMVLVPGPRVVLSGLTRGDCRADDSVLVINAGGTAIEGCEPPSKFSGDSFFEGGDAIETSENIVDGGDYPSLYHSARYGNFSYKFDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVQEEKANILTCMTHDFVSQFIFRFCLNLMYMPWLGVTGPFRSCIQCDNLNHELVVANLVAEGNALCKRCSGHVGNSPIQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESTNQELERLKIELHQKVVRSDNIEQVLDSQADQLRNVSQKYENAKKLWAAAISNLENKIKSMKKEQALLSLEAHDCANAVPDLSKMIGAVQALVAQCEDLKLKYYEEMTKRKKLHNIVQETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAVVTGGTAKKSFKFDRVYMPTDNQVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYNLSVSVLEVYNEQIRDLLASSPSSKKLEIKQAGEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLMNGECTRSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLELGPAKRQVDTAELQKVKQMLERARQEVRLKDDSLRKLEDNCQSLENKAKGKEQLYKNLQEKVKELENQLDSKMHSQITSEKQQNQLSGKLKETEEMCATLQQKIAELERKLKLQQQSESEVAVLKQTIVELEIRLREQEDQRSVAESKAMEIGQELLETQRTEAVLQIKLRELENALQERTTILDTKMIHDSTNCMQVASTPGEAKAQLLTREEAMSEKEHHILRSSDSVNKRVTNNSSVVGAPEVVNEKKRKGDARNPSIGGELENQPVAARKRSLQGDTRLKRKSTEPLAKNTGRTTATSKTATATHKMAPTTRATTRQQPANKTRGWVR >OB03G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:571762:572046:1 gene:OB03G11090 transcript:OB03G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAKASARGLGCGGTRLEGIPEEGCGCECGMCGDDDWEVVRDDGDDCKGDLDTPEMEAETLTWALEMGAWAVCVGVGILATARRFRRKRAFW >OB03G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:572908:575129:-1 gene:OB03G11100 transcript:OB03G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40780) TAIR;Acc:AT2G40780] MKAGRKNLRRACSEGAVTLAEGESIMQVLALRGSNVIEVMDAKGVQSLALFPAKFQKSFWIKKGNFVVVDAGGRDQALESGSKIACVVSQVLFHDQVRAMEKSDGWPVIFKSTSKEGSEAGTQDGTTPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSDSDSDS >OB03G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:577333:586554:1 gene:OB03G11110 transcript:OB03G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRSSSAAAAPAFTASPGDYRLLEEVGYGAHAVVYRAVFLPSSRIIAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMAEGSCLHLMKVAYPDGFEEPVICSILKETLKALEYLHRQGHIHRDVKAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKSAKLPELSVKNILTDLPPLWDRVKALKLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLILDDDPPEIKEDVDSDRVNEVVKEPSSGNHFGQPTILNGNFSEQTCVTAVSLVGNTHEADRGLVSEPGNADSEKKVDGYKKQGSENESLPSTSKHDSEGQNISSGEDQQKQRTYSGPISCSGIHNRSATESSRISDREAAALLISDKKKSGTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKPKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISRKLQEGSALRKSASVGHWPVDAKPTSNSLHRKELCNGSVSASILIPHLRNLVQQTTFQQDLITNLLSSLQQNEKTDATQYRLGTVGSDTGVKTSISEGERSLLVKIFELQSRMISLTDELITTKLKHVQLQEELKTLYCQEEIIDTREEDNA >OB03G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:590717:592496:-1 gene:OB03G11120 transcript:OB03G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGVAVAYAAMAVVALRLALSHKSALYAVRRLWRWADEWAQAYQYHEVPRFACDGAENPLFRKAAQYVAVAALPSLEDADAASVLSSSSRTNGGFSLQLGPGHTARDAFLGARLAWTNREEDGREVLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLFANTGVDGSTGTPRWASAPFTHPATLDTVAMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGLPGTGKSTFAAAMARLLGYDIYDIDLSRAGSDDLRALLLHTTPRSLILVEDLDRFLQGGGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKEGVDAAVVRPGRLDVHIQFTLCDFEAFKALASNYLGLKDHKLYPQSARTSADTADAGEEAVTAPAACGVFAKDAPMREFKKLYGLIKIRSRKEAAGFMPLQDGETPAPANGRGSELDKER >OB03G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:603891:607400:1 gene:OB03G11130 transcript:OB03G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKGAKDRVTTSQAFNSFKNNYVLVYSLMMSGDWLQGADVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRAFDPQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNFLVPLTSVKGGSISFGGTLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAVLQRRLMVISDLHKSSTKAQEMIDEDEPLNP >OB03G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:612963:617526:-1 gene:OB03G11140 transcript:OB03G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-fucokinase/GDP-L-fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) TAIR;Acc:AT1G01220] MAKKHILLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFRDQGGIFIMTGDVLPCFDASNLVLPDDSACIVTVPTTLDVAANHGVVVASKDGTDGENYSLCLVDNLLQKPTVHELVEGQAIRDDGRALLDTGIISARGKAWQELVTLAYSSSQIMIKELITSRKEMSLYEDLVAAWVPSRHEWLRTRPLGMELIAALGRHRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMSSIPETTACDIAATAVILSSKISAGVSVGEDSLVYDSSLSGRVRIGSQSIVVGVNIHELHGNRSQIVSGSSYFTLPDRHCLWEVPLVNSMGRVMVYCGLHDNPKVSMKRDGTFCGKPWRNVLEHLQIQDTDLWNPMNEDNCLWNARLFPVLSLPEMLNVGMCLMGSTCDLDGKVASLWKKSERISLEELHRSIDYDQLCMDSSKHQADLAANIAKACMTYGLLGRNLFQLCEEMLQKENSCLQVCNELLSLCPSHGDQYSGVLPKSRRYQVKMDLLRASGDLSTAAIVEDKVWASIASETASAIKYGSKEPSSNSKSSSNGNLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGSLPVGAMIETTMDHLGVLIEDDAGRNVYIDDMSSITSPFKENDSFRLVKSALIVTGILNDKRLSKLGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDGTVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSYPGQPLRLQVVPLLASPHLIEELERRLLVVFTGQVRLAHQVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALMLAKNLNSAKELRQALENSPTFDVKVYNWNVAMTP >OB03G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:618593:620514:-1 gene:OB03G11150 transcript:OB03G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRAVSTAAQVESSSSGAMAVDAAGGVEKPRFEALTPSEMSGGKPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRKDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >OB03G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:621682:622842:-1 gene:OB03G11160 transcript:OB03G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53170) TAIR;Acc:AT3G53170] MMLGKCRQPGAATALFKVMLSERLKPTVDVYTALVGAYGYSGMLDEALATVDQMKGAADCKPDEYTFSVLINCCSKLRRFDRIPAILDEMSYLGLECNAVIHNAIIDGYGKAGMLEEMENALTNMLESGDIVPDIYTMNSVIWAYGKHGRIDEMERWYGEFQLMGVDPDTQTFNIMIKAYGNAKLHDKMISVLKYMKKYFFSPAVVTFNIIIESFGRAGNIEKMEYYFRLMKFQGVKPNPITYCSMINGYSKAGLIDKVPGIIRQTQNTDVVLDTPFFNCVIDAYAKSGDIKIMEEMLQFMKEKKCKPDEVTYTIMIQAYNAHGMDKAANLLKMDVERVDGKFLGLVSEVDNK >OB03G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:634048:638644:1 gene:OB03G11170 transcript:OB03G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRFLEAGEGDEDEFFDSREVMSPASVSSPASSGRHDGGLRYEEPLLDLWAADPCSVHERRLRFIRSLGLSDSGRSDGDRPDEEPCSRSSATEEILPGSPSAELFSAAPSFAYRWEEPGASGGGGSTEEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTFGSSPFIRELMRRVDDSDESSAVDKAVVRGRRRRRRFGWLRRLGIGGCVVDVEDDDETNSTSSSSCQSCAGKVNRVKVRPYKKRSKELSAVYRGQDVKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVYSSEGSKSKHYKNSKVSSDPACVVIPHRTFALSQVPVHEFYGHDDAILDLSWSKNRDLLSASMDKTVRLWQVGCNSCLKVFSHTNYVTCVQFQPTSDNYFISGCIDGLVRIWDVHRCQVMDWADSKEIITAVCYRPDGKGAVVGTITGNCRYYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRVLDGHHVISNYKGLRNSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACNITSSRQEVSDEFKNEHDSALCSHPEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSSTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >OB03G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:639031:639189:1 gene:OB03G11180 transcript:OB03G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAQRWLASAVTSTTLPVPVCHLLVAMGMAAARSTPVSLFKKCTWQYSDLD >OB03G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:641443:643325:1 gene:OB03G11190 transcript:OB03G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G46580) TAIR;Acc:AT2G46580] MAGGGAAASALSSPWRSLLQRALDGNAHLKHSTFFQLATVGGGGGRPANRTVVFRGFQEQSDKIQINTDARSNKIGEIRNCPFGEICWYFTDTWEQFRISGFIDVIDGSSPDPVKLQLRERAWFGSSVKSRLQYLGPCPGLPIPNDDLVKDVHIDPSAGPVDAFCLLVLDPEKVDYLNLKSNQRLMFTRNQKEDGSNNWMGEKISP >OB03G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:644562:644771:1 gene:OB03G11200 transcript:OB03G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALCWLDTSFGNHFYWPPSSYWAFVAGLKAWKMWAHLTQLVSTTQVWLHVTVFSLFAECIIKVVCIDELV >OB03G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:645980:648012:-1 gene:OB03G11210 transcript:OB03G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVKYLLGSPGANGFGSRSTAEDVTAACPDLGCITAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARICGECPAADVLVLPLDLSSLASVRAFADRFLALGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAMRLKEMGANVTVNCVHPGIVRTRLNRDRDGLATDLVFLLLSKLLKTIPQAAATTCYVAAHPRLAGVSGRYFADCNETPPSPAAANRHEAERLWQISEAMLCTTQQQHSSKDTATS >OB03G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:661417:664443:-1 gene:OB03G11220 transcript:OB03G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCRAATGANESAAAAATSPGNGAGTATGMDDAASARTSAAGTETEDAASERTSAEGGGVVAAAAEEEEVEKLRWAAWRRPKGRARLSAWDLDSERGAEGKGGVLCSIEEKNACIHKSLLSVTQFTPLYTCAVTTEIQIYMSALDNEPVIVKANELAQSINCEHRASQTEKVGLVKAQDDDFIDLNLPLDDGAINFEAPQYGGFNLYFEHDTMDLTDELEIEAADQGDDDSDVMGFTEETSGGSDGLEMEAPAQGPSRRKDATDEVRKLIYQTLLARSSNGRLGKRVTHKVASQFSLHIRTAQDIWKRGKESLA >OB03G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:664366:668095:1 gene:OB03G11230 transcript:OB03G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQMKSTVKLNAMRPEIEAINLEMQTSTDPQSMLIGKKKLSELFLRHGVTPLTPLKGFFIQGPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPVLTSLTFLATVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFALRNPAVRSYLDLPPMDTVFAPAQQPTFNLFDGSKSIPAVGSPTADSDRSNSVLSQRFSDLENRAKSRRESQD >OB03G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:675116:680692:1 gene:OB03G11240 transcript:OB03G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGAAKRGVVTPAPATALLGSLAGWVSRAVEPPAPRPCGSEGGPPVTAPRLRLRDGRHLAYCESGVPKDKARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPPDMAAEVYNKQEVGDQWALRVSHHTPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRRNMKADGTFQKKNELATQQGIHESYYRDMTVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLACRLSWVNYHELPGTGHFLSAVPGLGDTVLRTIFG >OB03G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:680493:680684:-1 gene:OB03G11250 transcript:OB03G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKSPYCIILSLSFQGQRTFLRGHLGHAILQPKKAKKKRKEKSGSFYTALASILSSSLISE >OB03G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:684700:687117:1 gene:OB03G11260 transcript:OB03G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3LJA0] MATAHADVEKGAGARRKEAGKVPSPLYPQHEGEREWVPWIVPVFLVANITVFVLTMYANNCPVHTPPRAGKCVARFLGRFSFQPLRENPLLGPSSATLQKMGALVWDKVVHEHQGWRLISSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAIYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKIAAVITLLFVIVVNLALGILPHVNNFAHIGGFITGFLLGFVLLMRPHFGWMERYSLPSGAPCTSKKYLVYQWILLAIATALVIVEFAVGMTMLFRGENANDSCRWCHYLSCVPTSRWTCSN >OB03G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:688239:692194:-1 gene:OB03G11270 transcript:OB03G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7;Proteasome component (PCI) domain [Source:Projected from Arabidopsis thaliana (AT3G61140) TAIR;Acc:AT3G61140] MDVEGEVPAAAAAAXXXXXXXXXXXXAEPSPAPVSAEQLDVEAYAAQYAGRTRLARLLFIAERCGVEAVELEALRMAYDEIKRGEDTLFHRDVALKINGRLGPQYALDQAWVDSINRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGQLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDPLDPIIVAKLRAAAGLAYLATKKYKLAARKFVETGHELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNNNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKPNLLLDIHLNEHLETLYMDIRHKAIIQYTLPFISVDLNTMAAAFKTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >OB03G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:692494:694943:1 gene:OB03G11280 transcript:OB03G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGEVASSKPVSLTKAARVFSLFAASGESGLPSDDSALLLCAAEAALELHAFRRHGGASGQSEEERPRKRKNEACSAASRNSQRGIGTVGAFLIAKALDRSCFLYTMAQIMVEIGPTSYQLKMAILEDCLQIFVHFQIKQISLHLIVESRYRVTEDRSLNSELWPKVTSGVVML >OB03G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:695498:697870:1 gene:OB03G11290 transcript:OB03G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSDLAAGMEAGRVVGAGLGDLPELCAAEVLLHLDAPDICRLARLNPAFRGAAAADFVWEAKLPENYGYLLGFVDGAKDGEGRGRRSMMGKKEVYARLAKAVPFDGGNKEFWLEKSKGGVCIALSSKSLVITGIDDRRYWAHMPTTESRFHSVAYLQQIWWFEVVGDLEFCFPPGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDRKPVRFQLSTSDGQHALSQCYLDEPGSWVLYHVGDFVASSTEQPMKLKFSLAQIDCTHTKGGLCVDSVVIYPKGFQQEKVISSQK >OB03G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:698976:702040:1 gene:OB03G11300 transcript:OB03G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMEVDGPCGWDFVDWVGPDASACIFRSLDDPADIVRAAAVSRSWRRFVVGNEFSKSLCLRICPEIANFTSAEEVNRSPQPQPIARAAESSHGVELKARERDYRIYSYLSGALASTKPSMDCILQCIGASSTDNFPEESIENTLMPNDRVKNRASYWSSGGQDDPDAPESLTYRLNSDICIIDEIRLQPFKAYFQNGYPIYSSKAVRFRMGHSKLPHGSESFVTVEDENLMAIADDNYVWSYTSPEFPMLQENVLQSFKLPRPVLCIGGVVKVELLGRVQKQEADDRYYICVCHAQVRGRSLSPVFMVDTSDPAGYSVLKYLPEAKILRAEDAMLDDGRESLEWHSLVARYRRMRHLAIMNVLLGPVELMDEDDLGGVSDEDPFV >OB03G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:703459:706234:1 gene:OB03G11310 transcript:OB03G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCLQIRPEDANLAKAEEEEVSRSRPTAHAESSHGVELKIRERDYRTYSYVDGALVPTTMPSVDCILNCVGASSTDHFPEESIDNTLVPQDRHPWFDVWQDGPDVFVPSYWSSGGQDDPDAPESLTYRLISDMCIIDEIRLRPFEAYIQPGNPIYSSKSVRFRLGRSKLPRGSEPFLNDENLMALADENYVWTYTSPEFPMLQENVLQPFKLPRPVLCGGVVKVELLGAVQKQAADDRYYICICYAQVIGRSLWPIFMLDICDPVGYSVFKYLPGAKDLYGEDAKCHRIAG >OB03G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:708103:711084:-1 gene:OB03G11320 transcript:OB03G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase, bacterial/plant [Source:Projected from Arabidopsis thaliana (AT5G52100) TAIR;Acc:AT5G52100] MATLFHSVHTRAAGKIARRNAAKVFCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQGIGLDAGEISGMEEPLEIPVLNDLTMVLGSIAQSRATGVMVDFSEPSTVYDNVKQAAAFGLSSVVYVPKIELATVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAMQIANNISDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVINVQCLMPGLILAIRKVVRLKNLIYGLEKFL >OB03G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:715892:717574:1 gene:OB03G11330 transcript:OB03G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNYPAAAGDEEGETVDELLARVRGMVPPALGAAGAAEGFPGRWKAIASKLEKLPTCLSDLSSHPCFAKNALCRELLQSVAAMLAEVAELAARCREPPRAGKLQMQSAIDTLAGKVDLNLRDCALLVKTGVLSDAFMPPPPTDEAAATAAQADVRELLARLQIGHTEAKNRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPSPKVREKAATVVCQLAESGSCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSPDTARAIAGHGGARPLIEICQTGDSISQSAAAGALKNISAVPEVRQALADEGIVRVMVSLLDCGTVLGSKEYAADCLQNLTSSSDSFRRAVVSDGGLRSLLVYLDGPLPQESAVSALRNLVGAVSPDSLVSLGVLPRLVHVLRAGSIGAQQAAAAAICRISTSTDMKRAVGEHGCVPLLVRMLEAKSNGAREVAAQAMASLVNYPPNAREVRRDDKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFSRD >OB03G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:726235:728171:1 gene:OB03G11340 transcript:OB03G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G20960) TAIR;Acc:AT4G20960] MDGHYMRRCVELARKAAGHTSPNPMVGWVVVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALISAKVKDVVVGMTDPNPIVASKGIERLKSAGIDVRLCLEEESACRKLNEAYIHRMLTGKAFATLRTTLSMNGVVVNQIGSKADQPEGYYSQLLKEYDGVIISSNFAKTTTLPISREAGAKQPLYIIIAQGEDSQLNIPFLKEEHASEAVVITDSPITVEPAGVEVLALDRMSLESILQLLAQRGLCSVLVDFREAGGGIASLLNNFQEEKQVQKVVVELLPVWTVSKGPSDLAFGGNQSFPLKDVEHKEVNGCVLLEGYV >OB03G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:729453:730145:1 gene:OB03G11350 transcript:OB03G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDFEFLGHDKCAVQTNFHVAGGGGREQIHRLPFDSSDGFHHYAIAWGAEAIEWRIDGELIRRDERVAGEPWPEKPMFLYASVWDASHINDGKWTGTYHGRDAPYVCSYKDIRVPLALSLEEVEDPYQCATAGDAPAATAAADAVEKVDADVPAATTAADAVDAGDASAMRLLPPPSLMLWMPGMRLLLPPMLWMQEMRLLPPPPPMLWKGWTPELVKIRSLGCTAVV >OB03G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:731478:735459:1 gene:OB03G11360 transcript:OB03G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61080) TAIR;Acc:AT3G61080] MANVALLSAASPSTFSPAPRARLLLLARCPPPRRSAFPGTAASRLSIMAALSHDPIREWILTEGKATKITGVSSIGGGCINSAQCYRTDAGSFFVKTNRRIGPSMFEGEALGLKAMYDTNSIRVPLPYKVGTLPTAGSFIIMEFIEFGRSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGFQLELITQRFGDSAIYEKGQRLINNMLPLFEGAVIEPCLLHGDLWSGNISSDTNGDPVILDPACYYGHNEAEFGMSWCAGFGGEFYNSYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >OB03G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:736032:736196:1 gene:OB03G11370 transcript:OB03G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCPFVRNFVCFLCPRSTYSARMRDRNGAEQDTDFYRRRPVTCIRLYGHSTNP >OB03G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:741321:745626:1 gene:OB03G11380 transcript:OB03G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAARTRMTPLTLRDFLEQSSSEGFRSYPRFPAADDGGVGDLAPPERSLSRRFRGGFLRRREDGDDEEDDIDVDERDSFGLPSPVVSSCSSSEWERAESSCTELATTTEEGEEEKCASEYEKTSQSSTGGSIAFHGAADAGGDGHKEEVDGEPVGCNLEMEDKPQLSPVSVMDFPYDDDDEDDDHGEEGSDPGGMCSPSFQQCLAELQRSKAELLHKIRRLEKLKTQVVVPVDLEAQFTESDSGLSHDACTERTNANTNSTSDDTATTTTAPATPRSGGERQCTDDDDQDDDEITEWLLLDFFAEGVDRLRAAGKPISDREEAVLLRAAGEWARGAGQQWGVGDVVFSGWAAVADMERSRRWMCVAEERQDAGAEVEGLVMDALVDELVDDLRGAGAAAVGPELHGVAGCNVLWGR >OB03G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:747913:751043:1 gene:OB03G11390 transcript:OB03G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYVSALMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSAVVGLPFLVVPMVLTGELTRAWSACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVMKLLPENKENGPRRQVRMVQHCDDKQREIREVEEEKAPLV >OB03G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:753979:754326:1 gene:OB03G11400 transcript:OB03G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHRGLGSSSSWVAAAADTASWCLALSLVALLLVCSLGPGAGAGAGAGSVVRGAALSARPCEEIYVVAEGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIKITPSKPR >OB03G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:755639:757823:1 gene:OB03G11410 transcript:OB03G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHRVNTVSLALVLATVLGSLCLGITASPEAQKRYNFRFVRHARDAPLVSHYNYIVVGGGTAGCPLAATLSEHSRVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASDGYVRAAGWDPRLVNASYRWVERELVFRPDVPHWQCALREGLLQAGVTPDNGYTLEHVSGTKIGGTIFDRTGRRHTAADFVRRAHPRRLTVFLHATVSRILFRRSEGAAKPVAYGVVFTDPAGVRHRVYLRSGAKSEVILTAGTLGSPQLLMLSGVGPRGQLEKHGILPVMDQPMVGQGVADNPMNSVFVPSPVPVALSLVQIVGVTRFGTFIEGVSGSQFGIPLHGRGAARRARSFGMFSPMTGQLGTVPPMERTPEAMRRAAEAMRRLDKRAFRGGFILEKILGPLSTGHIELRSTDPDANPAVTFNYFRDPRDLERCVRGIQTIERVVRSRAFARFTYANGSAMEAAVLSRAGLSAANLPVNLLPRGASDTRPLQQYCRETVMTIWHYHGGGHVGAVVDQDYRALGVRGLRVIDSSTFKYSPGTNPQATVMMLGRYMGLKIQKERWTRNDETH >OB03G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:762657:768992:1 gene:OB03G11420 transcript:OB03G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:Projected from Arabidopsis thaliana (AT4G11820) TAIR;Acc:AT4G11820] MAAETERKDVGILAMDIYFPPTCVLQDELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPTCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPICFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKFEGKQFSVHDAEYFVFHSPYNKLVQKSFARLYYNDFLRKCSTVDESSREKLETYSGLSSEESYQSRELEKASQQVAKKLYDSKVQPTTLIPKQLGNMYTASLYAALASVIHNKNETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLANIVSILDVSKKLESRHVVAPEKFVAALKLMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDAMYRRFYAVKGATALSNGH >OB03G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:770025:772541:1 gene:OB03G11430 transcript:OB03G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00231) TAIR;Acc:AT4G00231] MLRAEEVEDEETLAALLEASRSPQGRAALSDALADTLHLLPASTHRLLLLRLRLLRNLLAGDELNQSTFVLLSGPAAVASSALSSPSSSPDVARAALQALGNAALAGEHHRAAVWEALFPGPLQELAGVREKGVLDPLCMVIDTCCSGEGGRGRVEELCHEELGLPILVEIVTTAWQAGHDEEWLEWLLFKICVEEQKFESLFAALCSVNDAKHNDGDEGKIEFNSKHAYLLGKLSKCLANQPKEVSVSNTFALDIFNAQKHAAEIVDFTCQVKSPLPTGHPGIDVLGYSMVLLKDICAWESPPSDTQAPVNSLIQTGLVKHLITYLRELEPPSIIRKSMAKGQGDHGPALTTAKVCPYIGFRRDVVAVIANCLHRSKNVQDEVRQLDGIILLLQQCVVDEENPYLREWGLFAVKNLLDENEENQKEVSELKMQEPVITPEIADIGLRVEIDKETGHPKLVNN >OB03G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:772930:775301:-1 gene:OB03G11440 transcript:OB03G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51880) TAIR;Acc:AT5G51880] MPPNQLRRSRFNIERVQTSQSNGGWYHHNLMPPKRKRRAAMETAGGDAAPPPPRRNKSSAASKGKKGGAAGGGGGRWPPIKPKKDLQINRLKGTHLLTVPNFFTAAEAKAFVDIAENIGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGMNKIFEDISISGKVATSLNSNIRFYRYTEGQRFGRHIDESVDLGDGSRTLYTLLIYLSGKGSTKESSGQSLVGGETVFYDHRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNIKYVLRSDVAFA >OB03G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:778665:780666:-1 gene:OB03G11450 transcript:OB03G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHGVTPGPDFAGVPNTYFPLRRGGRVTLYQDAHVPEGSLPEIRLGNGAHYRHGQCWHDVYDAISQARRLVYITGWSVFHTIRLVRDGRGGGGSLGDLLKKKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNYKRKIVAFVGGLDLCGGRYDTPRHPLFRSLQTLHKEDYYNPNFAVLDERGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRNGVKKLSKANNDTLLWIERIPDIVRIDDEEYSSGNDPERWDVQIFRSIDSNSVKGFPKDPREATSKVTQIQFYLTVL >OB03G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:784932:787163:-1 gene:OB03G11460 transcript:OB03G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLFVVVSSALLAAVACAQERKNYVVHLEPREDGNAGDSVELWHRSFLPEAAGGDGPRIIYSYSHVLSGFAARLTDDEAEAMRKKEGCIRLYPEEFLPLATTHSPGFLGLHLGSDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVNATAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAPFNYDLVAIATFKAMEHGIFVSSAAGNDGPIAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGLNGDPDSRDCSSLVEEEVSGKVVLCESRSLVEHVEQGQTVSAYGGAGMILMNKPAEGYTTFADAHVLPASHVSYAAGSKIAAYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSDVADHAGVPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHTNDYIAYLCGLGIGDDGVKEITHRRVSCAKVKAITEAELNYPSLVAKLLSQPITVHRIVTNVGKANSVYTAVVDMPKDVAVTVHPPVLHFSRVYEKQSFTVTVRWAGQPAVAGVEGNLKWVSDDHVVRSPIMVPPAKAVV >OB03G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:786501:787133:1 gene:OB03G11470 transcript:OB03G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAADVGVLDEVARGRACRMRAVPRIVHWWRRRVYGGAAEGARADDLVVASAPGDCLKLAGALPLLGRRRHPGVAEGRVARQDPSVQEPNHHTAAEPGAAPEPITAEVKPKEPRRMGGRQRQELLRVQPNAPLLLPHRLSLVVGEPRGEPGQHVAVRVYDPRTITPRRLRQERAVPQLDRVAGVAVLPRLEMNHVVLPLLRAGDGSEQG >OB03G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:796530:824409:1 gene:OB03G11480 transcript:OB03G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 10 [Source:Projected from Arabidopsis thaliana (AT3G07160) TAIR;Acc:AT3G07160] MERAASNWERLVRAALRGQPLAGAYGVPVTGIAGNVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDVAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEEITREISPEDADKLISEEMKRVMQKDAERTEDVVAYNIIPLDALSTTNAIVNFPEVRAAISALQYHRELPRLPATFSVPDARNSDMLDLLHCVFGFQKDNVTNQREHIVHLLANEQSRLGKLLGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLRPVWNNTESLTKEKKLLYVCLYYLIWGEASNVRFLPEGLCYIFHHLARELEEIMRKPTAEPAKSCILNDSVSFLDQIISPMYEIIAAEAANNDNGRAPHSAWRNYDDFNEFFWSLKCFQLDWPWKISNPFFSKPSRKEKGLLSRNHHYGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLMIIAFNDRKFDTKTVLQLLSLGPTYVIMKFIESILDILMMYGAYSTSRGSAITRVLWRFCWFTAVSLVICYLYIKAIQDGTNSATFKIYVFVISAYVGSKIIISLLMSVPCCRCLTDYCYRWSVVRLAKWMHQEHNYVGRDMHERPYDYIKYVAFWLAILGAKFSFTYFLQIEPLVKPTRQVISFKRLEYAWHDFVSKNNHNALTILSLWAPVVSIYLLDIHVFYTVMSAICGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVAVQKRKQLLSSSQHSELNKFDASKFAPFWNEIVRNMREEDYINNTELDLLLMPKNDGALSIVQWPLFLLASKVFLAKDIAIDCKDSQDELWLRISKDEYMQYAVVECFDSIYYILTSILDKEGRLWVERIYGGIRESISKMNIQSDIHFSRLPNVIAKLVAVVGILKETESSDLKKGAINAIQDLYEVFHLEVLSVDMRGNIDDWAQIDRARAEGRLFNNLKWPTEPRLKDMIKRLYSLLTIKESAANVPKNLEARRRLQFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTDSELFSSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLLSEDTESAFASTGLGLADIHFELSPEARAQADLKFTYVVTCQIYGLQKAERKAEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQNHGKHKPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVWTVYIFLYGKTYLALSGVGEAIQNRADILQNTALNAALNTQFLFQIGVFTAIPMILGFILEFGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLIIFLAYGFNNGGAVGYILLSISSWFMAVSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNIGGRILETVLSLRFFIFQYGVVYHMNASESSKALLVYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAIVFTRLSVSDVFAAILAFVPTGWGVISIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAIFSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGV >OB03G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:826438:827709:1 gene:OB03G11490 transcript:OB03G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPRLTRPPLLRTTVFLRLASPRATFSTEPANPRISSVATAAAAAVSDAIVGLVAAGGRTLEADLDRLGPALSHPLVSATLRALTDRGVPAAGFFDWVALRRGFSPSAHAHNLLVDNAGRLADYQAMSRALASMSARRIPLIERAFAFLNSSRGNARDTAIAILRTLDEVGGPCRASGVFSLVKALASIGEFDAAMFVIQETAKEVRYYNALMAVKCKAGDFHGAREVFDGMRRSGFDPNANSWNYLLGCLLKNGRFAEGCELVETMERSGPDDIPNSLTYEILAYHACKAGRMDSATQIVDQMFLEKLTPRITIHTAFIKGFLCTGRIEDACRYVSAMSTRDRHSVNRNYSLLARLLCKTGRIVDAGRILYELMEKKGLLPDHSAYIRVIKDLYKVGKGDLATELKLIFHKLSAHAGSAQ >OB03G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:827515:827691:-1 gene:OB03G11500 transcript:OB03G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTELMKNQLQFSSQISLANLVQIFDHSNVGRMVGQETLLLHQFIQYSSCINNPPCLT >OB03G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:829564:830757:1 gene:OB03G11510 transcript:OB03G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3LJC5] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB03G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:831292:834984:1 gene:OB03G11520 transcript:OB03G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVRFVGRKPNRRVQIRTVAPLDAKIFQLNRGGDQPTGRPAPPPPPPRCSAPSLSFGHRKLAEAWIAARRTGRLCARRRRNGALASSARGLVGLARHFGWSPRGRPAIGFPPRGAGFHFTALGDGVSGVAPTRTIGFHSSHFSTAAPAHSFISDQHLRRAALIPVLLPVGFWTKPMLAVLFLEVCRRHCAADRTTRGAAPQAERRAHTGTVSTAQYEAGK >OB03G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:838857:843153:1 gene:OB03G11530 transcript:OB03G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHAEQPQWMTSNVDRSPTLEVSFDGRGDDFESSGFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFMTNPVAANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVATASQELINGSSSYPDMWLGASTSSASYINELSSLVEMGGIGTSNHSESARLQVEITDMEVFKDEKKRVENLRGIKLVNNDLGEIVVEGDENNLTQAIVAQYPIKDTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFATGFEDVNPDATFDLYEKVDVNHGLFVSRVGAAKTFFHRIEPSKKVSFHSNPAASAVSKATEKFHFPILTTKVSGRVSIFSKFKALIRDKLFLMRPSSSYQRLGSSKETTVSELLQIVSLLLAPKQVTGPTEQELVKKKAKEVMKPGWGRDGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAIRTPAECDH >OB03G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:845671:849082:1 gene:OB03G11540 transcript:OB03G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRSSCLQAVVLCQLLLPSTHIAAAAGKPKAAAPPAVAGPVSKVEDATRFQIYYGQSFKVVKNNWNGKSYLLMQNTSKMATKTKYCTGRIKSFVIPLANYSVDTTASPVSFFELLGVLQNLKGITSDQIASQCVLQSYASGNVQLINKTDAQILTQFSAHFMSTTDEGCNFAAYVPSEEATPLQRAEWIKYLGAFTNSEDRANTVYDAIKTNYLCLSKAAANLSTRFKPIVAWVEFTQGMWTFVSEGWIVQYVTDAGAEVVDATITNRRFNVSDPEDMDNFHAILCTVDVVIDQTYASEPAEYKLSTFLESINVDRDSCFSFVANRSIWRFDKRIGYSKKLDWFDGAISQPQLVLADLIEILFPTGNYTTIYFRNLMKDEGVTEVVPEMCSRSISTPMEPTILPCQ >OB03G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:849232:852613:-1 gene:OB03G11550 transcript:OB03G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNHPEWASSSRPTSGTGPAKPTGPPCTTCYVCCVGETEPKPSPHRCGCACVRRGFSLSRRRSPPFSTAHPDRTPRTTRASPPPPPRREGDVVAAMDPLELDGDSQKSNNKPKYSKFTQQELPACKPLLTPGIVVAAFLLIGIIFVPIGLASLSASQEIVELVDRYDTNCVPMSDKVGFIQNTKTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTVDGAPIVPCGLIAWSMFNDTYKISVNKKAIEVNKKDIAWKSDKTSKFGSDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQVTVIIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLTIGVLCIFLAVGFVVLLYMVKPRTLGDPSYLSWNRDTPDHPN >OB03G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:854650:854910:-1 gene:OB03G11560 transcript:OB03G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSASAEERHRIERVARFVARDRDGDMAEALLLRLLKITRNGRRWGFLDPDHPLHPYYLQQKVSEQCRILRPRPVGAAAGGGR >OB03G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:855335:858596:-1 gene:OB03G11570 transcript:OB03G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRSTALCQFCLKFCDAFCAVALNVLAFGMEGIGIGRRVSAHTSLKSLDLFVHSVVIPSNSAILASGGFSPAKLRAMLLGLEKNQHSVEDTSPEANDSGELDDRRSMECSTSTELSSNSGHRSRNRAQDDDSFDSESSSSAPTTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTANRTGRVGGAAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGALVKNSVVSVALAHSNSFTPAQSASIIDEPAPAVRSVSMRDTGTEMTPIASQEPSRTGTPIIASSPISSRTPTPQRNAEVSIGDFGSSKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSATTFAKIRTDKSVEIDRQTRAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRIEAKIEIKRAREQDRLSSKLAAARHRAEAKREAAEARKNQEAARTEEQAAQIRKTGHLPSSISCWCWCL >OB03G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:865588:869439:-1 gene:OB03G11580 transcript:OB03G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATALAPSWWDDVNNSPLWQDRTFFALAVLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFLNFIVNGVRSIVFVLRRNVQLVQPEIFQHVLIDFPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYAIQIVLWLVMWWKPVHEMAILSKMFFAATSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFSGFLIRCVMMCFNAFDKEADLDVLNHPILNFFYYLLVEIIPSTLVLFILRKLPPKRGITQYHPIH >OB03G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:872795:874001:-1 gene:OB03G11590 transcript:OB03G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFYPPGGHRHGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSRPLSLQTVELKVRMCCSGCERVVKHALMKLRGVDSVEVELEMEKVTVTGYVERQRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGHLPEPHRGADPVSNMFNDDDVNACSIM >OB03G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:880775:884802:1 gene:OB03G11600 transcript:OB03G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYSGDRGLSRPSQPHAAFPWPSAAPFTVNRNCGAEHFPNHPQSPKPPSPWPGFPVAPSYCSSSVDPMASYMDSFTSPRGLILAILITCRKIMLDLTVYRHYDDRNSSANGTPSAHQLSYSVLENNGTSGSANCSAFKLPSEHKKSELPMNRGLEALSHRHLLVQEDLKIHSENVTSRCKYRAELIKSIYNSSVALLSTCNGDYDLGESHRELIQSAIQNLSSLSLKRSKGQLNDDHVNINYLQSKLEKMNHDGNTCQAEKFIEPVPSSISMDFKTSILQNLSTEENKSGSTKDAQVLAYKDLWIEAEASMCNLKYELQLALELALKCHSQQTGAAPTVPLDDQASSLSKSKSSLYAEVFDYPSKQQNHVKENIICSTTFLPEEGDTDEGQSPKVNRNIANEIEAGYSIHSLCEGSDEHQQETSKNNKADGFDNTDAVSVGTLKSSDDSMNSVVVETIKERVESSKTNVDSNAPVYELIKNILGVDIMNQTALGARKDFVFRNGNIRSLNDNINQCQAESNETCQLDDGVMDRLQDLKVHTDNNSSILMGNQKIIQIIGYGTLREHMRSWLYQTTNKLNIILNGLKEIYHLSIHKLPRSGKDL >OB03G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:895840:896772:1 gene:OB03G11610 transcript:OB03G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITPIVRPSAAAAAGVGGGEHESSRGLPAEAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSASNEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDVVAALAPPTHRFQFPSSIPFAPWTHHHGHGSAGAGSRFLLPPSTSIYDHHHRRHSHAAVGYDGDAAATDSRQGLFYRPLQPHQQHQHQHHPAVVLETVPVRIAAGHAEPSATPSKRVRLFGVNLDCANSEEDAGVGKTPLQLPSPPSSSSSSSGKARCSLNLDL >OB03G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:896252:896944:-1 gene:OB03G11620 transcript:OB03G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYIRNTKTRYLLVVPPPPAAAAFVYFSGLSSTAIPFVSVVAELKRKVADRVWPPPFTSQDSRSTSLSRRKMTTMTAATAAATGSSRRRRPLRNSRSRGSPRTGVLASTGSPTAPRAPPLCAPVPFPAPPRDDADADAAGVAATAGRTAPACCPWPPRPRRNRRRRGSVGGGGGRRWMWREGGETWSRRRLIRVRGGASRERRVWRRGTGSGAWAARARRRRRGGGASR >OB03G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:917413:921739:-1 gene:OB03G11630 transcript:OB03G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LJD7] MEAARGGGMRLRLRAAVLSVAVMAMAMAMATRSQAQLQVGFYDTLCPAAEIIVQEEVSKVVSANPGMAAGLVRLHFHDCFVRGCDASVLLDSTAGNQAEKDAPPNTSLRGFDVIDKAKARLEQACFGVVSCADVLAFAARDALALVGGNSYQVPAGRRDGNLSVAQETNGNLPPPAANGAGERGEAEPDVRRQGADAGGHGGALRGAHHRGVALQLLQQPALLLRPQRRPGPQHGPQLRGGADAAVPAAAAGAVGDGAPGRRHSQRVRHQLLRRHRRQPRPAQFRPGPPRRPDHRLAGRRLHQ >OB03G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:926381:928413:-1 gene:OB03G11640 transcript:OB03G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LJD8] MELAAAAVGAVVVALISLCAGAAKAQLQVGFYDQSCPQAEVIVRDEVGKAVSANPGLAAGLVRMHFHDCFVKGCDASVLLDSAANSTTAAEKDAPPNKSLRGFEVVDSAKQRLESACKGVVSCADVLAFAARDSVVLTGGSPYRVPAGRRDGNTSVASDAMASLPPPTADVPTLTRMFAKYGLSQEEMVTLSGAHTIGVTHCSSFSSRLYGYNSSTGQDPALNAAMASRLARVCPQGSGNTVAMDDGSENTFDTSYYQNLLAGRGVLASDQTLTADNATAALVAQNAYNMYLFATRFGQAMVKMGAIQVLTGSNGQIRTNCRVAN >OB03G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:930430:932694:-1 gene:OB03G11650 transcript:OB03G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLLPVTTNQFGGFVPSPASSSAAASSSSPVDEWLKRFDEAERLGGGGGGRIAERESVSPSLLPHELQRRTAEIRRKVAILETRLDMMQEYLSQLPNKQRINLRELNKLAAKLSTLSSKVKEVGAPFTMKRSSNRNELLGPDDNHAKVDVSSIPEMGNREIIELQKKQDDELDKLEESIVSTKHIALAINEELDLHTRLIDDLAERTDEKSNQLQRAEKRLKSVTTRMRKSGSCTSLLLAVITRFSLPRKPLKPRYRASTDHLW >OB03G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:933895:934932:-1 gene:OB03G11660 transcript:OB03G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LJE0] GCRVWRFPCQRRVVAASRLLRGDVPAGGDHRAPGGRQGALRQRRPRRRPRPDALPRLLRQGTSLRLRQFTIFMRLVIHAWMLLSLLQGCDGSVLLESTPDSAAERDSPINNPSLRGFEVIDSAKVRLEAACPGVVSCADVLAYAARDSVALTGGPRYDVPGGRRDGTVSLESEVADNIPAPTFTLDELTRSFAAKGLTQEEMVTLSGAHTIGRAHCTSFSDRLYNFSAMGAADPSVAPAFLSQLRRACPAGPDGAVDANLAVPMEPRTPNGFDALYYWAVLRNRGLFTSDQALLSSPPTAAQVRQSAYGGYPWKLKFAAAMVKMGQVEVLTGSSGEIRTKCAAVN >OB03G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:937516:939704:1 gene:OB03G11670 transcript:OB03G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nascent polypeptide-associated complex subunit alpha-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G49470) TAIR;Acc:AT3G49470] MVSEQTPAAATTVAEVDSGAAPPVKPDEKEEDDVPVVEDAKDDDDEEDEDDDEDEDDDGEQGAGGNEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMAKPDAAAAAPADEEEEVDETGIEPRDIDLVMTQATVSRAKAVKALKAHDGDIVSAIMELTA >OB03G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:937981:949551:-1 gene:OB03G11680 transcript:OB03G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) TAIR;Acc:AT1G01040] MAGEGGTGEHAAAAYWYDACEEGVSLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPPPLPEPVSVALLELPIQDRQVHVASAPVANNAVVVMDVVQRSNGVEARKEPRRESRGCATNGGGGGGGGGGXXXXXXXXXXGGRHQEWRRRPMLPPPSRGWEDRRGRRDFDRVRKRDRDEHHRREARGFWERDRGGKMVYRSGTWEQESDREAKRARTQDGGSMEKKGEADRTGFSQREKPVAEEQARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQQAEVIRDRTGYRVGHYCGEMGQDFWDARKWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTLKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLETKLDSVVCTIKDRKELEKHVPMPLEVLVQYDKAATLWSLHEQIKQMEGTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLQKVVDLLHCHLTEGAAMKSETNGVEIQNTEKHNTNELEEGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVFSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKYEKPGGSAEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKAEQNDEGEPLPGTSRHREFYPEGVADILRGEWILSGRGGYQSSQFIKLYMYSVNCVNVGTCKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGQIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFYDCQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSEAREGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESRESESSIFDDESTGCELQKDSDDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPQDIPPPKPYNIPESIMKSINFDTLEGVLDIKFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGIAQNPQKKMAQKLAARNALVVLKEKETAAKKDEKDGEKKNGSQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNREYPDKPDGKQS >OB03G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:949853:950089:-1 gene:OB03G11690 transcript:OB03G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLLRHLFRASPPPLLPPPQIRARTHDTREREKGSGGRGIGGEREGERVKRKSSVDTPSNRIESCRTPSRPPISESGTE >OB03G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:954997:961173:1 gene:OB03G11700 transcript:OB03G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61960) TAIR;Acc:AT3G61960] MAAAAERKEKRTVDPPRVVGEYKLLEELGVGSFAKVYLATHIRTGDVVAVKEIDPRRIDERVRGGILQEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPEATARDFMRQLAEGLKILRGRSIVHRDLKPQNLLLSTNGDLITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSIGVILFQLVTGKLPFHGTNIFKLRQNIHESNGVKFPPEIKDGLHPDFIDLCSGLLRLDPKKRISFEEFFNHKFLSTAGSSLDSGGSVQRKRETSSEPHHPADVLKDTSQIISSDVVKVKSERVDSRILKAFDSWEWIEREYVLVHANSTSTEMLSSLEKSMKDGTGTKPASYDRSTVKRSWNQNRDSVSRMVAIKSNGCTPLSTSCESTTAENLLSPPYCYTRLQVLNQYIVVLTELAEEKLYKGLDLEALSVELIILAIWNEALNACSLSMDAAHDGKILTQGYVNLPPKNDNRPSRNVVQGLDFTRLVSVCSWAESGFIKACDRAEKISHKLRDNNDNTEMPDAVEIIFQTALIYGTAGAAKELLGYQNRSAALYSKAIILLTFVMQEATNLPLNPPFSLSASNQQRIHGYIANLRSHLCSAQLTGQQEKSIHN >OB03G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:971926:972804:1 gene:OB03G11710 transcript:OB03G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWAEQPAGAAQMPFLALLQGAMEADGGGDGRKRPAAFASCPCPPVADIDLLESCVTQAAAPPVAAPATRAERRRKRPRPRPRAAPPPEKRKKPEEAESQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAVAEQRGVGMVATAATAASDGVFVSPQYTRLVRAVAAMEDLRLTVLHLAVTSVGHDAVVYCFNLKVNNFTTLSDFVESNSTFIQCSFSSFKLHAILP >OB03G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:979056:982120:-1 gene:OB03G11720 transcript:OB03G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMATAPLSLHGLPSPTATKLSSSFLGSPASSFLPPPPLAATATPSRRTLAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIDKGSKEPQREKVGKVTADQVRAIAQEKLPDLNCKNIDSAMRIIAGTAANMGIEVDPPILEKKEKVLL >OB03G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:982663:986424:-1 gene:OB03G11730 transcript:OB03G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLISTADHDTLPGSYVRPEAQRPRLAEVVADASIPVVDLADPDRAHLVSQVGAACRSHGFFQVLNHGVPVELILSGGAVAHEFFRLPAEEMAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLDRYLPDWPSNPTSFREIVSTYCKEVRELGFRLYGAISESLDLEHDYIRNVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVAGLQVLNEGKWIAVNPQPNALVINIGDQLQALSNGRYKSVWHRAVVNSDKARMSVASFLCPCNDVLIGPAQKLITDGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTQPTTPSDHSISNS >OB03G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:993564:996740:-1 gene:OB03G11740 transcript:OB03G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLLQVFVPFVGFSKNLSSWRLAMGQNNNITEIKHVQLEYDIFFLLEYQNKESTQKTIERYRTYTKDNIGNKSVQQDIEQVKADAEGLAKKLEALEAYKRKLLGEKLEECSIEELHSLEVKLERSLISIRGRKTRLMEEQVAKLREKEIKLRKDNEDLREKCKNQPAATVAPAPLTEAANPDLNNNDDDDDMDVETELFIGLPGRGRSSGAAADAQAEPHS >OB03G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1007745:1007996:1 gene:OB03G11750 transcript:OB03G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTISVLTISVVSNLLRLFLSILLFTVNYILFYPIIVYLHYTLTYKFLHDQFSQSLGPKSIHQPNSRSIDVGLPLFLALPCQL >OB03G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1014033:1015689:-1 gene:OB03G11760 transcript:OB03G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASCRLNCHGFGKQINCETGKIKVVKIYATHYCHSGRQYDEYTVGSRPISREPPNLSKALQLKRHSLLPQLHKWIKENYEILHLDLSCCLEGTLSRLALYPKYVMHLCGSQKAIICT >OB03G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1031972:1035197:1 gene:OB03G11770 transcript:OB03G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETMADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPPIV >OB03G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1036055:1044883:1 gene:OB03G11780 transcript:OB03G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDQTPRTKQASTGKGKKRKKPKRDKWGQPIPAAGDRPAVEPEPEPEPLEVLVPAPAAAAGEEEEEGEDEAGIYETGKVVASGLPYTTTEAEIREIFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEVAMKSLELDGFKIGNRFMRVERCRLAAGSKRKKTTEFQTDPKKADGCLSAYVGNLKWDVTETDLRDFFKSLKIASIRFKAEVPRSAKRALFSDEGDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGADDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHHMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISKLAGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNQHSVHPVLKYSEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >OB03G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1053753:1058472:1 gene:OB03G11790 transcript:OB03G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEEDSQMLEAPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >OB03G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1057448:1063191:-1 gene:OB03G11800 transcript:OB03G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHTDLMSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCMSDMVYCSVCACMQTQHKIEMDKRDGKFGPRPMAVPPMQQMSRIDQPIPPPVGYAPQQPAYGQPYGGYPPAQGYPPAGYPQAQGSAYPPPGSYPPQGGGYYGK >OB03G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1068285:1071089:1 gene:OB03G11810 transcript:OB03G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLQLPLVHNERLWARPWRWAKTVFFLVSMLASLLLVCAPPLLVVVLDLLLPPALLSNFHRAAIHPASLLDQARAFHFGSSAAAHRSSPCPPSPPPAPSSSSARTRRAAVARPTCGWPWRAASAPSATSSPRPPWCSARRTTPPAWGCRARGSSSPWRPCSSCRSRSPPPTSPWPTAPAAASAGACSSTESTSKL >OB03G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1082604:1083053:1 gene:OB03G11820 transcript:OB03G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADAAASPVVTALMPPMDAAAPDLFGLQAGMELLGVRGLAMMPGATTGKVAALVDAGGGDDDDDGSTMRFLSEQHHHHHQQQPSQAPLSLSLRHPEGALHPGGAARQQLAAPVAPWMHQDYPSPSGQGAGEPRIS >OB03G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1083106:1085703:1 gene:OB03G11830 transcript:OB03G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGLPVAVGARRGGTADLLVHPPGGAARQQLAAPVAPWMHQDYPSPSVQGAWNLRSSRFLLPAQQLLQQFCNLPVENTKRSTNAKSATTALQAQQDDGVLGDGSSSSSTSWTPSPQIQAMEPLELQRLRDKLYVMLEEVDRRYRRYCEQMKAVAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQCLRQHKAYQAGMLESHPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVRTLAACLLIFT >OB03G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1086421:1090101:1 gene:OB03G11840 transcript:OB03G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDKDGGSGGQGSLNPRPSCSHASEQLVVGDGDAGGEQKPTRAQLVRHDAGSLASVVNVVDGAARAGGGARLHQVESFGIMDHLDFDAYDDGQHQQHGGFGASGGVSLTLGLQQHGSHDGGVNIAFGAPPHMGGAGFLYPGEHLVDAVHPVHGHYGGATMDGGDTTSHAAQERYRSLSAGFHLLRDLAG >OB03G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1093090:1094750:1 gene:OB03G11850 transcript:OB03G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGDRHHHKDAIVMHAAGKVPKCKASFFLYGLLLYFLLPLLALYVVALAVSPFYSGGSCTPESRANAVFARLAAGAEDDHKEHNSSSVAVARRRRPMPSADEAPTGLRHIVFGIGASSALWKRRREYIRTWWRPGQMRGFVWLDRPVYEFYSRNASTGLPAIKISGNTSSFPACGGGGFAVSRALAAQLARMQDGCMHRYPALYGSDDRIHACMAELGVPLTRHLGFHQCDLWGDVLGLLAAHPVAPLVTLHHLDFLQRVFPTTRSRTAALRRLFEGPARLDPAGVAQQSVCYDGDKQWTVSVSWGFAVVVTRGVLSPREMEMPMRTFLNWHRRADYTAYAFNTRPVARQPCQTPQVYYMRRSRLDRRRRNTIVTEYDRRRAAPAKCGWRIPDPAALLDRVVVLKKPDPDLWKRSPRRNCCRVVSSPEQGKDRKMTIDVGVCRDGEFARI >OB03G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1096350:1098881:1 gene:OB03G11860 transcript:OB03G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLVALLFLPPTAAFTPSFSYFLACGAASNVSSPPLTFVPDAPFLSPAAGVPAVTTSASNAASPLYAAARASGSGFSYRFSDHPDVSGTPNAVRVLRLHFFPFAASNLLSARFSVSVRDAYTVLSSFSPPGDGVVKEYFVPADGSGEFRVAFTPDAGSTAFVSAIELFAAPPELLWRFSVTPVGALGNADISPWSQHALETVYRLNVGGSKVPTANDTLWRTWLPDDAFLLPNSDRLSVVNKTSSPIVYNPSSGFTREVAPDSVYSTQRAMNMIGQEINVTPGPFNLTWTFALPAPEPGSAGADYLVRLHWCDYSVVSSVPGSAVVFNAYIAQALATKDVLSQHLANQPNEAFYLDYAAMAPAAGNLTVSIGTTKPQSEGGMLNGLEIMKLRRADSSSAGSHVRRKKILIGTLSAALGVAVLACALLCLLAALRRRRQAAQPAPEEKESTQLPWSQHTQDGSSWLDMSNPSAAGTTGRLQRMSMQLDISLAEITAATDNFHERNLIGVGGFGNVYRGVLRDGTRVAVKRATRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEQSEMILVYEFMEKGTLRSHLYGSEEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDGFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQSLERDQINLAEWAVSLQQKGQLDKIADPRIAGQVNVNSLRKFAETAEKCLADYGLDRPSMGDVLWNLEYCLQLQETHVKRDAFEDSGAVATQFPADVVVPRWVPSSTTFLMDDADESMTDTGVANSKAFSQLSAGDGR >OB03G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1099885:1102048:1 gene:OB03G11870 transcript:OB03G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFYYCFDFDYGSNLQPVITHYCPDQVPALASARFHVGAAGFLLLHNFSASAAVVKEFILPVHSDVLVLTFVPESGSSAFINAIELVSAPEELVGDIGTLVTRDGTDRTKGLSSQVYETLYRINVAGRKVTPFNDTLWRTWVNDERFLVKTESSNSKVWSFGGRIAYPKDSRLMSREVAPDNVYNSARSVSSQGKVTWGFPVPASSRYLVRMHFCDIVSKALNGLYFDIYVNGQLAVKDFDISSATGFFLAYPYYIDFVVDVEDKGTLKLAIGGSKNSRSDEVSGILNAVEIMRMNKTSGGIDGDFAVALDMEHVASKGIGEFARSLLCGFIFAGLLLILLMLVVRLRTELRNNGAAWSWHPMDSGDGKLARAYQLVSTKTDY >OB03G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1106243:1106494:-1 gene:OB03G11880 transcript:OB03G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMKHRFMLSSCHIAPILIEARQHGSACNIQIMGWSFVMQLGKQGFGLSTAIIKLQFKDQEPGPGKTGFETLKHGTTVCVNT >OB03G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1107933:1109975:1 gene:OB03G11890 transcript:OB03G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHYHHPLLSIHDVIAATSSAKSSIGLLLPDPRGLSPVMTDDAILARKDGLVIRALEDSNHNAGKRKPFQSPLSHSNKKQSNKKTQNSDRNRREMAGVTWTFHRTVQGEDRRRPAPYICAVSSSLACTLTTQTTRTLMELGSLQHLGDSFSYRWLKYAAQAPSFKRLVDDDVGGSSRYFIDMDPADLFSMRWTGSDFDFDLPGGGGDGASPIPLLASASQIFHDGRLLPHELDYGGFEVQEDGDVVGESSAPRVADLLSEPLLSVSSPPFHSAQSTPASLSSSSSARSGASKNATTTMPPLLAGRRGGGGGASSPGKILLRYLRFLMPLYRKVRALPQRSPRTTKVSPASPASARASTSSIEWCHGIADTAVHDAILYCKKSSGQNI >OB03G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1116814:1117546:1 gene:OB03G11900 transcript:OB03G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHGGTSALPCRDGHGSAASRRHMPRRGQIKARIVSAAVHSVASVLLKALHGGHILSAPCILRRANGGRAESLHGGCRAAGPVFVSFNKPERGMRMRPTPASPSAFLGVSASPIWKSGSELDEDDCTWDPAVRRTPPLAPAGSRLVGGSAPCPHQKPNRP >OB03G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1120184:1122948:1 gene:OB03G11910 transcript:OB03G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRMLHAAAAVCALALALCASSIGASSSSPAAGARAGCRKHVAKITEYGGVGDGKRSNTAAFARAVADLSRRAGDGGAALVVPKGRWLTGPFNLTNHFTLFLDHGAEILASQKLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWDKFHAKELTYTRGYLLELLYSDNIIISNVTFVDSPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDQYGIKFNKPSQHILIRRLTCISPTSAMIALGSEMSGGIQDVRAVDNVAIDTESAVRIKSGVGRGGFVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNALPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICISNVTAQLAPDAKKLQWNCTDVKGVTSDVSPKPCPELGAAGKPCAFPEDELVIGPPELPKCSY >OB03G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1122645:1124081:-1 gene:OB03G11920 transcript:OB03G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein [Source:Projected from Arabidopsis thaliana (AT4G01310) TAIR;Acc:AT4G01310] MAISLRSSENSTVLRKLSLEVPKIEKIVVNCGLGAEAGNSKGLEAAMKDLANITGQWPVKTKAKKSVASFKIREGNAIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSIGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFSENIKSGVLIRKKRLKRHHFMSKGRGRR >OB03G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1125802:1127831:-1 gene:OB03G11930 transcript:OB03G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFNRGLVPGLSFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIADVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGSEELEKEIKRRIKRKETLDTIQ >OB03G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1134280:1138586:1 gene:OB03G11940 transcript:OB03G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63980) TAIR;Acc:AT1G63980] MAAPEAPTCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSANLAIKEAEDDASNSPDSIPKSAKATTEEVTKITRPQGRYKKRERGKSVSGYSAKDLEGILVRKNDESCKLDQEVEPTCCDEPDLTICQDAVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKDTSNIRQTFWEDDQENLYNLVQDKATSGKQGLGIKSLPIKVAGHRWKGNKTSFGDSDEENSAQSNELSELEEDGHEEESAKDVELVDAKMNTVTEVCVDVKHKPKTKVKKLCKTILRQAPSQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKLQGSRKFNIDGKRVQLAS >OB03G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1148064:1152058:1 gene:OB03G11950 transcript:OB03G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQRRLLAEAPPHQAHSSRHQRGAPSSVWSAGYLNGWLSQRTAVFGLRLWVLIGIAVGAAIVLVLVLVFICLSRRRRRRDDLASNLGGSERDAATPRSTASASAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSTMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGDVSPLTWESRMNIILGTAKGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLAEKPSPKVLKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLQLNDKKPGRDAPHTSDRHSSRDGGNYSKRENQRYR >OB03G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1152956:1153357:-1 gene:OB03G11960 transcript:OB03G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSACWLLAAAAEEESSRELNTSSRLDQVQGESGRLAPAPSIAGLVPCGAAARRRLAHRTSPQRRRPHRLVRSIKLYRLPCGYRSRPFPASSGAHEVATGRRSPQSYVDATPLYRRLIFPLLFILINLNF >OB03G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1154181:1157457:-1 gene:OB03G11970 transcript:OB03G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Ubiquitin ligase, Det1/DDB1-complexing (In /.../:IPR018276); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41560) TAIR;Acc:AT5G41560] MGSSLGSWPSYNPQNFSQVVPADPSAQPLNIVPATYIATHRTDPPPGQVITTDPKNILLRHFYEKSEEELRQKRAAPDNLTPQNNGKQPRGPVADAGGRS >OB03G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1160493:1162139:1 gene:OB03G11980 transcript:OB03G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFPDASNRSREESSTNMITQAVYCLTPMSRILIGDTDNTGTHRAINLSPFVVAVTMHYQVNAAMHLAKWFGDETTPTRLEEKAPETPFIMANGTDVYGITSRDAKFSEIFNDGLGSDSMFAMALAVRECPEVFTGIGSSVDVGGGNGTAAKAIAEAFPHIECSVLDLPHVIDGMLADETVVFVAGDMMEYIPPVEAVLLNNVLHNWSDETCVKILSVCKKAICSRKTPGKVIIVNIVLRPPSDKMYEAQLLYDMAMVVFTTGKERNEDEWQKIFTEQDSTTTRYCQF >OB03G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1170358:1175884:1 gene:OB03G11990 transcript:OB03G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT5G52790) TAIR;Acc:AT5G52790] MSQHAVCCGPMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRILLIVFYPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGSPSNIIGLILVKNLITCLPEDEVPIRNVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVRRIKEAGASIEKTNSDRSDYKINSGRSDYKINPKDSHADGLSPSPVSIAGSRRHNIEKNGDVRIYKKSEKRRDNILDFNSGPLPSYSLDEEAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPTISPSNGGHQSQGLRRTPMASPLSPYLHGGSILRSPASNHAQSPGTLPTIVSPGRSPASQTPSRSPPNSNSGLNS >OB03G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1178095:1179914:1 gene:OB03G12000 transcript:OB03G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASSEAAAAGMWVPGMSPQGVDAGSARAQEIARRREEMLGMLRDLPESEYELSLTDLVEETGGAVAPASPSPSEGKEQPGPGETGRTSSGRQQQPPPPAAGRPERRGSARRRDSGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRGGRRQSPAAGAPVSAPIATRGRETARPSGAGRCCGIGGGASRAGETTPASRPSGRRSSRRQSTTLLPQRKSEVLLLASICFAL >OB03G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1179213:1184689:-1 gene:OB03G12010 transcript:OB03G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCCVRVEGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVSSLHDGVALAQETQRSGKAINTLESWIKVSNDKEFQ >OB03G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1189342:1193833:-1 gene:OB03G12020 transcript:OB03G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRYTANGKAKRPSIVFPSAARRMDTINDLLAASDLVSLHCTLTNDTVHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAVTILQSFFFDGAVPSSAISDEDEGISEAGNEDDQLEEKVSSSQAFDLEQQTDESQLKMEYEKRRAISQHKEPQTSGRSQHIVSRSEGRHSRSGKKGKKRPARRRSQQKTDELSTVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAKSPMEITSEKKLPAVPRRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNEDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGVTRWLD >OB03G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1195098:1201942:-1 gene:OB03G12030 transcript:OB03G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWPCRVFKCYYHPGSSIFSRVESLIRMNLACRMIRPNVWLAYKVNYEIPRGFMALGFAFVICALTTDDHTSLEQQEQAPKEEEEYTLLAVTGSDFNEVIMIIDSPATRYLLLDTNRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGMSDLEKATELGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLQISKKLMPDGRIIVNCGGADAAVSLADDTGRSSWVQNPTIKALCTAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWSTSVPSELSTKVKQWVPCELA >OB03G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1202537:1203403:-1 gene:OB03G12040 transcript:OB03G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTRRGMAEGLPGEKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKTKLLPRQGSFLVNMRGYFRHSPPSY >OB03G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1207088:1209248:1 gene:OB03G12050 transcript:OB03G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKVVSALVGIDTISMDMASRKMTVVGTVDPVDVVSKLRKASWAAYIESLGPAKEPEKKKEGGDGKKDGGDGKKEGGDGKKEGDGKKEGDGKKGEEAAAKKDDGGGEKKAAAECDSRGAGEPDDPDVSSPMTTASLPTLED >OB03G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1211604:1215968:-1 gene:OB03G12060 transcript:OB03G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MADAGAAGKRTTRVGDYELGKTIGEGSFAKVKIARHTRTGEAFAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEFVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDSNLMTLYKLICKAKFCCPQWFSSGAKKLIKRIIDPNPQTRITIAEILEDDWFKQDYKPPLFEQGEDVSLHDVDAAFDGSEENLVAERREKPESMNAFALISRSQGFNLGNLFEKGSMGSAKRETSFTSQCTPQEIVSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHSFYKNFSSELKDIVWKSESDTNATKKR >OB03G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1220651:1220812:1 gene:OB03G12070 transcript:OB03G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLASRWSLCFPSCGCDRRERKKKLFLSRVYVDYAAILRCLVSKFFSKNTTLNF >OB03G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1221479:1221973:-1 gene:OB03G12080 transcript:OB03G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVVCSRNGRLRRWGKRAITTSPDNPARMPPRLRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATGVAGAAVLVWWAVVFHQAHEQLWMVPVGLVLLGTPLVAWLSLFASGACRRLGSLRPAPDQGPPPSAAQG >OB03G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1225361:1226901:-1 gene:OB03G12090 transcript:OB03G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGYGWALAAGLNAALAAISAKFFAPPLVKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFASNFISSGLAGYFLFEEPLPSKCDNEDKLDDFGPVRKERV >OB03G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1229019:1231287:-1 gene:OB03G12100 transcript:OB03G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARSMVETWDANYSLHERNIMSAAAAFVDPSAAYAAAAQVRRQQSAKSGRFKQEAEQLDGAALPPNPSQLATAAEDDDEGDNNGRRASKKPRGTGAKVTTDWRALDKFVASQLSPVEAAPEAPAAAAAAGVGSQLDNGEDDMAALLFLNSDERDEVDRWTGLLGSAGGDGDLGICVFDK >OB03G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1232722:1232940:-1 gene:OB03G12110 transcript:OB03G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQVAFLASSSPLFAGLIDLAERASQLLLRPHVCILHLLLQAPPLPSSFFFYMPPRHAGMQQARAHPPGKC >OB03G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1236202:1236408:1 gene:OB03G12120 transcript:OB03G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRSKHRRPWLVDRYRLGDRIQELSSPPSKEKHELVCMFSLDLSVGKKLGCVSGRINSMRRRGRGDRR >OB03G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1262688:1264738:1 gene:OB03G12130 transcript:OB03G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIGKFNFTATAAAAAANGAGLGPNRFSLEFGSGEFTTVEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQSEATKLSTQVTILQRDSAGLATQNNELKFRLQAMEQQAHLRDALNEALTTEVQRLKLATAELGDTCSSSGLVQQIQLNTQNQMFQLQQPQQAIPFYQLQQTQQNGAAKNNEANE >OB03G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1265257:1270369:-1 gene:OB03G12140 transcript:OB03G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G45460) TAIR;Acc:AT2G45460] MAAPHPSSELLVTPKTATKDEASCNAATPTKPTPVSPGEMRAVARKFADQPVQNPEDGVWAVLTAISKNARLRPEGMNILLSADEHYLGRIVQEASFRISCPQISAKHCKIYRDTVLGELNRNEPVPVFLKDTSSNGTFINWTKLKKTSPPTKLNHGDIISLVSAPHDNTSYAFVYREVNAVSCTDNEVTILKRKSDEIRSESKRLKGLGIGSLDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEVQHEKELKELKEIISSSYVDQAKSLQQTLEDKQKQIDSLSTSNTELQNSIKDLDERLDAYKQSRAEADEIIQSQKSNICELEAQLSEERNLRREERDKAAEDLKSALHKANAEAQEEINRQAEAYLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSSNNQKKAEKLETELRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATVTADNARVRVDYSKNTMEASGASTENAQASEQSSSGDDSKETEQQDDFTRVEGANTQECNSPETPTERFRSDSHGDHAATAPELEPTDTEQVPETESQAGNIGCRDQNSALQRFSDMGGDTMQLDDEMQPQENDETTLICNDGGQSQRNEEPSLALKGGIGHCSEQKHEVGCSENKHEDIQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGEATDHAGEQDENVRGVTAVDASVNSDGQAAGSQSNIDNVITKITDHHRILNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEAEDTSSDSEEVMVEDSVG >OB03G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1272422:1278362:1 gene:OB03G12150 transcript:OB03G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIVFIHGNALWSLSGGIPSSFSALSGTLHKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGEIPASLFDPCLRLRYVSLAHNALTGPVPPGITNCSRLAGFDFSYNRLSGELPDQLCAPPEMNYISVRSNSLSGAIAGKLNACRSIDLLDVGSNHFSGAAPFGLLGLVNITYFNVSSNAFDGEIPNIATCGSRFSYFDASGNRLTGPVPESVASCRSLRVLDLGANALAGDIPPSIGALRSLSMLRLAGNAGIAGSIPAELGGMEMLVTLDLAGLALAGGIPGTLSQCQFLLELNLSGNQLEGAIPDTFNNLTYLKILDLHKNRLVGGIPVTLAQLTNLDLLDLSQNQLTGPIPSELGNLSNLTHFNVSFNGLSGRIPASPVLQGFGRSAFMGNPLLCGPPLNNLCGASRRAKRLAVSVIIVIVAAALILIGVCTVCAMNIKAYMRRSKEEQEGKEEDEVLVSESTPMLASPGTQGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSHHTFSGNSTGVGLSWQQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKEYEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVDSPGVATAVVLRDYVRVILEDGTVSDCFDRSMRGFVEAELVQVLKLGLVCTSNTPSGRPNMAEVVQYLETVRTYS >OB03G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1275545:1278558:1 gene:OB03G12160 transcript:OB03G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEMSEFNPREERVKQQISVPFLWEVKPGAPKKDWAISNPVPSAISCPSPAKLVVSVPFQWEEKPGKPLQDSSPFHPLFDHAGFFVSPSSLNPFLAESEEEYSLGFDLEAFGFPDSKEASGTAECPGGSSRHGTWYSFSETEAYSNSSGDTSAQDFHFPRVPSEKSWEVANDNEQLKNPWSPPKSKFTLEELMMLSRKLGCGQGMPVDVRQKSLSLSSTPTTFTVKESQGYFI >OB03G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1279979:1287839:-1 gene:OB03G12170 transcript:OB03G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) TAIR;Acc:AT5G51710] MFGRVLDKEFSDSDNQETPDKSSFNNSISDHQAVLETVAVITHDKKKNESEKANSSKSFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIALFMFLCGLTAVLCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGMMSMAKLLLVLSIFIAVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVVIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNELPLQDKATMLEAYNRSL >OB03G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1289734:1291502:1 gene:OB03G12180 transcript:OB03G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRPVESSGSTSVGPHTPLSVGQGGISWRESTKAHLQYTVLKDNMQPRDSTVLN >OB03G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1292170:1293525:1 gene:OB03G12190 transcript:OB03G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLRLVAVVLLLACVVLPARGLNITAMLNGYPDYKQFNKYLSETKVCDEINAREAITLLVLGDGPMSTLILDADQSLAGIKNALRLHAILDYFDPKKIRGLPDADTLTDTLYQAGGDAAGKMGNVKITTTDSGKIAFASANPGGKYDATLVKTIKQMPYKLAIMEISAPIEFDGLFDTPSATNLTRLLEKAGCKRFAALITSTGVLKTYESAMDKGLTLFAPNDDAFDAKGAPDVKKMPSADLIALLKYHALPSYIPKPSLKTVSRAMRTLASTASGNYNITVDTQGDAVTLKTGVDKSRVAATVIDNTPVCVLTVDNLLMPVELFGDAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRLRRRRHRRTRRRSRRTTSK >OB03G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1295111:1315220:-1 gene:OB03G12200 transcript:OB03G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGSRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEGTNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLTGRFHKSDAREIQSFYQHYYKKYIQALQNVSDQADRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPETDNVSNQREHLILLLANVHIRRNPKTDLQSKLDDNALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSTLTGEYVKPAYGGEKEAFLKKVVTPIYLTVAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRSNADFFCQHLNSPDQINETTRIQKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAVLNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGVIRAIKSWFGNGQNHPSLFVLAVVIYLSPSMLAAVLFLLPFLRRILESSDYKLVRFIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLIKFAFSYYVEIKPLIEPTKDIMKLPIHTFQWHEFFPKEKGNIGVVVALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPVAFNACLIPAEESDAKRKKGLKSYLHNRFERKHTDKEKIAARFAQMWNEIVTSFREEDLINDREKELLLVPYVADQALGVMQWPPFLLASKIPIAVDMAKDSNRKDRDLKKRLDNDYYFKCAIEECYESFKNIIKDLVQGEPEKRVINTIFAEVEKCIAEDKVITDLNMHALPDLYKKFVELVKYLVKNDKDDRDAVIKIFQDMLEVVTRDIMEDQLPSFLESSHGGAYQRPEGTMTWDQEYQLFQPTGAIKFPLPFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVGWDEQLKENEDMKEEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKYEDLMEGYKAVESVSDEQWKLQRSLLAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVGEKKMEPAYYSTLVKVALTKDSESTGPVQNLDQVIYRIKLPGPALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGSGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLTLSGLEEGLSTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELDHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGSNKSILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFMIFVSFTAILIVLIVILHMTIQDIFVCFLAFLPTGWGILLIAQACKPLVRRTGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKDREREKDREQSSQNKD >OB03G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1321940:1322954:-1 gene:OB03G12210 transcript:OB03G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPTPAPAPRSEGGGAVSVQHVARASSDELLRKFADPDAHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKAGLLLPTTTASARKAAASHSRRGARLDDAAGIGILLSALERTWRKTVAGASKMFVERHRTDHVLLISDMV >OB03G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1324778:1325957:-1 gene:OB03G12220 transcript:OB03G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPHLASTLPPLRTPPRRLLPAVSVVPPRAARVVLRGFRLPDPAARSFLGFQKSVSLQKEHQKQVLFASDRDSTSTGATDDNLSSPDGPPVLTILAGVIVFLLVLWVIGSVITWITGLVFAAAKS >OB03G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1326855:1330540:-1 gene:OB03G12230 transcript:OB03G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) TAIR;Acc:AT2G42120] MERKQAEYSSLDERYAIQGEKYQGQQYSHIYYTRLHHMRNLLHPLVTAWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTGTVVALHGKETSAGNFLVEDVLEAGLPPQHTLPSINEDKYVVFLSGLSVGSDTFNPLQFQLLIDHITGHLGDENDQTIASNIVRVVVAGNSVHISPRFFNGQTVASKDQSRIAEPIKELDIMLTQLVASLPMDIMPGSNDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDGVRFIGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFIGNQDKYETRLLEGMEKQRVRLICIPRFSDSGVAVMLNLRNLECSTLSFSTSLDA >OB03G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1339636:1343678:1 gene:OB03G12240 transcript:OB03G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVSRYADGYVQEDSYLDLKKFDNTWPEVNNFKPTAAGILRRGLDPTSIDVLGRKTPDVREHYILGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKVDVEDVRREIQIMHHLSGHKNVVAIKDVYEDAQAVHIVMELCSGGELFDRIQEKGHYSERKAAELIKIIVSIVSMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQIFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLNGHIDFQSDPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIADRLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEISDIMEAAHNDNNVTIKYEEFIAATLPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNNVGLGWQGMESSLNVALRDAPQVH >OB03G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1346173:1348697:1 gene:OB03G12250 transcript:OB03G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: GPI anchor biosynthetic process; LOCATED IN: integral to membrane, endoplasmic reticulum membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN /.../I biosynthesis protein Pig-F (InterPro:IPR009580); Has 280 Blast hits to 280 proteins in 133 species: Archae - 0; Bacteria - 0; Metazoa - 113; Fungi - 111; Plants - 44; Viruses - 0; Other Eukaryotes - 12 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16040) TAIR;Acc:AT1G16040] MAARVVLGRAWAVGAAVGWLGMLIRPAAHFGWCTGVARVRSVASRESPSGLAGGGRIMGDEATQVSAFSAGVAHALCFAGLAAAHYLSGRGALVSDPAHALRLLVVFEAPLVIVVFSLLRRDPKGCSFLRAAARGLLGLPTGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQLLSHSIYCRPTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGSVVGHLIGMAISLVLVVTHKRRSRPKAD >OB03G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1349183:1352652:-1 gene:OB03G12260 transcript:OB03G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3LJK0] MAGGGGGRELPETPTWAVAVVCAVIVLISVAMEHGLHRIGHWFHKKDKKALAEALEKIKAELMLLGFISLLLTVAQTPITKICIPKSAANIMLPCKAGQDAIKSSKGNKDDRRRLLWLPVEEESHRRSLAGAAGADYCSKFDGKVALMSTSTIHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWELETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIEGLGTLIWISFVPLVILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFGRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKKCFHENMGLSIMKVVVGLFIQCLCSYSTFPLYALVTQMGSNMKKTIFEEQTMKALMNWRKTAREKKKLRDADEFLAQMSGDTTPSRXXXXPPSRGSSPVHLLHKHRVRSEAPASAPTSPGFAGEVRDMYPVAVPVAPVVVQSHAFNRQDPERRRAASSSSIQVDIADSDFSFSAQR >OB03G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1357312:1365482:-1 gene:OB03G12270 transcript:OB03G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) TAIR;Acc:AT2G17510] MLQSKTFVKKTKAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPVIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKAIEEGINAETVESYVRSLAQPGLLDLVVISTSGDVTMEDVEDLRPSKKKVIYSEHKPMSEITAGLRCRIYHQGKLRVNRYNPFEAYVGSESIGDEIVIHGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDQEEDVHLAPNSADDAPRKANPAQSTVSSSADSVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVRTIGDIGDKDTETEVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPSTPLDEEASQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKNDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALDIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTLIYFRTRPMDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQTVTKPGTNVSYAVLQTVMIHMEVVEPQPHRPKLQLTLI >OB03G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1366691:1370207:1 gene:OB03G12280 transcript:OB03G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LJK2] MRVVRSPPFRRAIRGSEPGRPRAMATHAALAASRIPATARLHSRAASKQRVDFADFSGLRPGSCSVSHAAREASFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGRENSPLEVIVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDETISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAASGPLNGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGVAAQGSGDPLEDFCKDNPETDECKVYEN >OB03G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1373178:1374337:-1 gene:OB03G12290 transcript:OB03G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LJK3] MANDTVILTTLNSAWAETGSVVDVFLESFRIGEDTRGLLDHLVIVSLDLAAHRRCEQIHTHCFALATDGVDFSGQKNFMSDGYLRMMWRRIDFLRLVLAKGYSFVFTDTDIVWFRNPLPHLYHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSSAATVELYRFWYAAREKHPGLHDQDVLNLIKRDPYLAQLGVRIRFLSTDLFGGLCEHGRSLSTVCTMHANCCIGLRRKIDDLGLMLQDWRRFMATPETDRHFVTWSVPRNCSMKKLER >OB03G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1379083:1379235:-1 gene:OB03G12300 transcript:OB03G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWPGFVCSFRPRSRQIMLFHSRSYHLHLLGCELLSRTGLLFTEIKKK >OB03G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1379326:1380702:-1 gene:OB03G12310 transcript:OB03G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGIKQLPAGGDHGGRRQCYAAPQYSSPKLKFLGFVVTSGPPSPAAAAAAYCCSDDHRPFQVGHRAAAPTAICTTGGHQLAGASCNDDVAAGSANTVMPSSSADGDQHDDCSLSLSLALDTGCLRGGGGGSTTSSSGSRISLDLSLSTLDS >OB03G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1382724:1384298:-1 gene:OB03G12320 transcript:OB03G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRAAMAAGGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLAMQGTGYRCINRWIRSTRMEVVWKFGLICSSVIMMSVMSPASAATPQSLERSHCFTSN >OB03G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1398515:1398853:-1 gene:OB03G12330 transcript:OB03G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAGVQLGSSKPQIATQAEMAEARLPLSYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMLQMQKIREAQEAKAKGGATIGVPLIPSAAKLS >OB03G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1401859:1402062:-1 gene:OB03G12340 transcript:OB03G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYDYTVRKSDPSSERIPSQNSDPFIFYFTRFFQGYDSTNQWSTEFFNIILRICTTSEFSVLVKMY >OB03G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1416087:1416296:1 gene:OB03G12350 transcript:OB03G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPAACPIAKFATTTVLLLLLLRTYHGHAGLAGQRAPPPPPPPGSSSKQSLPPSPPWSSVRSVPRAV >OB03G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1416640:1418062:1 gene:OB03G12360 transcript:OB03G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTKRPANYVPLSPVGFLPRANAVYGDRTSVVYGRVRFTWSQTYARCRRFASSLLALGVHKNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAKAVAAILRHSEAKLFFVDYQYVRLASDALQLVAGEGRPVPLVAVIDDIDMPTGVRLGELEYEGLVARGDPTVELPQLADEWDAVTLNYTSGTTSEPKGVVYSRRGAYLSTMSLLMSWVVGDEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAADIYRAIARHGVTHLCCAPVVFNILLEGGDAATQLAAPDKAAGVCEDDVLAFCRKHMPRFMVPKKVVVYDVIPRNGNGKVEKNLLREAARKLAPAVPAQKTKVNKTTSTTTVGGGSRGEHPVAHVMAVSRL >OB03G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1422762:1423315:1 gene:OB03G12370 transcript:OB03G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKVLSAMLLMVLLLAATGEMGGPVMVAEARTCESQSHRFNGVCVRSSNCASVCSTEGFTGGPAPGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGGPPAATAGASAAAASAPSRASRIR >OB03G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1428082:1431532:1 gene:OB03G12380 transcript:OB03G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRWNPTATDVWDPVPDAPLPTRGPALQDPTDAETDSWDRMLGSAWTGSMPPSRASARLSLPPPVFFSKPPACSSHLGSSYKYRNGQGGPNPKTSRSRSAPMAAVQRLLRASASGGAAVARRRMSTALAPEQTPSAAAFPFAVAEKARRRPAEERNMQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEEHGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNLACIDVKGENGLPPIYMEPLLPPNNCMSKLITRADDTEEVVRNRLQIYNDMSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >OB03G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1433227:1440654:-1 gene:OB03G12390 transcript:OB03G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G20760) TAIR;Acc:AT1G20760] MAGTEAFEAYFRRADLNQDGRISGQEAVAFFQGASLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPPPKIAGGPATPQMGAAGAPRPQVNAAGTPAPGQFGAVQPQMNAAGAPRPQGGGMLPASTQIASSQQGNAGAVPRPQGVNSMMPAASQGGALQPTQFAAPRGMQSQPPSMRPPQPGAPAASLQVQAPGINQVPLGGGSMGGTAGWQGGHVGTVGGVSQATPGATAPQAAPGGYGFAVSSTMGMAPGQQAQAISSSSMPPQSNSAVPAQDSKALVLSGNGSASGLGTSNDIFSALTQPKSNVSTPSFPTSMAPISSGFMSTPSGSQNLSNLAQFGSQQGTPTVSSGVTQPKQIHPTTRPTVPAPTVPGVSAGVSNSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGAEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTSLPPALPDSLKYDEVLLRATGLPSTAYNGPSWQQNPGLPHKGPGAAGPAAVVRPPLPPHLHGQMDGANRPGQPRSHMPGMDNHAAAQANKDDKSGLNSAAQEVSDAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQYHLEEMEKAFSERCKHFGLQFKPSASVELPSGWEPGKQEGVVEWDEDWDKFEDEGFGLVKDNGTIVENTVPSENVQVPSLWNDMDEMSPVASSNGHIKDGRHYRAGDHAAESELGYDFGEESVRSPNSAGRSASGSPFASSNFAMHDSSPSKKETYSDHGGSESVFGDKYADETSWNFDDQDTESVWGSNAMNNESDHHGSTHNSFFGSDDFGVTPISVGSPGGASVYGRKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGKFDSFRSQESGFFPQESRFSRFDSINSSKGENVTGFDSPKSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >OB03G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1442873:1443508:-1 gene:OB03G12400 transcript:OB03G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTPPCVLLLDLVDVDDVPVVDGEGLCCPDDPLDEVMSCLPAIDTFMEGAGLDCRSPTPAAVDADAGVGGGEAEQVHEDVAAPESRSQRALDAGVNEEFAPIVDIPSSAKKPRGRPAGKSRKRAWSLVPPRLDTADAGSSHREVPGGGKQPGLAKRRRKCNGEGKTCGHCNTTETPQWRTGPEGPGTFCNACGLRYRLNNLLLTARRPA >OB03G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1446532:1450141:-1 gene:OB03G12410 transcript:OB03G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLDFSHASTSRKWSSHKRQGDGTVIHGFEAPRNSMEFTLEAPQSYGFFQEDVPYSCNMRQQYPKAGLNHSSSPIKRLIHDDISFRTNEVQNQKRPSVIARLMGMDSPPLNTTAGELGGYSEEKRQDTITTRTMPRRDPSETVSTKHVSFVQHKGSMKHAPKQAEVCAYDDGMELFGQLSKAISTEWAKPQPREHPQEEELQKFKKEFEAWQTSRMWEQSRALELESHLDDEEEDDDDARCTDIVPYRFQHRGKDNANNKHTHSNGDAHWRRSKESGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKRIVILKPCPEMSTDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGRMASDDKGDRWAAAGDIPADPKQIARSIANQIRETVTKDLHPALVRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPPLSHRRRTASASFDEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPALDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAAKDMSSSASEPEHPSSEQAQTDQELAETSPIQDECDDDDATGEVDQPVKAYIRAVLVVAGLYGQRRSSDHSFSDREVKPIPAWVFEEVESSSSSSAPATDCDAAAAAVDPRLLFALINESLPRVVQASTTLCAYSRWYGAAAGGGGRLRGGPPAARGYWTGCGTPCRRGWSRRRRRTRPTPWTS >OB03G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1454924:1457435:-1 gene:OB03G12420 transcript:OB03G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:Projected from Arabidopsis thaliana (AT5G66005) TAIR;Acc:AT5G66005] MAAAPSRCLLVTGPPGVGKTTLVMRVFEALRAYHPHLSIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSCESFTWPTVGKYKVDIASFELLALPELQVKEDTDLFIIDEVGKMELFCSAFFPAVMRVIESNIPVLATIPAPRLGRDIPGVARLRNHPGAVIDTLNTGNRDAMREGIYNQLSSLLQKR >OB03G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1463284:1468909:1 gene:OB03G12430 transcript:OB03G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSGWICDFGIFFGGGFVVRLAPWWGICAGGEISATVGVALGDFWLLGECCFLGAGLDFILVFPWSPGSRDLDLDEEEGAVKEDVVVEEKKVAAKATGKGEAWREASISVEVVKEFEIFSGERLLALVGAGDAASDGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIDHPMDFSTIREKLLNDSYTTLEQFESDVFLLTSNAMSYNSDDTIYYRQARSIEALAKKDFENLRQVSDGEEEQPKTAPRRGRPPKYAKKIVEKTDNDASPDLSNAKAKSADHVETIRKRLTGDRTRNANITARDSSFLQNNILGSFAGKRTDKFGDYSGPSKYGKKTTPTISDDDRRSTYDPQYFHSSPLFSALDGERKLLLPVGLQQPHAYARSLARFAAKFGPIGWDIAAKRIRRLLPPGTNFGPGWVVDGEPPENSHWSRVPALPDPSIQSTSVPASNMTSKNDESHQKSGFSPNEDAAGEEHLTRTEPVASTSACVETSSKFPANPLVNHENGASVSCDGVGSTGQAPTLQQHNHSREIHSNMNGFTAGPNTISQFTGQGFLGQMQLTHAQVLGMFSGVNGRTNGFIHGHPLVANSIKATQNGDVGKAATNPSPDSGHDSEAASAQNETGSAPSLGAGVQPSGSMPSGKLANPKKHPDLALQL >OB03G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1475536:1480620:1 gene:OB03G12440 transcript:OB03G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGCFLPEVDDDLKPSKPNYHSSDESSGADARRKVAPLAPDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTQDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPVGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYKPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVLSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFHRLADPALQGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQFAGTPSRRRAGRRVPQYA >OB03G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1482580:1486074:1 gene:OB03G12450 transcript:OB03G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEGGFGPVYKGWVDERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCREERELLLVYEFMPRGSLENHLFRRALDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRVIGTYGYAAPEYVATGHLYVKSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDQRLAGDYPARAAARAAKLADSVDLRTEIRRLTSEVLTCSEVHLDIGDYLVALLVLRELSREPSFLQYMGASKYVV >OB03G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1485538:1490099:-1 gene:OB03G12460 transcript:OB03G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDERNGRYRRRRPGSHGGVPAGDAGARFVGVLASRRVPGSEPLLPAVRPRQQRHRLDSSPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLTTCGQPFWLDRRNHRLASYRSVSMKYQFSADESSRADLGLPGRVFVGRVPEWTPDVRYFSNEEYPRVQHAQYFDIRGSIALPVFEPRSRACLGVVELVMTTQKINYSTEIENICSALKEVDLRSSDVSSDPRSKVVDTSYRAIVPEIMDVLRAVCDTHNLPLAQTWIPCICQAKRGTRHSDERFKYCVSTVDEACYVRDCSVLGFHQACAEHHLFRGEGIVGKAFGTNEPCFSPDITAYSKTQYPLSHHAKLFSLRAAVAIRLRSVRTGNLDFVLEFFLPLKCIKTEEQRAMLNSLSNTIQQVCYTLRVVTPKELVNDEPFEVSQPTRPEFYAKSVHENLDELCSGINVPGRTTTLEASEEVSSWIAGLADAQKGAKGEIDVPFEFSKHDDEGFSVTAGWHTSLVIAPEGSIFSGFKHHEDYDVKENTCSSDPSHSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPEGTVQLSSLYENFTKTTWSERELQGNAIFPASEQNFQLEPSIPDRPCEGRFTSHTSGSNSLSPSCSHSSNSSLGCSSGPKPQQQHGSAPQLAVKQEISMEEIHGSTPIKSASHAEAELQMFVEERPATLFRSQSQVLVSEHKQTENMSNMQKARSDSLKIKAIYGEERCIFRLQPSWSFQRLKEEIVKRFGIAQDTHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSNQTVRILVNPSIQPVLGASFGQTGLS >OB03G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1498943:1501773:-1 gene:OB03G12470 transcript:OB03G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:J3LJM1] MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSVNLTGRREKTVIPKENNFKQPGERYRSWDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >OB03G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1503228:1505952:-1 gene:OB03G12480 transcript:OB03G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETVQEPFLYATTMAGEGDRSQNPFAALLGNQGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVSNARSDHQSNARSATTGGLGGLSSADLGGMLGGGSDASFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGQYRTQSGADAGNFLYLHMQSYKLRLLMFVDWLHNNIIALTASFSPTY >OB03G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1505746:1507725:-1 gene:OB03G12490 transcript:OB03G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRDGDGDAGGEPAAAAPATLHIRCTNGSKFAVRADLGLSIGAFKAIVAENCDVPAPQQRLIYRGRILKDEQTLSSYGVVEGKQSIPSLTDMDVIPNIMEPPSYAGVETDHTIHMVRGAAPPAASAPPAANNVTSATNATTATNSPAAGFGGLLQGLGGAGSANSGGLGSFGCRLPELSQMQQQLAENPT >OB03G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1507595:1507915:1 gene:OB03G12500 transcript:OB03G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRSARTANLDPLVHRMCSVAGAAAAGSPPASPSPSLPPPPITLAPLWPRSAVRVRFQAARVRPLRSRSLAPSTRPRARERARGVWRWRLGLGGLGNSSGLSLSL >OB03G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1510012:1516497:1 gene:OB03G12510 transcript:OB03G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G17580) TAIR;Acc:AT2G17580] MYKKGEKKAQSQRGFVDISSWKHFDSRAIGITRGDIPRHTWAVLHKLKRKGFAAYLVGGCVRDLLLKRVPKDFDVITTASLQQIKKIVFQRCIIIGKRFPICQVNMYGTKIEVSSFSTNTNDVKGTKDISCSNELKCCDKGDILLWQNSMKRDFTINGLFFNPFNSRIYDYVNGVRDIRKNKVCTVIPASVSFDEDPARILRGLRIAARLGFEFSSETSSAIRELSSSIRLIDKARLMMEMNYLLSYGAAESSLRLLRKYGLLNMLLPFQAAYFSDQMKDKSNDRDLMLMKLLANLDKLLSADRPCSCCLWAALLTFHSALVNSPQDAQVIRAFGALMYFGSWGSTVNFLNQDIGAPTPFIPETLGPSQSKLDNLMEQTSHLASLVKLSVDILTSLDALEQSLDKYSEASQFSGLVLVSRRERERVLVIFEGLDSDLTSYERTRGMHEIDYRLLKNGDPDEVRFVLGKVIMDTMSEVLPCASTEYHQLNKKAGTGDLADGSNPSVSLSTLFSSKS >OB03G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1518656:1521541:1 gene:OB03G12520 transcript:OB03G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase (quinone)s [Source:Projected from Arabidopsis thaliana (AT4G35760) TAIR;Acc:AT4G35760] MATIAATLSISFIPSPARFAVVATTSALSSSRAKRPARFRCCAEPSSPEQENPSTPTPAPPQPPVNLPASPSSLWGISTSTWSAGVAGLGFLETAYLSYLKLTGSEAFCPIGGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKKFLPGIDDLDIRLTLLLISTSMATASAYFLYILSTRFIGTSCSYCLASAFLSFTLFSIRVKDFGLERVQKFVGLQLAVAIIVALALTNSYSSATTQLNGTDDFVLEPYDTEITTESTPFAIALAKHLRSIGAKMYGAFWCSHCNEQKQIFGREATKILEYVECFPNGAGKGKKMAAECAAAGIEGFPTWVINGEVLGGDQELSVLAEESGFPVDGTEQP >OB03G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1522048:1524113:1 gene:OB03G12530 transcript:OB03G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAAPGRRGAAEAERRDCDARAKEEAYWQAAQGPKSRSVRRREDENRRLAELEQQQLASAARRPDRKAARVGGPVVPKVTEAELLRRREEERIRLEREAEAAKKRQARTAEEEEYERVVLVANTNRDDSIIEARSVEDAIAKMSIAAEPALQPDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQVQP >OB03G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1524578:1525837:-1 gene:OB03G12540 transcript:OB03G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast signal recognition particle component (CAO) [Source:Projected from Arabidopsis thaliana (AT2G47450) TAIR;Acc:AT2G47450] MEAVLRHPSLSRLKTPNPNGTPALSVTVPFRLRLPKRRLTAAAVFQDQTNPRNPASKGGDDDEAYGEVDRIVSSRTVKNPVFAEDGSATTVTATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWPAAKKADAAEITALLADETLRRDPDAEDAQGRTAIHFAAGLGSEECVRALAEAGADVGRPERAGGGLTPLHIAVGYGRAAAVRALLELGAEPEVPDGQGRTPLELVQDVLAKTPKGNPATFERRLALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVKAAWVAEDLAKDFDAGLEYAVAEAVVDKRQAADGEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQWQSGAAGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFWRGCRRRCCAAN >OB03G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1530458:1530997:1 gene:OB03G12550 transcript:OB03G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQALELYRSLKGQPFTYQHCWKAVADSLKWNAYVSCSGEGPRKRTPDLNMNAEPMVRPIGVKRAKKGKVSGEVPLEVRDQLKTLVDACESQKEGIEDMKEFQTKMAEHRVEAAAWNFKAVHEKEAKVLEHKNFLLGKFTELLQIDTSKMEAWAKDAHPRAVNNLSAQIWGGVGSADAV >OB03G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1533622:1534255:-1 gene:OB03G12560 transcript:OB03G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTRGDASEEEGQMPADGMVPDAGDTEIELQCDIHFAMVMDKNGAGYVLFVVNQATPALASHDLIPHHNPEVQVLTEQLIRTREEMKMQGRIAACAIGILAVVCVVVVWFI >OB03G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1534265:1534654:1 gene:OB03G12570 transcript:OB03G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRRRGLAVVVGRPPACASSVRSRQECWTNPSYPLGTTNPDRTNRRLLPQHTIPSTIRPADSATVALPMTTATVPASPRAQPPQSHVDSRPPKSRRRARSLPSSLTSRLAVRFPLRSFIEPSSPAA >OB03G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1535944:1540696:1 gene:OB03G12580 transcript:OB03G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYKSSDPPPHSQAAASHIPQPRRDTATDARGSERDRGAQPQVRLGARGGDSGAPIAMVRSETEVDALSGYCAATRTFRSKRADVPLPADPEIDVVSFLASRRHSGVVALIDAASGRRITFTELWRAVAGVASALAAPPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVITTANPLNTPAEIAKQVADARPVLAFTTRELLPKLPRAHDLRVVLLESTRLPGDSSDPRIVATIEEISATTPDPGRRKDRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIVMTRFRLEDSDKTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKYELPEMLRSINAYGVTYLPLVPPILVAMVAHPKPLPLGQLRKVLSGGAPLGKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNRTGELWIRGPYVMKGYFKNTEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHQEITDVAVIPFPDREVGQFPMAYVVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVKDIPKNASGKILRKDLIKLATSKL >OB03G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1548432:1550477:1 gene:OB03G12590 transcript:OB03G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYLSHALLLLLVALLAPPRLASGCDRCVRRSRAAYSTASLTLTAGSCGYGTAAARLNGGGFLAAAGPALYRGGVGCGACYQVRCKDKKLCSAAGARVVVTDRARTNRTGLVLSSPAFAAMAHPGMAASLTKLAAVDVEYKRVPCEYRHKNLSVRVDERSRGPNELSISFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQLRLVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWK >OB03G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1554034:1561061:-1 gene:OB03G12600 transcript:OB03G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVGVSVPSPARSLPSSLLRAKRRPHPHPRLRSAVPAMHRLAVGGGGWMRAWVHRTGAASHLWSAPPSPLRLVRRGRLALALDTGWPWWPARRGGIAISRDFKAHPSSGAVEGPPSYEQQANHCDDLPTAALACCYSIPVLDSSMWGSTLWMDGWMDGSIGSETMKSIIGFGLVSLSASYQCIADQLNDPLINDTLFTHINTLSTRDVDVNILETGGFILFTAVEGMQPQGLRLGVVFHPRGAGVRPLGRPALGSVVNMAGSFITGALMIILGYAYPAYDCYKTVELNKPGIEKLRFWCQYWILLAVLTVFDRVGNFVSWLPMYSEAKLAFVVYLWCPKTLGTAYVYESFFKPCIAKHEAEIDNNLLELRTRASDMVVLYFQKVTNYGQTRFYEILQYVASQSQTQTSRSQARQQQQRPPGPPPPHNHQVNPAPQPVPAPPVPPVQTQDTQAPLTPPRNQAQDTTPIPDPPPGAVSPAQAQAQPGPSQAIATDGPQNTEAMQVDPPGPPSTSNRRNTLIPDNDTLIQEAIRMTQGRLRRRMSGSGPPPS >OB03G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1556946:1557275:1 gene:OB03G12610 transcript:OB03G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSREKWQMTAAAALTEGEEGGGSLRHSAAIRFRVRSPAAARGRMVEGDYSGVSRTPPTAAVAARRRSAPRREQRRRFRTRLSARPGAKVFRRRLSAWAALDWAVNGL >OB03G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1563344:1564280:1 gene:OB03G12620 transcript:OB03G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRRLTALTIPKASSYLRRTRHKKLSYSRARSASLPGRFHPVVAGLHESASALLGWTEAPAQAGPEWIAEAVEAATCAAAAASASIFAGLASASASSASRSLTSPTAASPAKVAVAPVWWVADLLRWRRRTVSIAAYSESGAGPKETPLDECIDEEEEERKAAMERLLRLEECVVAAEEGVEQVYRALVNARVSLLNVLTPCF >OB03G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1573344:1578165:1 gene:OB03G12630 transcript:OB03G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAHHIAEVLDYCSSNKRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNIQVLLDSHLEGKYSTEEATALVDLASQCLQYEPRDRPNTGKLVSILEPLQTKLEVPSYEMLGIPKHEEEAPPAPQPQHPLSPMGEACSRMDLTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIDCYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQKSLKGP >OB03G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1578669:1580874:-1 gene:OB03G12640 transcript:OB03G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) TAIR;Acc:AT2G17040] MAAVAGTSMEVDQDLPGFRFHPTEEELLDFYLSRVALGKKLQFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTQRGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGAGHAAPPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRRSSQGDYTARAYLVHDASPSTGDEYFSSDDVHDSGYLIPSSSSSPAPSGHSSKNGGAPREAKKEEADVTNLLRLRFKIFKFMFAVNSNNRS >OB03G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1588283:1588659:1 gene:OB03G12650 transcript:OB03G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activator-related [Source:Projected from Arabidopsis thaliana (AT5G42290) TAIR;Acc:AT5G42290] MEKLAPTMKKAMEDTEEKPKVPSSDPGLAELVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGRRDLARPRASATQSADGPEEATIRPQHTPPPSTGDRDLDITGQSYIQ >OB03G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1590499:1594867:1 gene:OB03G12660 transcript:OB03G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLLRLATPLLLLHLQRSPTPKQNPNKKPSKKVSSFLSPSFPRAESPSIALAVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAKAAAVAPERAEEWRIDGNKLAAAARGRRRAGLTAMPSLPFPSPRSRRQTKQQDFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDLGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVDRPPGVYPTIDFSASSPPSDDPPGPGMPPPPLE >OB03G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1596203:1598515:1 gene:OB03G12670 transcript:OB03G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAGRLAAPAAAALLLLVLLAAPAPASATNFTCHAPAATTCQSAILYTAPNATTYAELVARFNTTALPDLLGANDLPETTPPSASIPAKSTVRIPFRCRCDGSVGQSDHHPIYVVQPLDFLDAIARNVFNTFVTFQEIATANNISDPNKININQTLWIPLPCSCDKVDDSDVTHLAYSVASGDSTAGIAAKYGVTESTLLTINKIEDPKKLQMGQILDVPLPVCHSSISDNSADHNLMLLPNGTYAFTAGNCIRCSCSASTNQLNCTAVQHNGCPSVPQCGGTLKLGDTNGTGCGSTTCAYTGYSNSPLTIQTSLETNQTKTCQKGGSGRSQFAGSMWRMSVISFHMVLIIICFL >OB03G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1599390:1600971:-1 gene:OB03G12680 transcript:OB03G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMLSDANYAPLTPLSFLERAAVVFGDRTAVVSGGREYSWRETRERCLAGASALAGLGVGRRDVVAVIAANIPAMYELHFSVPMTGGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKANLPHVVAISDSGDSGGGGGRGGLEYEALLRGAPRGFQIRWPADECDPISLNYTSGTTSRPKGVIYSHRGAYLNSLASLLCNDMMQMPVYLWTVPMFHCNGWCMVWATAAQGGTNICIRNVVPKVIFEQIVRYGVTNMGGAPTVLNMIVNAPASERKPLPRKVLISTGGAPPPPQVLAKMEELGFNVAHGYGLTETYGPATKCVWRPEWDALPLGERARIKALQGVQHQLLQDIDIKDPVTMASMPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVFDAAVVARPDGGGGGXXXXRPPTGARRRARSSR >OB03G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1604220:1607300:-1 gene:OB03G12690 transcript:OB03G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAYLALRRLARRRHRRSSSSSVGSLPPNRRQPPHDYLSPHVNYTTNLCSPRAIILSPWRHPRAFTFARSSGAAAAQLLLFSSLAGREGEGEVEVLDMEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVFGERRYTWREARRRCVRVAAALATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAPMISTLLGHSGAKVFFVESHLLDVGRAALRRLADTANTATLPVLLTISDHGADDGDSGCVDYEDLVKDAPSQFDIRWPADEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWHLPWGVAMQGGTNVCLRHFTAKVIFDNIARHGVTHLGGAPTVLSMIANAPAADRKALPGPVRVMTGGAPPPPRVLFAMEGLGFVVYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIALQVAVKNSTTMENVPNDGQTVGEVMFRGNTVMSGYYKDIGATRKSMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKEGANATDAEIISFCRERLPHYMAPKTVVFDELPKTSTGKTQKFVLREKARALGSLTKSANSKL >OB03G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1613866:1618730:-1 gene:OB03G12700 transcript:OB03G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAARGRGRLAQAGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAVVRGIVDAAEDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKEIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSLQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKEKEDPSLSMKHDARRDYFEHVAISFSDDRANGSVVK >OB03G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1620845:1625533:1 gene:OB03G12710 transcript:OB03G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGQGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEELANMPEWTQERPMRVVTGFGYLGEKFLRESGFNHVSFLAGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRNGVLEITHEMLERLEAHLTATGELMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCSRDGKVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRKLLSELGL >OB03G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1626697:1628699:-1 gene:OB03G12720 transcript:OB03G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSADKWWVLPLTFLPPISGQHDTAATLPAIATSFVYLAIFACVAWAGTALLYWAHPGGPAWGKYWRARGKSPMPCPIPGPKGLPVVGSLGLMSGLAHCSLAAEAARRPGAKRLMALSLGPVRAVVTSPPNVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVAEGVVAALRAAAASTTTVVQVRGVLRRASLYYIMRFVFGKEYDVSRGVPESGKEVEELLEMVHEGYDLLGKENWCDYFPGLAGVDPQGVGARCAELMPRVNRFVHGIIEEHRGKAIAGGEARDFVDILLSLQESERLADADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHPEVQANVHRELDEVVGRSNPIAESAVPSLPYLQALLKEALRLHPPGPLLSWRHRAISDTHVDGNLVPAGTTAMVNQWAMSRDPDVWDAPLEFQPERFLPGGKSHGVSVLGADGRLVPFGSGRRSCPGKSLAMATVTAWMATLLHEFEWTPASGEAGAVDLSEVLRLSCEMAVPLEVRVSARRRNL >OB03G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1639726:1640714:1 gene:OB03G12730 transcript:OB03G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARALSCLMSPLHGKRPAGDARRSSAACICCIGPHHKSSSGGGGGGCVPCLVPHADHSVRAPLTSCCGSSGGGDNLRGTPRTPRTPCTPTARRLCGVRSRTPRRGQAGCFPSSAPSAAATARTPRTPTTPIGRTQRACCVRGPGQGNTKLGRRRRWLRSTGQTTRRAARAGGDVKVYTTGLVEAAEEAVTKEEETSSNEEYALLCRQGFPREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARQMLTKPKEGQPLPS >OB03G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1641145:1642323:-1 gene:OB03G12740 transcript:OB03G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGPHRMPQYLKLQPRGEALTFEDDNLTLSESRGILRHIAHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPASLPKQNDNGNCNGRGVVQAVNASSKRVAAGAPEGNPAASGGINGSKQQKEEEMRKLFEKSKKDLEKLLDIYEQRLEEANYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNKISSRESWEYVKSLQRPPSTVIDAHATDGQHQHAPHAGEQHHGSNNHQHQQQQQHYRNEQVENYSTN >OB03G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1645451:1646752:-1 gene:OB03G12750 transcript:OB03G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLACLFEKNLEFELVRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTQFPEDGNRTIYGTGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPQLNIPADESRIAENERKLQQMLNVYDEILAKNRYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWYEEISSRPSWKQVVKMQSEHPGAFE >OB03G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1648801:1649954:-1 gene:OB03G12760 transcript:OB03G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTLVDSRAICRYVCTQFPDEGNKSLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLNIPQDNAVIAENEKKLQQVLNVYEDILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTGRKNVARWYDKISKRETWQQVVKMQMEHPGAFE >OB03G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1652048:1653453:-1 gene:OB03G12770 transcript:OB03G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRREDGAVGRAAIEKWIEAEGQSFNPPSLAMAFQLAFAPLMGRASDMAVVEQNEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLMRKTDKAALFTERKNLARWWDEVSARPSWKKVVELQNVPRPS >OB03G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1653830:1654144:-1 gene:OB03G12780 transcript:OB03G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFDTGNEMILTRYQNDTSSVPNLILERGDSDFIEGMECIVVVVVHRRWVLPAVTAVVAVIVIAAAVAP >OB03G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1655393:1658319:-1 gene:OB03G12790 transcript:OB03G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17020) TAIR;Acc:AT2G17020] MRSAMCPPPDPIEPSSSSPSPSLSPAMDSVLPAAIIATILSRLDVRSLVAASAACRCLRSCASHALTFLPSFHLLEVALTHQLLRPLMPPNPSLRSIRLDCGKLEDAAIDCLTRPDLHEIVLLNCDNISGRLLREVGATCQDLRVLSLNNLAERRGLPISFSDLQQLLNGCSQLESLRLALDFSMFDDPNFSNMWASASEALTSLEIGYIPMTMLLELLTVAMGSQQCMHRVKEPIFFPSLQKLCLTVDFITDHLIGSISTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQLTDLVFHDIIATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCRSLGDDAVRSLSCLPKLQILLLDGTDISDQALKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIIELRMRENPKIGDAAVMALASMLVDGGTHGSSLQLLDLYDCGGITPLAIRWFKKPYFPRLRWLGVTGSLNRVMVDALVRSRPFLHMACRGEELGTLYWDRSSDWYRHDDDDLDELEQWLLNGEPISDTETLAEE >OB03G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1663660:1664130:-1 gene:OB03G12800 transcript:OB03G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRRMWHVGEVVHMALAFFCQFLCQNPSSLSFISKQFGDRHKLVSRVSITTRVQCCTRDRGLVTSTKVKRNLNEDFFFRTCLFTAVTTRLPGLSSGCGNNPDVPHHSTGKVNRAGLVVIHCITPIFSLIFISQFKLLILNLKLILGYFQRSLIF >OB03G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1668036:1672165:-1 gene:OB03G12810 transcript:OB03G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat protein [Source:Projected from Arabidopsis thaliana (AT5G66055) TAIR;Acc:AT5G66055] MPPSLSLHHHLLLLFPFPSNAQTLNPLSASPRLHPPSKSPASSLLKRPLVSSPSSFAVAAVDEFDEDVAIGDCLVFEDDAFEEPDLDLPSPAPSTASRPRRKPAAEGGGGGSLVPDKWRDAAEEINMTKKEKRRIAHGLRFGSRLERRGPPPGPARAYREGRLDADLGRVERDYAEPIERSTVPDRVEAPQPPVPGTRVAPRNPRMGMGARSLDDITELFSSTEYVPGEMEDGSNPKGRRKLFTDEEKVLLNKRLPDLEAATSSKWLPLHTIAASGDFYLLDNLLKHNIDVNALDKDGLLAIHKAIISKKHAIINYLLRNSANPFIRDKDGATLMHYAVQTACSQTIKTLLLYNVDINHADDYGWTPLHLAVQTQRTDIVKLLLIKGSDRTLKTQDGFTPLELCLQLGHHARTYELIKLLKSFCLPKHHDPVQHLDDVELLGGNPVFFQCMMEMLLVQEVPYHTGKVVLVTFNLNMAHSSIPSGANLIFQVTHVEDPTS >OB03G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1677924:1680038:-1 gene:OB03G12820 transcript:OB03G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEESFLDELMSLRREGASPWQAAYQGGGGMMMSDLLFYGGEGPEARGGGRVDASPFQELVPPMVPPPAAPQHPHEEFNFDCLSEVCNPYRSCALVPSEAASQTQQPTPLRDAMVAEEETSGDKAPLHGGGSSPPTFMFGGGAGESSEMMAGIRGVGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTDRIKTLEEEIGATPEELDLLNTMKDSSSGGNNEMLVRNSTKFDVERRGSGSTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQDGGKRQVASTDEIKQTLFRSAGYGGRCL >OB03G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1692744:1692914:1 gene:OB03G12830 transcript:OB03G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLWLEYFCSRSIFFETPTLRGKCVLLYHFGDYVPANAYVPRQSASSTGMCLQISK >OB03G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1694215:1696703:1 gene:OB03G12840 transcript:OB03G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAELAQVRLDIQRLGVAMQELMSQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVDNKRYAESYEQGQDMHKKLISVASKWRSSELRLTRGHELLFLEAMKVLNKLWVLNSLRNSNPTV >OB03G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1697524:1698245:-1 gene:OB03G12850 transcript:OB03G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKVGHRCARPSFSCRNLHKRLGIPFSRSPSFSSFVKNYFKSRLTIDKLEPVVSYTIELALSRAHAVAKVWANHSRNRKSYRYESAFFFKDRKAESGINS >OB03G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1700570:1701768:1 gene:OB03G12860 transcript:OB03G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LJR0] MEAATVAWTAAGVGMALVYWFVWVMGAAEVKGKRAVDLKMGSITHDKVKDKYTQYWSFFRRPKETATTEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLQAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFADASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADNAEHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVAEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPAESK >OB03G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1707686:1709254:-1 gene:OB03G12870 transcript:OB03G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTDEGSSKPGNLPPDVSAAVNGVAFVGTLTGQLFFGWLGDKVGRKSVYGMTLLMMIICSVASGLSFGSTPTSVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAAVRSQSPAAPAASPPPQADYVWRLILMFGALPAALTFYWRMKMPETARYTAIVAMNAERAAADMSKVLQVKITAEQADMASSVGASDKPFTKPFGLFSAEFARRHGFHLLGTASTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALFGTVPGYWFTVAFIDVVGRFKIQAVGFFMMTAFMLALAVPYDHWTAKGNQIGFVVLYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKMGAIIGSFGFLYLAQSPDPAKAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENETAQP >OB03G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1710120:1710530:1 gene:OB03G12880 transcript:OB03G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAATYRCAECGADLNLSAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDRIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPVMEGTGQFGMGPSQVIPRRPRYRIKTKAVTVANPPAAAPYR >OB03G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1711122:1711618:1 gene:OB03G12890 transcript:OB03G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G76060) TAIR;Acc:AT1G76060] MAVAARARTSSSFLRGAAVLGRPPHRSIHEGPDTIDELLDRHLAKKPHSSAVLDGDAAEAEARRRLTSSRREALGLYRDILRVTRLFEWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRVVEAEEAKRRGGA >OB03G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1712168:1715028:-1 gene:OB03G12900 transcript:OB03G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35130) TAIR;Acc:AT4G35130] MATPLVLAARPARATTTTTAYASQNLIAATSKEPPPRLRPKRRGLPGPSSKSLVLSLAGEGRMDEAVEAFAAVRGPDAFLHNVMIRGFADAGLPADALAGYRAMLEAGARPDRFTFPVVVKCCARLGSLDEGRAAHTMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNTMVDGYVSNELGALALACFQEMHDVLEVQHDSVGIIAALSACCLEFSLRQGKEIHGYVIRHAMEQDVKVGTSLLDMYCKCGEVTSAESVFATVPLRTVVTWNCMIGGYALNERPEEAFDCFMQMRAEGLQVDVVTAINLLAACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVSKVESSEKIFSQITNKTLISWNNMIAAYMHKEMYREAIALFLELLNQLLYPDYFTMSTVVPAFVLLGSLRHCRQMHSYIIRLGYGDNTLIMNAVLHMYARSGDVAASREIFDKMVSRDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYDMIPQIEHYGCMTDLLGREGDLREMLQFIESMPIDPTSRIWGSLLTASRNQNDIDVAEYAAERIYQLEHDNTGCYIVLSSMYADVGRWEDVERVRLLMKEKGLQRTEPRSLVELYGIACSFANGDMSHPQSETIHEVSDIMSRRIGEKDDTRDQSYPVSFPSRRTTMPNKHSVRLAVIGGLISSEIGSPILVKKNVRICNHCHNALKLISKYSRRRIVVGDTKIYHEFSDGSCCCGDYW >OB03G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1715218:1718660:-1 gene:OB03G12910 transcript:OB03G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEEDVDHYERRKAVSDLEERERAFAAGQPVDATEAARREDKRKAADVKRELEEFFASKQSAASGTASTPVHGAPQDAQKTDKGKILKVSWEGGADYYTAAKLEEIFKQFGEVEDVVIKTRKSRSRGSAIVVMSSKEAARSALQNHSVYNVFSVPLIVVPVEESSGVPARPTQTPETRTSNIGGTGFSDLEASVFRKLQEAQKRKQSG >OB03G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1721491:1728082:-1 gene:OB03G12920 transcript:OB03G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aconitase 1 [Source:Projected from Arabidopsis thaliana (AT4G35830) TAIR;Acc:AT4G35830] MVVSVVLAATRNSYDEILTSLAKPGGGPEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVKANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVSMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVADFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAIAENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPVGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVNDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVEKKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSDIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >OB03G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1749516:1753176:1 gene:OB03G12930 transcript:OB03G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVMDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFVGVYDGHGGPETARFINGHLFHHLRRFATEHKCMSADVIRKAFQATEEGFLSLVSKQWSLKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKSTGEVLAMQLSSEHNACYEEVRQELQSSHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIVVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQSNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVIFLDSNAISKANWSRGPSVSLRGGGVSLPANSLAPFSAPTVLSSTY >OB03G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1754056:1757376:-1 gene:OB03G12940 transcript:OB03G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MTVESALKLLGVAEGASFDDILRAKSAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEVPSSSSLGIQSCVYGALMVFTYASGSSTSLPSTYTSADVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVR >OB03G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1762491:1764398:1 gene:OB03G12950 transcript:OB03G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT4G35870) TAIR;Acc:AT4G35870] MEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHKYPGKVYRVIVPFDLCTLEYLAEEWGKVRNKISWLEARMDARNLFDEFAQDESQTDEHWIVRRCKELWAMAAERLGFTDEEMLRKLQMKKLVLSSKLSDYKEGCAPGAGIAFVVFKDVYTANKAVRDFRLERKKTPIGRFFPVMELQLERSRWTVERAPPASDIYWNHLGLSKTSLGLRRIAVNTCLILMLLFFSSPLAIISGMQSAARIINVEAMDHAKSWLVWLQSSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSVDCKQIEQYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPSIKHIMKKFRKNDMVQLVPEENEDYQLIHNGEETNNLRAPLMSEREDSGILNGIEGHDLSLYPINRSFHMPKQQFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAVYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVICIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGLLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWSLYQS >OB03G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1766156:1769617:1 gene:OB03G12960 transcript:OB03G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MTKGPVPFLNIGKRAKDLLYKDYNFDQKFSLTTTSNSGLGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSDSRVSSTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDRCALNSTIGLTSTPLVELAATFGTNELSVGAEVGFDSASASFTKYNSGICYNKHDFSAALLLDKGETLKASYIHLFNETNGAAVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNSGKVGVLCQHEWRPKSTVSLSAEYDPKVVSSPSRFGVAIALKP >OB03G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1770837:1771965:1 gene:OB03G12970 transcript:OB03G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGITALLTSDKPIFESPHAVTGIIGLALLTIQSILPTLFEGNPALRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >OB03G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1772737:1774671:-1 gene:OB03G12980 transcript:OB03G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYAFLSAAAGTSSSLPGSRRCSTVHCLPSRPRPPLAPPARVMGKSKRNPASTRLWMRLDRRGGCEMVMCDKAFVARRSGLPARDLRLLGPLLSRSPSILAREKAMVINLEFIRAIVTADEVLVLEPLAQEVLPFVEKLRKHFPLNSLDVDGVSSHAAMDNQDGKLDQDVRCLNEAEGAEHELPFEFQVLDFALEAVCLLYNSTVSDLDRHAVAVLDDLIKSVSTTNLERVRSLKSSLTCLLASVQKVRDEIEDILDDDKNMAHMCITRKTKGQKDEEPLDTDAHLVDMLLETYFKQFDGIRNRIFLVRQYIVDTEDYINIQLDNKRNELIQLQLMLIVASFGIAINTFIAAAFAMNIPHCGHHFIIGGPFGPFVGVTSSLCMSIVILLFTYAWRNRLLCT >OB03G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1786162:1786377:1 gene:OB03G12990 transcript:OB03G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCGWDTLKTLVHNYFIIVDHPMFQEIQWLLGAVEVTPTKVSKMLPRSEDVDVALVGLVDFLEEKKKAIA >OB03G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1790427:1793438:1 gene:OB03G13000 transcript:OB03G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSQGEGDPGAAAEYLELRSRRLEKLHTPPAKEKEATRSAAARMPPVPGPEEFEAEVEVSFGDNVLDLDAMERSTRETTPCSLIKSSETISTPGSTTRTNSSMSSRRRMEASVCRRYIPSSVEMEEFFAAAEQQQHQAFREKYNFCPLNDCPLPGRYQWARLDC >OB03G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1800060:1804645:1 gene:OB03G13010 transcript:OB03G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTEKGVKSSEISRYSSSNGEIKQQINNVDTEEADFPLQQGGSLNSKEARALLGKVEYQYGNAEEALRVFSGINIHALIPKVKTSIIRKVDLQMAHLHSSSPSLPFHAAILLLEIIYFKATSLRDLGKIEEAAKECSTILDVVESALPEGLPDIVGDYCNLKATLCRAVELLPELYKLVGSHFEAVSSYRRALWSNWNLDEKAIGRIQKEFAVLLLYSGSEFCSPNLRCQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPTVMHHLTFALSMSAQLKPLAVQFEELLPGELHNREWLYNVALCYLAEEDDLIALNLLKRILMSGEDSNSLKELLLASKICCENSVHVEEGVSYARRALANLHGGCDQIEISANLLLGISLSNQARFATTNTRRASQQREALEVLGIAQKKMHGIDFRVLYNLSLENAKQRKLDTAVLYAKKLLKLEGGSELRTWLLTARIMSAQRRFEDAESIVNAALDQTGKWYQGDLLQIKAKMQAAQGKFKKAVEIYTRLLAVIQLRTKSFDAGISVLKGSSDDRSLEIETWYDLVLLYISMSRWRDAELCISKIKAISPYSALACHATGKLHEAKGFLKEAFRAYSTALDLEPRHVPSLISTAIVLRRLGERPLAAVRCFLTDALQLDRTNHVAWFNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >OB03G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1801874:1802242:-1 gene:OB03G13020 transcript:OB03G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPTDIEDLESKIQSHCIGGEQQIPSVFYLLLFHQGSSYSKEPSCMKRQLQNENPLIYIALEAIQQLCIMLLSGCSNHQRYLANPQVVQIPRHPVWCYTPLQLLKRANRVEVMHPIVISQR >OB03G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1805389:1813049:-1 gene:OB03G13030 transcript:OB03G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSGRIKSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSSHLESGRGSKSRKDLRDVPMDSSKKDNRDRPGEGSKEEDMRHMLREEHKKDNMRGKPREVSKKDNPKDRPKDSSKIDSLKAKVKVPSKDDQRDAPNKGAEKEQSSIRDNNHLVDKDKGNHNSHKLSSYTSGRVGKNKGGNHGDITARDGDATIQESQKGPGKRWIDEPVGNDRIKERSERRADGKRKSRGFDDEKSSQVDRSTLKKQDAVRLKDPKHFDRADGRKEYAKLHHEVPRSKRRRSTSRDYERERYDRSVSPSTREQRHSYRGHGHDYYAPYYSMDKSRRNHAETDRHRTSWNAGYSGGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASPIIRSPEKKSATWDQLPVAEDQSNLVTTLQSTVGQKDSSVPVNFSTSKQDLNTTIGTILTGSSLAVDSVQLTQATRPLRRLHIENLPSSATEDMLIGCLNEFLLSSSVSHIQRSKQPCFSCVINKDKRQAFVEFLTPEDATAALSFDGRSFNGSSLKIRRPKEYVELTHIAPKKPSTEIKLISNVVADSPHKIFISGISRVISSEMLMEIASSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCILTAVHVVPDPPTQVDNEASPFYGIPDSAKSLLEEPTKILQLKNVFDQEEYFLLSKSELEEILEDVRVECARFGAVKSINVVEYPGSSDSTTGDIITVSEDGSAKNEPEEYGGNVNHTDTGAECSVLNQSTCEVQDPVKLDIDSIPKGADHNELDRLRKCDAPTAGDENTDQSAEADQTDTIDADVRAVDDGTLEKGHADPLIPEICCSSPPGDGADKPGRENEQQCGTGVSESNTEKAPAVDARDSASASSTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYPR >OB03G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1818068:1819892:1 gene:OB03G13040 transcript:OB03G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPPGDLVVLLPFFMLLLLVYVYLRRSMSAKARNTLLPTEWPVVGMLPSLVVNLHRLFDYTTDLLAASGNSFEARGPPMSGLRFFVTRDPDNVRHIFISNFANYPKGEEFASVFDVMGDSFFNADGESWRRQRARVQHIMSNPRLLAFMAACCRGKVEKGLLPILEHMARAGAPFDLQCLITRFAFDMTAMPVFGADTCRLSIDMPPLDVANAMDTVMQVGFFRHTVPVSCWKLMRSLGIGPERRLAAAQRLLRRFVAEMIEKRRAGAADTATDGEKEGAPPPVDIVSSYIGDPDYVDEDGNPREFMYATFINYMVAGRDTVGTALSWFFSNLIKHPRVAARIREELAPIASRKAGAKSGGGGGMVVFEPEETKPLVYLQAALFESMRLYPPGPIERKMALADDSMRLSPPGPIERKMALADDVLPSGHTVRAGDNILIPLYSMGRDAGVWGEDCAEYRPERWVTEDGKLRHVPAHRFMPFNAGPRSCLGKDISVVQMKSVIAAVAWNFDLEMARGHVVEPKVSVVMQIKNGLMVKAKKR >OB03G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1821046:1822165:1 gene:OB03G13050 transcript:OB03G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSVSFNVGGKNLASPSPAAGSRAPAAAPAGSRGDAVVSVERRALLSPHPAGGTARKGLRGPKRRVQWKDRHGKKLIEVREFQPRSSVIAKQEGGQILVRVIFFLYFARRGQLQYATLSKRMYLAGYNIQTRLLLGKP >OB03G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1826612:1827703:1 gene:OB03G13060 transcript:OB03G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPDCAKSEAFPRNHRYSNYADSDNESEYDEREEEEEEEEEEDEDGLDECAIDDEEEDGLLGIGRGEDEACESLFLLPMSKTKDSNGQEAAAGVTSPEPPPARQQPRDSNPVLGSVENLTQWKDAKTRAATAAPRAASDKENRMAADLLSEPAIPAKKKDWEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAKKKDWQVSSDYSPSTPSKQEISVDASLSTWLGSSGTPESNSVRSFSPMSREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQGEMVNCY >OB03G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1828324:1832229:-1 gene:OB03G13070 transcript:OB03G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHYHRSRSASSFARSSDTAATDSDVRRLAVPVAAAAVDCPFGHVDGLTRAELREAAYEVFFMSCRIAGGGRLNYFPAGESGGDGSPTIGAGPRGGTGMNVVSSRVKRALGLKARRSSQPTTARIAMNASSAPGSPRRAMRQASTPVSPGKGRRPMTSAEIMRQQMRVAEQSDARLRKTLMRTLVGQVGRKAETIILPLELLRQLKPTDFANNDDYHQWQRRQLKLLEAGLVVHPSLPHDHLSVVVLRFRDVVQATDARAIDTGKTSDAMQALCNAVHALAWRPTPGSRSPSEACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMKRTWTTLGINKMMHNVCFAWVLFRQYVVTDQIEPDLAGAALVMLTEVATDAKQENHDPVYSRVLSSTIGVIHDWSEKMLLDYHEWYGNDNCGAMAMESALSLALATSKIIADNATGTGINTASTEDDDCSVGSFAGDCADYYVRCSMRSAFTKLLQNGLRQGDGLIIDRGDDDPSDILARLASDTEQIALWEYDSFSPVLRRWHPFPGAVAAVTLHGCYGVMLKQYLGKASGLTNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVAPYDVESVVVEFLRTWILERLRICKECLHRAKETESWMPRSKNEPYAQSAVELMKVAKATVDEFFGIPVSVRDDMVQDLANGMETIFHEYISFLASCGNKQSYLPSLPLLTRCNQDSKIIRLWKKAATPCRVPVSSPRGNVHHGQGSMASGGQNPRPSTSRGTQRLYVRLNTLHFILSHIHALDKSRSFFSHGRCSSPAAAATTPLLAPSSHFDRARTAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGGGDPRISPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQSFLLVLLAGGSDRSFTKDDHDMVEEDFRSLKRAFCTRGEGLVTEEVVDGEAEAAESVVALMGQTAEQLVEELSIACELSAVSSAGQRMPVPATTWRWSRTDPNTILRVLCHRDDVVASHYLKRTFQLPKRR >OB03G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1839829:1844883:-1 gene:OB03G13080 transcript:OB03G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESLPAAMENGESKGGGDYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIAANLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLIGAYVADAYLGRYWTFVAGSAIYFLGMCLLTLAVTIPSLKPPPCDGGVCPPASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNISWSVGYGIPTLGLLISISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVAVPADAKELHELELEEYTRKRKFRIDSTNTMRFLNKAAVKDGSPAKWSLCTVTQVEETKQIIKVVPLLATMFVPCTLIAQTNTLFVKQGRTMNRHIGPHFQIPPASLGAFVTLSMLVAVVVYDRLFVRAIRKYTKNPRGIPLLKRMGVGLLLQVLAMATASLMESRRLSYARRHGLVRTGGVVPLTILELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTQERGDPWVTNNLNASHLDYYYGFLTVLGAVNAVVFVVLSSKYSYKVESTETIDIAMDVKGETAKVQS >OB03G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1848170:1849102:1 gene:OB03G13090 transcript:OB03G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAARACLSSSPAPPISHPRTIPLIRLAPTSHYHALPKRIRLLRTLRAAASPGPGAYSRPARDRVIDFGKYKGQMLGTLPPSYLRWVVAELDYGDTAPWATLARDVLDDPVYVDRDEWEHAHRFLRGDTDYDGDGEDGPLQEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRRSGRRQTTSTSSPGGAKKDFLFDMGSDPDGPRGKRDERRERMRLRRETQVRNAKLDVLGVSAGVKDDGAVGTARKARTRTTAKKDILGLGRGSRGGEVLGEKVLPGSGGEGGNPFPGRQAFLDKVRKLRGDS >OB03G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1850848:1855956:1 gene:OB03G13100 transcript:OB03G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OB03G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1853370:1853588:1 gene:OB03G13110 transcript:OB03G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGQPSNFVVLSFACPLQFCSEQKLPPHMIFCLSSICWASYMTEMLSGLFSPFYCSILMHSLVCMDTLLY >OB03G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1851113:1855898:-1 gene:OB03G13120 transcript:OB03G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYLERRNGLDEDSASPEFSGRSAIDHQHLTVATPEVKSDLGQTMYKHPVPSDEKKSRNCQSCHKSSCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPTRTHNVSDLDDMISNGASTGSVVLGPSEKMMLDSLHSLVDAKARPKSPSFFHSGAKMRKARSKSHMITQSEILKLISPETWEISSPGASPLKKNTAELSMNEKMVSSCSDTPSLSSKQPVLSSCSSSLSAGLLQCIWRDGLPHFELSLDNPMAVYTANPTKAQDNDKSLDFVYLLHSDEQGRKDWLGNSSNVSRLVGKMKVSSSLVLNSDKSTSMETEFVLYGSPDDYLRQLQSSYAVTKSKGLAKRVADIMKPANLNSSPKHVWKFGKSSTQQVDELPEISEGEQCSAKESVLKNLTADDLPTNQEIAAIVVRKQQRERQKSPVLGGWGLKFLEKAGATAHTGSSEDADVQTRKSNAGSVSAIVPRGYHGGTASKNGGPAGLIERWRSGGHCDCGGWDLGCPIRVLQNDGCGTSPQSESQAHDRKSIELLSLKGGKNEPMFKLVNVTDDLHIIYFDSSLSPLQCFSAGIAIIHSQAPQLHPKL >OB03G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1857127:1858366:1 gene:OB03G13130 transcript:OB03G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAQEHQPGYQTIRDLQNPKGLLEKEEDDGDGDGDGDGDWRWRLIDFQNGSSRTARSNCKKGQSPEREEQGAGAEFRDEVDWASYYKAYSLLEQKSRKEDRGMARLPGIAVGKKSSVPTHRPCEEDD >OB03G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1859951:1860325:-1 gene:OB03G13140 transcript:OB03G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYRPNYPSLLLHRSRHSQSIHSQSPSPAAKVDAGSLHPRPAPANPSAAPATEARRRRLLPIAPPPSPPPSHCRQISRDRVNRISTPPPARARPRRCGFVPAAAASSPSRPRLSTALTVHPPL >OB03G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1860112:1861982:1 gene:OB03G13150 transcript:OB03G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATAAARWAGGGGGVLRWPGRRMDSPAPVLGGESRRRPWLQATGTESGWIESVVTCEVAGRGSLVVHLHPASPFQTGDANAILTWKDSNSLANQREESQRSLQGMTKDRVRSCCGGQGRLSKETGKISIQLQFYYSNDVIFFTNQDLQLSTALAMSNGSHLEWLLAPVSGRSQLTS >OB03G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1865205:1865633:1 gene:OB03G13160 transcript:OB03G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXPTGVAALAILKSGYKLSKNSAKVIEGFLGLQVHKGIRNGVDALGVVVKVAVIATEVAVWVGGRFWGGRRGCSVQFLGFTRPSSLLLVRYSKSEAQVVLLDHGAGRVAMDGDEKQLEGREGSDLLCLAVPLPEATHY >OB03G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1866507:1866665:1 gene:OB03G13170 transcript:OB03G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLCHKLDCTVMMWFYFAIPIFPCVCIIIILTSLSSTPLLFNMGTQSYHTM >OB03G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1866410:1873013:-1 gene:OB03G13180 transcript:OB03G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEADMAIRGMMLEADTASGPMLSDPLCIEGLSSPIAAQILDFCDDGLGDDLFTAVATTSQQFAAYSEDGSSSSTATPPLCSKSDDIAAVADTAFSPLLSFDSTLSAFLEQEQNPDQDAKLLSSIDETLTAPAYFPVATEANIEQFSQIMVPDHTAEPMPPMQISRATAALMPIASGYDDKCLTAALAGEYMGLDETLYHQTGVMIPNCNVEAPQVGFFNHNSTSNNDMVMMDMNNFGEYQRMMEGEGLTRTYSDKDSMQGAFNNAEEMQMGENNQNMIAGCNESPLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQNFEQYEHAVGMKGEDMLDSSDILAHLSGMNSYGYKYNYTVESWI >OB03G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1880287:1881818:1 gene:OB03G13190 transcript:OB03G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISMLQLVALFICFSAFYYYHIKSKRKQYPALPLSWPLLGMLPDLFINRHRFQDWIVSFLAASQLNFRFVGPPSTNMRLFFTCDPVNARHIFTSNFSNYPKGTGLTEIFDDVFGGGIFNADGESWRRQRAKAQLVMHNPRFRAMVSRCIRDRVERSLLPLLSQLAGTWEACDLHDVFMRLTFDTTTMPVSGADMGCLAIGLPEVPPFARALDDATHVLLVRHIVPRSWWKLLRRLGVGFEWTMAEALRVGNLFLYETIAKRRAKKTQEGIDDAEDLLSSYIKDDDENTTFLRDTALTLIIAGRDTTGAALSWFFYLLAKNQRVVSKILEELDAVKKSTSSSSTDGMVTFDSDELRPLVYLHAALCESLRLYPPVPLEHKGVLAADVLPSGHEVRPGDEVVVSMYAMGRTESVWGSDCGEFRPERWISDDGKLRYVPSYRFLSFLTGPRTCLGKEMVFVQLKVVAAAMVKNFEVEAVPGHVMEPKLSLILYMKNGFLVKVKRRQVLNN >OB03G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1884347:1885867:1 gene:OB03G13200 transcript:OB03G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFACTLHLALCFLCFSVFYYHHMVSKRKSRAIPLCWPLVGMLPELLANRHRIHGWITSLLAVSKLNFRFTGPTSSSMRLFITCDPANVRHVFTSNFCNYPKGPDFAEIFDIFGGGIFNVDGDAWRRQRAKAQLLMCRPQFRAFVSRCSRAKVETSLLPLLAHFAATGEACNLQDVFMRLTFDTTTMMVSGADAGCLATSLPEVAFARAVDDVMRVLLVRHIVPLSWWKLARRLGVGYERTMAEALRTCDLFVHETIEKRRAEKARGEAEDSADLLSSYINDDEESADAFLRDTTMNLISAGRDASAMALSWFFYLLTKNPHVVSKILEELRAIDSIATADGMVTFDSDELRPLVYLHAALSETLRLYPPVPLEHKGVLAADVLPSGHGVRPGDKIVVSLFAMARMDAVWGNDCREFRPERWVTKDGKLRYVPSYKFMAFSSGPRTCLGKDMAFVQLKAVAAAVLKNFEVEAVPGHVVEPALSIVLHMKNGFMVRVKRRTTNAQLL >OB03G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1896490:1898013:1 gene:OB03G13210 transcript:OB03G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFLELLLSFVCFGFFYYYHAKSKQKNPVIPLQWPLVGMLPALLANCNHLHEWITSLLTASPLNFRFIGPPRSDMRLFVTSDPANIRHVFNTNFSNYPKGREFQEIFDVLGDGIFSADGESWRRQRTKAQLLMSSPRFREFVSRYSRAKVERALLPLLAHFAGTGEACNLQDVFLRLTFDTTTTLVFGVDPGSVAVGLPEVPFARAMDDAMSVLLLRHIIPLSWWKLARRLGIGYERKMPVAWRTMDRFVADTIAKRRAEKAKTGIDASADLLSSYIDDDDEDAGTVDAFLRDTTVNLMLAGRDTTGSALSWFFYLLTKNPLVLRKLLQELDSIKSSTTPDGMVVFDPDEVGRLVYLHAALCDSLRLYPPVPMEHKGPVAADVLPSGDEVLPGDKIVVSLYAMGRMESVWGSDCSEFKPERWISDDGKKLRYVPSYKFMSFNSGPRTCLGKDMAFVQLKTAAAAVMKNFEVEAVPGHVVEPKLSIILHMKNGFMVRVKRRQADR >OB03G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1904701:1905621:1 gene:OB03G13220 transcript:OB03G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLETLFIRHIMPISCWKVMNRLEVGTEKKMAVARRTIDKFVAETIGKRRADMLREGTSNSDDLLSSFISDDDTSNDDETDEFLRDTTVNLLLAGRDTTGAALSWFFYIVSKNPRVEQKLVEELAPIASRKKDGGGDGGMVIFDAGELKDLVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGHEMKAGDKVLVFSYSMGRMEGVWGKDCTEFLPERWITSEGKLRYEPSYKFISFNAGPRTCLGKELAFVQLKTVAAAVLWNFAVAVVPGHVVEPKLSIILHMKNGLAVTVKRRAGHGQHHG >OB03G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1915608:1917497:1 gene:OB03G13230 transcript:OB03G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFNLLFVLQLLLSAACVLVVCYRYHRLKSMKKCSLGVVQWPIVGVLPAIVANMHRLLDGVTFLLASSQLNFQCRFWLAGFRFFVTCDPDNVRHIFTSNFDNYPKGDVFAEMFDVLGGGIFNTDGERWRRQRNKAQMLMTTPRLDKVEKGLLPFLAHVADAGRTCDLQDVFTRWSLDATCHLVFGVDPGCLDVGLPEVPFARAMDDALRTIFLRHTMPVSCWKTMRWLGIGHEKRNAEARRTIESFVASAIEKHRADDGRCRQGGDKLPVADLLSSFLCDDEIAAGSADSDSDVHIRDMAMNLLVAGRDTTSSALSWFFYLVSTNPRVEQKLLQELAPIASRKLQPQQGRLFPGSNGGMVTFDASEVRNLLYLHAALCEAMRLYPPHKAAVAADVLPSGHEVMAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSIILHMKNGLLVRVKRREPVMNS >OB03G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1915916:1916738:-1 gene:OB03G13240 transcript:OB03G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMCTSESESALPAAISSSQRKDDSKSATGSLSPPCLHLPSSARCFSMAEATKLSIVRRASAFLFSCPIPSHLIVFQHDTGMVWRRKIVRNASSIARANGTSGKPTSRHPGSTPNTRWHVASSDHRVNTSCRSHVLPASATWARNGRRPFSTLSRLERATKARNRGVVMSIWALFRCRRHRSPSVLKMPPPRTSNISANTSPLG >OB03G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1920242:1921177:-1 gene:OB03G13250 transcript:OB03G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLWSSGARTGTLCFPLFIINCNDIKAKTKNLQETERKGEENQWVSHATHTRDAALTNTGGVRREESDRVGVGVGGGEGGERSRDGRSESASDAAAPRRHRRRPAGVLRRPVRSRLQGVLQPELPRGRAAADRRGALLHARVAVRLRQVLQDVVLGVVFLDLLRLRPVPVPRRPLRLRLRRLRLPRHVLLVRRLRRLRRRAGPAHRLPRALRDIRVPPGVRHSDAVQDLRHRRRRRHRLRDAPRHRLRPAGGQRRREQRRLLRHQLPAGVRHGAVRGRPLLGGLRPVRHPGRPARRGRVRGRAIRPGVP >OB03G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1926774:1927130:-1 gene:OB03G13260 transcript:OB03G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSSKKYGECHILMICWSKKDIENETVLDDHPNKNRNNQINRCLDVFFLPSTYSRSCYACRILMLRIFSLRSVGILLFTESACIWSIDIWHLPTTGFSSQWDESLMFSSQWDESLM >OB03G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1932223:1933416:1 gene:OB03G13270 transcript:OB03G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSASTPVLGALLPSGSHSPAVSSPAVHFFGDSSPTVPHLAPVFSCRLTPGGSDVHGRSLGGLRRACSDGNLAALGAGGDDHHHHQLPPSAKCAPRSKPAALETIQSFTQRDGASTDEEEEDDDDDESAEQDLSFGNFRFSGSSTFAQEHPLFLARGLGIDRLGSGLLSADGGGSGIGGSGGGGGSYLVTSDNGGNRSDIEMHYRKMIEEDPCNGLFLRNYAQFLYQIKGDSRRAEEYYSRAILADPNDGELLSEYAKLVWDVHGDEERASSYFERAAKASPQNSHVLAAHAAFLWDTDDGDGPEGSSSSEALGYAGFAAAAAHSSLASATT >OB03G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1937652:1940997:1 gene:OB03G13280 transcript:OB03G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEAVLEIDSDDDAIPVAGKIPKVPSELASHPRPQDCNGVGEGLDDIDPSAFKEFLASMLDDKKAACDVAAADDGDDDNCVVLDGDPDKAVVVVNEERPGQADPEELQIISEKGELACRDFPHPRHLCVSMLFRTNSRAEYCAMCHCYVCDSPAPCAFWGSGTKPTDHCNATDKDAKWNKMRQSFRHKNMPASKRKGIRNFFQPISPAASLHQYTGDHLSVQQPLPSTLARHSPVGFSISNIESHNQQLMHPLIGVPRNVGQTVMQEASSPRANISHKRFRSDGADPRVYSSTNINHLGYPVSNSVLVQSVPSAAQSQPASSAVFHNSLGAARPLRGYSPQNPSSTPVISQELRVHPTSHHQVAPGISQGLQVQPTSYLQVDPGRVVSAELQLSRCSSLPTQGIQCQQDPWADLNKTKLNEALANLASELGVSDYNIDPPMHQQLPSTQPSQLHAQMKPGHLPTQATSRHRVETNRSHAAASSQIRTRKCS >OB03G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1942259:1944328:1 gene:OB03G13290 transcript:OB03G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKAEAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >OB03G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1946764:1949306:1 gene:OB03G13300 transcript:OB03G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3LJV4] MACQALVDSLPETEHPDVAGEERRKAGVPVYVMMPLDTVRKDGNGLNRRKAVEASLAALKSAGAEGIMVDVWWGIAESEAPGRYNFAGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPRWALEEMDKDQDLAYTDRSGRRNHEYISLGADALPVLKGRTPVQCYGDFMRAFRDHFASFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRYMLSSLKAAAEGVGKPEWGNAGPGDSGGYNNWPEDTPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVFTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLGRHGAVLNFTCVEMRAHEQPQDAQCRPEALVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSGSGARDMCREQVEREAQGVAHATQPLVHEAAVALSN >OB03G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1949748:1950011:1 gene:OB03G13310 transcript:OB03G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVCFFAWLEDVSELIVYVAEANILCALSYQSCDHLCSSGVRYGDQVLGEMDLALVEWRLETPEVRAKVQKVFRFSSSPNLLDDLERA >OB03G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1958278:1961093:1 gene:OB03G13320 transcript:OB03G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAAMPPPPPPPPLKYRHCNNADGLVSVEALRIIVETKACFVAVALALAYFLTASRHRLWSSSHLIKGFLFAATQPVTRFLVGMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVLLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVRFKIAHGRYGDGNTGLVADYMKHEHELPPESWAETDIEAGQHGFPMNNYNYLIVGDSKLEDKVIPAIYEAKLEPEADTVTVAKVWTCKGDLLECKKYGDRLKDVCLSFALCKLLRRKFAGVDASTEELAKSRELVFDGLITSGIDAERTFRVVRAELGFARDISFTKYPILFSCGFPVVSVVLFLATLGASLWIMVSAILHYRVPRGSTPNLVNGKNVDLSITFGIVSMVTAMDICEFSMHISSNWTKVMVVSEYVRNRYGRCYLLDRIIRLVCHGNIAEPIGNSLGQFDLVNGTKRGRISRCVVQLYHNARSFVLLNDDDKYRIMKGKSLRVPGAVKTAICEALMRNRSELAKGQPLPRAASMLRAHCHPPTAIETVVVWHVATCCLERGAPIKLGESERQREEFRRLQESYEVAAALSKYCAYLLFYKPKMLGSVGNNTVSYTCKTLVKEAAPADNTNTGGGGSDGDKMISKGKLLAQRLLKVRGWVDWTELKEFWSEMLIALAASGSVSAHEKGLGDGGEFITHVWALLYHAGIDGNSSSSAIVGSAGGGTGGRADNSTFQNGTAVESHG >OB03G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1964730:1968762:1 gene:OB03G13330 transcript:OB03G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDGVVDWGFFSDCTVEENIAIISLYGTEVALCFFLVFLWIDIEAQFILVDVHRRQVLISTLIFSVHFISEGQEGKPCSQPNAPTNSIFIVSLQVSTMATTSAQYVVLSGKKFPSRVLNLQTMLMEDLELSRGYSGNLQEQLAVFRTPETSIFNDDENIDLQSETAGEHNAVTGSVEIKTYSEFPAIQQSVRQQVFSILIHLKAPKYLDSMSSRAPLDLVTVLDVSGSMSGIKLALLKRAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGRQQALQAISSLVASGGTNIAEGLKKGAKVVEDRRLKNSVCSIILLSDGQDTHTFLSSDEAEINYSTFVPPSILPGTGHHVQIHTFGFGTDHDSAAMHAIAEISNGTFSFIDAEGSIQDAFAQCIGGLLSVVVKDMRLCIKCIDEGVSLTSIKSGSYASQVARNERSGSVDIGDLYADEERGFLITLHVPAAQGQTVLIKPTCTYLDAITMENIQLNGEEVTIQRPAYCVDCEMSPEVEREWHRAQTTEDMSAARSAAEDGFFPQAVSILESRRRILEFHAAQSSDSQFLALIKELREMQDRVESRQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLIHTYQTPSMVDMLQRSQTILPSVVEMLNPSPTVTPPKYFSSHLPTSRHIA >OB03G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1969647:1970754:-1 gene:OB03G13340 transcript:OB03G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSLMLQQGWRKGPWTALEDRLLTDYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQQQHRQYLQSLSLLQQQQQLQYLQQQEQQQQLASLQEQRRPPEAAADESMMAQVMAMSDLHATERCCAAVSLPDDDGVLPADDDALWDSLWRLVDGDGSCGEGSSGGEY >OB03G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1990117:1996946:1 gene:OB03G13350 transcript:OB03G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT1G04120) TAIR;Acc:AT1G04120] MGDDDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSVHEPLLLGGQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSSHYERQRIEHPGNEPSLAWAILKSFWREAVINGAFAAVNTVVSYVGPYLISYFVDYLSGKIVFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTAESLKNMRILKLQAWEDRYRLQLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATITVPHGSTDKAIDVNDATFSWNPSSPIPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVKISGSAAYVPQTAWIQSGNIEENILFGSPMEKQRYKRAIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILSALASKTVIYVTHQIEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVCAHKEAIETMEFSEDSDEDTVSSVPNKRLTPSVSNIDNLKNKVSENEKTSSARGIKEKKKKPEERKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDSPKTDSVILLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENCRPPSSWPENGNIELVDLKVRYKDDLPLVLHGISCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDNIDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRTKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >OB03G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:1998150:2001031:-1 gene:OB03G13360 transcript:OB03G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCCGFGCGPAGAAGDEVRLPEPFQLPAPLPDWPQGGDFSKGTICIGELEVASITEFQSIWSCSGATFYEPQEVPDGFYCLGHYAQQNDRPLQGFLLVAREAASLQSIDHRPPLEKPLNYTLVWTSADLSDDDNSECGCFWSPSPPDGYEALGYVVTRGPKKPSLEAVRCVRGDLTDECENFKSIANMGGNCYIWNTRPCHRGVAGRGIPVGAFFCGTDSEESDIPCLKNFDSDLRSMPNLEQIKALIEHYGPTVFFHPQEIYLPSSVSWFFNNGAMLHKKGKETGDVILSSGSNLPAGGTNDGEYWIDLPDDDRNEHVKVGNLKSAELYAHIKLAYGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGLLSSIYFSQHSGGEWVDACDLEFISGNKAIVYSAKDGHASYPHPGCYLLGSEKAGVGVRNDAARSDLSVDSSTRYKIISAGHLGDAVVEPCWLQYMREWGPTVEYNSRTEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >OB03G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2003816:2008554:-1 gene:OB03G13370 transcript:OB03G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26200) TAIR;Acc:AT2G26200] MATGGEQRNQISASSTSSGRLVTPFWKEKYEKDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGQGGGKMVILEVGCGAGNTIFPLISTYPDIFAHACDFSPRAVDLVKKHKDYRPDRVNAFVCDITSDQLTENVQPSSADVVTMIFMLSAVAPAKMPLVLQNVRNVLKNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNSHHDPRTCEYKEDKLTANAPQKMSNSEEIDLSEDFDNMFGTSYNLDEAQIIRIKAKGHEFKIKVLTKEYQHTCKLTGLMLWESAQFMCSLLAENPSIVAGKRVLELGCGSAGICSMVAASVAQFVVATDGDAESLDLLRENTASNLEPNFLERILIRKLFWGDRDDLKGVRELSSNRSGFDCIIGTDVTYNPDAIRPLFKTARELICNEDSEAALILCYIQRRVDEDSILSTAVAQGFRLVDKWINGIHESNGIISSWFCSNNVCSAFRNATLSILYFQV >OB03G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2010344:2012643:1 gene:OB03G13380 transcript:OB03G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRWLFVFFHLLLFCLLPVLGSADAQGMQTYIVQLRPREAGSSGDQAMLASKMHWHLSFLEKSVPWEGEEKRPSSRLLYSYHTVFDGFAAQLSEEEAAALRELPGVASVRADRRVELHTTYSYKFLGLNFCPTGAWARSGFGGGTIIGVLDTGVWPENPSFDDRGMPPVPARWQGVCQGGEHFNATNCNRKLIGARFYSKGHRANYPTNPSDAVSLMEYVPPAGASVAGASVLGVGAGEARGVAPAAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATLRGVSVVCAAGNNGPSPSSVANEAPWVITVGAATLDRRFPAYVRLGNGRILYGESMYPGKVDLKNGGKELELVYAAGGTREEMYCIKGALPAAAFAGKMVVCDRGITGRADKGEAVKEAGGAAMILANSEINQQEDSVDAHVLPSTLIGYREAVELKNYISSTQRPVAKIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVIAPGVNIIAAWPGNLGPSGLEDDGRRSNFTVLSGTSMACPHVSGIAALIRSAHPSWSPAMIRSAIMTTADVTDRQGKPIMDGDGGKADAYAMGAGHVNPARAVDPGLVYDIDPADYVTHLCTLGYTHMEIFKISHAGVNCTAVLQRNAGFSLNYPSMSVAFKTNATSAVLQRTVTNVGTPNSTYTAHVAAPHGVKVRVSPTALTFSEFGEKKSFRVTLDAPAPRDNAEGYLVWKQSGGQGKHRVRSPIAVTWVVD >OB03G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2018135:2018359:-1 gene:OB03G13390 transcript:OB03G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHETGRELKRKGTASLRVKNTIFMRNLIKTKQPSIRLAYVQLQQVPSALALLEQQQHCTICLFCSDSLHRR >OB03G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2019649:2023459:-1 gene:OB03G13400 transcript:OB03G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAKPPPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFISEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKILENGKAYAMEGDVYFSVDSFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWESPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEQLSQYRGENLSVPVPVEEQDMVNKHHSEFLESMSDDLRTTDVLDGFTDLLKAINSNLTDFKKLQQKLEQQKKKQQQQKQQKQKQQQAQKQPEEYIEAMFALETEIKNKLSILGMMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRAAARKNKQFDLSDQIRKQLGSKGIALMDEPTGTVWRPCEPESE >OB03G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2024908:2030929:-1 gene:OB03G13410 transcript:OB03G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASRARQAGSGARQIGSRLASHRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSNRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLHALVKVLELALKKQKPLLIIAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQLRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGSVIVGKLLEQDNIDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEVAAPAMGGMGGMGGMDF >OB03G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2029773:2030566:1 gene:OB03G13420 transcript:OB03G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHTIPSSVISRIGNLLHKARTNILNPVTEFNALGNSHSILCNFRSTKALLNNNIYTLGPIVTLTASANSSTPLNINARASTPNLMSFAA >OB03G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2032935:2036890:1 gene:OB03G13430 transcript:OB03G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24010) TAIR;Acc:AT3G24010] MGFLEDFQASVEALPAMLHRNYSLMRELDRSLQGVQTENEQRCQQEIEDIKHGLESGSIAFDPAKLKFSDEAIDEQKHCVRIADEKVALATQTYDLVDAHIQQLDQFMRKLEELRQEKEAATAAATAAAAGTTVAATVMASAGTSAVDNTPKGGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNNDCKIEWFHFGCVGLKEQPKGKWYCPSCIGFQKKRKGK >OB03G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2040792:2041919:1 gene:OB03G13440 transcript:OB03G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINITNLSVRASARVATRDGSPVRETQSEWKEYADLKTVTGGMRRSSSDRSCGNGTPMKVQIAQEMTKEGDTNKKTTSVVAKLMGLDDGATFPKSVQPSNRRGFPDGHLSAMLAREPNSLFVKQSGEFHSAPTSPQRKRITVLKPTKLVEMKGEKEIKNQQDQTVNGSIIERSNIHRRSTSFGGQVKSERPP >OB03G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2042067:2042675:1 gene:OB03G13450 transcript:OB03G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQHDESFRDCMHSNMFIRDRAYYGYDEEGSSFSDSEIGSPTSCHSWEYIYRFSNPYFSSSLSHASCSPESLVTREAKKHTSDRWPIVSSNEINQEKELVRRSLSTLGEMFAMSDMKKKETTEQAVTDTSDQLCTNEPRLAVSCKCSVDGDGESTLKKMSRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNV >OB03G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2047266:2051899:1 gene:OB03G13460 transcript:OB03G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLAVVAHAGSSVRETQSERKEYADLKTVTRSMRRSSSDRSCGNGTPMKVLIAEEMAKEGDTNQKTTSVVEKLMGLDDDAAFPKSVQPSNRRRFPDGHFSAMLARVNNQMPFDKHICLVENMEYKDVYEVGYQPPRSEHLSNELPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHKNLLQSKEFHEALEVLNSNKHLFLKFLEEPNSLFAKQSSEFHSAPTSPQRKRITVLKPTKSVEMKGEKQIKNQQEQTVNGSRIERSNIHRRSNSVGGHVKAQRPPQHTRIVVLKPSSTITSMEHFQKNGHDNLDDSGAPVISRHLSDEINWSAQVMCHQHDESFRDCMHSNMFIRDRAYYGYDEDGSSISDSEIGHPTSRHSWEYIYRFSNPYFGSCSPESLVTREAKKHTSDRWPIVSSNEISQEKVLVRRSLSTLGEMFAMSDMKKKGATEQAVTNTSDQLCTNEPRLAVPSKCSVDGDGESTLKKMSRSKSVPVSSAAFDSLQLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGNDKLSPSSLGALGSRVPLASNASTVNSDVSQTEWNSLQEDVASENLERSDITHAVASVNELEVTSSSSKSPVITLEKALSFEIRNSHYDQPSPTSVLDASFEDASEKSPNSSENAITAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSCEQDQYEFIEKIISSAGFGNEKISNIFVRWHSHDFPLGATVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCMERHVNNAQRVATCHMLVADEVWRLVKGWLSDDAGNKYMVNVVDNAGLVADWVVDKEIQGRSWPEALRLEVDEISKEICRDVLDELVGEAFSELAGCH >OB03G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2058791:2064390:1 gene:OB03G13470 transcript:OB03G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAVAALSTFSLEDEQPDVKGLAVLLSSDRYATNSPIEYSDVAAYHLSLGEDTKGINQLNTLIQEGKEMASLLYTYRSCVKALPQVTGGMRRSSSDRSCGNGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAAFPKSVQPSNRRRFPDGHLSAMLARVNNQMSFDKHTGLVENMEYKDVYEVGYQPPRSEHLSNEFPQRRRAHEDHDKKRMDLVCQKFVEAKHLASHENLLQSKEFHESLEVLNSNKNLFLKFLEKPNSLFAKQSSEFHSAPTSPQRKRITVLKPTKSVEMKGEKQIKNQQEQTVNGSRIERTNIHRRSNSVGGHVKAERPPQHTRIVLLKPSSVITSVEHFQKNGHDNLDDSGAPVISRHLSDEINWSAQVMCHQHDESFRDCMHSNMFIRDRAYYGYDEEGSSFSDSEIGSATSRHSWEYIYRFSNPYLASSLSQASCSPESLVTREAKKHTSDRWPIVSSNEISQEKVLVQRSLSTLGEMFAMSDMKKKGATEQAVTNTSDQLCTNEPRLAVPSKCSVDGDGESTLKKMSRSKSVPVSSAAFDSLRLDGGCSNPEHEEPTSSKEEVKPKNGKSSLKGKISSFFLKRKKSGNDKLSPSSLGALGSRVPLASNASTVNSDVSQTEWNSLQEDVASENLEKSNITHAVAPVNEPEVTSSSSKSPVITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSENAITAKQEPLSRSLPIGSIARALSWDDSSQEATLCSTKGDSHEQDQYEFIEKIISSAGFGNEKISNIFVRWHSHDFPLGATVLDQFLEHKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDRHVNNAQRVATGDMLVADEVWRLVKGWLSDDGGDKYMVNVVDNAGLVADWVVSKEIQGRSWPEALRLEVDEISKEICGEVLDELVGEAFSELAGCR >OB03G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2068770:2086560:1 gene:OB03G13480 transcript:OB03G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKGINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHNQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHILLRVLPVLVVLATSSEKESESLYKRIKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPSPHEIPPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADSEQHSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEYVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLKRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQSISKFLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSLKGAHHSSQRSSASTGKAYFFCGSHELTMAYQGIAGLYHDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSDVKTEVLHDLKEIGSALYWMSLLDIVLRQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNTPFTTLLSGATNAVASSPACPNPSSFLVMAKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEESINPSRRQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSCQFLNVAEVENATASLYQSSDRNRSPNFLQGFEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFTNTVSAFETLPQRSAT >OB03G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2086905:2087770:1 gene:OB03G13490 transcript:OB03G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3LJX3] MPSAATTGAAVSATNPQSGAGGVHEPLELYIHDILGGSSPTARPITGLLGNIYNGQVQVGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASAADGSAQMMAFTAMMEGGEYGDTLNFFGVYKVGSALCRLSITGGTGKFKGAGGFAEVRPLIASGQHVTDGAETLLRITVHLAY >OB03G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2087733:2092260:-1 gene:OB03G13500 transcript:OB03G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVESAPGADDLAPVLENLIASWDDSTCSGVSHCMLHKSILQDAFKYSHLDTTNCLGPFLTFGAKAGSWCVRHLLWSVESIDESEVAQEEEHSRLFPEIIALTLDISANLLPVASKCIAKDTVHAVGDFILELLTLTESSILDNKKLGSTGHVAKAAPVFLDETIKLCRGCFEAAKSDQCIMSMPKEEIIVEHKEPDLVSNVAQITACTIQSLCKIGTYAASSGGSQVILLNISWKGIISLLQLGKGTIEGKVNVREIILIPISIAIESLRIATETWCVPLQEVLGTTEARRAFLPIKYFLMNAVRICSIYPSEAMAIYKNIIRCALVISYSSILFCRNPQLKAASELVFELLEPSSFLLLDTLMKSPEVSPESKCQLVEYLLEKVETNNPENTGQVDHRMNFASLGCIFSVDSDGDNINRALLPAEFTVFLHLLNASPWLTEEVVIELCKKLHPLLNILILEDVYSYVLGCQIPALSGAEDAPGVVWQPIYTSLIQALKTFMIAASASSAAWSDFEVFLLENLFHPHFLCLGILTELWCFFVHYAETEASTYLINQLLILLKTLASPDEVFAPLSSLRKLARALCTILSYASPATIDQIYTCALNDNSYESSILYLALLMEGFPFESLSVGTKELALKTLFTAFAGYLQKQNYLNNHGEIDLPTSSSSGVVGLPVHALASALQSCEIKDTITVNEKSIATIFKIAISLINMYRTSPDGSKNLLVQHISSILVIITHMRHLCAFSELEKLTLELHTLFMSGPDNSIAAISQCKPSMASFMAILGHLNTTEDDANILCSAMWDLFHFLLRERHWALIHLVVGSFGYFAARTSFAQLWKFVPEDAALSYNTSTGVDIDENGFMLELKAFLEKEVALKDDKWSEEQMCYLVSEGKMLKKLVESCLEIPLAPEPEKILITNDVRKTRKRKMPDGICEGMTLVQNGLKIMRGALSETDLGELKDRFAIHLSRLEDAVSHIASFSDNI >OB03G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2101981:2103402:1 gene:OB03G13510 transcript:OB03G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAIGLLPPLRFIAAIAVVSWTSFIYYHFSLLSGGLLQLGHGRDDSADPCRGRYIYVHDLPRRFNADILRDCRKTSDHWPDMCGFVSNAGLGRPLVDRAGGGVLSGEAGWYGTHQFALDAIFHNRMKQYECLTNHSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMRQPEWGRMGGRDHFLVAGRTGWDFRRDTNINPDWGTNLLVMPGGRDMSVLVLESSLLHGSDYAVPYPTYFHPRSDADVFRWQDRVRGMQRRWLMSFVGAPRPDDASNIRAQIIAQCNASSACSQLGCAFGSSQCHSPGNIMRLFQKSTFCLQPPGDSYTRRSVFDSMVAGCIPVFFHTATAYRQYTWHLPRDHAAYSVFIPDRDVRAGNVNIEATLRAIPAAAVERMREEVIRLIPAVIYADPRSKLETVKDAFDVAVEGIIDRVDMARGGYGRSWLRPKQSSHQALDAKRRKLI >OB03G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2106906:2108480:1 gene:OB03G13520 transcript:OB03G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGAQKSGKRLLPRLLFLAALSFTPWLLIFCLHFSVFDGAPPVRSPHLSLVAVVSDGGEDAQAQRFLLEQEEQLGRLPSARDVTTTTVAAAAAAGDAACEGRYVYIHDLPARFNEDILRNCGEWYQWIDMCVYLGNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTGDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLDLVDWLTHRPEWRAMGGRDHFVLSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRAMKREWLFTFAGGTRPGDPNSIRHHLVRQCGGSSFCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDEHDSYSVFIAEEDIRSGNVSIEETLRKIPPDVAEKMTETVINLAPRLLYADPRSKLETMKDAVDLTVEAVIERVKKLRKEMHRSGAGASASTRLSTTSADANTSGGVQSS >OB03G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2110414:2113589:-1 gene:OB03G13530 transcript:OB03G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT1G43860) TAIR;Acc:AT1G43860] MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRTRVEKDLDEVLQSHTVYSNVSKGVLAKSKDLLKAFGTEDQTQICLEILDKGELQVSGKEREAQLSSQFHEVATIVMDKTINPETRRPYTITMIERLMHDVHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKSKFASLTEKLGEWNANVISKDESGNQPSVVCEIEPSILHMCEERLKDVQGRVEVLSVSAHAEGGSSVDHYESTEESRSVPAVETDPVAQIGQAMQKQSISSTTENLGQGLGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPALSQEECLVEMELADSKRDLKDYDF >OB03G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2123272:2125056:1 gene:OB03G13540 transcript:OB03G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT2G20370) TAIR;Acc:AT2G20370] MEKVGGKPPQSRLCFLATLCAMFWVLIFYFHFFVIANEPGASAGAQPDDAGASISHADLPLPEPERFSDPVVPLPPPVFVSEPPPGTVSEPPPATATVPKVEEESTAVVQAETPHKEYAFQRALKTAENKSDPCGGRYIYVHELPPRFNDDMLRECKRLSIWTDMCKFVSNDGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGYNISMRDAASLDLIDWMRKRPEWDVMGGRDHFLVGGRIAWDFRRLTDEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFAIPYPTYFHPSKDADVLLWQDRMRSLERPWLFSFAGAPRPDDPKSIRGQLIDQCRASSVCKLLECDLGESKCHSPSAIMNMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRTGNVSIEDRLKSIQPDVVKKMREEVISLIPRVIYADPRSKLETLKDAFDVSVEAIINKVTQLRRDIIEDREDKDFVEENSWKYDLLEEGQRTIGPHEWDPFFSKPKDKGGDSTNPSTKAAKNSWKNEQRGQN >OB03G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2129956:2131205:1 gene:OB03G13550 transcript:OB03G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3LJX9] MAKVWRKRKAPASIPGRCRRPPSTRAVAGVTVLEADALECGVCCLPLSPPIFQCQVGHVVCFRCRYKLWLTGAGKCHVCGVAVAAYRRCRAMERLVASIRVACPHAAHGCTFLPAYHDLDAHRLVCRHAPCHCPGDACGFVGSAAALLDHFAAAHNWPCTTNVRAGEMVSAHLRDGFTFLRVHHHRRGSATFSDHLIMLNVTREPLGRAISVLCIRPHAAEQPAMQCELLFVSRFGYNGDGDMCRSHYQKSEFHIGCTDLADGLPDRKQCFQFVVPWCVLEDDDQGGIQIKKQGKFCLTMKKHLVPRNRRFLDEEHTEVPLGDTAPVCEQKSKPLRRGDDNRGGGGGAPVTGDGGEIDSPLQIEEQTTRGIIMGEDELG >OB03G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2131607:2134465:-1 gene:OB03G13560 transcript:OB03G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGTVPDGILRLAGLTSVVLQSNAFDGELPAALVSIPTIQELDVSDNNFKGRFPAGLGACASLTHLNASGNNFAGPLPADIGNATALETLDFRGGFFSGGIPKTYGKLQKLKFLGLSGNNLNGALPAELFELSSLEQLIIGYNEFSGAIPAAIGNLAKLQYLDMAIGSLEGPIPPELGRLPYLNTVYLYKNNIGGEIPKELGNLSSLIMLDLSDNAITGTIPPELAQLTNLQLLNLMCNKLKGAIPAGIGELPKLEVLELWNNSLTGPLPPSLGKAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSTLVRVRVHNNRLNGTVPVGLGRLPRLQRLELAGNELSGEIPDDLALSKSLSFIDLSHNQLRSALPSNILSIPALQTFAAADNELTGGVPDELADCLSLSALDLSNNRLSGAIPASLASCQRLVSLSLRNNRFTGEIPAAVAMMPTLSVLDLSNNFLTGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGANALRSSSSESSGLRRSHMKHIAAGWAIGISAVIVACGAILVGKQLYHRWYVHGGCCDDAVEEEGSGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGTGVVYRADMPRHHTVVAVKKLWRAAGCPEEAATVDGRQDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVLYEYMVNGSLWDALHGQRKGKMLMDWVSRYNVAGGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGDSQDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRVAVLCTAKSPKDRPSMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >OB03G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2132355:2133896:1 gene:OB03G13570 transcript:OB03G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTFRCRSRPRSFTSAANSPPASTSWRPSTVAASSGQPAARHNFLTATTVWWRGMSARYTTPVPPMPTMLASLMHASTSALVKLNRWNAVRRHGHEPLPSSSTASSQHPPWTYHRWYSCLPTSMAPHATITAEMPMAQPAAMCFMCERRRPDDSDDDDRRAFAPHGGRTPPQSPGFPARSSGLIVLRSPVAGTGPVRLLYARFSISSAGELPKLLGISPVRKLLERSRTDNVGIMATAAGISPVKRLFRRLSETSLWHDARLAGIAPDSRLFDRSRADSDRQSASSSGTPPVSSLSAAANVCSAGMDRMFDGSADRSWLCERSMKESDFESAKSSGISPDSSLPASSRRCSRGSRPSPTGTVPLSRLLCTRTRTSVEHVVSPAGIGPVKTLLNSISFVRLPLSQSPAGTGPDKAFVDTSSHCSGCALPSDGGNGPVRELFHSSSTSSLGSSPIPAGMAPLSLLHIRLSSCRLVSCASSGGIVPVIALSERSSMMREERLPSSLGISPPMLFL >OB03G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2142172:2143057:-1 gene:OB03G13580 transcript:OB03G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASSSLSRRISMTRRWELECSSGEKVGLFAGRYSGNRRPDLNRTPHALHSVPRPVGPSLHCGVSVEPQCTQLLFFFFFCFGFFAFAFAAGAGDGEGEGWWFLLGGGLTGAAAAASTSAAMVSTDGNASLFESHSSSSSSSPSPCPPPPTIAASKPCKNHTKNQNFQPYGNPAPPPWTLGLERKTYRVVKEAVQAGARVAGQLPGQRRRVLITNHVRRRRAVQQRAHRPEPDAARVAEGALPHRPRPPLRRLHRVAVQAPPRPLRRPLARRPRDKEMEFLFDFSWHLYLIP >OB03G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2142197:2143246:1 gene:OB03G13590 transcript:OB03G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQWRSGPMGKSTLCNACGVRLRAVGTLLDRAAAADMVGDKDAAALAGELTGDAGASLNGFFDHTGLDAAMVGGGGQGEGEEEEELEWLSNKDAFPSVDTMAAEVEAAAAAPLRALRLDGDAAVEGGADWAWHAVQRVRRAVQVRAPVPGVPPGEQPHLLPAAALQLPPPRHGDAPRERGGRLGRHPRQRQGAPRRAGGGAFRRQGQQVMEQNRRLLPPGGDGGWGRLACHLLYLLFHFFSIFHFVGH >OB03G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2145578:2148716:1 gene:OB03G13600 transcript:OB03G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G24040) TAIR;Acc:AT3G24040] MQPPALAGPAATAALPPAVFPKDSRPLPCLLLASLLLLLLLHLLSSSAPPSSSPPLPATPDHIPLPVDASSSGPTPPSLAFLLTGSVGDADRLLRLLLAAYHPRNLYLLLLDRAASAGERARLAREARAGPGRANVHVVGDPGFANPHGASTLAATLHGAALLLRVGQDWDWFVHLDAGDYPLVTPDDLLHVLSYLPKDLNFIQHTSYIGWRESRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSRKFTEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSHEFNRTVVNHDLHYSKWDSHSKKEPLLLTLDDVENMTQSGVAFGTGFSKDDPVLNHIDDEILHRQPGEPAPGGWCIGVGDASPCSVSGNPDILRPGPTAMKLAKFLAQRLTYQNFYSQQCIWD >OB03G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2149287:2151422:-1 gene:OB03G13610 transcript:OB03G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSVRVQIPDQLPTLFEPQKAIMTPHSRASVDDEDDGDAAQPERRLTVLALQLAVLEKAGSRLGTLGFIWATVVLLGGFAITLGRTDFWCITTLLLRYGGSAEARTNRSSALDIFYGLALAEGLLFLVERALWQWRVGHRRLLERVAKECHLATACGAVAVRRFFYDSYSRCLNGSIFDGLHMDLVSYADDLLTAGSHDEQRLGAFVLVALVESDHFADSTLRRIGTSAPTTERLIEMLSWNNSSEKGVRRSAAVVVSMLTEGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDICDKIGKTKGLLDKIISYSSIANASATARTDTRLMAGGKQSLRVVKRLASITGTTGKILRRELSDIVFTVSNVRDVLEQRDGKARTELHQLAIEILTNLAMDEEAREMIGRTGGVVSELVAMFLPATEAVGDKPADAVRVEAGEALAMLALDSRSNCGAIIVACGGGVERLVEALSDPVVVVRAARILRNLCTYAGEEWQLPLRGIIAGANKVLRTIMAEKGKVLNIFLGLAAQMLRFMDPGELRTSLAAASITEEALAKALVQVLREYSRPSMAVPRIRRYAIELAVAMMRSDERYVALFVELGLQGQLRRVAATTSELECFNVFSGSVGLSRRGVSVCADVESALELMRQA >OB03G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2152927:2162038:-1 gene:OB03G13620 transcript:OB03G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGEPASPATAPPGQPEEAAELSEGAVEEEESTATASPVARPESEAATESSSSMTLAGEEAAAADGEEVEAYGPASGLLGAGGDESPSVSEPREEPGRVDTGSAASAAPSVLRSEQRARGAEELENSIAAPSRGGSPAREEAKSRFSSAPSSPVLSGTSSSSSPLLQIKQQVRHVRTGSFQRFRQQMQRAWKWGPIGSGGGAERSPREQLLRTTVNIEAMANQKRQGGPNPPHKSRDHKQYKEPTTLFENFFVVGLHSYANVGIIEDAFAKKKAWESNVEHLEIVDLRKIQYHGPIPTMEPQILFKYPPGKRAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPVPRSVKEHNQINGDYESPNGLSYNDWTEYAVPVNSISGLVSSSGLPSEGDVASYLFRTWEPNSPESISASETSDSSYIRELEKEGRHSFQQYDDCISENLESRCDSFGRASCTYENGHTSPDLLSTHSPISRRIVRAQSMDSLHSSVKGAGSDEEEETSVKHEFVDDEKVMGWAKAHNNEPLQIVCGYHALDLPPRGGELVFHPLEHLQPVKYSRPVLSSLGLGDTNLDNGLTTIQQPEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVEKDQVKACSLPQLPYFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAACQFLNVMRTYLESLCSDLRSHTITNVQANNDRVSLLLKDSFIDSFPSKDRQFVKLFVETQMFSVLSDSRLSTFENELT >OB03G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2167007:2168281:-1 gene:OB03G13630 transcript:OB03G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTVQRLPLPPALPQPGRGKPSRRNMPPAVCRYWKAGNCNRHTCPFLHTDVPDHPAPPTVVNKRNNTWVNPSRVANSDDKGRVRPVQPKKRQAEEPPESPAKRRCGVQGPAGGAWCVGDGFCGVQRLKGHAKEVTGVALPEGSDKLFSGSLDGTVRAWDCNTGECVHVAEMQEGEVRKLIAMGPWVLVGVRGFVRAVHQGTGKELRLRGPTSPVTAMLAEDDMHLFAGAEDGAVYMWRMNQEQQSFDEVAALTGHDKAVVSLAQGKGALYSGSADGSIRVWDLETHRCIYSFAGHSSAVTALLCWERFLLSSSDDGTVKVWQSRPDHDGLDLEVHYTHEEDERVVSIDGTYDADEKPVLLVSRGDGVVRVYDLPSMKKRGDIHCDGEVRTMSVRSHGVVFTGDASGEVRVVKWTPLGAAAAQA >OB03G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2169112:2171758:-1 gene:OB03G13640 transcript:OB03G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G09890) TAIR;Acc:AT3G09890] MAVPGRRNDLVDDGEIDEEFVALSEDEDRPLPEHLFALADAAQSGNVTALVTALDNYDGKVDDPIEDGDTLLHISCLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEIVRYILDFAANIDGCLTRMLNTVDSEGDTPLHHAARGEHLGVVELLLRAGACPKKENTYGQVPADMADQGTEVWKKLTGDQVEASTHTIN >OB03G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2174870:2175208:1 gene:OB03G13650 transcript:OB03G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDPRFIAPHGPCAERAVRFSTPRSSAEALGWHRDSVPAACCRCSDSRGWARNRRGWPVLVARRYAPARGTVPRDSRPRGSVSCVFFFLFLSRFVVKSVMAGGETAELTRR >OB03G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2175385:2178374:1 gene:OB03G13660 transcript:OB03G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLAIEQYGCVHYRRKCKIRAPCCGEVFGCRHCHNEVKDSLEVSISDRHEIPRHEIKLVICSVCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDLSKNQFHCDGCGICRTGGAENFFHCDKCGCCYSYVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYELRSHKQFSCPVCLRSACDMSHAWQKLDQEVAASPMPAIYQKKMVCESGHFRSSVKPGKYMLNKKCFGYFGCRYGSFATIAGRHQTCSFTSWDTSAPDAALTTPGRPEATRRHAPESEEQ >OB03G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2184383:2190483:1 gene:OB03G13670 transcript:OB03G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNGKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWSKEIEIHSTNKECIKMLVGNKVDKNEERMVTREEGLAFAQECGCLFVESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKHDNNAKNGRGCCQ >OB03G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2192576:2197146:-1 gene:OB03G13680 transcript:OB03G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLTGGGATTPSGLIAAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWVAQLLGSTVACFLLRFSTGGLATGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWESQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >OB03G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2202985:2203323:-1 gene:OB03G13690 transcript:OB03G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTRSSKPALRSASLDAGYIQPHGDLLERRFRDGHRRAESDVHHARPASAFSSSHCIHEGLLAPAPLHGKSATRARHSGRWATPCGRHGDDPSARCREERKKRERRRER >OB03G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2203322:2206046:1 gene:OB03G13700 transcript:OB03G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:J3LJZ4] MVVREWVWTNGGFCSTTAERASSATDLEEMVRRMILEGLGVAKHHETQSESMWHLFRMSEYKAPNSDEKVTGYITHQNTNWLSIVCQNEVNRNEMQTTDGSNELVGSEEIPCVARELMVTRAGADAARNVAALAAKAWEAVAEGGSWWKTLEMATVPTPAGVDHEVVVDMGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLTAARSPSSSSRRRPRPRRRRCCPSNRPAGGLQCAKP >OB03G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2209787:2210062:1 gene:OB03G13710 transcript:OB03G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPCRHATTHARWPPPSPFLTSPRTRKIRIPPHPAAGFRHPSVVCSRLPPPSVVLELCSSGSTYVLLAHLGRRVRPCASYKNRQAKGSWLVA >OB03G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2210101:2213843:1 gene:OB03G13720 transcript:OB03G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske [2Fe-2S] domain [Source:Projected from Arabidopsis thaliana (AT3G44880) TAIR;Acc:AT3G44880] MPVMAPTPSLLLSPRPLPASHRVPSSLPALPASSRLRXXXXXXXXXLRVAAPPSVPGEADQAPGETEPSTSSPDEKFVWRDHWYPVSLVEDLDPGVPTPFQLLNRDLVIWKDPKSGEWAALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKALRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATEPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRITATFVAPCYAMNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITYTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEVLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVAFCATAGIPADVQFRVLLAASALVSAAVAYAFHTLQKNFVFVDYVHAEID >OB03G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2217147:2220615:1 gene:OB03G13730 transcript:OB03G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPLPFPATFRRCRAVVRASSSSSSSSSPAVSSSPKARFVARRSESTSVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNRVFCNSSMNDSTFQQLTSDTTIEVTIDIPFPFQSLPVEAIESSGRQVLGQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >OB03G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2224921:2245332:1 gene:OB03G13740 transcript:OB03G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36810) TAIR;Acc:AT2G36810] MPSSSAAASAAALEAVQVLVTSLADECPVARDAALAALREIAPMNPMLVLDCCATVSRGGRRRFGNIAGVFLVMASAVRTLDRSDADREFLRKLAKIATAEIVSSKELNADWQRAAASLLVAIGSHDPDLMMEELFLYFTGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDATSELPFDTDVMSFMNSVFELLLKVWTCSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEVAFIASHSLHNLLNASLLSESGPPLLDFEELNVVLITLLPLASANTSNDEHSYLTKGLKTYNEIQHCFLVISSAYPEDLCIFLLNKCKSKDEASIVGALGTIKHLLPRLLESWHTKQALLVEIVKSLLEEQSLGIRMALAELIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATVCKCITELCRHKLSQTSPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKSYISDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCTNSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILNSAGQSALQRFLSIFSFGVKVEEVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGQAVITAAEMGISFPLKRRDQLLEYVLTLMGRDQNDDLVDFSTELLHTQSLALSACTTLVSIEPRLPMETRNRVMKATLGFFALPTEPSNIVENLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCVAVNEMLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSSLPSAFVLPNRDSLSLGERIMAYLPRCFDTDAEVRKVAIQIIALFFNIALSLPKQKASASDIDLESSYSALSSLEDIVSIIRRDASIDQTEVFHRVVSSVCILLSKDELVVLLHSCTLATSDKVKQSSDGSIQAIIMFIIRRGKELREADVLRATQCLLSSAVSLTDKDSRREVLNAISCLAENTNHIVVFNEVLFVAGRDICTKDISRIRGGWAIQDVFYAFSQHMVLAILFLEYILSILHKEPVATNDSEKGETASESSADDCILQATMFALNAFLRGGGKMGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCNILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCMPKYIQQVLGVVLALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPILINLLVRLRNLQVSMNTKMRSSAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLNKKYFSSDRRSDYEDFIRDLTRQLCRLSPARVDSYLESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAAASSALGLLIKRSNVVKTLTPKFDRAGSSQNSQHGDSHMKTSPELQQETVDMPNDMQGEQ >OB03G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2250479:2252342:1 gene:OB03G13750 transcript:OB03G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETTPRCYRRLKRASDVTAAASCSKRVCMSKEHSSYDQDRISSLPDEMLILILDKLDARTTITTILSKRWLHLPRRPRTCYDFAIDDLLPPRYYRVRQLWMEAKAAYEAEKEPYVASRRDIDPDLTEFYAMKDKMDQWKRTGRLKAAVERYERRAIRCYVKRVDAFLRPPDGVQRTVQKLRLQTFAGGRWNQFIQGWIIAAIARWGVEDFEFSVKDGCICYDFDKLYRFQNVRLKRLTLCNCLPLDYYNSLTFQRLTKLSAWKASHLALISYALTNCVHLVDLNIRYLPEYHAGVHIIVPTSRLKNLLVDKCKIGKIYLHLLPCLETFACRHVSLDYLKDKGCIKINPSVSNISFPLSKFLKRIPPIESLVLQFKGPEVRNHISLSIRIVFIIF >OB03G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2252648:2254957:-1 gene:OB03G13760 transcript:OB03G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPGSNGEKEKEDEVVEKKWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >OB03G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2259788:2260012:1 gene:OB03G13770 transcript:OB03G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHDFTEMVVSVRCSLLQCSPLCLTPTYNGYSSEPQTHQLVDSYRSDQHPEKIPRSLLVRPIRVVYITPSVPK >OB03G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2274424:2274837:-1 gene:OB03G13780 transcript:OB03G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFWSSWSATRTNATYWAKYSLLPDVFAPPFPGPLVWPGAQDVDVNMLSVPPNFPIPPVAAKHRDSPSMGAGPGHNIHLNQIGSGICAPTNVFRLSFHQLGTRHEMAHDKPPAGGGWTPHRNSGPIRKAPSGGGE >OB03G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2277755:2280393:1 gene:OB03G13790 transcript:OB03G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein [Source:Projected from Arabidopsis thaliana (AT3G08980) TAIR;Acc:AT3G08980] MGTRNHLWLIAKRTLAWYLVGFTISDRYATVISVTGDSMHPTFTAASSSLRGDVVLAERSCLEKYQFSHGDVVVFKCPSDYRELFVKRLIALPGEWMHLPGSPDMIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLIKGRVTHLIWPPSKIGRVDTKMPGNRISPV >OB03G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2280673:2281214:-1 gene:OB03G13800 transcript:OB03G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISRLVARVRDEGEHMLALLQLCVEHRGAAAGGKGRLVQEVLRQLSKNEESFRQQLDDLEEHLFLCFMTINKARIMVMNFLAAASIIGKNLNGLLCINLHLLIPIYYEAKKEKACLKENYTRR >OB03G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2286728:2286937:-1 gene:OB03G13810 transcript:OB03G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGRTCKRSYFRRLALHARSARKQEEEEKKTISLWQSCSTSKRRHFFFLIGFYSAASGESTSSSNGRHVQ >OB03G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2289086:2290822:-1 gene:OB03G13820 transcript:OB03G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAWPGTLVLVAGVLLCAAVEVAVGAKRVSISDDLRDVDEVFGIATRWTNVLRTGSVAAKFVAVDFGTLMFTMDRGQDIAELKEFILSQPEAYEFKIGDQVFRRPGDPPLDQVVEMLQKQKSQDSDSRPYKSRVEL >OB03G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2293337:2294930:-1 gene:OB03G13830 transcript:OB03G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSVRNPAEELEDEIVEVGADHAGEGSGADPGKLRKTLMLLFQLDDSCSAGAAHPPEAKDPQVAEFEVDPGGGGGGNRGAKWKGGAWLRSILPRRGMRWRRKEPPRPPRERPVDPDGAGDDAQVERKPSFRRSCEWMEPPPPPDGARRARRSNAGETAAESRASVAESRNGEHLVDAASEGRHFSGRNCGDTGPEMAMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRGRNAETGDMDCEIQPGRNGHGLGRASQGSSRSSQAAANWDVHNFRTDWLRSKGNRECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSAKSANRGRRRNSRLFSRGLFGFI >OB03G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2301252:2301830:-1 gene:OB03G13840 transcript:OB03G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRRNTYSRDLRCWEGWKSSDKEVSGERASAETKHDRKAPSKATARPPALLTDLSELYDSVVPTEARERSRLSPGSLRGLALAAEPPPPSLLPIISVSGSIRARSYRRPRWERRGQGRRRRTGPTALLVWKEKSGAPSAFDDDGERSGGGKKMRRRRRRRRSETRPWAGGVGLAAFFRCRARIKVDDWFG >OB03G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2301541:2305077:1 gene:OB03G13850 transcript:OB03G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRDGGGGSAARARPRSDPGDSRDLSRASVGTTESYSSDRSVSSAGGRAVALLGAFRSCFVSAEARSPETSLSDDFHPSQQLSQSISSQGSSSGSNFERRRSIRGLYGPMHRNSSDKEIPGSTMFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLIAVKRAKKTVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGNILEFSLRLDIAIDVAHAVTYLHTYSDHPVIHRDIKSSNILLTNNYRAKVADFGFAKLAPIDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELITGRRPIEPRRTIVERVTAKWAMEKFVEGNAIQTLDPNLEASDAINLAVEKMYELALQCLATTKRNRPSMRRCAEILWSIRKDYRELDIPTPSMN >OB03G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2307716:2312029:-1 gene:OB03G13860 transcript:OB03G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDTRFLFLLLLLAQVAPDAIKQKGHIAGRVPESELRSDARVGLAERPPVPEDQGRDIDDGPPAKKPVTVLEATKGACGFSDAVARLEGSGKTVTEVATEPAAIVGAPAANGAVGGQECKEATCRADESAAELVATGVASFLTGASAELDVSKTASFANLTVTKPRVSERVSLVHEAATETRASVSVPKATKGASPDGEDAANSEITRGHSQESAAKMEVTKEISLVSEAAADTELAERVSVASEHFTEPGVKLSVPESPEVTERVPVASGLHITGFASLDKEGAVEPEVTGSGCIANEASEMKAKEGGCIFTRASAEPEDTGRASVCSGDDDIALDEPRPPDCVSVVANVKVGNNAGEAVASKLHPSRANAESVDGSTNSTSNGHVSSKSPTADEVAPPGGCVDTPSVSCLSDIVARSIGKSGRTDIICYARRKGKRKLEMVEVKEENVEMDDSAICDQYDDKLTSEQTGPCESVTSTAVSVEIKIADIKRELEDSSTASKGKRKKGQRFECEIDYCRMTFKTRADLSVHKKNTCTVKSCGRHFRSHKYLRRHQSIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQVHTGKKPYKCTTPGCSKVYKFVSDFTRHRRRCKPER >OB03G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2312895:2313554:-1 gene:OB03G13870 transcript:OB03G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDDKRLMAEIAASSLEQEVVAAAARRGDDDDVAAVTTTSTSPAAAAASTTRPYYECVFCKRGFTTAQALGGHMNIHRRHRGRAKPPGRRDAPTGVTSAVLSRPDVECYNQNRYLAYSTPPPPPVRPANPPTGSSFATYYTGDTAPTVAGVDADCPSLTRELSLFGVADNSCDHDLCLRLGRVDDGSPHTPEGSPEWLSGELPELDLELRLGRRPRH >OB03G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2320992:2321680:1 gene:OB03G13880 transcript:OB03G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVGGVPGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPADRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQLATLQVQVAQAKTQVAQTLAAAGMLTAAGNPLLHHHNQQQAWQMEHESITSTATQSSGCYSAPRSDGSTSLQDLYCFGEQEEGSYPR >OB03G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2324970:2325831:-1 gene:OB03G13890 transcript:OB03G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQAAQGMHHDGGAKGVYMSAAAADQLGYGAYDQWSGSNGGGGLAGAPSASHSQQCAYSNGAGHESITALLAAGSDYMQMQSLYHAFEQASDQDTGAMDDGQALGASAAYEAAESSSCGMAASFGVDESGWRSSSGYQDCEDLQSVAYAYLNRS >OB03G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2338701:2342316:-1 gene:OB03G13900 transcript:OB03G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGADGGPAAKALRPKFNIFTKNISAHLGVAVPHVELKHVVVATIGLKGLGGLLFILSSSFGAYLLLLYLAFITPVVYDFYNYDMEKSEFVQLFIKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTT >OB03G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2346374:2352806:-1 gene:OB03G13910 transcript:OB03G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3LK15] MGVSFHHAACSAVAMPASWGGFRNRKAASSIVLHGDKKEHQVVSLQMASSMGALWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPYIYVTYRHLVVAVFLAPFAYYHEKKLRPRMTLMLFLEINVLSLLGVSLTLNMYFSSLMYTSPTFVTSVVNTVASITFVIAIAVRMEIVDARSLRGLAKIAGTVVSFAGVTTMTLYKGAALRSPWNAPISIHGGGGGGVHESWLKGSFLAVASCVCWSIWYIMQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQRKPEDWRIGFGLKFWCIIYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKDKDQGYNADKEQESDLDCEKQAKITDFSAVQSDLEEPRTKK >OB03G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2354764:2357664:1 gene:OB03G13920 transcript:OB03G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNAEVATVGAAVHEQLARTKTAMGRRWDAVSNLMRALELKAVLLDQGSVELGNAYKDVAEAYTGVLAFEKALPLCHKALEITRNQFGGESPEVAKVRQLLATVYVGLNRNDEALEQNEIVRMLYEKLGLDVELSLVEIDVASVLILLGRSEEAMDVLKKVIKRAGKESEERALAFVVMASILCIQDRSADSKRCLEIARGILDMKVSVSPGRVAQVYAEMSMLFESMIEFEVALCLMKKTLAFLNGVSEMEHIQGSISARMGWLLLKTERVDEAVPYLVTAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMDQPQPAVKYFTIAKDIINATYGPKHEDSIEAIQCLANAYGVMGNYKAAMDCQEQVVDAYESCGPGALEDLKEARRLRYQLKLKARGLPHAVFPANSLPTKFQNRE >OB03G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2359910:2360122:-1 gene:OB03G13930 transcript:OB03G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHFSDRSLTRLLFPLQATCGSTRGRSMASCEVCADVVGCGHSPRRRTVHGLTGSQLITHTSEDLLSASN >OB03G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2366889:2367750:-1 gene:OB03G13940 transcript:OB03G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAFASSSPSPSSSNASVPMVVITVVGILAAFALLASYYAFGNAGCPFCRSDVTLPFPPPVSTSARTAPCTHPVSCSDGDAAADSARHRRRSDELINSIVIEVRGEHESWAVSHGGAPATRTNKQESVGDEAIDTRKKCEEFAVQPMRRSLSMDSSCHKQLYVSVQEFLTQRQV >OB03G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2384632:2385048:1 gene:OB03G13950 transcript:OB03G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEGGKYRGVRKRPWGKFAAEIRDPERGGSRLWLGTFDTAEEAARAYDRAAFARKGAAAVLNFPEEASRMSTGTGSSSSHTPAPPSNSAAAAASAAAPRGRGAGTSADKVELECLDDKVLEELLAEDNYRDKGY >OB03G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2387170:2388783:1 gene:OB03G13960 transcript:OB03G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDQQLQVLSALDAARTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDVTRPTPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFSHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLAMSSVFRSAFPAPAYEVNAAASTVPQADYVWRIILMLGAMPAILTYYWRMKMPETARYTALVAKNAKQASSDMAKVLQVEIEVETEKLEDITRGKDYGLFSARFAKRHGAHLLGTAATWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDVIGRFVIQLLGFAGMTAFMLGLAIPYHHWTMPGNQVAFVVLYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQPRDKAHVDAGYKPGIGVRNALFVLAGCNLLGFLLTWMLVPESKGKSLEEMSGEADDAERPANAASQSGVEMV >OB03G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2387521:2388051:-1 gene:OB03G13970 transcript:OB03G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALGEACREEAVVLAPRDVLQLLRFHLDLHLQHFGHVRRGLLRVLGDERGVPRRLRHLHPPVVSQYSRHRAEHQDDAPHVVGLRHGGRRGIDLVRRRRERGPEHRGHGERDNAAGEDAESLHGEDGGDEGTAGLLVSVLGHDGRGQGVVAADAEPKPEAEEAERGHDAGRRVREGEP >OB03G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2392355:2393908:1 gene:OB03G13980 transcript:OB03G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAHKFIKNMYTSHMQAVSRKLSQVKRTARCKQPLCASRAGSNGQTNSDRLGGLLVVGDLLRNHLERLALGLRDEQRHDRPEEVGAGEHEERVLDADALGVPGLGLLLVLRRVEEPESADDGAGLPGGGGDAVARRPQPRGVYLRRHDEGGAVGPEVGEEEGEAVHDDEAGVVAGRRPVVVRDGEAEHERRHHGEAHDLDPEPPHDLDEGDGEPVPRDGAAQRDERLRAGDAVELLERVHGLRRRDPPDLAEDVLLEQVLAVEGDVEQEPRAGGRQEVQPVAPQELPREEPPLLAADGYLVDAAHALLDLGVEHLGHVGRRLLRVAGDERRVPRRLGHLHPPVVGERSRDGAEHEDEPPYVVGLRDERRGVVLGVGRRGVRAPEASRDGERDDGAEEDPEPLHGEHRGDECPARPLVRVLRHDGGAERVVAADAEAEPEAEEAERRHHALGRVPERQPRRDRAHDHQDQREAIDALPAQLVAEPPKEQLPGERAAERHAGHGGRHVWRERAGGVP >OB03G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2392511:2394079:-1 gene:OB03G13990 transcript:OB03G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLNTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDVSRDTPGALPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGHTAKGVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALAVSAGFRRAYPAPSYSENHAASLVPQADYVWRLILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTEIEESVGRVDEVAVGGEEWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFAMMTAFMLGLAIPYHHWTTPGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDQQKPEPGYPKGIGIKNALFVLAGTNFLGTIMTLLVPESKGKSLEVISQEVADDEEAA >OB03G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2403180:2404713:-1 gene:OB03G14000 transcript:OB03G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEQLNVLARLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRLYYTDLTKPNPGTLPPNVSAAVTGVALCGTLAGQLFFGWLGDKIGRKSVYGFTLILMVVCSIASGLSFSHTPKGVIATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPSPSYAQNPAASLVPQSDYAWRIILIQNLFQKAIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFAMMTVFMLALAFPYHHWTTAGHHTGFVVLYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYASQDQHKPDAGYSRGIGIRNSLFVLAVTNFLGMLMTLFVPESKGMSLEEISKDNTGEEA >OB03G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2409474:2415313:-1 gene:OB03G14010 transcript:OB03G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPTAASASSVAALAPGVGGVELTVTLDQVPRWSDPDQRLSSSSSPTAAAGSETPASSFLSFADPLIGDGAAGAGGRGASRFPVDHEINSKICLWRGHPWNVEVDAVVNSTNENLDEAHSSQGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAIGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKISAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASVKLPADVGDENGETIIDERKIRIKPLPAGAAISKCAAPAPVDIPLSDSGLARRGNSFKLDSYLDPTFMSIIKDPDLQRKEQWEKSVQANKGFNWAKLLGYGDLGGPPLSAAEEYSLHSRYLVKANSLNPSEIAEMKIIYRGGVGSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVQPDLGFMKRLQQILGRKHQHNLHAIYVLHPTLGLRTAILALQLFVDREVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >OB03G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2415105:2415362:1 gene:OB03G14020 transcript:OB03G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSANERKDEAGVSDPAAAVGEEEEERRWSGSLHRGTWSSVTVNSTPPTPGAKAATEDAEAAVGERCCMAAGTEPRARGHGGER >OB03G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2417298:2427280:1 gene:OB03G14030 transcript:OB03G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein / transcription factor jumonji (jmj) family protein [Source:Projected from Arabidopsis thaliana (AT5G04240) TAIR;Acc:AT5G04240] MWRQQWLLSLVLVPRGRQCSTSSNGSASTQTTEIGPVYICSPLTTMQLVAYRPTESEFADPIAFLSRVDREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPTTSDPAGPSSSPPASAAVFTTRHQELGTARRGRPTPQVLKQVWQSGERYTLDQFEAKSRAFSKTHLSGLNEPSALTVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKRKRESAPMDEWEKSAGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNPDRIGTCLVLCFFIEMQCPTASSLAVLGEKTTLMSPEVLIDSGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRNPRELLTGIRTSRLRDRKKEERELLVKQEFLQDMISENELLCSFLEKKSVNNVVLWEPDLLPSLTALHPCSSALKVHEKKGEDGPRIEPAESNSKDNCSSDGTEYITGTISKGLSTDSKQAPEGEKLDTDDDDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKVSCEKENSSNVLPCSPNDGSSGCSLITSKSSSPVENANFSHQNLKPNRSDTSLKGKEFDGTLGKHSSTSCSCSSGDTIDPYGDTETPEKKIPSDGPFSELSKQTDRGHINVQAVEVSDGTMRWNTGCTFARPRIFCLQHALEIEELLASKGGVHTLIICHADYVKLKALAISIAEEIEFHFDYKDVALANASKSDLHLINISIDDEGYEEEGTDWTSRMGLNLKHCSKIRKETSGSQKQPPLSFWGLFSKPSPISVVPNLKWLCRKARTPYKVVGYTSSPDVVAAPDKVKPAVTKSPIDTADNAHENVKSEQTLQKVCVLKETSDVADMSCCPKENDQDGHSLINIPIAVAEYPMMHQVCEGPVSVSSFDDPICSFDSQGAPTTAAVSAAKPTREQCDVESTELTSSSNPVQQFLDNELTVERSSMNLVSNHEYLESDNATSVCKEEQLQVQEDQEAMEPCNNPITDLVRPCLTEGITFAGELHGGAASSTLENEDSCGNTSYHSDTVLKDSKSDTDGQPETCAGSAVLVTPKSSCDQMISSGDRSCSLIVDFPVSSDAAVSSEKLSMAHGLMSTQAVRNSKAPLRSLNPEQAEASLTDLKAAKVNCIHATQLPHECPSSEFIISEGTQKSASVTAISGQNETSMHRESNSFDILLGVLANESKVASGKDEIGKASLTLMTLASNDHSADDVTQGKVIIDRSRDFCASDIVSRSIGSSNRTNIIFYTRRKLKRKNRSKSNINSLQSIGSYVRSPCESLRPRTRPAIVEDMENETKSVEASVAKKRKRTKLESFRCEIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCAAPDCGQSFRYVSDYSRHRKKFNHY >OB03G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2427629:2427883:-1 gene:OB03G14040 transcript:OB03G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYRRTVGEEATFKERSKDLLRQFKDAPAGDHWVCLKNKVRAAGEYAALRTRQGIAMFGEPNVGSLLGGAKDDDCSKTPSAEQ >OB03G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2428691:2429344:1 gene:OB03G14050 transcript:OB03G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESLSNSFAKRTLFDPSLRALHLLIRFRAKRTAAVASLINQLLRCSFAPPAADTIVMASSSPYAPHLTRWRVAAGGVVLDCVEYEGKPLFLPPEEDTRERLEIAGEVFPLMDETMVPVLDDVCGGVKAARCVEYSDDDDDGAVLLLTVTEGKRKEVAEVDPRDGEVRVVGCGGYYDGESGTVQHVVDVQGEREAYMLLVSVREELGRIVRIKRLN >OB03G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2430605:2431285:-1 gene:OB03G14060 transcript:OB03G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPANSTAFSGEVWAELRLADASDVPHIHALIHQMAEFELLTDLFAATHELLTSTLFPSPPRPPLPSFTALILVLSPSPLPAAADSTIGSCRLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGGQEESGKAAAE >OB03G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2431518:2437307:1 gene:OB03G14070 transcript:OB03G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G21540) TAIR;Acc:AT3G21540] MVKAYLRYEPALAFGVVASPESNVVYDPSGRLLLAAALDRFAAWDLKRGLPSLSFATTSPSPSLAVSCLATFPSAAPATGSSIASGHADGSIRLWDAETGSRERGLRGHRSAASALLFGPSGAVLASGSKDCDIILWDVVAQAGLFRLRGHRDQVTGLVFLDSGKKLVSCSKDKFIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKSAEGEDWSKWDMLKLFGEIPRQSKERVATIRFNKNGNLVACQVAGKIVDVYRVLDETEALRKAKRRMHRKKEKALAKSAVAEENGTVIDPLSAQDWQNPTPVVTDVFKLLHVLRSNKKICSVAFSPNNPPKGCFATLSLSLNNNMLETYSVDNENVSKMYSIEMHGHRSDIRSLALNSDDSLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINSGSSIEVIEAHAGSIRSVVLIADEDGTIGARGFVTGSADHDVKFWEYQLMQKSDADSKQLSVTNVRTLKMNDDVLAVTISPTGKHIAVALLDSTVKVFYMDSLKFFLSLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHKSIFAHADSVMDVKFVYKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFVVTGSHDRSIRRWDRTEEQLFIEEEQEKRLEETFEADLDSTVDHRYGQKDGAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEVKRLHQHKVEEENNGRGAQFQPNVIMQGQSPSDYVLNVVSNVRANDLEQALLSLPFSDALKLMSYLKEWSLVPLKVELVCRVCLVLLQTHHNQLTATPSARSMLTELKDILYNRVKECKDAIGFNLAAMDHIKELLAMRSDAPFRDARAKLLEIRQEQSRRSDRSVGTEKRKRKKQKTSGES >OB03G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2438915:2443040:-1 gene:OB03G14080 transcript:OB03G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEPSSSSDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVIGMHSDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFASAAAAADDDDLYS >OB03G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2445432:2449327:-1 gene:OB03G14090 transcript:OB03G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G54840) TAIR;Acc:AT3G54840] MGCSSSVPARSTGGLNNINNDNSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAVVVYDITSPESFSKAQYWVKELQKHGTPDIIMVLVGNKADLHENRHVSSQEAQEYAEKNNMVFIETSAKTADNINQVFEEIAKRLPRPTAS >OB03G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2455887:2457668:-1 gene:OB03G14100 transcript:OB03G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAPKGAAEPVVLRMELHCAGCAQKVKKSIKHFAGVESIVADTATNTVVVAGTADAAALKARIEAKTKKPVEIVFAGGPKKAAAAAAEPKAVKDGGDGGEKKGDKDAQPKEEKGKKQPPEEKKPKEETVLLRIRLHCDGCADRIRRRIYKIKGVKEVVIDGNAKDEVKVSGTMDVPAMLTYLTEKLNRAVEAVAPGKKDGGGDDKKKDKGGDGGGDKKKDAAPATGDKKDKGKSVEVAGPSTAAAAASMAPAPAEASTYHVSPYGYGYVAYPQQQGPPPSYYQYYGGGNGDGLGDAYPNAGGGAYYHPHPNDVPNHQPPSYPPYPYHLDMSPAPQLFSDENPNACSVM >OB03G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2461333:2465580:1 gene:OB03G14110 transcript:OB03G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVAHLPDDXXXXXXXAEAPAAAAGAATSTPASENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNSILPPDPDNPNAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELLGLKSRIEKKNAYLQELQDQFVGMQKLIQRNERLYGSGNIPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMSSCGEQNDGIHDLIPNGGESSSMPNIYRQQMQQPARATNGTARLPSSPPIPGILKGRVKHEH >OB03G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2468554:2470315:1 gene:OB03G14120 transcript:OB03G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LK36] MDARLPLPPLLLLLLLAAVASAELSPEFYSSTCPEAEKIVSGVIERKFKEDPGTSALLLRLLFHDCFANGCDASILIDPLSNQSAEKEAGPNVSVRGYDIIDEVKTAVEKACPGVVSCADIMSLSARDAVRLAGGPDYVVPTGRRDSLVSNREDADGLPGPDIAIPKLIAEFSEKGFSMEELVVLLAGGHTIGKAKCFFIEVDAAPIDPAYRSNITSFCDGKEGDKGAVPLDPITPDIVDPNYFGLVMAKKMPLTVDRLMGLDPRTAPVIKDMEAKPKDFAPLFAKAMEKLSVLKVITGKDGEIRKSCSEFNNPVTTDDGPSVIRISSLNPEEMIGSMPAATGKVKSESRKMAAGGAMEERMKAAMKPPGDIKLESNEATSSVPAMAFNANQAAGGVDTNNVAGNEAEAEEKKSKKKKKKKKKASAEATVEAPGSEASKEEKAIGGQSFSIAGAGNKGIGGEASKAEAIGGQSFSMAGTGAGEATKKAKAMGGQSFSMAGVDAEVKTKAMKSQSFSMAGTGQDANKGTGGEEAKKRPQPKLRGGQQL >OB03G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2471287:2477055:-1 gene:OB03G14130 transcript:OB03G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT4G05160) TAIR;Acc:AT4G05160] MANAAVPAAGYGADGVSAVLTTAAALSSRAGVRPGDAVLILAPNSVLYPVCFFAVTALGAVGTTANPDYTPREIAKQVSDARAKLVITVSALVPKIAGLRLPVILLDDDAKAAASSLPSGATVMLYNNLVAGVKETEYRRPPMKQSDTAALLYSSGTTGESKGVILTHRNFLAAARMVTSDQDERREDPNVFLCFLPMFHIFGLSVITFAQLQRGNAIIVMSRFDINSMMAAVQRHRVTHLFCVPPVMIALAKHGRAGKYDLSSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQGYGMTETCGIISLEYPEKGQAREFGSTGTLVTGVEAKIIDIETSQHLPPDQLGEICIRGPNVMQGYFNNVQATEFTIKQGWLHTGDLGYFDGGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHSEILDAVVIPFPDAEAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVMFVDTVPKSASGKILRRQLIAQVRSSKL >OB03G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2482749:2483018:-1 gene:OB03G14140 transcript:OB03G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVSKGHQSAGCHGREGKQRRPPGEVNYVCCCAIVVGCSSSIRDPPCRGAERWRHCGQDILAMGLVLKAQSRPDINNPSHGVNTHSV >OB03G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2482889:2485118:-1 gene:OB03G14150 transcript:OB03G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDQSLDLTTGLDIQWVTEDRVTLFTSDGLVQIGGSLVPRRVTPSEKRQRKVKGIQNIRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEGCVTILHLQP >OB03G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2486671:2492428:1 gene:OB03G14160 transcript:OB03G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAGSLQPFLSRPACVLLRCGHRRLPPLLLRASSTSTSSAPDFNITFAEPAPSKPSSTDPSVEAVVPWIIRGEDGKPSMRTSPPPDVLQAIALAEAEAKKAKKDPRKSQKGALAIPASNVKVKEKKAAPAAPPKFSKAARRFYNENIREREPQRLAKVLAAAGVASRRTSEELIFQGKVTVNGSVCTSPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEPKSVISLFDDYLKGWNKIQPGVPKPRFFTVGRLDVATSGLIIVTNDGEFAQKLAHPSSNITKEYVVTIDGAVHRNHLIAISEGTKIDGVKCVPDLVEPLDVQTNTKRTRLRIVVHEGRNHEVRELVQNAGLEVYALKRVRIGRFRLPADLGIGKFIELKQADIKSLEGNK >OB03G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2494249:2499174:1 gene:OB03G14170 transcript:OB03G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTSQPVVPELPRIPEQDHYKDGLQRPVTTLEGLIADDPYQPSASIEDGDANNGAVDTGGDSSDVDSKNPAPAGKHTDISDDEGWITIPNKKLPDDWNGLSDMLQLQPLDRSFLFPGEQVHILACLSASKQDAQVISPFRIAAVMSRNGNKSSHVTENGDADGKNGENGSQVVEDDMQSVELNGEMSPSKQDILETESFLRLEDHKQQIESMLQRFKMSNFFVRIAESDEALWSKKRLAVSKVPEEQSYSDGLENNKASRSNAYNTISDKGVFDGSTSGGVARGAARCYALQNGDIVVVLQVNVGVNKMEDPMLEVLQFEKSSSNNYVTENMINGVSSGDDDPCQELLSWLLPLDRTLPPPRSLSPPTLNPSASHKQSYSSSGSQIFSLNHFRSYSMPSASSNQLPNIRPPPISETQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWQRKVEIIQPIEVHSFSAKCTAENLLCVLIKNIAPQHVKDIVVFVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSSKDRRFNSDAPLTLSLPKMNGVATNVSVPKVGDTSVSLADQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESGRRSGLGKHRAGFRRLNSVLAGSPKEIDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASSASLTGSS >OB03G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2502419:2510669:1 gene:OB03G14180 transcript:OB03G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G54870) TAIR;Acc:AT3G54870] MAYGQTGTGKTYTVGRLGKDDPSERGIMVRALEDILSVMSLETDGVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIRDLEHVFQLLQIGEMNRHAANTKMNTESSRSHAILIIHIQRSSRAKDESTTSLPNGTGDLFSDSLPPVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINAIAENSPHIPIRDSKLTRILRDSFGGTARTSLIVTIGPSARHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEREVDHLTSEMERQQKLKNSEKMQLERKLRESEASLNDLKVTSAVKIENIEMEKHQLECTVKTLMMDLEKEKGKNNLLSEQIIYLETSLGENKQKQLENISNTNILADKTKSDEKKIRELLRQLEDERSRSASMNDQLSVLQELSDAQSYVQANMTCELEKQLSRTTEEFTSQICSLEEKIAELISEKELVYEELKSTQEKVQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGHVPFMSKSGKSREFLSSQRGNISKIFEEVGLPNVLALLKSEEIEVQIHAVKVVANLAAEDINQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANVAFKTDDPQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRSGHNEVIAQIARGMANFAKCESRVISQGHRKGRSLLIEEGVLNWMVANSSAFSASTRRHIELAFCHLAQNEDNARDIILTGGIKELIRISRESSRDDTRNLAKKALNSNQAFLEFQ >OB03G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2510869:2518321:-1 gene:OB03G14190 transcript:OB03G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGGDEVRDDGERRSKRSRSEENRDRDKDRDRHRDRDCDKDRDRHRSSRERRDRDRDEKERDKEKEREKDKERRSRDREKEKEREREKEKEREREKEKDRERRSRSRSERRRDDEERERERYRDRDYRDRDVRRRKEEAAEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGATTSLTTGIGGTGLNTPVGLPPVSVLGAPPAAAPALQPTVPGLGSVSSLSLPIATQSIETAPPSECLLLKNMFDPAVETDPDFDLDIKEDVQEECSKFGQVKHIFVDKNTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPQQYSMKFPS >OB03G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2520063:2521501:1 gene:OB03G14200 transcript:OB03G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKDSGDGEDAVVVVGVGIAGLAVALGLHRKGVRCLVLESSPELRASGFAFATWRNAWQALDALGVGDKIRKLHLQLGELHVFSSSTGEIAQQMDLTVQGKRSDVMLSLISTDYPT >OB03G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2521881:2523042:1 gene:OB03G14210 transcript:OB03G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDVSYPYYVEEPRRCVASGETGCGERWKKNYQKAPSATPKKSLESKRMATPRSYTSLTAQFFEQRMLELFDGGAAIQVLIGCDGVNSVVAKWLGLAKPSYSGRLATRGLAHYPDGHGFEPEFKMFFGHGFRAGVIPCNETDVYWFFAWSPDHDSNGVEESAIKMKQFLLTKLGSSKIPAEALEVIERSEMNDVLAAPLRFRSPLSLVFTGISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLSDALLGGGKPAGAGGEGERIGACLREYARIRRWRSVELVGTAYVVGFVRQSNNAVISFLRDKWLAGVLARRLLKMADYDCGTL >OB03G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2525089:2527577:1 gene:OB03G14220 transcript:OB03G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDVEAAGVVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGYAFTTWTNAFRALDALGVGDKIREHHLLYERMVTFSASTGKPAATVSLQMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEEGNAKLLHLADGSTIRAKVLIGCDGVNSVVAKWLGLPKPIISGRSATRGLAEYPAGHGFGPEIMQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRGYVLAKLRAAKIPAEALNVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPMTPELGQGGCAALEDGVVLARCLSDAFVGAGPXXXXXXXXALEKYAEERRWRGIWLITAAYLVGFIQQSNNAVIKFLREKFLSGLLAKTLVAMADYDCGKL >OB03G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2531385:2532409:1 gene:OB03G14230 transcript:OB03G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASCFQLVVAVAACLAAAAGADSGRGTATVYGGSDASGTMGGACGYGNLYSTGYGTNTAALSSELFNDGAACGQCYQIKCEKENSKWCKEGTSVTITATNLCPADYSKPSDNGGWCNPPRQHFDMAQPAWEQIGVYQGGIVPVTFQRVSCSRKGGVRFTINGNSYFELVLITNVGGAGSIKSVQIKGSKTGWVTMSRNWGANWQSNNYLNGQAISFMVTDTYGTTLTFDEVAPSDWQFGQTFTSGTQFY >OB03G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2533064:2533219:-1 gene:OB03G14240 transcript:OB03G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAARLPMSAISALHGSISACTYPCLVFKQKSFTTGSPSFLLPSNHRKSG >OB03G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2535740:2536719:1 gene:OB03G14250 transcript:OB03G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMCKKAPSILLLAVVAAAAASLFAPATAGWSKGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSSALFSDGASCGQCYQIACDYQAEPQWCLQGKTVTITGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIFKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLITNVAGSGSIQSVWVKGTNTNRMPMNRNWGANWQSLAGFVGQALTFGVTSTGGQTVVFQDIVPSWWKFGQSFASNLQFSY >OB03G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2538666:2540300:1 gene:OB03G14260 transcript:OB03G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIVFATSLFIAVLAAAGFAPAQADWNKGTATFYGGADASGTMGGACGYGNLYAAGYGTNTAALSSVLFNDGWSCGQCYLIMCDGAATPQWCRAGAAVTVTATNLCPPNWALPNNNGGWCNPPRPHFDMAEPAWLQIGIYKAGIIPVLYQQVKCWRQGGIRFTVGGFNFFELVLVSNVAGSGSVRSVSVKGGSTGWITLNRNWGANWQCNSGLVGQPLSFAVTSTGGQTLYAYNVVPSWWTFGMTFTSNQQFSY >OB03G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2538943:2540294:-1 gene:OB03G14270 transcript:OB03G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding AELLVAGEGHAEGPPAGHDVVGVERLAAGGGDGEGERLADEPRVALPVRAPVPVQRDPPRASPLHRHRPHRPAPRHVRHQHQLEEVEPSHREPDPSLPPALHPKDGDDAGLVDADLEPGGLGHVEVRAWRVAPAAVVVGERPVGRAQVGGRDGHRGARPAPLRRRGAVAHDQVALPARPPVVEQHRAQRRRV >OB03G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2543555:2545142:1 gene:OB03G14280 transcript:OB03G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIFLQLVAVLALCFAPARSDWPPGPATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTALFNGGASCGQCYLIICDSSKAPNWCRLGKAVTVTATNFCPPNWDLPSDKGGWCNPPRPHFDMSQPAWENIGIYQAGIVPILYQQVKCWKSGGVRFTINGFNYFELVLVTNVAGSGSVASMSVKGSSTGWIQMSRNWGANWQCLAGLAGQALSFTVTSTGGQTIVFDSVVPAGWSFGQTYSTYKQFDY >OB03G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2544045:2545034:-1 gene:OB03G14290 transcript:OB03G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding VELFVCAVGLAERPPRRHDAVEDDGLAAGGGDREAESLAGQSGEALPIGAPVPRHLDPPRAGPLHRHARYRPASGHVGHQHQLEVVEPVDSEPHASTLPALHPEDGNNAGLVDADVLPGGLGHVEVRAGRVAPAAFVAGEVPVGRAEVGGRHGDRLAEPAPVGRLGGVADDEVALPARRAAVEQRCAQRRVVDA >OB03G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2548673:2549932:1 gene:OB03G14300 transcript:OB03G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIFLQLVAVLALCFAPARSDWLPGTATFYGGADGSGTMEMVKCWRSAGVRFTINGFNYFELVLVTNVAGSGSVASMSVKGSSPGWIQMSRNWGANWQCLAGLAGQALSFTVNSTGGQTIVFDGVVPAGWSFGQTYSTYKQFDY >OB03G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2552809:2557911:1 gene:OB03G14310 transcript:OB03G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDQHAAANAGGGTPAPTDSPPAGKKAGAAAVAWKRPGNGAVQVVVAPGSPIMDADSWPALPGLASPPPPTPPRASPKAAPPPSAEVVIPPVSLGNSGAPDANPDHEALVRNPPARRALVMPAGDGLDKRAPGSEPSPVHTPNARSNGGGHWRRHSQNGRYGSHPHGRGGGYGGGNRRGNGGGGGRRGQEHHGGFDGQRRGGGRRDGHGPAHQQRGHQPSYIRAPLAVVTAAPPPPPPFVSPATPQTPPYGAPIGFPEIAPHVYYFASPSEGVQALPFVPHPASPPAMLITPFESLRMELLRQIEYYFSEDNLCKDIFLRQRMDDQGWVPVSLIAGFNQVKKLTNNVQFILETVLQSAVVEVQGDKLRKRERWEIWLLPKQGYSAGNSSGSLSPVTSNIDSLASQFQSVGLEGAGYHAGMQGMPGEALLTRSATSVSLGYQAPPLGGLYSNGSGPLFGQKSARSLLRSDTF >OB03G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2558064:2558222:-1 gene:OB03G14320 transcript:OB03G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPSQPNTSLSNIDHTQCLPPTLFMYKHNHLYENKLINPHVTAGNKYGSSGK >OB03G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2558889:2559488:-1 gene:OB03G14330 transcript:OB03G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGIIAELRELPAKMKILPKKKHKQFQKVEVMVRMDCEGCERKVRKAVEEMKGVSSVEVDAKQNKVTVTGYVEQEEVAERLRRRTGKKAEPWPYVPYDVVPHPYAPGAYDKKAPLGYVRNALADPDAAPLARATDDEEKLASAFSDENPNSCSLM >OB03G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2560361:2561146:-1 gene:OB03G14340 transcript:OB03G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIGTLHSSIPHPAHASVQSVTKTGGLFTSEILSSGWAGFIAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEILRTWGTRVVGLTLLIIGGMGIREATEVHESSLALEGVDCNVSSSEPLATPVAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRLAGAAFLGMFLMGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAICMGIALLVGQVFGFSLY >OB03G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2573714:2578169:1 gene:OB03G14350 transcript:OB03G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWENLGEVATVVQLTGLDAGRLISMAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELKKYPETREPLEQLEDALRRAYLLVHSCQDRSYLYLLAMGWNIVYQFRKAQNEIDNYLRLVPLITLVDNARVRERMEYIERDQCEYSFDEEDKEVQDALLNPDPSTNPTVVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDMGQCEVIQHLLGVTKTVASSIPDKCATPKVSEKCDSNLTKINEESAKTYDDDSPKKQKDACGAPRSSLPSSYGHDLVSSRGSYSDEWHADLLGCCTEPSLCLRTFFFPCGTFSRIASIAKNRPISSSEACNDIMAYSLILSCCCYTCCVRRKLRQKLNIAGGCIDDFLSHLMCCCCALVQEWREVEIRGAYDETKISPPSFQYMEH >OB03G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2579270:2584420:-1 gene:OB03G14360 transcript:OB03G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDITSSAELPPFSDDENTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILTGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVTGAGAVEGCVSYNDEPYGKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKEERTMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIVNPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKVHMENQNPQNNTKNDYKPSAQDVHEYLVDAYENRVAYKEKNKLLDPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPLIFMVIVYFMAGLKATAAHFFFSVLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYVSFNYHTYRLLLKVQYHPVPDFLINAIPLDNGVTEVAALVAMIIGYRVLAYVSLRRTKTSAS >OB03G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2588605:2592356:1 gene:OB03G14370 transcript:OB03G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHILNWLRDGAVPAMSESECQQLLREAEYYQLLGLADCINEILGWKKDENLLEAELTRKDVIKCIQAPKVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFCSAYLRKAKFRRTEATCSSVQSANLHECELIGANLEGSVLDKANLQSANLQDACLKDCSFIETDLHSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLEGANLQRAYLREVDLRETHLTGAKLGGANLLGACR >OB03G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2593478:2594708:-1 gene:OB03G14380 transcript:OB03G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPRTYADFVPPHKLVEKADKKVLQIDLSGKGFKKEQLRVQIDNHGRLRISGERQVSGNQWSRFYKEFQVPEDCNAGDVRARFESKDRVLHQTAAAPTEEQDEEEREEYKHTGHDDGGDDGGREDASDEPAATTVSGASLRRKTPWPVLLAVVLALLAAAGFYAKYRLMDPSADQPAPADGGVQLFGFSDQ >OB03G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2596278:2599428:-1 gene:OB03G14390 transcript:OB03G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGREEGRSPVAAGGAGYGHGPPWVFRGSALYQLHLVKAATARAFVPKELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQIEAAALRDKPVVKSSSFLSLLGMCSVVSKHGNGHEVEISETKGSSTRHLCNISVPLTGLHRHKWMGPTIRMSLPSFSGQIEDHPDLLKYSCQVEGRVRPVRPAKIWRSRTPEPQECYDGKISSKHSGLLAEPDAQEQVVLVLLSKPILALEFNSLQMQVDAPKVVVPCSNKKEVRYSST >OB03G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2604391:2608359:1 gene:OB03G14400 transcript:OB03G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITIECPDSDGRVTNMFMVYRTLGRGVDELVSDFELGLKTAAVDNYSRRLVEFCSLQALQIITSVDIGDKIHDGSFSRFTFDMMLAWETPTPSDQQVTMESIAKEREDRKEPLQANEAVMGDETSLFYSDMMPLLVNEEPTVGEDTYVWFGSVFPLACDVINARFTFEALTATTANRLHYPAYDKFLKEMDKSSDFLRKVSAPTGTDLAEDEFILHMEGTAGTQRVVRHIGTTSWPGRLILTNKALYFEASGKISYEPALKVELSGSEMEKHVKTTSTGPFGAPLFDKGIEFELPEPLVLEFPEMTSSIRRDMWLTLIREVIFLHRFISMYNIDSPIHKWELHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYEELPKGDFVLEQLANNLKETSTITPLSASHVFKGLSKSNRAALIAEIAIEHDKDSSSHEEPLASLENTIGQVRDEAREVTVANAAIEGMKEEGITDSLLVLVGLVSPISKLLPVIQKITSWERPLVTIGVLAMIVLTIYKEWVGFVLAASLILAAGLMIWARKRKIGALCSEVIIDTSSDKTTMQSLVEAQQSLRKVHDYIKTTNVVILRLSSIVLARSPKHTETVVWMLTALAVALAVIPFKFVLIALKRVFHTAILFRYKHLKNGRARYMEWNTLLDVVCLRENSANVS >OB03G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2611295:2617183:1 gene:OB03G14410 transcript:OB03G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKGPGEEEEEETGERVALEEEEEEEEAGDGFQVVLGKKKKRPSGQDGGSASGPGSGTMMAKDKAAAEPWTKAKVPFHDPSIPRPQDVYAIRVNNYTPFQHVWLEHTEDGSRRPIHPLEKLPVEQLIDRNVPEFEPVKPADLEDTPFTLVEDKNGLADLAKKLKSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIFIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLSHLCGVTANKEYQTADWRSRPLSDAMIKYAREDTHYLLYMYDLMKLRLRNESTAENDLLLEVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAEQLAIVYALHQWRDYIAREEDESTGYVLPNKALIEIAKKMPTNTTELKRIVKSKYPFVDEYLEQIVEIIRNATESSYVFESRAEQLKKERLEQLGDRVQTISSPEMKTSLTLSGLVRPMDKEILNDNNNIHQQAAHATFQELKRPIALGALGENLTSAGQRDFFGEFSNKQAEKMEKAKTYPAFYYPHLPQYHNEVGHDFQSINRTSAGTEQPPAGNERGFQNPRRHQSFPPSGDNK >OB03G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2622829:2623584:1 gene:OB03G14420 transcript:OB03G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATTQFAAVPLNLCASPSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTSLPPFDAIKQNPEARFLHICSNETIHGVEFKDYPEPQNKSGLLVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGSAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDAIDASGGE >OB03G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2623755:2623955:1 gene:OB03G14430 transcript:OB03G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >OB03G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2626722:2630632:1 gene:OB03G14440 transcript:OB03G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53110) TAIR;Acc:AT3G53110] MADGGKAATPEKKSWADVEEEEEAKAKAAAEAEAASSSSTRAPAGXXSTGAPAVDAQAKQIEALSLSVPEEDGAGGGAGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTQELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRRVPQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPMAKMPAVTDQVVIGTSGTLMKWINHKKLATRDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTKVIKDGNQIFVKKEELTLEKVKQYKVQVPNERAKIDVIKDKIFEFGQKVGQVIIFVRTKQSTRDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIEDYFQHRVPEVRNWQSEEDFERALKDAGLVE >OB03G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2631903:2633256:-1 gene:OB03G14450 transcript:OB03G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLPLHLPTRPSSVKASSAAVAAAAASPTPQSLEESFGRKGLRFAADPATGAPTAELSVRNGSSLRLRLADGLVTSYRPKVYLKEDGCREVLHTFAAAGDGELKGGVGLVLSEVSSSGAAESLLAGSEWSVMDADSDSYDAVQVELGCTKGSGTLEITYVVTLYPLSMATAVMVKNNGAKPVSLTSAMLGHIKFDKRRGTAVEGLRGCPYCSHPPPAAGVAL >OB03G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2636131:2639586:-1 gene:OB03G14460 transcript:OB03G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVWVVGVLCCRAAACRLLRPAVSAWSCYGLTSPVPPDRKPGELFVFYCYKKLSLDSQPKAVDATAEPAGAKKQGPAASQPLSVSIPPERSITPVLQDFMDPNMFYLPAYYYGGYDGSVSEWDDYPRYVNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSANPEKPTAKADPAKTTTNGASNGTVHSNSGTVPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTTTTNHMSPSTFSNGSSARTQNKGPAPQQTAMNNRRPTTTTVSTAPTYPNRMYPSTRSYSQYGNSFKTGLSYGTNGYGSNGYGSNGYDSRLYGRWGLSMDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPPSDGKNTTDVPDRTQFNRDDFPVQYDDAKFFIIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSKCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSLALKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLEQGMQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDSRAPNSVAGEKQQETANVKPKLLVANGINGELKVPAENGTAPVVTYAAKVAQTAATEKPVLANGTTVKAG >OB03G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2644934:2651221:-1 gene:OB03G14470 transcript:OB03G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLLLIVSFLSFYPIQATQESHSHVHIVYLGHNDGLNASLAAKVHLQLLSRVFTDPDEARDAILYSYSYGFSGFAALLNSTQAAKLSAEEVISVFRSRMLELHTTRSWDFMGLNLHIQMEQSAGMQLKFGDDIVVGILDTGVWPESQSFRDDSHLGDIPSSWHGTCVAGEEFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTALGVPVVFSAGNDGPDAGTVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDTKTRLVESGSVFADGSCSLDQLTNGSHSAASGKVVLCFSTMGMVSGGVAALAVYAAGGAGVIFAETISRRSTQDNLLLTVHVDLRQGTRILDYIRSSSRPPTVRISRSRTLIGRSPAPAVAYFSSRGPSSISPYILKPDITAPGVNILAAWPPKSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRSVGALLIEMCSAASIWGSEQGNFSKARQARPSQETQVVLDQVKHLQIGQFENRIVTV >OB03G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2656063:2659846:1 gene:OB03G14480 transcript:OB03G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKMQSPSERKEKEDSLLPSNPKEVEELRKESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITNDLREGLTIEEPLRVAVKVHDGDNSYQGHREWLAEVIFLGHLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWCTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWALPVLTQKKKVLGIVDPRLADDYPVTPPPPPPSPCLSRNPKARPLMRDIVATLEPLQQPEEDESSGFSLVSGTGT >OB03G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2661238:2667204:1 gene:OB03G14490 transcript:OB03G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLADGSVRTYFALPPDYPFEPTPLPQLPHLPLGAGPDAWPPQRPPQHDAKRKHLADPDEGFHSRYPKQPRFESAPQQLAPHGAVDRHALRRAFLKYAKMLNESAAQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNADSFIDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPDLVQASREDLIIWPPTVIIRNTATGRKKDGRSEGLGNKEMDKKISELGFTGGKSKSLYGKEGHLGLTLIKFANSPAGLKEAERLVDFLERQDHGRMGWLRAQPRQSLDSDNSPLLVETDNRTGEKKRILYGYLAISSDMDELDSDSRKRASLKSKREFDPSD >OB03G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2669738:2672926:-1 gene:OB03G14500 transcript:OB03G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPAPAGAAPAPMAQSDEASTSSTSAGGAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRREGARLHELLLCYLALNDRRAHKYVVSAFTDLLLRLTAAANLDDDEPPRHLHQSH >OB03G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2678062:2679674:1 gene:OB03G14510 transcript:OB03G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARWRLWRGLTTAAVGVEEDTGALLARLVAEPEHRVKATMEEASSASAPGAFWEPLAAALLRASSPVKAHLVLEWKLEKLVKEAIHDCEPYTVIIRYCRETKNAAFAMKVFECVEELGIQLNTGIFNALIDAFLSVGDLLAATTLYETMEDMEDCKPNSATYDAFISAFSRLGSSHAMMSWYLASKDAGFTPSIEAFESLITGFVKLNRLDDAELVFEEMICFEIKPNIAILETKLVLLSRRKDPNRVKVFLELLSDGNQELSEATVERLTRLCLYEDKTDELEQLLSLVQGMHLGSLTKLHCGIVRFYANADRLSDMEHAIFRMLDNGIIFACSEDVEAVICSYFRHKDFDRLDLFLNQIQSLYKLTRSTYDVLISGYQRFNLHGRLDSAIKDMRDAGFV >OB03G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2681989:2682294:-1 gene:OB03G14520 transcript:OB03G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEVRVRTVRIHPGVVRVVVQAGAGGVEDDDDMELDKWRSRLPEGSCPAMAVAGYVNGQLVVTVPKGRDGSEGDNEGGDEAWRCCNGGKISSRLVVVQ >OB03G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2682504:2682785:-1 gene:OB03G14530 transcript:OB03G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCPALHHTTRQGKERKKQQPCDTCVWPSPINPAPLHRSAVQPNQQRERKRRVRKVAENWRKKETEGKKPYSHEDPPIASHHRRRQEGSPAAAA >OB03G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2683191:2683901:1 gene:OB03G14540 transcript:OB03G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSNGFRPEHYTWVSVCVLFLVLEFSAQNLRITLLSFTTSTTSKSVLLTDQVMILYDRELGTGQMPWRLAGRFHVIEEKLSRKEWNQQLVVQERYNFARSDTSRRLFGLGKSPDPYVEIPSGNHETNLLSLINSSLAHVGYCITYG >OB03G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2688939:2694921:1 gene:OB03G14550 transcript:OB03G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08720) TAIR;Acc:AT1G08720] MLSEEEFQMQLAMALSASNSECVGDLDGEQIRKAKLISLGRGDRFAAGREEDHTADALSRRYRDYNFLDYHDKVIDGFYDIFGHSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANIAALVQRIAELVTDHMGGPVKDANDMLTRWLEKSTELRTSLHTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGDDDDAINIIKMDNEREFLVDLMAAPGTLIPSDVLSWKGNSLNSNGRLSQNQLAGLPSAIDSNLIANVLPPEHKGGQLPLFSSGDWILTSQSVYEKDAAATSSQASSSGTSSVTAGSAFDSSWTLVSHGQSDDPSTSAGISSQKKVVLPGGEHPRNENINARNENIKLASDLQGNPESNLFADLNPFGGRESKKTSVPLNGPDNRNNELQRRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSSARRNIGDNAASSSQVPRPSAKNTSLNTGVRIDTPYMAAHNYDNNMAGSSAMKMPSAAGIGKVPDKVLYGDLDKGLTSSRLGDQPPIGRQKWGNSVEGRIPTSTVQNQAKEHKEYYDGKQDNKKLHPDPKKSPLDRFMDTSMPSRNPESASPSFARSHKLDNMFDDVSECEIHWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHQEAQSPPVPQEIWVNSSTP >OB03G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2696222:2696797:1 gene:OB03G14560 transcript:OB03G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVISQQRSNQQHHSSGRRRKPSSHFASPQFMRGFHAVNCRAFHSSVSSGILPSPPPPPPPPPARTYSSPEPKTPKSQPHLGKKRSRAISISPSTPPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLDLPTANQPDDVEMPLHAKSAPSSPTAGSGVSLFSDSETAIATENLRRILHLKIADH >OB03G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2699475:2700127:-1 gene:OB03G14570 transcript:OB03G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAKCECCELREDCTRGYILGVKADFGGRWLCGLCSEAVVEQDDVYCISELGFQACNVRCNNLAKCVFGLRIGRVSCRFEGFDRKCDHRILIHLCDGDLPFLSEK >OB03G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2702276:2706180:-1 gene:OB03G14580 transcript:OB03G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05460) TAIR;Acc:AT1G05460] MGTYLRNYSDDEYSVAGEKPDVEFMDYQNDGSLQDYSLDDGPVVVTIPFPFIKGKPKSVLVGETSADTISIENTSSEPLDVWSVRIFSSNPEDSYVLSMMKPPLSDADEEAKKNFLGLTSVEDRTLQPEQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRRHTQKKTFESTSFVPGCRPTRQHSQGFKYKLPQFAIPADIRELIESKQRPDVLSEELDMTNYAKFFSTLLVMEEIHLEEEMRSYDMEHVLMRRRGNEFLSLEVPGLAERRPSLVHGDYIFARHAGSDVRPYQGFIHKVEADEIFLKFDSQFHLAHRDRNQYDVSFTYNRLNMRRLYKAIHEAEILGPDIFFPRRSSYGSTKKWSFKPLNPHINTEQADAVSTILGYRGVAPYVIYGPPGTGKTMTLVEAILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNASSRQYEDVDPNFIRFCFFQDTVFKCPPMQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAIVPLSGLCGKETVVVLAGDPMQLGPVVFCKQAEKDGLGKSYLQRLLFEYEQYSTGGPNYVTKLVRNYRCHPAILELPSELFYGGQLIACKEKEVSSIYDCIDLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRKLTRGGDVREGDIGVITPYRQQVVKIKKALESFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETPEDTRVSGFRDSQDEPAGWGYKQEEESANYNYKQDPSDSSCGHTNGLPSTENEAEWSERTPDEEQQRLSSAAEADSPEVMLKQNAEEHVEHDGVQPEQCPANDNLVQDAYAAKYSFPPEWCDVSNVPATGWDD >OB03G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2706657:2706821:1 gene:OB03G14590 transcript:OB03G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKGVVFTRYSEQKKKQIFSCFQPINLAPMIESSKPDSYASHDTINQSTNKIM >OB03G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2715764:2720513:-1 gene:OB03G14600 transcript:OB03G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGGDDEWRGSSFTRREPTTVKKSRTERSSRKSHERSRRGKIDLDATEATVTLDYRIFVATWNVGGRSPPNSMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYETTCRWGGSSDDENNTGESPSTVYSPMSYGYGNASSLEENHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTSFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >OB03G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2735085:2744827:1 gene:OB03G14610 transcript:OB03G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRHDSNSTQYSWWWVSHISPKNSKWLQENLTDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEDSPSSGLDVEPRTPEMPMHARAPFDLDDLLKDAAGVSAHPFTVNRNGTQPDDMGFSSSRKGLKQFSDLFASSDGNHRVNFSDGKVRKGLNFESPDAKGKTDESNDIMNLQHEVSKLLTESQSLKQQISSESQRANKAESEIHSLKDTISCLMSEKDTTFMQYNESTRRLSVLECELSKAQMELKKLSSESQRANKAESEIHSLKDTISSLMSEKDTAFLRYNESTRRLSDLERELSKAQMELKKLSSESQRANKAESEIHSLKDTISSLMSEKDTAFLRYNESTRRLSDLERELSKAQMELKKLSSESQRANKAESEIHSLKDTISSLMSEKDTAFLRYNESTRRLSDLERELSKAQMELKKLSSESQRANKAESEIHSLKDTISSLMSEKDTAFLRYNESTRRLSDLERELSKAQMELKKLSSESQRANKAESEIHSLKDTISCLISEKDTTLLQYNESTRRLSVLECELSKAHMELKKLSDHMAMEVDKLKCAESQNSAMQSELETLDQKVRVQEQELEQSRKEIESFHLNLQDKVAKQKQAEDALCSLEKQYSQSQKEVNRLTLDMEMANDRLNDFNLMKLNLENTVCELKKEVMSLELKIQILVQELEQKREEADAMHAQLQDERSNHMQKEAALRALENLVSQSQEEAKRMAQDLEHSNKKLNDLENNNLKLHDLSQGLKKTVLELNSKKDSALLQQQKSSEKVSYLEAQILVVRSEMEKIVQKAQILDQELEYKNKEVTELQSSLQEQVQKCILAETSLLRLEDLHTKSQEEAKKLAHNLESLSKQLTEVENDKLDLQNISRELENTISEMNSENNLLLLQQQQSLERVSYLEAQLLDVLSDLEKNKQKVQSLEENLTHKSEELSGLQNNLEDEGHKRMHAESALRMVENMHAKSQEEVAKLVIDLGKLENELSELWGRNSSMEELSCELQNTISLLNSEKDAALLQQQLSSERACDLMSQLSKMQLELEKAEQKMQMTEQELADKSGMVDSLQLSLQDEGEKRVQAETALISSGNLYSQSQEHVNRLTLEIDMLNVKLNEMENTSSEYKNTILLLNSEKDMSLIQCKQSLLKISELESKLSGMQAELDNAEQKVQMLDKELNQKREVIDSMQTSLQDEAQKRIKGEAALLTMTNLHSQSQEEVRRLILEIETLHGKLNEIENSNGDLMNMVCKHSEEIHMLSEQNISSELTIRGLHDQLEMFKEMNIGLQNEVGIHIGEMEILQQDLSRQKEDKVILEKQICSLEHEMKAVSIRFATQQHLVEELQNKNIELKEVCNTHDVKKTLLLEKLRSMEELSEEHSILKKSFSNLIVEMEDLKESVKELEASKSSLEYDVSLHAAEKDALVLELDALGKTYSDSLDEKSILEASLSNVNSELKELILKYKDSEESSWSYLAANTALVAEKHKLLSQLESTTLSLKFLEDKHSDLGDSHASLLSERDLLCNQVKNMQDQLEIKNEQHEALLKLHQMQVNDYEEMASSLQEKICHMDQRLEHEQHKCADASISTLILKHSLADARDKNLALFNECQKFIKATNSAEALIARLNEEARQEEEDKKALLQRYEKLRDGISEQINILNICKDLGPPDVVHDEIMLQTMSRETFNHVKHIEETEERNVFMDAELSVLGTILAQTVIGFKALHLQNCELVEEIETGAAELLFLQKKNHKLIELNEQLKQKLQQGDNREEMLKIEILGLCKELSGLRESYQTSQNEICNLTEKYESLLQEYKFLVEKYNALDDENAAVLAECIKLDLLSSFFRDRTDEAASVLVSLNNDMTILGSRRNELDREVTMLNRRYKVLEMNFKHLKCTLENLLEALGSRLVLSEFDSSTTKIICQELAIEGKSAMTQLMQKDDKLRKIDEKVQFLQETNQELCRVLRDLEAAVGDAEGVKGDLERKITTLTEQCAVQDNEIRLLCEANTTLQVDVGIHKQKEESLTSTLQTMRKEAELHEREINLLVCDTITCSVNAMIYEEQVLEVLMEREALETRFCTKRDMLMKEISSRDAYVDDLQKRVASMTDENTGLKAELTTYLRLLASLSDQIRVLEELEDGTLLLSELNKEGKLEFVQKDRHGLESQDDSSGALKLHSLIARVEALQVVILDAKGRRDKEFTESASQLEAANIEIQELKTRKGLNTKEQYTEDDRQKYDADDSKGKHVQIMKDIELDQVSTCSLYGAGATIYPLGGDANVELNDEMLQLWEAAERDCRNQTAKSSSSEHDIQAVEEVKSEYPSFELARGRDLGIDRLEISAASLEPQQLWSKNVLEKLSSDAQRLSIVQASIEEIKQKIVGASKGKSTISTEYNSIRAQLQELDGFVLEQIDFNSNLTKKAENYPAFEVSAELEGYSSRRKISEQVQKGSEKVAKLELELQKMQYVLLKLEEEHEYKRAKVPEKRSRVLLRDYMSGRKEKSDAGQKKKKRIPFCGCVRIKSRTEP >OB03G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2745405:2749374:-1 gene:OB03G14620 transcript:OB03G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDHFTTATDLVSVMRSVFSQTHLWRWESVVLGCGFLFFLLITRFFSKKKPRFFWVSAAAPLTSVIIGSVLVYLTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIVGSLTSCYLTTGPFSRSAVNFNAGCKTAMSNVVMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAIHLWQVDKVDFCVCLGAYLGVVFGSVEIGLVVAVGISILRVLLFVARPRTTVLGNIPNSMIYRRMDQYTTAQRVPGVLVLRVDSPIYFTNASYLRERIARWIDDEEDQRKEKGDMGVQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIALANPGSEIMKKLDSSKVMEAIGHEWIFPTVGEAVAECGYVMHSHKPGAHDNMV >OB03G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2749503:2750232:-1 gene:OB03G14630 transcript:OB03G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAANGGGGGKAAAGARVPMPPAKPFLETLGGNMKETFLPDDPFRVVRRERGCGRRALAVLRYVFPLMEWAPSYTLGTLKSDLIAGITIASLAIPQGISYAKLAYLPPVLGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSAEVSPADDPALYLHLALTATFFAGVFQALLGVLRLGFIVDFLSHATIVGFMGGAATGGLLL >OB03G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2750334:2750735:1 gene:OB03G14640 transcript:OB03G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAVWCVCLRGQRVAGEVYIEAAAPARGRRRSSSDYWGPPVRETHGVRHARAARGKEKKKRSKWAARVRPGGHVTGRCWCRLARVSDRPSRAEPDPTRPVDYISGSVPVASRENLPVLGCPVRRLIG >OB03G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2762022:2763019:-1 gene:OB03G14650 transcript:OB03G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGKKGGKKDKVEADAMAGSPGAAAKEKRWSFRRPVQGEKAAGEVPAHGVLGEDGFDLSASEPEFDQKKHAVAVAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLAAQSQLRAQAQRMRVLHEHHHRTPPRPRPPSPQHHPRHRRSYEMDRSCEENAKIVEVDSGEPARRGAAAAARERPFCGVEYHHGRCSPAPSAATELTSPRADSGH >OB03G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2762233:2762539:1 gene:OB03G14660 transcript:OB03G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCHRRPLLLGPDLPANLRRIGGAAGGAAATAAAAWAASCGGVRGARASAAPARATATAPRGEPACSAAWRSPASSPAALAPELAASRCLVARTALFLP >OB03G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2766972:2769399:1 gene:OB03G14670 transcript:OB03G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAGGGRAAAVVLLLVVTTATRVAVADDFFSPLSPLLAPVIGSMCKTVGCGKGNCTATSGFPGYRCECEPGWTQMHVGDDLRFLPCVVPNCSIDRACSNITAPAPAPVPSPKNFSLSTDPCLLAYCGSGGTCKNGTGLSYHCECREGFSNLLNITTMPCFQNCSIGADCASLGLLPSSNSSNSPSPPGSASISNNGNAPTFGIGFFRSDCSTYSLFASWNQSENHSTFINCVQTLQDQSHIKFYFLC >OB03G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2773803:2774006:-1 gene:OB03G14680 transcript:OB03G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVCCVQRGRDPAACAREMLMLPFLFLPSLLIRLLFLFFPCVLGGGAVSSLLSNLIDLCRQHLNNS >OB03G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2774209:2774671:-1 gene:OB03G14690 transcript:OB03G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASTGRRRRRNLSAALPPLLLLLLFCLLEASLGACGSAASGGGGAGADEQASYDYYSYYSGWDTAAGRRRLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGDKLFMP >OB03G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2793777:2800513:1 gene:OB03G14700 transcript:OB03G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:J3LK94] MAGIHLMDRATQRILPPLQLSSMASARIIHDRMRRDGLQELRQRTSSTLPGYQESGGFQLPNSGKATQGRRVRFRTWRTSSRVKLRFVESQIKLDRRLFDLLVDLYLRACQSWELGDGKEEVIQAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSENYIKAMRLFVGEPVWTPYNRPHDHLPASSDPCDLETKKNSDKLRKNSIGKEETFLFTRRQIYPAIVSASRRPITERSNKFSDPQAKASSRRGVVMRFRSEKEDGASCRRRLSIRPRPLAAVPANLSHTLRRPPPGLGPLEASDPAAATNPQPRRSRTFHSSPGHLLCCLCLYRGVYIARAMPLSTGERSTSLTCPLPTTTLADRRAGFIRFQEQFFDWGRTRLGIVCWVWFADPPAMEPMLPGIVKEEWPPSSPPEEEDEGEGDPSEAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYVSLFPNSQCHFESNLFSFVLQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRPLPYLPASQHALGTYLEVGQFGLDEEIDRLKRDKNILLAEVVKLRHEQQSTKADMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIQQQDKMKGLEDTFSKKRTRSIDIVPFLGPEELSQSDQLESAFQFDPRPFAELNDEPGKSELENLALNIQGLGKGKQDVNGTRIQARNQASNETELTDDFWEELLNEGARGECEAGMPELERRRPRYVDALAQKLGYLSNSSQK >OB03G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2808913:2811919:1 gene:OB03G14710 transcript:OB03G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3LK95] MQGEQEQQKAGGGGGGDQTPSHCIVLDGPIIVGAGPSGLAVAATLRQHSVPFTILERSGGVADLWTNRTYDRLRLHLPKMFCELPHVGFPPDFPTYPTKHDFLGYLHSYAARFAIAPLLRRTVTRAWYDEPVSLWRVTTTTTTTTTSAAAAEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKIVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNVTGKSPVLDVGAWSLIKSGNIKIVPEVESFSGSGARFVDGSEMAFDAVIFATGYRSNVPSWLKEDGELFTEDGKARRARCPSSSGSDDWSSWRGPRGLYRVGFSGRGLLGAGADALGAAADIAGRWQEAQQATGANISSV >OB03G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2833057:2835693:1 gene:OB03G14720 transcript:OB03G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLGEYVWLDRFLGRPWASSSHPKGEHAYLDVLLPPVSDRAERDRILVDVDFRSEFEVARPTKAYRAVLQRLPPVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDRDAPPPPPPDASAGELAVDGDGGGPND >OB03G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2837061:2838132:-1 gene:OB03G14730 transcript:OB03G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPTCLCIAAEHYNHCVMRSGGTATLCFPTCLCIAAEHYNHCVMRSGGTAGARNKATHSGSVSAPTFLSPHPQHHLFPTGKQCDQPQKRTAVPFRKFFVKKIFYWGRRCSPAATDPSSIATGFPNPLKWEIFNRRPLYRNQYKYRAIVYYGFYISEYIPRDTATGRQVQFHLSLALRLTVCSGGNVTVLILNQ >OB03G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2874990:2878663:1 gene:OB03G14740 transcript:OB03G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3LK98] MSRPRPVYLVDLAGYKPAAAHESTRARAIRHFAVAGNFSEESMAFQTRMMERSGLGEATHFPASLLRLPVDMCLRTAREESEAVVFGVVDELLAKTGVRPEDIGVVIVNSSLFSPTPSFTSLVVNRYRLRHDVVSHNLSGMGCSAGIIAIDLAKHLLQVHADTYALVVSTENITLNAYLGNYRPMLVTNTLFRMGGAAVLLSNRRAERRRAKYQLMHTVRTHRGGACDRSYGCVTQEEDGAGNVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLATVVLRRVFGRAAAKPYLPDFTLALDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIRRGDRVWQIAFGSGFKGNSAVWKALRTLDGGAAAGGPWAQDLDSLPVHVPKVVPIDDDQHDAASHARPE >OB03G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2878286:2878611:-1 gene:OB03G14750 transcript:OB03G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLGTCTGSESRSCAHGPPAAAPPSSVLRAFQTALLPLKPEPKAICQAVAAADPALGLAVGELVPERAARRVAEAVERHPRRLHVPGAELEAALQLVEHAAAAGVDAE >OB03G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2879950:2882418:1 gene:OB03G14760 transcript:OB03G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23020) TAIR;Acc:AT3G23020] MMGPCDCFLHAPSPPPNPSSRLAAAAPGARRRDSNLSFQCSAVSAPARSAETCPNLVVPCRVHRRAAERRKSGRWGQYGGSLPAMLEALERTEDIGEALRPWKDTMSNRERTILLKEQKDWRRAVEVFNWFRRRRRHDVNVIHYNVVLCAVGRARRWDIVARLWHEMHSSGVAPDNSTYGTLIDVHCKGGREKMALLWLGDMFKRGLLPDEITMSIVLQVHKKAGEYEKAGLFFKRWSLESDVNMEGHPCYSLYTYNTLIDTYGKAGQLDKVSDTFNQMLREGVSPNIVTFNTMIHVWGKHHRMDQVASLMRTMEEFQCLPDTRTYNILISLYREIDDIDVAEYYFRKMKTENLLPDVVSCRTLLYGYSIKSLVNKAETLLKEMYERNLVIDEYTQSAVTRMYVNAGMLEKAWRWFEKFNYQMNSDCFSANIDAFGERGHILLAEKAFLCCLKRKMLSTCVCNVMIKAYGLVEKLDEACEIADGMARYGILPDNLTYSSLIQLMSTAKLPEKALYYLRKMQAAKLLIDCVSYSVVISSFAKNDNLHMVDCLFREMISSGIQADTYVYSISIDAHAEVGDVQKAEAYFGLLKKSGLCESATIYNSLIKLYTKAVHLAEAQKTYKLLKSLDTDTNLYASNCMIDLYSDHCMVNEAREIFENLKFTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSLIQMYVSGGRMEEALTIFQKMLASNTPPNDATFKALKIILVKGGVSKNDITRLELLRKNSTHDCLRHWYRILRMTVRSGDGSRRIIDTSALRTHILDIGDSNISKRNTRKHTTS >OB03G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2884232:2884588:1 gene:OB03G14770 transcript:OB03G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKEGMSVINARVIQRARRGTHHFTSTTPPTDECSAFSAALPPPPRQAETPLLLLLTVVSPTSNHPVPSRCGADKNPPPTSGPAPELSLSAAAAVVRHQVGIYSYTPWSPAMRTAG >OB03G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2888205:2888633:-1 gene:OB03G14780 transcript:OB03G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAESDAATAINAKLPGERMEPTRTRANQHGGIQITGRSKPYPTPNSPAGHMWVNPYGHCNTARAYLRSGERGHRRKAGGGVGVGGGDETAASSCDLIILPRALLRRVSARRRPAAGGPREQLKRSEAKPRVAALVVPFC >OB03G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2888332:2897012:1 gene:OB03G14790 transcript:OB03G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSQLDAAVSSPPPTPTPPPAFRRCPRSPLLRFHAFPGQLRVYSCGGIGLRSGGHLPSKRGVRVFDSAMGLNEKVTIGNLEQPTTSTSENNPTFPTQGNFSMVAIVGITFCLLHKIVIGQMQLIRKFLPWMSHNNTSLPFACISDPMKKPVPLKLDVTFPPLPDIRWSISRLYYLFNSQLERNIALSIITLMVTCFTLVVVGGFLFHKFRKNQQTLEECFWEAWACLISSSTHLRQKTRIERVLGFVLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEHDHIIICGINSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSIAKDLNHIDVFTKSCSLGLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIATVPTIVEASNSTTCDLLKSITGLHVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYRKNIFNLFSFREVGGMKYGDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLKETDKLLLIAPVSWRRRAVSTLLNSPNGVENSNNHSESTEGQRSSSMVLEMKETRLNSIVKRPSKSLSKSNDYMLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPVKDRSSIVNPLVQKQLKNIKVNHQVGCPMNYDTLKEAIINFRKSRKYDQNVPFSIVVISDSDWLGGDTAQVDKQLAYTLLLAENICQKHGIKVEHLVSEIVDTGLGKQISRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGLYMKEGEKLSFSELAERAVLRREVAIGYVKDQKQHINPMNKLELLSFEMTDQLIVISEFEGEQPVVRDGGTSR >OB03G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2896988:2898480:1 gene:OB03G14800 transcript:OB03G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEQPRGQNSRTRKMMESANGETDETSGLTTPRSHEVPLCSSYENGITRIPEEIRLGDPEAYHPKAVCIGPYFHSARNSPSFRRMEQHKRWCVNRLLERSSHSLEPLVQAFLLRLSKAIKTKSFLQLYSGPVDMTEEEIGMMLLFDGCFIVHFLLRHDPNKGAEHEYWTKLDAGLLDHDYGTHQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDEAVDLTACARSMFNKYLPAGMRTSTRPIRCHDARCLLQLLYRSLLPNPKLRSDLMEPPPRPPRTGIEPAKKLDADGVSITRRRRRRWWWPLSHFQEPFTFLDIAFSHGKLQIPQLEVSDASIQLLQNLIAFEKCYEGATTCHVANYAAFMDALNADHHDTEMLRRRRVLDVRSTSPQHEVSLRRRCKQDVDPSSENYLGRVMVDVVLYREARASRRKTQTTPMSDTMFFVVLAVTAYVFLALCWYIVS >OB03G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2899820:2903166:1 gene:OB03G14810 transcript:OB03G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATIPFSCSSTLQTLSRTFSPRLSLGLHRRRGRRFHHLPSLAAFPRLPYLRRPISASAAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDELGGVGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWMYETDPKHDWSTLIANKNTELQRLVGIYKNILNNSGVTLIEGRGKIVDPHTVSVDGKLYTSKNILIAVGGRPSMLDIPGIEHAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFSGLKSEVHVFIRQKKVLRGFDEEVRDFISEQMSLRGVTFHTEQSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKMDKNGAIVVDEYSRTSVDSIWAVGDVTDRVNLTPVALMEGGAFAKTVFGDEPTKPDYRAVPSAVFSQPPIGQVGLTEEQATEAYGDIDIYTANFRPLRATLSGLPDRVFMKLIVCATTNKVVGVHMCGEDAPEIIQGIAIAVKAGLTKKDFDATIGIHPTSAEEYVTMRNVTRKIRRSTADEVESKDKVVTQN >OB03G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2907123:2909486:1 gene:OB03G14820 transcript:OB03G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:J3LKA6] MAAQPKKRTVLESGDGGLGLGLAAFIANGEDLGPIIRHAFESGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVLVDADELKSMLTGENLNLQEVSSASLLKLDELFELYSVKKNLGEATRTLKICVKVISLCMACNGYIAEAKFHPALKTLDLIEKGYLQNIPLKLLKKVVAKHIPLIKMHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRVQHREAEEHSDARPDGHTYTLDVENTDEESTLNFDLTPVYRAHHMHIRLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVETTWETAVAKITSILEDQFARMSIASHLLLVKDYVTLLGTTVSKYGYQITQLIQVIEKNKDKYHQLLLIECRKKIEDILGNDSYEQMIIKKEYEYNMNVTAFHFEPDDVIPEFPYMASFSSGVPDVCRIVRSFIEDSISYLSYSGRMNYEVVKGYLDRLLIEVLNNSLLNMIYARSLAMSQMMQLAGNISVLEQACDMFLLFCAHLCGIPKRIAQRSHSGLTAKAVLKASQNAAYNALINLANFKIDEFMVLLDDVNWIVEEAPDNPNDYMNEVLIYLETLVSTAQAILPLEALYKVVSGAVSHISDSIMTTLLNDGVKRFTVNAVMGIDIDLKLLEAFVDDKFQSTGLADLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRMRNYGSLDYKKVAIVCDKYKDFADGLFGSLSNRNKQDARKKSMDVLKRRLKDFS >OB03G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2915085:2915327:-1 gene:OB03G14830 transcript:OB03G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGGGGGVVTAVLVMQCCNAVMAARLLEGELGSWLQGEGGVAGGELILQVLKGGSESGPNPCTRSPSAGGGAGRCRP >OB03G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2917745:2917987:-1 gene:OB03G14840 transcript:OB03G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRVAVLVAAVLVMQCCNAIMAARLLEGELGGWLQGQGGVAGEFVVLQAVLKGGSGSGPNDCKQSPTAGGGSGRCRP >OB03G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2934974:2938665:1 gene:OB03G14850 transcript:OB03G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G06730) TAIR;Acc:AT1G06730] MLGNLCVDVVLSVPQLPPAPREEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLCCSTLGHVGEEIYGKFLLDVLEAEGIHVVGMLDNSDASSCRQAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIRKLPTETKTAIRRSKILFCNGYAFDELFPDVISSAIDCAIEAGTTVFFDPGPRGKSLLHGTLDEQKALEHSLRLSDVLLLTSDEAESLTNIRNPIQAGEELLKRGVRTKWVVIKMGSKGSMLITNSAVSCAPSFKIDVVDTVGCGDSFTAAIAFGFLHNLPAVSTLALANAVGAATATGCGAGRNVARLDKVLQLLRESNINEDDTTWSEWIEGSSLCSEVSVLSKTAVNGFSDHIVHVPIHNVVSNLLSMFETVSERSTVQA >OB03G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2938753:2941090:-1 gene:OB03G14860 transcript:OB03G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNARARSPDFARQFWPVLCHALSECCLIIMLFVTAVVSFTATRFARIRSLRSPCILCSRLDRLLHGKAWFSEDLICAAHRLEISHLVYCQSHNKLANSEDLCERCLLSCAGLDEPFKKAHHAHKLSKRANGMVPDNDVNTVKERSIDMTSVGHSSDEGSADLSYGGYRKLNACHDSESEIRILDDDDDGNSMIDKATQRSKDLSFYDLQLQPMIRSTNSLPMHPSENVVLEEPMNITPPVPVNPATSTDYVATSTNLVSSEKSSEHAVGQGLGDINWSKDNVSANNHEVQAKIAPEQVCAELPKEKTFLVGIEEVGDSAGVSWSPDEETAKGFVASANAGMSSPLDARVNRNSSTKSASGGRSNLQSPRWSEIMSSKDNDSRTHEEVKTFLSHMSSARGLDGPWSEVAASPRITQINESKQFLERNYSNLEPFDVHVTSEDEGESSLESLKQKVEFGRKKMSILYKELEAERSASAVAASEAMAMINRLQVEKASMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEMLDLEAELENYRRLHEPFDCKFDSTNGDMASGLLDSSDFMRDTMFDFEDEKANILESLSRLEETLGMSSTNGHDFGGTNDSLQNRSLLPLEHLNGELVSSEQIDENQRVDFGSCSRLDDGNINSMASVKHEISLLNTRFMALEADQKFLKQILSSLKCSNDGVECVQEITTHLRELRRIMTEQRETAVL >OB03G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2946211:2956040:-1 gene:OB03G14870 transcript:OB03G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:J3LKB1] MHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFGYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARNCQWLVLWLDCDREGENIAYEVIDICSGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLRDAFVLDDTGDERNMILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVQEQTAHPVWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWSDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLSKNYLDVYRFDSWGGTLLPTYTIGQQFIPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKAEVLENCLQQMKACFLDARANKVKLFDAMGAFFARSSRPINETQNSIEAVRPCAACNESEMVLKRRPTGEFMVGCRGFPQCRNVVWLPGSLSEASVTDQVCPTCAPGPVYKIQFKFRRRDIPPSFDVDHLGCIGGCDDILKELTEISRFGSHNQTATPARNQSQNPSGARQGAPRQDLHTDFRPARQFTNGHTPVVNPQGFRSTHTGSSGNASDSGQVQCTSCGEPCILRTANTEANRGRKFYKCQDPACGFFAWEDDVQNSVPRGRGRGGRSGSRQASASAGRRGGSQARGRRGRGRNADGMMFVAATGEPVHGSCFVCGDPTHFANACPSRGR >OB03G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2956991:2957314:1 gene:OB03G14880 transcript:OB03G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFIQHERVAPQYINFDPIRQFHTASSEDIARSITMIRIRRQQVLPLALVFLLIFSATINISNASRLLGARAQPRQGSSVFLTSAHPGASGCTNDPNNPGGRCQTP >OB03G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2958143:2960540:-1 gene:OB03G14890 transcript:OB03G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01110) TAIR;Acc:AT5G01110] MSRRRGACRREIVSSLLASSPTPQPQVFDLLIRTYTQSCKPREAFEAFRLILDHGVPVPAAASNALLAALSRAGWPHLAADAYRLVLSSNSEVNTYTLNIMVHNYCKTLEFDKVGAVISDMEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVNKGLKPGIVTYISVLKGLCRNGMWDKAREVFREMDEFGVVLDVRSFNILIGGFCRAGEIEAAMKFYKEMRRRGITPDVVSFSCLIGLFARRGKMDYAAAYLREMRCFGLVPDGVIYTMVIGGFCRAGSMTDALRVRDEMVGCGCLPDVVTYNTLLNGLCKERRLLDAEELLNEMREREVEPDLCTFTTLIHGYCKEGKVEKALQLFDTMLHQRLRPDIVTYNTLIDGMCRQGDLGKANDLWDDMHSHEIFPNHVTYSILIDSHCEKGQVEDAFGFLDEMISKGILPNIMTYNSIIKGYCRSGNTSKGQKFLQRMMHEKVSPDLITYNTLIHGYIKEDKIHDAFKLLNVMEKEKVQPDVVTYNMLINGFSVQGNVQQAGWVFEKMRERGIKPDRYTYMSMMNGHVTAGNSKEAFQLHDEMLQRGTIGQIRKKKCRHGAIVNIQDSVETYTFGFEGSPRKERVFHISVLLGVLSSVKTQEYAVRDGAFVFRALEKSSTPLNFQVLF >OB03G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2967905:2972201:1 gene:OB03G14900 transcript:OB03G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRCKRQKHESRRGSSSLLQSLSSIVSHNRTVCLQFLEQLNDFKYGSATGDYNAISMKRLELINALQKLQEVPIQLPYASPQNSSEAKLGLGQNGRSSSCPITVDLDADDAADSACDNVDSIGANVTVILVDSDEDSVTSFADENSSGSKQSANYIQKGVMSEQPVDHQEITMLDNENISSEAQVIVKKGKDSMDINDAFHSKSGHEETGKEEREAESVQIKETLKKEIISIADSGELPCEVQSQSLTNGNINQNDSSNPVDDLEGLWMDMSLAMACSKSIGSNHNIVPSENSCEQEEDDCHHDFLMKDDLGIVCRICGLIQQCIENIFEYQWKKRKQSYRAHPSEHRNSSDADAINNTPGAILKVVPDALSIHPQHSQQMKPHQVEGFNFLIKNLADENNPGGCILAHAPGSGKTFLIISFVHSFLAKYPDGRPLIILPKGILSTWRTEFLRWQVDDVPLYDFYSSKADKRSEQLKVLKLWEDSRSILLLGYQQFACIVSDHSSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIQTPRKVVLSGTLFQNHVREVFNILKLVRPKFLKMNRSRAIVKRILSKVDLLGKSARSKNVSDKDFFDLVQEHLQKDGNDKMRVMIIQNLRELTADVLHYYQGQLLEELPGLVDFTVFLNMSSKQEEMIKGLDGINKFARRSKCSAISLHPCLKNAHRADEDGGNVTYKNNIGSVICGIDIDDGAKAKFIHNLLSLSEATGEKVLVFSQYVRSLLFLEKLVARTKGWKPEVHTFRVTGGSTQDQRERAVRRFNGSRDARVFFGSIKACGEGISLVGASRVVVLDVHENPSVTRQAIGRAFRPGQSRPVYCYRLVAAGSPEEEDHRTAFKKERVSKLWFEWNELCGSGDFELATVDVSDSEDRFLESPALKQDIKALLKRCIFTISISSMSLSWNFDILVFFKLIL >OB03G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2974579:2976636:-1 gene:OB03G14910 transcript:OB03G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNQRDLTGGVGSGGNEMSFQHYPPPSNPYSDSSAGGLIPLPATIVSQSHVGHGGDEAPAAFIGTREAPADSGEMGGLQTQLLMANGAAAQRHQGGLSLSLGTQVPLSLYQYRPAGMAAASLLSPSGQSPTMAGRSAQNSIYVQNCRYLKAARELLDDVVNVRDAIKRKGDKSQGKDSGDASDKSDDKAGSNAQKEQESNSAPELSPSERQDLQNKVSALMAMLDQVDRRYRHYHHQMQIVMSSFDAVAGAGAAKPYTALALQTISRHFRSLRDAIGAQVQSVRRSLGEQDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSNSSSENAGKGKDEAISSEDRDEFQSPPSAAAGKHGAAIQLNPFKSEAAMGGMDGVGLSSCLDGAMGTYATSLNLNRHVPPGASAGGSTSLLHDALQAHHHAGGGDARFVSYGDMADLGGGYDGGSVSLTLGLHHCNNAGPVPPEQQSLLYGSAGDFEYMNGPDDRQRFGPSQLLHDFVA >OB03G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:2985210:2991970:-1 gene:OB03G14920 transcript:OB03G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3LKB6] MGRGCVAALLGCAVAVAALVAVVHSAVTYDKKSVVIDGQRRILFSGSIHYPRSTPEMWEGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQGFTEKIVDLMKSEELFASQGGPIILSQIENEYGPEGKEFGAAGKAYINWAAKMAVGLDTGVPWVMCKEDDAPDPLINTCNGFYCDAFSPNKPYKPMMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLVREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVVFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAAAPLLTSTGLLEQLNVTRDTSDYLWYITSVEVNPSEKFLQGGKPLSLTVQSAGHALHVFINGQLQGSAYGTREDRRISYSGNANLRAGTNKVALLSVACGLPNVGVHYETWNTGVVGPVVIHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGDCKECSYTGTFRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSGVCADVSEYHPNIKNWQIESYGEPEFHTAKVHLKCAPGQTISAIKFASFGTPLGTCGTFQQGECHSSNSHSVLEKKCIGLQRCVVAISPNSFGGDPCPEVMKRVAVEAVCSPAA >OB03G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3002377:3013031:-1 gene:OB03G14930 transcript:OB03G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYEIASDAVGYEVAAGECNRGLLIQNPTDPAPHSLRLPAPDVEQVRAELSNLMQRSNMTSISMWVKSVNMGYTPEEKVRVFPIRRGADDASEVRLLPAAPAPRRPNEIKKANKTPEERRKEIEVRLAAMRLLEQQQHNASTASSSTSQSQSMGDEAPSSSSQSSVSGHRADRRKGGSRKTTASSVSGRIEQVREFWGTFPMDRRLAFLSTSVSDLKSHYAAAVHKEKDAASVVSDVLNEAIRFATRSGKWEFWVCGRCGERFADAESHVQHAMDKHVDVLSPRLMNMVPEEIDDAWAEKLTGSSWRPVDATAALKILEEELTENVGSDRDKDSTSSDIWSMKDKSDTSDSSTSPHNEECDSFGMVTREVDRKWPLSDDEERTKILERIHSSFKILVKHKNLSSRHLNRVLCFTMEELRGRMPSGSLPLNHSLDESPLCICLLDLSSLQKVLEFVQELMHASGLNNRSSEKDEKLADLDSFPKRRSNLEKVILDSDSSLLILDSQAFEGRSDRDNVLADPFLSWLYTGPSVEEQLLDWNHMLEVRSNQYMEILHELDKEFSALRNWCEQKHDQLSNEEGLLAVDSLLCEEQRRRDAVDLYEGYEELLKKRQELLELNAEELFNGCRSELSMISTILREVKTARYDEAFSGRTSRLCDFYGTEEDEWGLHDFEHSNDSVVQLVVSRLKDQVAVELNKIDARIMRISAVIERLKLKFGPASVLDYQTILLPLLRSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNAKVGDTKQSHDRPKDKKKVKDSRKSKDLKDLSLSDQYLVRADSVDEETSEQPLSSSDYNLNDQEEFRHRLRPDEADERRMEETLEHQRWMEEETKKKHFAEQYGRTSPDSNIGVACRLTDVSLNRDQDKHNSAQNNSSRTYLEGINFGDFRFSEVPLRDEHSTLRLCDSDLLQKMENGLGYLDTRSTNSDVDLIKSTMNGVGKNVETTKPTLKVNGVGKDAENTKLPAIPSTQKSRKSTSQVHKKYIQDDDNRPSIGQSGSPVPRWSSSGKAAEIVNHSYQDTKQNTLPLLSSDYSQRVNGIRSSGRENPSSEKVDCSAIPSTHLYIEDDKRFEEELERAVLQSLGTSNEKEVYGAGLKNAAGEYNCFLNVIIQSLWHLKRFRDGFLKKSSLHKHVGDPCAVCALYDIFTDLSKASEEQGEAVAPTSLRIALSKSYPDSKFFQEGQMNDASEVLEVIFQCLHRSYTSHTDLQVKSHEVNCIGSWDCASSSCVAHGLFGMDIYERMNCQSCGLESRRHKYTSFFHNINASSLRTAKDMFPDYSFDDLLRIVIMNDHLVCDPDGGGCGKPNHIHHILSSPPHVFTVVLGWQNSKESVDDISGTLAGISTEIDVSTFYRGLDQGSKHSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKAVGSWDDVLIMCKKGHLQPQVLFFEVAK >OB03G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3017868:3019916:1 gene:OB03G14940 transcript:OB03G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQKRTTLVYSFVARGTTVLADHAEVSGNFASVAAQCLQKLPSSNNRHSYNCDGHTFNYHVHDGFTYCVVATESTGRQLPVGFIERVKEDFSKKYSGGKARSATANSLKREYGSKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRQQGTKIRRKMWWENMKMKLIVFGIVVALILLIVLTICRDFNCW >OB03G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3022111:3024062:-1 gene:OB03G14950 transcript:OB03G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLAVVGVARASSPAAAHVAYKIPAGDGPYARAKHYQLVEKDLDASIAWFWKAINSGDKVDSALKDMAVVMKQRGYLADAIDAIKSLRHLCPKQSQESLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDDNKACNLALCLIEQRRPADAEAVLADVLAGRYRSQHDHHQPQPPPQHGAGNKIFSKVEELMARIIVSSNGSVVEGGSSSDEDRDVEDEMVELLDEVVRQGAAPYRRSNRRLPVFEEITPVCREQMAAC >OB03G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3026679:3030326:-1 gene:OB03G14960 transcript:OB03G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSAGYQAPLHTEPLKPQRYQQTQQYQQQQHQSRPNQVQTDSYGRGRGRGRGRGRGWGGRGGYGGGYGGYDNQGGYGGYGHQGGYGHQGGYGNQGGYGHNQGGYGGYGYNQGGYGGYENGGWNYNRNRGGGGGGGGGGRGRGNWGYGGPGYERGNQNY >OB03G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3032727:3035026:1 gene:OB03G14970 transcript:OB03G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPPPLLAALLLLLVAGGEGGGGLDASRAVSVSWRPRVFLYEGFLSEAECDHLVALAKQGTMEKSTVVDGKSGKNVMSEERTSSGMFLAKKQDDIVARIEERIAVWTMLPEENGESMQILRYEQGEKYEPHFDYIRGQPRSAREGHRVATVLMYLSSVKMGGETVFPDSEARLSQPKDETWSDCAEQGFAVKPVKGSAVLFFSLHPNVTLDADSLHGSCPVIECEKWSATKWIHVRSYDNRRASADKCDDEHALCPSWAAAGECAKNPGYMVGTSDSPGFCRKSCDACTS >OB03G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3035834:3038164:-1 gene:OB03G14980 transcript:OB03G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKHRPLKATMALGMENLDLNIYATIYNVMTIARTVQNGLSVSPWHDPTEASTKRRPDPTESFFTHGLLKVLFARGQPRQNRPCRTDARTDDDYPPSDTFFKFASRTRDRATDAAAIRALLDGGDLLVCPEGTTCQEPFLLRFSALFAELTDDIVPVALECRMSMFHATTARGWKGMDPFFFSMNPFPEYTVTFLDEPPAELTCGGSGGRPSHDVANHVQRLVASTLSYDCTSFTRSPGGTSTEHSPATTALLPP >OB03G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3040577:3046007:1 gene:OB03G14990 transcript:OB03G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVPETVPLDGHFMRYKWFRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSARCFSDAWQHHRVLHERALSALNENGNEEEDLFGRFGSGNAGVINASLSGSTSSIGQSSSINNGPTPVYPTGTDKNSGETWFEVGRSRTYTPTADDIGHVLRFECIAVDRSTVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDNRTSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPVTQRKVALTRLIKDNIALIAVLEAKFGSHGTENPSKRQLLCVANTHINVHHDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDMLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGGGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >OB03G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3049870:3055082:1 gene:OB03G15000 transcript:OB03G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVPEAVPLDGYFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGVINASLSGSTSSIGQSSSINNGPTPVYPTGTDKNSGETWFEVGRSRTFTPTADDIGHVLRFECIAVDSETRSTVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDNRTSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPVTQRKVALTRLIKDNIALIAVLEAKFGSHGTENPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDMLHPDLAIDPLGILRPASKLSHQLPLVSAYSSFARMVGGGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >OB03G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3059424:3061798:1 gene:OB03G15010 transcript:OB03G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRKSKERGAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAGPANDNSTAATNSRAQQATNGASLSSAAPTGAALTALLVGYFLLLLPDFSAPSF >OB03G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3062039:3062215:-1 gene:OB03G15020 transcript:OB03G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFRLSDNRAQKTVSITIQKHKEQLERKVESENQSNASPSLAESQKHQSGIQDQQPT >OB03G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3063945:3064142:1 gene:OB03G15030 transcript:OB03G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >OB03G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3065479:3067414:-1 gene:OB03G15040 transcript:OB03G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPMDEDTDRLFVRSLSFDNLSNLETLESPPALLDSLSSQRLIIKESFNFKKTEGDPFHVESKISMRSPKPGNESCTHKRIVLPRYGPMENLPPASPVVGMVSPKHQAAAVRVQKIYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVEKPESALSRWSRARTRAAKLGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDVGEGKEVNLEDHCPRWKLLQQCIRYLGPKERESYEVVIEGRKLMYKLSRKIVDTSEGPKDSKWIFVLSTTRVLYIAAKNKGTFQHSSFLAGGATSAAGRLVVDNGILKVCGTTDCYPL >OB03G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3076304:3076654:-1 gene:OB03G15050 transcript:OB03G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVWHGKAWHGIASNQQPAPDPKITTMQSQSACVCEMPYSTAFLTKILPLIQLFFLFNGSSPSFFFPSFSIFFLLLFSCKLASHGHTHHTHAQLSLSPARARKMQSKKGAKEAEG >OB03G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3078502:3079727:1 gene:OB03G15060 transcript:OB03G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELMMGLLHEGAGPSDDSVNLLDGGARRREAGGRRGLLRAAAGRGAVGAVELERRHGGGDPARADGVLQPAERPPRPAGGLAADQDPVPRRGGDVLGDHHLLQPRRLPRRRRRLPPLHGAPRPLRRRRARRPRRHADGGVLDPRRRRVQRAGPRPRRLHPARGGQADPLLRRHGRRQVTSHNSVTQKKNQFRCNM >OB03G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3084246:3084995:-1 gene:OB03G15070 transcript:OB03G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGDRHGENILLDQQLGTVFMWISVACLTKICCLRDLRWYHSGLYKTWLMAWASLDTGAYL >OB03G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3086487:3088767:-1 gene:OB03G15080 transcript:OB03G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G21970) TAIR;Acc:AT5G21970] MPICCDEVRSIQTVTRMNCSGILAKDCYLLCKFAVAYLLRVPDGASCPIRRRLLLRQRLPWQQLLNARFLWFWILVLGFEFALEGGEPHRRRGVRDGRGGTAGEEEEENEYDTWVLPVDEEKHGKRKDGKRDGSVSLLWKPDPSARDPMRATCLRDCLTELLRGGGERQSRLCSPAQLSLARSMTRSRSVRQRSKKKRVHALEVAMERWKVLSKVLTVVDALKKEEEHVTPLKRLEILRPQLGLTKPHKVAHFVFRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEEHSHLAAEYVTRLLMMSVDRRLAIDKIAHFRRDMGLPHDFRTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKAASLTGNATANSNANPPGELSLPFPMKFPPNFTSYYKFRGKAYHYVKTGNTKQFQKTTYLSPYAEARGLTPGSREFDKRAVAVMHEILNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTDYRGRVKNIGKFAELSDSEDYLFGNDDNSGGTDSILDVKSEDSDDIMDDGALADDTEMDLRDLSDCCID >OB03G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3089752:3092255:-1 gene:OB03G15090 transcript:OB03G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAse Z1 [Source:Projected from Arabidopsis thaliana (AT1G74700) TAIR;Acc:AT1G74700] MYVATRGLYRQRPPTIFVPACLREPVERLFELHRSMDQSELRHNLVPLEIGEEYELRRDLKVKTFRTYHAIPSQGYVIYTVKQKLKQEYLGLPGSEIKRLKLSGVEITNTLTVPEIAFTGDTMADFILDPDNADVLKARILVLESTFVDDSVTIEHAREYGHTHLFEIVNQCDKLENKAIVLIHFSARYTAEEIDAAINKLPPSFRSRIHALKEGF >OB03G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3094670:3098940:1 gene:OB03G15100 transcript:OB03G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRAMLLLICLCATFCIMTQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGIVYTAEPLDACSPLTSKAEKGSPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSSGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRVPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGISDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRSSSQSISRIYIASGTPHSSNPLRSYTNSPALSISRSNVDLSNMSSSRPRTSHLSSAHSLVGNHLSPPINTRYASPYVSRSGHASPSPHVTSSYVSNSGYGSSSYYLGSSSQHRPYLRRCGESGPSLSTMAPQSPQQFQLRHGGESDINLPGPSSSQSFRQSYLRHCADSEINLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >OB03G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3101474:3104750:1 gene:OB03G15110 transcript:OB03G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3LKD5] MGMSSCVNLSRAAAAAAKRQGFAAVSGNRGHGRSVLPVALPSRRKGSSGGVACCVSSSSSSSSVRGKNSGAASEVHADGIGIAQFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSDAALKRLQNEVVDTELFRCLQEIHGKDYHSFVARKLVPVVGDVREANVGIAPELASVIAEEVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSSSDSEEHKNTILDIEAEIKLAFDHRRHSDDSASFSEEMKELGLERAKLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWSDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGRGGAAAAAEGMQVYHVASSTVNPLVFGELSRFLFQHFTRAPYSDAAGRPIHVPPMRLFDSMEQFASYVETDALLRSTRLAGAGDERLSQRVRELCAKSVEQTVHLGSIYQPYTFYGGRFDNGNTEAMIAEMSEAEKTQFHFDVRSIDWTDYITNVHIPGLRKHVMKGRGAAGPGAGAAVSSSSSNASLLAGAPV >OB03G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3105386:3110560:-1 gene:OB03G15120 transcript:OB03G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LKD6] MGCGPPWHVSTWMMGPRVGQTIRGLPLQHARLLTWRAHAPSNLSATASAVPPLHRSHTLLLTHRARGPHPEATGWPTCQPGLASPSSTLSLSPRVKFLSPLSLTPPLALPIRLGPSARSLALSLPRPSPPATSSSELLTSRRRRSARQRGRGGGGEMEPMSVDGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDGVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >OB03G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3114236:3115662:1 gene:OB03G15130 transcript:OB03G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSQYFASIWTGRYVCVFDNYFFAKRRVTSCDLVSNSCCSTFRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPLLPGLPDDLAINCLMRVPRVEHPNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPPEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLEKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSKISEMSTGMVPFIGVVYDGKWFLKGLDSHRQVVSEVYMPTSNVWSISADEMVAGWRNPSISFNGRLYSADCRDGCKLRVYDGDTRSWTRFIDSRRHLGSSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDIFARKGQHRSFIANLWLTISGRHFKTHIIHCQVLQV >OB03G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3118813:3119022:1 gene:OB03G15140 transcript:OB03G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLFGSISWCLGLFVSRPTHSYLTLDRRSSSLLCCRCNPPFPATSSLTLKVLSFRSFQMDRAVKTTMMSK >OB03G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3122183:3124856:1 gene:OB03G15150 transcript:OB03G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAETQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQAADGLMTILAGEMIDRFGHFKLWHIGGSVLVGISFSSVFGGCLLCTILGTDSYLVRTVGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYGIALTVFALVSAKACSDIVLQYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGTDCKKSSRISWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLRMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVISGVAVFVLPSQRNNLMYPLAMVIGAANALVMVTTIGLESSLVGDDLNGSAFVYGSLSFLDKMSCGIALFVLESYEESISCGETRGLNTVSRYGTGLIPSCFAVVALIVTSTLKLQDTPTRRPHSSAALEAPLLV >OB03G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3125321:3126812:-1 gene:OB03G15160 transcript:OB03G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSRRLAAPMVAAGGLLLLFAVAATAGVATKTDAGAGTDAASNKGDESWTEWAKDKITEGLGLKHGVHDVDEEEAARKAGHAAKSARESAQHTASEAGRQTSKKAGDAKEAAEATASGASSKAEHAKDKTKEAVKGAAGEASKRAEQAKHKTKEAAEAAGERGAEVHEQSKQGKAKVEETAKEKAGEGYEAAKDKAGKAQETLRQSTDAVRDKAGKAHGTPGQDKAGKAHETLRQSTDAAAEKAGAAKDAAWEKAGSAKDTASQKAASAKDAAWEKAEAAGEKARQSKEAAKGTASEKAGAAKDAAWEKAEAAKDTAWETAEAAKGKANEGYEKVKEKARETADAAKEKIEEVKERVTGADTDAKEKHHRHDDGKHKKPRTVDEL >OB03G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3128615:3130705:1 gene:OB03G15170 transcript:OB03G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRTRSEVERELGMLFSKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAVKYCDLLQGGGQGCEGIAEIEASSVFNICHKMKALAVLFRRGTTPPLPQSLERDLRARKRSLED >OB03G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3129860:3134300:-1 gene:OB03G15180 transcript:OB03G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSGDAGAVAVAADWFAGDAGAADYLAASAVATNGLPKQQPPPPSPPIGFREDGGWKRRGAQQWGSRSMQCICTRVTPLRSGGERSRSRSRTRSRKALTTCALKTPSYGNKSKEKINPRDLFTFSYKFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRMRTKLSDEEWSVRLLPIQFLFLSASPVIVMRFVSKSGGKEYPPNVPVKASSILLMEVTDYKLNGLDSNAMPSHLALTVRGTLYPRPEGRKSLTGHVEMTVGFNLPPVLALVPEGVIRGVGETVLRQLAEQMKQDFDNGLAADFKRYRREKLTEKKTTP >OB03G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3135328:3139140:1 gene:OB03G15190 transcript:OB03G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIGTRTLSILFYVHANSSMIVRREPKIRCQGYANSVSELNSNARSLLKDEICYTGEKKESISLSSSNIVVSSQCIGLSLDQKTGEKCLANSHSDIKLCTGIVKLVIDKCSYIFHSKGGITFDGNCILQDVLKLGFWLSPETLRPFWRASELKPDDFFNILIGFGPDAAEVKKARFLWKLYQWASWQSKAFQHLPRSNEIMVSILADSQMLSQAESLLLLLDDNRALVDSNILFSQVIQAYAEVGNLGKSMSLYDCARHKCLIPSASCYQVLLHLLMERRKNELVLRVYLDMLGVGLGSYTEGTILDVVVKALIKKDKFLQAISIIRQLKGLDFQLSKVSLSAVTEEFCKKKDIGDMVNFLEEWRYLPDLPLCNRIIASLCANTGTDEAWLVFQKLETLGFVPDATTFGIFICHSCRELKLKAAFLYLSECFARHINPKACSYNAIIGGIFREGLYRHAKYVFEDMAERKIIPELLTYKVLLAGYCRYRQFDEIEQTLRAMETNGVNDIPSGNCVLSRALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSRKFEASLLQIIDNAHHPDIGLNLVRACQQGDIASALVLKDETFQWGHDISPASYSELLKALCMSPAHLVDAINLIEEMADTPDKFGAENLNLVVQTLSRNGRSAHARLVLDRLFRGGLPVSHDTYTYLMIGFCTERNIAGFWECWNLATMHGWSPGSRDVTPLISHLGKWGVIEEALEFISTLLDCYPSLFFSAYCQLLEELCMTGCTNIGCAMLEALIEKGVVVDPSLICNVMEGFLKEHKTAESIGMYDMLLNRNNVLDVSTYQFALSSVARIDSERVMDLVRSMMNMESTDFSTCISTMKKLVQSGKIGQVMPVFEELILGKKFSATLLNSFLQAYCCLNNWRKAASVLCMMLKTHSNISISSYRFLVRRMCEQSRISSAFRLKELIQGRDKSTGLILYNILIFYLFRGRHILQVHNLLKDMKSNGFPLDTTTYDFLVNGFHKSGDVDHSTNMLDACIAQGLMPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLVSSLLSSGRFSEATSCLNSLSKRALIGFDIHFDVLIKEFCILGDVEMSISLLNTMLKKGKLPSEVSYNSVLYRLCMLKEFDQALDFLAEMQLSNLKPSDMSCDVLIQGLCAMGRTCDAMKILEMLTTIGSSPSYHMYRVIFDNYCRSNNLQKAATLLHGMQQAGFAPNFEMHWSVISNLSSNAKRTIGYENPILSNLIS >OB03G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3135178:3142342:-1 gene:OB03G15200 transcript:OB03G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALTNNWVISGSSQRGSKNNNSDGSFMVPARNHNVINRKHLLLQEKDASTGWQVTKAAPENSINAIHTPMKTKWWEKNMKFGNMKNIESQEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKVCQLAGMHPELQFLMVNCNEHKEMCQRLNVHVLPMFRFYRGAEGRICSFSCTISTIHKIKDALERHGVQLENLGPEKGLEEPELQNGGMGAFVPNNE >OB03G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3145089:3145292:1 gene:OB03G15210 transcript:OB03G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLYNFVQEKVAGLQVYSKLKVTRITQRSSVATSLLDSKCPPHKCQAIACTTPNVTDKVRNLDCIHKT >OB03G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3145558:3150476:-1 gene:OB03G15220 transcript:OB03G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMEEAHAMPVTSFFPLAGFHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKDRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMNVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILNQACKAGRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEIVQARASGQQEKDLTLGCLKLANFTSMFDKFYQKLFNSAFQVGLNLNIGHVEKASGTEMLRKSGTQRRQPPAWRRCRSLRHIKQMQALMVLRGFLSDPCELRELLFASAVAVRGAIAHAYLVFGQIPRPDRFMYNTLIRGAAHTAAPRDAVSLYARMVRRDGGGGVRPDKLTFPFVLRACTAMGAGDTGAQVHAHVVKAGCESDAFVKNALIGMHASCGDLGVAAALFDGGSRQDAVAWSAMIAGCARRGDIGAARDLFDECPVKDLVSWNVMITAYAKRGEMALARELFDKVPERDVVSWNAMISGYVSCGSHLHALELFEQMQHMGEKPDIVTMLSLLSACADSGDLAVGQRLHSSLSGMISTNGFPVVLGNALIDMYAKCGSMKRALKVFWSMRDKDVSTWNSIVGGLALHGHVLESIDVFEKMVKEKVRPDEITFVAVLIACSHGGMVDKGREYFDLMQQKYRMEPNIKHCGCMVDMLGRAGLLKEAFEFIGRMKFEPNSVIWRTLIAACKVHGEIELAEHANKQLLKAKSDESGNYVLLSNIYASVGEWLGSEKMRKLMDDSGVNKEAGQTVVDGSVKDIMQPFRRSRSHSEWK >OB03G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3151435:3153022:-1 gene:OB03G15230 transcript:OB03G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) TAIR;Acc:AT1G26760] MATAGLDDDSLQQLRSRATQLLLKEDWKESGAVDLSEWVLAGFSGKCPDLAEHVGPVEVRRSSHGGRGVFAVKNIDAGATLLISKAVAIGRGVITDAADSCEKMVVWKDFVDKVLDAAEKCPKTASLIYTLSTGEEPEDELPVPDIAQFKQEAEEPDDGTAAATESAPEVTLDVDKILKLLDVNCLTEDAAPSSNLLGSNGVVNCGVGLWVLLAFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFAYFDVLTPVGRRREAARAWGFECQCDRCRFEAGDAILGQELARLENELVNGGGDMGALVVRLEEKMRKSMVKERRKAFLRASFWGAYSALFGSDKLVRKWGRRVPGEAAVAESVAGAIGGNESVLRAMLRGAGNGDGCGNRLEVEDKVVRIGRATYGKVVKRQAMRALFKLTLDADSNKSL >OB03G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3154435:3156840:1 gene:OB03G15240 transcript:OB03G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRVGGGGGWAPASGGGRGIGAAVGGGMELMAVPKKKVSKYKKGLRNGPKVLKPVPVIVRCRCCGRVKLPHFYCCSGERGNPGDSSS >OB03G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3157492:3161179:1 gene:OB03G15250 transcript:OB03G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:J3LKE9] MASPSSSLCSTFASPRAAFLGGGRRLAFSSPRKAFQVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAPDYAAAIKGIKTSQKPVAVTA >OB03G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3162291:3165728:-1 gene:OB03G15260 transcript:OB03G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWVLAGCAAVLLWAFVAQLVAVGRLLVMFGLAGDAGPSPPPTALPPRRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVKHFIDTLRDEVHIVKQLPKRFGAEDSNSILNMSPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFQALKFTPQIEALGNKLVQKLQAKGSFVALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSIILKALGFQKDTLLYIAAGEIYGGEKRLEPLQAAFPKLVKKEMLLDLEALRQFQNHSSQMAALDFIVSTASGIFIPTYDGNMAKLVEGHRRFLGFRKSVLLDRQKLVGLIDLYNNKTISWNSFASSVQETHKNRVVQSSCRQKLENKPKEEDYFYANPHECLANSRFCSGTKDAISVR >OB03G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3167486:3168811:1 gene:OB03G15270 transcript:OB03G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHLPFVSLASSHLAFFYNTLMRGLAASSSPGSAIELFAAMRRAGAAPDAFTFTFALKSCSRCVSRLRLPCDLHAQAIKHGCLGAGSSHVHVYNALLHAYSSRAAAGDARRVFDEMPARDVISFSGLLTLHLKANDLGAARVVFDQMSHRDVVSWTAMISAYARARRPREALALFDAMPVQPDEVTMLSVVSACTALGDLATGERVRQYVDSNGFGWMVSLRNALMDMYAKCGSLSEARSLFDGMTVRSLASWNTIISAYASHGDVERTVALFHQMLADGKAVKPDGVTLLAVITAYAHKGLVEEGRAMFNAMLSGNYGKVDLGIEHYGCMVDLLGRAGQLEEAYKMIEKMPIPSNSVVWGALLGACRTHGDIGMAERAVQKLRSLNPEEGGYYILLSDMYAASGRTAEAVEIRRIMNLSRAQKTIGQSSWSTPCLTQL >OB03G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3170998:3171937:-1 gene:OB03G15280 transcript:OB03G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G14205) TAIR;Acc:AT1G14205] MASLRAAPGMPFPAWPACCPPPSSPGSARGVPFSPLDSAGHLRRRAYPRIQATARHGARKENPKVRNRRLQRKFNGTATKPRLSVFCSNRQLYAMLVDDHNREILFYCSTLQKAICGDSPCSAVEAAGRVGEEVIRACKELDISEISSYDRNGFARGERMMAFEVPVSQYGFLPR >OB03G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3172288:3178159:1 gene:OB03G15290 transcript:OB03G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELWGRWLAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVVSLVAIKLLRRVPERRYKWEPISVGSVVVGGVDDEEAAAGGGRDAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPSIKDLVELECKDWATKNINIKYEIRDNRKGYKAGALKKGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWELFLPWPLPGNFAVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHAFFGFNGTAGVWRLSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAKEIFKTKGVSVWKKLHLLYSFFFVRRVIAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMTAVRNPRSLHLMPLWILFENVMAMHRMRAALTGLLETMHANEWVVTEKVGDHVKEKLQVPLLEPLKPTDCVERIYIPELMVAFYLLVCATYDFVLGAKHYYLYIYLQAFAFTALGFGFAGTSTPCS >OB03G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3184159:3187268:1 gene:OB03G15300 transcript:OB03G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRVGGGGGDGLIKLFGKTIPVPDAGDADKETQYSGSSTTEPKIQEAIPQDSTSPPPQPEVVDTEDSSAAKNSSENQQQQGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSTSAASHFLQRVRAALPGDPPLYSPVKTNGTVLSFGSDLSTLDLTEQMKHLKDKFIPTTGIKNTDDLSVGSCAEGLAKTDDSNRMDLKEKVSGDKSASVAQHPCMNGGTMWPFGVAPPPAYYTSSIAIPFYPAAAAAAYWGCMVPGASNTPWPPQSQSQSASSSSAASPVSTMTNCFKLGKHRRDGDEELDSKGNGKVWVPKTIRMDDVDNVARSSIWSIIGIKGDKVGTDHGRGCKLAKVFETKDEAKTATHTVISSLPFMQGNPSALSRSVTFQEGS >OB03G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3189460:3196949:-1 gene:OB03G15310 transcript:OB03G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKGGQGAAAAGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSLGGDDDLIAQQKQQQKPAQAKAQVNLGRPADDHHQSLHGRDGSPTRTKRFMSDTDCLRVECWEKAERVDGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQLLQATVNRSKGNKTEISKSELIQKSAYCRVSGRDMDLLELSAYGNVRRGPDSDLSHDDATKAKMIGKIMRFYSSLSSGKIIGNGLGIGQLPYYMESASKPDKVTK >OB03G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3199487:3199849:-1 gene:OB03G15320 transcript:OB03G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSTSPSSSRLGYRSLGGGDDDGAACSSVVTVVVGKERRVFSVDQLVLDTYPFRLLLETVARKEESKALFVDVDAILFEHMLWLAGHHDRSSVSLLHLDLKEIVDFYSQDA >OB03G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3201991:3205360:1 gene:OB03G15330 transcript:OB03G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: 3-dehydroquinate synthase, prokaryotic-typ /.../erPro:IPR002812); Has 390 Blast hits to 390 proteins in 131 species: Archae - 144; Bacteria - 105; Metazoa - 0; Fungi - 0; Plants - 54; Viruses - 0; Other Eukaryotes - 87 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G28760) TAIR;Acc:AT3G28760] MAAVSSWGFARALASSHASCFILPHPRTALASACSHSIKMCASPASTSEAKKTIWVWTTNRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFDEEKQKVAVISEVSSPRELELIQPDNVEVQNIVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNATEAQVFLEALEQGLDGVVLKVEDMDDIIKLKDYFDRRNEAKSQLLLTKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLVCVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVAVPGGRTSYLSELQSGREVIVVDQNGLWRTAIVGRVKIESRPLILVEAKDNCGADTYSIFLQNAETVALVPPEKGSNGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >OB03G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3205589:3209242:-1 gene:OB03G15340 transcript:OB03G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSVNNGAYPSKVTSKTSLSSVPSTLKSNSSRSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFLFSDLKNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLPWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSDFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPLMSEVLEELEQLQDSKYNMASPQVDIRKSSHTVPKSPMRIQPSPRRSLGAASPLPTYRTAKVH >OB03G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3215036:3216126:1 gene:OB03G15350 transcript:OB03G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTMDRLSSRAAGEGALGGGHGAAVVGDLAEPRDAPQVDLGAVLEGDDDVAPLADEPRHHHHGRHRRVGEVGAVGAGQPGDDGHAAGGEAVPEDEGAGHRRQQRNLTRACSMQLGEWHPWQ >OB03G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3215069:3215662:-1 gene:OB03G15360 transcript:OB03G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14345) TAIR;Acc:AT1G14345] MPPSVPPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPLTLAAVSRAFILRYRFTSRRVTVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRSMAAAEGSLAGST >OB03G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3228631:3228798:-1 gene:OB03G15370 transcript:OB03G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPPSTRPASATSSAASTSRRRSGRGPITSTSTDRSMDPTDDDPSIGRHKHILH >OB03G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3235439:3237030:-1 gene:OB03G15380 transcript:OB03G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLHMAQQQAAPPPHDPQEQQHHHHHHHHHEQQQQQHHHHLGVGGPPPHPHNPFLPSPQCPSLQEFRGMAPMLGKRPMYGDGGGGGDEVNGGGGEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVRAENDALLSHNKKLQAEIVALKGREAASELINLNKETEASCSNRSENSSEINLDISRTPP >OB03G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3236860:3237101:1 gene:OB03G15390 transcript:OB03G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVVLLLLLLMVMVVVVVVLLFLWVVRRRRSLLLRHVEEEVWREEGGRGGRHAIAGHCPLSSSSEEGDAHREYLRVRALC >OB03G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3242684:3243802:1 gene:OB03G15400 transcript:OB03G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLLHCPSPLALPRCRSFPTRLFVDGQLVGNADELKRLHEAGELAARLSGCESAAPGEAGACEACADVRFVLCEVCSGSCKVYVDDDVDEDDRQEDEESPLDGGGGFRRCTECNENGIVRCPVCCCCC >OB03G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3245218:3245385:-1 gene:OB03G15410 transcript:OB03G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDVLLNSALCASSSLKDTHAFFKQNLEPPEVPPPSQNKRISKFFIEFLTLRLI >OB03G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3245839:3251849:-1 gene:OB03G15420 transcript:OB03G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICFAVIVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVVFLSLCLVVTLVFAREVPFKGNAALPTKSNEPAEAEPTGPLAILKGFKNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDAQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRLVWVTSNFLVCISMAATALISFWSLRDFHGSVQRAITADKSIKAVCLVLFAFLGIPLAILYSVPFAVTAQLAATRGGGQGLCTGVLNISIVIPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGIAGLFLLPKISKRQFRSVSMGGGH >OB03G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3256264:3257164:1 gene:OB03G15430 transcript:OB03G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCVRATRGIGAATDSVIGTSGDGWFETMEVAVKMTEARTNINTPNILILVKTRDNVSSLRRGWWRWRGRGRELLEVAMGAVMVEPVVHSREGEDERGERSSSCRRLLAQLTGSIVLDSEKHTTNGDS >OB03G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3262818:3268053:-1 gene:OB03G15440 transcript:OB03G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCQMIGEETSQHRHTSESDMHCESFSHLQGQYTSSRTYTTLPVLPSLISPTFLRLLPPTLADMSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRMPSSRVLQETCNYIRSLHQEVDDLSERLSELLASSDMSSAQAAIIRSLLM >OB03G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3265530:3265688:-1 gene:OB03G15450 transcript:OB03G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFPLEMLLSCRHYNNISYAPLSLNEERAWNTPSSCTFTCWTRCIDWSNSE >OB03G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3266810:3266977:-1 gene:OB03G15460 transcript:OB03G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTKILITDWQTAPILMLKTASLSRYWYILIIPELDQSWGCFKYQAMRFYHCPI >OB03G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3267558:3267809:-1 gene:OB03G15470 transcript:OB03G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEAFGDNKYTPCPPFLILPFLELPFQLSTFNSIWLFWKPTICFLVPQTLRHDYLLESTEIYEQSLWCLSKLLLPPPPPLIL >OB03G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3281429:3284184:1 gene:OB03G15480 transcript:OB03G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWRRERHTPCLYSPASQPAEAAQNPPKLQITARRLERKTFPFGRNMMLEGKSCLVSRSLPSSCELESEWAYLTHEVLNGKRPTPEDAEVEDMDGVDCGGGKRSKPPSPQPHISGGHGGSSRRASSGGGEGHGNGSSLIGAIGRDLTINCLLRLSRSDYGSVASLNKDFRALVHSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRGRWIRVPKMPPDECFMCSDKESLAVGTELLVFAMTHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTLLPSMNRARKMCSGVFMDGKFYVIGGVASNNKVLTCGEEYDLKRGSWRVIENMSEDLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKQNNKWITLGKLPERFVSMNGWGLAFRACGDRLIVIGGPRTSIGGTIELNSWTPDERPPVWNLVARRPSGNFVYNCAVMGC >OB03G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3293812:3294967:1 gene:OB03G15490 transcript:OB03G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHPEQRAHGPGQGIPGPLPEGRPEVKARRKLAGVARRGGVAREFGGGGGCRRSRRRSAYIQEVVSVAGGEWRGGGRIMSSRRGGGRITDEEINELISKLQALLPESSRSRGTSRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNNSPQAEIIRSLLR >OB03G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3304837:3307552:1 gene:OB03G15500 transcript:OB03G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase 2 [Source:Projected from Arabidopsis thaliana (AT4G39660) TAIR;Acc:AT4G39660] MASSSSSLLRRGAKAGVGAGRRWCPAEVVRRLVSSSSGATAPEKAPVRSPPEMPPFEHRPRPYAGWSGDEILERRKRFLGPSVFYYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALVSKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEHINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETSVLFEKLKDLNILVGKGGLHGNVFRVKPPMCFSRDDADFLVDAMDYAMSGL >OB03G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3309201:3313498:1 gene:OB03G15510 transcript:OB03G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE 3 [Source:Projected from Arabidopsis thaliana (AT1G80680) TAIR;Acc:AT1G80680] MSSAPVFPVLRHDDYFTRPSIDELVEMEAADPGYCSRVPGFVVGRVGYGQLSFPGDTDVRGMDLNEIVKFGKHCVEVYKDEDSKPPLGQGLNKAAEVTLMLDLSEIPEPGTLVEVLKRQTRKQGAWFVSFNHLSGRWKFEVDHFSRFGLVDEEEEDVVMDEVVARQPIAEVREPPANGHELELSRSLPAHLGLDPAKMQEMRMTMFSNEDGDEDMEDGFPSDQRYFSSERMNVDSPNSSALRLRSLSPLHGSSLKVGRKFGVLDRKEPQALLEYSANSSELGLSSHGILMSGQNKGFPVRMTKVDGFKLPANQTTPVTGKIYTNCVVDAALFMGKSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHVEKVVCDKVVRDEKNKVKEELTDLCFSEPMDLHRKLDREYLETESDLFKLKLQKVVTSRFVLPDICRSYIDIIERQLEVSDLSLSSRVLLMHQVTVWELIRVLFSERATGTQLEPTGDEDQEGMILDKKEGSVAIDLEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTAMEIAASRGDVRLAILLSQAGGSMLNRSDLAQQLDLWKANGLDFNYIEDDRVKIYELLSGNVQGALVDLSIDWKRYLGLIMWYQLSPDTSLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSDDLHVLDLSFVYQLLCLGKCHWAIYIILHMPHLDDAPYIHEKLIREILSQYCESWSKDETQRDYIAELGVPAEWMHEALALYNEYYGDQQSALENYIRCGNWKKAHTIFMTSIAHSLFLSSKHQEIWDITSALEDHKSEIADWELGAGIYIDFFILRSSMQEESTVDDSDLLEKKNESCSTFFSRLNDSLLIWGSKLPVEARACFSKMAEELCELLMNTPGEGLAPNLYMGCFQTMLNAPVPDDHRSSYLQEAVSVFTDILCRD >OB03G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3317179:3317552:1 gene:OB03G15520 transcript:OB03G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFEKKLKRGAPGESSSSAAAAEPRRNFGAVMKVNVALLNVEPRSGPAVAAMDGVFSA >OB03G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3318019:3321407:-1 gene:OB03G15530 transcript:OB03G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTKTIREIKSILYGNGDAEPIDEACSQLTKEFFKENTNCLHLLIICLPRMDLETQKDVTQVTANLLRQKVDYRMVASDYLEENQDIMEVLISGYDNMDIAIHYSAILRDCIRHQVAARYVLESQHMKKFFHYIQYPDFNIASDAFKTFKELLTRHKSSVAEFFSNNYDWFFPEFNSKLLSSSNYIIRRQAIQLLGDILLDKSNTTVMVRYVSSKDNLIILMNLLREQSRAIQVEAFRVFKLFTGNPKKPPEIVGILVTNKSKILRFLADFTIEKEDQQFEADKAQVVTEISAL >OB03G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3333511:3334145:-1 gene:OB03G15540 transcript:OB03G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LKH8] MKPSLLVLALLSTFVFAIASADDYTAAAPAPSPEPEPSPPEASPPPPPSATSSPPPPAASPLQPPPPTPPPPPPPSVTGSPPTSAASPPPPPPPPAPSAGATSSPPPPPPWSPVTNVNDKAIQQVAQFAVHTYCLNTGKKLVLVNVVGGQTQPRNGGNNYQLVINVAAAAASATVMQYNVFVWGVLGTTTWQLWSFTPKN >OB03G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3339807:3343243:-1 gene:OB03G15550 transcript:OB03G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LKH9] MMRRTSSPSPSSSLLPLLVVAAALVAAALPAAEATYRPIANPNALVYQQVGRFSVIVYNLSHRKSLVFVSVVSGETEAAVGGGGGTSYRLAVAVAKPDGSAARYHCLVWGVPGSHLDTWQLRRFRRIQ >OB03G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3352923:3353282:-1 gene:OB03G15560 transcript:OB03G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LKI0] MKSSSLAAALIVVVAAVVVVAVSASEFKQTPVADMPADPRPRGPLLGRFAVLVYSLNRNRELTYAGVSLVDQSPDKGGVRYRMVVTAADAGGAAASYRAVVWGVPETHAWMLLEFNRIN >OB03G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3362521:3367136:1 gene:OB03G15570 transcript:OB03G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63530) TAIR;Acc:AT3G63530] MKYAAVAVEAIGLLLLDHFTVHDPSEGMNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNTTPVNNTESPNVVLQGGETPPASASSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQEHISLLPVTKYKCGFFSRRKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >OB03G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3368671:3373327:1 gene:OB03G15580 transcript:OB03G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19350) TAIR;Acc:AT5G19350] MAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYNCFAHTGELQSVKIIRNKLTSLPEGYGFIEFISHEAAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPEAGPDHSIFVGDLAPDVTDYLLQETFRGSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKTTGSQLQYGTAKAMYPAAGYAVPQAQPVLPDSDPTNTTIFIGNLDLNVTEDELRQICVQFGELIYVKIPANKACGFVQYASRASAEEAVQRLHGTTIGQQVVRLSWGRSPASKQDQSAVWSQADPNQWATAYYGYGYDAYGYAQDPSYAYSAYAGYTQYPQQVEGATDMASTAVSHTPGMEKEEVYDPMNLPDVDKLNASYIAVHGRAMLGRPLWLRTSSLPQST >OB03G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3374361:3376502:1 gene:OB03G15590 transcript:OB03G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDEAYEGIEAPRWADLADPEAAAAAVDDEAWFCRPDCRHPKTAEDFLKLSPSPKGKLLRSVSAMMPFGERDTNLRDGNNNLKRRGAVAGGGIATTFTPPRPKAAAKKRFQDDGENQDPALATPPPPAPASRPPFGAARWAKNAKDAIKSSAEKRPDNAEKEALLSKNAAPRQLKSTLSARNLFSGKDILGQISDFYNELKRMAAGNGSRPASEAMEELSSNPMNEGDVVDKKVDCSSDQVPSEEAIKEKARQELAEKSPSPVKGKKIGLKVEAAKPRSSVLKEVKATPPTPQRFPSPSVNRVKNVKAGGMSMAGSPLQKPLKEKVTPSKDLENSKDAKRPPFGVKDMNSTKACDAEGSSSSMFWFLKPCTFLVD >OB03G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3379034:3383415:1 gene:OB03G15600 transcript:OB03G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exostosin family protein [Source:Projected from Arabidopsis thaliana (AT3G57630) TAIR;Acc:AT3G57630] MRTIRSTKCPWAFLGVAGALVMLVTAVHVFMVPILPSSLDYFGAGHGVRRPRNVLPGAGVVDSRLRGQFPSDSYGAVTYRGAPWKAEIGRWLSGCGAGLSVVNITEFIGAKRCDQDCNGQGVCNYELGECRCFHGYAGKRCEELQKLECNLPSSQEWPVGRWIVSICPAQCDTTRAMCFCGSGTKYPDRPVAEACGFKTILPAKPDGPKLTDWKTPDPDIFTTNKSKLGWCNVDPEDAYSSKVKFKDECDCKYDGLWGQFCETRVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSIPSTYSLAYGLPSWLQLPMSLPDLKNVGSSSIDAKVVAKKKRPLIYVYDLPAEFDSHLLEGRHFKFQCVNRIYDDKNRTIWTKQLYGAQIALYESILASPHRTLNADEADYFYVPVLDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMAYDHIAQHYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNAKHKNSTTAYWADNWNYIPVDRRGNHPCFDPRKDLVLPAWKEPNPAAIWLKLWARPRKNRTTLFYFNGNLGPAYKDGRPEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRSDKYYEELASSIFCGVLPGDGWSGRMEDGMLQGCIPVVIQDGIFLPYENVLNYNSFAVRIQEDDIPNLIRILRGINETQIEFMLRNVRQMWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDDVDDVFATFIQVLHYKLYNDPWRQGVLQRKETGLPDICSKGS >OB03G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3386098:3386409:1 gene:OB03G15610 transcript:OB03G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGFCADKPQLDLKEFLQQIGVLKADDDGAAGKNGGAHGDDGELADAFGFGGNGEFDWDALAADMSDIAGGHGGALGANGGFQMDDLHEVEQFGGCMPIPIWDI >OB03G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3368885:3389810:-1 gene:OB03G15620 transcript:OB03G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3LKI6] MSLSTVHHAAAAAGGAGAGKSFSPAAATVRLPRRRLPGAAAVSAATVEADPAADRVAALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFNEIDGLGSNGVNCDGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFEGFEYSKSMLKEEVERYKKFAERLEPFIADTVHVLNEAIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGGLLRKAGMEFGTTTGRPRRCGWLDIVALKYCCDINGFSSLNLTKLDVLSGLPEIKLGVSYNKPDGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSVRSYSELPLAAQRYVERIEELVGVPVHYIGVGPGRDALIYK >OB03G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3390042:3393540:1 gene:OB03G15630 transcript:OB03G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G51310) TAIR;Acc:AT1G51310] MLLRVVSTLPALRPLLVRSSISLSRRSLLRSRLLPRPFRAISSSAPPPLASPSGARDLGDVDVGEDRLLRCVEAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYVQAVCDKINVPLEVVHLSDEYWNHVVSHIINEYRSGHTPNPDVLCNTRIKFGAFLEAIENMGFDYIASGHYAHVVHPSLDNVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERHIGEMEGILLEAETGDYLGIHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPINNEQLKCKVRHSPEFHHCTVTQEQTSENRVILVVHLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIAKMEDKSRLGKPVKIMNLEHIGQSQQEPVEVA >OB03G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3395880:3396683:-1 gene:OB03G15640 transcript:OB03G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVCSVNYQTVNETVARSSITYTYYPDRDRRPSHPPENAPASLSCPGSYLHDSTCNTRDAINATPQQRQAAHRKPYATRRAAHTSPGHRLASRETNQTKTHHGLATHTHRTARGSSKKEGKSTRAPHHQSSSPPRPPPRPARGSSAGTGAGAARRGVHSSPPPGWLAPPPYLPPVVSGRLDLGLGGKLLCGLLRGEVAMLQLLMALAFSAAPLTLYVPPVRSLSLFVEAIEAACRDCAPYSQGAIFRFRLGLSRILSGLARALRG >OB03G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3397484:3399567:1 gene:OB03G15650 transcript:OB03G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDEDDNPVCIGALATSSFISCVVAPSSAARDIRRCHIPNNIVDAAYFAPCAGTASTGDDVRSHCCDSGDTRFHCHDYADVCCECFPISAAIATASSPHLMVVDFFSECRSVLLQVVNFAGTVAIRSNTSEWVHPHGGFLNFLQRPHYPQPQQHGENFHLVGQIMNFNPISPPPPPSAYGTPQAVNQGMSTNDPVNIDNDEDDNVAERQVKKKYWSHEEEERLASSWLNASKDPIKGNDRKGDTFWKEVTEEFNKLGNGKYTRKTNQLKVHWTRLKSSTNDFNGFWSTVTKIHTSGYSNNMLEDEAQKMHEQKFGKPFSLVHWWRILKDEPKWSGSNNDINVLNQSTIFINELKGQAPRVQYIVNGNQHNIGYYLADGIYPEWAVFVKSIHMPITEKDKLYAEHQEGARKDIERAFGVLRRRFFILKRPARLFVRDQLQDVVLACIILHNKIVEDEKEDDIEENLDLNVAPSSATVQEPEISPDQNVPFERVLEKDSDIRDRSADFRLKKDLVEHIWNKFGRT >OB03G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3401852:3402430:-1 gene:OB03G15660 transcript:OB03G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHREAARFLRAEGPLDLTVAQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPVHAPLQQRFPVGDATMDLVRTGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRADLAAVYAPMLRRLGYKTVKWAVGDKSTPAAAAAGGKHDEVYLTALLQKP >OB03G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3404276:3407849:-1 gene:OB03G15670 transcript:OB03G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTENGTVMIQFGHKMPDYESSATQSTSGSPREASGMSEGSLNEQNDQSGNLEGYTKSDEGKMMSALSLGKSETVYAHSEPDRSQPFAISYPYADSYYAGTVATYGAHAIMHPQIVGMMSSSRVPLPIEPTTEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQSEASGGASPRRSDHAGIAANGVAFSKHEHSLSSSDLHHHHHHHHRGREGA >OB03G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3417119:3418111:1 gene:OB03G15680 transcript:OB03G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVVDMDEEGGAAVAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLRVAPPPQAATATAAAILRREGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAGGVSAAIAAQVVWTPVDVISQRLMVQTSSTCRYRGGVDAFKKILLADGVRGLYRGFGLSIVTYAPSNAVWWASYAMAQRFIWRIVGAERSESYPSLMAVQGASAALAGGASAIVTMPLDTVKTRIQVMETEGAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSFD >OB03G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3427605:3442321:1 gene:OB03G15690 transcript:OB03G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIKSAISIGIQLGRDVEPSLLGCHRLGAKGKSNDEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSISERSGNKLYNTCCVFGSDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGLDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQVFGTLLCWILLFYRSSLFMLPI >OB03G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3457041:3457235:-1 gene:OB03G15700 transcript:OB03G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEDDDESSDASSDLFELENFAAIAPAGAAYRDELPVYETTRVALNRAIGHGYGHGRSARVV >OB03G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3457387:3458589:-1 gene:OB03G15710 transcript:OB03G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAVPKGTAGPARRSGAAQPSFSSTLLDAIYKSMDEPGHGDAGVWGGWQQLRLLPPLRSSTRPCTMVTTTSRRWRGATGRARRARTPRRRARRSVPAMVGSRRPRRSRRTTDASAPSVRLYPVGRRRPRRRRRPRNRGHPSGPSSGTSASRLPPARAWPASSTPSSPGNARRPRRPRPRPVASPRARRRRPTPAPA >OB03G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3473173:3473617:1 gene:OB03G15720 transcript:OB03G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEGGSIQEMHVQTVWPSKVSGDVWLMSTKKSQEDVVYPEIDPRLKIKVDDESKDLARSIELPRDMLDVEKELHKSGQPTKTNTARRRAAAQSLCHPPPKPKSRKKRGLTSRSSSSRTHICPSCSWT >OB03G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3477194:3480635:-1 gene:OB03G15730 transcript:OB03G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSSSSARGYGDGGGEGNNGGGDADGSCSSPVAASPLVAMPLHSDGSVQYDAPDWRHAEAKDPKLEDFMSVSYSNNKSSDLYSSSHVDQLKYHHVHDVQAFSSPYFHGHGGSGNVGGIDINMNAPPACTVVLPDQRVPPPQDHHFLPHHHAQYFLGPQNPMPAPMYPPPAGGGGGVVDGSMSISGIKSWLRQAMYVPDRSSALSLSVPAAAPPSEPAPAMPVVRKPTQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYKKELEDMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLACRRSPTRVPDAPAPGASADRSDAQGDLSGGAAD >OB03G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3487996:3493193:-1 gene:OB03G15740 transcript:OB03G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTERLVCVVLAVVAVLSPLYIDRRPVVVVVDSDDEEDGVVAALWLPALLIVLILAINVTCFMDRRVVSDLAREYPVIRIRDRGADANPFDKYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRRKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPADDYEMGNATELDPRLKQLQDIL >OB03G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3492873:3493193:-1 gene:OB03G15750 transcript:OB03G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTERLVCVVLAVVAVLSPLYIDRRPVVVVVDSDDEEDGVVAALWLPALLIVLILAINVTCFMDRRVVRFDPYWIHRVGGSSCGLMATLLLLGFVLKCKASF >OB03G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3497503:3497814:1 gene:OB03G15760 transcript:OB03G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRRGGHGDRLRREAAAAVAGRRGARAPWAGSPPPASRGLAYVSSLVRFCFSCLCLRWGQSGAMAPRGSLFLQELRSSVADCELGETGEGKNLLRRLMGVIEW >OB03G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3497569:3500762:1 gene:OB03G15770 transcript:OB03G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06025) TAIR;Acc:AT2G06025] MVTDSLSVARRASPPGLSSSLPNPISVVPTSFQSAPSDLRFNRLRPSVEESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAENRYEDQSSDRYVESFKRKFASQEFHALKKRCSKLQGEKYICFVAVKNDDLKRTVLNSVVGTLDVCIRHPFHGETFPAEPGKSSYHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARVNGAEDVYIHVHKDNLPARRLYDQIGFRMVDFDGACHSSDLCLLSFGS >OB03G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3505322:3508503:1 gene:OB03G15780 transcript:OB03G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein [Source:Projected from Arabidopsis thaliana (AT1G54150) TAIR;Acc:AT1G54150] MSARDRETAMALARVAAALDGAVLGLGTATIAVASWVKYLAVSGQLRRIVAAPAVAISDIRSFLVEYGEGDEPVLAAVRGHVRAAPQGKLLVPPGSGEHCVIAKHTQMCLFSEWRGIFGWTFDLHALFFKSLKEQITTSFRWVYYLYFVNPQNMTGIVHVNLDRAMQPLPLTSVYHKLIPVESTPYTLFQTIVGNGYPVALLDEEKILPIGKEITAIGFCRPHRASVGISSCPEIPFFLSELTKDEMEVELSSRAQTLFWATVVLGTMSVCLLGFATYRYRTVTFLSWKKIKERREARQAQEVFRQTTDDVTDDQSSDEEAGEMGDGQLCVICLRKKRRAAFIPCGHLVCCCKCALIVERQFDPLCPMCRQDIRYMIRIYNN >OB03G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3511321:3513868:1 gene:OB03G15790 transcript:OB03G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:J3LKK4] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >OB03G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3520086:3522689:1 gene:OB03G15800 transcript:OB03G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:J3LKK4] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >OB03G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3521803:3522093:-1 gene:OB03G15810 transcript:OB03G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTWEVKLAASLAGSSFELEATYPRFRSFTATFLTLNPTLSPGRASWRASWCISTDLTSVVRPLGPKVTTIPGFRTPVSTRPTGTVPIPPILYTS >OB03G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3522824:3529217:-1 gene:OB03G15820 transcript:OB03G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase of Arabidopsis 2 [Source:Projected from Arabidopsis thaliana (AT5G21930) TAIR;Acc:AT5G21930] MAARKAELLTRSRGRVAFAWTLVALCCGSHGTHFLHSLGIHVGHGTFLDLLHNSYVKCGIAIAALFGPGRDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLVVTSSSDDPSSDGALNSDAITVEVPVDDVRIGDLILVLPGETIPVDGNVLGGSSYVDESMLTGESLPVPKEKGSSVFSGTVNWDGPLRIKATSTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAILLDKTGTLTKGRPVVTSIASLAFEEEEILRLAAAVEKTALHPIANAIMDEAERLKLDIPTTSGQLTEPGFGCLAEVDGRLVAVGTLDWVHNRFETKASSTDLRELRNHLEFVSSSEESSTHSKSIAFVGREGEGIIGAIAISDVLRDDAKAIVNRLQQEEITTFLLSGDRKESVESIGRTVGIRSENIKSSLTPHEKSSIITALQGEGHRVAMVGDGINDAPSLAAADVGIAMRTHAKESAASDAASVVLLGNKLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFENTEKQRGNLNSRLN >OB03G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3523899:3524451:1 gene:OB03G15830 transcript:OB03G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSCGVKDDFMFSLRIPTVLPMLSTDSFLSPDNRNVVISSCWSLFTIAFASSRRTSDIAIAPIIPSPSRPT >OB03G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3531828:3534058:1 gene:OB03G15840 transcript:OB03G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein [Source:Projected from Arabidopsis thaliana (AT5G21920) TAIR;Acc:AT5G21920] MASPNAALPRQHHPSTPPLLLAMRHLPFPGAHRPRALPVPDLAPLARRLEELAAAAAAHPPLAFHSHLSAFSQSRRRAMATMRRPADCPLSGEHCFAAVLGGSVAGVVVSNGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALSSTAAALPAELPDPAAPTSRRGASSAGSAVLTASRRKWARRIRPVESQKEEDEM >OB03G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3532967:3534751:-1 gene:OB03G15850 transcript:OB03G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAGPERIGPVVISNSDLLKTSEDDRAFLERAGGRWARPADVLMPLDARGARRLMELTFYRKQPTAMLPDFVIRDTMQKLFSDKREEKIALMNATTVGTDAFHVTPLRQDVLLIWGDHDQIFPLDKAFAVKSCLGENVRLEIIKKTGHVPQMEDPDQFNKIVLDFLVGSRGSSST >OB03G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3537425:3540094:-1 gene:OB03G15860 transcript:OB03G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPLLDYLARREFAAAGLSPGAVTLPYPGGGGGEVGPVVIASSDLLKTAADDSGFLKRAGEGWSGVEEILLPAEPAAMRKLMELAAYRPPPAALTPDFILRDFIQKLFTENREQLIQLFKGITVGTDKFPVTPISQEVLIIWGEQDQLFPLEKAYAVQRSLDGKARVEVISKTGHAPQLEDPTRFNKILLDFLLATHKADPSSNGTSQ >OB03G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3540866:3547495:-1 gene:OB03G15870 transcript:OB03G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRYAASTATSALFTLLPLSLLLFRLLALLVRLRLAAFRDAALSLHLLARLRIRPVHLRLPGPHATTLRVWCPAAPSSKPPLLLLHGFGGDSKWTWARNLPALSRHFHVYAPDLLFFGPHSRSTSPLRTVAFQARCAAEAMRLLGVDRYDVAGISYGGFVAYRLAAVEARDRVPRVVVMTSGVAATPGEMREMASREERAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKLMCVDQRKERAELLHELLKNGAGIDPLPVLTQKTLILWGDKDQVFPVDLGHRLQRHLGDMSRLEIIRDAGHALQLEGAEQVNRFIKSFLLDSSNGPEVGSGPRPNTRAC >OB03G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3551416:3558643:1 gene:OB03G15880 transcript:OB03G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-tyrosine ligases;tubulin-tyrosine ligases [Source:Projected from Arabidopsis thaliana (AT1G77550) TAIR;Acc:AT1G77550] MSPAAASPADRIGSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEGALGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDRRTELEEADEQDNGNGGSLENALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLMALDLPSKFPNMVALNLWGNKLQDPEKIMKGIEEFRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGNIIGADNPCSSAENIPLENIVTLDLSDRCIHKLPEVFSPSKLPSLSNLNIRGNPLDQMASDDVLKLVSGFTQLQELEVDIPGPLGNSAVSILECLPNLSLLNGINASSIIESGRHIVDSALKPRLPEWSPEESLSERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDNANFRIAPFLFMPDGKLASAISYTILWPIHNVHTGEECTRDFLFGIGEDKQRSARLTAWFHTPENYFIQEFRQYKEQLQASSICPSRKATPVTKSIRPSDGRALLVFTDIPQVEEFLTRPEFVLASDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSQLIGDSCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIESPALFQGRKFDLRYIVLVRSMCPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHINTPEFVKEFEKEHPVKWLEIHERIRDMIRRVFESATAVHPEMQNPFSRAIYGVDVMLDNKFSPKILEVTYCPDCTRACKYDTQALVGDQDVIRGSDFFNTVFGCLFLDEFKDVSPL >OB03G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3558824:3562732:1 gene:OB03G15890 transcript:OB03G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAGHAALAPRILLPLVVLAAVCRCDPDLLFDYCVADTAAAAGAGVLPLNGLACIDPALARADHFATRDLLPALGGVGKTGGMRCPACVRPAPPKNGPMLDVRRNWKGFLFLSPIRVNSDNPSKTILKPGIEPQRNDDSSQYYSENVVQLVNMEVFNSAPNP >OB03G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3570041:3575443:1 gene:OB03G15900 transcript:OB03G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWSSLFGCFTSQHHYDGCGKRKKGGGGKKKKKTTTKKKVAAATGQGQQQRKLQSRLSFSDLSFGGMVSPEDLSLSLAGSNLHVFTIAELRSVTRDFSMTNFIGEGGFGPVYKGYVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEYRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLSFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKNRPPREQNLVEWARPYLTDARRLDRVMDRNLAGQYPTRAAQKAAALAHRCLCPVLIKRCRIAGKFNPDISGVGSNWSVKRLLN >OB03G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3576692:3577918:-1 gene:OB03G15910 transcript:OB03G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTALTPIVSKIFCSNSQAVLMVRRRPPTVSGGGFVVTDRDQRVVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGIVQALSINNWWKGYLMDYGEPSKLIFSLQDPKPLLCMNGDVRVTVEPKGRKRHWDYEVTGSFSQRACAVRSRAGHVVAQIGVKGMLVGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >OB03G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3582364:3582807:-1 gene:OB03G15920 transcript:OB03G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKCAVQEKDDLLGVLLCLLGWILVLMSSSTTFRPTISQSASRCPATASLMSSFCFRRTVSAARSATFSGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSSSGRSTPCTREEDSAEYLTLSWELRISH >OB03G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3583660:3589435:1 gene:OB03G15930 transcript:OB03G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LKL7] MQSGRVSIKDWCQKRGAKGDHVVYTAEFTVDADFGEPGAIAVSNRHNREFFLESIVVEGGLPSGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELREKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDKGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITEKHIAGHLNGLTAQQAMDEAKLFIMDYHDVYLPFLDRINAIEGRKAYATRTIFFLTEAGTLKPIAIELSLPPTQPGEPGPSKVLTPPCDATTNWLWMLAKSHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRNHWRGGRFDLEGLPSDLIRRGVAVEDATQPHGVRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYPDAGTVQGDLELQGWYHESVHVGHGDLRDAPWWPPLSTPADLASILMTLVWLASAQHAALNFGQYPLGGAPLNFACLIAEHLKASEHRHISVFFYSENVTRGKTCWKRPEIKVCFRGPKSKIPVK >OB03G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3590133:3597108:-1 gene:OB03G15940 transcript:OB03G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPPLLLLLAAGEYHRLSLHHRPAVSDFSEVVLGWDPRGRIVFRDGGGGWAGGWHGRRGGGAGGGGVGGVRVLRAEGGVHADVRRGRAGAVRRGAWESLRASDVDSRVSDWLEQMAKSSKRLSCIDRAHCNLLPNWIYVNMDNHWEFKNDLDSKKISFIGTMGSTAESSRRSYMAWRAVSTQQAAALLRFLFDADPISSASRGANLSEVAKQSGEPPEDPSVACAGIARHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRALGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLMTLVVLSIILIVGKVTVWGAIMFVSIYVVYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGEVARINTSLPQWMWASHVAIYSNHGIRGGSPDSSRPLWGWSDEEVDNTSISFSKLFLFLELPLTIPRRLTIPIVEEDRWSKEFAVASAGLAPVLLAFLWSSQDGVSTKALIVAYVIAGILGIALASLAFMFTSHEQPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDPSLIYTMSFLIGGLIWALVMLPRSGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >OB03G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3600143:3606481:1 gene:OB03G15950 transcript:OB03G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIKERTLSLINRTTSLNPNAQEFVPSSLRSVNDVSNRSDTSRISVSGSSKDTIADQQEPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDETPGPDNLSLIGLSINDSIGASLFSPNQTSNLQHHASPFIQDTLSARAKIEFPSPTYVEEQSRATIMSPTASTMSPTAAPWVKTVRSGGQYNANRRDANHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMNQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLMNYNKEAFGLANNNYRSHSAVIADDILSPSAGREHISLDAPRGRFSTTNLPVSGLGSSRGSQLMASSFNGNHDMVSNNTLQNVAGVQTGPAWLETDAAANMFLESKDEVHDFASLRHALLEQDRQAFLTGGNPLAKGLNIKELYNMQSRLAQEKARETIFRQRFQVPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGLQYTQAQPGLFRVLLQ >OB03G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3607012:3609498:-1 gene:OB03G15960 transcript:OB03G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3LKM0] MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYVGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >OB03G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3612537:3613606:1 gene:OB03G15970 transcript:OB03G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLNWMQTRLHGTRKPEPIAVSSRRAHTPGDLHRNDGLDAWAAAMLSIGTFGVPKSRHGSDGPWTTAGADELDGLQEELRLLVGSREVAVTGADDDEGSSHRRSLSRTSSSTNGREVVKLKQRSFRKVMASALSGFLHHRPSCRETMPEATVSEIIWSLLHKNTHTEKPALPKPMINGDLAVQTPQKEEQEGSKWIRTDSECKLIFI >OB03G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3613736:3617993:-1 gene:OB03G15980 transcript:OB03G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16570) TAIR;Acc:AT1G16570] MAAAMETGKRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALTLLLKAVIQFIVLIWYLSFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINATVLYDQSPEFFRPASLTEKHELFSRLGNSICSAIGNDDCISVEKEVEDRNTTVFTSQIDGEVFLKPNRPALVVSSTSWTQDEDFSILLEAALMYDRRVAATLGEDDSVDERELWTDIKNGKQFLYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKVNNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERHALPLVNQVIG >OB03G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3617788:3618028:1 gene:OB03G15990 transcript:OB03G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRPMSPRTTTAARFLFPVSIAAAISTCLQSVEISDPTTPQGVQMRCLLGRAPNPGSRYTKDLEVPEKVKRHLAIY >OB03G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3625975:3626543:-1 gene:OB03G16000 transcript:OB03G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARAGEPRRHALALIIASISPLRSETSIAMTPTRHLVASGRSTWQSGSLSINNFELVYHGVELRVRN >OB03G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3630727:3632038:1 gene:OB03G16010 transcript:OB03G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRQVVKAATAAGNGSRFAVTCGLLRQYMKERGAGSSGGGGRFLPAVTMSLMATAGADAGAVGVAAAVEEEEAPEEIKTMELFPQQAGIKDSRERNETEKAQLSQLTIFYGGSVVVFADSPAEKADELMKFAGSRDTAPVSDDDAGAAAAARHLSPGQPCLPDMPIARKVSLQRFLEKRKNRLAASEQPPSPVASSSSPEPEKKEESSKRAKKDDGASWLKVNPTLSL >OB03G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3640911:3641774:1 gene:OB03G16020 transcript:OB03G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEGKSRRFAVACGVLSQYVRAEQKMAAAAGGRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRPPRGRAQPCSRTCPS >OB03G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3647754:3648451:1 gene:OB03G16030 transcript:OB03G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTARHLPPPSDPPLYGGSPSPVAAVAEPKLELPPRTRKRSAVAVELARGGAYWRPPPLAVSPPTRRQKHGLASECSAFSSGCLRISIAPVEVSYAMRGTCLWDCLTELLRGGGQGRFCCFMRLLLMSVELRLAIDKIAHFSCDMGLPRDFQTRWVHMLPEQFRVVRLEPWRTAIAWSLSLDSWNPNCEVTELGEKDGIIDRRCHC >OB03G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3653911:3657722:1 gene:OB03G16040 transcript:OB03G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFVDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPGTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSHPGLQARVRALLHARREPRRAGAPAEQPGPPQRRPGGLPRRAAPLRHPLQQQHLVRAGVPRGQRPRPPRRPRLAARLRLRLQVQQRRLARRPPRPPPCAQPVAGLRRPVPGTHGRLTMSPSPSGDGSRHKVSMQANQRKNLLQRSQGAILHACMQ >OB03G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3659998:3663469:1 gene:OB03G16050 transcript:OB03G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPEGRRTLCNACGIAWAKGKVRKVIDSDTPMDNATFAQMVPELGMEFDDEDRAYEFYNKYAGHVGFSVRKSSSDKSAENITRSRTFVCSREGFRKDKKGAKEVKRPRPETRIGCPARMSIKITSDGKYRISEFLPDHNHQPAPPSTMHMLRSQRILTELQTTEADSSEDSATLSRFSSYSLVKQAEVIRGNIFLPADYRCHLRSKRKKNMRAGDAGAAVKYLQSMQLNNPSFFYAVQLDEDDKLTNIFWADSKSRTDFSYYGDVICLDATYKINEHGRPLTLFLGVNHHKQICIFGATLLYDESEESFKWLFDTFKVATNGKQPKTILTDWSLAATIAAATTAAWPGTVHCLCPWQVYQNSVKHLNHIFQGSKTFARDFGKCVYDYEDEETFLLGWKSMVEKYDLRNNDWIKKLFDDRDKWCPVYNRHIFTADIRSSLQSESVSSALNKSLSPQFDLLSFFKHYERVLDEHRYAELQADFHASQSFPRIPPSKMLKQAANMYTPMVFEIFRREFEMFVDSMIYSCGEVGNAFKYRVAVTDKPGEHYVRFDSAMLSAVCSCKKFEMMGIQCCHVLKVLDFRNIKELPQKYFMRRWKKDAKSASTGNQEFLNGVVSQTPSSLLNVPMPFIDSQHVQSSSQLNHDTSVSNFHQQALHGGAEGSQGYVPLAGMQQQEQQPFIGSFRLNHETCFL >OB03G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3665273:3671144:1 gene:OB03G16060 transcript:OB03G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYSETKPPDSREVSFSRDNHDQLYVSAAARPDPPSFGYDISVASFSDQSRYHGGDEDAGDFDDDDDEIDVRVGKPVSVAGLFKYSTFLDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTEMMKDVKQISVYMAILAAVVVIGAYLEITCWRIIGERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEIMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPVMMACGIAYKGIYGGLTAKEEASYQRAGDVAQQAISSIRTVLSFVMEDRLAGKYAECLDVAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSKLVADGKIKGGDAIACFFGVMVGGRGLALTLSYMAQFAQGTVAAGRVFEVIDRVPEIDAYGAGGRAPSAVKGRMEFKDVEFAYPSRPEAMVLYNLNLTIPAAKTLALVGVSGGGKSTMFALIERFYDPTRGSITLDGHDLASLNLRWLRSQIGLVGQEPVLFSTSIIENVMMGKENATRHEAISACAMANAHTFVLGLPDGYDTQVGDRGAQLSGGQKQRIALARAIVRDPRILLLDEPTSALDAESEAVVQQSIDRLPAGRXXXXVSRRATTVXXXXXXXXXXSAGRVVEFGSHDALLAAGGLYAAMVKAEAEAQAFK >OB03G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3675790:3680134:1 gene:OB03G16070 transcript:OB03G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPILVGVRPVPGRNPCIGNPKDLMQMSTPSGVSEALDQQRKAAKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSAPPKFKQEPKPQERPNTPSRSPAKIVSAKQEINKDTHKNSASLPSQNGSAVVKKQIPKESKRESASAKSSPPKIYRTSPPTPTPPLPSTTSPAKPNGTSSTVTSVLNVKRRVTETVSWDSLPTSLIKSGKAVVRRKNIALVVAAEAQREATAAASLVKGLGIFAEIRKSAEEDPHATITKFFQLSRLIIQQSVVWKDYSSDSVKESRPEKEKPSRKASASQNKTVASSTTKNSDDAYTSEKIDWAREDGFKEICRSWVILKKESQSWFLNFLEDALEAGFKFEGQNKNTKERVRHSKGGDGQIAVRLSQLKETSNWLDQLHAEADKSQDALVATIEQLKQKVYTCLLGTVETAASALEAR >OB03G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3690043:3692899:1 gene:OB03G16080 transcript:OB03G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3LKN2] MAMQQQQHKQQERCTREKVPAVGRVAIIGGGISGLAAAKQLAGHDPVVFEATASIGGVWKHCVYRSTRLQTPRPDYEFSDMPWRNRDDPTFPTHGEIIDYLEDYADKFDLWRYIMLGAKVVGVKFLGGPAAGFTELWSGTGEPLQGKPMWEVGVSTSDSDDDVQWYKFEFVVMCTGKYGDVPRMPVFPPGKGPEVFKGQVMHSLDYCKLNEQETVELMRGKKVVVVGYKKSAIDLALECAQANQVTCNVIITNWGRPDPISDTYSAPEKVTLVLIRRTLHLEKFFYTTCEGGQACTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGVLRSLLCRLMAPLKAGVSKFIESYLSWKLPLSEYGLRPEHPFVEDYASCQMAILPDGFFDMAGRDLIRFRRSAGGWCFSENGVVLDDGTEVEADLVFLATGFEGKDKLRSVLPEPFRGLVVNKSAMMPLYRHHHTRTHSSGSMADGEVARACRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGRRFPLPAVEEMVRHVDGETEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKPNWLAELFAPYNNQDYKEE >OB03G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3708956:3716910:1 gene:OB03G16090 transcript:OB03G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGNKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMESTDLEIEEDHTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVVITRRRKVGTICGHEIYSVGKSEMIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMCSLQKNITDKNNGQVVYETMFVWNEFLTRAIRNHLKNTTWTVALIHGFFKQSKLSVSGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEVPCQISSVVQHRGSIPLVWFQETSRMNIRPDIILKPDVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLCAEFAKAIHYINKGLPDDRRLKFLHMDLSKLSRRKGTSVLALLDKVASDVLDLTDFLHGEITRSKSEDASSGQGPVANSGDIESIEDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPKIELHAPLADDLMDLYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCDTTGPVSDCNVREKNIANSKLLPMQQLDDIREPSDSAPEISMCEPNPCSSTNYSTLPGRHSISEERQNYLKRLGYPELHSSNFLDLDLLSSSGNSCEEEIFERSSLINSPMDVVSIESTTSYSEHGHNDEGRDDTDLSRSSSQMSEIGDYSDRFAHWVDNGGMLCY >OB03G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3717884:3723794:-1 gene:OB03G16100 transcript:OB03G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional fusion protein [Source:UniProtKB/TrEMBL;Acc:J3LKN4] MENEQPAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPDRLSVQIVSHILIKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLEPSSLQTARFRQFWDEAAKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHVANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHAFPQENRYAFHRAQQTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAHHTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEATAAQDFAPITEYAAPEQWPSDQWPSDVAAPVAGAEWGAAQAPVTAAAPVDGWDQAGAPVAAEGAVVPPVAPTGWDTAAQPPAQGWDN >OB03G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3724612:3726706:-1 gene:OB03G16110 transcript:OB03G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPARVHRSLTAAELLPEASSSAERRPARKRKASSSHDDFEAEFELFEDNDDDAELELSDEEEALAVPYSDVSSSSRRRPRMAAGRETSGKKYRGVRCRPSGRWAAEIRDPRKGRRVWLGTYGSAEEAAMAYDREARRIRGQAARLNFPRPREGGCSPRRTTNRPRWAIDLNLPAAVSDDDDMAVDAAVAGNAGRAGRGSEPVDSKIKKLIKHGPHVESAMPPELIMEDASCSSAARGALQLQYAALISDCDRELEEIAALERDVERRRRQVCEQRRHFVRKASLLLD >OB03G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3730342:3731728:-1 gene:OB03G16120 transcript:OB03G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSHPLTHPQAWRLQMYIFSLPRWQRTTNSQKKRDEHDKKRSKRSGRPKPRAMCGGAILAEFIPPQAGAPASKRVTAGHLWPAGAKNGGGKSKKKSFAEADDFEAAFEQFHDDSDFDDAEEDDDGGLFGSRSLVFTSKSPAHDGRAARAASKKKRGRRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTPEEAARALVDLTTAPAPVVAPPPLMASSFTDSATSESGGSPSKKPRADDASSEGSVGGSSSDTLGFTDELEFDPFLLFQLPFSDGYESIDSLFTGGDAVQDANSANNDMNSVNLWSFDEFPLF >OB03G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3730388:3731255:1 gene:OB03G16130 transcript:OB03G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFALLASWTASPPVKRLSMDSYPSEKGSWNSKKGSNSSSSVNPSVSLLLPPTLPSDEASSALGFLEGLPPDSDVALSVKELAMSGGGATTGAGAVVRSTRLAVVKASAGGNSRFSPRGTAAGAVAGGGGHXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGLAAAEAACLDVVRPGGLLRGVERAEPDAGALVRVADLRRPLPPWPLPDAAEVAPPALLLARRPRRAPVVGCTPTTSPPDQGSRPE >OB03G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3732737:3734395:-1 gene:OB03G16140 transcript:OB03G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTADEQRAFMDQRNTILPLGQSERKCSPEAVLRSEGGGAGRGAAPGGGRAAPGVRGRCCHDAGRRRHRGAWGRGRWSAAPGGGGGEWRRRQRQAGEGPRPGMGCGVRESASATVGRWSAAARAVSAWAAPPNWQWAKSGLHVNFQAEAQETQHRTFLDTK >OB03G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3741032:3741758:-1 gene:OB03G16150 transcript:OB03G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILARIIPTTPARRGTAGGGGAARVRSGGRWEGVGPSGLMTTSRRRFGDSTVATPRGRKTRWRASMAMARWRAAPPPPPRRHPTTSSTSSTKTSTARTRYPSRRTKTRPARAPAPPPATRCRTSRGRACRRPTTATWSSQPSTPTPITPPSSSPAPRSGSGLKPTKCSPHHSSSITPPPAYSCSTTHSCSVTSTATRSPRSWPASSEATPPGK >OB03G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3746412:3746657:-1 gene:OB03G16160 transcript:OB03G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVRRNALTYIQCAFGTKTRPRIDQSQPTFLPPNSPKCCDSMIQNGSTQAGGPSRSRVMSGRLLRFDDPKWIHTGCRLV >OB03G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3746877:3749142:-1 gene:OB03G16170 transcript:OB03G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKREEGRRMKGRRVGCLRKKNDDDLGAGANKRPRTESTASGSGDDTDALFDALLFADQYSYFNGGAYESLDSLFSADAVQSAAPADPGMGLWSFDDGCLVDVEGSMSF >OB03G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3754873:3755130:1 gene:OB03G16180 transcript:OB03G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLERSAMSASRGGDLQPRVAIIRRRPSPDLGRRGSPAVEGFPASPHQHLDLSPLLSRHLLAPAFGAAERVLTSAKKKSHRLTD >OB03G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3754436:3755746:-1 gene:OB03G16190 transcript:OB03G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSESSEPLPHRGRRRGRRGGGGPFRRARWAPADHTAVAEAASAAVRAQEPRRRPRLSVLRRSAVRPSPAAATGNSGGCRLDEGGAPGRGFMGLGFLNCCYCCHRKLDADMDVFVYKGEHAFCSAECRCQQMAREERREIEMLVRRRREAFHSRRAAPAKVRGGSSPDDRHARLQIAA >OB03G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3758292:3761647:-1 gene:OB03G16200 transcript:OB03G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSISIYNFSGNASREINISLTFFFQHLQQQLQAQPGSLPFDEILYCNIGNPQSLGQKPITFFREVLALCDHPKLLDREEIKSLFSADAIARAKKILALIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVHMMMQLLIRNNRDGIMCPIPQYSLYSASLALHGGALVPYYLDESSGWGLEISELKSQLEDARSKGINVRALVVINPGNPTGQVLDEQQQYELVKFCKDEGLVLLADEVYQENIYVTNKKINSFKKIARSMGYNEEDLQLVSFHSVSKGYYGECGKRGGYMEVTGFSAPVREQLYKIASVNLCSNITGQILSSLIMNPPKAGDGSYDLYMEEKDGILKSLARRAKAMESAFNSIDGITCNKTEGAMYLFPQIYLPHKAIEAARAVNKAPDAFYALRLLDTTGIVVTPGSGFGQVAGTWHVRCTILPQEEKIPSMIARFREFHEEFMAQYRD >OB03G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3765574:3767061:1 gene:OB03G16210 transcript:OB03G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGKQASLKLGGRILRVLDQISQSNQGKAKQPWTTLLTLRSSAHTNYWSTATAVFKCKRISGSHLISSSCSILHSPFNASSTTILLFSAFSIACHCFEDNAAKFIVFFSSCLISCVVRLKLALVGRERMSKRCDVHRASYDHHRDRCKIAETTGTQEGCTLAETAERRSSRKLQNMLVFQLLSDNVTDEVTRSQLLRISGPQAANGKSKKKNLTFLAKFHSKIVAALASESSPCRNSFHKPIISREILVREYARSVRIIRRTAAVCFSPSSGADEDYDHLPHTQLDKVTHAISREEFGPLYLVT >OB03G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3777333:3783792:1 gene:OB03G16220 transcript:OB03G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRGGGMADAPWLPLLLLLGCFCSIWPQRQILVAADTDPNDVTVLNTLFTSLNSPGQLKGWQASGGDPCGQSWQGITCSGSSVTAIKLSSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTSKLERLNLAGNQFTGNLPYSIFSMNNLKYLNLNHNQLQGNITDVFSSLYSLTTLDLSFNSLTGDLPQGFTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNRFTGWIPSQLKKINSLQTDGNSWSTGPAPPPPPYTAPPPPNRPNSPGQNNGDSSSGGSSGIGGGGVAGIVISLLVVGAVVAFFVIRRRKRKAAMEEHFEQHQPFTSFPSNEVKDVADMKPIEESATIDIESLPSPASISLKPPPKIERHKSFDDDDLSNKPVMKKTNVPPIKADVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFTDGKVLAVKKLDSTVMPFHSSDDFAELASDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICCPSIIHKNFKSSNILLDSEFNPHLSDAGLASFISDAEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSTKPRPEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDSSRRADDQDQDFI >OB03G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3783875:3788960:-1 gene:OB03G16230 transcript:OB03G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autoinhibited H(+)-ATPase isoform 10 [Source:Projected from Arabidopsis thaliana (AT1G17260) TAIR;Acc:AT1G17260] MDELGETLLGLENDFFTEDIDLESLPLEYVFERLKTSGRGLSSADAAERLQLFGANRLEEKHDNKVIKFLSFMWNPLSWVMEAAAVMALVLANGGSQGTDWEDFLGIVCLLIVNSTISFIEENNAGNAAAALMARLALKTKVLRDGKWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEVEAVVIATGINSFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAIGVIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSREMDREMIILLAARASRVDNQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYIDSDGNWFRVSKGAPDQILSLCYNKDDLAEKVQLVIDRFAERGLRSLAVAYQEVPEKSRHGHGGPWVFCGLLPLFDPPRHDSAETIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSAPLSGRRGGGGGAAVPVDELVEKADGFAGVFPEHKYEIVRILQREGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPNPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVARTTFFESHFKVRSLKQNADEISSAMYLQVSIISQALIFVTRSQGLSFLERPGALLICAFVLAQLVATLIAVYATISFASISAIGWGWAGVIWLYSVVFYAPLDVIKIAARYSLSGEAWNLVFDRKAAFTSRRDYGGKEHRPPETRQPRPHHQRRRALSDHVLSSGWRPSLIAERAKRRAEIARLGEAHMLRAHVQSVMKLKRVDSDLIQSAQTV >OB03G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3790462:3796582:1 gene:OB03G16240 transcript:OB03G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytoene desaturase 3 [Source:Projected from Arabidopsis thaliana (AT4G14210) TAIR;Acc:AT4G14210] MDTGCLSSMNITGASQARSFVGQLPTNRCFRSSSAQALKSSQHVNSGLKSFVLRNKGKRFRRGLCALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMAGGQAYVEAQDGLTVSEWMRKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVEHVRSLGGEVRLNSRIQKIELNPDGTVKHLALSDGTQITGDAYVFATPVDIFKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYKMLSRRSQKSLQSEIPVAS >OB03G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3796705:3797103:1 gene:OB03G16250 transcript:OB03G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLSTTVYNCQHKHSLNISKGLRQKGTRKEKHGQPQKQLHALCQHGSYCIIFSSQVAIRKQEATAGLSPQIFLSALRYFQGDSIIGTFETSSINLHDTTHKSYHYISWIYTYLAYMLHRCLFVKVCKITLSPG >OB03G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3798080:3800840:1 gene:OB03G16260 transcript:OB03G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNRPIKAEPAAGGIGQGNRILDMMSSGWTNERHMHYISSMEASFVEQLYNHEQNGNHNDCGNGFKVLRGGVWEKLKFDRSNACSRIGKKYCLPASPWIQHFRPRDCSNNARSDAAETLVGDHESGIQTIQGRTPLSHGREWEACKEEKPLGESTEVSDQNFADDEADVDAESSKACKRRKLSSSLTRNYQVVPFDKLFGATKPVGGKGALE >OB03G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3809739:3814908:1 gene:OB03G16270 transcript:OB03G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MGVTSGAGEALKSSTSSSPMAGLRAAAIVKLNAAFLAFFFLAYMALLLHPKYSYLLDRGASSLARCNAFRDACSTSTATTTSQLSRKLGGVAANKAVAAERIVNAGRAPAMFDELRGRLLMGLVNIGRDELLALGVEGDAVAVDFERVSDVFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRTDSAWNRDVFRLQVHLVAAHMAARRGRRHGGGGRVRVVLRSECEPMMDLFRCDEAVGRDGEWWMYMVDVERLDEKLRLPVGSCNLALPLWGPGGIQEVFNVSELAAAESAGSGQRRRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKAALRALLTAVGNDGSLFNSGVMVIEPSQCTFESLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERALKERLFRSDPPEVWSIHYLGLKPWTCYRDYDCNWNIPDQRVYASDAAHARWWQVYDDMGDVMRAPCRLTERRKIEIAWDRHLAEQAASSDHHWKINITDPRKWE >OB03G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3815441:3818303:1 gene:OB03G16280 transcript:OB03G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIAFLFLLFAVVLSSHVAAAAVPQPPGFYINCGAEKEEQIGSIRWIQDEGFITVGNVSAVDKPNVLPLLSTVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGAEPPVFDQIVDGTRWSAVNTTENFRRGMSTYFEMVAEASGKTMSVCLARRPDTASSPFISALELVSLKDSMYNATDFGKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFADANPTVESHSPITPEEFWNMPPAKALGAGITTSRGKKLAVQWPPVELPAASYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRDLNASAAGVMVYSSMMQLSGKTVIALTPSVTSPVGPLINAGEIYQIVPLGGRTATRDVVAMEDLARSFKNPPPDWAGDPCLPRENSWTGVVCSEGSPVRVTSLDLKNHGLSGSLPDSFGNLTGIQTIYLSGNKLTGSIPDLTSMHILTALRVDGNQLSGAISPSLGTLVNLKELYLNNNNLTGLIPESLKNKPGLDMRTEGNKLG >OB03G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3818278:3820191:-1 gene:OB03G16290 transcript:OB03G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKGMSPYEAARERTVEENKRKMEALNLRHLSAALALAPKTPSPMKQKRRRIVEAAAVAPSPPRRSRRLANLPEVKYAEGASNGSERMKRSPRKPIDSIYLATRGSISMEARLEAARKAEELESQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCETYLPKRDAIVTLVDEKDEEFDTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLIKPTTFKVHIIRATVGDGNEATK >OB03G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3819416:3819634:1 gene:OB03G16300 transcript:OB03G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTMALFTMPFTLYHLTQRLLSSAKTHIFVSETYYFSVVPANFSHFGTIQLVRKREIQFLHCAMGHFYSLH >OB03G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3824700:3825660:1 gene:OB03G16310 transcript:OB03G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGKSFCTEYEKSKVLADQIALQAAAEGMPITIVYPGFMYGPGKLTGGNLVARILIERFNGRLPGYIGHGHDRQSFCHVDDVASGHVAAMEKGRAGERYLLTGENTSLAQIFAMAARITNTKEPRFHIPLWLVELYGWISVLVSRITGKLPFISYPAVRALRNQWAYSCEKAKRELGYSPRSLTEGLSETLVWLKDSEMIRF >OB03G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3829271:3831935:1 gene:OB03G16320 transcript:OB03G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGYLGGRLCAALEGAGHAVRALARRSSDASGLPADASGLPASVELAYGDVTDEGSLAAALDGCDAVFHVAAAAEPWLPDPSVFTTVNVEGLKNVLKATKRTPTVKKIIYTSSFFAIGPTDGYVADETQRHQEKIFCTEYEKSKVVADRIALQAAAEGVPITILYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGGVGERYLLTGENLSFKQIFNMAANITNTKAPQFHVPLWLIEVYGWISVFVSRITGKLPFISYPTVHVLRHQWAYSCDKAKRELGYSPRNLTEGLSEVLLWLKEEKLIKF >OB03G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3832600:3834673:-1 gene:OB03G16330 transcript:OB03G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex second largest subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) TAIR;Acc:AT2G37560] MAPRGGHAAAAGVSSGSEDDDEEAGFSRNYFLAKEKEPSSGKKRARAAGKLADLNLVDEQVLRASLAEIPPKHESEVEALTRSYKNQYSNWLFELRCGFSLLMYGFGSKKLLLEDFASTTLTDFTVIVINGYLPSINLKQVIVTIAEIFWEQTKLKRKCQTATRPQLQSFGSQSIDDIISFLNNQISDNGDDNVCLLIHNIDGPALRDAESQQYLARLSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGVFYPLILASGGYAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGKFVVYLIYIGFPVANKLNLITLFASQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELA >OB03G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3836580:3838013:-1 gene:OB03G16340 transcript:OB03G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHARCSTSAAPAAGERRALLLDPLSLPRLLISAATSCTSPGGATAALVVSSLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCAFRAFSLIPHPSTGSYTTIISALSRHGRPQDALSLFSSSPVCPDAELLSCVVSCCRRASAFLPARAAHAYGIKTVPVVAFYASAGPALVALYAMCGKVNAAKRIFDLMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGYIVRNHGAGSNAILWNALMNMYSSVGCVGNAEHVFFEIERKDVVSWNVMIGALAKNGHGERALELVDAMLRCGMQPDSVTFTTVLVACCYCGLVDEGLALYERFMENYSLIPSMEQCACIVDLLARAGRFREAVEFIRQMPIRPNAIVWGALLSASRTHHNVEYAQIAFEQLVQLEPENPGNFVTMSNIYSKARMVEDAKRVRMMIDRDDLVKPYGQSRVRAT >OB03G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3842671:3848588:1 gene:OB03G16350 transcript:OB03G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34310) TAIR;Acc:AT4G34310] MQQTCAAGKVLCRSLMSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVIDWLLESVVRRTTQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPKRSKKNSGYSSFNISDHSKGRSMLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNESDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVRNSDDDIVGALNSRISLQETSTDSPLVEKLNSAAVPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHAVTTALKAPERTVKWHGAMVARALLEDQNLTLAPSVPGWCSSLLLTASQAAENGDMSLAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVTLSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGNAQPQPERVKNQVDHHNASSATQILNQLANAVVKLATVQSDYDPASGDKVPLSDFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDAACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVPASNDSSSDATTDPSSVRVPPAAHIRRHAGRLVTILSLLPNSEKAIISDDVWCKWLEECASGRVPCNDIKLKSYCRLTLLNVFCSENPNTGSASDEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNNGHKISRERCKDDCCIEGGDSETGDTPGNASKHAPPLMDVVFVHGLRGGPFNSWRIAEDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPRARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGNRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLPSTDHINSCKPVNKNDPSYADTLAFLEKILKSRFKTEES >OB03G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3849366:3849743:1 gene:OB03G16360 transcript:OB03G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPLLAAAIPAVVAGQAFRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM >OB03G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3852337:3853998:-1 gene:OB03G16370 transcript:OB03G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSTAMLRPVYGKPHPLAGAAVQLTVFDRAAFDLYVPSVLAYPAPAPSNEADNVGAALLQIKLIRYRCGGLVVGSICPHHTADGHPMSAFFTAWASAVREGEGFTVPTPFLDRAATAVPRSPPAPAFDHRSIEFFEGGEAAAAGGGRAHAVVPMDKIRDLNVHFTAEFVAELKARAGGRCSTFQCLLAHVWKKVTAARDLSPEEFTQVRVAVNCRGRANPPGPKLWAFPRLQRLEKRVCVNC >OB03G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3852674:3853756:1 gene:OB03G16380 transcript:OB03G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTPPFAARDGTNTISPSTGRSGGRNAARGPLPRALCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGDGGVAGVEELAHLEPRERPQLGPRRVRSPPAVHGHPHLRELLRAQVPRRRDLLPHVREQALERAAPAAGAGLELGDELGREVHVQVPDLVHGHDGVRPAAAGGGGFSSLEELDRPVVERRRRRAAGHGRGCAVEERRRHGEALPLAHRARPRGEEGAHGVAVGGVVGADATDDEAAAPVADELYLQQSRADVVLPWPTTHTTTTSQQNVAQLHARMRYVTC >OB03G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3855060:3859741:1 gene:OB03G16390 transcript:OB03G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uroporphyrinogen-III synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G26540) TAIR;Acc:AT2G26540] MAASSSLLPFPAPSATFPRARHAAGRGRAGATGRFMACSSPPPDVVVTRERGKNAKLIAALQIHNIQTLELPLIRHVEGPDTDRLSDVLRDEKFDWITITSPEAAAVFLEGWKNRNYASCTSFILSCGLCGGAMGKVLASELPRTTETTCKVLYPASAKAGNEIQNGLSDRGFEVTRLNTYTTVPVQDVDPLILKPALSAPVVAVASPSALRAWLNLVSQVDNWGNAIACIGETTASAAKEFGLKSIYYPTTPGIDGWVESILEALKAHGQSKETLTIDTISAVYR >OB03G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3871992:3878439:1 gene:OB03G16400 transcript:OB03G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGANKEGFFFGSSSLLVCSTSSVIHAESARRWERVSLLALCSLLVAALCVSAAEEGAGGGSDGDLGCLGFRDGCADRSALCFSSSAVEAMLASGDGIKEPDLVVSRDWGPSSQPLGFPMPGRGVVTCSSADALITSRNGLGREGKDGERYNVASCQAPLVPDNWMRAMAGAPPEVDGVAGDAGPDGLYGSSSMNVEISPPVLNWGKSNLYSASMATLTVVNLNNDSALHVYEPFSTDSQFYVYGYDDLVLQPRENASITFIFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAIGSPYQLEPLTGMNVIIGGRLERNLSIFNPFDDSFYVEEVAIWMSSFQGTKQSSHIVCQLDPSEESLEFTSLSSNWYTASSTDFGLPMVNIRPSDKWEVLPTESSTVVELKLQPLSEGMVFGAISMKLRNCTSNRVDTVVIPIELEVRARTYYEPTNLVSVTFEHVSSCAGIGSIFSLSLRNEGTKLLRIVRVIEDNRDGSMNFEVKYLNGLILFPDTVTDVALVRYTSSVPMDSSFDNCNIVVETNSSVGSSILIPCQDMISATLSYTASAVTKSDGPFSEDGLSANSRTGSLGSIVEVDGLHYMKPTITRAFKADDTVLRRWRSHGTRTEISVLTDQELLFPIVQVGSQYSKWIAVHNPSLEHASMQLVLNSEEIIGHCKTINDACEHTFSSRSPEVDSTETRFGFSLSDATITEANVGPLERALLGPIIFRPSNCCMWSSMVLIRSNISGMEWLPLEAHGGRQSIVLLEESEPVWKLEFNLDSNVQNKSTVSKAEIASPFCSQHLSKEISVKNSGDLPLQVTKVKISGADCGVDGFMVDNCKGFSLAPSESIRMLVSFKADFSSPMVQRDLELAMTTGIFIIPMTANIPVCMLNQCRKSYLRSIHWKTLILFFGTMSVFVLVFARGVPTSLSGGSQDCYIKIHDGKSTIDKPAKPSFLQRSSKASRSTREHKRDKETHKYPADIHNSPKRTEEKNNADELNTTSTIPLPPSNTVEDKVSIEARENSGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSSTPKQGWSFSGTPSELKHNSRLEATSDIEARPPSTKNNHEKTSWLQTAKEQPSAQPSVTSVNPSPSPSPSATAPMKAWRSPLPSSSTPIAPHSRAPGSNLMKDKAVKRTDGAAAATKKKDFTYDIWGDHFSGHLLGRAKEVAPCYKMFAASEGASNSFFAREPQALCIRRKAIDNIYWSSNQIGVVTESIQQELTQA >OB03G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3878279:3878584:-1 gene:OB03G16410 transcript:OB03G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMSRMEEMQPGADSEVVYEEGQSSESVTNASYPRAPLDNEDSSDTSLRLGLPLFSSK >OB03G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3880382:3884564:1 gene:OB03G16420 transcript:OB03G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGNSQQQQPDPNFQDNPAQPQPWYPPSVVGSSSHPSTPSSSSVSPHQRASDNPQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRYELRKETVQLARENLEKEQRILELRNQCSIIRTTELAAAQDRLADLERQKDEIMRSYSPAALLDKLQKSTAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGKTSLR >OB03G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3890276:3891565:1 gene:OB03G16430 transcript:OB03G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G09750) TAIR;Acc:AT1G09750] MAATSIPLLLLLLAAAAADLSVYHNVHPASPSPLESIIALAREDDARLLFLSSKAASTGVSSAPVASGQTPPSYVVRAGLGSPSQQVLLALDTSADATWAHCSPCSTCPTSSLFVPANSSSYASLPCSSSWCPLFQGQACPAQSDPSQSAAPLPTCAFAKPFADASFQAALASDSLKLGRDSIPNYTFGCVNAVTGPTTNLPKQGLLGLGRGPMALLSQAGSLYNGVFSYCLPSYKSYYFSGSLRLGAGGQPRSVRYTPMLRNPHRSSLYYVNVTGLSVGRAWVKAPAGSFAFDPNTGAGTVVDSGTVITRWTAPVYAALRDEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPPVTIHMDGGVDLTLPMENTLIHSSATPLACLAMAEAPQNVNSVVNVVANLQQQNIRVVFDVTNSRVGFAKESCN >OB03G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3890232:3894644:-1 gene:OB03G16440 transcript:OB03G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKSNHEYDVPEVIALPITGGNTKYLEWIKNSTKEN >OB03G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3894946:3895170:1 gene:OB03G16450 transcript:OB03G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPSHQARRKQHNFLEQLRGKSGGYSYPRKGKRRWEKAHPVLLLQATAALKAPMLRNRSAPESDGRRPRSRA >OB03G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3895648:3898214:1 gene:OB03G16460 transcript:OB03G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT5G62440) TAIR;Acc:AT5G62440] MAEPEAAATAAMEAEVQEPAAANPSLKREREEGDDSATVAAGDAAEEAAAKKQKVGGEGEEKEKEKEAKGAEDEAQGKEENAKEEEGKPVKLGPKEFATSVEMFDYFFALLHSWAPQLDINKYEHMVLEDLLKKGHAESDRKIGPGVEAFEIRNHPVWQSRCFFVRRVDGSSDDFSFRKCVDNILPLPEDMKIGGKSNGKKAGGYRGGGRGGGGRGGGRGFRGRGRRGN >OB03G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3902136:3909849:1 gene:OB03G16470 transcript:OB03G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNMRRMNWKQKKEFFKKNPAAVIAPTKSVSKVKLSNSIPKGNFSTSPALSPEQPGSSIHSLPVGSDANNEVITPFDLNKDEHSKVVKSTPNRMSQGINRRASAVSASIDDNTNELQNRLVSVLSDNPKGINLKGLEKAVADEFPNASKKIESSMKNIANFQAPGRYFLKPGLEAESSKKHASGSGISLDDNTEEPAENLKMDDPDIFERIDIGGSPVSAAQDGKVNNGSDGKAGTSSESGSGSDSDSESSDSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAHRKAADELKLSSSPRDLTALDGDDELIDIGTNLEYKSASPHIDLNNFNNNNDESEDTAVPTDSIDVSHFEEPPEIPGSKNMVNASIDPGKTDSKHAANEMSYEDLFGDSLAPSGENLPKEEPSQSTKHHGSRIKSVSKDGSNHGQDRIIEKGSKSKLKRCSGNENSGTMPGSAKRPKPDIYSGNTSSLSEHRQTLPPDKHVNESLSKETGNVSWDAHSDLHAHDSSPAVKGRSLVSGNLLKINQNPNILNQATQSERTQDKVEKSISKKKADKMQKPWNSLDGNLGRVLHAEGQYVNFDDSDDSATRKRARYGGPQVEDKMLKRSKDANIDMYSINLAKSAKANVGYDGVDRVMPIPGSTESNGYPSNSQRNNVDSSPHGKKVLQRELSDLELGEFREASMENETGRTKKQFERNSSSKSLDGKLANVDNSIPTMVNRKGSLSSSQDQRRPSPRDFGMGGNINQEGFPKKTPAYDFDNSRPQQRGNVLQSHQLPKTDNTVAEIVLHPDEPGEKPGKKEARMVQSGVLEHVGSKKKKTTHKLPQNGSRNAIGSRTQKSISPAENEERSRDNSLIENEASRKRMDSSSDEDNLFFSKYDKDEPELKGPIKDLPQYKDYVQEYNEKYEVYSYLNSQIEKTRSEFLKIQEDLNSAKERDKDLYYRILERIRDMYHESGTRHKLMKKVFGLLHEELQTIKRRIKDFADDYSNE >OB03G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3912950:3921364:1 gene:OB03G16480 transcript:OB03G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G10940) TAIR;Acc:AT5G10940] MEKVRWRFADGSVTDLLEARGLHGTTDINKRMQFHSSLVERLALEKELEGHLGCVNAIAWNSNGSLLLSGSDDTRIGIWSYANQQLLHDIETGHSANIFCTKFVPETSDEVVVSGAGDAEVRVFNLSRLSGRRPVEISMEPAAVYQCHSRRIKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRARSANQECRNVLLDLRWGAKKSLADTPRQPLALKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSSCQAKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADDVREQLCLPPFNKEPANLTPKQQKFPVNRASRNLCSVDAFKKLMQVATKSLEMGTNLMHGVEACSTVLQAVDPCIDDNMKHDCFCTRAGLYLKRRWKNDVYMAIRDCNSARCIDCRSFQAHLYMAEALLQLGRLKEASDYVDALNIIVPPYSESVKQVENIKERLFAAELEKNKKDQVGNTNTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFDTSASGDESRESDPGFVRGSLSLRFQRRDSQTDEHSGENRSAEPTHNDDSAYQSEVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMVAGPEIDVSSVIENNQRKLSRNREFLLPFEFLERFRMHEFGEGSLHPLECAQS >OB03G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3926170:3927738:1 gene:OB03G16490 transcript:OB03G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDRGLLPELFRCAACAVRSQHTYCTERYPKAESYGTCNWCLRADKGGNHGGAASGSVRPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRGAGRGCGGTSSWKR >OB03G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3935006:3935188:-1 gene:OB03G16500 transcript:OB03G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGTVIDSSSRKLQLLYAIWYSVFICGSFITASTIYMNRASITSLPLGGNLDDCCLSKFDV >OB03G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3936517:3938332:-1 gene:OB03G16510 transcript:OB03G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDEDEVVDWVAAFPPGPTDLESLSFECYVRPVSFAALEALVARSPRLSRLGVNEHVSLGQLRRLMANTPRLSHLGTGAFRPGDGPEDVGLDVEQMASAFASAGRTNTLVSLSGFRDFEPEYLPAIAAVSVNLTSLDFSFCPITPDQFLPFVGQCHNLERLHVLDSVRDEGLQATARTCKKLQVLHVLPLNIHEDADELVSAVGLTAIAEGCRGLRSTLFFCQSMTNAAVIAISQNCMELKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEYIGKYAKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFLWMSGCHLTLQGCKEVARRLPRMVVELISSQPENEKTDGVDILYMYRSLEGPREDAPPFVKIL >OB03G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3947517:3950065:1 gene:OB03G16520 transcript:OB03G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPTAAVSAGGDHAAAPAAAAASDYAHYPRLSPEDVAPPPPPPYHAAAAASAPAFSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >OB03G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3951442:3954438:1 gene:OB03G16530 transcript:OB03G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYGSREGLTARPGASSSSSEVSLQIDPITADLDDHILGLHRQVRKLRGVAQEIETEAKYQNDFISQLQMTLTKAQAGVKNNMRRINKKIIQNGSNHLVHCTSCPSSLGDEVPKPDGVEKRTNGN >OB03G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3956091:3956945:1 gene:OB03G16540 transcript:OB03G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTVTLHSFLKKGKRKGEKHAYCTCKYVLYPPKRTRVIHHCKSMSRRLHVSSLLCQRHLLLSHHPLSLQLLLRLLAVEVGGESPEGEHERDALGAVELLVEEDDGEDLREGQEHGDHDAGEERRRASDEPHDPQVEQLPRHGVPHEDEVVLGRAEPERRRLLRHRLEVALDGHAEQAHHRAGGAHHRLHLHHGGVGRGHPRGGARATAVFSHGDLDEGKHQPGERRRGDGLAEPRPVRLGGLARRLPGRRPXXXXXXXXXXXXXXXXXXXXXXXXXXGLRRQQ >OB03G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3956220:3956666:-1 gene:OB03G16550 transcript:OB03G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAVMGAAGTVVCLLGMAIKGDFQAVPQEAAAFGLGTTKYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKLEAQRMMAEQEVALAQKTADVESPAH >OB03G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3969072:3975277:1 gene:OB03G16560 transcript:OB03G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVITQAFAGHLGDLELAAISIANTVVVGFSFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLLGCALLLLPMYMYAEDVLLLTGQPPELSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFANAAASGVALCIHILVSWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWHGLSLEAFAGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSLTSLVIGLFFWVLIVGLHDKFALIFTSSGVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPTGILLGWLFKLGVLGIWAGMIGGTAVQTLILAVITIRCDWDKEAMIASTRMDKLSRVR >OB03G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3972131:3972628:-1 gene:OB03G16570 transcript:OB03G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAHHEKLSVSAMPTRPNRNLETRSQLTRMWMHSATPEAAALAKFFIWHCRKRCSGKRKAKERWSGMNQTETRPAIADSSGGCPVSSSTSSAYMYMGSSSSAHPSSTIHERCMYTPIMWYFFAPNACPHSVSSALAMPSCVHQTRTSTGNANCQPSSQRVSTV >OB03G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3975171:3975590:-1 gene:OB03G16580 transcript:OB03G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTPESVIDFGATHDHEFSGAGHPHYRRTQSAMDAPDRHTLRLPEHVMKELAADRRHRRAASLAGYPDSMERTPKWFTSLWRSVSWQRQSRTDWDAGEENGGSKRVHPVAGAPDEKPSGSGSDGSKENSDSDALNRV >OB03G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3988583:3989883:1 gene:OB03G16590 transcript:OB03G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGRDSPAYGMPWCDVLGGGGGGGFGEFCGVDEWDDQLRVASVDEWDVVSKDHSDASTEGKAPAPAPERAAPVAAGRRKRRRTKVIKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNRTAGMDAGEDSPFSGFFSFPQYCTSSRSGCSSASAGSSGNASSVVMDDTAGSAERVRPAAIADIEVTMVEGHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTVDAMVLYSFSLKVEDDSKLGSVEDIATAVHEILGSIQQQEAVLPIS >OB03G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3994296:3994733:1 gene:OB03G16600 transcript:OB03G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLAGVVYAEEPAGAAVGEPDGEETVGVTAEGALAAGASVGGAGGDAIGDGAEAVGGVATXXGDLTGGAGSGAILGAGMGAAPGACAAAVTARRAMMAAATAKRAMRMDFRPGNVITRAFQACGDCEAASVTRRR >OB03G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:3994286:3994642:-1 gene:OB03G16610 transcript:OB03G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVAAAIMALLAVTAAAQAPGAAPIPAPKMAPLPAPPVRSPXXVATPPTASAPSPMASPPAPPTEAPAANAPSAVTPTVSSPSGSPTAAPAGSSAYTTPASFVAVAGAVAAAIVF >OB03G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4003613:4003978:-1 gene:OB03G16620 transcript:OB03G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPAQNDLDNAATPSPRRVVMFAATEPKIKNITKEVNSDKSSFNLINLSPIYLLRSRPCFACAEQNQRTISVLPVRSTSRAYGTRVLESACHTGRCWRSCTYVISMCNIDQIERNDRAWA >OB03G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4007355:4008901:1 gene:OB03G16630 transcript:OB03G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPASSRKSKKPGEKLAFAEEDSLVAATMEQQDEADLEDVDDADDDEDMAGGHAAPSSSSCGLGEKKRRLALEQVRALERSFETDNKLDPDRKARIARDIGLQPRQVAVWFQNRRARWKTKQLERDFAALRSRHDALRADCDALRRDKDALAAEIRELREKLSKPADTKAASVKVEAGNDAATGAAATTACKDGSSDDSDSSVVFNEEPSPYSGAAFEQHPQQQQPGFIGFAASFLDTPAATLGCSSLPALESKWHGSYSYDSYKGGGYAFTEEWLAASGEVGNDAASFFSDEHVSNLNFGWCASGNEGW >OB03G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4016812:4017490:1 gene:OB03G16640 transcript:OB03G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRCKRHPEHRLSKGPRLSKGVCPYCLRDRLAHLSASSSATTTTGASSSSATSSHYSSGAGTPPRYGYHVALSSDVSSVHVVGDGSSFVNVAAFSQPLMPSSVGSKLDGGGREEEPGREASGKGKQQEVKRKKSGKKKKIGRFLSRLVGAEKRRQSGDGDGGELFHSKTMKEKTGHKWVFF >OB03G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4020370:4021773:-1 gene:OB03G16650 transcript:OB03G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLAHSQPHHHHGPRILAQIAVQHLKSCSFRTNKMQQSREDVDQQVAELRGELRKAREERDRAHRVLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLAMQTKQMEVTKIELEEARLEIASLHETVRRLEATVVPAPTTPRGRYDRDYQRVHGELRLALVAEDKNKKAMEELVLTLKEVNGELHSTRQLLARSQHEAETARLESDRLHISLKRKDDKLRALSDEVARLRADAEESFAAWRGKEAGFTSCMKCTEAELADTRRENARLLESQRSGRDEIAKLRDILKQAVKDTKVVKEALEEARGENAVLKEMLGDKDTAVKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAGIKLGTSSSSPAASGIKLDLQEDSPSPHASTELHGLMKCHSEARVKPPAGFTLPRRRSDNFEGSVYDIFGSMEEQKGELTQLSMFSTMPRSLPARRPGGG >OB03G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4021902:4028412:-1 gene:OB03G16660 transcript:OB03G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSPQPMPIRCKAAVCRAAGEPLAVEEIVVDPPRAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGVVESVGEQVEGFAAGDAVVPTFLGQCTECVDCGSERSNMCSKYRFAVRPGLPRGDGSRFRDSTGATLHHFLGVSSFSEYTVVDVTQVVRVDPAMPPPTASLLSCGATTGVGAAWKLAKVEPGSTVAVFGLGAVGLAVAEGARICGASKIIGVDLNPEKHELGKKFGVTHFINPQELGEKPVSQAIIEMTAGGADYCFECIGLASLMNDAFRSSREGWGKTIILGVEMHGAPLAIPSLEILHGKCVMGSLFGGVKPKQDIPILAEKYLNKELELDKFITHEVALKDINTAFELLLQGKSLRCTIWMDK >OB03G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4032363:4036254:-1 gene:OB03G16670 transcript:OB03G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRASGKPLTVEEIVVDPPKDYEIRIRIICTSLCHTDVTLWRVKEDPAKPSLFPRILGHEAYGVVESVGRYVQGFGAGDAVVPTFLGQCDSCSSCASDWTNQCTAVPLTMGPGMRRDGTTRFWDSQGAPLNDMIAVSSFSQYTVVDVNQVVKVDPAVPPKLACLLSCGGGTGVGAAWRLAKVQPGSSVAVFGLGSVGLAVVQGAKMCGASKIIGVDLNPDKEEVGKSFGVTDFVNPSQLGEKSVSEVINAMTDGGAEYCFECIGISSVMTEAVRSTKSGKGKTIILGLEQDGQPLCLPSFEFLFGKCVMGSLFGGIKPKTDIPILAKKCMNKELELEKLVTHEVDLQEINTAFDLLLQGKSLRCIIWMDK >OB03G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4048559:4049131:-1 gene:OB03G16680 transcript:OB03G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGGWWPAWAPPAMAASVERAGNRNPVARWGRGSATASRGAGAYRPRWGTQPSCRPPGAVEEGRALAEQAEGWAAGGAEQAAAAGCGGAGTEWPTAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEGGADGGGGGERRGGGGGDEDAFIEGEEDDDEDVEEACLE >OB03G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4051385:4054582:-1 gene:OB03G16690 transcript:OB03G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G60600) TAIR;Acc:AT1G60600] MPLAGIALAPLLVSHLACPRHRPRSSSSVAATTAARRRRPRALRRERCCATATAASGEGAEDGELSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHAGSFFGKRYFVLLAAAVLVITWLNLSNDVYDSDTGADKDKKESVVHIIGSRTVTQNAANISLLFGFVGLFWAFAKAGDARFILLVICAILCGYVYQCPPFRLSYKGLGEPLCFAAFGPLATTAFYFSNSSRNTSSGTSLLPLNKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKMGSRLVTLGVVMLYVLLAAFGMSKCLPSTCTVLCAFTLPVGKLVVDYVLNNHEDNNKIFMAKYYCVRLHALFGMALACGLVLARNGRLV >OB03G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4061358:4064407:-1 gene:OB03G16700 transcript:OB03G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSALLAGKRKLSKVTSKIGYGKKAGGNEFQKVKPVEFVEEGDTVDIGKGGDIVLACDTKLVAFSATERACEGRDEDDDKVSMKRGSSDVDLAAAGEDSSSSNSDGVDKDDGSAGLMVPAMASRLERSCSNIETARCCSKAFDLPAKSLSYGDLEALPAGGSATPTGAPDASPTASVKTTRSADHVMLKKRASSLVLPSHSRKLWWRLLLRSHRNLHRPAATQRNDGYTSDTLDAGTATANAKNKGVAVEHESIPNHWMAFSSEATPLDRVSAWVNSLGDNSFKADEEYVVEHDDDDDEDAARPHCLEIGEPSSFCGKGPAQARRRMAGEVIIANGIIQTLTASSSVAHISGMGLTVIPVIAPFSSLRAVNLSGNLIVHISSGSLPKGLHSLDLSRNKIVVIDGLRELTRLRVLNLSYNKISRIGHGLSNCGAIRELCLAGNKISDVEGLHRLLKLAVVDLSFNKITTTKALGQLVANYGSLRALDLVGNPVQTNIGDDALRRAVSGLLSRLEYLNRQPVRPQRAREAAKDSVAKAALGNSGWSSRRRPPARRXRSQSPGSSAKNRGRDGSGSQRGSRSRSKSRPHQCSSLG >OB03G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4063215:4063850:1 gene:OB03G16710 transcript:OB03G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSTATPLFLALAVAVPASSVSDVYPSFRCSADGRAAGTVAAGRCRFRWLRRSSLHHSFRLWDGSTRLDARFFSMTWSALRVVFTDAVGLASGAPVGVAEPPAGKASRSP >OB03G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4068466:4072641:-1 gene:OB03G16720 transcript:OB03G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANKKSTGDVEDNMLTCLADLFFQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILERESKVATELCENSSLKKDSNGPVNSQLNGTKKEPLPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDMFFCDKCCSLQEAQKIMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSCNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAEKS >OB03G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4074849:4078513:-1 gene:OB03G16730 transcript:OB03G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (TAIR:AT5G51150.1); Has 323 Blast hits to 315 proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi /.../; Plants - 73; Viruses - 0; Other Eukaryotes - 45 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34630) TAIR;Acc:AT1G34630] MAPASPEELKCAANGGCAADSLGDPPSAGYCLPAAGPAAVEGELRWLRRGVEAAAKGFAIGAGLKGGLALFSVLVRLRSRRSPRSRKVGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDECIAAIWGRKRTSRWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSRRFGNICKPLTWSHGDIFLMCLSSAQILSAYILRQESLPSTYKAFLNKHGGKDLSILQGVKDVVNHTAFSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAVHFIKFLFQGYGRAVPVYVPVYLVPALVVHRQDLMKRPYTILGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLGTFPTGLALLIEKKSRRIEIALYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDDESNKDFPSSDGTKKIC >OB03G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4080067:4088366:-1 gene:OB03G16740 transcript:OB03G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQHRWLRPTEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEIKAGKLSSRSTGHDDILQTSHVDSPLSQLPSQTTEGESSVSGQASEYDETESDIYSGGARHHPFSRTQQHENGGGSVIDHSIFSSYAPASSLGSYQGLQATAPNTGFYSHGQDTLPVVLKESDLGTAFNGPNSQFDLSLWTEAMKPDIGTHQMPLYQPLVPPEQSPFTEGSGIESFTFDEVYSNGLSIKDVGGDDTDGETPWQIPNASVSFAAVDNFQQNDKSLEEAISYPLLKTHSSGLSDILKEAINYPLLKTQSSGLSDILKDGFKKNDSFTRWMSKELSEVDDSQITSSSGVYWNSEEADNIIEASSSDQFTLAPVLAQDQLFSIVEFSPIWTHAGSKTRVFIKGKFLSSDEVKRFNWSCMFGEDEVPAEIIADDTLGCYSPSHKPGRVPFYVTCSNRLACSEVREFEFRPQYMDAPSPHGSTNKTYLQMRLDKLLSLEQDEIQSTLSNPTKEIVDLSKKISLLMMNNDDWSELLKLADDNEPAIDDKQDQFLQKCIKEKLHIWLLHKVGDGSKGPSVLDEEGQGVLHLAAALGYDWAIRPTITAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPNFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAVEISGLPAIVNVANRSTSPLAVEGLHTGSMGDSLGAFRNAAQAAARIYQVFRMQSFQRKQAVQYEDDNGAISDERAMSLLSAKPSKPAQLDPLHVAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRPTENADSTSSSSVDATQNKPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILTVVTKMQESQALQEKMLEESTEMDEGLLMSEFQELLDDDMPTPGYF >OB03G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4092216:4096131:-1 gene:OB03G16750 transcript:OB03G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G64970) TAIR;Acc:AT5G64970] MSVKGGRGFVGGPVGLLASGEEKGARAEEAEGLVAGRRETEEEGKVVEEVKEARTAAGAMNMTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRAEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDSYRKQLLKWSGNDEITNFERFIAGAAAGVTATILCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKRRASTMKQQGQEANALDQLELGTLRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >OB03G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4097616:4097969:1 gene:OB03G16760 transcript:OB03G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPAAPGAGDASQRGETVARGGKFFFPKKIKFYFSPLLPPHRLLLLSFTRLFVLSSGGESSIKRIVDDGIFLGTMGYSCTYQMERKFQLETDKKMQNRSGLPTSSSETSPKKCKTKNE >OB03G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4102416:4103288:-1 gene:OB03G16770 transcript:OB03G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLPEARRPRPPCLPLVDAVAVASTATSKKRKRDGGDDGDGERGEVGIELSFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSRDPRAEEPAPAPELHHHRASPAEEEEQAANYCAPPPGLDLELNLTFEPRRVPVQEIKKPRRPVAETTTKLAAAVDEEKVAAPLELPAGGASREMVAAVCTRCHMLVMMCREWPACPNCKFVHPTTANQSSPPPPPPPPEPVPLKLGLQLLCCKD >OB03G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4117354:4120479:1 gene:OB03G16780 transcript:OB03G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQYKVERTCDPLPSKALGNLNAFALTIDRQSFFSTSKWIEEVNTQRGGDVLIVLVGNKTDLVDKRQVSTDEGEAKAQEQGAMFLETSARAGYNIKPLFRKIAASLPGMDALSSARQEDMVDINLRPAASGQIPSGAEAQAEQKAGGCSC >OB03G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4122371:4123240:1 gene:OB03G16790 transcript:OB03G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPPVRPPPPLPALRLLPSPRRRLSHGGEGPHARAPRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVTALWYGGGSGGATAKLLHKGTTLAKGDVGEPEDGGEDATDFNVLLHGLQHDGRAPKEGXXXXXXXXXXXXXXXRVRPPGLRRAGRAPKAVEKGLRGSKGAVELELSVEMAVQVHVGALGFARRTLAVSCHVTAAGLRKDVHISSQTCKSSFGN >OB03G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4127203:4128057:-1 gene:OB03G16800 transcript:OB03G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF055 [Source:Projected from Arabidopsis thaliana (AT1G36060) UniProtKB/Swiss-Prot;Acc:Q9SKW5] MATSTVDWCGRGSNLPAALYDMVDSKELVGALPPSMVSFSYPCSDNVEQSGSSLLAGANYLTPAQVFQFHVQSQLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGESARLNFPELRLGGAHLGPPLHAAVDAKLHAICHGMDLPQSQSNATTTTTTPTTATTPSSFLSSESPDIKSEPVCSGSESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFLLRKYPSLEIDWDAILS >OB03G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4131507:4134972:-1 gene:OB03G16810 transcript:OB03G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKAKPGRSRKKPKSNADQALALDYVRAWVLPAASAPPTKPSAADAAGDGFLPHQASKMASGGGGSVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAMSAAQKFGMRIIPGVEISALYSPREIAGAGEHVHILAYYGMCGPSRFDDLERMLSNIRDGRYLRARNMLAKLNRLNVPIKWEHVTKIAGEGVAPGRLHIARAMVEMGHVENVRQAFNKYIGDDGPAYATGSEPFAETVVQLINCTGGISALAHPWALKNPDAVIRALKGAGLDAMEVYRSDGKVDGFSELAEKYGLLKIGGSDFHGRGGKDESDIGTVKLAITTLCSFLKMARPIWCSAMKDILLKFAEEPSAVNLGKIVKFGELIHFDGFSSMDAGMDIVNLCLSSWLSNDGMEEVELEEVRLKLAHWVCKR >OB03G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4135535:4135705:1 gene:OB03G16820 transcript:OB03G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLHPLLPTNLVTNTLAACPSASHPLLHCLQFTISSGHNKIRACTSFQQNIKILI >OB03G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4135962:4138388:1 gene:OB03G16830 transcript:OB03G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LKV7] MAMMNYMALWISVAIVFSVQSCRSLAAGEGSKEADKISALPGQPPDLKLQQYSGYINVNQTNGKSLFYYFVEASADADQKPLVLWLNGGPGCSSLGIGAFQEIGPFRVDTDGKTLCRNTNAWNTEANVLFLESPVGVGFSYAAVKPEEVYSTMGDNMTAHDSYAFLLRWLDRFPEYKTRDLYIFGESYAGHYVPELAVTILASNKLPDATHIKLKGIAIGNGILELAAERTQLYEYLWQHAFISDSGHALIAQSCQNSDDPSPVCESARNTAYGQVGSIDIYNIYSPPCHDTKVKPSGSKCTDLADPCAQYYVEAYLNQPQVQKAIHANTELEYPWTRCRTYNLNHFGDSPKTMLPHIKALISSGAIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKFVAGSVITYEGLVFATVRGSGHMIPIDQPERALVLLSSFLRGQPLPRAPAFSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCSCPPSPAASRFRGRRTSPTSSAATAAPGTGSHGERPGSGLVFDLAWRC >OB03G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4139612:4143035:-1 gene:OB03G16840 transcript:OB03G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAAMEGRKVVAGRAEASPERGRPAYAPPARSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDKLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFTHLRVTDRSPAKRLALPPSHKQYMDSYRDDAGEDPEDDELAYTYHRRAGAHAAARLAAKPVSARTNRSRPVELPVEETSPPSSTSSDKPPAAQQLQPGRGDETESNRAGSMLLQLIACGRSSRHGVGEERSSTAGEQMEGEEGMIRGRCIPGRKKQQK >OB03G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4154264:4156823:-1 gene:OB03G16850 transcript:OB03G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAWVRNKPGMASVKDMPVLQDGPPPGGFAPVRYARRIPTKGPSAVAIFLTAFGAFAWGMYQVGQGNKVRRALKEEKIAARTALVPILQAEEDDRFVREWKKSLEREAIVMKDVPGWKVGQSVYNSGKWMPPATGELRRED >OB03G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4165039:4171482:1 gene:OB03G16860 transcript:OB03G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHAIVGGVARLLRRPGYVATLFCHATSTGIAKMFSFEKILPGDNIDQVAGGSCFEDTGAVAAETPRMSLSGSERPAVSGEAPEDVGGLDADDAAVLCSEDGAELKLADQGALDVRLGAPAVGVHEQQLHLGTSGSDEAGAINEISPVEVSPSVLSSNLDTCGDIGGSSLMERSLLEARGTRGCEQDVMPGVAEASNWNVSSEVGVEMERGLDDRNGLAEGELVSSVDGGGAEKISKMTGVLSEEGVDRTETALEPCVASVGSVMRVKEGVDRMETNLDDSEASDSSTAQDSDTDVETESSSSSIEEQDTGYGVHNPHKEQSICEVARGNKSLEVKRSDRMSSVGLPTLIVSSGAAMLPHPSKVLTGGEDAYFIACNGWFGVADGVGQWSFEGINAGLYARELMDGCKKVVMESQGAPGMRPEDVLAKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIYKKSKPMTYGFNFPLQIEKGDDPFKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEASLKPAEIAEFLVARAKEVGRSATCRSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEV >OB03G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4171041:4172326:-1 gene:OB03G16870 transcript:OB03G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSLLLLLSDCTDALLSLAGCLSYVQEGSTVVRPDPTCCSGLKDVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAAGKEGSTVVRPDPTCCSGLKDVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAACKVKTPPFSKCHLSVPGVTGGAPAPAPFFGGLSPSTPSASPAATGSDDSAAVRAPAPSTSAAAVGSKGSAGLALLSAAVIAAAATLLAHRA >OB03G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4175234:4179038:-1 gene:OB03G16880 transcript:OB03G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESEYRYDTTELVHESHDGASRWVVRPKSVQYHFRTSTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADAMTRGRVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQVIKDIREFKEKNKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >OB03G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4178792:4179130:1 gene:OB03G16890 transcript:OB03G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEVDRPRRRGDRQSIPELGDGGAGPEVVLDGLGADDPAGGAVVALVHQLRRVVPVLRLDLRRAVPHVRALHAEALDEHLARFAGGFESYRCGGGGASLWVWLARSVCTKRA >OB03G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4186276:4195591:1 gene:OB03G16900 transcript:OB03G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKSKRPRADDCESPSSAVFKKIHSTGAITKGDMKQLYMVWKPVCHGCHGNSKDSPNCFCGLIPAANGVRKSGLWQRTNEIVRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEPEILKMHPVLDQLARLFAQLHSSKMSFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGAKTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLEDYFSTEALNGENQYFCESCQTRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPGQLDLGKRLSNPLSCYTYGLSAILIHKGSAANSGHYVAHIKDESNGQWWEFDDEHVSKLGPHPFGEKPGKSSTKADQKPQASSTADSVTNDDNGSCHEAALACTMEEMFSSTDAYMLMYKRITNNENGTENNNIMESSNNSLPHHFVDEIDELNSSYVKECEEYKSKKDGHLSYITERRQEVKSVLTEAPATPEEDSYFWISTDWLRQWADNVNPPSSIDNSPIQCEHGKVPASKVTSMKRLSAGAWHKLFSKYGGGPTLSSEDFCMECLKDGAKNSVSADVYRDRKASLKNIAEAALAGNSTDGPSYFVSKPWLTQWLRRKNVDIPSDADSGPTTALRCTHGNLLPEHASGAKRVSVPEGLWLFLYESSGMKADDIVTFPSDSQPCVICSQQLSVVASVEDNLRAVKLKQRQSHEKLISAKSFALHPGQKYYLVPSSWLSEWKAYITATGKNVSSLPEPQSLEATINSLICEKHSRLLQRPLDLVCKRGAITQKASNTDGLTMISEPDWILFSEEWNVAHGKGICAEIVLSKSSQDNLQSSEAIPILDGDLDQSLNDVSNDLGAREPYVKTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRTTSGNSISLRVSGSTTVYQLKLMIWESLGIVKENQKLHKGSLEIEDDFATLADKCIFPGDVLWVKDTEIYENRDIADEISEQKVDVLQTEEGFRGTLLTSSVSAQLCQDISFSD >OB03G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4199730:4200197:-1 gene:OB03G16910 transcript:OB03G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPCELRRRCEGRDGAGRAGGHGDSGSVACYTWTNASALSAYGGEAGGPKDPAATALYHPRGHRRKQTSGLRLRLVPPLPYLSSQTNMTATSKQNPQLLEEEEEERLYLEEEERERFRANYSCQEESTSKCFDEEQREVGEEIGEIEREEDSSV >OB03G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4203790:4205406:1 gene:OB03G16920 transcript:OB03G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQASNRPYRYEDNSQIPYYSQSSMNVGRNGTYCVQQNHEDLYVSSDDGSQNSNPKAQVLQAQYCTLDSSSGNFVYPAHSSTSSQSQYISGSPISQQDSHSEHTSGSPVSASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTRDLKQVIVACGKAVDENGWYRDLLISELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSLELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAGSHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGVENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEAIDVACPRNDKKRISTEQHCVARDIVNLIACEGAERVERHEPFGKWRSRLAMAGFRPYPLSALVNSTIKKLLDSYHSYYKLEERDGVLYLGWKNRRLVVSSAWR >OB03G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4205332:4206040:-1 gene:OB03G16930 transcript:OB03G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGAASPSSSLSSSRSPSPLPVADPVTVVAALPGHLAVAIAIQKPGLHPPSSTGPSKRGLGCGRGARMSVSNIIPKEASFESTEMSPPCRRYNQPSVLPSKIKDTISLL >OB03G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4208576:4209361:-1 gene:OB03G16940 transcript:OB03G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSLYAMVGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGEEQRPEGDAHVIEEVGAAGSAVEVPGDDCVLGGGVDAAPPALEHPVPLQPLHEEQPHHSNQPNQRETDRWMDGLDRRSRNNSIESRMQEKKRETKARRASAFAKKGRAKSDLSLLLKKRRRVGKGGKEREKRRETTSRRIECSVRWGRARDALLRMETPAGACPWPRPRRCCCAAA >OB03G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4208936:4212043:1 gene:OB03G16950 transcript:OB03G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:J3LKW9] MGLLFVQRLEGDGVFKCRRCRVDAASKDAIISRDFYGRSGRAYLFDHVVNISLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYEKAYDASQKYKEGKFILERARMWKDS >OB03G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4212794:4215929:1 gene:OB03G16960 transcript:OB03G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKQRAARPPPPRPAYSGGLEAYLNFNALSVGGGEGSSASARQMELQQRYAMPNSPLVMPLPPPSQPQDGGGVVGRRRRTPHPLLLQVQRELLRGMQQAQQNYCVGEGSSSSGAHFWPTGNGGVSPTAAAHAPTGDYGLPPAADPVMPFGGISSEYVSRFGMGYANPFDAAAASAAATAPAMPRPPVAPRSGTLRASASQYQPIGAASRSSTGRYNPLGSSSSNHPRFSEQVYHENRNVVDALRANEAGISWRCTPRIKEGRSPEEIRSEMLRGPMPLALVFFQSSAAHVIRLLEEGAEKGVDLYRLSALAAIKTQVHRVMEDKEGSQVFIALMNACAERKDEIHAIVAAATGPPVVGNVNVNGVPKTSHLLHLTRQEYGESSLKALITAAAPFSDMCKLLVDCFMCESVMDHPRGDRILRCCFELMSYGDTKIMIQFACYHSSKLLHSSPGSRCISECFESARGEELEQLEHIVLVNAATIARGNYSNYFIQRVLVKGSEGLKHKLVSALMTDVASLSRQQFGSYVVEACFLTTGSVELLHLVLSTFLKLPDDQLADVVQCGYGNYVIQKLVDASKEPFRNETMMLARRIQRLPEEVLDRMSAKQVLKFLRRLFPSRNRLH >OB03G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4217774:4222168:-1 gene:OB03G16970 transcript:OB03G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3LKX1] MKQVDVGVTVEDVRSIVDGDGDVVMQLGQTLLHAPPLSHSQYDLLIRALRLHLRVARSLVGLLDRLRALGVKPSPEAREEARAVASDWKRSKWIGPQSVLNKETIAFLLLVGAFGLVDDVGGASEVLDLVVSVSSRERAVDAFVGLGLDLDKHMPVLIHTMINKGKQLKAVKFIQDLNLVDKYPLYPILRSYISDAAKARNMIRIRGDGSACQTEADAKERMLLGVLKKFVKDHKLEELPILDVVKNRLAQLEKKSAKRKRAASAAAAAAHEVSKKIQKQEKLQQEVQSAMQSRVPGGKAAQNSLSQNIHSVDSFSRPLMSSSSMGMSGVSDLYQAASSQSILLVTGSHHPVGIKNETLNATSVQSPHSGLAEYYGLSSGRPRPDSLAPGPSIQVHKHPPDQSSSLQIPWLLSPEHQIRRLRLTTTRCQVCQHIIHG >OB03G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4227895:4234525:1 gene:OB03G16980 transcript:OB03G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIAIALVLALAVVQHASLAAGAGAGPRVIIVGAGISGISAGKRLSEAGITDVLILEATDHVGGRMRKENFAGVNVEVGANWVEGVNGGKMNPIWPIVNSTLKLRNFHSDFDYLAQNIYKEDGGLYDEAEAQKIIDRANKVGDSGEKLAATLSPSGRDDLSILAMQRLNNHQPSGPSSPVDMVVDYFLYDYEFAEPPRVTSLQNAVPAKTFSDFGGDVYFVADQRGYESVVHYLAGQYLNTSKSGDIADARLQLNKVVREISYSSSGVIVKTEDGSVYQADYVMVSASLGVLQSDLIQFKPQLPKWKILAIYEFDMAVYTKIFVKFPKKFWPEGQGREFFLYASTKRGYYAIWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRNMFPGKDVPEVTDILVPRWWSNRFYKGTFSNWPIGVNRYEFDQLRAPVGRVYFTGEHTSEFYNGYVHGGYLAGIDSADILINCARKKVCKYHVKGKYD >OB03G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4237767:4242726:1 gene:OB03G16990 transcript:OB03G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTAISLVLALTIAHHASFAAGAGAGPRVIIVGAGISGISAGKRLSEAGITDVLILEATDHVGGRMRKENFTGVNVEVGANWVEGVNGEKMNPIWPIVNSTLRLRNFFSDFETYLAQNVYKEDGGVHDEAEVQKTIDRAHEVGESGEKLSATLPTSGRDDMSILAMQRLNDHLPNGPSSPVDMVIDYFIYDYAFAEPPRVTSLQNGVPQRTSTDFGDDSFFVADQRGYESVVHYLAGQYLDTDDSGNVADARLQLNKAVNEITYSSSGVTVETEDGSVYQADYVMVSASLGVLQSDLIQFKPQLPKWKILAIYEFDMAVYTKIFLKFPERFWPVGKGREFFLYASTRRGYYGMWQEFEVQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRSMFPDEDVPDATDILVPRWWSNRFFRGSFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEFYNGYVHGGYLSGIDSAEILINCAQKNMCKFHVQGKCFSNDADLSL >OB03G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4243203:4244144:1 gene:OB03G17000 transcript:OB03G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDDGNEEAYCSDCRATTVVVLDHATGDTICTSCGLVLEARYVDETSEWRTFSGDNGGEDRDRSRVGASDPFLAHALGTVVAPAKQQANAAGAAAGTSLWHAARVDSEARSGQDNALLAGFRAISDMADRLGLVSTIRDRANELLKMLEGAKLCKRGQHRDTTYAACLNIACRNEGKPRTLKELTSVMRAGYDKKEIGRMSKIIRTYLGEEAGQAMEVGVVCAADYMARFGSRLGIAAAELRAAQRAAQVLDEKLDVRRNPESIAAAIIYMVAQRAGAKTSARDVSTVTGVAEATIKEATKELNEHAELLFT >OB03G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4245870:4246549:1 gene:OB03G17010 transcript:OB03G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPPLFLRPAARVDSEAGSGQDNTLLAGFLAISDMADRLAIGSTIRDRAKELFKKLEGAKLCKRGQHRDTTYAACLDIACRNQGKPRTLKELASVISAGHDKKEIRRMSKIIRTYLGEEAGQAMEMEVGVVCAADYMVRFSSQLGIAAAEVRAVQRAAQVLDEKLDVRRSPESLTAAIIYMVAQRAGAKTSARDVSTVTGVAEATIKEATKELNEHAELLFS >OB03G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4254267:4256639:-1 gene:OB03G17020 transcript:OB03G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDWAILSWIFLPLFEQQEEFGREMVLDSVMAFNSYQHALLFQGNSISLKAQILRELVIFELNSFRLVQLLYRKCSLWTPAMAAYQTGPVSKPPMLNTEIITQKVTGFTAETAAKEKPIGYLDVFVHQARDIQNICIYHKQDVYAKLCLTSDPDVSCSTKVINGGGRNPVFDDSLRLDVRTVEASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKLAQEFSMTSTDLLHTPAGFVQLSLSYVGCSPDVIPISAPNKSALLVNSSGNEHSVPCELEKIEFPDLNVVKENQIMVSKYFEMETLGYENSVKIDNAKLLQSDAAAVPGTEIFDKILDEYREGSPQSCVSTTDDSTGTSVTPQSVSEPSDTTAAASPTGSQRDKSQDATDGDADSSEVPLKSEAVKPVISINLQPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVDTSSSPSTEDNTDSSTTEKPSPSKGSRVFYGSRAFF >OB03G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4262588:4263514:-1 gene:OB03G17030 transcript:OB03G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARVVAAFSDPSTGALLALPFVLSPDVKLQASPLVSRPLDIPLLASSASLVGSARTVRDGATVTIAATIRLSPNRTKLHFVWNRGLLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMAMATLVGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVVKDRAAAF >OB03G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4267152:4270498:1 gene:OB03G17040 transcript:OB03G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04845) TAIR;Acc:AT2G04845] MEAAEAAAAAAVDGEEGGVSKRSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDKELIDGEFVVGNPHTEAMVGDVNIYMNDPDDLRLAEIEIMIAEHKRCRGKGLGQEAILIMMAFAVEKYGIHTFRAKISESNMASLKLFRKLGFKDASYSAVFKEVTLDAPAASLPLISPLTIGSW >OB03G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4271912:4274490:1 gene:OB03G17050 transcript:OB03G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRENGSDGGELAGAGAGAEGTSPNEGSASPPPLAATPAVCLIRSAGDFAGGAFIGSIVGYGQGLFTKKGFKGSFSTAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMAHTLGGSAFTVAHKNGGVLPPFTLPPLLDSSDALALCCQSLVLKPKH >OB03G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4277664:4277936:1 gene:OB03G17060 transcript:OB03G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGAVSVLTTVLESYSPSLRNGTLGFGVPSPPAAEYSAGAYTIYATVALPGNSTTQNTVWQAGPVRGGAIAMHPTSGPNLMSTKRQDFL >OB03G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4280142:4280758:1 gene:OB03G17070 transcript:OB03G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHSAWLPLVAVLLLAAAEAATPPPSARPGVSPPGRSFRRCSSLPVLGASVYWTYHPGNGTADIAYRAPQSSGGWVAWGINSQSSGMVGSGVFIASQDGAGAVAALTTVLESYSPSLTNGSLGFDVPVPPAAEYVGGAYTIYATVALPMNSTVQSMVWQAGPGSTGAIGGHATSGQNVQSMQSLDFLSGETIGASNSTTP >OB03G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4286805:4287581:1 gene:OB03G17080 transcript:OB03G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHSAWLPLVAVLLFAAAAATTEGCCSGKLPPGRSFQRCTSLPDGAGAVAALTTVLESYRPSLTNGSLKFDVPVPPAAEYVDGAYTIYATVALPMNSTVQNTVWQAGPGSTGAIRKHATSGQNMQSVQKLDFLAGETIGASNSTTP >OB03G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4300504:4301379:1 gene:OB03G17090 transcript:OB03G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGHAAWAVLAGVLLLAAGAAAQSSSCVSATFQGGRAFQQCNALPVLGASLHWTYHAANGTADVAFRAPQQSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCLTGCRKGFSDTNEKSNFTASLETAKRIF >OB03G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4301406:4304056:1 gene:OB03G17100 transcript:OB03G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGHAAWAVLAGVLLLAAGAAAQSSSCVSATFQGGRAFQQCNALPVLGASLHWTYHAANGTADVAFRAPQQSGGWVAWGINTRGTTMPGSSVFIASQDASGAVSVTTTVLESVSPSLTNGSLSFDVLAPPAADYAAGVYTIFATIALPNNSTTQNTVWQAGPGNTGSIAPHSTSGANVQSMQRLDFMSGQSTGASNSRLHRRNIHGILNGVSWGILIPLGAMIARYLRVFEAADPAWFYLHITCQLSGYVLGVAGWALGLKLGSESKGVTYGAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKKSYIAILATLAAVALLLEAITWVVVLRRKKSAKQPHGGAANGNGWQHQQGA >OB03G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4312575:4317425:1 gene:OB03G17110 transcript:OB03G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 [Source:Projected from Arabidopsis thaliana (AT2G13810) TAIR;Acc:AT2G13810] MPVNMISKLLEKAVLPALDVAPPVKIGESRRTAVLRNPNMEKLQKGYLFPEISIKHEEHLRKYPDAKVISLGIGDTTEPIPSIVTSAMAEYALALSTPEGYQGYGPEQGHKNLRKVIADTVYPDMGIKETEVFISDGAQCDIARLQTLFGPNVTIAVQDPTFPGYVDNGVIVGQTGKVDDAGRYAGIEYMRCAPENAFFPDLARVRRTDVIFFCSPNNPTGHAASREQLRQLVEFARRNGSIVVFDSAYAPYVSSSGGAGGAPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYADGSPVARDFDRVVCTCFNGASGVAQAGGVACLSGEGRAAVQRVVGVYRENARVLVETFASLGKEVYGGADAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDRVLEACDRLKSFLA >OB03G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4318911:4323272:-1 gene:OB03G17120 transcript:OB03G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribosome biogenesis protein [Source:UniProtKB/TrEMBL;Acc:J3LKY6] MGYNRRRGRGSSSSTRRSKQEASGDDGPGTSLPRQDDIEEEPKAPRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDHHIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHAFLSLNRDLLKAYSQCQNGTEIINVQNSWLSSNSSVPKSPVNAADKSHQSTEEGSDAESDDGLPPLEENLNHLNFNQDDESEDESEGDE >OB03G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4324500:4328876:-1 gene:OB03G17130 transcript:OB03G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPFSQGVHPNTSEPAMEPMASESSVPKGTAFADLLLQGPEPPGLWNDLTGIFRKAFCCRGADKHFTLSVYVMSVLQGLFPILDWWKSYNLKFFRSDLMAGLTLASLSIPQSIGYATLARLDPQYGLYTSVVPPLVYAVTGTSKEIAIGPVAIVSLLLSSMIQKIVDPAVDPTYYRKMVFTVTFLTGVFQCAFGLFRLGYLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNRTDVISVTKAVWISVHETWHPDNVFIGCSFFMFILAMRFIGRKYKKLFWISAIAPVLSVALSTLFVYATSADKHGVKIIQKVNSGINASSVKQIDLKGDYVAECAKIALICAVIALTEAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTAVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALGVSFAKIVIQSIRSQVEILGRLQGTDIFCSINQYPVVQQTPTVLTIRIETSFLCFINASSIKEKIIGWVTDKREAFRSVILDMSNVVNMDTSGLSALEELYKELACLGIQMAMARPGWQVTHKMKLARLIDEVGERWFFLTVGEAVEACLTMKAANALECC >OB03G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4331834:4332073:1 gene:OB03G17140 transcript:OB03G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSKKRKTPYNLAVVKKNLFLREVENEPDYLSTRSMQSSAPSIPSHFSSSPPPAAFFTPHPLPPPLPLPPPSPSRSPS >OB03G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4332549:4336760:-1 gene:OB03G17150 transcript:OB03G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAADPATYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDIVSVIKAVCSALRDPWHPGNFLIGCSFLIFILSTRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADRHGVKIIQRVHAGLNPSSAGQLRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPVAVLASIILSALPGLIDIKEAFSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSLRPQVEVLGRLQGTSIFCSIRQYPVACRIPAVLTIRIDTSFLCFINSTFIKERIVEWIRDEVETPDEKSRERVQSLVLDMSNVVNIDTSGISALEEIHKELVSLGIQMAIASPGWQAIQKMKMAGVVDRVGGDWIFLTVGEAVEACVTLQKGTVLEC >OB03G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4340411:4340629:1 gene:OB03G17160 transcript:OB03G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLENARKITNMVHLALAVTHTLKLLALSCQFPYSPNTQNCTPSNSYYKMFNFLSQTWYNLTEFLEKTLPSP >OB03G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4353284:4353520:-1 gene:OB03G17170 transcript:OB03G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLASYDSFLICNFALIRLEITFEKLTRQSPCTLYNEILEEYCEVGKNFIDHPDQAHCKVRLCWHTFISFNSRFICV >OB03G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4357674:4361813:1 gene:OB03G17180 transcript:OB03G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGETLDTDIASRTSSHRRIDGAHHHGYRVGFPPRKKLLDEITDAVKETFFADDPLRQYRDQPRSKKLLLGLQHIFPVLDWGQHYTFSKFRGDFISGLTIASLCIPQDIGYSKLAGLLPQYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEIDPKKHPEEYTRLAFTATFFAGITQAALGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGSVHHGWNWQTILIGASFLAFLLVAKYIAKKNKKLFWVAAIAPLISVIISTTFVYITRADKHGVVIVKYIKKGINPPSASLIYFTGPNLMKGFKIGVVAGMIGLTEAIAIGRTFAGLKDYQIDGNKEMIALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSMVVMLTLELITPLFKYTPNAILSAIIISAVLSLIDYHTAYIIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVVISLAKILLQVTRPRTVLLGNLPRTTIYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLLRALEKHKIQLILANPGPAVIQKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVEDV >OB03G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4367241:4372533:1 gene:OB03G17190 transcript:OB03G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLYRGKENIDFIERFHGTDDADLFPLCFCIAWIPAVLHAYLVVSSDKKQRQMPRAVADGGEGLDADSIASQRSMDNANGDVHQQHGYKVGSPPKKNLLTELAGAVKETFFSDEPMRRYKDQPRSRKAWLAVQHVFPVFDWGSHYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLVYALMGTSRELAMGPVAVISLLLGTLLLEEIDPKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFVVEFLSHAAIVGFMAGAAVTIGLQQLKGFLGIAKFTKKTDIVSVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKKLFWVPAIAPLISVIISTLFVYITRADKHGVAIVKNVKKGINPPSANLIFFTGPYLLKGFKIGVVAGMISLTEALAVGRTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGCKTPMSNVVMSTVVLLALQWITPLFKYTPNATISSIIISAVLGLFDYESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVAISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERILRWLRDEEEHQKEQKLPKIEFLIVDLSPVNDIDTSGIHAFKELLKTLEKRHIQLIFANPGAAVIQKLRSAKFMELIGDDKICLTVGDAVKKFAPQLTENV >OB03G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4376392:4376796:-1 gene:OB03G17200 transcript:OB03G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGSEASPSIIHTSSIALLQERFRNLQRVKEMREAGREVSRPVRPAADAHDRAAGAASALGLGLHAAASGNEQPRWFLHPDLVRPSRPLHGPPSSSSTLATSPWGDMPRATAAMQNSGYRGDVDVDTSLHL >OB03G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4393238:4398760:1 gene:OB03G17210 transcript:OB03G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LKZ5] MQSLVLLVIANGMAACYSLIQGARCLVSIVRGGVLVSRPLAWAIFSCDQVMAYIVISAVAAAMEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGVALLSAFNLFRLYGNGNGTGGKATGGK >OB03G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4406816:4407409:1 gene:OB03G17220 transcript:OB03G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGSYGQDCPSGWAAMRPCTYTCTPTRRGSRHVHWLAQEEQRRRLRLPTRRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVEARQDLREEVGVVVDVVTVAAAAAEQLHPQLQLRHDHHLLPPRHWPPTHTRTAVPAPVPRG >OB03G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4406909:4407370:-1 gene:OB03G17230 transcript:OB03G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPVPRRQEVVVVTELELRMQLLGGGGGNCYNINDNADLLAEILARLDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPGGEPQPSSLLFLCKPVDVS >OB03G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4425167:4426043:-1 gene:OB03G17240 transcript:OB03G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWQPAAYPCRLREKFYCLLPDCTTDRPVAVATAASGSTGDRVWDVLRAEAQADADDEPLLRKFYQDLVLSRPSLEAALASMLAAKLCIPGALPQDQLRDLLAGAAPALLLQSRASEVFGVDVHPGARIGCGILLDHATGVVIGETAVVGDDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVHIGDRAKIGAGAVVLRDVTDGTTAVGNPAKPIIKKAAPQRRPEELPGVTMEQRWSD >OB03G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4426179:4426463:1 gene:OB03G17250 transcript:OB03G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQRKAEAAEKKQETETPFVVGFFGVKVASVNSCLTASVPTRSAPCTTEIILPASYIFLHKYYVDRGRTCRARTRAKIKQNAPFNTMDTQKEP >OB03G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4435015:4438457:-1 gene:OB03G17260 transcript:OB03G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSASSTLPLLSLHRAAAGSPALSFPASLRAPTLRSRVAAAASAAPPAETIPDVPEEAEGTGIPMPSSIGDDGEQLFFGATAGKETVPRPWSELHSSLSDSFNVSQETIVITNSYGEKIVGILHEAGSKDIVVLCHGFRSSKESRTMLSLTDALTSENISVFRFDFTGNGESEGTFEYGNYYKEVDDLRDVILHFKKHKRDTSGIAGHSKGGNVVILYASIHLDVANVINMSGRFDLRRGIVDRFGSDYMERINQYGFIDVGEKTGRSIYRVTKESLMDRLKIDMKGACMSIGPNCRVLTVHGSDDDTVPSEDALEFDKYISNHELCIIEGADHRFTSYHLELANILAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRIYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >OB03G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4438773:4441789:-1 gene:OB03G17270 transcript:OB03G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant-specific TFIIB-related protein [Source:Projected from Arabidopsis thaliana (AT4G36650) TAIR;Acc:AT4G36650] MSQPSQCPYCRASAPARCVTTQPPLSRAVSECSSCARLVLERHLHTHPFFPLLPSLHALPLVTPDLADAAPSPSPSPASAGGGDDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALTVESAASSTPDPAGPMVSVDSLRAYLQIVDVASILRLDREIADHAFDLFKECSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDSAKLKSSEAAEPDHMVIVKEEEDKKIGPFSGRSSAKAETHDLNQAFWQPNAPFSSSTKLDHDKTEANVRGINLNEASCTMDSDRADMPVKSPFAERWLNESKAIPSPSRQPAPWQLKQAAPPTGSSYLSMPHGLELLSRGKRSAGEGGDKEGR >OB03G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4442701:4446662:-1 gene:OB03G17280 transcript:OB03G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVHFVLSHKIILWFLCRAAFVANEIPLFMNRSPPHMSRPSVCIKLILGLLWFIIHLAISLFSLWSHLIYSIECYLISFGLIPKYRKFQLDRLKYLAVVVDSREAKNVAKIDQLLCWLTNIGVKYVCLYDIDGVLRKSFAPTMNGSRDESSGNYLDVGANMKALTCCHKEMAIEYISGSDGKEGIAKAASLLCSTYVKGNRDTCGNDEIVFTEADMSSALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKLIFSS >OB03G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4446848:4447435:1 gene:OB03G17290 transcript:OB03G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESIASQALASERFPRHGCRYYLTYAHVNIKHQLNTKIQDNAPNAWYRFKVELNNLFPSHPTISHGHDSVRKEGTVNADEALGSARCSSHALPQTPSVRCRPR >OB03G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4457366:4459038:1 gene:OB03G17300 transcript:OB03G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDEVAVPVAVAPAKRPPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGVINIYSLFGSLAAGLTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGIAVLAMPESPRWLVMRGRIEDARRVLLKTSDSPDEAEDRLLDIKKAVGIPEDASDGEDVVAIVRANKASQGQGVWKELLFNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPVRLRAQAAAIGTGLNRMMSGATTMSFLSLSDTITIAGSFYLYASIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDTDDDDDVVVADAGRHERKKSTELSAQH >OB03G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4471283:4472943:1 gene:OB03G17310 transcript:OB03G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDASDEIPAAEAPAKRAPLNKYALACAVLASMNSILLGYDISVMSGAQKFMKEDLNISDTKIAILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAVIFFAGALIMGLAPSYAILMLGRFVAGVGVGYALMIAPVYTAEVAPASARGLLTSFPEVFINTGVLLGYVSNFAFYRLPLSIGWRAMFLVGAVPPVFLALGVLAMPESPRWLVMQGRIGDARRVLEKTSDSPAEAEERLADIKNAVGIPEGISDDDEVVTIVRKSRGSHGEGVWRDLLIRPTPAVRRILIACLGLQFFQQASGIDSVVLYSPRVFDKAGLHSDSHSIGASVAVGASKTLFILVATFLLDRVGRRPLLLTSAAGMVISLVTLASALHVIDQRPEGQATPLVGLSIAMVLAFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAISMSFLSLCKAITFAGSFYLYAGIATAGWVFMFFFLPETRGRSLEDTIVLFGGDEHDTNAVGKEHGHGQNKSTELTT >OB03G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4475522:4477752:1 gene:OB03G17320 transcript:OB03G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGALFLPVQLHADMVFYVHSGRGKVTYIEEGNSKSSTLQVERGDVYNFEQGTILYIQSYPCSTRERLQIYAIFTSDSINADDPRHPTSEAYSCVSDLLKGFEGEVLRQGFEVPREVVKSIKSAKTPAAIIPYNPEQEDEEDSSNWTEEIADALWGVRDPHFLNKKKKDKHRDKGKHKGKDKKSKSKTFNFYSGKPDVENCYGWSRSMTNQDLETLRGSNVGMFMVNLTTGAMMGPHWNPRATEIAIVIQGAGIVQIVCPSIPSGESKRRHGDEDDGGEHGHGGGRCKNSVFRVKEGDVFVVPQFHPMAQISFNNDSFVFVGFSTDMGHNHPQFLAGKHSVLQLIGKEILALSLGQDNSTAVGRLLSSGRESTIMACTSCAEELERKAEQEEEEGGGKGEKEREEEERRRQEEERKKREEEEEEKERRERKEEEKKRREEEERERREQEEEERRREEEEHEGGRGDEPEPRWKEEEEEGDWGERQVRLSRSLKKRYRSIKGRLSSA >OB03G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4477353:4483502:-1 gene:OB03G17330 transcript:OB03G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G42970) TAIR;Acc:AT5G42970] MDSALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTHSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIEAFAEELRPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMSSKGMAIPV >OB03G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4495870:4498357:1 gene:OB03G17340 transcript:OB03G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSSGTNERGVSSSGGAQQQQQPPPQPPPAKKKRALPGMPDPNAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPSCVHHDPSRALGDLTGIKKHFRRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAAPPPADDDGTSASGGPPPQALLPPPTQAPPPPTTTAAPAPAAQPEERDREAAPDQFATPAPVPAPVPPPPLPPVAAPNECASSSSSGVAPTSQSLLSSMFAPPSVAQAPQYVDPVGVGGGGHQERGVPAKPPALCLASNASSSLFSPPVTADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDMSSSSPAPASSSGQLHHQQETMQVPIPASSLPEWPPRLQPEPSPMLSSGLGLGLPYDATGGPVSLPELMMGQSSLFSAKPATLDFLGLGVSPTGASTSRGFPAFIQPIGGAVSLAGSATGTAETFVGAHGGQGNPWERNPSSSPIL >OB03G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4496037:4496612:-1 gene:OB03G17350 transcript:OB03G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLLRAATRRSVSAGLLSIGGGKSEGSGTDGRTNREKRVPQSQRYSREPQVLVCAFQSDWTAYFLEHLSHFHFFSPCLRRKCFLMPVRSPSARDGSWCTQLGSGHTYTRFRTSFPLRCRSFHGRLWPRRCSCRFWSRWNPLLQISHTNLLVASSVLGDSAITSALGSAPHHERALDRSPSPSIIAITPS >OB03G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4507861:4508184:1 gene:OB03G17360 transcript:OB03G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPPPWQRLRRHRSPRYVETVPRGLRVALPPASSGAAANGDGGSANVWRLLPAPPLRRETVPVIARGRRRRRPRRRGLPEKMALAAVRLVRMFLYVLSEASLVP >OB03G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4512672:4513493:-1 gene:OB03G17370 transcript:OB03G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAADAVVTSTCLLASDHQSVVTGSAGQCRIPRRRPQPPGGCGAADYATGDIPPPRGPPAYRWLKSSQWHVIEVAGVTDDDHTPRLKIDARRRLRRPRRRHHHRLHRRADPASGSSGDSGWFTSDEDSYANSCGVGGEAETLVASTTTESSSGASGNCGGGEADGVVVDGSFAVIKRSDDPRADFRQSMAEMVVGRAIYDADGLERLLRCFLALNHQRHRRDIVAAFGDVWEAVFSCPTSRRGVVTSDPAIRKAAATVSNRP >OB03G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4520731:4521045:1 gene:OB03G17380 transcript:OB03G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTITDNDLRCPDIEIIKHHHHSTNPQDRWDTKEETMKLIEQEVFLSSQMPTQREGMEIADISTRHQFRPPVCLYSLHRERFKPPELRNKPEIIPLGKHINR >OB03G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4521485:4527448:1 gene:OB03G17390 transcript:OB03G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPAAERPPRPDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATRTRSGPEERPVFGERTSSDRRRQLGAEFYKDIFAGNESMSPRRGGAAGDLDVFGAQVSPGSTSRVRSSFSMKFNGGIDSSVPTSPSRHTPNRNDDGVSYAYSVPTSPNSSMNSFLAQGAHQQDSTKNPSSWHRYAFLSRFRSNSGDKDTSNYVSSMDSEREGTHVSLENSIDNNKFHFSFYKWGGKGAILVLPTNAQESAGDIVGARSFPQVIVQGIDLIDEEEDNTSTATGASKSQTDYEEYKSGKEYGLLSAHLKAKDGALPLVFDEYFQANKAEETGTKHSTDNTKNSVLGSSPSSKSSRSPSGEKSRGSRVKGKVKGFMKIFSPESSPKLKGTRQSLDRTYKNGSKSGVDDKFSLSNSAVDEDVRTANMSNRNVFPPAPSPISEVQDRAVIPVFTVDNEKQRRAENCFGTKEVTPPSSDELVDAQTKYMVGEGETTERSEGPVEDIEECVVEDVCEDLILRNNEEKEQIKISEYKIREWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGVAMHQKYIAEKVFDILQEAWKEFNTVTFG >OB03G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4528890:4531673:1 gene:OB03G17400 transcript:OB03G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:seryl-tRNA synthetase / serine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT5G27470) TAIR;Acc:AT5G27470] MLDINLFRTEKGGDPELIRKSQLSRSTPESAAANVALVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKQDATALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNVVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWVMHEEMIKNSEDFYKEIGLPYQIVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIEFLPFKQPLDSKQVARLQIK >OB03G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4536092:4540458:1 gene:OB03G17410 transcript:OB03G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRPGWKSLMPLKLSRKSALRFFLFPKVQAAGQSPDDTPVYLNVYDLTPMNGYVYWAGLGIFHSGIEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFLGTTCLDPLQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSRPEDCEKRRLRNPLSCFSSISSQRQLPPSSPFPTSPVKEPLAYSSSRKSNAPSLRNR >OB03G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4541865:4543167:-1 gene:OB03G17420 transcript:OB03G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREGEEKLLFPSFAFPECFPEAVTSGGEQKKARQRRRRKVKPEAFAAATGESGVDEQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFAKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAAAAATGGGSAGGGGGSSPSSSSFSTVTYHPALVGQFGVEAAAEEADLYMSEYAYNNYMLELAAAGYGGGVYDQFS >OB03G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4543869:4544218:-1 gene:OB03G17430 transcript:OB03G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREGEEKLLFPSFAFPECFPEAVTSGGEQKKARQRRRRKVKPPRGRRRAAAGRRAPNAGFPYRGDCLAFLMKNFK >OB03G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4560806:4563045:-1 gene:OB03G17440 transcript:OB03G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75090) TAIR;Acc:AT1G75090] MPGLRPDSPASPSPEVRRARTSFSGASSKVATTSMGKVVRTKAPAEPKARLKEVEKQGRCDRTVSSLRRLSPPPSLASPELGQTRCSWITANSEPRYVAFHDEEWGVPVYDDQKLFELLTLSQALAELTWPTILNKREEFREMFDGFNYASVSEFTDKKINLLSKSNGNMLLSEQKIRAVVTNAKHMHKVIQDFGTFSNYCWSFVNHRPVKSSFHYARQVPIKTPKSEAVSKAMMRRGFQCVGPTTIYSFMQVAGIVNDHLSCCFRSQDCRDSKRKVEAGPVLIERIRLSSPPSSEDSETSREV >OB03G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4563688:4568584:-1 gene:OB03G17450 transcript:OB03G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase MT-A70 family protein [Source:Projected from Arabidopsis thaliana (AT1G19340) TAIR;Acc:AT1G19340] MGISESDELRSFEDSGIYRLAGSGAAFLDPVRILNESYRRFRLVPSAYYSRCFGPSHQGGEAETERTEETSPKRKKRKRKREREPKPRELNEVERIAEARHQEARPLLLSAHRSLLKAEYLLEFLPRMTKEDVRVLDVECNLKNFVELGSSWRAPFCEMTLCFHKSSDEDSEEGICHRTSTPLFNSIISVEENDDAEGEFQDRCYILPRQSCFLMSDLKHVGGLIHDNYDQGYNLIVVDPPWENGCVRQKVVYPTLPNRHFLYLPVQELAHSAGALVVLWITNREKLWKFVEEELFPSWGVKEHTVFYWLKVKQDGSLIGDLDLLHHRPYECLLVGYINLNKEDARGSKFKFLEERRVIMSVPGAHSRKPPLQKLLSQYIPGPKPARCIELFARELVSGWTSWGNEPLRFQDAVYFSERKEHSVHS >OB03G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4568673:4573391:1 gene:OB03G17460 transcript:OB03G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLLSSPPLPPPLLLGRGTRRSAASLQLQTSPPSPLRVSLAAARWDGGRRWWRVEAPQATTRARAAARAGISGGGGDGEGGDGTGIAAAAAVTVALAVMNRVLYKLALVPMRNYPFFLAQVTTFGYVIVYFSILFIRYHAGIVSKEMLALPKSRFMLIGLLEALGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRANQIFGCLLVTIGVILAVTSGANSGPLLSDVKLLWPAVLMASSACHAGASIIKEFVFIDGAKRLEGQRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLTELPAYINRGAACFLNIGGNLKDCHGAPLLPLLFIAVNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLAGASILVVGLLIYNLPQKSADRMKTD >OB03G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4578308:4579471:1 gene:OB03G17470 transcript:OB03G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSSSGSTRPPRPPSSESALPPAAAAEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLESLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEDNDAGGQEDDAAPSARYARTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVELEISSMIDCVEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRQPAS >OB03G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4587183:4589057:-1 gene:OB03G17480 transcript:OB03G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGMEGEAMAAASSGCSSGCQSGWTTYLDDHSSYSYGTARFHGKAQQPYYHCDYSEDAEEDDLSMISDASSGPRQQYSTGNDESVAATAAAAHANAAGRRGRRMEPTARRQSKTAAGASLLEDTASSPAFFKYTNASAEGNGYGYGGAASPVMEMGNAADFSCALSATTGFESPLNGIPLSGYMQMQYSYSTTPVNAIPARQARRGGAEKKRR >OB03G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4600144:4601400:1 gene:OB03G17490 transcript:OB03G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGTLEKTTTICSGDGAVAADAAVETAATLKSPLLEEKKCDDGARGGVKRCCERQYELVGYDALPAFLKHNEFILDYYRSEWPIKQALLSAFAVHNETINVWTHLIGFFMFLAFTVCAATMVPMESGVSASMATSKTQANNTGSRMVVMTMGYGSNGAAVAVRALRNVSVESELIAVASLSAGSQVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFRTLYLGFITLFGAAAVAVSLMPVFEAPELRWARAALFTCIGTSGLVPIVHKMLVFGARPEAGLTTGYEMAMGAFYLAGVVVYATRVPERWMPGKFDLAGHSHQLFHVLVMAGAYAHYLAGLVYLSWRDVEAC >OB03G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4608085:4609340:1 gene:OB03G17500 transcript:OB03G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVLIQHPGGGRTERAYWALSAGAVMAANPGHYVAAVITSPPAAGASTAAAAVAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRVTIKAKEEMGESKTHKPRRRRGSSGGIAPEEEYSSRSLAKVMRQSDEPESAASPTAAPRPENDIGNHAGEPDEADCDLEALLPPHGVVLGRRVCRQWRPALQSIPEG >OB03G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4614032:4616078:1 gene:OB03G17510 transcript:OB03G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:J3LL25] MAVGKNKRISKGKKGSKKKTVDPFSKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKDIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYKDDIGTKVERPAEDEAMVGQEVAAE >OB03G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4617733:4621053:-1 gene:OB03G17520 transcript:OB03G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 1 [Source:Projected from Arabidopsis thaliana (AT1G27460) TAIR;Acc:AT1G27460] MPAIMLCSCSGDQSKFEEMPRSPESLATRDFSATGSSSRIANRESTPDDNQVNEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLIPRMTGAIADSVKPRGPPRSSRKKTSQVNGMLMQMSMHSVSLLLEAILLKAKSLEGLGRMTDAAEECRTIIDIVESAWPYGVPEGISEECKLIDIFHSALEFLPKLWMKSGCFEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGTEVKFTQDFDQHKPATPRNNMEEAILLLLILTKRLALQEIRWDPDLVNHLMFALSLSGHYEVLASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFHVLERKARHHIPSLLLGAKLCCKNPKHALEGIKFADKAMKSFRRHDFHFVSVVNHFLGVCYGPFARSSTSHAEKLRLQDQALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSSVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQATRGQFKSAVESFRSLLAIIQAKKEIWKLTPYDKVKSLQNLEMEAWLDLASIYTKLEAWHDSNVCLGKAKSINFFSPKCWHVRGLILEAKSLHEEALTAFSFALSIDPDYVPSMICMAGILTILGGKSLSIARTFLRNALRLEPTSHRAWLSLGLALKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >OB03G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4624492:4628895:-1 gene:OB03G17530 transcript:OB03G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SURF1-like protein [Source:UniProtKB/TrEMBL;Acc:J3LL27] MAAALSKLLRPRLRGGGHRLLPSRPSTSHASQPPPPSPSAATPPPPGAGKEAGAWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTRRLEMEPIAWNQIAPSDLSVGGDSAALEFRKIVSEGDFDEEKSVFVGPRSRSISGVTENGYYVVTPLVPRPTENGSLLPPILVNRGWVPRGWRDKNVQDHQGVGEVAEIKEAENKTDGQGPWWKFWSNSKEPEPSCEIKKPVKPPVRVIGVIRGSEKPSIFVPANEPSVGQWFYVDVPMISHACGLPENTIYVEDINEDVSPTNPYPIPKDVNTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKVKL >OB03G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4631121:4632978:1 gene:OB03G17540 transcript:OB03G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGPGSADSDSAQEGAYLDPEIRRWFNCWELAGCETSAGPLFWDDMLERWTCQSTAEVEYNGVPRSPVDEEGSPCSVWEVTAILLTDTPDRVSLTATASGDTFESGAQNAVLMVIGMLHHRYLIYLSSSPFRYHPIRGGPGEYADFRTTRQEDDTTIMHLARMVAAYDEARIDFHKLVRCGLVHNNGKILKLRQENLKLKQELDQVEEELCQLKIAQGDTESSNAPKRCRAHPNLKITPHKSTTLPPMIRETQAEARLLVQKILEEGPSSGRRALSSSSGTDETEPMEEVEATPEE >OB03G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4646223:4649891:-1 gene:OB03G17550 transcript:OB03G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLNKPSLTGIPLLVIGNKIDKPEAFPKQSFTEVMGLKTLTDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >OB03G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4651532:4654756:1 gene:OB03G17560 transcript:OB03G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSIRAAAKAAMIGGYRSAAVRRAVLPVSSAPQPAPAGAGAGEGRKAASTFAVVDDWVILDREVFGPVPTHEEAMAATLDLKEAFQLAKTESHVAQSESLSYGDPDVPKKVAQVTVLQDVVHPESQGLVHSDTQGLVQLGTSQDLVHLETPLVLVHSELSPDQSYSKTCEHEDKHDSSLASSGASERVVQAFTMLHESPETQDVVASLACDTNVWNAVMRNEKVMKYYKTYETKLSEDEVEGTGESGYAQNGSEPATASAGEAFMDYVEKMKALVSEMVTNLSSIMQDLVATSNEGQSKGKVKIMTMYSQKDFATAPSAFVVLAIASIMVVLLKRA >OB03G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4654490:4658913:-1 gene:OB03G17570 transcript:OB03G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 49 [Source:Projected from Arabidopsis thaliana (AT5G67530) TAIR;Acc:AT5G67530] MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDAVCTADGSVFDLMSIIPYIKKFGKHPVTGAPLKQEDLIPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWKELITDEPFTRNDLITIQNPNAVDSKILGEFDHVKNGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAATLAKKEKDDSKSGKEPKPHQSFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKIEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIRNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKISVFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGSADVVGNSIAADDSNKKRKADVSNVEFKDFSGW >OB03G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4659171:4662201:1 gene:OB03G17580 transcript:OB03G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRSPTASGGGGSSSSDDDGDAAWKAAIKSIAAVGFGVPVSNGAAKASSGSGGEASHGEEQQPSQEGKAQAPGLKLYQIKVRNMLDDMLERNLEIVKTPCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDVDEKSKKFRHMLKSVTVDGNDILASAKEALERSSARLEAKEAAVKAAAKREEERVRELKKVRGEKWLPSIARQMKEEKAWEQRK >OB03G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4662415:4664793:1 gene:OB03G17590 transcript:OB03G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MDTPPSAAAAAPDSDSDSTLVAEVANALVSASRLPEPPPIPALLAPYLPRLAASHHPRVPSLAASHPGLASPDLLVAYRNFLSPPSCLPSLVPLLPVLPYRRLLPLLLSFVPLDPLRHLHRHLLAHLPTNSLADAALSAYARLRLPHHAAQLLHSLRRRGGVRPSLQAANAVLSALARSPSTSPRASLEVFRSLIALRLHPNHYTFNLLVHTHCSKGTLADALTTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTRATYNTLVSAYARLGWIKQATKVVESMTAFGFEPDLRTYNVLAAGLCQVGKLDEAFRLKDEMERLSAVFPDVVTYNTLVDACFKWRCSSDALRLLEEMSQKGVKLTLVTHNIVVKGLCKEGKLEEALGQLEKIAEEGLAPDVITYNTLIDAYCKVGNVAKAFALMDEMVRKGVKMDTFTLNTVLYNLCKMKRYEDAEKLLHSPPQRGLVPDEVSYGTVMAAYFKEYSPEPALRLWDEMTERKLRPSISTYNTLIKGLCRMERLKEAIDKLNELMEKGLVPDETTYNIIIHAYCKEGDLENAFLFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKDGDVDTALRFFADMEVRGLQPDAFTYNVVLSALSEAGRSEEAQNMLHKLVDSGKLSQSFASPLLKPSSVVEADVAKEHEGKLEEESSGKAQDNVEETYTERLNELCTGGQLKEAKAFLDEMMEKGLSVDSSTYITLMEGLIKRQKRQTHAALQSPL >OB03G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4666633:4666791:1 gene:OB03G17600 transcript:OB03G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTYILFGGKHSHLMLLKGRKHTDKYIPSGIFFFCPPIPLSTFYHFMLVWSK >OB03G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4669860:4672822:1 gene:OB03G17610 transcript:OB03G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDLMGKLLFLLAWITLLQGCGMVKSLTYDYSSSVECLAEPPEPQYGGGVVRNADFSAGLQGWSAFGYGSLAEGTSPAGNRYAMATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSGGVADVRVVVKTTDDFVHAGGVVAKSGCWSMLKGGLTTVAGGRAEIYFESNATADVWVDSVSLKPFTREEWSTHRDVSAGTARRKTVRLQATDSAGNPLPGAAVSLETVRNGFPLGAAMSSEILRNPTYQSWFASRFTVATFENEMKWYSTEPAPGREDYSVPDAMLEFARQHGIAVRGHNVFWDDPNQQPRWVQALPYPQLLAAASRRIRSVVARYAGKLIAWDVVNENLHYSFFERRFGWDASTAFYAAARMLDGGSTLMFMNEYNTLEQPGDMAALPARYMQRLKQITSGYPQNGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGIPVWLTEVDVAGSPSQAYYLEEVLREAYAHPAVQGVILWAAWRPQGCYVMCLTDNEFNNLPQGDVVDRLITEWSTAPRTGTTDAEGFFQADLAHGEYKVTVTHPSLNTSVSQSVKVELGSGSHYFIHV >OB03G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4673404:4677549:-1 gene:OB03G17620 transcript:OB03G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT5G60590) TAIR;Acc:AT5G60590] MKACAKAAGETLPLVHAPTRQSLAQSFVKVSRLSSQHETKSVVSCSVRLSDNVTHRIEATAGHILPATTDNVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNCIYEIKGRIQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISRGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETAAVLGKFGFVEAS >OB03G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4677285:4677497:1 gene:OB03G17630 transcript:OB03G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTVYVLKIRKSMIYVAYRIKKRLYTSLQTSYTGALIHLRCYVEPQFKEKKLEQVYECICAGGPMLSSQ >OB03G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4677577:4681658:1 gene:OB03G17640 transcript:OB03G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQRGGRTGGRPRDAVGQEGTEGGGGRERAQGQRRQGGGRRAAVEGRIPEEGDRREGAERRGRSKGVDVAAADQDFLDGVKRSGFYLKGRYISFELRLNLFPPISSMLRHASVSSISSFLSNLRSHDQISSIFWHIHSDLREPKFSRAFECLTTMVASSEPVVVDSVHEEEIPGDVSFLEENYSKANFYVRLKRRNGRVKHLYEELHVEGNEVRFVSMPSVKFNLELSEKERSDRANVVLPFEHQGKGEPIRIYDGR >OB03G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4682345:4684911:-1 gene:OB03G17650 transcript:OB03G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTGKLVFLLAMILFEVCSVRSDRVPYDYSANMECVKEPEKPLYGGGILKDLKDTEAAKGYAGGKKLLSEKCKSAPVKGSVLKVELKKDHHYALSAWLKLSKGAGDVRAVLVTPDGKFNTAGVIVTQSDCWTMLKGGATSYDAGKGDIFFETNVTAEVMAEGMALQPFSFDEWKDHRTESIKKERMKKVKITVEGADGKALADAEVKLERVAKGFPLGNAMTKEILDMPEYERWFTSRFQYATLENEMKWYSTEFHQNKEDYRVADKMVELAEKHNISLRGHNVFWDDQTEQMEWVSKLSVPQLKEAMAKRLKNVVTRYAGKVIHWDVVNENLHFSFFEGKLGKDASAEIFKDVAKLDDKPILFMNEFNTIEQPNDPAPLPTKYLAKLNKIREYPGNGGLKYGIGLESHFSTPNIPYMRGSLDTLAQAKVPIWLTEVDVAKCPKQVEHLEEVMREGFAHPAVKGIVLWGAWHAKGCYVMCLTDNNFKNLPVGDAIDKLLHEWKAGHSGKTDSKGVLEAELFHGEYNVTVKHNKLKDHCVQTVDLDSKAEAKIKAA >OB03G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4685624:4689945:-1 gene:OB03G17660 transcript:OB03G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAAGTAQPLAYDYSSSAECLPEPMDAHYGGGIIRNGDFSAGIQGWSAFGYGSLAEGASPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGSADVRAVVKTASGDFIHSGGVEARSGCWSILKGGLTATTAGPAELYFESNATADIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLRAQDAAGNPVPGAQMHIEHIRNGFPRRAAMRKEILTNPAYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFSKNHGIAVRGHNIFWDDPSTQMGWVRALSGEQLRRATEKRMKSVMSRYGGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVVPGKYLRKLWQIKAFPGNGNAARMAIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYSHPAVHGIILWTAWHPQGCYVMCLTDNNFRNLPAGDVVDKLIWEWKTRSHVGVADADGYYETEMFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHV >OB03G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4695731:4699726:-1 gene:OB03G17670 transcript:OB03G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:J3LL41] MEQQQHHSVSVDDGSRSPVREKPLVSTIAKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALSRELHCSGHLAYVLDGDNLRHGLNRDLSFKAEDRVENIRRVGEVAKLFADAGVICIASLISPYRRDRDACRALLPESRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEIVIKMVDGECPSPKSMARHVLCYLEENGYLQA >OB03G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4701286:4704700:-1 gene:OB03G17680 transcript:OB03G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVNSVYKYKNTNVDIKVDTESNISTTLTVFDVLPSTKLVTSVKLPDYNSGKVEVQYFHENASFATAVGMKPSPVVEFSGTAGAQGIAFGAEAGFDTATGKFTKYSAGIGVTKPDYHAAIVLADKGDTIKVSGVYHLDDKKKTSAVAELTRRLSANENTLTVGGLYTVDPQTSVKARLNNTGKLAALLQHEVKPKSLLTISGEFDTKALDRPPKFGLALALKP >OB03G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4702143:4702657:1 gene:OB03G17690 transcript:OB03G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQNNCSMIVWLGYTYASTVLGKFSCSSVKPSFCTKGNSLSTSCSRKFNHRRGLHANSSGETCILMEVLHLHLIVVRQLDTCHKLCGWKDIKHSQSSRDLQFCVHFDINVSVLVLVN >OB03G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4705360:4709511:-1 gene:OB03G17700 transcript:OB03G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19630) TAIR;Acc:AT3G19630] MASSSSPPPPLRSVFDAAYIRGEFAAAGISSHFIPLIWKYVLQNPRSGDLDAVPSLPAAAYALLRQKFRPTTSTLTTAAESKDRTTTKLLIRLKNGESVEAVIMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPLNNYNALVEAIQVLTGPPFQLSPKRITVSTVGIIHSINKFNNDLPHVNLAVSLHAPDQDIRCHIMPAARAFPLGKLMDALQSYQNESKRTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSTFKTSSDQNVKKFQKVLRGIYSIRTTVRQQMGQDIAGACGQLVVSLPDERSGGGATLLSDIEDIRI >OB03G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4711955:4715299:-1 gene:OB03G17710 transcript:OB03G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRESHEASRREEGREHDAPRASRRERERDTHGRRPRSSSRSRRDGPSPRRRREDRRRHESDRSHYHRSRAEESAKAGDRDQTRDKPLQDAATQQDDPSRAEAKSLDDARNSSPARHDRSPRGTKRFPESRDAKHPRSFFQHDERGSAGQGGRRYYRQASDRGRQRDEKEHFADRERNKDEGKVRRYEQQNDGDSTWKHDGFFQMEEEAHPAKRRPPPFNEMGMPLEGKESATAVTEPDSGSHKHDQDGPTSAVGEERRDYHPRGFDRHGGPFVRPDGRGMRRGFSDHRNAGQRNAYDSWGRFAGRGRGRERFNNSYDGRNSMHQAVGDQAEKWKHDLYDQTNRSPTPKTEEEQIAKIEALLAL >OB03G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4716192:4725607:1 gene:OB03G17720 transcript:OB03G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFDPVLDYRCWAILRFCMEKKPSISISPNLLKSLGHVARNGLTRVDTSALYDDSDSVDLFEQVLGCMSSVFSINTRTFFNAGVDLWASCAIEVIGLAQKVSPNEMNGCIVLWNLGICLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQANSLKHRQDGTMLKVVEEILSNGLFHPQHLSGYFGLKNLDKSSTTKDVIGSYHRHLFERFKAIKAENKSVMLAGFVYLLQLFIRRSGNQRASLAPRATSLQKSSEGSEEPHHHREALFEVFMQFMEPLILECTSYSEKDFSTLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLLSMAEKMYEFWVSAGHLEDASIKKMLPLMFAEIVDAVGHFLVIEYKVMGRDLVKLWLMIFALSATNASSEDIKPCSLLASKISGLSSQVICTFSELRQVSFSIFTLCGALRMFRTAVVPGVVANSFSVSLLPSDKCLESLATLLSSHTLRNAIRTSINSMPEGQASRCIEELTLDLTGALEWIKTSGLLGVNLEVQGESSLVSRDSVFSQRAELLGRHLSEIYTNVLESITVTTSNSTVVAKSVEKLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHLSNKQGANWQKISSLSWFYFFFFRIYMSCRSLYLQSIGLMPPDLAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNPIPLFDIIEILTQSFSRNCSGFTLLVFILNLMALQRLNDLNRQINAFDFLLEDDTDQFDKENSGDSELLKKSCCLEATRLTSFMMSYRSCRDVDNSGSQSSYREITLHNVSVELLCDTIIYDRKVLLKNLVSSSCHALKKAVLSFVTDANEDSALLDSPPDLVYTLAKLENEKFPVTDSDVTHTDAIDKLWICEDLLNYFSTVPGFHANFKSFLQLIAYILHLERLLLMAMLCHRYESCSSMGLLRLFVCCRRAMKHLILNFGKEFPGLKQYSAFSKIFGDSCLIWLLRSAQELVSLSHKIFEEHTDQLNNTIFSLVDKTSEIFSTLTNMNSVFCLLGPKKQITSSLNCSRECSTHSTQENDDQAFDMLESAAFEHVKVMAELLQKSTASIPVTVEGSHCVIKLENCYETVCWDRLLCTMSCIRGFLWGLVSALEGTCKDYRSSSEERNMMFQYASRFSSYVANFEMFVDICLHVLFMETKDCELADLISVHLPQELDCENRSLNISVIMDEWTKHQSEDNEFHSDGVLNISMETHGFDLPKVQFVKGFLLENLLSGKGPSVAFTLREFYNASAAIIKLKGTLSFPSEVCRQKCSPFQKLSLGPMVGTAYIALQKIADMSNWPEMFSLLWIDGILGYLEALGSFLTLPEINMSKEFYTQIVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNITGHADTKGIINGHNRLNSLKSRLRHSLRRYVNVSSNMLLNTALQIIERALVGVNHFSHSIYEVNTGNCDGGAVSSDVAAGIDCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESSFIFYTEKMHANPYLHPDAGATVLMCIEVITAFVGRHSFQIDACHVSQCLHVPMTLFKGFKHLLSCQNISHSCNPSVDQLAASNEYVLNRQFSVDMYASCCKLLCTTIRHQQREVARCVAVLEDSVNILLSCLESANPKMVSRAGYFSWNMEESMKCASFFRRIYEEMRQQREILGKHSMYFLAGYISMYSGQGPLQTGITREIDESLRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVHDYKLNFQYQGKI >OB03G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4730253:4730459:1 gene:OB03G17730 transcript:OB03G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSAAIRRQRSVQRQLTGYYVGTTVAGEEKGKKKKQSSSLRCVAVCLVFPLAVLTGHEAVVMLLMLMV >OB03G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4739276:4742688:1 gene:OB03G17740 transcript:OB03G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03990) TAIR;Acc:AT3G03990] MLRSTHPPSSPSSGSSGGGGGGSSAPASPSSSSSEKKMVGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILNALRIPRCAFVGHSVSAMIGILASIRRPELFAKLILIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLKANLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALVRF >OB03G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4742884:4743345:-1 gene:OB03G17750 transcript:OB03G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVHLAVSPAGSAARARVLPTLPAQLHSALYCITACGDSIGRAVSRGEMRSTRGHKSCGGASASSRRALRPLLGFLAVIQQRPRPAAVARRATGEVYAWARERSRTWRIKLELLLPGVVSARASRQPGRCVLCQKKQIFRFLCLTFDHPFY >OB03G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4748423:4756139:1 gene:OB03G17760 transcript:OB03G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LL50] MESYLNENFGGVKAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLGKRSEAAAMKRSNQENLRVAVLVSKAALQFVQGLAPPSEYTVPGEVKAAGYGICAEELSSIVESHDIKKLKSHGGVEAVASKLCTSPEDGLPKSRRRQAVRQELFGINRFAETESRSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRRKIMDGSYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKEVDSASDTKSLFSELPDSVMTLLSQSIFNNTGGDVVFNKDGRREILGTPTETAILEFGLSLGGDFLAGGEGGIGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAMRAHSKGASEIILASCSKFLNDQGNVVPLDDATVAHLNATITSFANEALRTLCLAYVEVGDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSAEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFIVIWYLQTEGKWLFGLEGENSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFEGILNNNVFIAVLGSTVIFQFIIVQFLGDFANTTPLTFKQWFNCIFIGFIGMPIAAAVKLIPVEF >OB03G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4757153:4759148:-1 gene:OB03G17770 transcript:OB03G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGSDLFSAGADDGGGGEGAGLSVAGDEHLLLVEPDDEYLALMLSRERCAGGDVGERGEEVTEEWMKSARAGCVGWIVKANAGFRFSWKTAYVAVTYLDRFLARRRVDRGKEWALHLLSVACLSLAAKVEERRPPRLPEFRVDQYDFDCASILRMELLVLRTLKWQMITGTPFSYLSCITAKFRHDERKAIVLRAIECIFASIRVMSTVEYQPSTIAVAAILIAQNKETSPNLDELKVILGSSWQQLDAGHVCSCYKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDGSMADVNNATTLAATPDNKRKRLHSPQRQ >OB03G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4770906:4772106:-1 gene:OB03G17780 transcript:OB03G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSPLRPPQPTRQRVVGYCAVLVAVRPCKITAPGRKRRGFLRSAGGGEETRAVDDTAACWARHARGWQAAAFQQDRRLLGSNSNSIVLLTRKE >OB03G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4772977:4776000:-1 gene:OB03G17790 transcript:OB03G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGPSGGNGLTNRRLDVGTAGGGPAVFGRVLFDVTGGGGGGGFGAVFNFGGGGGGGGGGGGGGGGGGALEGCTGRGDGEIACLTSGAEPVEKRVRGGVRRERAGGGKGGAVMAATGGKKSLVMLGRLRREAVVVDPTFPNFPPTQETIHPTWEMMM >OB03G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4778466:4779179:1 gene:OB03G17800 transcript:OB03G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDEQFRKHGLKVVSGLSSELGNVKRAATMDFDVLHGYVNKLETGLEKIKSVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVKGEEKRALGRVKDITDYFHGDAGKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >OB03G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4780875:4782938:1 gene:OB03G17810 transcript:OB03G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQLPDDILLSIIERADVRTIIKTSALSTRWKQLPLLLYNIDLDVDKFIPPNSSMSADEAMAILIKSMNNLFGSPQRECTIKGLTLSFCLLTDFEASLKYLLNISELVCNAVDNGKVKSVELEIKTEKPSVDYTTDDTLLHAKSVVYFFDISPSLSRCLTKLFLRTARFSEADFHQLIVSCDQLQHLSLYCCELWDSSTLKLDMPNSKLRFVSLFGCFIQTVEFICLPKLKELHCDSWPLTGTPLSFGAVPCLQELLLVCVKSIFQSGFKLTDLLRGTANVQDLTLNFQGENIWITPERKELSTTLSKITKLFLHGIYVKFNILWTMLLLEAAPSVKIFGVEVWNHPCEEGGERDGYPERTNALWDAAQMDGSILHLQLETLEFGGFDPTISEHLDFIRAVIERAPKLKSVILQDAEPCEYCESMDNPISTSRFPHNEDERSTVLKQLKAGISRPVAIVFC >OB03G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4786130:4786813:-1 gene:OB03G17820 transcript:OB03G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRFWLGPACQAASSDVLRDLESRDADRVEKRVEERAQRVSGAAPSPFPAQVSVAPSSSGVVAAMRPYESAIKLGRGGKRHRKISMDKQAEQIKASVPSDDEVDEVIPMSDKEKDAVAKVYATARAKMAAASKSNASTTLAPAPAPSTEKSPAPAPSSTPSATTSSPSITLSATVPAPSTTETAPGPAPSTTPSAA >OB03G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4787457:4793034:-1 gene:OB03G17830 transcript:OB03G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein [Source:Projected from Arabidopsis thaliana (AT1G27752) TAIR;Acc:AT1G27752] MSSAPPPPPHQSKTSYGHRRGHNGGPKHHQGQQQQQQRYVQKSAAPKPSGSAPPPPLLTAALRSSVASPSASGAGVGRVGGEADGFVAYLPHDEVVAAGLGGPDAQESQAVVDLLNDALAALLRAKPREFWRQVAQNDSLHEFLDSYLQFRHRWYDLPHRAPKGAVAGLVVGELELCRRVFMVLYRISSNKDPGAGRTESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNGKLTSTLVENAVSVQPNILDGINIALPQFLGIFHTMQQRCMKSLQVLTSAGANDSGYTQLQKDLSEVLDFVNDAIVTLDAFVDSYQPAALLFCTSFEMSYGVEELLNILPRLYDSLLPSLLHGFQAMSSSQSNCQTTSDSILSDMVLGLRMLSRRTVSFGWRLLEYCYLNDQLLEHDVQACAKMFPAKVEDPMIRGDIIIQTLKDINREATYRQDHPGKTFLQALEKEFKLMSRIRDIRKKGWIHMDDEQFQFITRVCGATLTSSNSVPDLLVSSYGGEVQQKDEDTAIGESKLSQIRDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTTLDEIPQQKPAATVGKDKGKGILVETEPQIMNKPHKVNTEMQRIVEDSSSSVSSASQGSSSASSVPQGRFTRKTDDDVPDSATLDSQKAKDAIRSAVLESQYEYEDEYDDSFDDLGFSVVESSYEETDGANDAETSSQGPRWSSQKKPQYYVKDGKNYSYKVAGSVAVSSAREAQVLRNIQRETIHGLGRGGNVPLGVPNRQHRDMEEEEGNANNFGRGGSNLGKGGSNPRDGHGRRGSRDHGGPTQEGENSNGPPGFGRGGRRGGRNHGNQPEVNENLNGQQRGFGRGAPRGGSRNHDHPAEDSEDPDAAQGFARGGPAPRGGGGGRRGGGRNNHYRRDRAMKKHMQGLTGI >OB03G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4797161:4798480:-1 gene:OB03G17840 transcript:OB03G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHSAEMSKHLDKQNQALMETYRAMSHELHRLQVEEETIMRKLYELMSAEGLLPKRKKESEVQKAGRSTQDNKELEQ >OB03G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4800617:4800805:1 gene:OB03G17850 transcript:OB03G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSPRTTAPPLAGDQRRRWEEKPVLGRRKGGRGEAGSSLAGCRQLAVAYGGRGRREEREE >OB03G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4802566:4803967:-1 gene:OB03G17860 transcript:OB03G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSEASWHSFDPSVAMEDSEAMAQLLGVQYFGNEQEQQLRQPPATYWPGHEAADQYYGSAPYCYMQQQQHYGCYDGGAMVAGDFFVPEEQLADPSFMVDLNLEFEDQHGDAGSSGAAAAGGKMTPACKRKAEDHRDESATTDNVSRKKARSTAAAVQKKGKSAQCKKAQKGACSRSSHQQERDGGGDGNVQSSSNYLSDDDSLEMTSCSNVSSASKKPSSTAAQHGAKARAGRGAATDPQSLYARKRRERINERLKILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPPQQQ >OB03G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4812870:4821207:1 gene:OB03G17870 transcript:OB03G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) TAIR;Acc:AT3G28030] MGVHGLWELLAPVGRRVSGGTLAGKRLAVDASIWMVQFMRAIRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKDKQVESSKMEEIEKTNEDQNKNGDGENSGATVTPIDQEKLDELLAASLAAEEEADLTTKGKQYTASAPLREGADIDEDNDEDDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRRDIDEVQRCAAGKGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHTVDSIKSKREINPAFFKSNPTSSSGSTKPSNSERLGSFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQVRNRGHNNAVVEGSANNEDPPDFPEHLFESNGLRSSLHLNEDFDETATDNYHTSSLVGQDIISEGTSVGSKETIEISFVDDQTEVNDNDEQIFLHLVSGTSSNLFTTDDIFPKSTEQMDGSACISKELLEDETLPLQIGEKDHQTSLLDDCGTDDEIEWEEGGCDVPGGPSSNETNQPKLPKGDLEEDALVQEAIRRSLEDFEGQEPENVTPKDLQASFEDKLVESYDDVPEPASAAVNTADKIGKEINSDENDIVHGLLVVDGQENENQTQLENNDGWVNNNSAYLSDPLPSCNMTISTAATKSPDSSEVQHHSSVLHTTRTPEWSKNDSDKVITQNSSITDKSKCKTNNSCIGESSRSPQKDILIDELVVDTAIQNQNANQGAMDFSTSEMYYTKLNDNAGINSVSTANLEEELSILRQEQVYLGNERRKLESHAESVSSEMFAECQDLLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMALLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDLTLLGKLGMESGSSSKKRKSEGNHSDGKGSSLGPQCIEGSDDNQSSNEIERIKEIFMSKHRNVSKNWHIPSNFPSESVINAYISPQVDDSTEPFSWGRPDSGLLRKLCWERFGWSKEKADELLIPVLREYNKHETQLRMESFYSFNERFAKIRSKRIKKAIKGITGKSFSETDEVDQDSPSTSNANKNKERSSSGHARAKGQKTKDGGPGNMGSQDHKITNSFADADEHTTHKRTAGKKKIGNPSSRSRGKGRRRMDDAHVVGGSQEGSEVSNLASDEVSHIRYTNNYETEGLAMRRSNRKRKQVMYAEDGQEADDNDVSMHQIDENQRQGSLNEDMDHMDGHDALSNLLHQDTSELGSDQMHSGPSGMNEDPSRFELREDSPMDSAPKDYLFTGGGFCMEEGDEQDMAVDQSGGEMEHGTRDACEGIDEVSGGGRTASYSTTGEFTGNADTEVPGAPSSQGRNANRGSGTMPKPTTTKRRRKS >OB03G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4822203:4827355:1 gene:OB03G17880 transcript:OB03G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTMEELAVYNGTDDSLPILLGILGSVFDVTKGRSNYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDSEGNPTKYLKGIEVKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCDAGYPRLVRRPGDIALTGQVSQRCACLKEEELDKPGLVVYEGCDYLSTSCKVS >OB03G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4834441:4834807:1 gene:OB03G17890 transcript:OB03G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARTGARARCKWPFLRFVERARDRLLGEQCGGEECGRVVYEWCIGHKILRDSWFIKTKLARRKELY >OB03G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4833616:4838127:1 gene:OB03G17900 transcript:OB03G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIAATELVSDVTVRIGTTRFYLHKFPLLSKCARFQKMIPTTGDENIEIQTHDIPGGAKAFEICAKFCYGMIVTLNAYNVTAARCAAEYLEMHETVDKGNLIYKIDVFLGSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWAEDLTDLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDNKNAQKHNGGEVDGHVQAPSASMIKVAKIVDGYLAEVAKDPNTPILKFIHLAETMSVNSRPVHDGLYRAIDMYLKEHPSLGKSDKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDDADKNHCNGKIGSNGKAKGGATPKKAALGKTTTPSKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPTAA >OB03G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4843565:4845129:-1 gene:OB03G17910 transcript:OB03G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDIREYDPSRDRAGTEAVDRECDVGPTGGMSLHADLLGDPVARIRHSPDYLMLVAETLGPGGRIIVGIIRGTVKSVATGKSCPGAHAVASVGYILGLRVAPSHRRMGLALRLVQRLEQWFERMGAEYAYMATDKSNEASLRLFTVRCGYSKFRTPSLLVHPVHAHRLRVPRRAAVFRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGRAAAVAVEVAACDPLRRRIPHWRRLSCTEDLWCMKRLGGIEESDGWDWSRSPPGFSIFVDPREV >OB03G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4852716:4853015:1 gene:OB03G17920 transcript:OB03G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRWRVGLLVSSSNTGNQVYPCVASLKENLAWDAATPHHNNPSHRLFEIFSWRMRMRHHYMPWGKLE >OB03G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4852880:4857157:-1 gene:OB03G17930 transcript:OB03G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSTTSLSPSDPAAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSSGRRSFSDSQMSPRLPGRSPSPSRGSRGMASPSRGRSGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFTAEKTLHSAWKEISKLRDNVSSKRSKLQLLKQKLKLFAILRRQIYYLDEWSHIEKHHSSALSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSICTLLSKVEGTSSVVSELAKLATQEQMLLDQSRDLLSMVAAIHVKQCSLQAHMLQRKQKQSQTRL >OB03G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4861929:4862195:1 gene:OB03G17940 transcript:OB03G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRTLIYKVSKEEKIKYLDSEYVQMFSEGTDVYNRRLFNQFYPVYYCINVGTLVLTDGIQRFRAEHKQAHSLIIFGNHKTLQAVSL >OB03G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4873592:4879277:-1 gene:OB03G17950 transcript:OB03G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:J3LL69] MCLASPPAMFPDEPTRQQKRDAKELMAIISRLYPCKECADHFKEVLKSNPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGELKMKRLPLFVLLVVTFAGGGNMSAGMSLDARSMAKSSDHQAYGGIELNGRRLQERRLDSTNRKTRSLEDVRIDDYRPVDPSPSSKATIGAGPIEHGTPLLPYVPRPTPPPDHPAQSPVT >OB03G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4880520:4882060:-1 gene:OB03G17960 transcript:OB03G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTGALLMAAAPSAISYENNKARSAKLLSGDTVNPPTRPRAGADGPPHGLSLVAIARGHSQRAAPPRDPPARVPAGNAYGSMDAWVGWKAMRLNIMITVNFDLPAMHPCTYSARGVPGAVTRPAAASCASCLVATPPCR >OB03G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4882911:4883234:-1 gene:OB03G17970 transcript:OB03G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAVSDYFLVNFSSPLQFTSLILIFLSDYLVVAMITFTLELKFRFFWVFFLFFLGGGGQEFDQKSIKPQFLIQTSVCSGRHSTVQKKIITRGKVEDKQIRRDTCYF >OB03G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4883173:4883934:-1 gene:OB03G17980 transcript:OB03G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRVWLGVAARFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTSTAAAGMGAPPPARRHSRFRQPRPWTKSICLCAGF >OB03G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4891569:4894545:1 gene:OB03G17990 transcript:OB03G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LL73] MKDLPGMPGTPGGLGLRLVQFVFAAVALAVMASTNDFPSVTSFCFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGITAALTFSAACASSGITVLIDNDLDLCSENHCASFESATAMAFLSWFALSPSFLLNFWSMASG >OB03G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4895489:4897009:-1 gene:OB03G18000 transcript:OB03G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQKLSREACAHAAQNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLPKKPGAGGSSGFMNSMSKKLGRLNPFLRSDLLGGGRVRTKPPKDRRHSIS >OB03G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4897006:4899746:-1 gene:OB03G18010 transcript:OB03G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAEARLFSPAMKRTGDWILSQELPSDITIKVDDAAFNLHKLPLASRCGYIKKQVTGVGGSKVTHLEITGMPGGTKAFELVIKFCYGVNFEIAVDNVAMLRCAAEHLEMTEECKPRNLVGRTEAYLEEVALASLEGAVTVLRKAEELLPASEKARLIGSQFGMSLGPPGGGGGGYNGVSAAMSREVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMQGRDGKKMGARQEHEKRVVLETIVSLLPRENNTMSVSFLSMLLRAAIHLDTTLACRLDLEKRMAAQLGQAVIDDLLIPSSSPDAGTTAYDIDAVQRILTGYLDQESETAPRLDYNTDDDFSSAASPPHSDVAQVGRLMESYLAEIASDENLSIDKFTALAELIPERARFNEDGMYRAIDIYLKVRSCH >OB03G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4902938:4904660:1 gene:OB03G18020 transcript:OB03G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGVMVPYLSSPTANLDDRVLSYIDNSNIGDYAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISIGSSVSRPSITLDEFSATDVFRIIDFGNREAPYDANRPSSSLAHPSSESDEEVSSIGTSSLKKSRGLSKAAFLRLQIEIFEASKDDNREASPECSICLDGFYDGDELIKLRCGHRFHSNCLEPWVRKCADCPYCRTNIQSRS >OB03G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4904568:4907185:-1 gene:OB03G18030 transcript:OB03G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLRAINNCFLPARQSPASSKKFDHVIWAVASKECSIERLQNDVANKLGLPLANLPDEHSDADLEQRALPIAEHLKNTSFLILLDDLWECFDLKLIGVPYPDGAGSERRRKVVLTTRSEVVCGRMKADRVVNVECLKQDDAWTLFEMNATAATIASHAAIAGLAREVAGECRGLPLALITIGKALPAPATTAPPAAIAGLAREVAGECRGLPLALITIGKALSTKTDPELWRHAIDKLRDAHLHEITGMEEENSGMLRVLKLSYDYLPTTVMQECFLTCCLWPEDSPIEREKLVECWLGLGLIAGSGSVDDDVETATRIITALKDVRLLESGGDGGDTQGIRMHDMIRDMSIWIASGCGATRNKWLGRAGAGPRPASKLTERCRLSPAGSTERVSLMKNLIEELPARLPARPGVRVLMLQTNTSLHAIPGSFLRCVPALTYLDLSDTIIMSLPGEIGSLVGLRYLNAAGTYINALPPDLVHLTQLEHLLLSDTNMLDSIPRGVILGLQKLKVLDVYASKYTGWRLNADDAGEGGEDSAAADASLDELESRNASIKFLGINVSSVAALRKLSSFANVSTRRLCLKDMAGPASLNLLPSTLSDVLGGLDMLETLQHLAIRSCAGVKDIVVDAAGANSDDDLCRAYRLPKLERLRLLRLRHLETVRFHHTTAAHVFPALRRINILNCFELKNANWVLHLPVLEYLELHYCHNMVAIVDGGGEAAADRRAPTFPCLRTLAVHGMRSLGCLCRGVPAASFPALEILEVGHCYALRRLDGVRPLKLREIQGSDEWWQQLEWEDDGIKDALFPYFKNHS >OB03G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4911957:4914681:1 gene:OB03G18040 transcript:OB03G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPQVVGAVSRSIADRLLADIDLASSAGTNVEDVTDAITRLTSIRTDLEASMRRLPQRRRPEEVRDWLSRVDGAEKRVAKLRRDYQRRCCSCGCGGGGGAFSLNDPDRRDEFDYVIWLDAPRDCAIGKLQDAMADRLGLSALPDGGVLDHRARPIFEILRDASFLLLLDGLTKPVYLADIGVPHLVHDDRRRQKVAMTARTKGVCGRMSSSQRIDMQCLDSHHSWTLFRAVARDETINADPRIPELAKEVAGRCGGLPLALPAVGGAMRCRKHPQEWVSTECSIATSLWPEDHAIDKNELVECWIGLGLVGESLPMDEAVRTGLAVVNELEEANLLLPGDAAGEVKLHGVTRAVALWIARDLGRAPNRWVVHTGGVSLRSRQKLAEFFERARGAERVSAMRSSVELLRPMPPSSPCRSLSVLMLQHNAALRDIPGGFLLGVPALTYLDASFTAVREVPPEIDALSSLRYLNLSSTPLESVPPELGKLGQLRQLLLRHTAHLSMIPAGVLRGLPSLDVLDVCPSRYTEWCGAGGGASLDEVRSSSAFLRSLGIAVATLAGLRTLRGLDNVRTRRLLVTRVAATAPSVTLRPSALSLLETLHELTVANCSGLQELEIAGDDDGTRRSTWWRLPELRKLEIDELHELRTVRWTRTDVGAFLPALRWVKISRCNMLRSVSWVVQLPCLEHLELSHCSEMVHVVDDAEEEERQKGELPEARTFRCLRMLLLVELPVMSSISGGAATSFPRLETLQIAGCHSDSLGELPVELHKKLK >OB03G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4912878:4913662:-1 gene:OB03G18050 transcript:OB03G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAALCCSMRTERLRHGDDGGIGRRSSTELRMADTRSAPRARSKNSASFCLDRREAAGVHYPSVRRPAEVASDPQRHGSRYTVQLHFAGGVAGQQQVGLLELVDDGETRAHRLVHRQRLAHQPEADPALDELVLVNGVVLGPQGRGDGAFLEHGMTQIFVALLQHPQHGCRLLARGMAAGFSPVSIPGILSSSRFLRALTVLTHSCGCFLHLMAPPTAGSASGKPPHLPATSFANSGILGSALIVSSRATALNRVHEW >OB03G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4915337:4918485:-1 gene:OB03G18060 transcript:OB03G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQQSADRMESVYRIWVQGRSGGDSEAAAAAAAAVGGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDKFFCSGKDTKARRDDFVAAIGYRILEVENNLKESNVSEGRGALRWIDLDEDERIDLAAFLSASSFQQQDKVVTLPSVGDIEVGNNAAMVKKDMSADSSKESGSAELSSARAKEEMRRGHRRAASAHADIGSWTMLSPNECESAADLSYDGQHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMAQGSDGCFERSKSCASTCDGSICNKKLYGWLGALHRRLQRSQYQIRYGHPVQLIVLAAAVLLIFMCVFKKIW >OB03G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4919309:4921694:-1 gene:OB03G18070 transcript:OB03G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L51/S25/CI-B8 family protein [Source:Projected from Arabidopsis thaliana (AT3G59650) TAIR;Acc:AT3G59650] MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPDFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >OB03G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4922363:4926945:-1 gene:OB03G18080 transcript:OB03G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARVYADVNVHRPREYWDYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTTDERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLNIVRDQQSKTPSLIFEYVNSTDYKVLYPTLTDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHDLRKLRLIDWGLAEFYFPEKAYNVRVASRYFKGPELLVDFQSYDYSLDMWSLGCMFAGMIFRREPFFYGHDNHDQLVKIAKVLGTDQLYAYLNKYRIELDPQLESLIGRHIRKPWSKFINPDNRHLVSPEAIDFLDRVLRYDHQDRLTAREAMAHPYFEQVRAAEESRMRP >OB03G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4931376:4932784:1 gene:OB03G18090 transcript:OB03G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGALRRWLPCCCCGGGGGGGGGAGKGKGSVGDGLVWDVPLKAHASGDYSVAVAQANEALEDQAQVLASPAATLVGVYDGHGGPEAARFVNRRLFTLIQEFAVENRGLSAEVFEKAFGATEEEFVATVQRSWPSQPRILSVGSCCLVGAIEDGTLYVANLGDSRAVLGRRAAHGKGKNRVVAERLSRDHNVADEDIRRELKETHPDDSHIVLNTHGVWRIKGIIQVSRSIGDVYLKKPEICKSSPMLQQSICPFPLRRPVMSAVPSIKTRKLRPGDQFVIFASDGLWEQLTDEAAVHIVASGPRKGVAMRLVRAAQLEAARKKDMKYESIRTIEKGQRRHFHDDITVVVLFLEKCRGKGKGKGKGARPGHGDEIDGTYGPVDVFSLSPDDQEDPARPVLR >OB03G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4933086:4933238:-1 gene:OB03G18100 transcript:OB03G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIGLTTFKNTGSGRSRRDKKKNTGCLSTEKLEQLVLFRIPNLQDTTH >OB03G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4946737:4948486:1 gene:OB03G18110 transcript:OB03G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGSGSGADGEDRKAEEWASQLQCWSLYFLSCIASRDVSSHATICQDHVFLRELCQMWGGLANGDSPAGVGLLRLLCRSAVGRGAIAACRDALHGLCVLARSSDDWQYMAIDCLLLLLDDRDTWHAVADATAARLADLAELRHLGPRRRLGNAITAALLIDDDNLVRGRELGMEANEAIANLREVQVERKAREDDMSRDELLKRRIMVKEKKRQGNDMFWHGEVEKAIDLYTEALELCPLSRRRERLVLHSNRAQCWLARRDADAAVXXXRAQAYDMKGMARESLLDCLAFAGAWLNRKDGGAAAAAAAARGGEPKLPYCVARMISKQMSLTGLFSAVATNGANNGGRDDRMPHYNYSDDDGGGGDSVDGDEEGDDVDHDEEGDDVDHDESEEEFADKELKLCRSGKGLPIITGQAWRRLARRSNKTSEMLSHD >OB03G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4950749:4954753:-1 gene:OB03G18120 transcript:OB03G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21060) TAIR;Acc:AT3G21060] MNVPIVDPLQGDFPETIEEFLQYGSMKCIAFNRRGSLLAAGCANGTCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLTLWHVVNEKKIARITLQQTPLSVRLHPGGPPTPSICLACPLSSAPVLVDLNTGSTTVLPAFVSDNGNPPAPNSRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKVHAVVPIPGGTVVKDIVFSRDGRYLLTNSNDRVIRVYYNLLSVKGSGKEIEKISSNNNSYENNYEKLKANGASCLTISCELSDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNAYVEKAEEQLINEDEHVDIETYDKDSTFSDLDDSTTMELIYLAAIPIPDTPDEQPDKCLGSSSKLEDSNHSGSPSSLDAVQNGQAIPPASSPMEVDNSTAEEPAEAANSKRRRRLSAKGLELQQAEKGKKPTTKNKSNGKSPGSNGKQVEPVNGNSSAVDDEATEDDDI >OB03G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4959113:4963284:-1 gene:OB03G18130 transcript:OB03G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPGAGGDGTTSSSSHVEYDERAYDSDDKVSIAVSDSDSEDGGGDAGARPAAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATAMGGLVQLLSARLGVATGKHLAELCREEYPPWAARALWAMTELALVGADIQEVIGSAIAIKILSAGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIAVMAMSFAVMFGETKPSGKDLLIGLVVPKLSSKTIKQAVGIVGCVIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQEKYGTAYFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRSTITRSFAIVPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTIFLMLINGYLILSFYATEIQGALVRSSLCIVLAVYLAFIIYLIVRNTSLYSRLHSAMTKSS >OB03G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4971533:4972978:1 gene:OB03G18140 transcript:OB03G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02420) TAIR;Acc:AT1G02420] MESALSASAVPVSASLLDGVLRRFRFAHGDPLRALSLLSHAADRHGVVPSPFTLDTALYVLGRARRFTHMWDLLRSSRRLSPDAITPRTAMVVLGRVAKVCSVRETVASFRRLLRMFSGRGDDQVGQFFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGIEQAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCYPDVAAYNAAIRNFVIAKRLGEAFALMDEMASKGLMPNATTYNLFFRCYYWAYDITSAWQLYERMRSEGCFPNTQSCMFIVRLCHRHGRVAQALELWSDMVNNGFGSFTLVSDVLFDLLCDEGKLEEAERCFHQMIALGQKPSYVAFRRIKILMQLANREESVARLTAQMAQFGLLALEDCQRIRHCIRIVMELMLML >OB03G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4973251:4973421:-1 gene:OB03G18150 transcript:OB03G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRVKHDFSMIIHTNTNSDLILKKKSVSKAIVILRPGIQLAEITLMMEYHLSQQAC >OB03G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4977005:4979397:1 gene:OB03G18160 transcript:OB03G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMAKCLDTARFSAVEESRRPIISYGQEIKGDMLSIGMRGRIVNWLVTASYAIELLDDTVHLAVSYFDRFLSKRAISQDRLQLLCVTALFVASKYVEIDHPKAATFSAMTKYTYSKQQVVKMEADILICLNFEMGTPTVITFVRMFLTSCCEDNRSLNAKKLKSMCIYLAELSLLGDCSIKFRPSVVAAACLFVAKFTINPKIRPWSLAVQRNTGYKVSDIKGCIVDIHNLQSGRKFAVTAIRDKYRVDKFQRVSTIIPKKIKESFLRNIKYANG >OB03G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4981849:4983480:1 gene:OB03G18170 transcript:OB03G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLDTVPFRCSELSFQFQRADPTRRPMINYDQVIQQGHITMRMREMLLDWLVDVAYDREISDEGLHLAVSYVDRFLSMNSINRNRLQLLGVTALFVASKYEERSYLSARKLSDMTNRTYTAKEVVVMEANILRDLDHKLGGPTAITFVRTFLSKCRGKKPRDKRLELMCSYLAELSLLDAYYIRFLPSMVAAACLFVAKFTINPKTRPWNLSVQRSTGYRVSDIEDCIRAIHDLQRRKNRNFLPEDGNVSNLTAVRDKYERDEFERVATIAPPKTIRASFLKDREYVNG >OB03G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4986138:4990988:-1 gene:OB03G18180 transcript:OB03G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLVGFYEEREFALYVSSISNELRVPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFKAIEDVLIQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKKSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGSGARLIHCIILDDVEIMENAVVIHSIVGWKSTIGKWSRVQGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >OB03G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4992361:4992780:-1 gene:OB03G18190 transcript:OB03G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSFRGLRLHGLALGSGGGGFPLGRRRGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGELFGGHPRKLLEDKKNAQTSKP >OB03G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4997396:5000364:1 gene:OB03G18200 transcript:OB03G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRNNEIGLGLTGFGVFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLVVFLQRIPIIGWIFHQPFVTSFLDRYRGKRVPV >OB03G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4998320:5004154:-1 gene:OB03G18210 transcript:OB03G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LL95] MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAVQYVVMGLYTPLITSVVLLYIWCAATNPGDPGIFKSAEHPKLNKDGRQSQKNSDHGLSHGGKMSSDGFNAVDNSEKLSSMLEQKDSYSWSTFSEILCFPFSCLCKRCFHAGNQSSEQHMSEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFLLMTSAVLLLVMQWLSGVLVLILCIVERGEFSRQIVSKLGSSFSTVVFIIVVATCTVLAMIATVPLAQLLCFHILLIKKGISTYDYIIALREQEDDQQEEIAGHQSPQMSIVSSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMPQNSGSKKPKEDEGARRRTGTVKISPWTLARLNAEEVSKAAAQARKKSKILKPIARHDVPIGHDNIGGGKLVPKSDSNRRPDKRGRFPAELSLDPLTRLSASGTESNFSDAAIETSGNLAPLQFEARSAFQPSTAASTRNAASSPESSFDSPDLHPFRLSSCTADEMQGVIPHPAQSGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASAILSSGQGGPAADLQMPPSDG >OB03G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:4999744:5000328:1 gene:OB03G18220 transcript:OB03G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWAGCGITPCISSAVHEERRNGCRSGESKLLSGDEAAFLVDAAVLGWNALLASNCNGAKFPDVSIAASLKLLSVPLADSLVRGSRDSSAGNRPLLSGLLLLSDLGTSFPPPMLSWPIGTSCLAIGFRILDFFLACAAAFDTSSAFRRASVQGLIFTVPVLLLAPSSSFGFLLPEFCGMPISGGMTSNCAQTE >OB03G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5003531:5003820:1 gene:OB03G18230 transcript:OB03G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECRDPSPHTGPPSSPRTAQRRHRRQNPTPRTQLSPPPAEDGKAAATHASSF >OB03G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5012446:5019981:1 gene:OB03G18240 transcript:OB03G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) [Source:Projected from Arabidopsis thaliana (AT3G55400) TAIR;Acc:AT3G55400] MGSAYTTIAADAIARFQRLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISNSYKMLWDDLDIEYDKFIRTTDPRHEAIVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELAENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNIENLVDKAKGQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQPSSKATKGGKKKAKSHGLVEA >OB03G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5023059:5034276:1 gene:OB03G18250 transcript:OB03G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQPAENAGQYKVCRCGEGDPNIQSTDTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAHAPAAANTVGQNPIFSTDIAEPTEAPSEQPEDKSVIGRPAEFSLVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKIEFSQEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNKVIYEVFPEPNRELLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDNDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLNDGSCSSDAYTESEDGDFDKEYSTENDVPEEDGSYDSGEDNIEEDMDDNTEHSSGASECDNNVKKNYSGTASNVENTSQMESNDPSTRKQQLYESNGSTDQTEKLNVHSSSSRAKFMEKSCISRNKSKRTSWGRTSARKDMSADEIDYCSDDETLIEKLENNKMDLQSKITKEVKENSILQGSLERRKEELHERRLALEKEVENLRDQLQKERSLRASLESGLMNLRRGQVSLPSTIDSKTKADLEEVSTAEADILNLKQKVSDLRGQLNNQVQMSCTSLCDSCNKRLLNTDKLSEDEQNTASSNVGPNSISDMADIEQYRKQTRQHMSSGGMASNEQSSTFGQRAQRLLSSKGEIVKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSGTRPEDSGAVPFALAKLTSRLNFLKERRALLASEMQNLNLARPPGPTAPTPKRDST >OB03G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5038489:5049244:-1 gene:OB03G18260 transcript:OB03G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPSASSGVTRQLDDERWAVAEVRAAELIARIQPNEDSERRRSAVYHYVRRLIMNCLACEVFTFGSVPLKTYLPDGDIDVTAFSGSEELKDIWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALINQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYMYAVTPHILESQVQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAVSFGAKRLAKLLECPKEDLISEVNQFFTNTWIRHGTGNHPDTPTLSLLHQLPLKVAPAEASNSQRSAMALKKSSENPNLHANQVNLSESANNYPEATSQVSEQLERNRSDGSMENERDKTVQNSLFANDRNGQNKSRLARAHSSPELTDPSVEGYSCGRQTRVVEMEKSQKVDYNSRRNNMAPEVSSSHITKSSQDESISSINSSSHHSGKAASYSNSVPSSYHEDNGFIMSEELPSVSEASEKHQEEQVLVNLMSSAKLHELNGQIQLPMEIPSHFSAAPSPLLAPTSFPQKHFPGIPPTSLIGAPWPNMHLLHGFVPPPMTHYVQNHTFPPNIEEGNESEKLITPDANRDDGNNWHEYGVGLPRYFNEQGRDPQMCHFNGKDQPSSPNIVSGTPLGRQGEIAIEDNRAVEDSYTNMFQNQTSRQVSINTPMGSGNARIPSPSGLSGNKAMPENSWDELAGNTTKPLRDKWEKRPAFARPDTTAHSKNDTCWQIGNSSEHIPPEVDDGARNGVAIPNIRHETSDIITRSGPIASRTSQVPNDLEPSQIGMPNPVFAPFFIGSSQQRQAGSAGLTYVQTGPAVSLVMLPYVPGNSDGSAPQFERNEVVDQLSVNIPVQNFTSLNDVHHPDMNSTSTASSSAIGDPSDDQKPDILKGDFDSHWKNLQYGRSCQIQRSMNHVLYPFAVPPMYLQGHVPWDGPGRPPSTNVNWTQMVPPGQRIIPVMPLQPPERITGVPQHNAEDLPKFRGGTGTYFPNPKFPYRDRHSSSRNHRGSYNSDKGDHNDKEGNWINSKQRNPGRGYGRNHSERSGMRSDRQTADESQYDRQRRPYRNDSYRHEAGTQSSGSTNYIRKQGNMMHEDPLPSASNGIGALCGPSTPYVTHYSSEAGTNHSSSSEPLEFGSLGPVPTADCGSMPQPTSQAMPNELYGQRHVAFRGGSSHSSPDQPSSPQTRR >OB03G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5057732:5058094:-1 gene:OB03G18270 transcript:OB03G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LLA1] MRTRSLLLLAVAVCAAATAAARGASALIVGSWDPIRDIYDPHVQELGSWAVAEHVKQAPGDAGLTFRRVTSGETQEFDGMNYRLVLLAGRGGGDDGSYTAQVLEQDWINSRTLVSFIPSN >OB03G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5059106:5059735:-1 gene:OB03G18280 transcript:OB03G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LLA2] MRTSSLLFAAVAIAIAVAAIFAAAAAADTELGRWAVAATGLPGLTFDRVTDGEKQVVAGVSYRLTLEASSSGAKDGRYEAVVYEQAGGSNSRKLVSFEPIH >OB03G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5061402:5061770:-1 gene:OB03G18290 transcript:OB03G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LLA3] MRTISLLLLAAAVAIVAAVCAAPAAAAIAGGWTPINDIDDWQIQGLGRWAVSENNRRSPSGDALTFLAVTGGEQQVVAGVNYRLDIDASSSRDGIGGSYKAVLFEGLGGNTRRLISFEKNHS >OB03G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5064829:5067167:-1 gene:OB03G18300 transcript:OB03G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLESVSSSSYLCNAAVANNSSTHCLIQLQEIENLTKELARCRLQLEVKENEKMQANLKLETLRNVMQELSGEYENRIAHLEADERMIARQQPEASEECRALRDELTVVKDELDAVKSSNALLLREIELMETTMVLERENTKDALSHILRLNEYVLASAVAAIRAEEERSVFFQEIALELFNSDKNREVIERQLEMVESLESELVAKTVEIAYLQSELQQVKEHCVSSEAAAALASETMARNQEQQATEAARGNADAEAVIAGGGGGLVTLVSREDGGEQLYTKEIENDQQAAGLDGYVLVAKSNADAEEELKGKLDAAQAEIGHLRAAGLDGYVLVAKSNADAEEELKGKLDAAQAEIGHLRFSLEEAVRRAELAEKAKAALERALKEDIQKKAQRPRSQLPKTPSRAPAPLAGLRSSPGGGLDGRPLPGGCLTLGKVLNMKYK >OB03G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5068107:5068793:1 gene:OB03G18310 transcript:OB03G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGSVVCAGSVVRLLSCNRLLRVSCRRCRRRCHCGCGCCACHCRWLCIGLLCYLSRVRWRICTVSSRLRSRLRHLMAVVISSIRRIRSLQSKQQLPIVGLMLQRSGAKLED >OB03G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5067893:5074592:-1 gene:OB03G18320 transcript:OB03G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MEQDQGVAAAALEGPSAGHGAPDSGSAGAGAAASSIASFDSATANPDANVYSQNPGSVPQADGSQGADASMYPAEHAPLNGTSGQTADYQSAGVTENGAATNALGEPVQEQLYEDAVLSAEEARLWNAVTANCLDFNAWTALIDETEKIAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPIQQLDRYFHCLKELAATRSLSEILTAEEATMYIVTAENTAQTLDGETHTDDIDMPAQPEISGSADADNLAKYVSVREEMYNKAKEYESKIVGFELGIRRPYFHVKSLDNPELENWHNYLDFIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEGRGSLELANNALARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSELYPGLLEAIVKHSNMEHRLGDKESACLVYEKAIAAEKEKDQSRILPILLIQYSRFLSLAIGEAEKAKETLTGFLEQSNLTKSILEAIMQLESIFPSEKRIEFLDSLVEKFLMAEPTEGEATSLADKEDISSIFLEFLDLFGDAQAIKKATNRHIAHFSRKRSILLSKKRRADDAIISDREKMARTGDVTQPIVGNDPNAPNPPVWPPTSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQTAAYGAYPPTYSAQAYTQQTYAQPAAMAGAAPAPAVAPAPAPAAAYPQQPVAAQQPYYGAGTYY >OB03G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5077501:5080449:1 gene:OB03G18330 transcript:OB03G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT2G03350) TAIR;Acc:AT2G03350] MEKALTKIGSFTISRKAKQELSAIGDDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYAPRVKATLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLKQKDAYEAPREAIAVEEF >OB03G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5081351:5081602:-1 gene:OB03G18340 transcript:OB03G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEISNAKSKAFQITFRSQSVQKLSIFLQWFNLINFDNTIERIEAVIVGASLLLPSSLEIYSPNTEAVAAAAMFSKVDKGCAG >OB03G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5082871:5084148:-1 gene:OB03G18350 transcript:OB03G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDEDGXXXXXXXXXXXXXPSGGGSGDLVSSAKLVADAAKSVFQDKNLDKVDKGRVAGAAADLLHAASQYGKLEGKPAGNYLEKAEEYLHQYGRKEGSGGSGGGGGKYQEEDGEGKYKKKPGGGRYEEEDEYRKKPPSGGGGGGYGGGRYEDEDDYRKKPSSGGGGYGGGRYEEEDGYKKKPASGGGGYGGGRYEEDDDYRKKPSGGGYGGGRYEEEDDYRKKASAGAGGYGGGGRYENEYQKKPGGGHGGGRYEEDDEYRKPSGGYGHGASGGGGDGGRYEEEDYKKKPGGHSGGRYEEEEGYKKPGGHGGGKYGKEEGDEDKKKKKQGGDESEGGIGDYLKLAQGLMKKQGGEGESGGGSGGGMGDYLKLAEGFLKKR >OB03G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5085843:5087148:1 gene:OB03G18360 transcript:OB03G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAAPPVAAPPPPRGRCSAAAPERTAGHARLPLAVVPAAAAASLSLALWSTPVHAGILSGFTGLESVPGPDLPRVEFLEKWNAENQKKYAEFDSRFKSSQVLKELLEKSKQNKLKNERQIQDKYCLRGAEWGVGDCSTEGMSDQEKEDFIAELKKRTGAE >OB03G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5088008:5096082:-1 gene:OB03G18370 transcript:OB03G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPNASAGVTRQLDDERWAVAEVRAAELIARIQPNEDSERRRSAVYHYVRRLIMNCLACEVFTFGSVPLKTYLPDGDIDVTAFSESEELKDAWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTVEPPRMDAAELLLSKSFLDKCSYAYAVMPRIQESQVQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDIISEVNQFFTNTWRRHGTGNRPDAPTLSLVRQLPLKAAPAEASNSQRSGMALKKNTENPDLHANQVNLSESANNYPEATSQPLQRTVLQSRNSLRTANPSGSHTHHQKVHVTHGNINFSVQLERNISDGSMQNERDKTVPNSLFVNDRNGQNKSRFARTHSSPELTDPSVEGYSRGRRTRVVEMEKSLKVDYNSRRNNMAPEVSSSHITKSSQDESISSMNSSSHHSGKAASDSNSVSSSYHEDNGFIMSEELPSVSEASEKHQEEQVLVNLMSSAKLHELNGQIQLPMEMSSHFSATPSPLLAPTAFSQKHFPGIPPTSLIGAPWPNMHLLHGFVPPPMSHYVQNHTFPPNIEEGNESEKLITPDANRDDGNNWHEYGVGLPRYFNQQGRDPQMRHFNGKDHSSSPNIVSGVPLERQGEIAIEDNGALEENYTNMFQNQTSRQVSINTPMGSGNARIPSESGLSGNKAMPENSWDELAGNTRPLRDKWGKKPAFSTPDTIAHNKNNTGWQTGNFSEHIPPEVDDGARNGVTIPSIRHDTSDIITRSGPIASRTSQVPNDLEPSQIGMPNPVFTPFFIGSSQQRQAGSAGLTFVQTGPAVPFVMLPYVPGNSDGSAPQFGRNEGVDQLPVNIAVQNFTSLNNVHHPDINATSTASSSTIGDPSDDQKPDILNGDFTSHWNNLQYGRSCQNQRPINPVLYPFAVPPMYLQGHVPWDGPGRPPSTNVNWTQMVPPSQRLIPVMPLQPPPERITGVPQHNAEDLPKFRGGTGTYFPNPKFPYRDRHSSSRNHRGSYNTDKGDHNDKEGNWINSKQRNPGRSYGRNHSERSGMRSDRQTADESQYDRQRRSYRSDSYRHEAGTQSSGSTNYIRKPGNMMHGEPSPSASNGIGAFSGPSTPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGNMPRPTSQAMPNELYGQRHVAFRGGSSHSSPDQPSSPQTRR >OB03G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5100494:5101966:1 gene:OB03G18380 transcript:OB03G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEAGEDRATTVAAAKAGETASEAVITGAWGRECRSNTGQLAAPAICFASGGERRGMPRTHLVRYSGDWSRIGAISKDTEELLKLGAYAVVPLCVAFVFLPSSQDSSDVES >OB03G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5103726:5104430:1 gene:OB03G18390 transcript:OB03G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSRCTGPPTGGDRRVTQTGEIEGKKNGWSSSSSSLSSPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAAAPSPAAVARLPPRGGAGNSPPGSGSTRPSCCQLSSPGKPYFNFSALNFLIS >OB03G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5106722:5107504:1 gene:OB03G18400 transcript:OB03G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIRKKAQPSKCNQYNPDCSDDDVSSCASREEGLECPICWESFNLVENIPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHTPSHEERHSLCPSNGGPSSSQHHRRPAPRTESTAARERNVVGNVLNADSISASLQKLVLSFVQMTAKFPLVLIFLLIVLYAVPASVAVLVLYALITVLFALPSFLILYFAYPSLDWLVREIFN >OB03G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5115304:5117619:1 gene:OB03G18410 transcript:OB03G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFSGSTPTISAADSRKNEKTTPHYLRASKGSCHDLCKYGHKSPEEEKKFSTSGGRRKKLPSHLNNQTLHRSAILDRSKDVRHKNLSLVKSSISLGESECIVPKKTSANLKGSSDHLVPHSSSSADHKNVNLDGRKKHPTFAQKSSADSGSSNGVPKLDKKLAMPNKGTIAPAKLKLAEMPQLEKSRTLEKVRTVKQSSIKRPTSLPTKLNLIKQVPAPSQASSYLLSSKGKRVVKGELTSPSATLTGVRRSNSGKTGRSLMSSSKPSVNGKEALDMSISPFFVDSKMDVSVVIQEDDVQDSFTRCHHVESTLAGVSSHTTECVDESRREPEETTIPVSGDDGMKGDRKNEASGNETPLQGSIIVDLLQSSDNQELKHALNKYDSIHNQGDKNAINSHALEAEDSQTDSVVGNSLSTENSSETEADGVKVNASMETQFAEGNKEEGAHESLQESIEQLVVGGKHAEEPGSFLGSTSGNTVEDIKADEIFEGWTNSSSSHCQPISETSSDGELLVEPKSVQIEPSDSTVQADGLLISSTGDTFEQDELKPVIFLRQSPEELSEDEFYEEYDFVLSESDESCTEDEGAMISKNSEDHLKADGQLPKRTSTLEQDDASDTPYKLKFKRGKIVELEPDSNGPRRLKFRRRSASEVSSNEGQSARRIYRRNSTKDVGPSNLDVESPGVKLRHQDTQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGKPASSTPQAGNSPNTFHDDEGKAPEQAA >OB03G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5118369:5119676:-1 gene:OB03G18420 transcript:OB03G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDADGYDGAFAASIAAVAYAIAAQEEKLAAQKKPIPIDGQPTAPPLQPAIKRGESMKKATGGSKISRWFSGKEPVDEDEGPANVSVRRKDTISDQMVPPKKGSGSSGKLQDKKGSKKFDQEQVTQKAPSTVRPATSYHSRRNGDGTIGVTAVGAADTKTDEWEKAKLTSIREEYQKMIDTIAEWENEKKVKAKRQKELKEKELDRKRAKALEEYNQEITRINKIAGGARSMAEERKYNDEKKIKEKANKRRSTEKAPRTCACF >OB03G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5121936:5124694:1 gene:OB03G18430 transcript:OB03G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29290) TAIR;Acc:AT3G29290] MATVWSGCSTSFSQELPHSRGRRGCEGRGVRPWGGGGSRHVEAVGTVVVAARARVCRAAAPCVLEPDVTGNGEVGVGVRGTDDYFADAMKVFGFMKEKGMATGHSYTLILKAVAGNEGYFAALKMFNEIEESDRNNVDVIVYNTMISVCGRAKDWRQVERLWRRLGENSFSGTLMTYDLLVSTFVQCGQSELAIDAYQEMIGSGIDPSEDVLKAIIASCTKEGKWEFALTTFRKMLGAGMKPSIIVFNSIINSLGKAGQDELAFRMYHLLTSSGLKPDQYTWSALLSALYRSGRCWDALDLFQGIKTKHSSLLNNHLYNIALMSCERLGQWEHALQLLWMMEKSDLQISAVSYNHVIGACEVARQPKIALQVYQRMTHQGCSPDTFTHLSVIRACIWGSLWNEVEDILEEVAPDSSVYNTVIHGLCLRDKIRLARKVYMKMRSIGLKPDGKTRSFMLQHFATD >OB03G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5127818:5131838:1 gene:OB03G18440 transcript:OB03G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLLLVFICLHAPRWAWAQQPEEAATVIVKGSSKIAETDKNYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNISNGLFGFSVGCLSMDRWDKLNDLFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYDFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFRQLYKAPLSQPFLLAPGGFFDQQWYSQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDSYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGSGVLSVDINASRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDRTANLQRRHASFSHGLRRAXRPQEGGLMAGAHALERRRRRRREEGGVPSDGQGRRPPEQDDAAQRRAARAPGRRRRSGDEPRARRRRLAGVPCADVDRLCRSSDVRG >OB03G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5132853:5138350:-1 gene:OB03G18450 transcript:OB03G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LLB9] MPPPRRRRNPRPPPPESEHPSSSAGEDAAADLAEHAQHHHLEQQWRRGLDEDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESPSDKRIPESFRDFLLEMKDNRYDARTFAVRLKATMENMDKEVKKSRLAEQLYKHFAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSAPHKLVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDSWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAEWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHMLGLGYQENTDIESVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDKE >OB03G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5137751:5138368:1 gene:OB03G18460 transcript:OB03G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTIPRDLHSHRQRKIARPRAVRSELCRPRNGPNQKLNNSLQPNPETPPRSRETPILLTDGGALAAVGLERRHRGEDEGEGQEAAERHDGRERHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTFIESTTPLLLEMVMLRMLGEIRSCILPRRRRRVLRLRRRRPRVPATARRWHWAETC >OB03G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5145873:5151230:-1 gene:OB03G18470 transcript:OB03G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFFFFFLPVSLLLLLVLLAGAACGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDFIGNANGFPSLRNLYLNNNDLTGVVPDQIANLTNLEILHLSNNRLIGSISPKLVHIPRLTYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSRSKGTHKVLELPDADILV >OB03G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5156856:5160321:1 gene:OB03G18480 transcript:OB03G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G07910) TAIR;Acc:AT5G07910] MGCCSSRSSDSPASRVARWRSTGIVALRDARLKAVPNEVLQVGNSLRILDLTNNKIGLFDSLGREYHPIELHALHLHQFFLYLDRYQLIMFCFAVDIPQEVGRFVNMQRLVLTGNLIENIPANIGYLRNLKILALDRNRISVLPEELGSLSNLQQLSLSQNSLSRLPKSVGDLRNMLLLNVSDNKLTALPESIGACSSLEELQANGNSIEDVPSSICTLVCLKSLSLNGNKIRQLPQNLLRDCKALQNISLHDNPILMDQFQQMDGFKEFEARRRKKIDKQIDSNVMMSSTGLDEGIDLH >OB03G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5161213:5162222:1 gene:OB03G18490 transcript:OB03G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMVNPGLTDFEFFKIILPGSSRTKLKLPDKFARELAGRELRDAKLRVAGAGRRLWDVEVVADDADGEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPWGAGPSSSSATTAPPCSPSRSSTGPCAAGSTAASETTTTPAAEAAAATAIRRRGPGPPATTQRRPSSP >OB03G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5164179:5172054:1 gene:OB03G18500 transcript:OB03G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISMDKKQTSLGTPVYRTNPFDSDSDSEVPSRPSRAQSVPVRHIDQSMQELEEYAVHKAEETSSKVNDCVRAAEAIREDATKTLLTLHRQGEQITRTHRVAADIEQDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQIMADNSFTSSASHMEQRQRLGISSTRQQSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKDMALDMGSEIERQNKSLDAFGDDVDELNFRVKGANQRGRSHTGACHEAISSPRPRRAGAAAAAAVPVRWLRSLGRGGGGGEFDFEKCREAAREALRASHEAFFLKERAASAAAEEQLQKEEKEDEKSAVEESKNGSLEEEDVAELEGSSKVRALRTRVMAKALSSVPDSGAGRVKHLVQAFESMLSISGATSDADRAGEGSWALPGLQTLKEEGEGNVEMPPLSVFSSAEFLNAGPSRLCSSLDGKSDRLSWDSRTSAGGRKSRRNVRIRWILFHFGANYVSYVSSDSNAYSSVQSSESLRSSWNKKLKVTSQHPFKLRTEQRGRAKEQQFVQKVKEMLMEEEQRRIHIAQGLPWTTDEPECLIKPQVKEATEPVDLVLHSDVRAVERAEFDQYVSDRLKFAEHLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKRSSKPATVPKEPKFHPRPEKLSCNAWTLES >OB03G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5173507:5178150:1 gene:OB03G18510 transcript:OB03G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MRRPKLFALTDSPVPAHADHTAILAAPETRVTTLPNGLRVATESSLAARTATVGVWIDAGSRYETEEAAGVAHFVEHMLFKGTGKRDAAQLEQEIENIGGHLNAYTSREQTTYYAKILDKDVPRALEILADILQGSKLEESRIERERDVILREMEEVEGQHEEVIFDHLHATAFQYTSLGRPILGSADNVRSITKEDLQKYIETHYTAPRMVITAAGAVKHDDIVQMATKLFNELPTDPTTTGMLVAKQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >OB03G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5178570:5186988:-1 gene:OB03G18520 transcript:OB03G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMAAVVFAVVVVLVAGVVPSSLRGCIAQQSGGGGLTRGSFPEGFVFGTASAAYQYEGAVKEDGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIYPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYTGWLDRQIVDDFAAYAETCFREFGDRVKRWITLNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSGTEPYTVAHHLILAHAAAADVYRRKYKATQNGQLGIAFDVMWFEPMSNTTLDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVAERLPRFTADEAALVQGALDFVGINHYTTYYTRHNNTNIIGRLLNDTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYNSPPVYITENGMDDSNNPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGFSSRFGLYFVDYKDNLKRYPKNSVQWFKALLTST >OB03G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5193371:5194794:-1 gene:OB03G18530 transcript:OB03G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIEWELAAHQENEEGNNSVDDFFSKWYFPISTLYFRQESQKRPDKMQYPKATSHLFLRSRRRRRPDAEEHAAAPQPVDPTRRE >OB03G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5193406:5197165:1 gene:OB03G18540 transcript:OB03G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVIFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >OB03G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5198744:5201560:1 gene:OB03G18550 transcript:OB03G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAASPPTRPSHAAPTSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAEPPAPPLPQASPSLPPSLRYMPGMDLGALKDMPGIREKASGKLAHREEQYQNMLVSAYKEMVLATADLVKTSHSMRCFSKVAVNSPLIKFTERQDDMNDSGDGGGAPVFKWFSMLEFENLAHELVEMFISELQLKRLLALELLSLTLKEGVQHDASLEWSDELFDGEFNEFQSIGLLSGDSYPLPKSWSADVSQAWQPGQTRSHEVLQVYLTCWLANVNIKTSRIDEIFELVGEEMQIKLT >OB03G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5208435:5208659:1 gene:OB03G18560 transcript:OB03G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLYLLCIDLQTLLAVFTCHQRTSKSIYIIHLETQQCLLLHILYPFLLCLSTMVLNYNTDVDPLFLLTAYLRT >OB03G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5207010:5216935:1 gene:OB03G18570 transcript:OB03G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDNDYQMWQQQMMYKQLQEFQRQQQVQQLDHGARLQPSFGQFQAPAKPVPTDQLPAMSNEMPNNESTAYAWSHQLHASSDPGLTNNSQMLNPSSNTNWEQYGGAPGVGNFVNGSMFSNTQIQSMRPMGLAAHQMNPSLYQINTTSRDGSGNQHSQFLGFPTDPRSAMTRATAHQSEKASMQFSSLMSEQGSSNSMQNFLGKVGDNIKVGTPVPVNHLQHGVQLQDFRGRPTQVDFQVGLHEKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSNLQGHDHNKFTALSGANLENQRPSSASSYGDGTMHNPNFTGFQHAARTPYEQRDRMQHDSSNATGSNHQSTAEVNSGYFHQSMKQKQSDDYGRQEQMNTSNGLWTHQKPETPRSNSHSTGGHATPPSAHGFWMSQQNNIDHNISRESGSSQNDWKSKTPLAQDINSTPNVFNNDGNFWKSSGGNTNSVHRPQQMKPDISTLQMPNDRSDGKSTSMMGSNMSTLNQEQYQAIIGRTGEHVGLNNNIGRKGPEITGSLGRSAEQKSNDHNQDYLNVLPTERQGHSSNHGQHVSSDLAARRHPFFSGKESQNLGQSSGQQAMGSYMLQNHAMDNSGMNIRHSPGNSVSNQFPPQSHQTHNNLKPRFITNSQASSNVSPVNEKMLMREEQFKSRYVPNSSSAPHFGGSDAGLPQNRAIQNSQHMLQLLHKVDNSTDSNTAADLPTSSPDNIANVQQQLNQSSLQNFGLRLAPPSQRQLTPGHVWSTRTDVDGKQPEHSTKGEDHTQPSAASQSLAAGHPSSQPTPFNSSEIDGTGQQTGQFPQFGSVQQYPVSDARSGSVAMPQQGSSATVFKNVWTQISAQQRLAGMQSNKITPNILQSMMFPNSTAESNLWGSQKADDQGQRASTPSDVATSSANSQNQETKQGGDSDAGLASSEMANFDSTGATVSRGNESLQKNSSDGNFTIPVSSLARLHQQGIMNPKQGESPVANFQAMKASQNTGIGLNGSPAPSNIQQQNYSLLHQMKAMRHADVDPANLAGKMLKSPETGSDASQVDWKSGQRFAHGANNSVRSSVDNTGNANAAGPFPSDMKMLSFTPRNNDDRSPSIPSQFPSREPPSQGMVVTAQTEQQTQVQPANPSSDLMERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKAHVPPYNVPKASWSMDNNSAEQRIDSGQTVRPGNYISSKKMEVSAPSSIMQRRPKKRKSTESDLVSWHKIIEHPQTLKNISTTEMDWAWAANRLMEKAEDDAESLEDVPINYIWRKRLRLTTRLIQQILPAIPGAVLRAKAASGYESVTYNIAMLTLGDACNMASYNSHTLADHENTSPEQTNTKKMEDKLSKVVEVFAGRIKKIENDFVSLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMTPRRIFPERHVMPFAVPGNLPEGVYCLSL >OB03G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5220531:5240325:-1 gene:OB03G18580 transcript:OB03G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase [Source:Projected from Arabidopsis thaliana (AT5G61140) TAIR;Acc:AT5G61140] MLAPLPRLTSALRGHYDADQAYLLRKSALQSLALPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQQVAPAAYALFAGDDTESSEDVLRKRNELENLVGYSVQDSLLKKLAQLAQMLRSLQRARGQELVQRNADENVTSEFGASFDFKPPSRFVVDVSLDDVLPLGSDGLSSKPSAHDQYDVGSTSTSFNSMSPGVYVNLRWLKDQCDLITRSGGSVLSGDELAKALCRVLISNKAGDEIAGELLDLVGDASFETVQDLLSHRKELVDAIQHGLMILKSERTSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGAETGMNDIFSDDFSSLLLASERKQPFDDVIGTGEGANSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKPNEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGVLHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYVKKTELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCAEHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFRNACPHDIKGGPTDKHGKISILIQVYISRALIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMTSLLLEYCKGVDRKVWPHQHPLRQFDRDLSNEICKRLEEKNVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSASVSPITRTVLKVDLLITPEFVWRDRHHGMSLRWLIIVEDSENDTIYHSELFTLTKKMARGTPTKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVGEDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYILNSYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRALCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYITDLKSVLDQSIRIIQAMIDICANSGWLSSALTCMHLLQMIIQGLWFERDSSLWMLPSMNDNLLDHLKGRGISTMPALLGVSRDELHRLLQPFAASELYQDLQHFPCVDVKLKLQNDRERSRPPILNVRLQMKNARRSISRAFSPRFPKAKQEAWWLVLGNVKSSELYGLKRINFMDRVVNTRMKLPEMFDIQETKLILVSDSYLGFDQEYSLGHLANGV >OB03G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5244005:5244514:1 gene:OB03G18590 transcript:OB03G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVELECGDISASQEELLARSSFLNGGDDDEVFSTPPTTQEDAITMCTLPFTQSPAPSPSPAPAPEPEPAATPRTIPVCSSSSEDGGQDDEMGDAVKQRRRLGVCKRKVRGARIRTPTPSPNRAAAEVEEHRNDDPLHKAVLMIPTRDCTPGIIPVDLLALARQRGLF >OB03G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5246461:5254193:1 gene:OB03G18600 transcript:OB03G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASATRHRGLRRREDTACPTCGDRIGSENVIRCQCKKSPEHEKHEVYHANDAMLEAKGFSRPNSSRKKRMHKSPGGTYSKPDARVKIIPAEEITYVRHGKLYGKTVGSAGLQKRHCRRSVTPPPSSRKVSLVTPTVANQKPTTPVSPAASCISWPERAENGHSVATPCLSPNWTGTTENCRSLDTACISPNWPGTAENGHSLVGGYMTNSLTTQIASLLKRPSPICRAVLSQPSGTSLRTEATAPKNLSRSDSREAFVKSCSSRAFPAHVLAAHSTIVSPGTNAEPSTESFCAPGNENSPTNCNLGTLQCQGTRTAVAPSVQKTLTMEPVPSPKSVLSEKPNEACPDTASRPPSRPNLFDGKCMLGSLQSEAIIPPTQSPQSTSHARCVELSEDFEAVPSTKSHISTEKQTNQETPITCNVSSDTPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISVKVYEASKLMPEVLKLEAIPLSHLWPKTFKMKPPEGQDIGLCFISSPQRPNGNSDHLLKNISSHIGLRTKIGAAELLIFSSKLLTQEYQRKCGQCYFWGVFRELHRSRHSLDVPPGFTKANRLPHTGETTEPCIDPSGSKALDSPPGFPLDIPPGFTEAQRQPIVIPGFTKLLEVKQEPGLPPSENIASTGKANEIKSKFQEEPENQTQGGKRDHPESPEPSAADAFKPLKVNGRIDLNRIMDRRTLNSQPSSREGLVHIQVSGPTAVSGDAAIAGNVSGDACVVCYEEFPTQ >OB03G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5251788:5259153:-1 gene:OB03G18610 transcript:OB03G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MDSSRSRSAAAVAEAEAPWVTVEEWAGSSASALSRTAILTASHSSLTSRRFGNRWWRVGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQALSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMILAHVTRGQALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNNERSSILQQYFMDNGEVLTPQQVSKQEHILPFWSSWRKLLRIKLPHEHVHLGAKASMLTHPDMLQIAKTRPYYRNENYFLLDKEGSVHIFIHKQAAATDILKSFIHGLVLAYLMQKSKSAHAEASQWIDEKYNTFISKLQIEGYSTERLLSHSIVWRAHWVHGPSEEKLE >OB03G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5260118:5264107:-1 gene:OB03G18620 transcript:OB03G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G74240) TAIR;Acc:AT1G74240] MAASKPSPPPRVAGAGAGTGYWSPAPRSPSPNLTNFFGKCVSACSQLVSLPHCTVVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLENSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTRKSWASTATKGSISQTPGAPMYQYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKRKYLPESNLHASNSFEGLLLGGLAGGCSAYLTTPLDVIKTRLQVQGSTISYNGWLDAITKTWANEGMSGLFKGSIPRIIWYVPASAFTFMAVEFLRDHFKEKTDSDARELTGLSMDTRSEVEEAA >OB03G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5265989:5272691:1 gene:OB03G18630 transcript:OB03G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MTEDRTPKVSNEPTAAAVKQRKKRKWDQPAEDVVSAAAAAAAVAALPLVNFGALSGIAVPGTTAYPAAPLPNVVPVPYTLPAHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQNPEVTSTSFPSMPSNGQAVHPFSSSIFLGFDADPSLNVAARVRGPNDQYINHIMNETGATVVLRGKGSGNPVNLHAEASQQSLHLYISSMHLKSLEAAKVLAENLLDTIAAEFGAFRTSSSKVYGAVPPPQQLLSDVHTSGMIPDVHSTVGASVSTGALHSVASTGVNASSVSALVAHQSGAPSYSGVPPPSSMICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTEVVPATSMSTSTMAMVNPCSSAESDKHSQRRKFQELPISKGATTELKNSQQGSKFVETGLVVLGNTTNSSISPTIKVQSGSIGMLLQDPHHIPAHPSASKNTPPPKNMPPPPPPKNMPPPPPKNMPPPPPKSMPPPPPKFPSNEMLTNEDRHSDLKKPMAPPRSVDVSSVSPPKFCSSQLPSKEPRVEETSGASVPDTLLKLMDYGDDDEDDIIDEMDNALRGNLTSSSGQKPFWAV >OB03G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5273530:5279558:1 gene:OB03G18640 transcript:OB03G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LLD8] MESPRRAVAAALHALVALSVAVAPAAGXGGEQPLSRIGIHRTTFAIQPGAFVDASPLLLGLEGQDREWVTLTYTNPKPSKDDWIGVFSPSNFSDSTCPSENQWVEPPLLCTAPIKFQFANYKNLDYVKTGKGSMRLQLINQREDFSFALFSGGLSNPKLIARSKRVTFVNPKAPVYPRLAQGKSWNEMTVTWTSGYGTKEATPFVKWGPQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHTSFLKDLWPNFKYTYRIGHKLVDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEYNDFEPGSLNTTYQLTKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSMDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSATFYADEGTTEEPMGRESLQPLWQKYRVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLAEYAGVRARWSHVQDRDYGYAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACGVDNCPSTTLAS >OB03G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5281246:5284673:1 gene:OB03G18650 transcript:OB03G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAAPSVTRGAVAFILENPSPAAADVPGIVLQVVDLKPIGSRFTFMASDGEAKIKTMLPTQFLPEVRSGNLQNLGLIRVLDYTCNTIPSQSEKALIITKCEVVAKALDSEIKSEADEQEEKKPAIVLNPKEESVEPAALSKPTNAPPPPAPIALKPKQEVKSASQIVYEQRGNAAPAARLAMTRRVHPLISLNPYQGNWVIKVRVTSKGNLRTYRNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRIANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQIQYNFVKIDQLGPYVGGRELVDVIGVVQSVSPTLSIRRKIDNETIPKRDIVVADDSSKTVTISLWNDLATTTGQELLDMVDSAPIVAIKCMKVSDFQGLSLSTVGKSTIAVNPDLPEAEKLRAWYDSEGKGTSMASVGSDMGASRAGGARSMYSDRVFLSHITSDPNLGQDKPVFFSLNAYISLIKPDQTMWYRACKTCNKKVTEGIGSGYWCEGCQKNDAECSLRYIMVIKVSDPTGEAWFSLFNDQAERIVGCSADELDRIRKEEGDDSYLLKLKEATWVPHLFRVSVTQNEYMNEKRQRITVRSEAPVDHAAEAKYLLEEIAKLTAF >OB03G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5285417:5291053:-1 gene:OB03G18660 transcript:OB03G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAAAAGTAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGRARRTGGVEAEPAARLPASGSPSSGSSDGEGRRLEVNMTDTLMRTSSLPAGIEDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNVSKESVGQILEEMNTRAEKVESCDDVAAGNKMGGNANHIGDKNRCTGLPPVHRATSTQQRGSLSGIPTKHNPAVKGPANVEEHNDPSAIAPPTEHQNSAAKATPFSALAVRAIALASRGEQLQTTGRVAARAKSMGDVEQIMMQEMPCVCTKGLPNGKRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPIAPSLY >OB03G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5294206:5297306:-1 gene:OB03G18670 transcript:OB03G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHFAVFTTASLPWMTGTAINPLFRVAYLAKDGDREVTLVIPWLSLRDQELVYPNKTVFDSPLEHEAYVRRWIEERVSFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKAKFRRVIGVVHTNYIAYVKREKNGQAIACFMRYMNTWVTRIYCHKIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLQNGEIAFTKGAYYIGKMVWGKGYKELLDLLSKYQSKLAGLEVDLYGSGEDSDEVRKSAEQLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCHIYDNEEEFVQLTLNALAEQPAPLTDMQRYDLSWEAATERFMEAAELNLPVSAEPKIHQTSKASLPAFLRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCRDLGLAPPSKKRRLKLKLTT >OB03G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5299752:5304050:-1 gene:OB03G18680 transcript:OB03G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGNFNPQYRHAAPPPLPQQQAGVTSGLPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHGMPLVQNQHYPFAQMHQMPMLPQQRGYAQMPMPGPPSHPPPPQAMYQAHPQYPMAGSLPPPPPRPPSFAQENVLPPSSPPPPPPPPPPSSPPPAPPSESTAPKTGQASNSEPERKEGATYGGHDLKTEKAANQLIVSDDSDMDMDADEDSPSREHHSPINSSLATAECTGNDNAPKSVSDVSSLGNGSVGKAKTTAVTVEGRSTFQLVQAYTSDDSGDEDGVGAARNLVAENNESGHSIDTSSNIGHRLLTEAAPCSEKILEAQEHQLMNKCNPVKHDSDELGHLVKEDMSGNDSDRGQQTRRHGRSQWKRSRVQSPQGQRSCSPPSKSLLPGRQSSSPLGKRANRVGHTFREEPGIKLGKDGFYNDKHDCPAKAATAFEIHRSGEGNISGDRISEQEDPVRIKLNNSSDHLYGSERVNDASVGSLGQHGHGVALISGPSESMASSANASDPHKMQRSGHASIPQPDMDKSSLAAHQSLAGQSPGIPFATVHATEKSMTCDVPQSHSQNLCPPAQATSGLRPSHIPSSNMTPLPGQQLLSSSEFPQMHFQPNVMVPANEFLQSQMQTYPAPDLSHPRPLDFHPHTLQPVVPSHLQPAAMVHSSFQRLPPNLPGSSDFAAISDTDLPKSSIKPHYNPFASTFEQTDPSLNIGCDVIPNAVGSASTKAADHMGALSPFGLSVPGSGTHARENSAEVVSSRRKQPRREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSATDRNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVENLSPEPLGAKDWSSDIPGDIDNDESVDKTKRTKDSRSMKLFKAAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSAVPNNHIPKTPAKIKQYVQSSQKKVTKLVMGYVDKYVKL >OB03G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5306051:5309167:-1 gene:OB03G18690 transcript:OB03G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 3 [Source:Projected from Arabidopsis thaliana (AT1G76340) TAIR;Acc:AT1G76340] MANSRASRFKMSNLSEPSKEAASADGSSAVQKTGVWSNTLSTLLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKVIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKVDSSKATNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEESDEEQQKLLEMQQEHESSSAQKQASS >OB03G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5333152:5339528:1 gene:OB03G18700 transcript:OB03G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G69180) TAIR;Acc:AT1G69180] MDLVSPSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFQGPCTDCRRNQPLPLVSPTSNEASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVSAPVPHQERATEQVVESFDIFKQMERSG >OB03G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5343916:5353469:-1 gene:OB03G18710 transcript:OB03G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRLRKNQALLDQLFDLKTKEQQLQDLNKDLRKKLQETSAENVLHMSSWQDGGGHSGSATVLAADQPHHHQGLLHPHPDQGDHSLQIGYHHPHPHHHQAYMDHLNNEAADMIAHHPNEHIPSGWI >OB03G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5370752:5371036:-1 gene:OB03G18720 transcript:OB03G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFICSQGNEKPAFDVCCIDIMLITVVMQEWNYFDNKQVNSFPLQVCSVVIQRICSWAIFPQQGLQNAIAVACPQLPIRPCRARMFCPEAWAAI >OB03G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5378066:5383490:1 gene:OB03G18730 transcript:OB03G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MGSLGEVDHVVVAASKEMLPFDGHPDPVVNELNRLENLLREKDRELGHAYSEIKGLKVTEALKDKAIAELTKELKKQDEKLSSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEIAVLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELQKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEEAILATGDVANAVRFYQSQATKLSEEKRTLERELARAKVYVNRIASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKVEAQLNVRSVPRINTSKRSSHHFFRSPCRCLNFGSFYLLSQDKLRRKLKSLEDDMRNDSSNTSAIASRDIAASSKQATPRRSSSQPRASVSSVKVLNKQPAGSETETAVSRSSRRAAAKRFDSPRAARVAAAAAGRGERPMRNHLWAQRSRVAATDAGKENKEQNPNYKARLVGSHQDEDAMDLRELDEGKADDSNAVKSSKGNSEN >OB03G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5384692:5388760:1 gene:OB03G18740 transcript:OB03G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAPFIISPTQKILKAQFSTHFQPSTGFEAGPIFHRRGPYDPRRLNPGGSFVRVRFAVRPQLRLSPCPPGAMAAATAAGAAAAAAAVSLLACYLLLHRTGAGPTRTSRSSGRRARRKGLLEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLLCGGTVTEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITNKDHFVNIARRRALEANKSAVAQRESSEKGTNGSAHVHARMSHSKITATQGESNKTLTNGSAHTDYEIQHNRKCDHDSDSKGGFFADQFENLANYRAHYEWTGPEIWQQTKGTLHAFVAAAGTGGTIAGVSRYLKEKNTNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVARDLGPGHTVVTILCDSGMRHLSKFFNDQYLANHALTPTATGLEFLDQ >OB03G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5389105:5391150:-1 gene:OB03G18750 transcript:OB03G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G16390) TAIR;Acc:AT4G16390] MASPSSLLSWPHRAISLSFQPKNPSSSPATARVSVQDPPPQDPPPPSDANPSLRRSSNTRYLWVNPNSPRAADPARARAGSSRRARLAATAAALGACEPPQEAPIAAALEAAFPEPPSEQDAVIVLNTTAAQPAAVVLALRWFLRNAEVRKKVILYNVVLKGMRKRRRWGDAEALWEEMLREGVQPDNATFSTVIGCARACGLPGKAVEWFERMPDFGCSPDMLTYSVVIDAYGRAGDAEAALRLYDRARAEKWQLDPVICATVIRVHSNSGNFDGALNVFEEMKAAGVKPNMVVYNTVLDAMGRAMRPWVVKTIHREMVSQEVLPSKATYSCLLQAYARARYGEDAMAVYRTMKDEVADIDVVLYNMLLSMCADIGYVEEAEEIFRDMKASMDARSKPDSWSYSSMVTLYSCTGDVVGAEGILNEMVEAGFKPNIFILTSLIRCYGKAGRTDDVVRSFAMLGDLGIPPDDRFCGCLLTVAAGTPVEELDKVIGCIDRSNAQLGAVVRLLADRAAPSGEPLRDAAGELLGGARGVVKMPYCNCLMDLAVNLGQMEKACALLDVALRLGIYANVQTRTQTQWSLHLRGLSVGAALTTLHVWMGDLHAALQGGEGLPPLLGIHTGQGKNTYSDRGLAAVFEAHLKELDAPFHEAPDKAGWFLTTSVAAKHWLETKKSAELVAA >OB03G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5400949:5401338:-1 gene:OB03G18760 transcript:OB03G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGPTVAALSPSWPTVSGGVSSIAPQQFYGGIGSVAQPINGSPLPAGLWRGAPMAAHGNTGMAPLLAGERRPVAVSSAMFRGGDEPRTSASLVASPAASPSLLLPPQGQFACEQPATTTAAEGVSLDLHL >OB03G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5401611:5405145:1 gene:OB03G18770 transcript:OB03G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRMAELGLSLGGAGRRNGNSEKDATLAKSRGGSSRTLAAPPPPPPSPAPLPMHPDLAGREFQIQRGATTIRIYSFCGD >OB03G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5419021:5420451:1 gene:OB03G18780 transcript:OB03G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSRAGHHGEVLRLFRSLPFAPTSSLFTTLISSLSASGRPLAARAAFASLLKSGAPPTASAFTALLKSSHDALDFVDSVFRAMDAAGCSPDAPVYNWIISMLCDFRLLQEALGFLDHMLENGPRPTARSFTAVLRVYCEQERFHEAERLVDTMIQNGCPPDVVSYSVLIEGLCRVGEFSKVEMILGESELNGWKPTAVTYNIYMSGLCRMGFLDEAFQQVDVMRSRGLSVTTETVHILFDCLCRNAMFSEAVCLLEHSKELGWNVDAFCYNTLMSRLCDIDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLWLAKCMIDNKGIKYDVVAFNTLIHGFCMAGDLDRVQQTRTDMINRDIIPNNFTNAMLIDSLCKDLKFVEAKRFVLDSLVNGLVPDHIIRLNNWLVKANKITLVLKLLYEIRCKGFVLDTCIINPLVRVFCWEGYCRHDKFYQISPILDIITTYVKR >OB03G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5422610:5423485:-1 gene:OB03G18790 transcript:OB03G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDVVAWTTMVWGLARSGSPQDAVAMFRAMLSDGVASPSDATLVSALHAVATSGSLVSCKLLHSYATKQGLGGELVVGNAFIDAYAKCGNAGLAFKVFVELPEKDMVSWGTITRAMAVHGRHREALQLFSLMLRRGVRPDAAVFLALLTGCCHAGLVSQALLLLDAMARVYGISPRGEHYTCVLDACGRAGQLDRAGEIFRQMAVEHDADQKAFGAYCSCAVSDGVAGAAGERLAELFLDGEVDAGGGTYALMCKSLAGAGRWEDAWAVRERMVARRIGKAAACTWIEV >OB03G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5424085:5425225:-1 gene:OB03G18800 transcript:OB03G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTVGVDEQELPLFDPSPCAYYVQSPSAASHTLSHPASESTAIILSPFPDAAFSVPRPPRGADDVGAHDHDQEASRLTLSRYSSSRGSNNSFLAAAADKKLPAGHRGRQVLRLLSGRSGRGVDDDDEEVDGEVGRRSGAWRYVKLDPDAPCCCIVLQVAWRVAVSVAFALLVFFVATKPRDPAVSFKVGKVQQFSLGEGLDGSGVITSFLSCNLTVVMAGDNHSKVFTLHVRPPRLDMSFGRFTFATSQGEEAYDVGARGARSVRLFVAAEGKPMYAAGRGMQDLLESGGGLPLAVTVRAPSRYRMGGGLVRLSYRHDTQCVVRHRRSPRRIDAIDAAGYNCTAER >OB03G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5427386:5431726:1 gene:OB03G18810 transcript:OB03G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MDPPARPRRVPALSLLLALLLLASSSPAARAWKKDEFRNCNQTPFCKRARTRAPLSLDAGSLAVSPDGSLTASLSHPSRLRPLLLRLSSLPPHALRLQIDEDYSANTPQHRRFHVPDVLLPDVEARTLHLSQPKTAAGVSTFALSSDVDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQESKPEGETWEEHFRSHTDSCPRGPQSITFDVSFHGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIAHGDGASSGFFWLNAAEMQIDVLAPGWDGAASPQDGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHEEATSKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAIHYGDVEHRELHNAYGYYFHMATADGLVKRGKGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGMTFSGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGSSLLAQGIYEDGQKSVSVYLPGKVLWYDLRNGSPYKGSVSHKLEVSEDSIPSFQRTGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSSAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSMNIAPKNGGNKKFSTECVIERIIILGLPSGLKKAIVEPGNHKVEIELGPVSLRSGSASVAPTVRKPNVRVVDDWTIRIE >OB03G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5432817:5436904:-1 gene:OB03G18820 transcript:OB03G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LLF6] MEEGASATAASNMGEKRVAAAVVVPGPDVAPPPTTNAAAGADEKAADDLPAPAALSDWPRRMGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIITKCMEENNSHDLEKASPVDSETNNLPVSGPDKVECVNSCIPTECTDLSDQGCKRKYIPSVTSALVVGSFLGLLQAVFLVFSAKFVLGIMGVKHDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLVRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVAATSRVLQLSIVLGMCLTVVLGVGIKLGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >OB03G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5433988:5434216:1 gene:OB03G18830 transcript:OB03G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding HENLTCPATASPSARRDVASQSWQQIWKAAMMVGPWRAANDDASVTQKVTATTLASSRNPEKKQETSVSFSRFS >OB03G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5452498:5455430:1 gene:OB03G18840 transcript:OB03G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKELVHASISTIVIFLYMFSHTNSIEVFPVVDGTYPPEAEGPAAESSGMDEPELSPGPPARVVDVDDYRESADAGDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSDRSVWLDRDLQEWITFDNIDHLRVLGGGTLNGNGHEWWINSCKTNKSMRCVTGPTALYFRRCTHLVVEGLQVRDSMQMHVVIAYSWRVLVSRLLVTAPGWSPNTDGIHVSNSREVLISGCIISTGDDCISIVTGSMFVRATGIFCGPGHGLSIGSLGANKSLAHVSDVLVEKATLVGTTNGVRIKTWQGGYGYAERITFQDISMHNVTNPVIIDQNYCDSKTPCHEQGSAVAINNIRYRNIRGTSSSKVAINFVCSNTVHCDGIVMQDVSLVGEGTYVSCSYMNARVVELGYNLPYCRAEM >OB03G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5459485:5463691:1 gene:OB03G18850 transcript:OB03G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVRGLESAPASPPYSRAARSQEDAGEQEEKWRFQAEILRAECNFLRMEREVAMRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGVAAALDEGIEDLEEMMEDLRVEKESGRRAVSGRRELQRSNGRNFDRQASSLRRRLEKMPPADAEPCVKDIREIALPVATQSPPPPAEHSDDDDHSNSASLSDVEMLRMKMEGMSKGMRERMAEYSRRLESVAGDDGNNADDCHSRKCGSRHSRKASASSQRSWSGGTTNAGASRDTTASHGRSHHNVAPEKHHHQHKSVSEECKLVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSSRDIWQRRAIASDISLRSLNSQVLEWKHRAQVSEEHVEELQKKISELESKLHTFKVHFPTPGGHQPNQEWSEACKMEKPRSKAQPHPRPSPHECGGKEKEKHVLICRVRHSPSVVTKRQPFQEIGNIGLPRHAAMKR >OB03G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5464184:5464369:-1 gene:OB03G18860 transcript:OB03G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVSGDVAKAGRAVQESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >OB03G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5473328:5477915:1 gene:OB03G18870 transcript:OB03G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDDLDDDRRRFYDRGPPPPPRRRPGGYGGAGGARRAGFGGGGFYAHRYRESPSPRGYGGDRAMHRSESFSGFRREFPKGFRSERDRSRRDGGGSSAWRRQSGGWRDSEGLDGYRAGAVPRRSGASPPTPPLRSPSESSRRFEGPRLEKPRKQSFGISEMEEGEVAPDPETKARPAAVEHRKLIEPGHVKEKGLERREAKKVDSGVRGNLGTQGKGVAGASAARNAGREEGKSKDGVVAESGTVTQARHEKSTAGAGVSIGRGHQVEEQDETANAVNQVGECISSTMMHKAPQEEMMIPDEAANVVDVIGQNTSSNIQQEPVGEKAAIQDETAEAAQRTSSSVQEEAIQEKVTIRYETANDADEDGQSTSSSIQKEAIQENTITQDVTTNVVDEAGQGNSSNNQEDATHEMATVRDETTKAVDEYRQGTSSSTHQEGLQEEAMALDEAADAAGKDRLCSMHQEVYLGKTRDHTSNDVDGVECGTSSGLLQVTLLEGLASVDRTANAINPEKINSGMLKETIEGKIVLDGTADVVREGNSSNTLQETMNTKVTAEDGCSSSALDIADECKQSTITEERVHEKLMTSPCRGAPEMKINEKGTVSSKKISEPIEPAVSQHVEEALPRDCCENRVALAEIEVPEQGAPAEHEDIKKEVQVFCLKGNSVGANMFLQPSKERNGDSEEEGTALNLITGKPSAEDKGKGIAFDVLNKEENIGVGSSVGRSFDLALQPDIGTGQTEVLKSSGTTVKQEGDTLKIGRLDLSLSLSGGLQNPEFKCSVPRSESLALATCSQTLPSSYFHTNSEGFTASISLTNSQTFIHNPSCSLDQQSLDNYEHSVGSKPLFQGVDKLSDSKRWQTQLSSESTKKREPTTILHNTLKYGNLSDKTFVGVNVQNNGISKDIQRRGGVSGVLSPTHSRDSHDSGFEQSRHRRQLTRERSSSSLTRGELQDGQQLVFNGVGVIERIVSKIVSEPFHHTGRMLDEMTSNSVTYLREAISDIIADANKRGQVVALQEALKKRSDLNSEMLQRCPRVLLEILVAIRTGLPDFIKKSNSIGSCELIDIFLYSKCRNLSCKSILPVDDCDCKVCQRKTGFCSSCMCIVCSNFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAYNTNEMQFHCAACGHPSEMFGFVKEVFRTCAMQWRMETLVRELQYVERIFSSSDDARGKRVRHFVKQMIIKLENRAYHPEVVKYIMAFFSDDDSNIVSGSSVPLKGIPCNIAETADGIPSSSRKAPWLPSVTLEGVPFLEKQGVISTAGSPSTLTKFRGADFQATDNKPTIDELDGLIRLKQAEANMYQQRANDARKEAETMKHITMVKYAQIEEQHATQIAGLHINELQEQRKRKIEELQVIERTYHQFLSMKTRMEGSIRELLLKMDATKQNFST >OB03G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5483636:5484923:-1 gene:OB03G18880 transcript:OB03G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSGSSGTEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPSVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFLVGVIFNGAAQNLAMLIVGRILLGCGVGFANQASNLILYNNLVTT >OB03G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5490377:5492113:-1 gene:OB03G18890 transcript:OB03G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat-shock protein 70T-2 [Source:Projected from Arabidopsis thaliana (AT2G32120) TAIR;Acc:AT2G32120] MTEQVYTVASDSETTGEEKSQLSFPDVAIGIDIGTSNCSVAVWTGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGATREHGHEERDILSGSAIFNMKRLIGRMDTDEVVQASKNLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLNVLRLMPEPTAIALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDAAVTATAGGVSQIRALSGSTIGGEDILQNVMHYLLPDFDSRYAGQTMDRIKSIGLLRMATQDAIHKLATHDHAEISVDLRGDYKVSKILGRGEFEKVNQSIFEKCEGIIKQCLADAKLAPEDINDVILVGGCSRIPKIRSVVLGLCKKDDSYGGVDPLEAAVSGAALEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGGNFATIIPTNTAVPARRDMLFTTTRDNQTEALIAVYEGQGKQAEDNHLLGYFKITGIPAAAKGTVEINVCMDIDAANVLRVFAGVVKPQGQAVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLASLPKKLHP >OB03G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5493227:5493538:1 gene:OB03G18900 transcript:OB03G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESGLSGQRLLRASAGERRSWVRGRGGAREGAAGALKEADEEESPPAEFSGEDGRRHAARAPTAPEASGSGETPLESVAWLPWAFEMLHRSRPSTCGPGLR >OB03G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5508966:5513966:1 gene:OB03G18910 transcript:OB03G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYCNDACVERFLRARGESVKKAAKHLRTVLSWRETIGADHIIADEFSAELADGVAYVAGHDDEARPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVSCMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAAVLATGKGGGVGSASSRFSVTVSHDNTLKPWYLSTTPSSVGPRSVVPTSSPSLIGASPLSARSFSFASPAARSTTTTTTTPPVYRGAPLTPFSTKGLQKTPAPPQQFPRTPRPSFLQSPSMLFAFRKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKSKQHKTVQPPLRRHAGIHQQQLYQLHHHQRI >OB03G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5518017:5521161:-1 gene:OB03G18920 transcript:OB03G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:J3LLG6] MAGKAGGLKGVALISGGGGNSTVAGALNFFQDPSTGYTEVKGRVAGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPHNKSHGAPSDDERHVGDLGNIIANKDGIADIFIKDLEISLSGPHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARIGCGIIGLRSAV >OB03G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5522159:5522383:1 gene:OB03G18930 transcript:OB03G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHTLISHLDLRIVLFCVVVQSTDPNLAHEIQHRPRDHSNQVTLFPALDSPSALDQYSPCSSSSSSTSAPTSS >OB03G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5522302:5526279:-1 gene:OB03G18940 transcript:OB03G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1 tubulin [Source:Projected from Arabidopsis thaliana (AT1G64740) TAIR;Acc:AT1G64740] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSPGLARDAFNTFFSETGSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCSGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDISKRSLGIERPSYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISAEKAFHEQHSVPEITNSVFEPGSVMARCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGADVEDDDEEQGEY >OB03G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5528804:5530820:-1 gene:OB03G18950 transcript:OB03G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:J3LLG9] MAPLPYLLLILVLFRPAASPGAASVPPPSHPPPPPLLPQKLQVWPKPTSISWQSPVYAPLSPSFSIRAVPSHPSLRHAIAFYTSLIRAERHVPLVPPANYTLARAPIRLLALSVSDPEVPLGPGVDESYTLSVLPDSGSADISPRAGAGGGAATGGQPIVPSGIEISDRPHFTHRGILLDTARNYYPVRDILHTLRAMAFNKLNVFHWHITDAQSFPIVLPTVPNLANLGSYSPTMRYTDNDVRRVVSFAASFGIRVIPEIDMPGHTGSWAGAYPEIVTCANKFWAPRAKPALAAEPGTGQLNPLNPKTYRIAQDVLRDLVALFPDPYLHGGADEVNTACWEDDPVVRRFLGEGGTHDHLLELFINATRPFLVQELNRTVVYWEDVLLGPKVTVGPTILPKETTILQTWNNGPENTKRVVAAGYRAIVSSASYYYLDCGHGGGGGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTDVEAQLVLGGEVALWSEQSDETVLDGRLGPRAAAAAETLWSGNKGSNGKKRYANATERLNDWRHRMVERGIRAEPIQPLWCPLHPGMCNLSQ >OB03G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5532437:5536166:1 gene:OB03G18960 transcript:OB03G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADPDRGGGGPDISKLFCHYNALYFHDSLGTCAVSWATDEDPLPHREVGGCEYYPGGGGCIILLSRSLYERYTDLDLKNVLLHEMIHAYMCIKDSNDNHSDHGPKFRKLMNTINLNSVADPHRPVGGYSITMLHEIRKKYYLHKCESCGDLIKSTEIRGPSHDDCIEGMGANDSCGSSSCHWHWHKKRCSGSYHRVQGSSPGCVEGSKALSEEALDCKDGESAPGSWHNEPTSIKSGKSNKHELEETSTGFLPPDDLIGISGPESSRGASNKKIKLSKDIGLDAQEALKRPRTTTLKKNQECSRQKKRKVSKWDGSYSVIIEWLNYYSVDESDDDEVPLINKRTERRKRQKLLKVAQVRESNSGSEGASSISFVENGINSSSAGSYPQSVGEDNDNSEIVPDTRVDGSSLPGHPVGSHVVTEGQAGHETAPSPLNSPTRGIIVDISDG >OB03G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5536988:5538685:1 gene:OB03G18970 transcript:OB03G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLGDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLTLLPKRKKWLPQSYLKNQARAEEYFSKKNGGAAESDEASA >OB03G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5542960:5546112:-1 gene:OB03G18980 transcript:OB03G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3LLH2] MPGGVAAAAAGAGYSGSVKLKYVKLGYQYLVNHFLTLLLVPYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLQLDLVHILCSAFLVVFVGTVYFMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKAPTGGPWDDCIHRYPVDIPEVVKL >OB03G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5560903:5562895:1 gene:OB03G18990 transcript:OB03G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G22730) TAIR;Acc:AT1G22730] MSPMESSHPDDLALPAHQSENQCAIEEASKLRSPTVSSEEFLQFKRRATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRQQVYRGFGKLVESCDDLSVDTPDAVDILAVFVARAVVDDILPPAFLAKQLPCLPDGCKGAEVLHRAEKGYLSVPHHGEIILQRWGGSKRITVEEAKAKIADILEEYLAAGDKGEACRCIRGLKIPFFHHDIVKRALTLAMERRGGAEGLILDLLKSASDEGVINESQITKGFNRLIDSVDDLTLDVPNARRLLRSMILKASSEGWLCASSLKPLGPEPKKKVAVVEDVAVQQFKANAVSIIKEYFLTGDIIEVVSSLEAENHACSSSYNAIFVKRLITAAMDRKSREKEMASVLLSSLCMPPGDVVSGFQLLIESAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEEAGRGKSGVSTGMLALRNARALLSAKLSAERILRCWGGGGTGKPGWELDEVKDKIGNLLQEYDCGGDIREACRCIMELGMPFFHHEVVKKALVAIMEKRGKDERLWGLLAECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQFRCYVERAKKGGWLDASFPNGVCS >OB03G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5572659:5582709:1 gene:OB03G19000 transcript:OB03G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDSPTWGRLLYVSCASNGLMLMDESLQLLPAVEILDLSRNRFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACSRIVQLVLRNNALTALHGIENLKSLMDLDLSYNIISNFSELEILGSLSLLQNLWLEGNPICCARWYRAHVFSIFHNSEILKLDDKGMNTQEYWEKQVMFSSRQKQPAGYGFYFPAKDDHEDEDTLNSKTRKISRLALIVEEEKGLCDESVDQQTTPHESDSSKKDEVAATDNDIKIISLIHTAELLKKEKSTDWLREFKEWMDENMENTEVDNLYIDFHSSNGKYEEQKKRQKTQKKNSKNISDLVQTSEGGSSLNLLESDLSFVDDACYGANGVTTESSHKGNIHQAPLRLDLNSSQQLPPLNCVATSHADSFCEMEYGTGSLHTNGVSSNPMNKLIEPSLSFTNSSPGSPPQYKEDILHRRLCMEEEFLQISADFDCACSLGGSSSCSDDSSGDLCSCNSEDGCVAILSKMELYLNGDYEGKDGIEYYSGKHSLPNYSADDFPNFTDSVEFGIKELHDRYKSNGHLGEGSDHLVRQQSNQKLKMWIPHLFKNHNGTKIVFQKVNGDEMDDGVSGNGHLGCNLKNHTRCKEINLENHNSSILQKDNLRASANTVSCDTEKYKLIGDFFNLEIACDASEICERTAFCGYIFQDGAGSDLVQREVALLKSSQNKLHILLVDMVQDGQDTMPRVLGSYWLEDLENILVGLGLQTLRVRMADNTTHLFLTRTSKEAQDILGLLTVSNFPQLTSSISLQSWEKVQLKLLEKCTHANLEMGIYLYSLLLFWKNDAEEGSLVVRSLTVTEGSLFVCIENLHQFGSIPDDSDTPYFSLDACCFINNIQEVVVDHYDKKCLTLVLDNHAHEGRICSNGSITSSQNKQSDEIYTVHTWKLKWFSEETVVKFISLLKALYWASASSSLPVKCIS >OB03G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5582394:5585084:-1 gene:OB03G19010 transcript:OB03G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LLH5] MLLVGVLLLLFFNLHLPTTSAIDTLTLGQSLLWNQTLVSNGGNFELGLFSPGKSNKHYLGIWYKKIPKKTVVWVANREQPILEPSSCHLELSVHGDLRLFATAPSNTLLWSSNASLFFSPSPPPRTTVAVLQDDGNLVVKRNATLSSSAAAPTHVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSEDPAPGAFSMVIDARGLARFDLLAGGEHRYWTTGLWDGEIFANVPEMRSGYFIGVPYAPNASINFFSYRDRIPGAAVGNFMLDVNGQMRRRQWSKTAGEWILFCSEPHDACDVHGSCGPFGVCSNGTNPACRCPAGFEPRSSEEWSLQNAASGCARRHPLECYGDGFLALPNTVRLPNGSAEAPAGARNDKACAHTCLVDCACTAYVYDGAKCLVWNGELVNMKTLVDGQGDGGLAGATLHLRVASSEVPPSSLEHSWRKSMVILGSVAAAVVVLLASVVTVVAVAAVLRMRRRRGKVTAVQGSLLLLDYRAVRTATGDFSEKLGSGSFGTVFKGALPDGTPVAVKKLDGLRQGEKQFRTEVVTLGTIQHVNLVRLRGFCCEGNKRALVYDYMANGSLDDHLFNGGPGSDSKRQVTLSWKQRYDVAVGVARGLAYLHEKCRECIIHCDVKPENILLDQDMSARLADFGMAKLVGRDFSSVLTTMRGTVGYLAPEWLAGAPITAKADVYSFGLLLFELVSGRRNNAASSSSEEGGSGIYGGRAGAPAPAKADVYSFGLLLFELVSGRRNNAASSSSEEGGSGIYFPVHAAVKLNEGDVAGLVDERVAKDADVKEVERLCKVAGWCIQDEEGDRPAMGLVAQQLEGIADVMLPPIPSRLHILAIENEWVRGAAEDEHCSKSGSEPAAEAIEECTCENSGSKDHPTTR >OB03G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5589065:5592440:-1 gene:OB03G19020 transcript:OB03G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAHQDCFFAVLGFSCGNMKRLFSFFFFLLLLILAVSVVHGEDGAFIGVNIGTAMSSVPAPTQITALLRSQNIRHVRLYDADPAMLAALANTGIRVVVSVPNEQLLAIGNSNATAVNWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMINVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMEYLNVTNVPVMVTETGWPHKGDPSNEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTFIYELYDEDTRPGSASEKYWGLFNMNGVPAYTLHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSALMQGQPCYDPDTVQAHSTYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYSGSGGKNGTSLLNGTSLAPSANSTADSGAHRAIGDVSSFVRAVVAALLLSVAILL >OB03G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5597783:5608562:1 gene:OB03G19030 transcript:OB03G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVFPAQPPSGLSDAEDLPLAERRRRLLRPPVDSKPPALERREARPKASAAEAEGAGEAAQQGWLGLPRGVEFNPSDGDLLWHLAAEIGNGQARRHPFIGEFIKSVDETIGFGCTHPQDIPGIRKDGCAAYFFHKNFKVCNNGNDSYINWQKVGNPIPIILDEDLQGCKEVFMLYTYKTDGNSPQSTDWILHQYHVTSTEKDEGELVVSKIFYKSEESQFEWADKAHSQSAQATSTINDDSREDLQLENHSSNVVTGSSFAQGNENKQKEMQTETCPDENKLKFSNVLDNMHIENKIDDHDEIEELDHMSLQERYRILRAERHSCSTVVSYEQCAIDGLENSCRLETNGLIPKRNYVGTAFRDGMHSMLQEISSAPPIIDSTSNDNNRRLLAEGLSNSHQSHEAGCDSGFLSMPCFVAPSQCQVGCSHDLSVNGNTLIHSDDPSLSLTPTIGDERIQLKGINDRTCLADIKLEPALEGFGDLAEKITSSMHSTDPKHVTEGSSLVGSINYVSSEISKRISEVARSKSESSHVEGLLPFLGIKSEVIRSELPPIVCGLTPINITEFTAKKNITLNHDGGLAYCSSKRKRRKTGRDPSEKTPEEDSHRSDEGTAYFSRRRRRRKTATDSIEKALEEDAPGLLQILLDRGIVVSEIKLYGVEEDDDMVPDCTESDFLDLENVITKLFPQRTSLLKSAVRHEKGERALYCLSCLISLIEQSRYLQFRDCPVEWGWCRDLQSFVFIFKSHNRIVLEWPEYGYATYFFEIVKSLPIEWQIQRLVTAMKLSGCGRTALIENRPLLIGEDLTEGEARVLEEYGWLPNSGLGTMLNYRDRVVHDRWNEKSVTDWRAKIGKLLMSGYSEGHLVLSHFPTKVGQLEDIDVKQEDPL >OB03G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5606524:5606936:-1 gene:OB03G19040 transcript:OB03G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTLALGALLSRSQLPTVSSRAVHFFANSSATITPPTGATNSQIDRFCSGLPSTKDKQRHWYRGFRPGKEVRRPWRNIRGRQHHWQRNSSRSCAMGS >OB03G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5608209:5610634:-1 gene:OB03G19050 transcript:OB03G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G25900) TAIR;Acc:AT3G25900] MMAGAVVEIVRSAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGILLEDAEGLLRTSVELALEARDEFWKSTLRKSKPVYNRALVAASIGSYGAYLADGSEYSGSYGEDITIEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEDIQVPSWICFSSVDGKNLCSGESFADCLQILNASDKVAIVGVNCTPPQFIESIIRELKKQTKKAIAVYPNSGEVWDGRAKRWLPVQCFGHKSFDVLAKRWQEAGASFIGGCCRTTPSTIRAVSKILKGKMAYSATQI >OB03G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5623427:5629093:1 gene:OB03G19060 transcript:OB03G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALFVAANSNPTPNPAPSLHPQQRAVCCVLRFPRRARARTHLTAAFGRGSPVAAPERSGKDYYATLNLRREATLQEVKTAYRTLARKYHPDMNKSPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLSGDYGGGDIGSHGIDPYELFNTFFGGSDKCFGDSMGPGRFHYATKVMDNRALDIRYDLLLSFEESIIGGKRGVNIFRYETCGTCDGSGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISSCLNCDGSGKVITEKCTSCCGSGKVQVERNIRVDIPGGIHDGSAIRFTGGGSIDNQRRTSGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYVPPGTQPGERLKFPQLGAPDIKKPTIRGDHNFVINVKIPKTISNHERALVRELAALKGTGGISVPGEETANGGNLRERDLHSSIGKKRPLWGSIRNLFRGDDGATRFASISGRSVTPLWTSQRGSHPAFLLLEGFLMISLLLFVLRRTRIICLIPKRYKAKETEEETGILQ >OB03G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5628926:5637501:1 gene:OB03G19070 transcript:OB03G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLGTYCLAVLSGAKTRGLWGWACKSSATEKENCVLRCLSPECYDLIYGGDPLEEGELDYIRGHEYKYCMHKYFYMKDCKSIKASSECPEKIYMLIKILSHQCSESLPLVKTWMVSRDPSVIHEVQGKTNKSFTDYQENTQKLYKAFQQKKGGRNYEALQALRSAVGKSALPSWNSSTPTCNWQGVTCENGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPKSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEGQPAAGGGAADVGGSSGKKKKLSGGAIAGIAIGCVFGVLLLLALIFFLCRKRSSASTPATVEKGRDLQMAPDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTSPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTSEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATESAGDGEEPSL >OB03G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5642696:5644186:-1 gene:OB03G19080 transcript:OB03G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLLVFLVSVVLVVRAWRAGRSHEKARYVVGLRPYPLVGHLPQFLANRHRILDWMTEVLSRQPTCTFVLRRPGGVRGVITANPANVERFLRSGFDNYPKGERFASLLHDFLGRGIFNADGETWRSQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAAEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGPERRLRESIAVVHDFADRIIRSRREEISAGHEKHDLLSRFMSSQDESYTEVALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIRDEVAAVRARREDGDRVGFDLDELREMQYVHAAITESMRLYPPVPVDTLQAQADEVLPDGTAVGAKWFVAYNSYAMGRMEAVWGKDAREFRPERWLDAADDGTTTTTFRPESPFRYVAFHGGPRVCLGKEMAYIQMKSIIACVLQELELVVDGAYRPRQVTSLTLRMADGLPTRVKARHI >OB03G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5647502:5652981:1 gene:OB03G19090 transcript:OB03G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQQPTMVAGWAARDANGLLSPFSFPLRAKGDEDVVLKILFCGICHSDLSTIKNEWGNAKYPVVPGHEIVGVVTEVGSSVSRFSTGDKVGVGYIASTCRACANCRDGFENYCAGLVPSFNASLPGGAEVHGGFSELAVVHERYAVRIPDGAALDRVAPLLCAGVTVYCPMRRLGLDRPGLHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPGKEAEAMDRLAADAFLLSTNAEQMKAAAGTIDGIIDTVSAGHDLTPALMLLRTHGKLVPVGSPGKPVQLALYPLQSGGKSVAGSMIGGMRETQEMIDFAGEHGVTAEVEVIGMEDVNDAMERLQKGDVSFGDSDLDGAPGYVAIGNILSNEQEAYGLKAILDLFGSATGLWVNFTKSAISTIQCSQQEVVLVQSILQCRLEAFPITYLGLPLSQRKLTKPEIQPLLDKFGKKIAGWKPRFLSTGDRLILIKSVLFALPLCLLSVLEMPKWALKEINRKCRGFLWKGQEEINGGHCLVAWKSVYMTVENGGLGIKDLDLFGKALRLKWLAVQHDQKDRPWTKFPIRQPKQMENMFYSATKFTVGNGATVNFWKAHWLPGGSIMNSRKCLFSYVEKSNLTVEKGVHNNRWVRDIKGAPSNAAIAEYFVVWDEVQQMMLSPEQEDAITWKTATKGCFTVAEAYKFSFVSNTLAVCADINWKSHVPAKIKFFMWLADRVRCLTADNLAQRGWPHQAGCKLCSATQESCAHLFVDCRFTYEVWTRLRSWVELDFTLPGERGLALGDWWLEARSCCRTIYRKNFDALVQLTCWMTWKERNNRVFNQKLTSVDEVVHGIKEEIEVWKMAGLLKVISE >OB03G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5654032:5658618:-1 gene:OB03G19100 transcript:OB03G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:J3LLI4] MANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRYNAAKIFSHPDVAAEVPWYGIEQEYTLLQKDVNWPLGWPVGGYPGPQGPYYCSAGADKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIQGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKN >OB03G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5662628:5670367:-1 gene:OB03G19110 transcript:OB03G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01690) TAIR;Acc:AT2G01690] MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSSDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRAEFLAYLNDIFDPLLNALSDPSDAVVLLVLGVHARIAEEPHHFHHLVSYLIHTFHNNHVLLEKRGALIVRQLCVLLGAEKVYRKFSTILQSEIDLEFASTMVQALNLILLTSAELAELRSLLKKALVDSCGKDLFQSLYDAWCHSPMATISLCLLSQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENIKRTSSANPYSQILQVTEDGNRNQDTQNYNAINFPSRLHQFESMQQQHRVHLKNQLQSRKSASAVALSQEIQRFEEAHSSSTSEISRPPSRTSRGIS >OB03G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5673161:5683538:1 gene:OB03G19120 transcript:OB03G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoporin 155 [Source:Projected from Arabidopsis thaliana (AT1G14850) TAIR;Acc:AT1G14850] MLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVEAIQYLLVLATPVELILVGVCCSASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDNGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGNLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGANGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLSTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSSGALIMSDSSASAMSSLLAVQKDSAAQLSLPSTFGTASSSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFSAFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGTGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQTIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDSGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPSSGKSPYSSRIRDVDPIDQSASSKKQRLPYTSAELAAMEVRAMECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTYHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEVSTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDVLARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTITAQREQCYKIVMNALRTLKGVGQSGTQGADKSSGSVTALDPASRGKYIRQIIQLSVQWPDTMFHEHLYRTLIELGLENELLQYGGSDLVTFLQTAGRKHHEEVQGLPVVASKGSNPNNLDAPISTSQTKYLELLARYYVHKGEHIAAARMLLILAERQCSSAEEAPTLDQRYQYLSNAAIQAKSAGITVDSSRNPIDSSTVDLLEGKLAVLRFQMQIKQELEFMSSRLENLPGSSELPNDPFPHDNILADVESARFAMDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIVREIWARLLDQALTRGGVAEACSVVRRVGSKLDPADGACLPLDIICLHLEKAALDRVSSEEELVGDEDVARALLGACKDLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMSVIAHKLGTTTAGASFFLDGTFSLNQTGSLNQGVRDKIISLANRYMTEVRRLNLPQNQTENVYRGFRELEEKLLSH >OB03G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5685175:5689795:1 gene:OB03G19130 transcript:OB03G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAAARALKSVSRAAFSWKPIPLLDGSAQEWVEAIRGAGLCAAKDSNGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRVTCGIYFPKVPAIGCQRFATVLDANVYSSKIAPARTFCVFEEVEKLRVAGLIKGGSLENAMVCSMSGGWLNPPLRFEDEPCRHKSLDLIGDFSLLAHNGNQGFPVAHVVAYKAGHTLHTDFLRHLLGRSTVGQEKLAEQC >OB03G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5698032:5702842:1 gene:OB03G19140 transcript:OB03G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:J3LLI8] MLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRTRPTNNDNEYASSANDGADGSWKSQKKKRDKDEDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPTSNAKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLPAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMTPFSAWPNNKLGMADSSSTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNNLMISSHSSGYLAAMPPSMKHEPVIATSQPSSSLLVGIDLVNQASTSQPLMSTHGGNLPGLVNRNPNVVPSQGISTFQTPNNPYLVSPNSMGVGTKQPPGVLKTENSDSLNHSYGYLGDSTPQMDSGLLSSQSKNTQFGLLGQDDITGSWSPLLNAESYGNNIGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIISPDIFGFSGQM >OB03G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5704095:5707745:-1 gene:OB03G19150 transcript:OB03G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLXXXXXXXXXXXXXXXXPRTIVVANHLPIRAHRPASPSEPWTFSWDEESLLRHLQHSSSSPAMEFIYIGCLRDDIPLAEQDAVAQALLESHSCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHVCLEYYGRTVSIKILPVGVNMGQLKTVLGLPETEAKVAELMATYSGNGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVDEVKGETYAMVRRINDAYGAPGYEPVVLIDEPLQFYERVAYYVVAEVCLVTAVRDGMNLIPYEYIVSRQGNEALDRIVEMSKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVDTHDVGYWATSFLQDLERTCKDHSQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVLAYRRAKTRAILLDYDGTLMPQAINKSPSTNSVETLTSLCRDKNNKVFLCSGFDRSTLHDWFPCENIGLAAEHGYFLRPSRDAEWETSIPAADCSWKQIAEPVMCLYRETTDGSIIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKSTIHSVEVKPQGVSKGLVARRLLAIMQERGMCTDFVLCIGDDRSDEDMFQLITSPTCGESLAATAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLASVSDELVQAANPSEEDDPEEA >OB03G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5715519:5716226:-1 gene:OB03G19160 transcript:OB03G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGDVTTVGEHTCRRRRLPASPHGLLLLAATSSSVSGILPKTKPPASVPARPRWFRRLTLARAAVGRDPETEPSAPPEEAERRSLAVTTGELLLGLAALLLPAGRGGAAAVDEVEARDGVVWEQRPEDVEAERRRRELTSPGFSFSTAGLLFPYHLGDAQCLNAQ >OB03G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5725398:5728614:1 gene:OB03G19170 transcript:OB03G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDPATDKVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKATVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRTSWWNPDGSKKRRFHALEQGPVTDQETSGRGAQIIEYLPTVPETSGQVTPMEGAICSQPVPSPAVATPMDMQTTSNIGDTLGSSEEPFTDNSTLHEWDDSEMQLLFDDNLDPILPPFENDGQVEAPLSIQDYDFPQLEQDCLMEAQYNCNNPQYADVITEA >OB03G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5732807:5733064:1 gene:OB03G19180 transcript:OB03G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAHAPYTLLQLGLLYKSGDTSDRVGKKKKERKRKMTSSWPIGKKNSFSRESTVAYSQHFKFSTGAICSVPSSFLQFIDVSVTYSK >OB03G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5743353:5747785:-1 gene:OB03G19190 transcript:OB03G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTMPASVPTRPRSHPFRRRRGAVAAVPSRLPDQIAAAPAAKRPAESSTSASSCFRSEVISATSTTTTSLAAAQRPEKRPRYQDADEAQPAASECSEIIGGARLCDAEVSVSESSCLASVLESDLACPERLADDAEATEYSSACEDLTLPETEEEDEEVRSGPCICANYSFAPLDESSSSDDDAEPSPTFSLFLAFAEQFVSCPHPKTPAVTDVAVRTGKRFEDLDNEESYERFRRRERRGVVARDYIEVYSSMPGSYGRAVVEQRVVMVNWIIEHSHVMELQPETVFIGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCILQKTFKVGINTYSRNEVVAMEWLVQEVLDFQCFVTTAHHFLWFYLKAANADDRVEDLAKYLAMLSLLDHKHLSFWPSTVAAAVVALACLATDNESSCHLVMETHMRTNNDDLPECLMSLEWLINYASC >OB03G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5752961:5754725:1 gene:OB03G19200 transcript:OB03G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVVLAKDMQDLNVDVSRIQTYVVNGQKGVHLRPMRRSAQFKPHADTPRCLTCFCWLRSAPNRFCSLSCKVGVDISQDEFSGPEAERRHRQVLGNVMESPPLLQNPPRPFDASPVEDEDAIMAEAERGQVQTNATESASSAVAAAAADEIMAEAPVINVDPHSLRRRSRKQAEPQRAPFF >OB03G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5758681:5765161:-1 gene:OB03G19210 transcript:OB03G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKGGGAAAAANTSTLFQMLQLIQTEGLGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVSRGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDIARANSIEASILKDRLYRLDSEKPRPYGTVQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLVKRLQSKRSGKEQPKKNITAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVILIARSRIVLGTSSRKR >OB03G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5766993:5774267:1 gene:OB03G19220 transcript:OB03G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like [Source:Projected from Arabidopsis thaliana (AT2G05120) TAIR;Acc:AT2G05120] MFSPAIRKPHLLHRRCKEEASPSPPPAPAHTPSTRGFAVHDCPATGTPAPWTSSSLLARISTSTRTGRTGDSGQIQPVHVAEFPQVVRNAQANLLQKSFPGKNLLAGGIDKETSLAWMICGNELFIWSYLATVAKDCLVLEVPSSLLGNKGAKSLCGSQWTVCIMRWGSSGASTRSSGDMLHRRSSTGVILCNKRTQAMAYWPDIYTENSKSPVLGLFGCRDTSSDDGTSGNCRISSLIAAAVPGGINECIVIASEPTGTLWLFQCSPAAVNRREIHKGSLGIHGADRSRKNSGGGSLAWLPIKVSSVAAERMFFLLTSHELQCWSISFLHDINCKKIGCQEIVGSDGDLGIKKDIAGQKNIWLLDMQIDEHGKEIDILVATFCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRSQALNSNVVPRRASSEAWSAGERQRPSLTGLSQQAVVDEESEMLLNRLFHDFILSGTVHEVLQKLRAAGAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTVVSSLTEKQQKHEKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNTLSHQRSSINLSPQSKNQTTGALWNLIQLIGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFHCLSHQLQYIITGEENPSVQMQRALELSNACMTLVQAGLRYREQHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMEFLKEPGAADMSMKSNLWSQLEGLTDILLDAYIGLLTAKFERGEEHGVLVQEYCDRRDELLGSLYDLAKQIVDAKYQESTEVTDNLDLKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLLNRRQHAKLLRLGEEFQEDLASFLKERDDLLWLHEIRLNQFSSASETLHTCALHVSPEEGANLTSNRKPLSFVDRRRFLYLSKIAAAAGKDVDYEVKVVQIDADIRILNLQEEIIQHDPEYAHDKYTSKPVRPLELIEMCLRRDRELSLKAFEVFAWTSASFRCSNRGLLEACWMNATDQDDWVSLSQESEGWSDERIQESLQGTVLFNASRLCYSRDAVVYGGSFEEVLPVKKEDLQLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPDTVAAAEPVEMDS >OB03G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5774450:5780936:1 gene:OB03G19230 transcript:OB03G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Conserved oligomeric complex COG6 (InterPro:IPR010490); Has 384 Blast hits to 379 proteins in 190 species: Archae - 0; Bacteria - 4; Metazoa - 151; Fungi - 156; Plants - 42; Viruses - 0; Other Eukaryotes - 31 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G31780) TAIR;Acc:AT1G31780] MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRHSVREGDASKGDHDITFVLDRIFEGACRPFKVRVEQVMQSQPSLIISYKLSNTLEFYGYTISDLLGGDTALCNTIWSLRDAVQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTLARRGRTSSDPIGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQEVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMTYIEDYSSTGIKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHTPEQIRTILEI >OB03G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5783310:5788708:1 gene:OB03G19240 transcript:OB03G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAARLLVPVTLLLLLLMDAAHGSAGSASGGGNSSCTRSCGGLRVPYPFGFSSGCAVRLGCDDASGVAWLGARRELGLLVTNVTPRALVLTLPPNCSRSLNASLEALFTDNYAPAVQNALVVSSCDARSAALTSNCTIPPEAYLEKSCSSIRCVLPPTGANSGSNLFARSEMRRLGSECAGLVSSSIYSNTSGPALQLTALELEWWVQGQCRCSSHASCDEFTAPTTQQKAFRCECPEGFEGDGYAAGAGCRKVPKCNPSKYLSGSCGKLVQIGLLIAGIVFGAMVMGVTCLVYHLLRRRSAVIRTQQTTKRLLSEASCTVPFYTYREIERATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDRVMNEVKLVSSVSHRNLVRLLGCCIEQGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRIAAETAKAIAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFNRAGSEVNLAQLAVDRIGKGCLDDIVDPFLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELDQIQVSGWAPSTDDATFMSTTSSLCSSAPSRCTEKSWGTAKSRRQAAANAVAKQETAKGTVTDSPVSVQERWFSDKSSPSSNSLLRNSSLN >OB03G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5796839:5797881:-1 gene:OB03G19250 transcript:OB03G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEGHNGVPEGAVSFSEEQEALVLKSWAVMKKDSANIGLRFFLKIFEIAPSASQMFPFLRNSDVPLEKNPKLKTHAMSVFVLTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVTRFALLDTIKEAIPADMWSPAMKSAWSEAYNHLVAAIKQEMKPTE >OB03G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5799298:5801747:1 gene:OB03G19260 transcript:OB03G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEEAYAPRAASRSRSRHPTPATQYNAGPGKPRGPNAPRTGGPPPKVLPAISMAELNNITGHFGETALIGEGSYGQIYRAVLTSGEPVAIKKLDPSVSGDSEADFSAQLSMVSRLKNEFFIQLMGYYCDARHRILVYQFATHGSLHDTLHGKKGVKDATPGPALNWSQRVKVAYDAARGLEYLHEKAQPSIVHRDGRSSNVLLFDGYESKLADFSLTAQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKMVQLWVILLELMTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGNDYPPKAVAKMAAVATLCVQYESDFRPNMTIVVKALQPLLSKPAGPGGGP >OB03G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5817015:5822126:1 gene:OB03G19270 transcript:OB03G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEEKGNDARAPLLAGRRRNSVGSMRGEFVSRLPRKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSLEESNVISEEDDIEEQKQSEFAMKISNYANMILLALKIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPGQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGETAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >OB03G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5830021:5836358:1 gene:OB03G19280 transcript:OB03G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAADTAVAAAASGSGIWSRRRDEITLDRLQKFWNDLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFTQIVMYGKTLQRDGSDINRLNTTGEPRIRQGEQEDPSVHPWGGLIATKDGILTLLDCFVNTKSLLALQNVFDNARAREREREMLYPDACGGSGRGWISQRIASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRIRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFAFEDVGMNAQVHRDGINLDQFEDYFITLRAWKLDGSYTELGVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDGADLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKDRDKGKQLLEPKSSDDTSSSTLRNSTSTNDESTNTPDSRDSASEEDNTTAVDLCSPDTFVDQTDCSEVNGQNNMDYCNTLTEFAPTNSSDLSTSGQSKSSRRNLRLRKDFPQDQSSCCYDECGNETGSAGHFQWQSKERTRHSARSCSSMFTTNNRTRDRHNYISFSCDPRDDYAINDNCSSSSAGSCRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVPKQVWEPMDTQKKNVLDNKNNVSGAVCNVDSPKLVEHDISECPNFDTGYEPPSQSSERSRGISETDQPCENNEKNQVTCCGGTIMVEQDRYSTKDGGSRHDEELMMNSASSDGSSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSITEAPSTKTVSRSLLEACAVKGFREYQPKAMRRPHDDILGLNIPPFQDQLMHHQGMHVPPHSSATMGIHNHSWVAPASGYLQYNQRHFYSNPLGFGVPGKQSPDFPGQYSKVHHYPAPAFSYTPQEPIQKSTSNFRVVHPSPTYPNGLHQNGGRPHGDLTLNRHPSKTKPLDLKDAPEENKNSLEGSASSFSLFQFNLPIAPPAPPSSKDDKSGESAAAARTPLAQVQVQPCSREQTNVKEYNLFCSKNGSMFSFISR >OB03G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5836749:5839399:-1 gene:OB03G19290 transcript:OB03G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLSLALSPLLLPAPRPRRQSRPINPGIPRRLRLSLAHGAPSRFAGPVAAAPDGVAIADVVEKDWSFLDAAAAGGGLLRALAAGELSPASRVLAVTPAPSFVAALLSGTPCQLLVAAHESLYVLAGIKEGHDEVRCFHLEGGGGGRGGGVVEAVPERFNAFDAVFVCYLPGMGVSVAALLKSLVKRCSKGGRVVIFLDQGRQNLELHRREYPEVVTADLPTRSSLEKATAGSKFEIVEFVDEPALYLAVLEFQG >OB03G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5844497:5847042:1 gene:OB03G19300 transcript:OB03G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAGIVIGVLAFGLLLAIVSCVCCAKKKKKRPPHMHMPYYTDEKGNVYYANSMPKWQNSVDHGGGWHPQYSPGQPPTSGEMSGSHGTGPLPPPSPGMTLGFSKSSFSYDELASATGGFSSANLLGQGGFGYVYKGVLPGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGAPRVVVYEFGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFRAEVEIISRVHHKNLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGKGVPVMDWPARLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEGGSFDELVDQRLDNRLDGSELERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSGGTDYDSGNYASNISKFRKVAFESSIEDSSEYGTQSSADSGERARRQQQR >OB03G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5849230:5857162:1 gene:OB03G19310 transcript:OB03G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:J3LLK5] MPRSLAGDLTDPEMAQPYMKKDDDDEEVEYSPFFGIEKGAVLQEARAFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRAALVQFHGLALLHQIRQNDRLAVSKMVSGLTKGAVRSPLAQCLLIRYTSQVIRESSVNTQTSDRPFFDYLESCLRHKSEMVILEAARKITEMDVTSRELAPAIAVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDIDDEVRDRATLYIQTLGGEVAVGNTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQSLQDKKAPGKKPPVSTPAPAPVPVVDAYQKILSSIPEFSGFGKLFKSSEPVELTEAETEYAVNVVKHIYGSHVVLQYNCTNTIPEQLLENVIVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIAREVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGARESLAEAVSAVISILGMQPCEGTEAVPKNARSHTCLLSGVFVGDVKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >OB03G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5859406:5869080:1 gene:OB03G19320 transcript:OB03G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G52050) TAIR;Acc:AT3G52050] MACCCLRASTAPRFLLFRAAARRPPLPLAVSRKGFSEQSVMPITDMIENFQGPSMENTPRIPLYDDGLPSSLLTTSPDPSNSVARADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLILDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPAYKSNRTPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVIALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLTSVDEVEDERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFVKLLRALEAYAEGSSADPIIRRAAYLWNKLNS >OB03G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5868834:5873963:-1 gene:OB03G19330 transcript:OB03G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRLDAAAIAGLLQVFLFHFATPQSFIGVNYGTIADNLPPAASTANLLKSTSIGKVRLYEPQPDLVAALAGSSISILLGVPNADVPNLASSPAAAASWAAANIPTTVPVSAISVGNELLNSGDPSLAPQLLPAMQNLLAALPAGSTTKISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAASGLSYTNMFDAQLDAIRAALDAKGYSGVDIVIAETGWPYKGDADEAGATVDNAKAYNGNLVAHLKSQVGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYRSDLTANYDIGLAAAPGTAAPATITPVTVQSTPQPSRGMTPTGFCVTAAGVPGTTQGQQVPQTSSCYLPAGAVSRRADAAVRRLVWLGVFLCLVTLVHK >OB03G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5880207:5883870:1 gene:OB03G19340 transcript:OB03G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPNPHIASRRDLKVALFYAIFFCFLMVSCYVALYLRWFRLSAIFVSFGIFLPVSLKISRHRRLKRKRERRLLLPLSM >OB03G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5905335:5912527:1 gene:OB03G19350 transcript:OB03G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50660) TAIR;Acc:AT3G50660] MAAXXXXXXXXXXXXXXXXXXXAARPESGLGMAAMMASITSELLFFLPFVLLALLTFYTTTVAKCHGGRHGWWRGTKRKRPNLPPGAAGLPFVGETFGYLRAHPATSVGRFMEQHIARYGRIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRAWPPSSTFSAQHEAKKFTFNLMAKNIMSMDQGEEETERLRREYITFMKGVVSAPLNLPGTPYWKALKSRAAILGVIERKMEERVEKMSKEDASVEQDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLGIARRQRLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIKDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPQRFNPWRWKSNGSSAGAAQSSSSFMPYGGGTRLCAGSELAKLEMAVFLHHLVLGFRWELAEPDQAFVFPFVDFPKGLPIRVHRIAQDEQE >OB03G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5916336:5916574:-1 gene:OB03G19360 transcript:OB03G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSDETDGPDEAVSLGRSRCGARGAAPLAVTAGSHKDSATCGGINVTACVIGSTGSFVGANTDMRFVATCSGDADWLQ >OB03G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5916684:5917112:1 gene:OB03G19370 transcript:OB03G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRFSCRFRDPIRRSDAATVQSDLSWMDGRVRLSARGNHGSRRGAVWIWREAARPRIWGAGSETGEFRIICSTRVASFLEHAATRADLWMSWLFCAQIGHDEAFPGCSVRVNAAKPAG >OB03G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5916611:5920758:1 gene:OB03G19380 transcript:OB03G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALAWQATVIKMFFCVPGGVRTGVILFSPGFSPPSGVPCVGYLRLHCDHNFQQRSRANLTWSYSARTKTL >OB03G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5920197:5920403:1 gene:OB03G19390 transcript:OB03G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLKVYTCKRAKSNTHELLWRTADGHELLLLWSLSITVDTFLSFGPIKVGVDIIYLLIKLIYLCEC >OB03G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5926792:5930702:-1 gene:OB03G19400 transcript:OB03G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34500) TAIR;Acc:AT4G34500] MDAAGPPPPAVGSVDVSGGGADSGARTSFFGLSTWGAAAAAAAILVLVLVAAATARLFARRRRGAKHLSISRVEHAPSSGSLRPTSTPKEKDYVDAEVGAGTGTSSSDVASSSGAAASYLESPVRRKPERISCAAAMDMGWGRWYDLEELEAATGGFGVQNVVGEGGYGTVYRGVLAGGEVVAVKNLLDHKGQAEKEFKVEVEAIGKVRHKHLVGLVGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGKRPVDYSKSVGEVNLVEWFKGMVGSRRVEELVDRRIEDPPAARALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRATHRTSPNNTRPLLMSEKVGAEDLDRSMWR >OB03G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5937261:5937680:-1 gene:OB03G19410 transcript:OB03G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVEEEAEAEESAPAAAFLRRIMACGPSAWRAPTSASSSLVAAEAGGVEIMSVLPGYQRIPSAYGTALPDDADDGASGARSSSSPACLPRGSWLLWGALTRAVQRGWHRGDEEAEATAKESSWRRAPDRRWPVQGWC >OB03G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5939020:5940025:-1 gene:OB03G19420 transcript:OB03G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPVAEVARATPEPSSWLNLKVFFLRVSRCEVNESMADSLTVTHAPLTPGTVLEVNGGSVVSSGHVSLRMDRAGAVSEGGGGACTFVSTADVRVSRSVRFEVQGGGERLLVGILETCDAGAAGKGGGWAMKCQVAVQRGSGLLRRGKETKPPVVEVYVAGLARGAPVIFTKAMQLRFRRVQEAAPGQGLHGAHPRVRRAGGGRERNAASEASNRAAPCMQAASEYRCYRPEPDGGDADCDGYYVSPAGEEGEDGDFSWFTAGVRVGVGISVGICLGIGIGAGLLARSYHSTSRSLKSRLISSLL >OB03G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5944643:5953672:1 gene:OB03G19430 transcript:OB03G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMNTQGKPRLLKFYSFQPPEKHQDLVRGVFQPCYYLRLLLNLYAIVNAWKILVEERKKNVDDFFTFPCCKNLRVGAKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARVRGLEPLLHSAPMSDLRRGLDMRRELPSAEVVGRLKDDGDFDGLRRAIIRKVKDNEVLRRNIIAEVKQSVVINEDGSEKLKLKDLSDAIYQDIGSKIMGQISDEIWAVIQSNETDIRGTVEAVYNRMMNPEQQQGSPKKPKRNPKEEQVSPAKASTSVAVHLEDDDPEEPPGFGFSDHQRSNIMATQQQQQPPNMENHNQVKPNEGEPNAVSCPGDDEEDPDVPPGFG >OB03G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5966219:5967873:-1 gene:OB03G19440 transcript:OB03G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTAVDFSDNNLSGEVPATGQFAYFNATSFAGNPGLCGAFLSPCRSHGVATTSTFGSLSSASKLLLVLGLLALSIVFAGAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAMGRSGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAIEAAKGLCYLHHDCSPPILHRDVKSNNILLDAEFEAHVADFGLAKFLRGSAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVQWVRMVTGSSKEGVTKIADPRLSTVPLHELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTAATPMDDAASHGPGKDQGTTTSPEKPQQQDGSRESPPQQDLLSI >OB03G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5968286:5968903:-1 gene:OB03G19450 transcript:OB03G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGISGAIPPEVANLTSLDTLFLQINALSGRLPPEIGAMWALKSLDLSNNLFVGEIPASFAALKNLTLLNLFRNRLAGEIPEFVGDLPNLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNRLTGVLPTELCTGKRLETFIALGNSLFGSIPDGLAGCPSLTRLRLGENYLNGTIPAKMFTLQNLTQIELHDNLLSGELRLD >OB03G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5976258:5976500:1 gene:OB03G19460 transcript:OB03G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLRFVQYYLILINHLPPITFASCVLPPVLPSHPTPWSHYLPLSPHSLAPPPSKERNGKVILVPPSSSPVSFGHTSNS >OB03G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:5977517:5979537:-1 gene:OB03G19470 transcript:OB03G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSNKVSLSSNLNLQTGLAEHRVLDDIYRNIHTFSDGRAKPVAHGAGKYIHFLREPEELTYMYHQEEHSRMQEQFVGGPLLEPPVHFDQFNTTPMASSNPFHPSQGASFPSFGGSELPSLTFGAAATKNEPRMQPSPSSSNVLSFAGQAQGATLDFSGRTWQQDDGVSVFQQPPERRSRAPVNAQEHVIAERKRREKLQQQFVALATIVPGLKKTDKISLLGSTIDYVKQLEEKVKTLEEQHGTRRTAEPTTAFESKCRITADDDDDDDAGPSGSASGADDAYSPTVEANIHGSTVLLKICCKERRGLLVMVLSELENHGLSIINTSVVPFTDSCLNITITAKVEEGFSTAVELVKNLTVAVKGFK >OB03G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6003750:6005985:-1 gene:OB03G19480 transcript:OB03G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LLM2] MCNSGTNSSPAPPAPPPPLTSFKNSSHLLRLVDDDGDDGHALLLSKVCVSQVAGEAQAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKQLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRAACAVWMARAVAATDWDVEVARAKELTKASTTSTTNHQHECNTTASAKATAIAVHTKTTTSPAASNINAGGASSDIHGYVPISESGHNNDGSDLEKLEEGLITTTIPGYSGGGGGDTNARDTPQCSNGGAGTAEGNASSSSSSERAPLISEGDDEAGEEHDGDGGGGGHNV >OB03G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6008391:6008768:1 gene:OB03G19490 transcript:OB03G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLCNEIASCRSKISPHLLYLNKISMSKKTYTIKINSNNSKIKTTQQKPCTYLKQYGLRDELGCYHYVLPELRGYHLFPLHQEKTDRRTEPHPKLGRKTKWRSKETFACPSLRACYNASVLDY >OB03G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6037793:6038026:1 gene:OB03G19500 transcript:OB03G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGGLFSNPSPSPLLPLPPGSNGEPRRPRPRMTSPSLPGLGKCSRKRVRPPLPSPPPTKADRMEPGINYSYYHFYQRY >OB03G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6053715:6056396:1 gene:OB03G19510 transcript:OB03G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVILRPLVVGGHGGGGDGVPSPLLRGWLEFRRSGAPARFLCFEGGAWTDVAGEAAAQLRGAFLEGRTVSEAACSGRVFLFDFLRMVRVDAATAEEAPLGWIDERGACFFPAPEGGRKRKRDEAGSEVKAEQRRVEDGDEASSGVEERSGESPEAAESGRKKARGAWGKAVRLDETERFYKVVEKLFLSRMASFEAARGVAITAVHKVALGPRARAFNLQGQLLAAARGSGGSNAKFAWYGAPAEDVTAAGEHGFGRTNGWLLGGRAHGDGIHLSPPQHPYASAMLTKPDEDGEAHIVLCRVLMGRPEAVPAGSSQFHPSSDYYDSAVDNMENPKWYIVWSADMNTRILPEYVVSFKYPSLPQMEGSLGSASKLKKPSSPAVTRDMFPMLLTEIQRFVPSPKWQNLQRTYNCFKKGQMKKDQFIRFLRSTIGDKVLTTVAKKLRGY >OB03G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6059133:6064020:1 gene:OB03G19520 transcript:OB03G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:J3LLM6] MRLHGEVSFDEDEEEVAVVPAALPSPLNGGAVAVTRLVVGYALTKKKVKSFLQPKLLLLARKKGITLIAIDDTRPLADQGPFDIILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAIKHLNNRQSMLAEVSDLNMSSFYGEICTPRQLVVMKDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGEYIQVVRRFSLPDVNTYDLLNNTGVYRLPRVSCAAASADHADLEPHIAELPPRPLLEKLGRELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLVNLAQSKYKKCLSGG >OB03G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6066050:6072019:1 gene:OB03G19530 transcript:OB03G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:J3LLM7] MRSICAMRSLLAASTFLRSTASSLRPASRTLPSRLSLSRPRLGAARPVSAAAAESRGGGGSDSGMDDHLVRSGEIHVIVGPMFAGKTTALLRRVQVEAGNGRNVALIKSDKDNRYGLDSVVTHDGTKMACWALPELSSFQDKLGAEAYDKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEATRIVLERSTVIHAMK >OB03G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6094174:6095540:1 gene:OB03G19540 transcript:OB03G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVVGGGHLLSDAGLALGLSLGGGTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGRRRRRREHPQEAPPDQGAVRAPGGPLPGAQHAQPGTSQAESRFSEATEPPAKAGGGLVPKQKSKDKAEADGGGLRVPQALLRDAHRGEPPAAARAAGAPRGQVRPAAAXXXXXLRARRWPGVRRQGRRRGQGRARPDHHPPLLQPLHPLRRLLIDPSAARARARVHESAQFCTEQTCHCC >OB03G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6109673:6109849:-1 gene:OB03G19550 transcript:OB03G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACSQPPTYYRLPLIRCAFDLASTCSQSYLAYPLRFFLFFLIVGKLLLLYHPVIHACRL >OB03G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6113735:6116808:1 gene:OB03G19560 transcript:OB03G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3LLN0] MAAASSASSAKRALLPWARDAHHALTRVLQGSGGGGGGGSSFRGALPTLAAGRWSLLQRRWRSSLPQLDSTVDRSDEDSGGDIDWDNLGFGLTPTDYMYVMRCSLEDGVFSRGQLSRYGNIELSPSSGVINYGQGLFEGLKAYRKSDRQGYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDAIHRAMPGGTGGVKTITNYAPVLKAQMDAKSKGFTDVLYLDAVHKRYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELARDRGYQQVEERLVSIDDLISGDEVFCTGTAVVIAPVSTVSYQGQRYEFRTGQDTLSQTLHTTLTSIQMGLAEDKKGWTVAID >OB03G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6119039:6122415:-1 gene:OB03G19570 transcript:OB03G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASSGQFVGVAAATLLAAIFLASVMRWRRRRCQRREAASEGGCRVGGGKGGDRTDIIIVGAGVAGSALAYTLGKDGRRVHIIERDMTEPDRIVGELLQPGGYLKLIELGLEDCVEDIDAQRVLGYALLKDGRNTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEEGGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMAQYLKSVVAPQIPPELRNSFIAAIDKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVAFSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQARNEMREACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGFGRLMLPVPSPKRMWIGARLVSGACGIIFPIIKAEGVRQMFFPATVPAYYRVPPPME >OB03G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6124552:6129175:-1 gene:OB03G19580 transcript:OB03G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGRGSPPSLPPPIKRKNLEASVRRLLVPPLPSSPLALRCTGVRVGGAPQPPRNPPHVERLRALGGAHHCCGMAEVAGAGQLIAVAVATLLAAIFLAALLGRRRRRGRSSRSPSSPGKAAPVDGSGVADGEGCDGDGRTDVIIVGAGVAGSALAYTLGKDGRHVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEEIDAQRVLGYALFKDGKNTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEEDGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPME >OB03G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6142640:6145616:-1 gene:OB03G19590 transcript:OB03G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLLPLPSRLPAPSSPRHLHPSPSPSPRRLPDAVVVVPDARPWVGDLSGAAASYRDGSEEDEDDAGEEDGDEDEDRSLDLLVRFLHSVFRKVSRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWILKGLLEVVCTFGSMVFVSILLVRGIWSGVTYIRENRYSYIHQIDNDDNRWSRVQTAG >OB03G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6147244:6151314:-1 gene:OB03G19600 transcript:OB03G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPRTAAVNNRGHCFEVGANQLDLVMDFDEPILFPVHNASLQEGIQFYNPISVSMFQHSPDSQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPEREISMEHAGEKAGDADASREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELSSGLDLQDILCARDARSAFLGCSQRLSNAHPSLYRGAQQGFSRPGLYGVTVNNPADVHMARTTQLATFPQRGLIWDEELGNIAPADFASDAVRTSSLENSDSMKVE >OB03G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6155546:6157069:-1 gene:OB03G19610 transcript:OB03G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGAVAETPRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLAPDPSAALPAFGVASGDLLHFTLSPLPSPLPPPSQPQPQSQPLHRNPNANPSPVVPSIARAVGSTKSPVEPDAPSLARAFDAIKSPVDSGSSSSLPQASYLKPGLPSASDPHHPVPPDVVMADAFAATRSTPSFVVKAIKREIENAGGAGGSIISRLAVALHAALLDSGFLSANPVGSRLQMPQDWGSGSFIPISMKYTVPELVEALPVVEGMVAVMSYSLMGNFMIVYGHVPGAPSEVRRLCLELPELVPLLYLDSDEVCATEEREINQLWRVLKDEMCLPLMILLCRLNNLSLPPCLMALPGDVKVKILDFIPGVDIARVQCTCKELRDLAADDNLWMKKCEMEFKAQGEGSRVGRTWKERFGAAWKVSTNKRPKTTRPRFSNYRRGYYPYSPHVFPVIGGDTDRLPFFGHRNPLGRTFGNQRRNISPSCNFSGHGHNFLG >OB03G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6163273:6166937:-1 gene:OB03G19620 transcript:OB03G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGSNWLGFSLSPHMPMKVPSSSGPATAHHHPPPDAADAMSSSPPNSATTTCNFLFSPPAQMVAASPGYYYVGGAYGDGTSTAGVYYSHLPVMPIKSDGSLCIVEGMMPSASPKLEDFLGCGNGTAHDPATYYSHQQGQEEDASRAAYQHHQLVPYNIQPLTEAEMLQEAAAPMEDAMAAAKNFLVTSYGACYGGSQEMQPLSLSMSPGSQSSSCISAAPPQHQQMAVVAAASPVAAAAGGQGSNSNDGGELRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQVYLGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRDEIEEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAATDHVPVTQPSIGRELCATTEEASAAATAGTDWRMVLHGSQQQAEACAEAPTTDLQKGFMSDAHSALHGIVGFDVESAAHEIDVSGGKISGINFSNSSSLVTSLSNSREGSPERLGLAMLYSKHPSAVSLATMSPWMPMPAPAAAHGMRPPGAIAHLPVFAAWTDA >OB03G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6187119:6188099:1 gene:OB03G19630 transcript:OB03G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSKLAALFLVATILLCLICTRSQAARPEPGSNGHKSQGVASTIKSGATSGTRVEMHQQEPEASECLQGGEAEEECLMRRTLVAHTDYIYTQGSHH >OB03G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6189622:6192579:1 gene:OB03G19640 transcript:OB03G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22870) TAIR;Acc:AT2G22870] MLASGVRYHGKRSRRPPRATTRAAAPIRRFPAVAMLLRPRLSLLRAVAPHPVSPRASLPVRRTLSAAVGTASAGDAAPPAPAPRAGPKGGRRSAPPRGPSVNPALFFPPGVERDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSAQTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDLDCANWLGRNNIGLTFVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATISS >OB03G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6197948:6199327:-1 gene:OB03G19650 transcript:OB03G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LLN9] MPQYQELPCGGQVLDIDTALKDGILGGAPELGDAAAGDGGKQPVELRKMMDELDAAGDGGGDEAVPVVFICPISLEPMVDPVTLCTGQTYESANISRWLALGHRTCPTTMQELWDVTPIPNTTLRQLIAAWFSRRYTRFKKRSADFDGRAAELVHGLRGTAVPKRQPLKGQARVAALRELRSLAVTHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVSLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMEEKGFRPETVASLSLLVGVMRLIRDKRHPDGVAAGLELLNSICAVHKPARSLIVSIGAVSQLVELLPELPTECVEPALDILDALAAVPEGRTALKDCPRTITNAVRLLMRVSEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >OB03G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6206187:6206778:-1 gene:OB03G19660 transcript:OB03G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLASGADSGLPNLARPARTRLARSQQSALWRLPTPMVFGDRPVVVTKNSTYSANNIVEFLDAIGFSEGVQPYVTRVLPMWQVLPAPMVPVTSIYGVGVRTPETFVYGEDGFEGTPEVVYGDGDGDMNMVSLVAAEKGWSGVEGQILKVVRLPGVRHTGFFSDDSSLTKVVAQIQEAVVSIELHRKEKIVSLQSSF >OB03G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6208627:6211723:-1 gene:OB03G19670 transcript:OB03G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVGEEVEAARWAEEEEERKEGLRRRRYGLVEYRALPGYLRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLVLTIYTATKVPNVVDLQSLQHLPDVLRKADLHKIQTELVACLPSLPHLSDLQKMKDELKSSWNSIEVLPSLSRWHLLELVSSCLPHRFTHSNETSLSVLQSMKEDIANLIAPQLIRPIPRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSLITMLGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTGYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRNLQGC >OB03G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6221548:6222624:1 gene:OB03G19680 transcript:OB03G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLARSIWAGKNANAAACPAAATAKTPASVSPARRPLPAVDDCPTLAYLRPRPGTVRYTTASVPRPAHCFPAFPVGDQLFNRLRLDGLIPPTPVTRPPEEGVGVTVEEARKVARAAEMEVARARLRSNAQSVVSGSEFAALCVDIAGGAEGGRRLARALDDSGVVIVIGDAVFLRPDMVARAIGSMIPATQATRAAASSAVDVDVDARKRRELQAMEEQKAAIDVAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFSRSRLASRQRRLMRARRFDVARYEALKRQMVGRNACSVAAAHGDCTERDAVVFRQHVTHVH >OB03G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6221566:6222621:-1 gene:OB03G19690 transcript:OB03G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCDVLPEHDGVALRAITVRRSNGAGVPSYHLPLERLVAGDVEPARPHEPALPRREAAAGEPLEGRLRGGAHEEGVAGHEVERRDVEADGLHDVPRELPEGEPHEPQRLRRQEAQPAPQLPPHLRRRRHVDGRLLLLHRLQLPPLPRVDVDIDGAACCCSRRLCRRNHAPDRSRYHVGAEEDGVADDDDDAGVVERPGEPAASLGAASNVDAERGEFRPGHDALGVGPQPRPSDLHLRSPRHLPRLLHRHAHPLLRRPRYGRRRDEPVEAEPVEQLVANGEGREAMGRSRNGRRSIPDSPRSGPQVGERRAVVHRRQRAPRRGNTRRSFCRSSRGTSRGVRVLACPYAPC >OB03G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6230811:6231846:-1 gene:OB03G19700 transcript:OB03G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRQITAAAATVRAFLVQPAALRKTIPCHFFHSATRSLLNSNQLFMEAGRTLSLVLLVGVIWSQLQFGADAASTTVFTLLNNCTYTVWPATLSGNSAVAVGGGGFELAPGATVSFPGPAGWSGRLWARTDCVPAPSGASLSCATGDCGGAVRCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVTATGDKVNLSTCGYAGCVGDVNALCPAELQVAGKGGGQSSGTTTAAATTVACRSACEAFGTPEYCCTGEHGGPDSCGPTRYSRLFKAACPAAYSYAYDDPTSTFTCGAGAQYLITFCPAQQQ >OB03G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6233765:6235665:-1 gene:OB03G19710 transcript:OB03G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWNLCVLAVVLAFQLDGGQAVTDGGARGGARFACNVSMPCDTFVVYRTQAPGFLDLGNISDLFGVSRALIASANRLTTEDGVLLPGQPLLVPVKCGCTGARSFANVTYPIRARDTFYRLAVTAFENLTAFGLVEELNPAAEPTKLEPWQEVVVPLFCRCPTPEELNAGSRLLVTYVWQPGDDVSVHRRVERRRGRLHLHGVAGASPFATGQPVLIPVSQPPRLPPLRYGAIAADSRVSKRRRGSIVAASIAGSFVACAALCTAILAYRRYRKKASVPRLVSPKLSWTKSLNRFDSNSSIARMINGGDKLLTSVSQFIDKPIIVREEEIMEATMNLDEQCKIGSSYYRAMLEGEVFAVKPVKGNVSGELRMMQMVNHANLIKLVGISIGADGDYAFLVYEFAEKGSLDKWLYQKPPCSLPSSSSVTTLSWNQRLGIALGVANGLLYMHEHTQPSMVHGDVRARNILLTAGLRAKLSNFSLAKPVTVDAAATSSDVFAFGLLLLELLSGRRAVEARVGAEIGMLWTEIRAVLDAGGDKRAAKLRKWMDPALGGEYGMDAALSLAGMARACTEEDAARRPKMAEMAFNLSVLGQPLSVADAFEKLWQPSSEDSIKIGNAVAAR >OB03G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6239987:6244115:1 gene:OB03G19720 transcript:OB03G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHAEDVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPHSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCLMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTPDERELKQNLFEDQQQQLEFNVERLSGFLEREFQNFTDDEVMDTMKHVINLSTVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVYWDSSEQGLQSMKCSQDEHKSQPGFSGSSILGKQPLGSSSNNNGRPPKRERNDAHGGAALFDLNVPAEVADKI >OB03G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6248251:6251787:1 gene:OB03G19730 transcript:OB03G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDSTDSPSSRYNAQQLQNARELKDQNSNNKRLSRTTKLPCSLTQEVRHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKHTEELIKEIAVLELEVICLEQHLLALYRKAFDQQFSSATSDCDMETNKQPARSFSGILTGSSELDFSTPRKHQLMQSSGMVLARKSTPTALTSETSTSHYNEKTSIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYMKIRDFPAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDILHKYKSLVQLLESVDLNGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRVLLTKVSYLISGQRVNPELIEYQILRCRVHSPAQWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPMVRLYRPDRLLQQLEAARDEFIRANVVVRGSRRGRRVVNTHVFSV >OB03G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6247488:6251283:-1 gene:OB03G19740 transcript:OB03G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTFLHVVNWAVSYVDDDRWAVGAGGPTRSRASRYRKAGLWGXXXXXXXXXXXXXXXXFGRRRSTLRPRRLPRTTTLARMNSSLAASSCWSSRSGRYSRTIGSEWLPDDSAKCTSGSGRSTANPCRSSFSLDFHFGYRSSLSHCTTQIEAGCVMQLLPGRVGGKMQEVEQTCAGECTRQRRIWYSINSGFTLWPLMRMSLTSADL >OB03G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6252467:6253346:1 gene:OB03G19750 transcript:OB03G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEESNGAVSGGVVSFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEIAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVVKFALLDTIEEAVPADMWSPTMKSAWSEAYDHLVAAIKQEMKPAAE >OB03G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6254985:6255893:1 gene:OB03G19760 transcript:OB03G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSNGVLRGEARFTEEQEGLVIKSWNIMKDDSANIGHRFFLKIFEVAPSARELFSFLRNSDVPLEKNPRLKAHAMSVFVMTCEAAAQLRNTGKVTVGDTTLKRLGATHFKKGVSDAHFEVAKYALLETIKYGVPASMWSPAMKNAWGEAYDHLVAAIKQEMRPAALL >OB03G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6257244:6257423:1 gene:OB03G19770 transcript:OB03G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKAIDRTRKITLISDFGKISGSRGTEKQILYLISKSSYNTSSSRIICWEIIMLTHVPHG >OB03G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6258049:6261067:-1 gene:OB03G19780 transcript:OB03G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSSTRRLLRSPLIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >OB03G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6261521:6262381:1 gene:OB03G19790 transcript:OB03G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSNGVLRGEARFTEEQEELVIKSWNIMKDDSANIGHRFFLKIFEVAPSARELFSFLRNSDVPLEKNPRLKAHAMSVFVMTCEAAAQLRNTGKVTVGDTTLKRLGATHFKKGVSDAHFEVAKYALLETIKYGVPASMWSPAMKNAWGEAYDHLVAAIKQEMRPAALL >OB03G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6269810:6272273:-1 gene:OB03G19800 transcript:OB03G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >OB03G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6272711:6273211:1 gene:OB03G19810 transcript:OB03G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGGNDRELGNRGVRAPRRLGERKHGEAASVASDGGSPVALTTHCVHSVVTGYVSREDFAMLIHARAPPGGSAAAAASAARPSDRARREARDGVLHRQPRSPLVHTFSFGRTTTRRRCTPSPRSSAGRSPSSRTRRQSRTGSLSASLASSPSLCRSSGSVEAN >OB03G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6277414:6278645:1 gene:OB03G19820 transcript:OB03G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LLQ6] MATSIGTAPLAVALCALLALTAVGGARAWTPMSPSYYDYSCPSVHDTVRRVIQEARVANPRAPASLLRLHFHDCFVNGCDGSVLLDNAGAMQSEKNAPPNNGSARGFDVVDTIKAALENACPGVVSCADILALAAEISVELSGGPSWNVVLGRRDGTTANFDGASELPGPRDAVGLLRQKFSDLGLDDTDFVALQGAHTIGRAQCRLFNDRLYNISGTMQPDQTLDMAYLNELRQCCPASEPESTTLRNLDPPTPDAFDNSFYANIVRNRGLLQSDQGMLSAPGGAAATTAPIVVRFAGSQDDFFQSFATAMIKMGNIGSLTGSLGEIRRNCRVVNRG >OB03G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6279803:6284028:-1 gene:OB03G19830 transcript:OB03G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPQRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGTATGNHRRAPAVAVGFPRAHAVAVPIVQPQHHMAPAQGRSFPAAPRAVVAGVSSRPEHPPRGVPIAPQAQPKANPIPIVTPSLQEISSSKDSERSKEDVTTVVINDRKVNLVDSESGSLYALCRSWVRNGVPHESQPSFGTGAPILPRPLPASVVDSRISEKDSDAEKEDSEEEKNETVEYTVSALLKQHVKRAKMIRAGLQKERLRRIERYKQRLALLLPPPSELGKHDGHPYQ >OB03G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6284866:6287184:-1 gene:OB03G19840 transcript:OB03G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LLQ8] MGAAAALLLVAMAVAAVAGASAQLFDEYYDGTCPDVHRIVRRVLKRAHQDDPRIFASLTRLHFHDCFVQGCDASILLDNSTSIVSEKFAAPNNNSARGYPVVDAIKAALEEACPGVVSCADILAIAAKISVELSGGPRWRVPLGRRDGTTANLTGAEDKLPSPRDNLTTLRQKFADVGLDVTDLVALSGAHTFGRVQCQFVTDRLYNFSGTGRPDPTLDAGYRALLAKSCPRRGGNSSALNDLDPTTPDTFDKNYFANIEEKKPPRGLSRVEILRLIEEE >OB03G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6289152:6292841:-1 gene:OB03G19850 transcript:OB03G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LLQ9] MEYSRGDHTSNRLKLLCKIAVLLCIGAAAASGQLTDDYYDYCCPQVYRIVRSRVAAAMKAEMRMGASLLRLHFHDCFVNGCDASILLDGSNSEKFAAPNNNSVRGYEVIDTIKADLESACPGVVSCADIVALAAKYGVLLSGGPDYDVLLGRRDGLVANQTGANTNLPSPFDSISTITKSFQNVGLNVTDVVALSGAHTIGRSRCLLFSSRLANFSATNSVDPTLDSSLAPSLQQTCRGGDNQLAALDVNSSDVFDNHYFQNLLANKGVLSSDQGLVSNSDDPTVAATKALVQAYSANGQRFSCDFGRSMVRMGNISPLTGKAGQIRKNCRAVN >OB03G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6295213:6300839:1 gene:OB03G19860 transcript:OB03G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37170) TAIR;Acc:AT4G37170] MFLSRIVLRDLDSIDSPASMASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRIESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQAFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKGCRVQLTSCSTDVRIAYKYERSNRFNRTTVFPSSWNLGAPDLCAYNTLISALSRFPRHLPSARALFDRMPQRDHFSWSALVSAYARHGQPGAALALYRRMYEEPGNAVADNEFTASSALAAATAARCARAGRELHCHVVRRGIDAGGDAVLWSALADMYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFRLFLHMLRAGGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGYGDSCFAESALVRMYSKCGDMGNAVRVFEATAKPDLVSWTAVISGYAQNGQPEEALRYFDMFLRSGIRPDHVTFVGVLSACAHAGLVDKGLEIFHSIKEQYGIENTADHYACVIDLLSRSGQFERAEEMISKMAVKPNKFLWASLLGGCRIHRNVRLARRAAEVLFEIEPENPATYVTLANIYASVGLFDEVEDVRRIMESKGVTKMPASSWIEVGRRVHVFLVGDKSHPQADEIYALLKKLSVKMREEGYVADTEFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPEGAPIKVFKNLRICGDCHTAIKLISQMVQREIIVRDSNRFHHFKKGSCSCRDYW >OB03G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6300770:6305959:-1 gene:OB03G19870 transcript:OB03G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAEDRRLQVREEGGGDQEPLLLPQQGASLYTGDGSVDINGCPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTKLHQGNLEAARNVITWQGTCYLTPLIGAILADSYWGKYWTIAVFSAIYFVGLAILTLSASLPALQPPICSGSICPEASLLQYAVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPAERVKKGSFFNWFYFCINLGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNIYRFQKPGGSPLTRVCQVVVAAFRKWHTKVPHDKSLLYEVDGQISVIEGSRKLEHTSELEFFDKAAIISSADAKSDSFANPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSLFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPLYDKVLVPIARKFTGREKGFSELQRIGIGLVLSILAMLSAALVELRRLEIVRSEGLIHEKVPVPMSIFWQIPQYFLVGAAEVFAAIGQVEFFYDEAPDAMRSLCSAFALVTVSTGSYLSSIILTLVSHFTTQGGNPGWIPDNLNEGHLDRFFSLIAGISFVNLLVFTVCAMRYRYKKA >OB03G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6306644:6311348:-1 gene:OB03G19880 transcript:OB03G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLEGERQSLVVRTTEPEDVDDYTGDGSVGFSGNPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRMHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYQTIAVFSTIYFLGMAALTLSALIPSLQPPQCIGSFCPQPTMPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPAERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTVFIAFAVGSFFIGSQIYRYQIPAGSPLIRVCQVVIAAMRKRNVDLPVDSSLLYELHGKTSAIEGSRKLEHSSEFSFFDKAAIISWNERGGSHDPWRLCTITQVEELKILLRMFPIWATGIVFFTVCAQNSSMFIEQGMALDNQIGSFKIPPATLSSLDVISIVVWVPIYESFVVPIASRLTGKERGFSELQRMGIGLFVSTTAVAAAALVELKRLEIARSEDLIHHKVPVPMSILWQAPQYLLIGIGEVFTSIGQAEFFYNQSPDSMRSLGSAFALVTVSLGSYLSSFILTLVSYFTSRGDNPGWVPDNLNEGHLDRFFWLIAGLSFFNLLLFIHYARQYKCKTATI >OB03G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6315355:6319708:-1 gene:OB03G19890 transcript:OB03G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYQLDKPLLDEENSSQVNTVEYTGDGSVCIRGHPTLRKHTGNWKGCSFAIVFSFCSYLAHASIVKNLVSYLIKVLHETNMAAARDVAIWQGTSYLASLVGAFLADSYLGKYCTILIFCTIFIIGMMMLLLSAAIPLISTGPYSWIIWTDPVSSQHIIFFVGLYIVALGYGAQCPCISSFGADQFDDTDEDERTKKSSFFNWSYFVVNAGSLISGTVLVWVQDHKGWIWGYTISALFLSLGFGTFIFGSSVYRFQKPGGSPLARISQVVIAAIRKRDIDLPCDSSALYEFLGQRSAIKGSRKLEHTTGLEFFDRAAIVTPADCEPDALQNTWKICTVTQVEELKILVRMFPIWATMVLFATALDQMFATFVEQGMVMEKHVGSFEIPAASFQSIDVIAVLALVPVYERVLVRVFRKFTGRANGITPLQRMGIGLFFSTLSMVSAALVESNRLRVAQDEGLVHREMAVPMSILWQGPQYFLIGAGEVFSIIGLTEFFYEESPDAMRSLCLAFSLANVSAGNYLNSFIISLVPVFTAGEGSPGWIPDNLNEGHLDRFYWMMAGLCFLNMLAFVFCATRYKCKNAS >OB03G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6345189:6348010:1 gene:OB03G19900 transcript:OB03G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3LLR4] MVLSKAVSESDMSVHSTFASRYVRSSLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRRAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLEWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMEGLVKTGRFNIVSKDDGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAEHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSKLMPPVPPAPLLVAAKKTELETQRSVTEAWKKFVLAKKTNGVC >OB03G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6348747:6349490:1 gene:OB03G19910 transcript:OB03G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGEGEGGGPPAKKAGWSKEEDERLREMVRQNKAKDWGVIAAAFPGRTDKSCRLRWRQHLDPSVDAQPFTPDEDRTIVELQLVHRNRWSTIAAFLPGRSDNAVKNRWNTHLRKRRAQDDGQQQQQQPLERAPACLPLFPLTPGFHHSTVRPMPVGENAPGPERSALPECLELFPLSLGDVMDNATGAAAMYVDTDGICSLTEMRLSPPAGDVDIGAVCSPPDTESRLAPATVVFDAMPLQAFWVE >OB03G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6351717:6352430:1 gene:OB03G19920 transcript:OB03G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSASVFQICSFAVAAVLLATTAAARPATSTIATVAAANATVTARRDGHGRSSPLSTATTEEQQQYICYLCRGRNPLMIRWCPLDEDGGPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTRGRRAHDDAYVCS >OB03G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6353493:6354148:1 gene:OB03G19930 transcript:OB03G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVSAASIHHASYHVLLLLIAATATAVFTTGGDGGTGVGNAPATTTTAGGGGSTEIYVIVITLGCSQTASCLLSCGGGDLAAGGEEAGRAPLGAAPPPVAATAPKRTLQMPPPRVADFQRCGAQAMTAPTPRAITGGS >OB03G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6355450:6363452:1 gene:OB03G19940 transcript:OB03G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) TAIR;Acc:AT1G78770] MRDTKFGFPPVPPPSTATASQKNPKRRREEEETTGAEGEGEMREDAVERLRGGGRGSGGEHGAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGQTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFMQAKSICPSDPLIYNELGVVTYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQKAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFCTDMLTLALESSCQSTARIR >OB03G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6369530:6372974:1 gene:OB03G19950 transcript:OB03G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3LLR9] MYYDDLPLWGFIGKVEKGGKADPSEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRYPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >OB03G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6373884:6374183:1 gene:OB03G19960 transcript:OB03G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNNRLVSLRQVIWHRDIIAWRHETWCRDRVDWGQGCVNLGWCRVRSTNPILAAHIVAPNCDIGLAIGWVVARLGKNDPGLNFIPGSEIENRVKLFLEQ >OB03G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6377237:6379206:1 gene:OB03G19970 transcript:OB03G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMSGAAGGLAAMPRVGMGTAVQGARPEPVRRAVLGAIGAGYRHFDTAAHYETEAPIGEAAAEAVRSGVIASRAELFITSKLWCSDAHRDRVLPALRQTLRNLQMEYVDLYLVHWPVSMKPGRYRGPFTADDFVPFDMAAVWEAMEECPRLGLAKAIGVCNFSCKKLETLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGIQICAYSPLGASGTHWGSDSVMASAVLHDISRSKGKTVAQVCLRWVYEQGDCLIVKSFDETRMRENLDIVGWELTEEERRRIAGIPQRKINRALRFVSEQGPYKSLDELWDGEI >OB03G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6377339:6378452:-1 gene:OB03G19980 transcript:OB03G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALGPGDVVQHGGGHDGVAAPVRAAGAQRRVGADLDALLPAQLPQLPLLPHRVHLHLHHGGRDGGEGEQGLELLAGEVADPDGLGEAQAGALLHGLPHRRHVERHEVVGGEGAPVPPRLHGDGPVDQVQVHVLHLKVPVHSLPECGEHPVAVGVAAPELGRDEELGAGGDHAGPHGLGGGLADGRLRLVVRRGVEVAVPGPDGPEHG >OB03G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6387579:6388352:-1 gene:OB03G19990 transcript:OB03G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQPAPALPPSNSSCVAAAPAAADQPSPVKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRPAAAGGEEGGAAGGGAAGGGGGGGGGGQAHCHRRRXXXXXXXPGARTAAGVSGCAPAAPRATPSSPTTRPTSRPAAPAATPATAAASSPGTPPPSPSLLLRAMDT >OB03G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6412554:6413654:-1 gene:OB03G20000 transcript:OB03G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGPLRRWKPFLPAFSSIDAAIVAAEPGLSRVEFRDARLKILEMLRGATDDEVAEELCIVLDGVMIESLRTLEMVPAMPDMLRSTDLAKDVGALRDHKSERVRDLATGIVRGWRASVKDQIVKYVAAMKKVSQVLEPDETDDHLRAEKAKILEPSAAPKKMANAWESSFPKKESTPVAKTAEMERPRQKLPAAAGSFRQETVAACSAGEKARIPLNVAKRKLRESYQEAEDAKRQRTVRVIEAPDMAKQRRRKMHPILGERTQSRCSSSSITRSF >OB03G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6416169:6416627:1 gene:OB03G20010 transcript:OB03G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHSFPLDATAFAQVAPNSWALDLSTLALAAAPRSAVVFLLPAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXAVEDAAALPPPPDEQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPAYLKTFDF >OB03G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6423242:6429445:-1 gene:OB03G20020 transcript:OB03G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGTDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGESTPKFKVDEKQSLKDQLAKVTPLLDDLRSKKEERIKQFSLLQSQIEKIKAQISDHNNQDDIGPVNHSKDDHDLSTRRLSDLQVELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFANTVKDVHPSLHGANSENSTNISDSTLEGLTQTILKLKAEKRTRVSKLQEIVEKLHKLWNLMESTEQERRQFAKVAGVLGSTEEEITSPNILSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKIIALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMERVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERNLPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPAPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >OB03G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6430003:6431372:1 gene:OB03G20030 transcript:OB03G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHQLEVRLNTTMTRHAPCDAEVNLNRLAKAMEFRKRIANSPCVVYGSEVSSLSAMKKFRHFSWYIASILISAMLYRWTRNERTNVLLSAMLDAHLRLWLREQLAIIQYFQKEINTRRTNL >OB03G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6434683:6435000:-1 gene:OB03G20040 transcript:OB03G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKIAEGRQLGKMGHECMLLQLLRPSLLCSYIYLISAIFLSSFRVQTGDFDDKFYLTFSQRNKLFLFNIRLVHSVELNFYSLAGPFCLHEEQAIMINDLVRRI >OB03G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6436694:6439158:-1 gene:OB03G20050 transcript:OB03G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) TAIR;Acc:AT2G01905] METEVEDRAVDVATAGSTWPGPSRRRRLLEFLLHASKRLDLRPVVKYTALSFFADRLLPSLPRKMGFCGARGGRAVSSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKVGDLLNMDVCMEILDVLYETEDSSWLFNSPCQLAASALVTAYVISVPKQRWEFPILPWVTFTTSYDEEEIMKVALTILMHVLKPDEMKQKGNRGFNI >OB03G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6440652:6441413:-1 gene:OB03G20060 transcript:OB03G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSGRPAGHHRRSDAPMQEPVSTSLTARRRKKRHFLRGERQLLHAGVTGAFAVTLLFLALVLLSTSPSLPPPPSRVSRGVATRRRSSSSSPPIAGYCGGATAATGLGEFGDMMVSMLPRSLAFTVFVPSPESFRRVLGLLPNDSVAEGKADATYAVVSRVLGFSAVPRRLRAADVAPLRRRRQQVAVAPVLESVSGMRISAWRRDDDGALVVNGVPSECVDIVKDQAIVHVMAGVLMDAEFERSFSSEFDN >OB03G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6445444:6448313:-1 gene:OB03G20070 transcript:OB03G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNELVRAALNRSAAAPTSSHDQGEAKGGSGGGGASGGEIKQAPQKVHPGAVSVRFWLHDTSFCVVCCHLASGGRDGDEAHRNADATEILARTTFPRGHSLNLPQKILDHDRVILLGDLNYRISLPEAKTRLLLRSEVVSEGGAFHGWNEGAISFSPTYKYYPNSDTYYGCASLGKKGEKRRAPAWCDRILWRGAGLKQKRYDRCESRLSDHRPVRALFAAEVEAPRNLNSLRSFFLSERFDGGRRSSAADLLREDDTTSSARFGDTI >OB03G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6467284:6470989:1 gene:OB03G20080 transcript:OB03G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKLNIDFVISTGDNFYEDGLTGIDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVIAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYIANLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTQELLQLLLPVLKDNSIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNSDKLQFFYDGQGFMSLQLNQDQADFTFYDVSGNILYQWSKSKLNHLQPTTYITEA >OB03G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6469199:6474686:-1 gene:OB03G20090 transcript:OB03G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68080) TAIR;Acc:AT1G68080] MADTAVSPPPAAAGEHPRFHLRGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNDHGIDYKGGILQFQDGDPSCVTPVAGDVIIYTADDRNIHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSFNNQPFDQKSYIPLPASDIMYWFAYEHSGFDIRCARVHILGFCLHVSTDEDNKSVAPAEDDPIELLGKPVWLGREDGVFDKVFANGLHALQVVQFYYWKAPELAAKRKQTSGGSGTACRPVIQSRTTKLPLLCNHGLEQTIFGSHKNIEIDFEWKDFELAVSMWENYSEELQRKLLTFLPYWVSSEAIFIVNSIPPQVSNERNKC >OB03G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6474265:6474667:1 gene:OB03G20100 transcript:OB03G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVNSMKRSKWQEKADSTASRSRSRTGTKGRRRWPQPVAARCGSDVDTTEGRYPAVPQLRCTNSSSCPRAAARDSDRSKQGFRGFCDASAERLRVGEERIYLAGLGGEEAAEVEAGVLAGGGGRWRNGGIGH >OB03G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6475397:6481740:-1 gene:OB03G20110 transcript:OB03G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAAVIPSSGPAAAAAVESAGWVVDERDGFISWLRGEFAAANAIIDLLVVHLRAVGEPGEFDHVAAAVQQRRLQWAPVIHMQQFYPXPSALQQAGWRRRAPPHPQQGPGASPSPPPPPLRRSSFSASHSHHRHGGHHHRSDPTRGGSTGATAGSDKDGREVHNKEEKGMKEVENVVETKSPDLESPATDEGEKNPSPRAVAEGNSKVVPSPVEYTINETIDGKMFNAVEGLKVYEGLVNEHEKNKILSLLNETKASCRRGGLEAGQTVIVGKRPMKGHGREIIQLGIPIIEGPPEDDYPRETKVEAVPGLLHDLFDRLCQKEVIPTKPDYCVIDYYNEGDYSHPHQSPPWYGRPFCTLCLTDCDMVFGRVISGERGDHRGPLKLSLSTGSLLVLEGKSADVAKRAIPAARKQRILLSFGKSLSRKHIPSESVSRYTPPLTPPPMPWGPPSRPGNMARHSPSPKHFGYAPVSGVLPAPAIGAHHIPPSDGMQPLFVAPAPVTATAIPFPSPVPLANSTTAWMTEAAPRSAALRLPVPGTGVFLPPGSVHPLPHQMMTASQAHAEPISPTDSSAYVHNKSTVVEMSNGNVSPKSSPPKKSDAVEQKVECNGSSNGGSSLVDEKAGSNKDQPNAAK >OB03G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6493219:6497334:-1 gene:OB03G20120 transcript:OB03G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLLLIPAILAAVLLAAPLQPRAAAYAATVDSLLPPAAAAAAALSFEEGYTQLFGDSNLMLHGGGKRVHIALDERTGAGFASRGAYHHGFFSASIKLPADYAAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTQDFHRYAILWSHDRITFYVDETPIREVVRTTSMGAQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPYVAEFTDLGLHGCPAAADGSPAQPCEATMPPGQRSAMERFRARYMTYGYCY >OB03G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6501872:6505684:1 gene:OB03G20130 transcript:OB03G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G14740) TAIR;Acc:AT1G14740] MFGDSDGSKEANPGAPASGADPPFPNRELTLSSYLCEKPTLASAGAGAGAGPSSPPNPAPATAAAAAGDDAKHCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLNSDGPAPPSAQPQGPPPPPPAPPPAQPQAPLASLLQPVPATDGDLRAAAAAAPAAPRRTYSATTARTHSINSDDMSYSYSIFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPLKEGTSSFFPTELPARMAAAAAAAQAGGSFDGGRGGLHASRPDKILRDIVSDSVAAMAHVLQDFPSERLELLREAVRSMIDSPEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLLTRCRNLNCKSMLPVDDCDCKICSAKKGFCSACTCSVCYKFDCAANTCTWVGCDVCGHWCHVACALERNLIRPGPTLKGTIGTTEMQFQCLACSHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAACEDFEGKGLHAKAEEVLSLLAKKIISPLDATNSILQFFKYGVTDYSVTGSKSKGILAAQTSQSTDVRSLQTPTITPPKSSFNFKTTTSILDSDALKPSPKPLSIEPHFSTASKEDDSSLETIVKCKEAEAKLFQKLADEARKEVDSYRQIVRSRTQKLEEEYAAKLAKLCFQEAEEKRRKKLEELKMLENSHYDYLKMKMRMQTDIQGLLERMEATKKMWV >OB03G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6506257:6506448:1 gene:OB03G20140 transcript:OB03G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLESKCEVGLVVGGLHDDAAAEVVGAGVDGRAGGGWGGGRDGGAGGWRPEAAGPPEAGLLV >OB03G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6506269:6506448:-1 gene:OB03G20150 transcript:OB03G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEEASFGWPGSFRPPPTSATIPATTPAAASSAVNSGSYNLGGSVVVQAADDEPDLTLRL >OB03G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6518493:6518741:-1 gene:OB03G20160 transcript:OB03G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVYRIVVTFLLLLGWPFSWMVVMVLVVMVLRKRKEEKLKCIPAYPCVSFVQIHPFLVPLLSTVLHPLPVIYQTSAFHN >OB03G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6520465:6526094:-1 gene:OB03G20170 transcript:OB03G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPAFFHPNMAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYSPYPGQSPNGAVQTPTSAGGTETDKSSKSKRKTPLKRSKGSLGNLDVAATRNKKTPAKPSASSSNEGSSQSESGSGSSSEGSSTNSKSGSRKEGSEHDQGNDASNKGATVQSSAVEPVQASTGPVVLNPMMPYWPVPPPMAGPGMDYWGTPASVPMHNKVIAAPSSAPSSNSRDIVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTDLHEKLKELEGEKSNGNWYKE >OB03G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6530451:6533075:1 gene:OB03G20180 transcript:OB03G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDDWRFPFPVSSVFARPSLVPSAAAYGPLLFSPLPPHDTLLALPSPYQPPRPSSRGLRRLLRHFVRSSSFIPYSDLDPLSETVLAPPSPPLPAPSNLLAVLRRARSSSQSLVLFFPSGENADQVSYVTLDSIANSAPLSASVQSDGFMHPRHRIQQLAVTACCPSWPSDSGDDLVEGFLLAATLYSVNWFKVESRSSGSPALVPTAKQAFDVAVVHACWSKHLQSECLVLLESGELCWFDLDTLRGGKMKVGLGCEDDCRVWLSCEYGAQPWTVIVANTKAIFLVDLRYGDHSEYKVLARVGMEGLFETEPFVKTECYLAFCKAPFDDLLISVVTERHLMVLDIRQPLTPVLTWQHGLDNPSHLAMFQLSELRPSNEHEWASNFGIAILVGSLWSTDFNLFYCGPKEQGSTENAHLYAWDLPSRISLIGQQWSGSNGLMEGVFKGHIPGHGSASDLIWNSIIGYHMLPNTMLESSFSGFALIRLTSLGKLEMQRFHASGDLHEDAVCGESQHKSADCSSSIFLDTDGENFSDRYIFLKLHYLSKYLDGNLRSALEIHGSNVNKDNHQIVISEDVSVFAKDSSKSCSLSVSDFLFNASVPMNIFEIACQSILSKLSSDILLVAFSKYKDMFACSKDKRIYEYLEVPACFPDNNKLRRFLLSKPLSTSWNLMGKATSGNRLVGPVLPIDVLLAMEDSNKGIDSLSQVETSSVSHQCREVLEAFVPEISIANTDDCNGKAASQEVKDEKPYFVYEPQIDNRPTLDEAAREKDKEAHKLDNPSCSHTSTPPYKDENFMTFVCGRGGIPHSGPEQMASDLFDFSPVRMDFEFPAIGIPPAEEKIYKCLKKQFLAWQNNFKPYQDFCNSYHIQKPQQ >OB03G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6533617:6537249:-1 gene:OB03G20190 transcript:OB03G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:J3LLU3] MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEEDVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTVDVLLYVDRLDAYRMDTLDEQVIRAITNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTRRSESLLRYIRSGAGVGKREHGDFPLPIALVENSGRCKTNEHGEKVLPDGTPWVPNLMKEITTVVSNGSKPIHVDQKLIDGPNPNNRWKMFIPLILMVEYFFVVKGIRRAIQADISNGKLDDWEQRYRDLVGSKDPVDQKGSSAINRKA >OB03G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6543951:6544685:-1 gene:OB03G20200 transcript:OB03G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLDEEAARVLASSSSIGSLVAIARHGSLAGRLNAVLAIKEAVSRDGAFVDLADKVDEVVDALVRIIKAPICPQATKAAMVATYHLASSDERVAAKVASTGLVPVLIEALVDTDKSVSEKALALLDATLASEEGRASARGHALAMPILVKKMFRVSDVATELAVSAMWRLGCKGSGDEEAAATGCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >OB03G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6545004:6545207:1 gene:OB03G20210 transcript:OB03G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSYVIPVGAVTGSRIRSSEIGHVKCAGMASSPALCGSGAAAAAFAITAFRALLASSAIPNPRSIT >OB03G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6548906:6553694:-1 gene:OB03G20220 transcript:OB03G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKQEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEETEKRLKEE >OB03G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6554111:6558446:1 gene:OB03G20230 transcript:OB03G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >OB03G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6562748:6568895:1 gene:OB03G20240 transcript:OB03G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) TAIR;Acc:AT2G36960] MEVEAREETIPPPPPVDFPAPAPLPASDPNQKTVRKPTRQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRRKCPRGDVCLSSSSSVLNRTPGNESFSVKFLPVDVSNGSKVASSKGAFFKKVAESNCNNKSGATKGDLSATRTVKQKRRAGGGVASAAYKKWERAAMAGVSLVADAAEELERNTVSVDARMLSPSSSNVCTADDHIKEALSQNHIKEADQQAPAKLKLQLFPINDATRKALEKGEHNPHLELTLSARKKISSVLEHLNRKWGNSSIASGELLLFPYCAHQEDLATYQRWTTKDNVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPSEACVQKDGTSLGSCVREQHPCNSKDQSALLLAMSSSTGKSAEVPEQSTDVTSSQLGRQKHDQVTTNQVFEADPGMDCTAVSEGEWADTLTDISVGYLLTEASRGANTDCPGTSIAKNALFLENPCSYDSFDAAVALHASRYKAAEQPALASHSTIWGAEETCDEFSFSVASSRKQEGSNNSASSSPDSDCDVHPSNSEGFQGFLQDLAGADVADNPCIDDAKDLEALCAESPPRSDNDSTLKDQSLADLYWPDSLGPLDLEIPSATYHADDLLLGDSQNSWNRIMANSLDAFRNLSFFTTEKNDSIPSIM >OB03G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6570101:6572094:1 gene:OB03G20250 transcript:OB03G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >OB03G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6574818:6577982:1 gene:OB03G20260 transcript:OB03G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAAAEAEATTKLQKEREKAGKKKEKKSDKKALQQGEVSKHSKRTHKKRKHEDIIAADQKPRKGSNEPVEQLEKSGLTEELGAPSFVQTVHGSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIRRDQDSSSASLSEKSNVEHTPVQQMGSVSSLPSKKNPIQAPNNKVMVRSASAQQQNIKSDSQAVLKQSIPTPAKVMHRVDVTPSMRVGLPPVELTTNVGPSLSKAKQTIPPAPAKVTQRVDLPHAKALQRPAKVSHRVDPLPSKVQIDATPSFSKLSHRENKSEVQPFPQNLKVPVGMPTINQQHTDASQPKEEPSFSGRSAEPASVPVEKQSKSDRKKSRKAEKKEKKFKDLFVTWDPPSIGMEDTNLGDQDWLFGSTRKPDAGTGNCREIADPVSSQSAEQFLLQPRAMHLPDLHVYQLPYVVPF >OB03G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6574815:6581026:-1 gene:OB03G20270 transcript:OB03G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHGDVVGRCILVGLHMDAVGKELLQWALNQAARSGDRVVAVHIYRKSDNCKTNTLSLIRTLDDYLAEYEALCSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPVTTTVVAIQSGKAIFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDATGCGHGGAVPVSSYDDATKVGDGARTAEQRLGWPLLRRPLPAANGAVAQPPKDDGPRKQSVVQWVMSLPRRSSPSTSPEPQAGLVAELKRMLDAVPSRCRWFRYEELYDSTNHFCSENLIGKGAHSRVYRGSLASGQPVAIKLSKASAEASKDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYAYLPRGSLEDNLHGKRSKPTLSWEKRYKAALGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQLSDFGLAIWAPSNPASLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPKLDSGDISDLLDAGLDVKHDEAGVKRMAVAASLCLRRSARLRPKISQVLSVLRGESAVSFADLQTAEAAECVDDETYPAANVRSHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD >OB03G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6594115:6596109:-1 gene:OB03G20280 transcript:OB03G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRSLFPELPPAGHALLRLVDSCRSPAHLCALRAAHARLLFLLRLPSHPASAAVRVKLMQAYAACAALPAARAVLDSSPDRSTVFFNVLLRGLTAASLHRDALLLFASMRPQGPACFPDHYTYPLALKSCAATNGLILGLQMHSSTARLGLDGNAFVAHSMISMYARCGRPDDAYQMFEEMLYRDVVSWNAMISGFAHAGLFGRAMDVFRKLVAVQCPKPDAGTMASILPAMEKARMEDITLLRGIFDKMQFKGLISWNAMIAIYTNNEMHVEAVELFMRMQKDDIEPDAVTLATVLPSCGEVSALSLGKRIHEIIKRKRVCSSMLLENALMDMYASCGCLKEARSVFDSMGARDVVSWTSIISAYGRHGHGREAIDLFEKMRGQGLEPDSIAFVAVLAACSHAGLLDMGKHYFYSMTSRYHISPKLEHYACMVDLLGRAGCISEAYDFIVTMPIKPNERVWGALLGACRIHSNMDIGLLAADNLLILAPKQTGYYVLLSNIYARAGRWADVSTVRSVMESKGIKKLPGVSNAELGDQVYTFHIGDTSHPQSKMIYEKLTDLLQRIREMGYNPEVEATLHDVEEEDREGHLSVHSEKLAIAFVLLNTSPGTPIRVSMNLRTCSDCHRAAKLISTITSREIILKDVNRIHYIAQGLCSCGDYW >OB03G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6598361:6601756:-1 gene:OB03G20290 transcript:OB03G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSAKQGLYPEVNQSHPDLNTAFLANPAAAATATPGGSLYPAVDPQQLAENLFPDAADDATPPAPTTEETLVAVPGSLLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLIPEKRNQRRGLFSFWSSGKSGDGAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDQDDAEDAEAEKDADGEAALSYGLTVASKGQEAVLAELDKVLEEYTTFSVKQVEPTAKEKSEVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQVTQDYLHATGNALGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESIARQKKK >OB03G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6605899:6613206:1 gene:OB03G20300 transcript:OB03G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RADIATION SENSITIVE 17 [Source:Projected from Arabidopsis thaliana (AT5G66130) TAIR;Acc:AT5G66130] MGKRPPVVVLSSSSDEDEGRGRRAASRGPSARRTRTPATAPPPAHATSGSRKKARRVGSSGRGRRRAAGPAPSGSLKAEFDMLSEDFSECLNDLGMAGSICQTEELWVEKYKPNLSSELAVHKKKVEDVKKWLEEKLKAPKGTFGGWTLVLTGQAGVGKSATIKALAPELGVEICEWTAPVPTLWTEHLHANSGLRYISKLEEFENFVEKIRKYSLLSPTSIGSQRKLTIVLIDDIPVTSGRVSFARLGKCLTGLIQSTQVPTVISLTQYHKTENNDTATWNSEDLESLLQSAGARKIAFNPVTTNSIKKILVRICKKEGSDLTEELLHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALTTTTILPVLKSSGTLVPVQDDYGFSYVISTACGRDETLSLFHALGKFLHNKRDTYVNVAVDVDSFPLKEKLRRNPHKMEVPEKILSQAHGKVRTVADFLYENVLDFIDNDAIDDAWTVISYLSEADCLLAGSPISSARWMVNESYESESMTQLIAASVAARGVLFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFADIVTEFKPFERWISPCNDISRSNSVDHNVEASSSEEEEIEDW >OB03G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6615036:6618961:1 gene:OB03G20310 transcript:OB03G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRDSGGRSSGGGGLEQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCTVGVKHITYGSAGGSSNNIINDVQRNFFVELWDVSGHERYRECRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLRSGGPGGLPVPYLVIANKVDLVPRDGSKVSSGSLVDYARQWVEKQGLLPSSEELPLTESFPGSSGLLSAVKEARYDKEAMIKFFRMLIRRRFFSNEPAAPSPWSVTPREDSILPVETLKEEVDSFQRKSYSGEDFMYKGVTPLPAQRSLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSNRTSREDINV >OB03G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6622851:6623561:-1 gene:OB03G20320 transcript:OB03G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLLDRRCSGSFELPRRPVGFRPGHLGGATPAVARSPSSQQYKSMDKGGAWISHAPALPYARPPIYSSPSLPLLPSNQPPLLPLPPTATKYATFPYPPPQQPLPRTGRAAAAAVPSTRQKDRRRKPSRPPPSTETTKARKKKPLERATPLPPAPAVTEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPRFSLVVKASSSPSPATNKKRVPPPPAPSCNAEAAAADSLRRLLRL >OB03G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6635676:6639412:-1 gene:OB03G20330 transcript:OB03G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilisin-like serine protease 2 [Source:Projected from Arabidopsis thaliana (AT4G34980) TAIR;Acc:AT4G34980] MATPPPHRRLLLLLLVLIPTLSRGGVGGSGGGGEATKTYIFRVDHSAKPSVFPTHAHWYSSAAFASGAGGAPLEPLHVYDTVFHGFSALVSASRADALRRHPAVLAAFEDQVRTLHTTRSPQFLGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDAGPGFLPSSCNRKLVGARFFSQGHAAHYGLTATASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGRSSGLSASLCMENSIDPSMVSGKIVICDRGSSPRVAKGMVVKNAGGVAMVLANGAANGEGLVGDAHVLPACSVGESEGDTLKAYAANTTNPTATINFKGTVIGIKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAPGRGATPFDYGAGHINLGKALDPGLVYDIGDDGYVAFMCSIGYEANAIEVITHKPVACPAASRSPSGSDLNYPSISVVFYGGNQSKTVVRTATNVGSDASATYKPRVEMASDAVSVTIKPEKLVFSPTVKTQSFAVTVASSSPSPPTSAPVYGHLVWSDGGGHEVRSPIVAAWLQPM >OB03G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6641919:6646640:-1 gene:OB03G20340 transcript:OB03G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Beta-Casp domain (InterPro:IPR022712); BEST Arabidopsis thaliana protein match is: cleavage and polyadenylation specificity factor 73 kDa subunit-II (TAIR:AT2G01730.1); Has 624 Blast hits to 615 proteins in 160 species: A /.../- 54; Bacteria - 6; Metazoa - 333; Fungi - 44; Plants - 93; Viruses - 0; Other Eukaryotes - 94 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G07530) TAIR;Acc:AT3G07530] MKLTCLDTGGDGGYYTPASHLLELEGLRILLDCPIDLSALTAFSPVPRGASYCDAGDLIRAVPYYRSPAVVAAAKAGGVDAVLVSSATGLLGLPFLTRLPSFASTKVYVTEVAAKMGRLMMTELVEMHREFVRCYGPDRDQSPVWMEWERLKKFQSVLQKIMTEEVGNNGLAALVPLYSLENIEACMQKIKHVKYGEEACFNGMLMLKAYSSGLELGNCVWTIKGPRASITYLPSSIFVSAHALDFDYSPLRGNDVILFSDFSSLNSMHDDNKKMGEHVVNETDIYLASDSVFRDDGTNEDESIKYLCSNDDIKEEIERISFICSCITDAINSGGSVLIPIGRLGIILLLLEHMSETLLSSNMKVPIFLISETAEEIITFTNALPEWLCKSRQEKLFSGEALFGHVELLKQRKLSLFPHLYSKDLLAAWKEPCIVFCPHWSLRHGPAVHLLHRWRADKRCLLVLEQGVDTELTLKPFMPLAIQVLGCSFLSGIKVGKIDPLLGLLKPKLVLFPEGQKLLSPAREKQPWPFLYYSKGKTIEVPNMREEFEMLLTTEVAFGLQPKQLDKTTAVARLKAKILLSNGHYVLAAAKSQLHQLERHLLHRGTVDAGLVQSALQEKGIVCSFSADADISAPKDRERTISITSPGEALVKVASERTTIYCDDEKIAEHVYDALRSICSGI >OB03G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6648303:6653189:-1 gene:OB03G20350 transcript:OB03G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTDGPKWAKHPSSLESGPFLEWKAGNITHTPAHFAPDSSRTILLPKPSHPLDGRNHIGGADMVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVNYDKKVLETIGKKLKKNNVALDIVDFGETDDEKPEKLEALISAVNSSDSSHIVHVLPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNTDSVMAEAEPASNAASDDKKDQPKEDDDAQLLQKALAMSMEEGSSGAAAVADAAMAEAAVDDQDLALALQMSVQDAGVSGQADMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSSDKPEDEKK >OB03G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6655504:6661996:1 gene:OB03G20360 transcript:OB03G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYQLLLTAVASLLVAFLLARLLAAAATASDPRREPDHGLVITREEEEGEEQERIIEVDEVEVKRARVGEVPAAEEWVEVRRASAAEGKLECLPEAPVKAARELVLDAVLEDRKEKTQVADERCDLAAAAEEVVGVKPRELGVEAVSGEVFDVALEEEKVQDVGVKQHDLVDKVAPNDALDTGSEKQGVPIIEAVEVKQRDDLGAEIARSDVPEVGLEQQGVRIVEAIDVKQQHQDNLAAPVEDIGAGLEERVQAIEAGLCGLTSEAVPEEVTNELSEKQEEVIEEKEHQLAAETAPIAIFDVALAETEELKLEESHEEAINVHEEDQSKGKSKCEPHLVDQQEGLASKVELAGRKTDNVEISHESSSNDKMVAELPEKEVALQGMPADEAETDMEFGEWEGIERTEVEKKFGAAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWTAWQKLGNMHPETAMERYMDLLSDTIPGWMGEKILDTKKHEAGGDAGGSVLTMTSHTSNQHDIQGNKEDTDMYGSHLTSSPSPDKGQSSDIPAE >OB03G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6664423:6667481:1 gene:OB03G20370 transcript:OB03G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRFLVLWTLLQCGHELVAAVPPGQSRSRNHGWYDYTAYTDCRGQPEPALYNGGVLRFGNSDDPTGYRTTETGVFSPAYVVYNLNKTTMYTFSSWVKLEGASSALITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDSPTQTSVIFFQNADKSPMKITVASGSLQPFTPDQWSMHQKDTIRKRRKRMATIHVADQQGARVVGASGSVGQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASAEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRNAGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRFDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPSGCYQMCLTDWNLKNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGYLGEYIVSVTYDNSTSQATFSLSPGDETRHINIQI >OB03G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6670266:6672181:1 gene:OB03G20380 transcript:OB03G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSTKVLELGSGYGLAGLVIAASTDADEVVISDGNPQVVQYIQQNISINAETFGQTKVKSMILHWDHEPASEIISSFDIIVASDCTFFKQFHGSLARAVRSLLKHSETSQAIFLSPRRGDSLSKFLEVIKKNGLSCELIENYDPTVWNMHKKYVLGDYRSWPNYNEEHCYPLLLRISRSE >OB03G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6672734:6672922:1 gene:OB03G20390 transcript:OB03G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLHSLGLLISDDTSYWMVADLLHQHLRVGFIMIMSLRLHVWRHHNFQMQLLHAVSTATALY >OB03G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6676004:6678856:-1 gene:OB03G20400 transcript:OB03G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRICVVLGMLFILVREGGAVTFTFVNRCTGTVWPGILSNAGSARMDPTGFELPPGTARAVPAPTGCGAYANPNTCRPTAYSQVFKSACPRSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSAGGTTTPTPTTTVPGVTTDVPPEMPRTAGGGGGGAGQGVMLGDNSWLASLATGDASSSPASRLALLAAPLALLTLQLTRL >OB03G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6680879:6681211:1 gene:OB03G20410 transcript:OB03G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIQIFPWCWVGPSIWAAHISTRKFERLPNFHDGTISISEMTKHDHEHLLPHIPSFMVSSSPIHRYLAVSFRDLACFHPCPAASPDLHAEASDTSGAVHLYSSSSCSSD >OB03G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6680805:6684829:1 gene:OB03G20420 transcript:OB03G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75690) TAIR;Acc:AT1G75690] MILYQPTHSGAMATSSSPLTALHSSFLSYTPTTPSMAALPRRRRPGCRYPRIQAADLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVAVVIGGGETEVSKCVNCDGVGSLTCTTCQGTGIQPRYLDRREFKDDD >OB03G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6690580:6696152:1 gene:OB03G20430 transcript:OB03G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSTHVALRLLALLFLLPAVWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAEDATGKLTCATGDCGSGGIPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAFGSPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCATGTNYAITFCPSTTSGKVSGVENPQAAAAGVPSTDGTMVVLGAEQLSTTSSAVLAAPQLMMLLLPLVAAVL >OB03G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6711119:6714912:1 gene:OB03G20440 transcript:OB03G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPETVGVGRYVEREQDGGSNTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLLHGQVDGVKQSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHARENAVEPPPQFVGRWTGTFIINTFVVAWVLVVGFGFGGWASMTNFVHQIDTFGLFTKCYQCPPPPLPSTGAAPNVTWPPFPATPFNATAAGAGIAPAPAPSSPAHFFGRHHRHHIHGL >OB03G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6724158:6724562:-1 gene:OB03G20450 transcript:OB03G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKPLEEIATCHRCPASSHPISKRLRLQRAKTPRSKLPIIRTKHPRGQGLAKQQGKKAIDLKKTVTSHRCTRASPKRRPQEGYDIERRRRSSEVGTRFSPKELWAWKRNTKTTPPRRETAPTGVAVVKSA >OB03G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6724349:6724698:1 gene:OB03G20460 transcript:OB03G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGLFQIYSFLALLFGEALSLGLFRSYDGQLASWCFCSLKSEPLADGVRRRWATMTCCNLFQGVWRWPCEGSGSLVSWLRSVVGCRSYFSLYRHAGQYRRGCEVGAAGSFGRL >OB03G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6727026:6728958:-1 gene:OB03G20470 transcript:OB03G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLAKPIQLADQVAKQAGWQCFRAECMDLRSRAEKLAALLRQAARAELYERPAARIIADTVRTLNKAVGMAARCFQSHSRLRRFFTLNPVSGFPRTLALLDTALEDVAWLLRISSPHADAEDDDDGGLHGLPNIAQNEPILFLIWDHIAKLHTGNLAARADAATNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVDKLLHTGVCSVFAAALKQPPVRVQAAVAEAVAALAHHNPKCQDLLAQTNAVRHLVGHLAAGTIQEHSRYYVTASGSRNGNMTSLHSVVLAKTLSVHQGGSAGGSAPPASEPPSSSNAPGSQQQSGKNQMQSVVQSAMAAKTTTNGGTVRSQLTANGSSGRGSREAEDPAAKAHMKAMAAKALWKLARGHVGVCKSITESRALLCFAKLLEQGDGGAGTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLRIVSKADDDGLLRPCITSLGCLSRTFTASETRVIRPLVELLDERDLPVTKEAVVALTKFACTENHLHVSHCKAIVDGGGARHLVQLVYLGDQVQIEALILLCYIALHVPESEELAQAGVLAVLLWASKQAHMVQDLRVDSLLPDAKGRLELFQSRASR >OB03G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6728476:6728962:1 gene:OB03G20480 transcript:OB03G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTSVELRDVIPDEEEYGLVLRDVGEAMEAAVVVVFRVGVRGRDAEQPGDVLERGVEQREGAGEAGHRVEREEAAEAGVALEAPGRHPHGLVERPHGVGNNARRGARTAPPAPPAASAASFSARDRRSMHSARKHCHPACFATWSASWIGFASIAFTPSPIL >OB03G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6738214:6738462:1 gene:OB03G20490 transcript:OB03G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNSQAEWLPESLRLPTNWTLLYVARRNTCGRLAPPGGRGGEWWAPHQHWSVSHVRRRRAGNVGERPSTGARRRPAAGGGR >OB03G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6741076:6744568:1 gene:OB03G20500 transcript:OB03G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPSMPSPAPWRRRGTLHGAAGTLQRVSAASPAVTVEVVAPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPVSFSSRDMLDRTVQVGHTHVKIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYRLEVLESHQAGKLDISGTAKAVIECFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTVDAAMFLHRKVRSNDPKRIYDMIDVLREGSMR >OB03G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6745008:6746330:-1 gene:OB03G20510 transcript:OB03G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAARQLLLGACAVVLVAAHAAYAEAAPIVVGSAMCSGCTRKNMNAEAAFKGLQVAVKCKNSRGEYESVAMAKLDKSGAFSAPLATNLVGEDGELKQDCFARLHGASNAPCPGQEPSEIVAAQPGHDGEKTFVALGGKVHSPSAECASVFLCHHFHKHHPIVVHPPVVVPPKHETPVTVPDHKPPVTEPKPDPSTSRRPRRRWTRRPFTTLPPSRIASTETFDTG >OB03G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6747464:6748665:-1 gene:OB03G20520 transcript:OB03G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVSPETAALLGALVALLAVSFGAVAAPAPVVVGSIKCLDCSPDDVRAEDAFRGLQVGIMCNSGAGEAYETKMLGNLDENGSFSIPLAADLLRNDGELDKDCFAQLHTAPETPCAGQTPPRIAKAGTGNGTIAAATADAAPTYLAVSDDTVFSPVACKCGKYKKKHFMFAPPPPPPPRPPAPEYKPPTPTPTPTPEPTYGPPAPKPPAPPVEDEPEPFFHKHPKMKFMHKKKPCPPLVDEEMPVPRPKN >OB03G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6750241:6751841:-1 gene:OB03G20530 transcript:OB03G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSCVAICLLALAFLLPSHAAATSATGTIQRETKQQILAAIPPHWEENPVLFLTSPSGKYAAYFLRSQTTPGAGGLGADFCYVEVLDTSVPGAEGRSVWESECLAVSTVNTCALVFSWKGLEVQDGSNSVWHTHDTQSDSKNYLETLELVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLAFPVGSYSTILHGKLAMDSYE >OB03G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6757026:6759220:-1 gene:OB03G20540 transcript:OB03G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3LLX8] MEAAVMERERLTAEMAFRGDAQAAEGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLIASAAYSFVRLDVIYRSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPEDQNKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFEATGINPRRDVSILIVNCSLFNPTPSLASMVVNRYRMREDIKSFNLGGMGCSAGLIAVDLARDLLQANADSYAVVVSTENITLNWYFGNDRSMLLSNCIFRMGGSAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVRGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLTLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVQRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPPGKKSCNPWVDCIAKYPPKAYV >OB03G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6765386:6766102:1 gene:OB03G20550 transcript:OB03G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 21 [Source:Projected from Arabidopsis thaliana (AT4G27670) TAIR;Acc:AT4G27670] MAAPFALVSRVSPAARLPIRAAWRNARPTVGLPSSGRVRQLAVASAAQENRDNTAVDVHVNQDGGNQQGNAVQRRPRRSAFDISPFGLVDPFSPMRTMRQMLDTMDRMFDDVAVGFPAAPRRSPVTGEVRMPWDVMEDDKEVKMRFDMPGLSREEVKVMVEDDALVIRGEHKKEEGEGAEGAGDGWWKERSVSSYDMRLPLPDGCDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >OB03G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6766580:6768298:-1 gene:OB03G20560 transcript:OB03G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLAWETRRDTVRSHFERFGEIVEAVVIVDKHTGRSKGYGFGYYGGYGYGVSGTQQQQAQPLPYNTAARPAGVHQVQHLQAAGDQTRSSHAPAVQNSQTSQRDYVQKTQHKPRSYAAAVAEKPSGDEIGTEGRQLEASQVLQKDRPIGLLSLDILPES >OB03G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6790147:6798358:1 gene:OB03G20570 transcript:OB03G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSTADASQNANQAEQDDKAPKYVQISPETYAHLTESEEQVKTLNEKVKTLNEDLTAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLAKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLQERGDLLMKIDEEKAQAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGENRLRRSPAKNSSFHRPMSPMSHVPDYAFENLQQMQKDNEFLTARLLSMEDETKMLKEALAKRNSELQTSRTMYAKTAGKLRGLEVQILTGSQRKSTSIPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVDKMKIDDTEASLSGITERDGAKDTQSVLALPDTPSNKLQLSDSSPLLKLQSKISSLLDSESQQSNAGNVLDIIRNILKDIEDEADSINASNAHCGDMAEVADSGSLMKHSSNVSKYAMDQELVNAILKIQDFVKSLDQEVFKSQGQSSDSDGLCEKIQQFSALVEKVLSKENVLNDIVMTLSHILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCPLIPRSSSDPEIEGPTDSGCDVKTAVQICSSEEYEQLKSEKLNLEAELSKCNEIIGDTKLRFKELEESLEELTSKLVASEKSNSLAETQLRCMAESYKSLESRKAELENEIKALQSKIDVLTAELNDERKSHQEDITRYRDLEEKIERYENERNSMCVDEDEDTKAKQEKEIAAAAEKLAECQETILILGRQLQAMRPPAESMGSSPNRRMEDFLQDAVGTTEGGEYSQKPTGQLDTDQEMHGSGNESPLNGYKTHNAASETDGSPFLSPNGSKRPKHRSRSSSSIANQLPEKQNRGFSRFFAKEKI >OB03G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6798917:6808818:-1 gene:OB03G20580 transcript:OB03G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVPPPTPPESDPRLVEAFVPFLEKLVKNASWRNKAHSKLSHTAKSILDRLQNTPPAAAAQAPSTPTCPTTPTSSSSQPGPLRSLSLADSELLLGPITSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVADVIELPDAASGSSPAADANFVQGFISKIIGDIDGALTPLARTTSSTVAGAGGAAAHDGAFETTAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDELGVEGAVVGTLDDDADMRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVARFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFINYDCDVHSSNIFERMVNGLLKTAQGPPAGLSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPNVESEQNDNDGGHELPHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTNSNKILGLDNILNIVIRKRDSPMETSDDLIKHMQEQFKEKARMSESVFYPATDVVILKFMVEVCWAPMLAAFSVPLDQSDDEIVIAQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDASFFALQQPDVDKSKQTKSSILPVLKKKSPNAGPTSKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFARSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSEFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQETAILVDKDDNIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSSSPQGQNVESDPSELDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLRKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKREDEPQPLEDGTEEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLSILQNICLDRSPDEGSVEVESHLVGLCKEVLEVYLSTANPSQLSGAAQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPVVLQSC >OB03G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6813335:6815522:-1 gene:OB03G20590 transcript:OB03G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASSALCGGGGGGGAGGGVGGGPSGSGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQMPMPAPFSISDLPSSTSVPTTVDLSALFDPPPQPQWAPLQHQPQQHHHHQQQHQLRQQSYGTLARAASGMPAESSGGGGGDLQALARELLDRHRLQERKVATGERQ >OB03G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6813632:6814459:1 gene:OB03G20600 transcript:OB03G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNAAAAVAAAPASQRTGGDPGAPLPEPVGLRRRLPSSPPASRMPPWPACRMTAVAADVVVGGDGAAAAGAGAVPIVVAVVDRRGRRGLRWSGRSSTTGGPRWRTAPASASAAGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAWASAAVPALAVAGTAAPASPGGLASRSARPGDSPPGSI >OB03G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6824489:6830744:-1 gene:OB03G20610 transcript:OB03G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAQAAAAAFLSFVSSSPHHSAPSSSVSFLAARALPASLRAAAVGSPTFASRCRGRRVAAIVAQLPTANPELASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVSEKLKSGEDGEVTANHLLLGIWSDKESAGHKVLASLGFDDEKANSLAKTAGEEAATSPR >OB03G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6833182:6835134:1 gene:OB03G20620 transcript:OB03G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHSPSVSAMNPLLPSSSSSSFPKSPHPPDPSLSSPNPSPCSYLLHADADDEALIQIPGPNPSSGAVSSVVLPPIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASTDGRSLHFKSNPGQSVSHVGQWRGIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVAACAVCKAAPAGQPDFAMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGTNATSSSGSVSDGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYVEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPNFTIKGDFDVETDVSHLAVLQQIRDLKTEAGLLEGKVGKMHPGLLGSLSSELSEFLHRIRKFNFDGNICQPEETLMIGNDDVAGWGTTDVSHHLDQHDGAFCRDDDMLDDDDTDFGSSLGPIVSWDGMATECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDDTMATDPGLVGIHVEGFYSGAKWYDSPVGLDSSGDADVSFRHGGLV >OB03G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6839115:6839517:1 gene:OB03G20630 transcript:OB03G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAKSRVIAIAMILARCAASAAAGDKLPAAFDILQQPASKEAAGLGYGCFTPCYAGFDGNYCSEFCSKECGDDVAKLRSWLSPENLADICNPRCISGCVAAKIDPPYCKIWCEDMFGDDVRKNQSELSP >OB03G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6842240:6844612:-1 gene:OB03G20640 transcript:OB03G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAATQLVNMIIYDLTYFWLINMETNSWSSVDTYGKVPISRREQSVSLIGSRLIMFGGEDNKRHLLNDLHILDLERMMWEEVKTEKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFTDLYLLDLQTMWPKLVPKAKDGDADCVKTHVFWNDHEPALDVQGHGGVASLRAWDGVLTNNEPFKVLQELVNFYGAVYGSSSHMKRFTMYIVDMIKKEQFFSSHIRHIILAQVENEYGGME >OB03G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6845239:6845860:-1 gene:OB03G20650 transcript:OB03G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLLLPFPSPAATLPRPPLPRSLFLAASLPTCLPATIVDLDCWVETAADLGAAEPGRLSAERVVGGGGCGISNGLPRGGIGDRCGRWRQLLVEPNTPEPAATGGGGEAYRRRLGRRSSGRLSAERMAGDRQVQQIQCFALNANLTVVWLGLPVVVLRATIGTDKFGTQQQDLIGWTSP >OB03G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6846046:6846567:-1 gene:OB03G20660 transcript:OB03G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEDRIWILPHESLSSAGSVPHSSDLVVAEPEPQIHAPHAASHFAPRHTSSAPAPSSPSSSLALAARRCIAVCGRSFLCLLPAPLRLRAGAIGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAVVALLSALTRSPLIQPGVFSSRRVGKPV >OB03G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6846356:6846805:1 gene:OB03G20670 transcript:OB03G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAARAREEDGDDGAGAEDVWRGAKWEAAWGAWIWGSGSATTRSELCGTLPALDKLSCGKIQILSSPHILPPINTWDSPDEVKAMLKYWAQAVACIGRLYS >OB03G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6858903:6864295:-1 gene:OB03G20680 transcript:OB03G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAKYWEINMYKSALEGRKDELGVEGAVVGTLDDDADMRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERSVFFPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLKGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNVEAIKYTRRYPTNMPCFPIKQARKHRQKEACDTDTNSVLKDAFLTRISGGDDVNRDAQSVPCMIDAKCLTQFFVNVCGNRVSMQEDLNSLTVKQLTKTVCKKAGIQAYDFYAVHGGKSLKDDKTVSCYPIYRDSTVFLRYRLRAGCPRMISFKICTFDEMIKSHEGGLFRRVNLSHRSRSSITNENEVTFLSYFAQYIAHQVLVYVCGKHRKGLSFDGKFESTDIFFCSGRVRFTEHVERVGFTEITCRADYKKLMQIFGKCFTIKTENNMEFILCMFLSCLST >OB03G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6870107:6872772:-1 gene:OB03G20690 transcript:OB03G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRFVDLSPFDGQEEESEDMGESVPAGMRSVKADLQVADPPSVSSLSLLLPRKAMNEVRDAMLMCASKNLVVFYAGSYYPITSRPGCYLLYDAISNSLIPVPQLPSPNSVSSFGIGTSVVSLGGGGSAYVLAELLMIDGRKFPDAALFTWWSSAEATPRRYTTAHWVKEEVSLPHEVCTSDYYFVSDMTFSFGESCLCWVDLLIGIVVCDLLPSQDQPRFRFIPLPEGCSLDISSRRRPIMTVFRSVSCADGAIKFLTMEGYDEGWHAEEMKLTTWKLSPDLSEWKKGPVCGARDIWASEKYIAMGLSPISPMCPVLSVLDDDVVWVVMNDVDLVEYFEGEHRTIKIKTQYFLSIDMRLKQVLSITQLHPQTSIHPVLNLVACEFGAHLDRSKDRQGMVERNDADENTKKMKLK >OB03G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6875525:6876084:-1 gene:OB03G20700 transcript:OB03G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEADAAFAENIGDFLPWILQSIVVKGIIKQKFNAPHFDILNYLGTTLLIRTMGRNFQVRRQGINVIVCIRLSEFSLPNHYLAAFPELTGLYELSGDFWLLNLQLV >OB03G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6876810:6877906:-1 gene:OB03G20710 transcript:OB03G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTISNIFREDEVTDTDSKLKGKEIFGAKSSCVSSTRTVGDANKDGQVGPCMNDVKSLTHLFVMVCGNTVSVQEDLNVITVKQFIKTACQKIGVHAYDFYAVYGGKPLKDDKLMSCYPIYKDSTVSLRQRLRAGSPRVMFFKCYTFDEMIESRKGGLFHVVYLSQHATNKFTQIGQVTYLSDY >OB03G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6882782:6886213:1 gene:OB03G20720 transcript:OB03G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRFVKPSAFEDKESEDEGESTGCPRKYILPRLRQEVPAGMRDVKPYPQVADPPCVSLFSMAMPWKAIRVVKRVYVQCADKNLVLFYAGAGDPGSCSHGCYLIYDAIDRSLTPVHTFPYHVPGIVMLGGAAVLRHTGGGDGAYVLAELLRPFDGDLPDATLLMWLSRSPATPGDPPGQWIKEDVRLPPEVCTGTEPFFSDLEFSSGESRLCWADLFNGILFCDLATLRTPRFRFIPLPKGCSFDVGEYGRPRMDEFRTIGCVGGVIKFIDMEVYTKDGAPIDEVKLTTWTLAPDLSEWKKGPACSVGDIWASEKFIAMGMPRLRPMCPVLSMVDKDVVCVVMTDVEVEEKNVRNFDDAGRTLKIKAQYVLDIDVRRKQVLSITQRGIESMVDPVPYLIACEFSAYLELSKDRQTTVEGCESEESTKRMKLK >OB03G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6890241:6891187:1 gene:OB03G20730 transcript:OB03G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRSKAIAVALLSIACFALAAGAGDAPRWLQINAARVGASCMECVLSCISGGGLLDVCRSLCDDLCDDPEAFRVLGMILDLPSQMPSLMSDCFIHEGMILDLPSQMPSLQNQFVTTGWVAGLVYRHQPSCRSTVIYRLQDVFNHWQICTV >OB03G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6900567:6903211:1 gene:OB03G20740 transcript:OB03G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVCATATLVQVHSARKHGVLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGQKVADADALLARLESSLSAEALPRAAGPASEGLTLLELVGADRPGLLSEVFAVLHDLRCNTVEARAWTHGGRVAALVFVCDEETGAPIDDAARVRRIESRLRHVLRGGARGARTVLADPSAAGNLDRRLHQLLNEDGEADSRAAAPTTAGGGGGGGAVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSEAERQHVIRCLQAAIERRASEVRDRVQAVVSPLLVLHGTPPIAAVLCCAHLAAPGRQVPFVHARLSTSPPMCSAEFPRGARPSDAIFLCSGREARAAHLRPPRAARLRDARVPGERPLGDARRDHDQGRHGHERLPRHRRGRPPRRPQDDRRGDTEDRHGEPPGGRGAVASPLLGGRRRRRPRRRRWAVLPWEHCQEEPLQPWPHKILLVRTGDVSDDMACSCKYGRCMG >OB03G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6904784:6905848:1 gene:OB03G20750 transcript:OB03G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLRVRPTPTYLPIETASFSEDDTLPGFDLDNETRAAGFLSGLKRVHTLKYFLFSVSGLKMTAPVGKNSSACQPRVKNFKNGEIALGSQVAATLATAGSPLPPPTQSLCRLGVKNFKNGEIALGSQVAATLATAGSPLPPPTQSLCRLGFGCDAA >OB03G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6906536:6912413:-1 gene:OB03G20760 transcript:OB03G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:J3LM00] MMSFRSKDTNAQTQFNWPWRSESPLSAQLLIDIPPEIELSDYRRLPGSGSESPSGLLHGEGFKEEPIADLDIFFERLYEYFCAKGLRCIVTKWIIEMLNVLFMVCCIGFFFLFVDWNALGHLKCGVEALESGEKPCDLMQVIKHNPLVPFTFPKVVTIGSMVILTTYGLINFLKFFVQLRSTLNIRDFYCNSLKVTDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIHPWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPAVLKKRLVFVGISMLILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHILGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCNVVQHTHFMPKRWRGKEDSELVRREFETLFQYTIVMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFRRHGNRNYGSPFDALKTLRSSQGKLEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKERQIRQQAIAQYQAMEASGFVASTRDHKDDIFHQRLPSNIHNRAEAILPALYNLGPFGLLDTDQRIHPYILDWYYICHPPHLDRTEAPHFNEAFPETGKNTRPAARDASEVQEARNWDADMVHSDHERVRSHMDASTSSNLFHHAPVEHHDTKGNIVDWWAQAPEHSTGQQGSFLEPPEFGNRYVAGTRSSYHTGDLSDDVSVEELERSYSRTSSSWRRPPDLATTRYMDDSDIEEGLNLPFADLQQKDEDARRGASDTNDPTPVGLPVRIIPRSSDPV >OB03G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6915714:6916310:-1 gene:OB03G20770 transcript:OB03G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLYALCVIPLACSLYLLRRFLDARRNLPPGPRRLPLVGNLLELGDLPHRSLARLAERHGPLMTLRLGAVTTVVASSPDAARDILQRHDVAFSTRSVPDIVRACGHDRFASPSPASRPAAPSGVPSAGCAPRSSSRPAAAPPRRAADPPPREGPSARVARREGGPRGRARRRPPRRLHDLAERALVHPVLRRPRGP >OB03G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6919558:6921344:-1 gene:OB03G20780 transcript:OB03G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLLYAPCVILLVSSLYLLRLFVDARRNLPPGPRPLPLIGNIFDLGAQPHRSLARLAESHGPLMTLRLGTVTTVVASSPDTARDILQRHEAAFSLRSVPDAARAHGHNMFSMGMLPPSSPLWRTLRRVCAAELFAPRSLDARQSLRRDKVRQLVNHVTRLARDGAAVDVGRAVFTTSLNLLSSTIFSADLADLDDGRGGSPAGEFRDIISEFTIIIGVPNVSDFFPIIAPLDLQRLRRRVARVFTRLHAVFDEHIERRLQERAAGELPKNDFLDVLLDYRSPEDGRGFDRPTLQFLITDLFSAGSNTTAVNVEWAMAELLQNPTSMAKAREELARVIGSKPEIEESDISKLKYLEAVVKETLRLHPPAPFLLPHQAEDTTEVGGYTVPKGTRVLVNAWAIGRDSKVWSEPDKFMPERFLQREVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKNGVDMAEKFGMILERASPLQAIAIPVQ >OB03G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6923226:6923717:-1 gene:OB03G20790 transcript:OB03G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTVKLIALWASPSSPSLLAFCVSHLIVALLLLAGRSAAPEISGRAVGGRSLEAGAGVPRKETNPGGREARLPAVDAGGRGGCAPGVDGRAEECLVRVGDGDAVEMQARERGSSAREEELVAAHALREKNCDDGEDELMLRAEEFIRRMNRIWMAENLRVC >OB03G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6936815:6938037:1 gene:OB03G20800 transcript:OB03G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEKYAHVATPLHSHRGGAKKTPRRAKSDGGAADSAAYVAAVSCSDCRFKQRLHAPASPGPGAVIRSLFVSLTRRSTPRSSPSPTSASGGDGGESEQWRLAASDLSRRVAGGARTRDEALEETTRLKHSLAELELKLARLXXXXXXXXXXXXXXXXXXXXTARAAVRSLTRALSNHLRSPANPGPNLESFLNRAFHADFELDTDGDVHTADPAGRCEANLAAYHSIAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAGRAGKLEPASVKMMVAPGFHVYVACAGVVKCKVVCFYSNSGRTGGHRDGGSSANGSVGLGSSSSCGDVNGSATADVADGCNKSEQ >OB03G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6940164:6943542:1 gene:OB03G20810 transcript:OB03G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G62850) TAIR;Acc:AT1G62850] MATAARSTALLRPPVRQVSSLLFHVPLSSAPTLGLGLTVGRAALVRLRCSAAEAGDDGGKRVSARLALTQQVLRDAEERAALAGSDPAPKISLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVNEAHWLGERIKERILQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKIEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >OB03G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6951043:6954161:1 gene:OB03G20820 transcript:OB03G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIPNIQSRAIWLSEVEKGQGYAVDFLAITLHAVSRDPEAYPSPCIYTQIDTEDGSGEEAGESDLEASGDLQLAKIPEMRIILSDPGQLDALFDVFCHCAELNPDPNAGHNEDNSWFGGDNLAFGGGWIHGDEDMIDGNDLEADMFHTNLIDQNGLHDLNCSVRELEIDDQRFEDAEEEHEIQENGH >OB03G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6956753:6957049:1 gene:OB03G20830 transcript:OB03G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLVQLVTNANMWFMRKRGIKRWRHLLGDLAVATESRRRWLRAGITEGDGQLGVWPSVEHNGRMEGGCVRCGNMEGHGPATWRATTAPVVWEEEGS >OB03G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6958149:6959958:1 gene:OB03G20840 transcript:OB03G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGMKGGKLGVEDARELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >OB03G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6963096:6963911:1 gene:OB03G20850 transcript:OB03G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRANVAGLVALLEAARMADPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGAHQTTISRDFTYIDDIVKGCIGALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRRIVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYMPGLADKQKQHGSSNGKASRGRSGSTSSAR >OB03G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6967228:6968086:1 gene:OB03G20860 transcript:OB03G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFSTILLLLALALVAEVSGSTNGGPYQLTSAEGSVSIQECPQKCDYRCSATKHREPCLKYCNICCQKCLCVPSGTYGHKEECPCYNNMKSSQGNPKCP >OB03G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6972484:6972876:-1 gene:OB03G20870 transcript:OB03G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSIVEAFTLSPLPYPVILILLMVMLLLGTSWFFTYEDFMEEAAEQFSWALLLVPVALVLLIRWISSVETFDGYFSFYPSERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWRP >OB03G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6974473:6977014:1 gene:OB03G20880 transcript:OB03G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAAKSAGAAAVQRAPKMKEEDDDDDDFMPISHARGRKKASDAPASKYKEEEEEDDDDEDNLPLAVSRAKKAGNAGASKAKKEEEDDDDDGDYHVPLSRSKKGSEKQKSTAISNTKAKVKKEEVDSDDECKPKSQKKSNAKTSKVKKIKDEDLEDDTKKIKKKADTKKDERNGAATRKGDAEKVKKEKKVYDLPGQKHDPPQERDPLRIFYESLYEQVPTSEMAATWLMEWGLLPPDVARKVLEKKQGQKLKSPVKITTAKRKPDTPTKKTQLSSATKTTSVGKDSAKTTAQKKRRASSDTDDDDDDDDFIISRTKTKRQKTNS >OB03G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6976453:6980842:-1 gene:OB03G20890 transcript:OB03G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWFGRRRRKARGGSGGGGGGEAEAEEKVVMDGSEIRELVEDREAFGMFAESKFRELDADADGRLSVRELQPAGEGIAVELGLPARGSSPNADHIYSEAISELTHGKKEEVSRTEFQEVLSDILLGIAAGLKRDPIVILRIDGEDLREFVESPRYEPEAAAIFSKIGSEDVSLRQCLLSALQLLNVDNGMPPASDPWVAENLVEPALQKLPAGQLEQPTSQDVFLEQLKKLLSNVAERLQEQPVIVAHTENTFDGSGVKRLLNNKFELDKLLDSVWRDVPIENKNKVSKEYLIVALDKMADAASLPPYGAVNQVDAVVNEALKMVNADDRMTVDEAAFKKLLTDVLGAVMMQLNEHPIFVSTSSVVHEPLFSSSTLLSKSSPSE >OB03G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6982240:6986223:-1 gene:OB03G20900 transcript:OB03G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3LM14] MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVLERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLEIRARATDVVVLYFQKAATAGQNTFFDVLKYVASQSPSQKSRQQPSQEPQQPKQQQAPLQQQQQPQKQAPTVMRRSASIAARQAAMAQQSQEAKTVPSSPKIKRQASAKAAPVASTKSTAAAAPSATKPDADSPKKNEATPASLQVRAPATNADAPTSEPSAPLPDAEEADKMAIDEADDAVEGTEEELDPVPGETVEERPMEETIRVTRAKLRRRAAAEDPAGN >OB03G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6994862:6995296:-1 gene:OB03G20910 transcript:OB03G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSQSASAAFFLATALLLLAFAGGQTTGAPPPPPPPNSSAAGGSVPRRRSLGPLGQLVGIGSGSLQQDCCPPIAQLQNNVAAKCVCAALNLLGLNLSLGSNGTSTLAGGILKICDRAPLNSVAVDCSQA >OB03G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:6998942:6999978:1 gene:OB03G20920 transcript:OB03G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEGACAAWWPEVGGGDAVLGGVWTRVAGMSWVAGTDRRSASNCGGLDGNRWSRFGVARLGNDNNEDLTGITPVPRGAPQIEREGQSVRAGDRATDKLERITISGDERKISKDEIERMIHKADEFADEDRRVKERVDARNSLELYVYSMKNTVDDKMGDAMEGGEKEKVEGAVREAYNWLNGNHDARSPPPPAALYRSREKR >OB03G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7002835:7003710:1 gene:OB03G20930 transcript:OB03G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHAYVHASMHAHILSQTQTKVDAITLPHTLMMPVIMAVMSTLTGILPLRALHRQLAAVLPGRLDICEQHCCDNKVLPRPTLRHRLMLEKTIGHLGGGVGAGGGVIIGGDGGDDGGRLGGGTVGGGVGVTTGAGGGTDGGGDDGGLTGAMGADDGVGMGVGAGAGIGTGVGAGDGVGTMLGVGAGDDVGTGVGAGDGVGTGVGTGDGVRTGVGDGDGTGVGAGDGARTMTGVGAGDGVGTGAGAGGSVGADTGGARHGLGKRFPLTEEAKMKRIIEKNRAGFLCLVAIVA >OB03G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7014147:7014833:-1 gene:OB03G20940 transcript:OB03G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQLPYTGQPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQGRLGQLQRGRAARVRRAWRCGNRLPSGSSKLRIIHRGDCARF >OB03G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7021978:7022436:-1 gene:OB03G20950 transcript:OB03G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYVFQLKEAEFAEVGDGEVRRLRGDDDLHQLHRLRPHQIHRRAAPAPAARHGGCSRPAQTKPNQPNQRPPLPPPCDRALLLLSGGRARDGLIGFSLSLSLSRRGGEETGRGPSTMCCYAGDVARRGTGGARALTCWARLSVTGGPSAISRIQN >OB03G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7022160:7029354:1 gene:OB03G20960 transcript:OB03G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:J3LM20] MARGGGGGCPPMDLMRAEAMELVQVIIPTESAHLTVSYLGELGLLQLKDLNAEKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGISTSTQLTEISLDFDDLEIKLGELEAELAEVNANNEKLQRTYNELLEYSTVLQKAGEFFYSAQRSAAAQQREMEANQSGDTSLESPLLEQDMLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQESIDEPVTDPVSGEKVAKNAFVVFYSGDRAKAKILKICDAFNANRYPFPDDVAKQLHTVREVSAKISELRVTIDMGLAHRDNILRSIASEFENWNRLANKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQQATLDSKSQVGSIFQVLNTTESPPTYFRTNKFTSAFQEIVDAYGIAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLLVATLYLIIREKKLASQKLGDIMEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRAYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWCTGAKADLYHTMIYMFLSPTDELGENQLFPGQKLVQLVLLVLALVSVPWMLIPKPFFLKREHERRHQGQQYAMLQTADDSVVADLGDHGDSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYNNILIRIVGIAIFIAATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFDLISEEED >OB03G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7029863:7032991:-1 gene:OB03G20970 transcript:OB03G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGVLMGMIVGVALIAGWSRAMARRVAKRSALASAPLHGRSHKLSFVIDRQSCKNAADVNALASLDREDVKKICGENLPEWVSFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPILDDYRPPGISSLKFSKLSLGTVPPKIEGWRENFASVLRHFVSYKDDILICLLLFCSGIRIQSLKRGQITMDMDFRWGGDPNIILAVDTLVASLPIQFKNLQVYTIIRVVFQLCEEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDIVASLITDMLQWPHRIVVPLGGVDTDISDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTSVIDDNLSPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEMEAVQEINLQLLPSLDTTKVKDRKDRGVLTIKVLYHPFTKAEALEALELEKKATEERRRTKEXXXXXXXXXAGPGVTAAGSGVGLVGTGIGAVGSGIGAFGTGLSKAGKFVGRTVTGPFSSARRSASSVPTIDE >OB03G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7038230:7039826:1 gene:OB03G20980 transcript:OB03G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSLQGEREFHNELSLASHLLGCGHGSPSILLPFAYSLSAQPRRRRMMLVYDLMPNGSLQDALLGKRCPELVSEWPRRLAVARDVAAALHYLHSIAQPPVIHGDVKPSNVLLDGELRARLSDFGLAQIRSEEDDELESAAIGGNANEISNPSGGCDDDMSVADESATAVVVNGEGNAAKSPEDDEGFTMASPAEAASTSGCDKTSVGSGFNGRSCNGGGAAGSGAGNDWWWRQDNGGGSGGVKDYVMEWIRSEIKKERPKNDWIAGASTTTPATTTERKKTKRRAREWWREEYADELTKKQKRRALAKSRSEVGPTASMQWWERDCDLEEKGRSRWRMMRSWSRRSSNGNASIDWWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSDKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLVDPALRDVNRDQALLCITVALLCIQRSPSRRPSSEEVLEMLSGEGEPPNLPVEFSPSPPGGFRFRSRRKGR >OB03G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7044432:7047577:-1 gene:OB03G20990 transcript:OB03G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDCCPVCAAPVSRLTREYNVLLDTGEKEFTVGKFTTGVPPFSGRKNAGSRSWSLHNEGRQTTGNAWDNCNNRKSCILEDDTGEYQYQGQIQGLQSAASHYDLLMLNSNSKDLHAVPSGSWYKFNIILQYKQLTLEEAEENMSRRRSSATGYERWMTKAATNGAAAFSSDVLKLDDTNEGETGQVHLKKSNKSGDETKSDKGGEERSHLPTTKGREEDRKDRDFDLDYEIEKGDDWEHEEIFTDDDEAVDVNPEEGAELADPEIPAPPEIKQDFNEKHGGVGLSSGKELKKLLRRAAGQNESDDVEEDTDEDEPPSPIVAPKQPDQLKSASEEDNPSKPTASRNPCITPHASNSKQKRRKWGDNVKTSNGSTPKKPKIEPDTKKVVKEEIPCSLEPTSKPFASERSDTDVSPVTEEEIRTVFQLYAPIRLHDFRKDFMPRFSPRLRTAEDKQDFLATLKKISQVQKINGQKCMLLLDEYK >OB03G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7056598:7058018:-1 gene:OB03G21000 transcript:OB03G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSQVAPTPDSAESTASPRAPHHGGVKRTAAEESSVDDRVLPLPANDLLWELALPLGADRDHEYCNPETMLAGVEAARLRKLPPCLVLGRRKDPPRDRQRTLVEALQKAGVTVEAQLDGAGYHAMELFKQDRAAEFIAQVADFVRRHAGDVHATARSRL >OB03G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7062579:7071534:-1 gene:OB03G21010 transcript:OB03G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTAENERFERALAIYDRDSPGRWERVAAVVGGGKTADDSQRAADMTAKYSKPRRLQIDAKSINLICIFEAQKFPSGYEMDMALPVVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDAPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCESKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLAHTLEKLTRGNKRAEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNFLEDNPSVLSDLRSNIAFLHKELSDTPGLEISSHVLSPIVFLKLKKPTGSSNTDIDLLETIAERVLKEDSVFVVASKRSTLDRCKLPVGIRLFVSAGHTESDISRMSSSLKRVSESVPMIPVVAHSYKQKYNY >OB03G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7078657:7083146:-1 gene:OB03G21020 transcript:OB03G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G31910) TAIR;Acc:AT1G31910] MEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLNKSTLQLTSAGESANPFVEQAVQFSVGAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRQQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGERCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVNLSCLGQSSSDNTAARDLDLLHSIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHSMPDVVADIVTRSWDHEKAKFSLPPLMSLLLGEPGTGGSSTPSMVGTVKRWQKFDPQKSKDTWNKLGIANSMLEKQLRNLSKLAEDHWEPYESVLRSCSCLACSKWTEVATDQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGDASDAVAKAWSSTGVLPLLVREDPHGVSLEAGDPRTREVSTAVSSIQIN >OB03G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7096152:7097105:1 gene:OB03G21030 transcript:OB03G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRKLGHRKKRIMPSCFSANGGSIDDGEVDAKEQFEFVAEPTAANSKDCVGTHEYLAPELVSGTGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDTTLKNILSKQVTYPQLDGEADAAQLRDLIGRLLERDPRRRMGSARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKDAAAAAGAGDKKAKLGSWNSLGGKKRSSFGRKSNYEERQGVFRKLMSWSQESRSKKAKTNKVKL >OB03G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7106597:7107064:-1 gene:OB03G21040 transcript:OB03G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCRPHTSRKMIGVKCGNKVRDACFSRRHTTIFNKANELAILCGVMVAAVFVSPNANGGIFSFGYPSVSTVANRFLADHAASSTSVSNSTQGGRDVEIRELEREERELTEQLQASTYQNKLLQEAIAALDGGRMMQLLRCDFAEFGPKDLVGPR >OB03G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7111766:7113424:-1 gene:OB03G21050 transcript:OB03G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNLIRCGLRACGHRLRVRQRVHGAFGSPSKPHALDFLVSRLPCRAPPSHSLSLPPNRRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAAESERAVAPAAATEPEKTPPPPADAPGGDLMLRRYKEDMASLPDHRGLDEFLEVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRSGEWVVGGKKETQNGNAKKRDHDSRSRTDGRGSNTRYSRGGTEDRDSSAHQKRSSERRAEREVQEKVRNSRDTRQVKIGGGGGDKVRWLHSDIRVRVVSERLSKRLYLKKGKVLDVVGPTTCDIIMDDRSELVQGVEQDMLETVLPRTNGLVLLLGGEHKGMCGHLIEKNSEEETGVVELSNTKDMLRVKYDQIAEYIGDPESLEY >OB03G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7112016:7112240:1 gene:OB03G21060 transcript:OB03G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVGPTTSRTFPFFKYSLLLNLSLTTLTLISLCNQRTLSPPPPPILTCLVSREFLTFSWTSLSALRSLDLFW >OB03G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7115795:7116772:-1 gene:OB03G21070 transcript:OB03G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQMIAPLLGAGRSAWTAHDGGEAVVRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGALVAAFAAYCFLSTLAFTVLDLARSNATGVRGIKRKYLLPSGPFLLPLVLLVLAKGQRINAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGQEALRWSQFSGECASCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAAGWVFVAADAVYLVGWVAVEGGAVSVLAYSLVAGLVFLGVFGKVYRFLAWLETRQSQWKSSLCHSAV >OB03G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7119746:7119991:-1 gene:OB03G21080 transcript:OB03G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTLSSFQVKCQLLHDRVCNSAPMIRPLLVPDHHLLSTMRSEIPVRILPLDTFSARSCRFRGSVTSLLHHSWTKAILTCTY >OB03G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7122443:7128364:-1 gene:OB03G21090 transcript:OB03G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane translocase complex, subunit Tim21 (InterPro:IPR013261) /.../35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G00026) TAIR;Acc:AT4G00026] MASRITRLLQPHHRRRLFSTAAEASSRRPPARSPLGAAAAPKHDVAKAEVSSLKNSRWYMMKSNSSSPLMTHNESRKVSAYLVRPCASYSTKSSDQNPKEGKKDLTTVEDPFDAPTYNIPEKPVTFAEGASYSLVIVAGLGIAALAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKIWTDEEGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRNWKFTYLIVEIVSPHRSQLMLESYVPA >OB03G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7133074:7137360:-1 gene:OB03G21100 transcript:OB03G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELELPPETRLTRPCLNEALRFLGECDAALGVWGAGGVGKTTVLKQVRDACGLVAAFDHVLLVAASRDCTVAKLQREVVAVLGLRDAPTEQAQAAGILGFLRDKSFLLLLDGVWERLDLERVGIPQPFGVVAGRVRKVVVASRSEAVCADMGCRKKIKMECLNEEDAWRLFEANVGGETIHRHPQIPALARQVAAECNGLPLSLVTVGRAMSSKRTPNEWGDTLDAMKTTKLSSAPGSDKSAHPLVKFCYDNLGSDMAGECFRACALWPEDHNISKDELVQCWIGLGLLPELADVEEAHRLAHSVISTLEAARLVERGDNHRYNMFPSDTHVRLHDVVRDAALRFAPGKWLVRAGAGIREPPREEALWRDARRVSLMHNSIEDAPAKAAAGALADAQPETLMLQCNRALPRRMLQAIQHFTGLTYLDLEETGIVDAFPMEICCLVNLEYLNLSKNRILSLPMELSNLSRLKYFYMRDNYYIQITIPAGLISRLGKLQVLELFTASIVSVADDYVAPVIDDLESSGARIDELGLWLDSTGDVARLARLAPGVRVRSLHLRKLNGARSLPLLSAQHAAEFGGVQEHIKELVFYSSDVEEIVADARVPRLEVVKFGFLTRLRGVAWSHGAASNLREVAIGACHAVAHLTWVQHLPHLESRELVTSPRLRLLALLGLPKLEAIRADGGECAFPELRRVQTRGCPRLRRIPMRPAASGQCKVRVECDKQWWSALQWASDDVKAYFAPVLI >OB03G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7149623:7153148:1 gene:OB03G21110 transcript:OB03G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAWRLLFTWLDGIADRLCKRLIWQGFVPSAACMVTCWAFLVIEALLLAEINVHLRRKKGKDAGDGGGGGHLEVISLKSMAQETLGEWGGNLAAGAYLFLSYTSMVAYTSKSGEVLSRLIAGVPEPVSGGAFTAALALLIAAGGTGVTDKVNQLLTFVMIGLLLTIEVSAVASGAGLTTPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLVRIRLSILVGSIVPLLSLLVWDDIALSVSTDLNGFDIMDMLKTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMANLVSSSAQGHEEEEGSRHRGGRAVDDNAMLSYIAAGAVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAITTSRMSDSRAGSVEAESSVGGGANVDLTSAKPVLVGMGVFSVLMVFEQMSQDLVSFQSYLLAWTG >OB03G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7175667:7175894:-1 gene:OB03G21120 transcript:OB03G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLPSLSTNSRRGNHSLPYKETIAAKKRQNLGMIRKDCRQALPLRFASLPLPLFLDHSEWSDWSRTQATPSSGAF >OB03G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7180050:7180619:1 gene:OB03G21130 transcript:OB03G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXGETGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVHALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDGFAAELVAEHRSRGSRIGPTVAFKQAPRKAAAPAPALGS >OB03G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7195352:7203376:-1 gene:OB03G21140 transcript:OB03G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLIGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPMNMLPVTYPQSHSYPQDDFHKTVARTLSQGSAPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDVTKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYNGGDDIRQLLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHDNGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAVASAAAAGTSSANAAPPAITALNGDSRSLVDVKPRIAEEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSTGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKNRMLQIPSGRPTSNILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISSSVYPVVVAAHPSEPNQVALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPPNGASSSDQPER >OB03G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7207159:7211522:-1 gene:OB03G21150 transcript:OB03G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase [Source:UniProtKB/TrEMBL;Acc:J3LM39] MAMVGATRFDRSLHPAEARSDSKSKSQRMVKVLTLTLVAPDYSKTEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGVTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAIDYETVTMEQIESNIVRCPDPEYAQKMIDAIDKVRVKGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNVRTKSNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQNTVSREHQDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSVPAFAPDLS >OB03G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7211654:7215600:-1 gene:OB03G21160 transcript:OB03G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGAPGSRRRGTAHGHGHHQVHHHHHHQASSPPPPPPPESSPSHYVFAAATPYPPPQYTNPNLPPRYYPQYGNYYPPPPPSLQVPLPAPYDHHHRGAAAGEFPPSAPPHHYHGWPGASGRQPCGFQQHMPTPYVEHQKAITIRNDVNLKKETLRVEPDEECPGRFLVAFTFDATVAGSMTVYFFAKEDLNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSMFEKSELLKQGDMDVYPLAVKAETRPVDQQLEGEDQKTKTPNSQITQALFEKKESGDYQVRVASQILWVNGTRYELQEIYGIGNSVEGDVDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQSPQSPIKANSKAEEQEQSPQSQSSPIPPTPPT >OB03G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7219567:7226794:1 gene:OB03G21170 transcript:OB03G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENGQGVQYCYSGPNSATRFRRLPQASLSGMVVSLSLFKLQITQIVICEYICMFCNLQHIGYQYDYYTETFLKSIKAFFKFIVVCFPILAAAARRVKREVADDLNGEPADDVDEDEEVVVEEEEDDEVVVASDEEGDADGGGEPVEAFEPRTLEEALVPRVGAVFDSVDEAFSLYKAYAYHTGFHAVRRTCHNYEGLRYRSTFTCTHGGKSRAGASPSDGSGARYPLRSSKRAAASQEKRARRGAAEKTGCKAMLIIRDKRAEDKWKVEFVELEHNHPCTPDMVRFLKAYREMPDSAKKKAKISDEMDDMVEKSLSEIAETRKFPTRPKRSVGGASVGGFRFSRSDSFVQRFGEDDLIALKKFIETMQRKKPNFMHYWDLDHETHVKNFFWTDSRSQAQYRYFGDVITLDVMYLQHSRVSFPLATLLGVNNHGHLVLLGCGLLSSDSKENYVWLLKRWLSCMSGKPPEAITTTYSDAVALAVAEVLPNARHRFCFWHILKKLQENVGRTHEKEAISSRFKEVVYDTVTLTDFEREWGSMVEQYKLKDNEWFSALYSCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTTKTTLPVFLEQYETTLRGKLEREAYDDLRSYYSRLTLLSGLPFEEQLMELYTVPMFQAFQDEIKQLMHVICKEVDRSGNSITYMASELVQGKKVDYTVVYNSADKDVWCICRSFPSRGILCSHALSVLKQENVLMLPSKYILNRWRKDFRILHASASSSSVSSNRDLSVFNDLYACGHEYLEDVIDIGAREPELKEFVLSVMKEAKDKLIRPDHVQQDDQQVDVNMSVTGQVSADRRVDVNMSSNSTALIQGDRRVDTNVTSNTTALVHGHGDAITSNTTAMIHGDRRVEMKIPTPHLIHGEGRVDMNMASPHLMQRDRRVDMNMASPHLIQGDRRVDMNLASPHFIQSDRRIDMNLTSSHLIQGDRRVDMNMSSPHLIQGDTRVDMNMVSTAQNGLHSFDLVNVNLESGPLPMVATDFMQMHQHPPVYHPKQLLNMRDQVMDTNKRPNMETNTYFMGGGMHVG >OB03G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7227719:7233671:-1 gene:OB03G21180 transcript:OB03G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3LM42] MWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVEGIKFRTDNAAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNVDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSNGKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALVAAEPSYSSLGQNAEATVYQTAGNSVCAAFLANMDAQSDKTVKFNGNMYKLPAWSVSILPDCKNVVLNTAQINTQVTTSEMRSLGSSTQGTDDSSITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIIVKGDEPYLNGSQSNLLVSSLGHVLQVYINGKLAGNAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGITGPVKLSGLNGALDLSSTGWTYQVGLRGEDLHLYNPSEASPEWVSDNSYPINQPLIWYKTKFMAPAGDHPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLNKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTTSICAHVSEMHPAQIDSWISQSQRPGPAIRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGVRNCTVPVSSTNFGDPCSGVTKSLVVEAACS >OB03G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7234135:7234380:-1 gene:OB03G21190 transcript:OB03G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTTASADPRERNRARKRASSLLCLPFISRLAPLQPATHLPFFLSSFLSLFSFCLFLRRFFYRATPASVSSSSAAPVPVVIS >OB03G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7241268:7245388:-1 gene:OB03G21200 transcript:OB03G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MLAHGLAINPSRAARCPVNSRASSAPLGLVSSLAFNRGRKEKVKVFINVDRYTNYSTPFCYAPRNIRITPLATASFGDTADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNFLINKYAGHKPSVDAIESAHDKIIMQSFFDRKRPKVDFKKKFRELTQSRPVKAIQGRFQTPSSRVIWQTALTFVLLGVLTLAFPTEEGPTLQVAISCAANIYFIYQRLKSGWRTFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEVSTACVTYALLFVSSTFLK >OB03G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7246263:7246712:-1 gene:OB03G21210 transcript:OB03G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITPRSQQRQNSRELEQLHHKPARQVGAVKSNTKATTRPTPTAKTTRKEKNRRRSNSALESRRHGRSSSSSSPSGKKGLRGVGLVRRGDCNLGGGVQEIKERGRRRRGGGRARADEAGSPRSGDGRRRIARARGGAEDRPARGGEGD >OB03G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7246494:7251583:1 gene:OB03G21220 transcript:OB03G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVTSTVSEPKQDNEQRDSSAEFPRALTDMETSAMHDPEAQNLIGHEADTELTPYEGMEFESEDAARDFYSKYARHAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRDCENLHVDQKQQARVGTRVGCKAMLMIKKFGPDKWVVTKFIKNHNHGPVPPRKLHAGEHDSDLKENPHSIEAVPIDEPVEGMEFESEEAAKLFYINYARVNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRARKDITDEGKTSKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSRAVPITSTSGKVVDIAATSTDPNEVKVEGYKVGVQCNSTDSLTVLYNHLCQEAIKFAKEGSVTEEIYHVAMNALKEAAKKVSEVKRSHPLMSQGGYAINKSKHEVMRTEIVSALQCSNEDNQKKMTPQLELFQEPSSSLVLIPTNSLTHSSSNCADNIPLSCDLTIKAGEVRHVSESSYLAKDSSQKSQNSTCNQAFQGKDGKFHGPEETAVAIPAIPLTLYMPIMKNPPRDSADGPYRLLTAPIEAVPISYRPAEPIQHPQRSFSNLRTLPGFLPKSNKRGKGPNSLVHATALACGARVVPPMEAASLIKVIESKIRSGGATIAILPSSSLTPLIPEVASMSSSSEDDEENDHSEPLMESIEHNCHDQSSEEMKLQADPSELETETENCSAQPENENDDPTRF >OB03G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7253203:7258886:-1 gene:OB03G21230 transcript:OB03G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIETHGKKKHENGICHDDSAPPVRAQTIDELHSLQRKRSPPPPPIKDGATSPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPSPHHQHRHHHHHPHVAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDSENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDSGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIKLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEINGVPSEILDPINTWSEKDAYKETLLKLAGLFKKNFEVFANYKIGGDSSLTDEILAAGPNF >OB03G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7272435:7275860:1 gene:OB03G21240 transcript:OB03G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVYLKASFRMKIKDGKCLLAHFCERFSQRRRSVEEIQLRQSVNISANTYAQYVEVLHEPSIKWAKENGKRLTQDAGGGGRGACGFLQLLVAAADGLGDFGAALRAEADGEPEEGEDGDPDEDVERQVSHDGVAGEVAVRPVTGILPIATGDVGAELVSHDGVAGEVAVRPVTGILPIATGDVGAELRLGRPPPSCSWFMTGPCGRGGYTAGGAGTTAGCTHGCCCAMAQHGREASEPGTKSQFTSDSWQIGLTGEPRSIDRSSIFGVIGGSLDSGTVDMMRIEGWGCDYGGSGDAVGAVRLSCESRMRLRGSASRPSQCPTAGLEKRSARGDDDAGRAAGIKFYCYAWEGIVWTLAMSLAVTCQQQSSPLAIRCNAAL >OB03G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7273759:7274732:-1 gene:OB03G21250 transcript:OB03G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHPCVHPAVVPAPPAVYPPLPHGPVMNQEQLGGGRPNLWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTRDGPNGHLAGDPIMRDLHRPRYWVFMAASWVGFASSMVMTLSLLVRMPVDSRNVRWSFAVAYSTLVLTFIVSQPRTHLSLNILVWVAVLAFLWFTISLRPERRAKIAQAICCGH >OB03G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7278155:7284466:-1 gene:OB03G21260 transcript:OB03G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS HF [Source:Projected from Arabidopsis thaliana (AT4G26900) TAIR;Acc:AT4G26900] MPPPPPPPPLQGAMATGTSIHAVPCSAGRPKRRSQSRGTSTLSVRASTDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALDITKDTQLLQGADGHHVYFVHSYHALPSEANKDWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPPCRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRDHSSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLETGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVAFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGSVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLVDAGVEVRV >OB03G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7294256:7304116:-1 gene:OB03G21270 transcript:OB03G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDFRIPYQQVSSWQPAESAGQFKICRCGEGDPNFQTTNTCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGLDGRTFTLKAESTEELNEWRSALENTLAQAPAIATTVGQNPIFSTDIAQPVEAPAEQLEDKSVIGRPAEFALVDSDGNPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEENAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKARRLDAMNRVIYDVFPEPNRQLLQRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESEDDDFDKEYSTENDVPDEDGSYDSGEDNIDEDMDDNSVHSSGGSECDKNIKINTKISVPPMENTCLMESNDPSNQKQESYGSNGSTDQIEKFNVPSSSSRAKFMEKSNSSRNKSKRTLWGRTSARKDLSAEEIDYCSDDETLIEKLENKKTDLQSKITKEVKENSILHASIERRKEELHERRLALEKEVEHLRDQLQTERNLRASLESGLMNLRRGQVSFQSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNQVQMSSTSLCDSCNKLLLNTDKLAGDEQNTASSNVGLSDMVSATDMADMKQSMKQNTLLSSSSTGKPALQKQQMNTNYQQNMSSGGISSDEQSSTISQRAQRMLSSNGEIMKDDQDGSFTSKWNFAQRQYSNNPLLSRLGSNAYSSTRTEGSGAVPSALAKLTNRLNFLKERRALIASDLRSISVNGGVIVTRLREELRSGRSRMPGDDIEYELAHGGATPCGMES >OB03G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7306954:7309990:-1 gene:OB03G21280 transcript:OB03G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPPTNGDPQPPLAEMEGTGAQVEEVDSGETMDGVASIALLPSGAISGHFIRLPDSVCYGLQGTPISCERECSRGEDYRLIKLSIIDFKNKREKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNQKFSISFECETLKADKAAEEHISKYMPNLNGLDAIVNIGKMNISGINLDDEDEPSRDN >OB03G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7315379:7315558:-1 gene:OB03G21290 transcript:OB03G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKIGVWCAGQYEGATPTCQVAWIVAGMEEMTLTSNAEGHEGNDADERGNMEEMHECG >OB03G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7316633:7316896:1 gene:OB03G21300 transcript:OB03G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTRGEVLSLLRTARHFSDYNIREYTRRRATDAFRENRSLGDVAAAAAAYADGKKRLEVAKRQAVVYSLYAPKAKSIMEMKLQ >OB03G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7320462:7321320:-1 gene:OB03G21310 transcript:OB03G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHWKTSKQTGKLKTLLGLALSRIAVARRPRLARKSIATDDVRQLLTLGHLDRAIHRAEQVIVEDTMLEAFEMIELYCKRLIEHAARLDKPGECTEEIREAAATVMFAAGWCGDLPELTFVRTILADKFGSHFTEATRDGTGIVDRMLVWKLSGDATSRELKKKVTKEIAAENNIVVDFSELRDVIKDGED >OB03G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7323112:7325982:-1 gene:OB03G21320 transcript:OB03G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGGKSTSKQTAKLKSLIKLAVARLAVARKPRLGRRSIARGDVAQLLSIGHLDRALIRAEQVVDESNMLEVLDILELYCKILIEQIAQLDKPKECSEEIKEAAAGLMFASARCGDLPELLDARAILADKFGRDFAVAAKEGVHGVVDPTLLRKLSGALASTEQKQRLAKEIAAENDILLEFPDSPGEIDQGKKNEQAKNLRAEHFVKQSEVSRESLRAQQFVKQSEVKREHYEVQGRQRFVDEEVKPRQEQYVDARRAAEAAFQSASFAAMAARAAVELSRLETQGKGSRGGGYEKVHPVQNSAAGDKEAAPPHWKPQKPPSPLPSPSWSDRSTATSVGSEGAQKGKGVVFDESDDEVEDVAWARQVPRSPNRRTASTIGIXXXXXXXXXXXXXXXXXXXXXGVYNNWQHARPPHMRHASELSAGTAPPREPLAPQRGQYRDPPYRRDPAPVYNGVGRAQRREEEEDSNSRRPRHPDGAAAGARPYESSAYVHPPYARVVSALEGSNEHIARHEEVRRIGTGERVLQERVYGGAPLSQESRANSVRTRR >OB03G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7332284:7335064:1 gene:OB03G21330 transcript:OB03G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like [Source:Projected from Arabidopsis thaliana (AT5G16060) TAIR;Acc:AT5G16060] MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNDCLHQFTNDAVLEEMKKAYMVEQESKEKNR >OB03G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7335270:7335557:-1 gene:OB03G21340 transcript:OB03G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTKVILLDWVLENWNRGQILDVVDSRLSGEYVVKEANLVLKLGLSSQQLPSARPSMRQVTQVLQYLDGTVQAPDMPCWKHPLATTAGPGSDL >OB03G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7341753:7342751:1 gene:OB03G21350 transcript:OB03G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRMGALHVGEPRVSFEQQQQQVGKNGQQGGGGHGHGAVGGGGHGLIRVYGDGYVERLPAIPDVPCTWGTTALPGVVVARDVVVDRATGVWARLYAPAAAGRVXXXXAASYRLAPENRLPAAFDDGVTAVRWLRQQAAICNGAISDELSWWRGRCRFDRVFLAGDSAGATIAFHVASRLSHLGALTPLDVKGAILIQPFFGGEARTASEKTTTQPPGSALTLSTSDTYWRMSLPAGATRDHPWCNPVTGRGAPRLDALPLPSFLVCISEQDILRDRNLELCRALRKAGKSVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVTAR >OB03G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7357507:7359475:1 gene:OB03G21360 transcript:OB03G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLLRNCIHDPVLLLLILACAGIAPAGGAGGRWDLLQRSIGVSAMHMQLLHNDRVIIFDRTDFGQSNLSLPDGRCRRNPRERVVPVDCTAHSAEYDVASNTFRPLYVFTDTWCSSGTVAPDGTLTGGWNDGYRNVRTMAACEADDDSCDWDETQDALAVSRWYATNQILPDGRAFIVGGRRQFSYEFYPKADPSDTSVTLMPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILLDYKKNKIVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYNSTKDGTFPSALVTCGRIKITDMAPSWVIETMPSPRVMGDMILLPNGAEVVIINGAMDGTAGWESAKTPALAPLIYRPDHSPGDRFEEQSSTDIARLYHSSAVLLRDGRLLVGGSNPHIYYNFSNVQYPTELSLEAYSPEYLDPSNDMLRPTIVDPSPTGAPASVTYGASLTLRFTVPASKRSRGGIGPLSATMVAPSFTTHSFAMNQRLLFLDVVKTAALARAGSYQTSVVMPATAVLAPPGYYMVFVVNGHIPSEGIWVHIQ >OB03G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7366922:7367433:1 gene:OB03G21370 transcript:OB03G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQLGLALGLLLACLLLGADVAAAATYNVDWSFGADSWSKGKNFRAGDVLVFSYDPSVHNVVEVDAGGYSGCRESGTKYSSGNDRVTLGRGTSYFICSISGHCGAGMKMAVTAS >OB03G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7369282:7370049:1 gene:OB03G21380 transcript:OB03G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRSRPVPTPMARVRHCNLLLLAAVAAALLPCASAVRPFVLVLSRDDFLKDTAGAHPSLPSADADADEWDDFDDESPATDPLISPSSWVPLLDPTSASPPGDEPDSPSDALFVAGVRAMLSAASTGDEAAFATAAAQIEAAAAGGHPGAQSALAFLSGAGMTRSASRSRAFLLHKFAADAGDLQSKMALAYSYFRQELTCILLGMIDLIAILVIEILVPWGYPWI >OB03G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7371018:7372931:-1 gene:OB03G21390 transcript:OB03G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADFDTNSTHMYACMSTWHWSFRRPNRSCGTHVITVEKGSENSGIRPSSASPPLPASSSPASLAGVAAPSSPVAPYHRRHPLSTSSTLLPLPSPPPPASTTAPWAAAATEEKKVEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDSVYPEKVNTGRQGVGQNFCSIGKNVSPIKVKFTGKNVFDI >OB03G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7372886:7373890:1 gene:OB03G21400 transcript:OB03G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCVWSSYQNPRPPLAGLISPYLLPHHPSIFSSFFLPTYLLSPPPAHHSIDPAISSPLRRSDAAHGHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGDGGEKGRGAQGVRAPAAGPQHAVPDLRRQHGGPPPEGAGGGVLRDHVDVPQGAGVRDAHRRRRHHARGSQPPQARQEGAVPRPRHPPPLQVQDQLPVLPRLPQRRGPVPPPQGRRLPGEGQRWKAGRRPELPQHRQERQPHRGQVHRQERLRHLDLYTIMSNLACSSFYLSGFSCDRCQASVVIMPVGSDNLLYVYVWSF >OB03G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7375063:7381779:1 gene:OB03G21410 transcript:OB03G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMKSVLLLMLGLAMVATSSGAVYKMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAARQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLHMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGEESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQFNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPAAKLPVMLALTSLWIRKNYDGSFLVHFIDSLPEVYPVIEEWVEDVLMDEGNATIFTLFACLVTVLYLRERQRRQVAAANPQQPDGAPN >OB03G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7382829:7384649:-1 gene:OB03G21420 transcript:OB03G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQELLQEVRPPRCSGAALIIVGAPGYIGRFVAEACLDSGRETFILVRPGNACPARAAAVDALRQKGAVVIEGCVGGKEGRRSVEASLRAHGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRRVRRAAEASGVPYTFICCNSIAGWPYYDSTHPSELPPPLDRFQIYGDGTVRAFFVAGSDIGKFTIKAAYDARSINKVVHFRPACNLLSTNEMASLWESKIGRTLPRVTLTEDDLIAMAAEDVIPESIVASLTHDIFINGCQTDFYIEGPRDIEISSLYPDIPFRTVEECFDDYLHVLDLSNDEAKEEEEKKNAPTVGRLAIPPTCA >OB03G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7384693:7384863:-1 gene:OB03G21430 transcript:OB03G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAMCLPTVLSCIHAPGTVQYKNERIHSHTATQCPPARALLDLSSTGVYLISICL >OB03G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7390174:7393226:1 gene:OB03G21440 transcript:OB03G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTGGSVTGGNTITLEVESSDTVASVKAKIQDREGIPPDLQRLIFAGRQLEDGRTLADYNIQKASTLHLLLRLLGGYVYEASLRVLAYKHNIHKMICRKCYATLPPRATNCRKKCGHSSQLRPKKVRWPRLTNSFTRSYTAQSLRLDKQKGELPICEFIQCADIVDEG >OB03G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7394224:7397129:-1 gene:OB03G21450 transcript:OB03G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPIGSAALRVHLPPAADDPGPPPALLPANPSIPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGSGNGINGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLSLMTEHKEALWSTYVPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGAGKFLSKRMKSNWLELYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESETVSQKLKGDLRAAFSVLPKDMQQLLLSNPKRAVLLQGSNKEIPELDGIISQTSL >OB03G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7401270:7404475:1 gene:OB03G21460 transcript:OB03G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRFATVLVHRTTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKEEAEAGEDGARWLRRALCGAHAAEISGLGYCLHHGRLAEADDMCDGCLSSSKERRGKDAGEKDATACSCCNAVVETSSRELPDTGKGHVPLAQEEHGEEDQREENDQGYVLLAQEEHDEEDEEKHEEFQENEKEDEVDDKDDQEEEEKMVAVEDESLEFMALGEKIELDGERLFSVAAIDEMTIAEDSSLHQACCEKEKGMDHIDGEHEPRDLDIGMVLEEKRMLDSSAATATEAIEDSVVPIPEAEIVTSPSDLEENSIPQDDELVIEDVEIGDITAEQEEIVVPEVAEEVSEDDNQLSSLVIWYASLIILLSVTEPVPEDDNRSAEVDTTCEVSIGSEICEREQDDHVVPFHESAAFEEPAAPLAYQNEQPLPLETPHETDHIVQEASETEQEEEVEATASQRLDQPPNKQTDVEEDKAPETPIYSVATQISEKKFLLERKRSLSLSLDGSVASEMDLSEPSTVDQLKSALQAERKALSALYAELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREKEKQELERELDLCRQKVMHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDGYCETGESPNDGNLHSPSDAVLSPSTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINGTSEVVGHSSDEYVLSANGLHSPRNGDIASHKSKFDGRNSIGRGKSLLPLFDAVGDETGDQTPSPRGGNVQADNSSNPVSVLVKEQERLAIMEEVDHVYDRLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKNAGDALAANSA >OB03G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7411735:7412781:1 gene:OB03G21470 transcript:OB03G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKETESEAYGSGGAICGGFAPVGTFRLLSPKSSAPVGDGAGAIGGRGARATNADVRAASTAKKTVEPRNEEYTSTTTRSHGPSSPPAGAGAGTGTGLCLRRTISDAGRWPHVTCKT >OB03G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7412006:7412278:-1 gene:OB03G21480 transcript:OB03G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRHRPVPVPAPAPAGGLLGPWLLVVVLVYSSFLGSTVFLAVDAARTSAFVALAPLPPMAPAPSPTGAELFGDSKRKVPTGANPLHNR >OB03G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7420637:7423503:1 gene:OB03G21490 transcript:OB03G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDDHHHHHHHQEAGVLVDEEEEEEEEEEIEQDCGGPTSGVVEQEIGGDGGGGCHDAAGMVFEATSSVGSVSATMGPPPIMCWPQPAQPVHGAIHGHHNLGGGGGGQQSPFFPLLPPLPPQPPPPPPFFADFYARRALQYAYDHSGGASSSSDPLGLGGLYMGQHGSHVAGMMMTPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDSAACAGADGDGEQQEEDAMQAPMSPQHTVASIQDALRAVMERTTSATEEPGGSGAGGGGKRQRTTSLSAILENRSI >OB03G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7433398:7435401:1 gene:OB03G21500 transcript:OB03G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLELSPRCSVLLLLLLVTASQGLNIGDLLGGSSPAKDQGCSRTCESQFCTIAPLLRYGKYCGILYSGCPGERPCDALDACCMVHDHCVDTHNDDYLNTMCNENLLRCIDRVSGPTFPGNRCNVGQTASVIRGVIETAVLAGKILHKRDDGQ >OB03G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7438746:7443293:1 gene:OB03G21510 transcript:OB03G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22080) TAIR;Acc:AT5G22080] MASTDADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSTDEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRA >OB03G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7454637:7455776:-1 gene:OB03G21520 transcript:OB03G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFEPAEQRMPFHAAAAELGADGGKVVVDDGGSGGPGQAVLLLQETDHGGDDDDRPERDDVWNMIQSQRPVVSPVAAAGKQQAAPYVHPLVRRSSSLLSQKSLEICTESLGSETGSDGFSDADGSTDRSCPGSDDDSDYGAEEVAARASPLRAFPPPLPSLPPXXXXXRRDGRLVVKAVPVPSATMFRAQRCGGRLLLSFADTAAPSSDEDENHGQEEPEKQADELAHDEDDEEEVEVVDRGTIVEVKVSTQPQSRSNGGGSVGLRVHRSSLVINKFVNAEPTIAASDIRDTTTEPSPPRRPTGSTTTAAAALVAASALSATSAPSGGDSPGGESKLLMTTCRRRRSKEELMNHMRRCGQLSGKLFIWEPRIATSS >OB03G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7456373:7456600:-1 gene:OB03G21530 transcript:OB03G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSQQLLLLLLSPSLSRQSRSRRVRHTAIRAYRACVEDSSSHHLHPHLHRKQKQEKEEEEKKKSTGKHTEQNRDAR >OB03G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7468383:7477137:-1 gene:OB03G21540 transcript:OB03G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAAAXXXKPPTVRQLAAVLLRKKITTHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHTEVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEGSSRVRIAALKAVGSFIEYVNDGNDVVKMFRDFVPSILNVSRHCLSNGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLAKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFCHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPLDEVKEKSYYALAAFCEDMGEDILPYLDPLMCRLVMSLQGSARNLQETCMSAIGSVAAAAERAFMPYAEKVLEMMKGFMVLTSDEDLCARARATEVVGIVAMAVGRARMEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILDDSFTQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAFAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHAEVLEKQKDILDTIMNIYIKTMREDDDKEVVAQACMSLADIVRDCGFAAVEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEICKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIIVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVAHLISVYGPQMQPILSALPPAHANALASFANRR >OB03G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7481807:7485741:1 gene:OB03G21550 transcript:OB03G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQGECCRHGLGPGCLGGEAAVGGEPFYVPLRKRLSVDGKAPTPRICIWECDGEAGDITCDIVAAPLRRSCSAKAMPPLPPPTPLFRMMTPPPPRPQRGEGEETRRPAGQAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQKLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKSEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKTERKIDETTTLKDLDLQYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDYSVSRIGLSQHIAFPKSTGKRKSFEGGSNFCELCFVESGCKDRDLIDSRKPVTQLGINMPAQAERSSKRILDSFLLNERHLFITTPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNSDCISAVDPKLYSRRFQDFIRRVFITEQ >OB03G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7486069:7486299:1 gene:OB03G21560 transcript:OB03G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQIWSAPVTCVDYAIKHFLPCPSLCITWPFLGFTLFPMPSLAISPSPSCALFASSPFLLLPPVFFLHALVWSHD >OB03G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7490753:7494606:1 gene:OB03G21570 transcript:OB03G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of Y14-MAGO [Source:Projected from Arabidopsis thaliana (AT1G11400) TAIR;Acc:AT1G11400] MATASDGSGSGSGGEQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRQQAGSTNDKGKSLHIEDDAGEADNPKDAVDSVTKQISGIAISESPVVATSSADATNNSKLQPTGPDIDKKIRALKKKIRLAEAQLQGDPEKLKPEQLEKMKKIEAWQEELKLLGESSPGAS >OB03G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7496166:7500565:-1 gene:OB03G21580 transcript:OB03G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCSSLGLSGVDDFAIPIADWEAHKAGRSSFSPSTQPRDEPPARDSPLRREAAEEPAPPAPTVPVLPANEAPREAAIEAPAPLVRVDPWEPARPDVKKSSTEGGIKGVRPPPVVLKPPPSRALPAVCSVESTWDILRSFAPEEENHHAPASRSGCDSARQDAGEDEDEAAVLTLEELRIGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGMLLGSGSFGTVFEGISDEGVFFAVKEVCLYDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKLTALKSCKGTVYWMAPEVVNPKKTYGPEADIWSLGCTVLEMLTRQLPYPDLEWTQALYRIGKGEAPAIPNCLSRDARDFISQCVKPNPEDRPSAAKLLEHPFVNRSMRSIRSMRTSSRSNSSIRGMNG >OB03G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7504403:7504579:1 gene:OB03G21590 transcript:OB03G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFTKLFHLRQYKVINLYIRLGNVFLFCTWQAQQSKQFFSPDSYNQFQQTPNFYSCTVY >OB03G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7504937:7519634:-1 gene:OB03G21600 transcript:OB03G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGMRLRRCVQSKLSWGPPKAGGGGRIGGGCGGEAGAGGAGVPPLPVGDGAPEKRGGETPEKAKKRGRPRKPEAGTKLSSSKETTGLEQDSKDELILVDESPRKKQRKGRGKNQGAALKVPNRKYCKALESTDGHESCQQLCSNQTQAVLPQASPILVDIDLMTVPSKACLVNNNVDALDNEDIPQLRVDLRSEAKIAAEENRRLSSGKEMHPFFASRKAHKDAGQDVLNVEDEDMDSVHAFEREPPFWPIHVLYELEATMPIQWSNKWLIAKESFLGTSTTKQNCAEHVDPGNDLPNFHGKENKSKFSSLDVIDVDDERMLTSTSCCRTSLFESKQQERVQHELPGVNLKGCQLAYHLWTDKYRPETAAQVCGNADHVKFLSEWLKGWDERGHKNKQNIFANGCMNGRSCQDGSDTDYSEDASDYKNVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEDIISLPISNSIDPASGTPGTTEFKQVINKTLILFEDVDTVFDEDRGFISTVLKMVETTKWPIILTSNKKDPPLPHLLDQLALDFTYPSSAELLSHVDMICKSEGVEISVPQLQHIIDVFFGDIRRTMTLLQFWYQGKQQYTERLNRCMSCPSLLDLDLVHSTVPKILSWGFPCKLSETIYMEIDKTIMLAEEKKKQMELSDFESLKLQIITPLTKERSADKTRKPKKSKLKRGCSAESNDVSPCKNDLDDFHDSPDVSLASSHQRIKVRRGTVLFSESDDDLADAHTAKDATFVVQEGNLLPESSELPCLHGHGISSIVPQSLFFEQSSVPHLHREVISNQFCFPSESRAFETASSFQNQFESNMPGSISQICDTFISQGMMSYVPESSYIIGGTSASISSGDLLSSLVSNGMSALHNDSTYTASRVEPEDTKKVENQMPDEPQKCMEDEVGETCEAYVELPDRNEHASCSITGYQLMDECSRAESGWLLSGKKNNDSSKVEHVQDTWNRLRQCHPALPCDMYNNRSVCRALKCVSRVSDFISESDLMLTCCHPFSNDISDPSLTPYPEPDGFSSYSKQLEMGSIYAQHALCIFTKDSQDTDVGFVDLSQELLFNGTTTASLGKLISSGISCGVGSGNICDIKYPTSCISKRRDQKASLCEILLPVVPPKLSQWLRGPAFVDYLSSMSRISRLENMQLSECKASSKQRRCRQPRRYLSSGALPLSAQDIELLSQSSCFRDRRESEKITEQAIP >OB03G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7521882:7525490:-1 gene:OB03G21610 transcript:OB03G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel cap-binding protein [Source:Projected from Arabidopsis thaliana (AT5G18110) TAIR;Acc:AT5G18110] MEPAAEKREAEQEEQQHPSHDEAAAPSADEDEAEAEENERRNRELKAGLHPLRRKFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPASLPSPTDLHLFKEGIRPLWEDPANRSGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDCSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >OB03G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7529898:7531635:1 gene:OB03G21620 transcript:OB03G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLTTRVIVDRFNVLQADAQDLVRDAAINDRVDLRITGDVAAKILVLGFSSPKVQVLSRLRQRRCGVGTNELGRAAVWVGWSQLFTAVVVDWWGLGEREHWWWRWWR >OB03G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7537170:7542738:1 gene:OB03G21630 transcript:OB03G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPSAAEFVKSIKGFIVTFSNRAPDPEHDSVAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVSEAQYFFTNILSAESFIWNIDAESLSMDERDFQKKMDGARERLLGLSASSENQGDQTNLDVREQKSQTLKASRDSDVNLSSKDNDQGPGLDMRRDSDVNSNPVERVQSISDLEKKGAAELLKEDDLHKKVQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGVSPEPPVQSMHDLVVSEEPKNAKDTVTFNESSEGSSRTGDDIKNDTLHSEVDTMTTQQTSADPSYQKAQQDEASDQPEHT >OB03G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7544441:7545205:-1 gene:OB03G21640 transcript:OB03G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPSSKCGIAAGGGAASCSGGGGGGGGDGKRMVTEATAAALAVRPYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALICLKGPDAVLNFPSSSSSSPSRLLDSCRDTGSAAGDMSPRSIQRVAAAAAAAFDAGVIGSVVADNSCSSSADATSTPTSATTSLSTLGSAGGDVLDHATPSSSSAAANACSPQLDGDHELWTELDAFASPKFMDLIAAGGTPFSLPWEEPEEDGELMRLWSFC >OB03G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7562240:7563286:1 gene:OB03G21650 transcript:OB03G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMSPYMKFGHFTANQAILEAVAGDRRVHIVDYDIAEGIQWASLMQAMTSRPDGVPAPHLRITAISRSGGGGARAVQEAGRRLSAFAVSIGQPFSFGQCRLDSDERFRPTTVRMVKGETLVANCVLHQAAATTTIRRPTGSVASFLSGMAALGARLVTVVEEEGEAEKDGDSDAAGGFVRRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILGPNIAGAVSRAYRGVDGGEGRCGWGEWMRGNGFAAVPLSCFNHSQARLLLGLFNDGYTVEETGPNKIVLGWKARRLMSASVWAPPPLPVPSSPPEAVCQPVAGIAPATGGFARTEFDYIDSFLVEPAYALV >OB03G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7565992:7569041:1 gene:OB03G21660 transcript:OB03G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAWFILLAHLFSNLLRICGKKRKPPAVLNDEDVDVHLLVPAIDEEVVLLVHIKHEEEVQVGKDDVDIDPLNVRMGEHGGDLGSLGEHSGLEQCIVVEELNVVEVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPEHAEKWKTFIYLAASASAELIADVALCPMEAVKVRVQTQPGFARCLTDGFPKIVQSEGFIKD >OB03G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7571371:7575249:-1 gene:OB03G21670 transcript:OB03G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G32600) TAIR;Acc:AT2G32600] MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYRVTKQYDPDTKQHSFLFEIQYPEIEENTKPRHRFMASYEQKVQSWDKKYQYLLFAAEPYEIIGFKIPSTEIDKSADKFFNYWDPDKKLYILQLYFKARQPEANKPPAAPGTLPNGSGGPPRPPPPQVPPPPPQAPPPPPPNAPMGMPPRIPPPPLGGSQPPPPPPLLANGPPRSIPPPPMTGGAMANFTPGAPPPRPPMQGFPGPQQ >OB03G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7576949:7578522:-1 gene:OB03G21680 transcript:OB03G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59530) TAIR;Acc:AT3G59530] MEEKKKQQQRLQRGRDGLVQYPRLFFAALALALLVTDPFHLGPLAGVDYRPVKHELAPYREVMARWPRDNGSRLRLGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEETGWETFAVTSPGWSEQVCANGVESTTKKQHEVERQCGRPLGLRFHRDTGELYVADAYYGLMAVGPNGGVATSVARQVGGNPINFANDLDIHRNGSVFFTDTSMRYSRKDHLNVLLEGEGTGRLLRYDPETNAVHVVLSGLVFPNGVQISDDQRFLLFSETTNCRIMRYWLEGPRAGQVEVFADLPGFPDNVRLSSGGQFWVAVDCCRTAAQEVFAKRPWLRTLYFKLPLTMRTLGKMVSVRMHTLLALLDGEGNVVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQSSSGGSALGD >OB03G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7581334:7584531:1 gene:OB03G21690 transcript:OB03G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G08230) TAIR;Acc:AT4G08230] MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSIEKTDSYRKGYGSGKKWDGGPGGGGPGGGPYGGGGGGGGPRGPRTLSDIRSSDHNSLPACGSCCG >OB03G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7586468:7589908:1 gene:OB03G21700 transcript:OB03G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G45976) TAIR;Acc:AT1G45976] MCQNLRNCRPRFALSLSPLSSLYLPSSLPFPLSPSLLPSPSSAMAFFSHHHLQQPHPPAPPPPQQQPMPPSFRNALPVPVEGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGVGGGEAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREIQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEIDDTASCCNGGAANLQLMSKENGHSKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >OB03G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7593221:7595081:1 gene:OB03G21710 transcript:OB03G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTMQKKKPKPPKGKDGKDDGQGEETFVVVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGRCDVELLRKEMNETDVPISYSGTGNPEKSIRKAALEVILRRLLSFLKPDTFQGSVKAINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDRRKRVVFDALLWRPASEGSRGQIRRSDALAYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLEEYKFKEYGNESEALIDKCMCFNLHSKKLEADA >OB03G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7600487:7603475:-1 gene:OB03G21720 transcript:OB03G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 homologue 2 [Source:Projected from Arabidopsis thaliana (AT3G19260) TAIR;Acc:AT3G19260] MAIRGPEASSFFPLTLVFSVAFFCARFFLDRFVYKPLAAYCFNNKASKLMNDEARQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFDGWPNQHMTSSLMLFYMCQCGFYIYSIFALIAWETRRKDFAVMMSHHVVTSVLIGYAYLTGFFRIGTVILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLERFPTTLYYIFNTMLLTLLVFHMYWWKLICLMIMKQLNNKGQVGEDVRSDSEDEE >OB03G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7609455:7612996:1 gene:OB03G21730 transcript:OB03G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09010) TAIR;Acc:AT3G09010] MGVSASCLWGGSDSRRNQNGSAAVTSPRSGQVISRAGSNVRVFSLKELRLATRNFHMMNCIGRGGFGAVYKGNLKDGCQIAIKKLSAESKQGTNEFLTEINVISNVRHPNLVKLIGCCVEGTNRLLVYEYAENNSLAHALLGPKSRCIPLNWQKRAAICVGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSTWGHDMHVLVEWTWKLREEGRLLEIVDPDLEEYPEEEILHFIKVALLCTQATSQQRPSMKQVVDMLSNPTEINLENLVAPGVLKEPRHHSSSSGGLTLDTSSNRSTKANPAESYSTQTRDMNSYQLSTIEVSPR >OB03G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7615167:7619752:-1 gene:OB03G21740 transcript:OB03G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAAATFAPSRLAARPAPAAXXXXXXXXXXXXXXXXRRRRRCGGVRCSAGKPQASAVINGSAAARAAEEDRRRFFEAAERGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNMDTPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEIVAKENKVTIMDHEKGKVTEQVVDDPMQIPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHASTEDAYQDGRSRLNLFLSKVHNSNVPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVRKGKIINRPLAGTVRRGKTEKEDEMQEKQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGELDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >OB03G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7625359:7626707:-1 gene:OB03G21750 transcript:OB03G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRCSFLLRGFVSLFFLLFLHIGHAGCCFSTGSSTQTLEEDDAAHGADGRGGGGGKRRKISPLAFSPSVASSTVADERARVRRRQVSSLATSFRFYLHRIFSYSSGAKNGSVPAAEEEDEAVTTTVSSPLAQSSSLPHRQASVVLSTPSSPCASPFLSPLSPQSLSITPVVPSSPHNRQLPQATTRQSSRSFAARGDVFPCKVCGEVLSKPQQLELHQAMKHSLSELSHVDSSMNIIRMIFLAGWKPAAGEPPSVRRILRIHHNPRALARFEEYRDLVRARAAPPRAGXXXXXXXXXXXXXXXXXXXXXYCSTMLCTLGAGVCGSPYCCTCSILRHGFAGKQADVDGVATYSSGRAAHASLPEDVEREFAFLQVRRAMLVCRVVAGRVGRGAADEKVAYDSMVPPLLPTSSSSSAAAARGDDDVELLVFNPRAVLPCFVIIYSC >OB03G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7630413:7632010:-1 gene:OB03G21760 transcript:OB03G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09030) TAIR;Acc:AT3G09030] MEAAGAGGRRGTVKQLNVGGKLFALDASSLPLSLSLEPSPIFVDRDPALLSAILSAIHAPSAAPAFPAAVLLDEAHFYGLHDQLLAALYPPPLLGFSASLASTLSPASEPFPTALAPRDDGSLCLAHGAGLVTCYSPALDHLTTFRTHLHRITSLRQLPPGLAVAGSSFAPGLHVYDVLEGRHVASVQWSDPTDLRVQKAKVIAIAARPAADAADKNSPILATFECPHRENCILMFDPVTLKPIQEIGRQTGSAAKSSVPGRVVHLQELGLVFAASVSSGAFGYSGYMRLFDIRSGDVVWETSEPGGAGRSSRFGDPFAEADVDVKQQILYKVCSKSGDIGAADLRCLGNDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQSNGTSNLAEQPRAKEKLNNEGINENCFRSSYVDTEEDAERGMIHIMEGGGNRLFVTREEMQDVEVWETSQLSGAISLLV >OB03G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7632491:7641428:-1 gene:OB03G21770 transcript:OB03G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYLDEPDEEGFLAAGGGADQSRVSRQETTPAPTKPADEVSEGNLKRPPSPPPPEQEEERSKRRNVDREDPGDEDWLRYSPPPVVDIVAEKTISRFASEIRGECIPVTGPNGERVYAKVATGGLDGGGIGGTRQRTHISKPNFDYKESFHSLTSRAEQEALAKALQESTETQNFDSCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATSNDVLSALRRHSSAIQKNSSNRNFFSKSKGSQDNMLQNAHGSSSEDMTSSFNKRSLADNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNSSNSSASTEDTQGRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKTEGFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSILDVWKQVLQKKKLKRIEKADGNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLSYHDPMLQKTVKCLDILGVSDSLMQYVYRTQQMSLHVYQPPVAITISQLVAQVEKPNIEWPKALQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDISSPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPPITDIINFKGYQSEHTGLSLAMKQVLVHEVEKQKIIKDSAGKSLNQANEGGNRNDVSTSEKTSALVSTKGISKSNPTTFKMQSSASSSMSGKDPVPAKKHSNHGMNFFDRFRKERPADAKTRSDAGQQAATILRDSRPLIFKYNEGFTNAVKRPVKIRDLLL >OB03G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7650942:7651244:-1 gene:OB03G21780 transcript:OB03G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGLELHSPSASSPCSSSGCAVSPWPACPILLRRASPIPRFNPPPRPPPELYTGSFPCTAATRAVPSILPPPNPPSRPRGVEEETAARGSSVTATRAAP >OB03G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7651153:7655768:1 gene:OB03G21790 transcript:OB03G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAGHGETAQPLLLQGDEADGEWSSRPHRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVAKFKPDIIHATSPGIMVFGARFIAKMFSVPMVMSYHTHLPAYIPRYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETAKVVSASRVRLWNKGVDSESFHPKFRRHEMRIKLSAGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGVRIAFVGDGPYRTELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKDLIESIGRAAREEMEKCDWRAASKKIRNEHYSTATIYWRKKMGRTN >OB03G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7656532:7658435:-1 gene:OB03G21800 transcript:OB03G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGAKSEADQDEEGSSARVGSGGGGSKVYHERQRLQFCLLHALNNLMQAKESFTRAELDGIAENLVRVDPNKVQWTPLSMIFKPHHNVFTGNYDVNVLITALESRKKKVVWHDHRKGASSIDLDAEALVGLMINVPVRRLRGLWTGRHWLAIRSINGTWFNLDSDFSAPKEFQDKGELIAFLDSILSQGGEVMIVLRDE >OB03G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7669695:7672615:1 gene:OB03G21810 transcript:OB03G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G39970) TAIR;Acc:AT2G39970] MSDALINGVAGAGGGIIAQLITYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRRGVGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKASKQQSPLDLTCVLDKTIEADPSKKKTIEAPAVENIPHKTIHAIQDLYKEAGPFGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQAKQIIDDDKRHRYKGTLDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKSLR >OB03G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7673336:7675879:1 gene:OB03G21820 transcript:OB03G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L4 [Source:Projected from Arabidopsis thaliana (AT1G07320) TAIR;Acc:AT1G07320] MAASSVASTLLLSLSSSSSPFLSSAPASFLPSSSSSSPRVSVAAGRQKAAVSVLRALRAGAAPLPVLSFSGEKVGEVALDLKSAPPSTARAVVHRGLITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSTRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVAEDAFVVEEFDEEFSSGPKTRDFVAALQRWGLDPKQKAMFFATDFTDNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAIDYLNSRYGATVFDEYEGDTDGEDDGEEEAEEVQEEEGSAEEAAQDETAETEADSNS >OB03G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7685426:7688296:1 gene:OB03G21830 transcript:OB03G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVDDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLLTRFPCLESLKLKAKPRAAMFNLIPENWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLTSLKLDRCSGFSTSSLALVARTCKKLETLFLEDSLIAEKENDEWLRELATSNNVLEILNFFLTDLRASPAYLTLLVRNCRRLKILKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQAVESRNYENFYFPPSLLLLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSRNLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGVLSDVGLGYIGEFSKKIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALALAALQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDELCQDGQAQILAYYSLAGMRSDYPQSVIPLYPSV >OB03G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7690381:7691721:-1 gene:OB03G21840 transcript:OB03G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWQKGLFSYLFPQAKRRRGRTPTPGRYLGTKSSRARRYSPSFSPVQRDRYSSRFSPEREQSYSPYGGRRSYSPYNRRRSYSPYERRRRRSYSPYDRRRSYSPYGRSPSPYGGRRSYSPYDRRGSWRRSYSPYRSPYRYRRERSYAYDNSVSPYYSRRYSPSPRGRSYSRSVSPQRSYSRSSSPVSQRSGSYSTRKRYNGRKLSRSRSSGKRGSRESYSHSRSSYSRSVSRESSE >OB03G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7697354:7707101:1 gene:OB03G21850 transcript:OB03G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G07360) TAIR;Acc:AT2G07360] MLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAAGGVTRADVVPRILDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIVSEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAMLCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGILSKPEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGVYDEAANLQSYSDNAESLDSDLNENSQPEATRKANPLSNGHGGMDTVAGLLASLMEVVRTTVACECVYVRAIIIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIASVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIEIYRNRHNISASGGLTDPAVATGISDLMYESKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEDDDGRSSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSGYGSSQQTIREEPPSYSTSVLQKRESFENPVAGRGGRSFGSHEDEDRSSGNPQFGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKIAGLVPVLYVNS >OB03G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7711001:7711246:1 gene:OB03G21860 transcript:OB03G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF962) [Source:Projected from Arabidopsis thaliana (AT3G09085) TAIR;Acc:AT3G09085] LGPRAGLACALLAAASGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFLCDLRMFSLTLTGRIDAEFARLRLQPP >OB03G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7711236:7712712:-1 gene:OB03G21870 transcript:OB03G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEGEVREFYDDAAPKRHSKPSRSEHSTVYTDALVPDDSHPELDRFQQLEAHTEKLVYKGGKVGEEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPTGASFHLSEDPDATERHASCKGNPATNEWIPSADTVYPASDKPSRSDS >OB03G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7713268:7716413:1 gene:OB03G21880 transcript:OB03G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38025) TAIR;Acc:AT2G38025] MASDCARMAGAARPSNATLLARLRDGEARFELLEDSGGAASATPPVWPGLSCFARVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGISLTPREEVQDADDLRMAVKEVICDDAIERQKYEEAVIAITVEESLRRGGHRIRRSDFWGGESELLVLSKLCRQPIIIYIPEREYRGRGNGFIPIAEYGLEFSKDSKQWKKRVPVRLLYSGRNHYDLLL >OB03G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7718594:7721309:1 gene:OB03G21890 transcript:OB03G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein [Source:UniProtKB/TrEMBL;Acc:I0J1E2] MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSPAKPISEKLTPDLTRSPSKAARMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRSEAQPEPPAAADSS >OB03G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7719080:7725302:-1 gene:OB03G21900 transcript:OB03G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLSISNHMRRAAPAVITSVRARQILDGRGETAVEVSLHTNKAVHRASAASADAPEGTASEAARDAEKRKLLARAVADAVRVINDKVSEALVGMDPQQQSQIDQAIMDLDKAHHKAEIGVNAMLAVSIAACKAGAAEKEVPLYKHIAELVGKSATTLPVPVITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSNSCNIGDDGGFAPNISSISEGLDLVIEAINRAGYNGRIKLAIDVAATDFCIGKKYDLEFKFAEKSGQGFKTADDLIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCNALVLKANQVGTVTEAMEVVRQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLSKYNQLLRIEEELGSDGVYAGENWRTAASTS >OB03G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7725759:7751965:1 gene:OB03G21910 transcript:OB03G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGSGSSSGGSLFPSFPRGLSSETAVFAGARIDWKETPEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQRSREKGSNTLLRRISDIVEI >OB03G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7726743:7727381:1 gene:OB03G21920 transcript:OB03G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFHGFPFGSSGSGSGLLPRPNSDTAAFAGARIDWKETPEAHVFKADVPGLKEEEVKVEVEDGNVLQISGERSKEHEEKTGGGQGGGRGRQHTPDQRRAQQGAGGEDGHVAPRRAQQRQVPPQIPPPRQRQTRADQGVHGERRAHRHRAQGGGQEARRQIHPDLRLDAIISSCAIGEEHGGVLLLPALSVLKEQ >OB03G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7733752:7738944:1 gene:OB03G21930 transcript:OB03G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G31440) TAIR;Acc:AT1G31440] METLRKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKRLAEDCCRYGNDNKNFGFVLARASVEFGNSHNQMERERANLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVNAKHNSEPENHYDETSSEPKTPPTHEHSRSASEDHIFTKTGEPTTTETSEPTRNGQEVHYVGEVIHPFDAQADGELTISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >OB03G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7742347:7747799:1 gene:OB03G21940 transcript:OB03G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQLSLLLILIILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVTCDSRTKRVIYLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLEQLHLQGNYLSGHIPLEFGDLVELDTLDLSSNTLSGSIPPSLDKLTKLTSFNVSMNFLTGAIPADGSLVNFNETSFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFLNRKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGIGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDSRINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLTGENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >OB03G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7750353:7752014:-1 gene:OB03G21950 transcript:OB03G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSGFLGSSLGTVTVSTPFSMDALICSGLVLSGRRNLRRNLPLLRSTRCHLSVFSSCSLLRSPLIWRTFPSSTSTLTSSFFSPGKSALNTCASGVSFQSIRAPAKAAVSELGRGRRPLPLPLEPKGEPWKGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPFHGSPFGSSGSGSGLLPRPNSDTAAFAGARIDWKETPEAHVFKADVPGLKEEEVKVEVEDGNVLQISGERSKEHEEKTDKWHRVERSSGKFLRRFRLPDNTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQVTG >OB03G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7751840:7752118:1 gene:OB03G21960 transcript:OB03G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRTSGTAWSAAAASSCAGSAFLTTPSRSRSRRPWRTACSRSPCPKRSPRSPMSSPSRSPAREGTSRTCTMVQNAGSRVSVRDLLITRSK >OB03G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7754923:7756671:-1 gene:OB03G21970 transcript:OB03G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G54050) TAIR;Acc:AT3G54050] MAAAAATTTSSHLLLLSRQQAAASLQCGLSFLRHPRRQQPGSGRLAGLRCMAAVDTASAPAATASKKSSYEITTLTTWLLKQEQAGAIDGEMTIVLSSISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDQNLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >OB03G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7763890:7766993:1 gene:OB03G21980 transcript:OB03G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSRGSGSRGSRFGCTNVKSGVRPNASDRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHAKQSPCSVLCEQLKADPIESSTAKYIIQQYVAASGGEWALNKVTSMYAMGKVKMTAAELNSSDADGGGGGHRSGKKSGKSGGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMLTASLFADAVCIGERGVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKESKEAQDVAAVVKPARPPPIRMPAVAVRVGPSQVAAVNMDDSDSLIARS >OB03G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7767826:7770839:-1 gene:OB03G21990 transcript:OB03G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKGQFSRERNAKVTSGRDGRQRGNSQDHFVEVSKEKLAVVSGNIDAKFEDRIRVVKNDKFRRQREPRSADGGGSLKGSKPWPGRKDTTVDELVKHMSNVPSYLQRKETTDHLQDKALNVGVLEWGLLARWSHQHKQEFSSSHGASPSNTSRSVIFSSPSQSSASPSSKSLESNQSPTLNDHQRCSIEFQQSDLVDKLHGKARYSPSPNSAVLNLLPMHRKHCSENAGNYGDFNLRNASPISDSLTASGSSVRHEMVDDEETTKKIEEAVHHCSRRLFTDDDNIGQSFFTSYNNDSSCGGFQQTSGMTGEIFESLVSNAVMEMERNANMPSVGFSKDIEQSHEFPRVPYSCPLPIMDPAEELSTRGTGTRGDSVRADVTGENCNQKQISRGASERTPRISAKFSDMDVLSHSHLVSGLNRVGRCSSLKDGPYPRQPEAITPVDKMSGDKSSGNKGSRRSPLRRMLDPILKPRQSSTSGPIQPSFVPKCHLPGHIDKQSLNLGGSALQNVQRRSVDSAVNSNCRSETNTNQPPPILLNSGRYLQQDIDSTATRHALLQLAWKNGLPFFMLSCGSDILAATVRRKGISDNNDLESTYTLFGVEEPKKRGGAWIKAGNKNKKDQLLYNVVGEMRVSRRKTRCYQAEKNHAHREFVLVGSERLLSSEESGDSHVNRELAAFISAVPQQEAESSHQSSSQNSGRSISASVECSCRPLGNFHRNTRDASSALSSVLAVLPNGFHGTSTSGQPLPLIERWRSGGACDCGGWDEGCMLSVLSDDAQESKGGKSTQANQTTDGSQRFDLLVQGRSRENRHGFSMVSFREGLYTVEFRSSIALLQAFAMCIVMLHGRYPSRMQAGVQASQEHAPLADHKLNKIMAASHGRAQASYVPHRPPLSPVGRA >OB03G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7782451:7785509:1 gene:OB03G22000 transcript:OB03G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGIAAATMDSGAAAAAARRYTTQPPPPPPPQQQLHRHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATLQKNSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKKLLQMIPGLDKNGGFGADVGVRLIGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYTICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVMISLACGSLSGIASSTITFPLDLVRRRKQLEGAAGRARVYQTGLFGTFGHIFHTEGLRGLYRGILPEYCKVVPSVGIVFMTYETLKSIFTELASDD >OB03G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7786832:7790637:-1 gene:OB03G22010 transcript:OB03G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MLAAQAISCGVLSLCFLIMFNAERRSSFMKWLCAFLKGPKDGEPNRRRPRVTAGEETTLWEEPVRPKKEDPPRQNNEAMDHALALALAEDAKNTKEKNHDKGANDEELARAIQDSLNMNPCQPYNPYATSQARARGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSSCHHPIRETEFTLLGADPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMPLGDGRSLCMECLDSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGECKGPHHMPETRGLCLSEEQTVTSILRRPRIGANRLLDMRTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKADIEEGICQVMSYLWLESEILPATSRYGQPSTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSTAYGDGFRAAYAAVNKYGLRQTLNHIRLTGGFPV >OB03G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7791371:7791604:1 gene:OB03G22020 transcript:OB03G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIRANFERKITVTALITRSRVGHAPKNRCCNVTNTAYIYTQREKREREREMAKHFNQRRQGALQGQVWRSRCLR >OB03G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7798132:7800675:1 gene:OB03G22030 transcript:OB03G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LMC7] MAAAPAAGGQGGGMDTALLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGGDKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMASNKM >OB03G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7803968:7807328:-1 gene:OB03G22040 transcript:OB03G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G59210) TAIR;Acc:AT5G59210] MEANHASRGRRTLEEIRQKRAAERMQQQHNPAATAASHFDPYGNPVAGQELLGRLKELENGNMALERENQILLSKIAEKEVEKDSLVNRLNDLERNLVPSLKKAVNDVSLEKDAAVVAKEDALTQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQAMSNSYSGFPVGVPHEQVLAMEKEIENLQAQLKQESLLRQQDQQKLSEESLFRQQEQEKLTEEQSRAASLTAEKKELEEKIAALTKKASDEASEFAARKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQETVAVTMQWENQVKDCLRQNDELRSHLEKLRLEQATLLKTSNTSIQPDGQNESSISVPPEFVTENLALKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRLYRLVLRDIGSNLMKMKQETYATIQ >OB03G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7808515:7813844:-1 gene:OB03G22050 transcript:OB03G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRENNVVHRDLKPQNILLVANNENSILKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLQNILHTREIRFPSDCNLSHGCIDLCRKLLRINSVERLTVEEFVNHSFLAEHALERTLSRTPSDIRDGLPFNNSSPTRPSSQSSQDDCMPFPLDDEPTSQDESPVPENKSAIKSYGFAISKRLDKTSGQSLSKQASLISKYIKGSNCSPSIQHLDHPRRIKENKVDGGHNPKGYPEDSPIIDSLEFVDQEYVFVSGPRPEGSSSMNDSQQRNMPSKYDYSSHSPPKSQTAVSAPRPINGMANRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTTAELVKEKIKDGKHLEAFSIQLVVLATWKQAIYICNTYASLATRESPSHDITAKGFSSDATHLFANSQLVNDTYMEIERQFLVQMEYAEELASTIGQTIDATEMPDAIEIIFQTALQLGRDGGVAEMMGKSTSAMVLYSKAVSMLRFLLIEAPSLALNPPLSLTRDDRRRLRTYIEAVNARLVPLQYQRH >OB03G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7816124:7819179:-1 gene:OB03G22060 transcript:OB03G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQVLVYPNKMKFNVPGEQEGYVRRWLEERIGLLPKFDIKFYPGKFSKEKRSILPVGDITQTVSDDKADIAVLEEPEHLTWYHHGQRWKSKFRKVVGVVHTNYLEYVKREKNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSVVCNVHGVNPKFTEIGELKHQQISKGEQAFFKGAYYIGKMVWSKGYTELLQLLQNHQKELSGLKMELYGSGEDADEVKASAEKLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTGEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPIMSVKQHSPSSQYFMHISPDELKKNMEEASAFFHNAISGIETARCVFGAIPNTLQPDEQQRKELGWRLQE >OB03G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7823416:7826587:-1 gene:OB03G22070 transcript:OB03G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALAREKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >OB03G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7826519:7826854:1 gene:OB03G22080 transcript:OB03G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRRRRDNQTRRGRRPSITHAPTLGPAHARKTKQGEKGSEIKGQMNERWCYPIADLGRPSCALLSSCLWALAQKTACAAAAKNSSFLISTDRIVNPIPMPTQYKLQTRA >OB03G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7834797:7835420:-1 gene:OB03G22090 transcript:OB03G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFVYRAIVHRREEGHRAVGNPFLNDDPAAAAATTSYKRLATCDSGTYSRPATTATVVVAPFPGGAVVTFPSNILVLIVHQHLWYPYS >OB03G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7838016:7840169:-1 gene:OB03G22100 transcript:OB03G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCKVVAGSSEGKGPECDTGSRAARRRRMEIRRLRVVADRGAEEETSRKRRRLDGGGGEASTDEEDREVERARYGFTSVCGRRRDMEDSVSAHPGFLPGHHFFGVFDGHGCSHVATSCGQRMHEIVVDEAGAAAGSAGLDDEARWRGVMERSFARMDAEAVASSRGSAGPVPTCRCEMQLPKCDHVGSTAVVAVLGPRHIVVANCGDSRAVLCRGGTAIPLSRDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVICDPEVRVMERKDSEDEFLILASDGLWDVVTDEVACNVVRACLRSSGRRGRNRSSPTSSLSPRQSSSSGDEAPNDGAPSAAAGSESDDESAAEEDKACTEAAVLLTKLALARQTADNVSIVVVNLRRRRKL >OB03G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7849658:7854147:-1 gene:OB03G22110 transcript:OB03G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETLGTVGATRRWSLNGKTALVTGGTRGIGRAVVEELASLGAAVHTCSRKEAELGERLKEWEARGFRVTFSVCDLSARDQREHLLRGVADRFGGKLDILVNNVGTNIRKPTTEYSPEEYSFLMATNLESAYHLCQLAHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSAGILSNKEFEDSVKNRTPLRRIGEPGEISSLVTFLCMPGSTYITGQTIAVDGGMTVNGLYPS >OB03G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7856720:7859722:-1 gene:OB03G22120 transcript:OB03G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEASAKVRTPGRWSLQGKTALITGGTRGIGRAVVEELAALGAIVHTCSRKEAELGERLREWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGNIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRTNSVAPWYIRTSLTQGLLANKEFEDTVVNRTPLKRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTVNGLYPN >OB03G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7861776:7868265:-1 gene:OB03G22130 transcript:OB03G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAERARPAALVPPPPPPTPPQYVPPEEPSAFAKLYAVAGDVVDRAKALLTTGGPPVQGVTPSDDGPRVRRALAVLTAPASDPAPAAPQKDSSSGLSDLPPQPFVAQQAPPDHYFMQQQQHPTPPQTSGTFSDAGSERPHSVDILTELPKTGGSLSYDQLAAATNGFSPDNVIGQGGFGCVYRGKLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGYCIFGDERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRWKIAVGSARGLAYLHDDCAPKIIHRDVKASNILLDHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAYGVVLLELITGRLPVQSSESYMDSTLVGWAKPLLSQVTEEGNFDILVDPDIGDDYDENIMMRMIECASAAVRQSAHLRPSMVQILKHLQGETHGEDAHSIFRFSYEDDTYSSMMESGESIGPRSRRAPRSQGNTSSDYSSEQALTDKANRSPAKGWRAVVDELAALGAAVHTCSRKEGELGERLREWEGKGFRVTGSVYDVSVREQRERMLREVAGLYGGKLDILVNNVGTNISKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKASGAGSIVFISSVSGVVAVCSGTVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVEDELAREGFADSVMRRTALKRVGEPEEVSSLVAYLCMPGASYITGQTISVDGGMTINGLYPNQD >OB03G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7872656:7874167:1 gene:OB03G22140 transcript:OB03G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGVSRNVPAMANVFSDADGKNERCCWLRRSLFALVLFSASYFAYFSFFSGDDAVRHCPFCEPPSASVVAVAAAARSPTTLAHIVFVIGASNATWVKRRVYTSLWWRLGAMRGHVWLDDEPSGQWQPSWPPYRVLKPDEPRFGKEHAAAARMARAVAEAFEAAEAGREGDGEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGSTSESVGQNVVHSYSMAFGGGGYAISYPAAAALARIMDGCLDRYNEFYGSDHRVQACLAELGVPLTTEPGFHQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPN >OB03G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7874327:7875506:1 gene:OB03G22150 transcript:OB03G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLYPAAVPPHELQTPLRTFRAWSGSPAGPFMVNTRPEATPNATAALPCHRKPVMFYLDRVTEMSTSTPAPAGGNWTLTEYVPEALTGEHCNATGFDAATKVQTIQVIALKMDPAIWKRAPRRQCCKVQNANEEDKLIVKIHECKPDEAGTWV >OB03G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7880606:7883350:1 gene:OB03G22160 transcript:OB03G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGTLAFLAYVAFFPYDGSGGLYRWWRCEGCGDAAGGLAFDDEATAEGPTPGGAGGRSPTTLSHIVFGIGASARTWDKRRGYAELWWRPGEMRGHVWLDEQPVRIWPAATCPPYRVSADASRFGDRASASRMARIVADSFLAVMAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYNHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAGLAKAIDGCLERYSLFYGSDQRVQACLSELGVPLTRELGFHQVDIRGDAYGMLAAHPLAPLVSLHHPDHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPPL >OB03G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7889132:7889914:1 gene:OB03G22170 transcript:OB03G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:J3LME1] MGSIDGHSLQGHQQQQGYSHVGAGGSNEEEEASPPPAGGSAAGSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAGFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQEGEEGAVLSGSEGAAAQMEQQSSGGAVVPPPMYAAVQQTPPHDMFGQWGHAAVTRPPPTSF >OB03G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7898357:7904328:-1 gene:OB03G22180 transcript:OB03G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGRDQELENQKLMDGDAGNSRLGPDCADAEEEKELNSKHSSRTKSRESHRSRSISLSPRGRRRSISPRRRSPSPSRHSISFERTQRSSRRSISPRRSFSPRRRSPRSTPSVSRRRSPYSRRSPSVPRYRSPSPRRRHHIRRKSPPFGRRRSPSPHHRQSPGRGPRSPSPARHRSSRRRLSQDKHRSPSPGRRRPRPPSPGRRRPRSPSPGRRMPRSPSPRRHRPRSRSPGRQRSPSPHRSPRLRSPKRRRRSPVSPRSRCANHRASPQRMRSSSRDIEKGTNGVPSRNDVDVLQRNKERSRDDNRNDTEVSDHFSSDSEQRKLTKSTSSPNKPERNSARDSSVKRTEKHLPSQGITDTSGEEEDSRARENVRKANSSHRKVKDFSADLQLMEAHDDLSHGEKSPSRFQQSGKETSKKLNNQLSESSEDERDGRRKQMDDSPDDSHMKQHSPSRAGMHNSYSKDAMNNEYATKGSRDGISAKKYPAKVDEDPESEDGSSFKKDKRKVHGNSHIDSGSSGSEESGKHRSHAEKRKHKKTRKHKRHYDDSSDESDSESDDKESKRRRKEEKRLRKEERRRRREERHRRRADRHASKLKLKHAETVDMDSDAEKDHDSDSDADVRKKGLYTGKEESDQQKLEIELREKALESLRAKKAINH >OB03G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7900751:7901122:1 gene:OB03G22190 transcript:OB03G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAYVFLVKATVAYAFLVKGAEAYVFLEMETGVCLEITYDEKICGVQGMVILVHDLETDDDGEMVTSDAQKAEISSEYDAYDEVTVIDILVLTVIFWNMGTFAWRLKGYFLENAFVEKKNV >OB03G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7906210:7909436:-1 gene:OB03G22200 transcript:OB03G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADRIARYRATTAEIGQRQRSSGNGNEARADTKDLGRWLDSATRSSSNLDLGARCGFDGRRRGWSSMRLREGGGELCARVEACRGGAPISIAWFPVVPLWYSLSSSGALEKTRVASSNYSPCALSSPRWLIAGVARNGDRQADDAAKAPAPCGGGWRHPALPTPVAEPASLLPFFQSQCALEVHNAQQ >OB03G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7914022:7914387:-1 gene:OB03G22210 transcript:OB03G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPGSPAASQPPSLHGTGSPGPLGHGSGVPLAGFGLPLAAGAFHGQPPPCERNEKERTKGAERKKEKREKEKKKKREEGK >OB03G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7916427:7919532:1 gene:OB03G22220 transcript:OB03G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:J3LME6] MACLAPQFKWPPSTRAASPAFREHTAGGGGSGGFRAVRLYCAVSTTTLVEAEISESLAAGAVALPPHPSSALPGGFGEAILNQAAVVAAAAAEAVALARAAAEVAGEVARMAQTDQRHRPDFVTTCDTEDNYLAREILRAEVGSRARYAEDAGFSSIFSDESEIDDDEQCIQGVAVKSVRQSERRARRVRAAMKSAKSFSCRNSVAASSRKKRLKGCRSPLGCFYKMTGRRLLTAKQEVEFSQGIQDLLKLEAIQKELAHYSSGEPTFSQWAEAAGTDENALRKRLNYGIYCKNTMVKSNVRLVISIAREFEGPGMEFSDLIQEGIQGLVRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECQRRLRRQLKRLPTNEEIASDTGLPLRRVEAAMSLPKYSVSLTGKVGCTDMTYQEITPDTSAETAEQMLHRWLMKKDVDMALDGLSPRERQVIRYRFGMDDGRLRTLHDTGKLMGVSRERIRQIELGAFRKLRGRKTVQSLQHYLQPVESW >OB03G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7923436:7928045:1 gene:OB03G22230 transcript:OB03G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer envelope membrane of chloroplasts 75-III [Source:Projected from Arabidopsis thaliana (AT3G46740) TAIR;Acc:AT3G46740] MALTSQSLFFSPLATGPSRRARARGRSSSVSAAASGHNSQPHGQPLAVASSSSKSEPKGSKTLALASAITAAASGAFLLASSGGGFEGGAGGPLGGGWGAGGGGGGGAGGGGEGFWSRILSGGAAHADEKSSGDWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPLFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVRNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGIKLGLVRGEYIVDHNAGTGTVFFRFGERF >OB03G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7929916:7933549:-1 gene:OB03G22240 transcript:OB03G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) TAIR;Acc:AT3G53800] MARDRLSWAGLLKWSLSYVDGTRPSRAISEEDRRWFAEAMEQQPMMVDVVSRMREIALLMSTPLSVLEAQGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFDPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLRSRSLLADHNKLRRLLQARIERIRMMAPEDLDAAREERQLVDSLWITCYHEPSTLHEEGLLVLPGEECFEQPPDVASRFFEPLRRGSARRAPSNGRSDPGDEQGRGMVLLLGPAPGSRSNSGS >OB03G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7934045:7935107:1 gene:OB03G22250 transcript:OB03G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHAQPLSLAVLPPSPAQATPLLLRYAALGRGRDGAGRGGATRPLRLTRLRRGRAAGGGGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGLGGGRRGRAAAGEVDAPVDMEQAEAAMRVAADDDSVTATVVSVLLTFAFVGLSILTIGVIYLSVQDFLQKREREKFEREEAERQKEEARKKRAKARGRKRKF >OB03G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7939839:7940516:1 gene:OB03G22260 transcript:OB03G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIVLRGGSLSGFELYYDDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVAVAGSGAHCAVCQEAFEPGAAAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAPPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGDGQVDGGEGRIRRVFRNLFGCFGRSSRPESSSQSRSG >OB03G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7946392:7948638:1 gene:OB03G22270 transcript:OB03G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDIDNTPFARTAETKVLAAPDPSPPLQAPFCLKKIFRRGRSLSSGMPMPAGTSAFTRSLLSLQLQSPQAPGPAEGRMQQATWRFRLRAAAGAEAEAQSTGKTRAFDERYRNVGVAALAGHDVMEAFLVLLCLYVFLARAEAASGSAGYAVGGGGGEGKSPGGTVLPLRVREVEAPAANRLRFRHNVRLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSCAPPLTPAFNASGSASYDAVPCLSPACEWRGRDLPVPPSCGMPPSNACRVSLSYADASSADGVLAADTFLLGGAPPVGNYFGCITSYSSTTATNSNATDTTEEATGLLGMNRGTLSFVTQTGTRRFAYCISPGEGPGVLLLGADGGVAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGYALLPIPKSALTPDHTGAGQTMVDSGTQFTFLLADAYAALKDEFLNQTRLLLAPLGEPEFVFQGAFDACFRGPEARMAAASELLPEVGLVLRGAEVAVAGEKLLYMVPGERRGEGDAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATERLGAGA >OB03G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7949402:7952668:1 gene:OB03G22280 transcript:OB03G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55530) TAIR;Acc:AT3G55530] MEPWGFLVRVLVGPSLSSFFVGGFQGFGASGAWARQVFVPARSGKGFRAIHKGLWWWWRRCWPEGAMSFVFRGSRADIEAGGFPGFAPERRAMVSVSGRFYTRFCLNRIHAGGRPVNSNLAFLVTVLMLFMVLNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAQGNASSRKSGPSQPSISSTEASNELALLEIIERYLVNLGLVNIVVKKQDLFKADGTDNTLEDELTCTVCLEQVIVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHSEADASNMV >OB03G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7953476:7957320:1 gene:OB03G22290 transcript:OB03G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRASPPRHPHFLLCSLSPMDHSAGGGAGKMMVKAAAESASTNERTQSFNGCISEKFYYNESPHKKRKSQYELSDPRVSSLKYKFRNRLTWQEEESSRTESLGHNSIFVNKNCDIYMANRVEELESCDNTQSLFGGCIEVDSINGIENHKMLKVQAFSSSSSSNNISSEAFTSSRSSGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEGAYDVLGQYDVVMKNELASGDVDESAARIMDEKLYSNGIEDLLILPRGQNSIHDEKNKLTIDQEFEQYFTRLML >OB03G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7968306:7968935:-1 gene:OB03G22300 transcript:OB03G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNNGSRRVPGGSGAGGDPFLKRSASSREQFWDLLLLKGAGDLVVVEALEGGAGRLAAS >OB03G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7978802:7981234:-1 gene:OB03G22310 transcript:OB03G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3LMF5] MGARCLALLVLHSTLLLLLLLPPLPLARAATRYYTFNVKLQNVTRLCNTRAIPMVNGKFPGPKIVTREGDRVVVKVVNSIKDNITIHWHGVRQMQTGWSDGPAYVTQCPIQTGQSYVYNFTIAGQRGTLFWHAHVSWMRSTLYGPIVILPRAGLPCPFPKPHKDVPIIFGEWFNADPEAIIAQALQTGGGPNVSDAFTINGLPGPLYNCSTKDTFRLKVRPGETYLLRLINAALNDELFFSIANHTLTVVDVDASYVKPFDTDVVLITPGQTTNVLLRAKPDEGCPPATHLMLARPYATGRPGTFDNTTVAAVLEYAPPGHIKSHPLFRPSLPVLNDTAFATSFAAKLRSLASPDYPANVPRRVDKPFFFTVGLGTTPCPGNQTCQGPTNTTKFTAAMNNVSFDMPTTALLQAHYSANSAGVYTADFPAVPLEPFNYTGTPPNNTNVSNGTKVAVLPYNASVEGGVQDTSILGAESHPLHLHGFDFFVVGQGTGNYDPSKHPAEFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPSDLPKC >OB03G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:7999055:8001595:1 gene:OB03G22320 transcript:OB03G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIETLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNQPCPSAPCDGEANAFDIAGHFSRSGAADELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >OB03G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8012988:8018245:1 gene:OB03G22330 transcript:OB03G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDEGAASSGGGGFFSSFAAGMRSWGTAVHKSVNGLLGYEGLEVINPDGGTEDAEAEALKGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSVEVYPVGRTRVTLKKSGVMLELVPPLTKVNNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNKSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNMTDDISPTPWGDLEVYEYNGKYTEHRAVIDSSSVADDTDVTSIEFNPWQYGSSSSQ >OB03G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8038654:8044200:1 gene:OB03G22340 transcript:OB03G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHVAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDAGEGSLGNTSCGAPVLDSSPKNIRVKKEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNQHGRKGEDLEGSHAMNEDPKAKSEALDKESSVISCTKAELGCNFAAIADRWSPESVESGAFTGDAVANVMPMTTTGLHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMSLYGNKIRRSTSFPRVPKGGVGFTVDRDEEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEGYAKANTKFAARDSHTVSSEANKGTDSMAFQKSHESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGIARDDVLDNLGFSLEPNCAPHPPQLSPPEDNESLETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGNDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKGKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >OB03G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8048368:8053503:1 gene:OB03G22350 transcript:OB03G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMELGSLIAGYLFVALLILRRVRMRRQGQGQYDGPDINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKTADEQQYTAPKVRQSQWDRGGPNVPNQIPAYAYNEGKSAQGAQSFYDGQRSDLKVGLEKQPSKESRNQPRNDRFEARHEDYNLPRTFEGLEQNFHEDAVMLSKELHDAEDAENARHRQRLNEINAEYQEKLLALRARQATYREEFLRKESQARQQQYQQASMSSYANNAMPRETHGYTPAGATPPPPPSAASAGGTYGEAHRNYASAQYDSFRERPDYPEFRGHGRGQGHGLEHRGQFPGGRAYNSGGRRF >OB03G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8053115:8056091:-1 gene:OB03G22360 transcript:OB03G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPAFSAPNSSGAGSKNSTKNGGDSSTFGTKASSSSSVPPTPRSETEILQSSNLRKFTFGELKGSTRNFRPDSLLGEGGFGSVFKGWIDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCFEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKIAGLAMQCLSMDARCRPGMDQVVTALEQLQNGKKAGK >OB03G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8067835:8071303:1 gene:OB03G22370 transcript:OB03G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFTGDPYAAAVAPHPLPHGRQGHSFTYVPSSTAAFDHSPRAAAMSSETSFFSLSGAAISANPATSASMPSFRLYNELTWPPSTACTFESSRSFAAEPLQAAPPRLSMSGPLQAMSGRFSEASGSASTASDRFSDHPFMAGMLDRTSSASSTVRLMPSFSHLMDDPRVARSRLTNERSLIRSLVRVASKLRFGVPLSGRRSQGPAEPTKVESHSDGDYRSTPNANVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHRELKGVLWDDINGVDGVRDSLANPAPANAARPCFLDAGGDDDPEAEPKAKRGRTERPADGGGASTSVHRDVLKALARALTKTEEAFFAAAEERAAQSPELGLVGSCVLVMLMKGKDVYLMNVGDSRAVLARRREPDFKDIFFRPDQDLQLLKSEVMRELEAHDRNGLQCVQLTPEHSTAVKEEVRRIRSQHLTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLEAFKVDYVGGEPYISCTPSLRHHRISSGDRFLVLSSDGLYQYFTNKEVVDQVAMFTAQQPDGDPAKHLVGELVLRAARKAGMDCRRLLEIPHGDRRNYHDDVSIIVMTFEGRIWRSSV >OB03G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8084433:8087479:-1 gene:OB03G22380 transcript:OB03G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHVACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRNLKVPKNASPLPLPSPSPSRSDDGAHDATISEEATAGGGGGEVCSICFEQACTIEVRECGHQMCAACTLALCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLSGDGGSTSSIMGSIASSIGKMGRRRTDSSEHVEVDKP >OB03G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8084454:8085002:1 gene:OB03G22390 transcript:OB03G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVGSPSAHLADRRGNAAHDAAGAAAVAAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAQRQRARRAHLVPALPHLDGARLLEADAAHLAAATAGCRLLLLLLLPDTNTTRRYQSLSKNPCHEDGRHAAPRCMSERCSAGHSMCHGTSVCLPT >OB03G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8094766:8094927:-1 gene:OB03G22400 transcript:OB03G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRMYNRFYKKSQAVTSWNFSDTSVGARSFCLAHENICRRVLCLSSETQKVKM >OB03G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8095163:8099928:1 gene:OB03G22410 transcript:OB03G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LMG5] MSMLASAGLHRLRRSPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLIAMLPIAGVVFLVVIVVGRFWRRFIGVAVSAPLFVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLFGLYRIFSGDPGIVAYESSFFEEAGCKDFVEAICPSEKLSSLPRVRHCNCCKANVRGYDHHCPAFGNCIGQKNHRLFMALLTGFVVAESTYTICSTKYITRCINSGAIRSENPLSVNMVIGAMLFSVLQLLWQVVFLIWHIYCICFNIKTDEWLRGEIFQINWKKYPEFQMKGQPQSDSEVKFVNPYDKGILCNVRDFLQPR >OB03G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8102001:8103932:-1 gene:OB03G22420 transcript:OB03G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYAMYLDQRLEFFLHERKQGSNASSSANGPSPRDRWGSPDPYGRRSPSYSSPPGNGYGGYDDYRERNGNNADDKKPPTPVRDMKPERVLGRMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEQDMNDIKALPAPEDYKEPEPEKVEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDSLLLNGMYDQGAVRQHVNAQVTTGSASSVALPQPGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQASLSKLDRAYNNGFAPNPAMPYGMPTAYNTNPMPMAYTANTGYYYPTY >OB03G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8122610:8128915:1 gene:OB03G22430 transcript:OB03G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LMG7] MDEEAKPRSNADGNTDAASSAAEAAASTAAPSSSESAEGKAKAKEEEEEEEAGDLVGQLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEVSYSALRRLREALGDSRELLRLGSSGSKIFLVLEREKVMKSFQDITARLEQALGSISFDELEISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSIYNSSTTANVDPDTLQRLSEKLQLVTISDLNQESLILHEMAAGGDPGGVVEKMSMLLKRIKDFVQSRDPETGNSTSTTELSGKDNIASPIVPDDFRCPISLDLMKDPVIVATGQTYERGYIERWLEAGHDTCPKTQLKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHNNAPASCTAAEHSKVVELLQKLSSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLVPILLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAISMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAESGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAYAQSQAQALNEAQSQADMQVEQLLLPTSSHLSDRRDD >OB03G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8150960:8152093:-1 gene:OB03G22440 transcript:OB03G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYIGVTARSAAEAGECWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGCRRNKRSTKPSTSSAAGASSSSKPSSSAARQLPGGGASPMLSNAASAPGSTGAIMPPSGLSSMSHHLPFLGAMHPPGPNLGLTFSAGFQPLGGMHHVDAVEQFPVASGGGANIGASLEQWRVQQHPQQQQFPFLGGALELPPPPPMYQLGLEATRPAGGGATTAAFTLGQTSATATTSRQEQEGSMKLEDSKGLETMSLQRQYMAALRQGDSVWGGNGGNGSDGGGNGAGGGSWTMNFPGFHSSSAGGGDGGGGVL >OB03G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8164975:8167731:1 gene:OB03G22450 transcript:OB03G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDHICSVRSVNGQCKVMQSVSSAGGSRTRRCRATQSCGRSRLIGRQFADAEVQRDVRLLPFDVVDRNGKPHVRVEVKAGDVRVLSPEEVSAMVLTRMKETAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPPAAGGSSGPGPKIEEVD >OB03G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8166187:8170586:-1 gene:OB03G22460 transcript:OB03G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKAKSIALPFADKCRNILAASWEAHLNTIKADLDGSKGEIYTSRVHYMIDKGSTYLILPENDRHNINIFLDERGSLSVCGPVPGRLTTLLRSLGKLPPRIAMTGDVLLLKPRTKVPVVADALKKAILKEHKAACEFSHGVSDILSSASAACRSRSEGLLSVLDQGSTYTVLKFEVGSCVYIDSFGSNHSIELDNFEPPKADLLLPFSSKLIDGINRSDRRRRALIFFCFEYFNVTAADALLLSIDHHGFDVLAKVPEKEVLLDVPRQYIWKEFRFSFKEAAKDIEDFCRMLVELEEEAVQSVKSYSGL >OB03G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8171057:8173003:1 gene:OB03G22470 transcript:OB03G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISTVFPVFCFKHFISYVACLPITFIFIHINHVKVSVRMGRKAGALYINPKKFGGVAKPCMMEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLGRDKGL >OB03G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8173212:8175264:-1 gene:OB03G22480 transcript:OB03G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPCDDDGPAVGIDLGTTYSCVAVWRRGRVEVIPNGQGNLTTPSCVAFTDTWRLVGDAALNQAAMNPLNTIFDAKRMIGRRFSDASVQGDIKIWPFRVVSGKDDRPMVVVEYRGEEKDFSAEEISAMVLFKMKEAAEAYLDKTVEKAVITVPVYFNDSQRQATMDAGAIAGLEVLRIINEPTAAAVAYGLDKVVGSDREKKVLIFDLGGGTLDVSILNIDPGVDIDMGIFHVKATAGDTHLGGEDFDGRMVKHLVREFLRKYKRPEIRTDQRALRRLRTACERAKRMLSSTAQTTIEIDSLHGGVDFYATITRAKFEELNMDLFLRCMDTVEKCLRDAKMDRDSVDDVVLVGGSSRIPKVRSLLRDLLGGKELCMSINPDEAVAHGAAVQAAILNGAANEEELRDVLLLDVTSLSLGVETVGGVMSVLIPRNTTIPVRKKDGFTTCSDNQTAVLIQVYEGEGERTKDNNLLGTFRLTGIPPAPRGVPKIKVSFDIDANCVLKVTAKDKTTGRSNSITITSDKGRLTKDEIERMVKKAEKNNAEDEVEMKKAEGYVTP >OB03G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8177231:8181044:-1 gene:OB03G22490 transcript:OB03G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDDILHIFSYLPRDLNFIEHTSNIGWREYQRARIIVDPALQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRPFLEFCLLGWDNLPRTLLMYFANFLSSSEGYFHTVICNSKYYQNTTVNNDLRFMAWDNPPRTLPINLTTEHFDAIASSGAPFAHSFANNNPVLDMIDNNLLRRAPDRFTPGGWCLGSSVSDRDPCTFFGRSFVLRPTKSSAKLEKLLLKLLEPDNFRSKQCK >OB03G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8186529:8191057:-1 gene:OB03G22500 transcript:OB03G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor family protein / Rab GTPase activator family protein [Source:Projected from Arabidopsis thaliana (AT5G09550) TAIR;Acc:AT5G09550] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGNENTPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLSKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYVPANASEDDNCFVTNSYDATTHFETTVKDVLGLYSKITGKELDLSVDLNAASAGEPEAA >OB03G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8196839:8198734:1 gene:OB03G22510 transcript:OB03G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTATGHHPHQGPSTFLSMDTASHDDFDLFLPPPGPFRRCLHAAAAVPPDINLPFDADPSPPPPALQAGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPMDPASHDDFDLFLPPPGPFRRCLHAAAAVPPDINLPFDADPSPPPPALQAGSLHDTSVDMLDVGLGGPQLYDSDSPAATGVAPASATATTTVAVSHTKGSNSSAARKCVKRNDTIWGAWFFFTHYFKPVMSADKGGKAKAPTAGNGNNATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAGVPGADLKRWHELTGRDANFSIDAEASDYESWRNLPSTDFELERPATAAAAKSSSHGHQKKLLNGSTLNLSTQPSNHGSGDGLDIPNICNKRRKDSSPTVMEEDCSNSNSDKVQDTDVSQMFEPSWMNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLVDPSPEHCPPGEFIREIPLPTRIPEDATLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQT >OB03G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8206486:8209036:-1 gene:OB03G22520 transcript:OB03G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPGDKPMIVVTHKGEEKKFSAEEISSMVLTKMKEIAEAFLSTTIKNAVITVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEQVRHKVEARNALENYAYNMRNTVRDDKIASKLPGDEKKKIEDAIEDAIKWLDGNQLAEADEFEDKMKELENICNPIISKMYQGGAGGPTGMDEDVPNGGAGTGGGSGAGPKIEEVD >OB03G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8212803:8213378:-1 gene:OB03G22530 transcript:OB03G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dessication-induced 1VOC superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07645) TAIR;Acc:AT1G07645] MASGAVSPAFAYTVVYVKDVARSAAFYSAAFGYSVRRLDQSLKWAELESGATTIAFTPLHQRETDALTGAGQAPGAAGERGPVEICFDYADVDAAYRHAVDSGAVPVSAPEQKSWGQKVGYVRDIDGIVVRMGSHVRA >OB03G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8217941:8219711:-1 gene:OB03G22540 transcript:OB03G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKAACRCLVLVSFALLPLSMAMDPIGSYCSGNSLGLAGNSKAVASINSVLTDLVSQGSAGGGFATSSAGKGPNVIYGLAQCRGDVSAGDCQACLASAANQILTCNYQSDSRIWYDYCFMRYENENFIGQMDTKVGVIVFNTQPMENAKAFQKTVGKVVGKATAAASAAGSGGLRRAKGQYTPFVTIYGLAQCTRDLLPLACAQCLSTAVSRFDDYCGALQGCQVNYSSYRVRYEIYPFYFPLATGVRTATTDMTKYTKIVVHR >OB03G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8222427:8223616:-1 gene:OB03G22550 transcript:OB03G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSTAACRCLVLVSFALLPLSMAMDPIGNYCSGNSLAGNGKAVASINSVLTDLVSKGSAGGGFATSSAGKGPNVIYGLAQCRGDVSAGDCQACLASAANQILTCNYQSDSRIWYDYCFMRYENENFIGQTDSDVGVILVNTQTMDNGKAFQKAVGKVVGKAPPAGSGGLGRAKDQYTPFVTVYGLAQCTRDLSPLGCAQCLSTAVSRFGDYCGAQQGCQINYSSCRVRYEIYPFYFPLATGARTATTDMTKYTKIVVHR >OB03G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8230798:8234470:1 gene:OB03G22560 transcript:OB03G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKESNGSNSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKKNKA >OB03G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8241547:8244662:1 gene:OB03G22570 transcript:OB03G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLKNLNPSRPSPNLKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICMLFGLDTEKVIRFVDNRPFNDQRYFLDDQKLKKLGWAERTPWEEGLKKTIEWYTTNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPSDAKESSAAPAATANTNSASQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDVKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKEHINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLIKYVFEPNRKVPAT >OB03G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8246411:8246642:1 gene:OB03G22580 transcript:OB03G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNSSSPSLRSKRNISGNQVIFITVFHQHPLVLCRHIKPYNSVNQDPTNKGFVVATEHGSTNSRNKQINILQISC >OB03G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8246481:8248593:-1 gene:OB03G22590 transcript:OB03G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G02530) TAIR;Acc:AT5G02530] MAETLDMTLDDIIKNNKKGNPSSGGGGGGGVGPTRRPFKRSGNRAGPYQPPKAPDSAWQHDMYSDVAAGGGSSGGVGRVSAIETGTKLYISNLDFGVSNDDIKELFSELGDLKRSVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRPRPRGGGRRRGGGGGSGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >OB03G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8252628:8255528:-1 gene:OB03G22600 transcript:OB03G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLECSGKHRGLGVHISFVRSVTMDSWTEVQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIVAVAEGRPWTDXXXXXXXXXXXXGAGGGGGGGGGGWDDWDDDFRPDMRRNQSVGSFGSPDAESGRQPPRSKSTQDMYTRQQLEASAAGKDSFFARRMAENEAKPEGIPPSQGGKYVGFGSSPAPSANRNGGAAQGDVMQVVSQGFGRLSVVAASAAQSAASVVQVGTKEIQSKMREGGYDQKVNETVNVVANKTAEIGSRTWGIMKGVMALATQKVEEYTKEGGSGWGDDWQRREQGSEPYSRFEHETNGNGWNSSHNGSSKNYNSNSWDDWDEQGKKDEPTKERQSSDSWAGWDDGKDDSFDSYNHSTPNKGSNQNGTSGGSYWTEGGFR >OB03G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8258795:8263154:1 gene:OB03G22610 transcript:OB03G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) TAIR;Acc:AT2G36660] MATPEAEVTMAAAGEDVTVPATTGAGMNATVPALYVGDLHEGVREEHLLEVFGKIGTLTSVRVCRDNATSSSLRYGYVNYLSQSDAAAALEKLNHSLILDKAIRVMWSNRDPDARKSGVGNVFVKNLNDSVDNGSIQELFCKFGDILSCKVARNEDGTSRGYGFVQFALQESADAAIENLNNFYFCHRRLHVATFIKKSERSTNNDDKYTNLYMKNLDDDITEELIKLKFSQYGLIISVKIMKRDDGTSRGFGFVSFQNPESAKKAKEAMNGMLIGSKTLYVSRAQKKAERKQYLQRLHEEKRNEIIIKSNGSNVYIKNINDEVDDDALRERFNEFGNITSAKIMRDDKGISKGFGFVCFGTPEEAKRAVSNMRGVMFYGKPLYVSIAQRKEERRAKLEQRFAELATMVGTASSVIPTGYPHFYFAHPSTHIPQDPSRQGFMYPPIGISQEWRHNMFPSPHNIQQIHSPIIPSTPRQYRNNRGRMNGNMMHLHHTMNYLPHMQPTKELVSMSRQRFGHAKYMPNDLMANGLAIHHGDSISSMNDAFNNLLATASPDEQKNMLGNRLYPLVERHHPDLASKITGMLLELDNSEVVMMIYSPDMLSAKTEECVKLLKAIKTKPEDQEAIHPGFLLDSVGVNAS >OB03G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8266580:8275192:1 gene:OB03G22620 transcript:OB03G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEEKAGLSLLGLRASVSTTETNPMDGVEGLASEAIIVPSSDQAMPDAEEMGNAAAERPRNSVLSTATTVKVPVMTGNDRAMADAKEMRGADVEQDGGLSTALAVKLEVTSGNDHAMPDAKDTRNADLEQPRDSVLATASVVKVELGAVNDHAMPNSEQTRNPILEQPWDSNLSTNTPVKMRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEAERIGRINGQGYSCGCSECGYKNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKEIGLPPNMFQYEKWKASFQLEKDDFDDAPSEPCSTQSSQEFAIALTDSLKDSTNNASSILNWSSFRRRSDRQFKRGCAETLTPILSRSPDKETSGLSTGTSMKSGTEETPSENTTVLLAPDGIKCNSAGRIALSSTSSECDPINLALPLSSPLTVIQDPPPDHNVDSNSKDLWQPKVRDNTLHPMLFKEGGLPDFTLLTYKTKNGEVLMQGYKLGTGIVCDCCSSEFTPSHFEKHVGMGKRRQPYRSIYTSDGLTLHELALKLQDSSQTMNSTVLCTVDELPNLTSGSGREALTASRPIIFPLKRTLQERVSKVESCYICGDHHTAIGVISIDMIVFCNQCERACHVKCYNNGLQKPKAPLKVLGEYTQFNFMCSEKCQMLRASLHEALNKREEIAFLRQTRSSICWQLLSGMNMRSDVQQYMHQVIEIFKDSFARTAAQDIDVIQDMVNSQSTTGEKDFRGIYCAVLITSTLVVSAAILKVRTEEVAELALIATRNECRKKGYFSLLLSLIEAHLKAWNIRLLTAPVDPEMAPIWSDKLGYTILSDEQKHSMLVAHPLVMFENLTLMQKSLAEKTDPMVFR >OB03G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8275081:8277420:-1 gene:OB03G22630 transcript:OB03G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G37510) TAIR;Acc:AT2G37510] MALSSSLLRRLLLRGSTPVSSSSATFCSSAGSPTQSPLSSVFGDDTEVSNVPPLTTPKLFISGLSRLTTDEKLKNAFAPFGQLLESKVITDRISGRSKGFGFVRYATLEEAENARQEMNAKFLDGWVIFVDPAKPREHKPAPQPDTHSSHTGFTTHKTVGWCG >OB03G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8280203:8280640:-1 gene:OB03G22640 transcript:OB03G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit B/B', bacterial/chloroplast [Source:Projected from Arabidopsis thaliana (AT4G32260) TAIR;Acc:AT4G32260] MEKAALFDFNLTLPAIAIEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGDVKDASEEVRQLEEQAAAVLKAARAEIAAALNKMKKETTQELEAKLEEGRSRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >OB03G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8281485:8282037:1 gene:OB03G22650 transcript:OB03G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3LMI9] MAPKAEKKPAEKKPAGEEKSAEKAPAGKKPKAEKRLPASKASSKEGGGGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >OB03G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8288185:8289076:-1 gene:OB03G22660 transcript:OB03G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3LMJ0] MDATGTGAAGKVKKGAGGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >OB03G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8295506:8300111:-1 gene:OB03G22670 transcript:OB03G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine biosynthesis bifunctional protein ArgJ, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3LMJ1] MPPPSLLLLHPRAPLPHRRSSFRMSSPPPGRVVCAAAASTEGFVSAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKRVLSSSKTARAVLINAGQANAATGDAGYQDAVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLPRLVGSLSSSIQGANSAAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGANNEVEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDICLGDTPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGEGSGKAWGCDLSYKYVEINAEYTT >OB03G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8303642:8305416:-1 gene:OB03G22680 transcript:OB03G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADYELFNHLSFSPSPQNLQSPTFFTTRSSDSYLGESSMYGAGARPAFAQFSYAQPTDATSAAHLVRWTAAGERTTGDSGGGGFRGSKRLKTTAPTAATTQGPRHGLNCHAKPRNQPAKATCKRSQKLGDKITALQQLVSPYGKTDTASVLQEAAACIRQLHHQIQILTAPYPPGTRSSSAPSQQDAGGEGTTTELRRRGLCVAAVSPAIVSLVAEGAAGHGHRRTDVEENQRRIWFGNR >OB03G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8309551:8310183:-1 gene:OB03G22690 transcript:OB03G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQLRPPFLDTNSFLTQDLDEFLLNEFAALSAAAGASDDDDEDEDGEGSDGEAVSGEARRRRTLAREEAKLEKEIVRLVLAGEAEEALKPNSGQSVAVGDHHVCVGFHDEVGGEYRVWEWHGHVMIFDDEDGYSAEYIYGNHFEPLAAATARAKKREKEKREKELSSGLRDLIVGDGGGANGSKENGKGGQPRVVRRNVVNAPAAPAR >OB03G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8312041:8312640:-1 gene:OB03G22700 transcript:OB03G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQQQADEAAFSLFDSSDMARILLLFSGGGGGGAAASTADVSSAPERMFECKTCSRQFPSFQALGGHRXXXXXXXXXRRADGDAAAEPARPKVHGCSICGLEFAVGQALGGHMRRHRAVIADDIGLGLSLGVGFIEQNDDDDRRKKLRARCRRRRRRRQEEGRARRRAGVRPERAGVRGGAGSHQGQAGGARR >OB03G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8325238:8331231:1 gene:OB03G22710 transcript:OB03G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT2G37420) TAIR;Acc:AT2G37420] MHSLFKQADKTFSFDKVFGPKAQQRAIYDHAVAPIVNDVLEGYNCTVFAFGQTGTGKTYTMEGEMKQKAGELPATAGVIPRAVRHIFDILEARKADYSMKVTFLELYNEDITDLLALEDQSRFPEDRQKRAISLMEDGKGGAVIRGLEEVIVYSPSEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKEQTVGNQELMKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIRNKPEANQKVCKSVMLKDIYQEMEKMKQDVKAAREKNGIYIPHERFALEEAEKKTMKDKIESLELSIEDHRKEVDKFKRLYLAEQEHRLDLESRNKELKMNIESCKKEFLDLEEAHSRANISLKEKDFIISNLLCAEHSIVEHAKDIRGALENASGDISSLVDKLGRQSNTEAENEGLLFHFRSQLDLSLELLRNTVVGSVCEQRQFLESMNEQNKIYFSAKSESTIHLERRIAKAKDIYASGVQCMNELANILHQRSIVHSEKMGLNILSHATRAANFLAVMVSEAEQVLNDVFKSISELKELLAFSAEQQEVGMKRSLVSAQVMSKTSIDFFKDIKAHAYSLIKHMEQSQAECSSQLLKFEEDFKELSVQEEQAALDKITEILAGLTAKKSTMVSDRVGQLNGKHKEEQKHLKLQMSSLQEVSDSGVKEAVAYAAKVESQFREDKLSHSKIKDQMEDILQQSLKKTAHSVSYWSHTESSLEQLNKISVVEADDFIEETRNENESILQNMLMVCTQSDAKFDAITSDMLTSVKDSHLLDHEARMRIETVFSTSLDRLEILKTKHSQGTESIRGMATKYLERDYKVNSPVRRRPGELMTNEYSLESIEQLRASVPELVMKFRSENNLDEVEDKGKRYLDHGIRTPRSPLMPVNHYHQ >OB03G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8331491:8332677:1 gene:OB03G22720 transcript:OB03G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLVDDKTFASPTDLEERKCLEEKIYWTRLELQRTRSLSADADETICKLTAAARRAMQERDELRNQGEILLAELQARRKAHTMLAGRDLFKSAPPDAFGVHSYSRALLPGRCKPFAGMLQGRDADAGCSYRFAAASSGFGHKIAAAVPSSLHDLACSTQEDSFDPDMFLVDPSELPQDFAPNTSSSDLGDDMWRINEQVNLQTKGKSAQAVPEHVKNAGSIKVHSAASFKEQHSA >OB03G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8333801:8335817:1 gene:OB03G22730 transcript:OB03G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61620) TAIR;Acc:AT1G61620] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKSLIDPLCCPKGHVFCKECILECLLAQKKDIKRKIAAHEAQKKLEKEEEEEKLMLQKSKELDAFDQQNHGAVPQYHDRNDSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVEAPSTDTICPEGQEKLKLKSLFPISFTEETTDHKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLITDKVCLVCNKPCKERNFVSLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >OB03G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8336398:8338893:1 gene:OB03G22740 transcript:OB03G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39470) TAIR;Acc:AT2G39470] MASLQSLICSVSKQLVAPNCAVTSKLNVPPPSVINASWSEASSDEKNVTRRRLALLGAGALATGLLKSSSAYAEEVPKNYKSYVDAKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQCVRVGFIPTRKTDIRDLGPMDEAIFNLVNNVYAAPNQIPTVYDMQERTVDGRNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKLSDLSA >OB03G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8342330:8343543:1 gene:OB03G22750 transcript:OB03G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSPASYIHMVQHLIERCMTFGMSMEECMETLSKRADVQPVVTSTVWKELEKENKEFFDKYKQLRSEKGGVSSS >OB03G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8350687:8353957:1 gene:OB03G22760 transcript:OB03G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVNWELQGCCDHNQVVFIAAIGVSTVLILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALIVVLFVAKNWFLRWLCIGFIVFIAIVWVIQEFTKFHSLKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVAWGVIWGFISFIFLCASIYLGLVILS >OB03G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8364569:8371504:1 gene:OB03G22770 transcript:OB03G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKQASNPGMLSDSMLAVENWQSKPKKASGIPIKTLIDEEFSKDFNARHTSPGVVGRLMGLDSLPSFGTTNQNRNAQSHAEKSSPCYSHDRRSFSEYIPHRRSTDEIPEVKDVFEVMEATRMKIHQSPRSTSGNGTSTFNKTGSPDLDQMRQKIIDAKRLSADESLQLSEELSETLDTLVSNKDLLLQFLQKLDPIVKRDLHDHDSPSSTANCITILKPSRRNQFTDADDIYSSQDKGAESYVYNQKEVEHSQSRRYAKLPSQSPKEGSGLLRQKLSRPSHQEISDQQMCSTRIVVLKPSLDKAQDIEGAFALRNELTRFDFRRHKPCHGDAMWNSCTEEYIGPLRDSETLDDVAKGSKEIARGVMKQMRAARGVGSRKQILKPETSTSVSDERSQFLSSVSNVKSPEVFHRSSELLDGWASSSFTSSPAYSKETKVSREAKKHLSNRWKAAHRNQHQEDKNSGFSMLGDMLALSDQEASKVATQKISNKKNPRGESHKDRMPSTCNTRVGISSNDGWRDAATSNLPRSKSLPTPFNRGVQKSNNRKRTGRHNEFSMLKDVLKVGTHDSEHACHSRNRKSLFRDVTLHSDEADLVSSDNEERMIIEREIHVNSEEPTNGIALTDSSKETLLHPSSPDNELDTVYYLDTSPVVAGQKKELCSPDRQNQQMHQQSPIELDDHLVLSSLNSLVAEADRIVQHQDDDNPVCKFEEKSVSATRIDDHQSDSNQAPWMIRSESPVSSSKDEQQSPVSVLESSLDAEDIYSGDFEKISADLQGLRMQLRLLKMEATDSADDTELILSDDELTSASQPPPDKEISHAFRDEEERDFSYVLDMLIVLGINAANRDQLLDVCYLAECPAGPDVFDILENKYNSLILWPSPERKLLFDLANDVITDIITSLMQRSSKGLSWSWSTRLDQEGFVEVVWQRVIELRQEMEYAHEGLFMDLGWVGSEDGIDLIASEVGRMLHEDLLQETISGFLGVTKSAMFCG >OB03G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8372171:8373191:1 gene:OB03G22780 transcript:OB03G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3LMK2] MAHQSLLRAKLAIKSLLRHAKQHLQSAPSARIVTGVVASAAANGQLPFARPNENIFPIQGAVPLPQGASNLIDGNNVPYVAGLGGTSATIVQSNGNTVNGGSKNTPFINAGDLPSGVTLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLALTAMFDGGEEHGDTLSFFGVHRMAAPESHVAIIGGTGKYENAKGFAAIETLHSGDEHTTDGVETLLQFSIHLI >OB03G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8373353:8376012:-1 gene:OB03G22790 transcript:OB03G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLQWEPKISLKQGLPRMVSDFQKRIMDEN >OB03G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8376267:8377197:1 gene:OB03G22800 transcript:OB03G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRAGEVVDLVDLEEDGLDDVVSDELEPRVAEVVRDVLLAPREEVIDDDDAVPAGEELVDEVAPDETRASRDDDAERRLADADGEAARGGGDDRRRAAAADSGGDRTGGSHGGGGGERVGVRGEGRVEEEEGGGEEEAEEDEEEALLAEE >OB03G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8380146:8383382:1 gene:OB03G22810 transcript:OB03G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDRGEGDLEIEIGPASPVEEGGAAAAGLXXXXXXVKRLDQSPPGVVRRPGLVMSNSAKRLDQSPAASPSPSRQELVMSHSSNRLDQSPAASPSPSRPGLGMSHSSNRLDQSPASSPASSRGSVLVMSGSSNRLDSSQPSPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDTEVAEIRAAVVNEPNEVEETALLIAAERGFLDIVVELLKHSDKESLTRKNKSGFDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVEISKGNGKNALHFAARQGHVEIVKALLDADPQLARRTDKKGQTALHMAVKGTSAGVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAVSFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVGVINKLMWLASVCTTVAFISSAYIVVGRHFQWAALLVTLVGGMIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQQNSDFSDSEIDRIYAI >OB03G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8388443:8390130:1 gene:OB03G22820 transcript:OB03G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNQSGESHQPPQQAEPMDSRKAAQAATRRKKMTKQLAGKRGDTALHGSARAGQLVAVQQTLSGAPPDELRALLSKQNQAGETPLFVAAEYGYVALVSEMIKYHDVATACIKARSGYDALHIAAKQGDVEVVNELLRALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADRSLALIARSNGKTALHSAARNGHVEVVRALMEAEPSIAVRVDKKGQTALHMAAKGTRLDLVDALLAGEPSLLNLADSKGNTALHIAARKARVPIVKRLLELPDTDLKAINRSRETALDTAEKMGNAEAVSVLAEHGVPSARAISPTGGGGGGGKTGLGMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDADSLSPGQVLGEANISHQTAFIIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWAACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSESEWVDEEFKRMYAI >OB03G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8393973:8394953:-1 gene:OB03G22830 transcript:OB03G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRATAQHGGAAMARAAAAQCFVEEEYIDLDLSSCRGLEFRVCRSAAAPCGDETLCRGRLHRAVPRSGAKQQQEADAGGGGCGGGGRRSTATVAPWQQPHGGGAGVRNAHPARLQQPDGATGRRKKAAGTVHAKLQASRAFFRSLFARTSCSDEQCHGVGVRATRSRTATSPVGIKNGGAAGAAKPAPFGQIRSSYGSCSGRAAAPTTLRSSIEQEKLMDEEEHAASVRQRKSFSGVIKWRPAPPPPPSEPTAASSRPRPPPSSTSRRSSACDPPLKRCSSARSESEGLIQGAIAYCKRSQQQLVLARKSVSDAALCSLQFQTQL >OB03G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8397423:8404415:-1 gene:OB03G22840 transcript:OB03G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEASGGPSSLLTRTVRTSLHTVVHHAVHAGQAGAGGARLINDRIGSRSYKSMRLTAKRLEDSALSYKGVERVQLLRRWLLALKETQRAAAAAKEPQHAADPDQALPVLDLYMDYETAAEPMNFIHVFLYSQALECIVLSMILEVPTEEEVSLLSEIFGMCLYGGKDVHNAILNSIQELAKLFSSYHDEVLAKRGELLQFAQCAISGLKKNADIARLDDEIVQLQQRINGMDALQYNSTSRRSKASQTIAEGFRTAINEVHLCSRMEELVLMKKSIHHGNSYETYFEKVDKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNEVSGTEKELVAEISGLEHQKDQLEAELKKVNTKLKAAFMKLKKTREERDQFDEASNQIVLHLKAKEEELSRSIASCKVESSTVSAWINFLEDTWKLQSFYEELSQKQANDELDRCATCFAKLINHHVDTRVEELSTCIDRIKTFVDNLKIFDDRSVSAEDGSNGSSKQSNPRKYLEEEYLEAEKKVVAAFSLIDNIRTIYFFNQEHQARRDDPDVKKLFANIDKLRVEFESVPRPVLQIEIKAKEERTKQSRSSLQAAGSPRNAGHESQIPAQLRTRLPSESDSELARFDPEYKEYSGDDISGWEFDDLEDEAEVTPGFQDSSDMHRQQIGLTDPE >OB03G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8410616:8413152:1 gene:OB03G22850 transcript:OB03G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALEMLVQLVVVVTVLFAAVGAYTPVDNYLFLCGTSGNTAVGGRTFVGDAGLPASVLTAPQSVAANTSASQVTGAAGDDAPELYQSARVFTAPATYTLGVKPGRHFVRLRFFPFRYQSYDLAADAAFRVSVQGVVFVDGYTPKNGTAVVREFSVNVAGRALSITFTPTGKLAFVNAIEVVSHPDELIADTASMVSPPTHYTGLSTQALETVHRINMGEPKVTPNNDTLWRTWLPDQSFQVESNFSAHKQVPPSMITYKDGSATSLTAPAAVYATATELNTTEAMVSNAQAQFNLTWQFDAPAGSGYLIRLHFCDIVSKATLGLAFNVYVGPWLVLQDYESSGDTFNLLATPLYKDFVLAGKDAAKGRITVSIGSSTAANSLPGGFLNGLEIMRMIGSTGSSDGGATSPRGSKIKTGIIAGSAVGGATLAMALGFVAVRVLRRKKKPVKQPSNTWKPLSASALGGRSRTSFGKSSVNVVTLGQNCDAAASVGYRFHFAALREATGGFEEGMVIGVGGFGKVYRGTLRDGTQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDGGFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATQRLRDGELDQIVDQSIAATIRPDSLKKFADTAEKCLAEYGVERPTMGDVLWCLEYALQLQLQVASPDSSDSSFGVASQVQRSSSISSVVTDASTMSASLGDLDGMSMKRVFSRMIKSEEEGR >OB03G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8413429:8419977:-1 gene:OB03G22860 transcript:OB03G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGPDPRGTRGSTRRHLVTSPPPSPVQFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMSTEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRCFKVDGTTYDPSDGKINEWPSLSMDENLQMIAKTAAVCNDASIAHSEHQWVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSAYIQLLDGSVVLLDESAKALILSTLREMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPAYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGSAEDISSKSFTGKEFMSLSDKKKLLRQTAGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGVFIIWYTHGSFLGIDLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGAHTFNFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQLGKRKEE >OB03G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8422893:8423459:1 gene:OB03G22870 transcript:OB03G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVEEEEMVSAPAAAPVVKEKTLFPPGGYNTQCKPPARITRADRCGPGSLEAFLESVVAARGTGGAGESTAQGVRLRGSRWAEITEAKARRQRYLRDYCPFQREDEQEEVAGHAVAEQPASCPAPEGGDDDDDDATGVRDRQARPVRGTTEYNVMRQEFLRSYS >OB03G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8424560:8428078:-1 gene:OB03G22880 transcript:OB03G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMNDDTEAVRLQTLQTLFHMATYGCLSVQEMHMHMFLGLLVDMNALIRDATRKILGLVNLPKLQMFKSAIDVLITSLEKHQEEQEIYSVLFSVGKNHGNFSANIAKHLAKEITMSSDGELILDKPRIKALLIVSISVAFSDNKHNKLDIPDVIFSHAISLLGKISCAIGEVVDQNSLLSFFRHKTGTPFWETRLTSTEPTESEGCNVETVDDIRAQIEKTGKSTKCLDEVLTMQSINSIIETIERAWTIRRKSCNIHDVRTILRTCKEELRIITSKSSVSTGALLSFLCEYLDAVQFIVEMLRSIQLDNSCDLGPTSLDVLLEKLDTSIRRMKCCYAGFNRETEVQVCELALLSNLFRLAKVGVHSKLVLDKLHWVINRLECLCADGSCELSFFSREMKKAIDANFVGSDICILLELFHLKPKADYGMLKAITAELQARDNDSENPSSYVCGLPVSVSFYISMCNISSQDRVWLRMIAGESIQHTFLELSCFGGNDEVKSCSTIIPFYATPMTCSFVLRACLLMECPFGSISMHQEGHEGPRDSIVQLCDELDVYFVCTEQR >OB03G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8432465:8434987:1 gene:OB03G22890 transcript:OB03G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHNPYYQQHSAMLPMEQSPPTNTKHSSVTLAQLLKRVNDARSGSSTPISSPRYTIELGGSKRESVSSESEDRHSDDGGSEGPPLPFVLKFTDLTYRVKQRKKGSCLPFRRAEAEPELPAMKTLLDGISGEARDGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTLNGDSVESNLLKVISPSSRQEALLYPMLTVEETLMFAAEFRLPRSLPTREKKKRVKALINQLGLDRAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVRVLKSIAQSGSVVIMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSQLPPFFIDFGHPIPDNENPTEFALDLIKEMETQTEGTKRLADHNTAWQKHHAMAGGPIPPPPPGPXXXXXXXXXXXXXXXXXXXXXXDRRHRVGRLRPLGAAAVRVQVRQPVLDRDGGADTSRVHQHEAHAGGVHHPPRGGAGHRVHPGHHLLAPRRVAQGRAGAAGLLRHRHVHHVLHLLRRAPGVPQRALHLPPRDGVQRVPPLLLRALPHHRRLPVPRSALLRVRAHHLLLRGARRRRGRVLLLRGHRPRLLLGRQRLRHVPLRRGDARDARLPRGALHARLLPPLQRLLHQPRQDPALLAVVPLHLPRQVPVRGGDAERVRRPHEVLRPRRADVRQHAVGGAAGGGQGAGAAVHVLLARRRHRHGDLHHHGARFPQAAGHHRLRQVGVPLDHRRVGLPLPHPLLHLAAARQPEQAEGDDDDHHHHHLARDGDRSSPVGCMGRLRWRKRRGTPVGCGWRPAFPCNLFFFTC >OB03G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8440849:8443131:1 gene:OB03G22900 transcript:OB03G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFGGRRTLPMEDGEDAPRADLLPCHPRSDRRSDSSASLDHLVALPSHAPPGHVVVDVRTTANAEGNEEDDGGGGTLDFVGGTAVRFVLAFHDLTYNVGRPRRVVFRRRSTHVETDATTTRGGGARARALLDGVSGEAREGEIMAVLGASGAGKTTLIDALADRIRRDSLRGAVTLNGEALGGRPLQVISAYVMQDDLLYPMLTVAETLMYSAEFRLPRSLSTSKKASRVQALIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQGIAQSGSVVIMSIHQPSYRIIGLLDRLLFLSRGRTVYYGPPAGLPLFFSDFGHPIPDGQNPAEFALDHVRQLESSPKGADELVEFSNSWQKKPVARAVSAAARTGHHDKPSLPLKEAIRMSIARGKLVSGTSDSGTAAASTAEVATYANPWWVEVWVLARRAFTNTRRTPELFLIRLGTVVVTAFILATVFWRLDSTPKGVNERFGFFAIAISTMFYTSADALPVFLIERYIYLRETAHNAYRRSSYVLSNAIVSFPPLVVLSLAFALITFFAVGLAGGAGGFLFFVLIVLASFWAGSGFVTFLSGVVRHVIIGYTVVVGLLAYFLLLSGFFVTRDRIPSYWTWLHYLSLIKYPYEAVMQNEFGGEPGRCFMRGVQMFDGTPIGALPAETKVSVLNAMSRSMGVDFNSSSCITTGPDILARQAVAELGKWSCLWVTVAWGFLFRALFYLTLVVGSRNRRR >OB03G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8445001:8449262:-1 gene:OB03G22910 transcript:OB03G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLPSDRLVPSGRLPLMRPLSPTEAIAVSAAEAGCSKDTFLNRSVSGESHVKGNGMFSPQDQSYAKRSFIQSLTEKKGSSLDGSGDIPSRIESGTSSVGHSDETQSQKFLSLGLQSVSPRNGKISPSSIVKEEGVDQGLSGFPSADVHKDVGATSEPKSSSDSSFGRLPNLDLNLPLDPHDPAESLPIVQDCGNRLYHETLQHQKAHVPLVAPVSTASNGLRQNIDSTLNLSNACGLSNKHGAADVTLDLQLKPPARPELGINWKELAPVPGLSLSLSGKHVDESENNAGLNLSLSDKHIIESENNAPNVAVRSESAESTKKVTTEVGVPRTHKSPVDEVVKLVPSNENPHKSISSTVAGMERIAAGSLVKKEPEEQSQRHIPNDKEKAQLLESPSVVLANNCAQIEKTDSARKVSGKAALDLNSGIFPNVATASVSLPTERLLDATRIETMHADREVKKSIKSEETTTVIPSPTTTTASMSSQRSPLMTKRPLPLRGCGASRTGLCVSASQPLLPTERASCNPGEASVDCKPTTSHVNYRNAVEACGPLQSSSSHSAEPLISNSRNRFAFDGMSQGSAEMDCSEDDDNIVSHRFTTDKPHGGTLGSNQTSDDGTGAKMGTNIQKGHDSDTRQDCSFVTNKIDMQGVSDDKCVDFKDGVSSHSYQDRHQSVDVVNEEPKNKQLLRSDRNTPVNDNDNTIRVKTITGSSTADLRRLSSLDTSTSPKTKSTTDSCKESSSCLEKGKTPKIKSKGLQSPVGKQAASCSEDHVKNVTVKSEHQTVSEEVAKVSELHTRNSVPGEDSHPDGASSSQPHECGMVKSASERSECDKSKPDSCRTTSVQNEKDGQVDGPHWREMGYPYVNRNERWERFMQAEREKNKGEFQSGRHGPDTMNQRRLAHRYGGRGVGSRGHPRNFRGPRMNESEIYFDDEPMIGRRRHFEDDHHSHRIPHRRLMREMDIDGFSGRDAPDPRLLAHGHMEDLSDDMMEERFYVPHSRHHHRQEDLAFIHRNRSHSPGHIRGAPMHLHRGRSPEAMGRSPPLIRTDRPYLPHRRHTHHHGSPFDRMERDDRGMQRNTRRCGMHGGAEGDAFEPHLHPAQLAELHAEAELTDRRKFGERRGYLRSFEASIPAGGDDEMPPYCADADMDFPEAGAGGPRELDGRFRSRGAHRGRDEQEEDDHRCRGPHGWRDGSSNGIRAKRRRY >OB03G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8459520:8460365:1 gene:OB03G22920 transcript:OB03G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRGLPVIPAVRVGTQLHLTATYSGPGGRRGSAGPPPALMADVRVVVRRHFPVGPKGVIIEKVADDIAVRRQPSRKLRTPESVERVLAERVLPFVEHPFDRRAVAVASKQICEYVSGACADPRLAHRGVRVLVLVDTFACGTLLLPVPRKQSSDDDDSLRFGTVDLPVLRKQSSDDDESLRFDTVDVPVPRKQSSDDDDDSLRFDNVDVPVPRKQSSDDDSSLQFGIVVRTCPCLKKVRPGREEEPGLATSAGNGSFVRTCPCMEIGMGVNNKPRGLTSP >OB03G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8461605:8462785:1 gene:OB03G22930 transcript:OB03G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPPAIYHQMPAILRYLKDHRVVVVSAAPGSDKSSVLPRCLAASGYGPVLCAQPRHFACVVAAAKVGEKRDSGIEFTTTRLLLDKFGSPARLVGYTAIVIDEAHDRTSLGTDVVLGMVKAAMATGTMGQCKVVVCTAGGPADGMLRTFFGGAPIVSSLRAGHPVEVRYSRGPMLDMVDAVADEVAAIHASQPPGDVLVFLPENADIINAHAELELLDQPGLAARYIHDNLPAELTDIMLNSPVPDGGRRVVLATDVAETAVLVHGITYVVDTGLVSEQPSAWISKETAAARAAIAGFSGPGRCHRLYQKKQYDGFDELTIPHIRRDGAAVKFALMVKRHTADGMTGFEVFDPVLKPAVMKNIFGQLVNGGYLDKQGNLTDKGRLEAYDED >OB03G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8462811:8465171:-1 gene:OB03G22940 transcript:OB03G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVQRTQPAMLGSSSQRLVTLDTIDIFITHEWFPSKPTVYFRCNGEDKVYLPDVKDANNIYTFKGEESWQPLTELPEKKCKRCGLYEEDTFKHDVYDEWELCSSDFKKGKYTHFKEGQFNATFLCPNCTSSAGDSATHDSSSEVETKKTSVTVIIIVSVFSSVLVIVALYGGYKYWQKRKRERDQLRFLKLFEEGDDIEDELGLGNEL >OB03G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8467080:8470117:1 gene:OB03G22950 transcript:OB03G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein [Source:Projected from Arabidopsis thaliana (AT5G02740) TAIR;Acc:AT5G02740] MSRLAVAALRRASVASGVPSSSSRSAAFAPSVPRLFSTDASGEVADGAAAQGSQDESFFKPSEEGLAYGRFYSSIPGGSRLGKSMLKTDIIHHLDKCELSLDDVKIDYNRGYYPVGALLRFSSVPLFNTAVRQTRDGRMYRLEMVSREEFDLKQSYDGKAILLQGVPRNALPEDIERFLSGTNFEPPPFQSFLRPGVPDPIRVVLVKFRSRTDAANAFITKNRGFCLTNPVSMRVLQ >OB03G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8470262:8472082:-1 gene:OB03G22960 transcript:OB03G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEALPPTLPSASEQQPLYNGETRLYMSYICPYAQRAWIARNYKLPSLEHNNKIIGESLDLIKYIDSNFAGPKLTPDDPEKQRLGEELLAYSDSFHQAMCSALISKGNVTAEAVAALDDIECSLSKFDDGPFFLGQFSLVDIAYAPFIDGFQTLFAGIKNCNITEGSANIQIFIEEMNKIDAYTQTKQDPSEVIAPTKKKLGII >OB03G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8472223:8472687:-1 gene:OB03G22970 transcript:OB03G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACSLGFSVAAATWERRREGERGPPAARRPSAWARRRRRRGGRPPGRYVVAARRASTWARRRWRRGSRLPGRDDGGRDVAELRPPGGHLRRNPVEGANFNGCFTFVPFNSFLYRFMLRPNGAETCYMLLDSGGYQSGHISSSHGVWLCEGSI >OB03G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8476494:8479738:-1 gene:OB03G22980 transcript:OB03G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFPRGCSSPPLTRPSTSSRPTVPSQARIKIRPSSPRAAHCHPRVARNLSRTVAMAAPPAPPAGSAKEVLPPSLTSSSEPPALFDGTTRLYVAYHCPYAQRAWIARNYKGLQGEIKIVGIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIESNFEGQSLLPDDSEKKQFAEELLGYTDAFNKALYSSIVAKGDVSDETVAALDKIEADLAKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSDIKNYDIIKDRPNLQKFIEEVNKIDAYVQTKQDPQFLLEHTKKRLGIA >OB03G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8482004:8484412:1 gene:OB03G22990 transcript:OB03G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAERFGTSVVMSEEEKRSSRAERFGTASSNEKAEEQKRKSRAERFGLASSSADEDAKKKARLERFGQSTNVDKAEEEKRKARALRFAETPSGPSQENGKDSSKPTQDAATVAGTA >OB03G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8485201:8490005:-1 gene:OB03G23000 transcript:OB03G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESAVIEECTPGEVMHLTGGGHGLTDRAGYRGNRIRTFPLMRRLLTPQRFRDAFRFKPPLPPIQQRPAPYRASSKAVAHAAAAEEPKLETAATLLAYRTPILAPNLGPLDIPRETGGEGGDAGEGGGGSGMRTVECLRGRLLAERVASKAAKEEADSLTKRLDELEKMLSDEVKMRNKAERRLRRAIKKLESLKILDVELSDSSIGSLSSNGCSDHRAPEMEADMNNPGSSAGSCTQVNSQEGSWCSVVSEQSPSVHCKEESGLDPEDAKNCGSEEHAGDHDSESRDDQPVHVPSDDGSSKSGDSRRDEDDDRLALVLVDPQPSAEAGDSRAGDGNDMHMELEAGKFQAEAREGDDDEVGEENNELAIVLVDPQPQPRSEEPAPAPRNDVQSVLLALRQVKEQLRGGEGGDAGEGGGGSGMRTVECLRGRLLAERVASKAAKEEADSLTKRLDELEKMLSDEVKMRNKAERRLRRAIKKLESLKILDVELSDSSIGSLSSNGCSDHRAPEMEADMNNPGSSAGSCTQVNSQEGSWCSVVSEQSPSVHCKEESGLDPEDAKNCGSEEHAGDHDSESRDDQPVHVPSDDGSSKSGDSRRDEDDDRLALVLVDPQPSAEAGDSRAGDGNDMHMELEAGKFQAEAREGDDDEVGEENNELAIVLVDPQPQPRSEEPAPAPRNDVQSVLLALRQVKEQLRYTIERRSELVAHQELFGH >OB03G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8494310:8500584:-1 gene:OB03G23010 transcript:OB03G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37310) TAIR;Acc:AT2G37310] MGSDGVGRRRRVVAPAVNGVAKDGAPQPPPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRYGPWLLTVPAIAIIGREITMSAVREWAASQNTKVLEAVAVNKLGKWKTATQMTALTLLLASRDPSLPAQDALVTSGVALLYVSAGLAIWSLVLHARLVAASVTPSNFLASKLISLYARAARLHDARGVFDSIPQPSIFAWNAILIALSLHSPDPSAALRLFASSAVSPDEITLSTLLKSLTASGQACSPLVTGELHAVAFLRGFGAHLFVSNGLITAYADTGDMRSARAVFGEMPRRDVVSWNSLISACARAGWYRECLGLFQEFVRVRSSDGAGPNSVTVTSVLHACAQLKAADFGISVHQLAVESGLDMDIAVWNSIVGFYAKCGRLQYARQLLDGMTKKDSISYSAMITGYMNSGHVEEGMELFRQANARAISVWNSVMAGLIQNGRQSDVLGLLQEMITSKVLPNSATLSIIMPSVPSFSTLLGAKQAHGYAIRNDYDQSINLVSALIDAYSKAGFLDAGRKVFKLTEHRGTIVWTSIISAVAAHGEAAEALSLFNQMVSGGTRPDTVTFTAVLSACAHCGKVAEARKIFYSMQAVFGISPVIEQYACMVSALSRAGMLREAVDLVNKMPLEPNAKVWGALLNGAAVIGDVEFGRYAFDRLFIIEPRNTGNYIVMANLYSNAGKWEEAEIIRRMLRGVGLEKVPGCTWN >OB03G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8508175:8508754:-1 gene:OB03G23020 transcript:OB03G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLGGRRRRSTSPSDLTAGVPRYGPPTLVTVRYTTALAYLPIGDQLFNPLRLDGVILPMPVTRPPEEGVGVTVEEARKVARAAEMEVARVRLRLSPTPRESALDDADVVIVIGDTVFLHPDMVARAIGSMNPATQAARAAASVVDVDTDADVDARKKRELQEMEEQKAAIDLAAAM >OB03G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8510327:8517691:1 gene:OB03G23030 transcript:OB03G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHDPSSNWRNCNQPLLFFFYQTATTAAASKSRSNQAIEFLFPFSNLAVLLPRLTIRCNLQALRLRVTGARRRKGLCLLLLHAGRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXAQPPPPAMGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDIATSISPSNEDALQGNINGGHLDGAENIENSNRSGIECNNEEEDAEKSDKPENLKEVDDINQSQEANGEADNFNDVSAHSGKLKEESSANSIESSSASEELKEASGHLQELLNEDGDSEGFKDSHGASEGLGQARSDNLEKLEELCLDKGLLDELKPIRVESEKRVRASISIIEKMMSSRVVKKSNTANGMCGKDETQLESIEEEEKTADKSCKGDPAEESSDPDKVEQAQDKESGDSTSAALEGAIDKSYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNLEDQVLKEQTSAQKKLPQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVAWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREIGLQDLRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPSSLCPQVNSKEGPDGLQVNGEIQYLDSDSANVETYLTSSALDNELDQGLDLEDQVTWLKVELCKLLEEKRSAELRGEELETALMEMVKQDNRRMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQILIRMEQEQKVAEDARISAERDAADKKYAAHLLQEKYDAAMAALQQMEKRAVMAETMLEATKQYQAGQFKASQSFSPPHAAQQPGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETSEG >OB03G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8518034:8521453:-1 gene:OB03G23040 transcript:OB03G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26940) TAIR;Acc:AT3G26940] MGCCFSSEGGDESVKELAERSQITPNETAASASEMVVNLDPRHVEFISNHGLERLVQGKLFTYGELYAATGGFSDHLFLGEGGFGQVYKGMLDATGQEVAIKILNLQGNQGNKEFFTEITVLSKVHHANLVKLIGHCVDGDQRLLVYEYMPLGSLKSHLHDLSPDKKPLDWDTRIKILVGAAKGLQHLHVNADPPIINRDVKSENILLGEGYHPKLSDFGLAKLGPTGDDTHISTRVMGTLGYCAPDYLESGKLTVQSDIYSFGVVMLEVITGQKVIDDSRAKTERSIVEWAMPMINQKDFAKLADPVLNGQYHMRSLFRALIVAAMCIDRTANRRPDITLVVDALTQISESQSRRKRWSSRLQSTVASNASSAEPREDQGESS >OB03G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8522806:8525060:1 gene:OB03G23050 transcript:OB03G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 6 [Source:Projected from Arabidopsis thaliana (AT4G10090) TAIR;Acc:AT4G10090] MEEYGGGDLLSEAMGPGARVVVVEDRVEAPGAFVLHLLLKRALAGGGGAALLALAQPFSHYDRVLRKMGCNLSLHRKSRLHFFDLFALLLCSGVAKGDAISDSFVQLYGDIQRVMDANRAGDNADRFTLMIDDVSLLEVAAHGSVDVVLDFLHYCVTLTSEMNCSLVFLIHEDIYSSEEGAGLLMHLRYIADLVIRAAPLSTGLATDVHGQLSVVNKCVFSEQRPKAQRIWNFHFRVKENGADFFYAGSRH >OB03G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8525845:8534635:-1 gene:OB03G23060 transcript:OB03G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCEAGTDEPSQKDVKRVGNGIVENGHSLKAEEEKWGDGTGEDLPDGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCSYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPHLSGIGLLNKITSHKICKDIPVIMMSSNDSMSTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKVDDEYENNSGSNHDGDADDNDEDDDDFSVGLNARDGSDNGSGTQSSWTKRAVEIDSPQQMSPDQPSDPADSTCAQVIHPKSEICSNRWLPTANKRSGKKQKENNDDSMGKYLEIGAPRNCSVEYQSSPNEMSVNPTEIQHETLMPQIKSKNKTMREKDSRNTQNEPTTQTVDLISSIARNTEDKQVGRITNAPDCTSKVPDGNDKSRDSLIDMTSEELGLKRLKTAGSANEINDERNILKRSDLSAFTRYHTTVASNQGGAGYGGSCSPQDNSSEALKTDSNCKVKSNSDAAAIKQGSNGSSNNNDMGSSTKNVITKPSSNRGKVILPSAVKATQHTSAFHPVQRQSSPANVIGKDKVDEGIANAVNVGHPGDVQHSFMQHHHHVHYYVHVMTQQQQQPSIEHGLSDAQCGSSNVFDPPIEGHAANYSMNGSISGGHNGSNGQGGASTAPNVGRPNMESANGTMDENGAGGGNGSGSGSGNDMYQSGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRVRGQFVRQSGQDDQAGQEEDR >OB03G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8551123:8551794:-1 gene:OB03G23070 transcript:OB03G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLRTTLPTAKPLPAFQAVAPAVSLRPRRLPLRRSTIRAAITRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQLQGIRDSLPETCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERLEETNDFVGAVFEGKFYAPGDFKALETMPSRAEVYAKLLGALQGPAISVVTTLQAPARDVVSVLSAYVRKLEQEDGAA >OB03G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8552614:8557129:-1 gene:OB03G23080 transcript:OB03G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolase family protein / HAD-superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45740) TAIR;Acc:AT3G45740] MRGFRAALARASARSRAELQAAPRRHPSDLAHRLSHSGAAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGSLKIPFLFLTNGGGVPEHKRALELSEILGVNISPTQVVHGHSPYRELVNRFENDLIIAVGKGEPAAVLAEYGFRKVLSIDEYSSYFREIDPLAPFKKWIVPQADNQNLMSEKVHPSYDVFEERVKGVLIVSDPVDWGRDLQVLCDILSTGGLPGSGRRDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQVNDHQLKYVSYGKPNPFVFKNAANILEKLAICMHPSSPPAKEVEGHRFSTIYMIGDNPKVDINGALKAGPPWSPVLTRTGVFRGKENDSQYPADLVVDTVEDAINCILEKECIL >OB03G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8557446:8560479:1 gene:OB03G23090 transcript:OB03G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37240) TAIR;Acc:AT2G37240] GPVPQVATSTSSPRPSATPLAASGLLGARGPCGGSLLYRRQPKRRLRFPVAVAAAKPDAVEGAAEAAASPVDGLAKSLRGVEVFDLSGKAVPIVDLWKDRKAVVAFARHFGCVLCRKRADLLAAKQDAMEAAGVALVLIGPGTVEQAKAFYNQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSAGLKIVQLYMEGYRQDWELSFQKTTRTKGGWYQGGLLVAGPGIDNISYIHKDKEAGDDPDMKDVLKACCS >OB03G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8561595:8562902:1 gene:OB03G23100 transcript:OB03G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein [Source:Projected from Arabidopsis thaliana (AT5G02820) TAIR;Acc:AT5G02820] MSEKKRRAGAAAGSSASKKPRVSSATSYAESLRTKLRPDASILATLRSLASACSKSKPAGSSSSASKALAEDDPAASYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRASSNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >OB03G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8563797:8571481:-1 gene:OB03G23110 transcript:OB03G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02830) TAIR;Acc:AT5G02830] MALAMTSTSPQPPPPSHRRRRRRRVLQPGAPSPRSSCRPSPSPSPKARAALPLLSDVGRDPTAIPPPKYSSRVASNLAGAGRLREFLLAAEGLRAASGDVGFEGRISRRLLSRGVAAALCDRGLPHVLEFLRDADRVGIRAAVMLDADAYDTVAAACRLLLGECSMTEFVEAVEALAQCGFFVQGIVDPMDVLKIFVKKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKELKHALTVFGALKDQFGGLNMFACRSIIDICGYCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQNLGVPPDLTSYNILLKTCCNAKEYKLAQEIYEEIKKKERDGLLKLDVFTYSTMMKVFADAKMWKLASNIKEDMQTADVRLNLVTWSSLINAYANSGLVDCAIEILEEMTRDGCQPTAPCFNIILTGCVNSCQYDRAFRLFHDWKEYGVRISLSPEQKGCFGDNFTFCEEYTSNSSTMLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMNIYGSSQNRDGAIQALRRMQRVGMKLNVTAYTVAIKACVENKGLKLALHLFEEMKAQQLKPNLVTYRTLLTARNKYGSLQEVQQCLAIYQEMRKAGYQANDYYLKNLIVEWCEGVLSSGNGNRELYQLDQRKESFKLVLEKVTTFLQKDVDQNQTVDVRGLSKVEARIVVLSVLRKIKEKYILGRAVQDDVVIITGNGKASSTKAETSVVDVEHAIVAVLTDELGLEVLIGPGSRPASSKPTVPARSRSHLDQASKHFSRRPQGMIKIPINSLNHWLKRKAVRTVQ >OB03G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8571291:8571821:1 gene:OB03G23120 transcript:OB03G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSRPTSERSGSAALALGLGLGLGLQLERGLGAPGCRTRRRRRRRWEGGGGCGEVEVMANAMAAWWDWRDGGEPFEWRRRRVSFWLSDIFRSDRNPTVREGARNLIVLCHHGFSFFRYFEFGLRHSTIVEGGYVGLLFSFISYYLKIIFFFISCMIVLREVLVDGNYDSVKVYL >OB03G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8572875:8575597:1 gene:OB03G23130 transcript:OB03G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLGSGRFLARRPALAPAPRCSRGSPEKGSGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPTFTLVGAIIIVLTLLIYTLVVPAK >OB03G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8578559:8579272:-1 gene:OB03G23140 transcript:OB03G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIYHHTPSQVTLPKFQSAPEFTDSMNITQVRSPKGPEIQTESRTISTDLLNYTCTVHTHPDGPTHPPASGRPAGPPPLQHHPSQSQSRLFFQLEPTGAAARVSPPETTPNSRFFRVQSSDGLFFTAPLLPPRTRSTTGNHAAAAAAFPELLAVLLLREEAPEQAGEDARFDLAAARQWPPRVVLPPHSRACGCCCCCCCHCCGEMMLLPAAERGTRTNKEQACVCCWSLLFVRGV >OB03G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8578706:8578864:1 gene:OB03G23150 transcript:OB03G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQYDTRRPLPRRGQVKAGIFASLFRCLFPEKKDGEKLREGGGGSRVVPRG >OB03G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8580893:8581883:-1 gene:OB03G23160 transcript:OB03G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATATAAIGRRGWRLVDLARSLLLLHFGDCVFVGGEAINATEARHGRTEPKALAVGAGDLLISEVLSRLPVKSIMRFRSVCCRHLELSHASPAAMTPPVLVVHTRQEVDPDGCATTEDMIGFHRVRPEQADLDAGERQLTRWWRSAAKDLVSFHCVRPGQAPPPPPPVRYGE >OB03G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8599602:8603284:1 gene:OB03G23170 transcript:OB03G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPVVSAEYQEAVEKARQKLCALITEKSCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKTPAEQAHAANAGLDIAVRMLEPIKEEIPTISYADLYQLAGVVAVEVSGGPAVPFHPGREDKPSPPPEGRLPDATKGSDHLRQVFSAQMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGYADA >OB03G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8605372:8608083:-1 gene:OB03G23180 transcript:OB03G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) TAIR;Acc:AT3G45640] MDGAPVAEFRPTLTHGGRYLLYDIFGNQFEITNKYQPPIMPIGRGAYGIVCSVMNVETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIVGIRDVIPPPIPHAFNDVYIATELMDTDLHHIIRSSQELSEEHCQYFLYQILRGLKFIHSANVIHRDLKPSNLLLNSNCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDEELGFIRNEDARKYMRHLPQYPHRTFASMFPQVQPAALDLIERMLTFNPLQRITVEEALEHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >OB03G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8611470:8611622:1 gene:OB03G23190 transcript:OB03G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVFFFVGMSSVLEKILPLCLCSRKKMNFTLFFLRCHINIYGYTFEALNVV >OB03G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8612352:8613850:-1 gene:OB03G23200 transcript:OB03G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSTKDTLLLPLMYGPYVGEIGHETCIALPARVGASDRRRRQAAADAGGGGERVHHAAVASGIRRGRARAACTGCSSGARPLFACLHASSSAADRLAVACVKHECASTKPTKMDGAIQTVYPRKNWSSMVLYNCGHPKSTQTDAFLHRFAWHDDDEIGEIPFAWHFLVGHNKVNPSTQPKAIHYTSGGPWFERYKNCDFTELWIKEAEELKADKEKEKQQQLIKANGGGEEEKKKEGNLPLATAAHCARSPPSLTSAAAGPRLLPLVPTLAGSALERGRRERGRGREKRE >OB03G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8617033:8619720:1 gene:OB03G23210 transcript:OB03G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:J3LMP5] MDASGKGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRYAVRHCLLANPGVKIENLKSAMSHPQALAQCENTLTDFGIEHREAVDDTAGAAKIVAERQLQDTGAIASLLAAELYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYVDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDMNEA >OB03G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8627429:8631275:1 gene:OB03G23220 transcript:OB03G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGITIELAKKDSTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVIGVILYSESKKRNKP >OB03G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8632860:8633293:-1 gene:OB03G23230 transcript:OB03G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASCSIRYSPNYVADLSYNAKLGQAAAAHGTGGAYLQGHFSYPAAAQGGMLAANGMMPVYPYYQYHYHGAQGLGVPAAHFFPPVSAAAVTTVPAIISKPTVMAPPKGK >OB03G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8649740:8653849:1 gene:OB03G23240 transcript:OB03G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45620) TAIR;Acc:AT3G45620] MTKVKKENTEYKVKKKKHVMRGRNPRNILREGTSSSPAKPHGNPLSLSRFPPPALSAAGNCTTAGSTMDIASEAAARRAARASCFFEVGRREIGSYTPRASSRRISGSESLMMRMHQYGKLRGHDGCVNTVSFNPAGNLLVSGSDDMDIILWDWLAKSKRLVYPSGHQENVFHARVMPFTDDNTIVTVAADGQVRVGQLKEGDEVTTKQIGAHDDRVHRLAIEPGSPCIFYTCGEDGLVQHFDLRNDSPTKLFTCYSFSNSRRRVRLNTIATDPWNPNYLSIGGSDEYVRLYDMRRIQLGASSNMNQPLDTFCPKHLIMTGKVHITGIAYSYAREILVSYNDEHVYLFQNNIGLGPNPESAQAEFLDRLDQPQVYKGHRNFRTVKGVSFFGPNDEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPAANKVTSLPKNAKQIIASNERGRQIDASRPELTLSSDLIMHVLRLQRRRSDLYREHEPADADLPSDDDESFFIGFDDANRNQRSNSDPRECIVT >OB03G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8657106:8657858:-1 gene:OB03G23250 transcript:OB03G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family [Source:Projected from Arabidopsis thaliana (AT2G38905) TAIR;Acc:AT2G38905] MASATFLEVLLAIFLPPVGVFLRYGCGVEFWIDLLLTVLGYIPGIVYAVYVLVA >OB03G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8661561:8665290:-1 gene:OB03G23260 transcript:OB03G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKEFRIVMPMSMEEYEIGLSYTILKMEQQNTNGKEGVELLQQVPFEDEKLGKGQFTSKDYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAVREVEIVDIASQSRDYWSKVISAPNVDLTAFKSQTTSRGPLLKGWMDSCSPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTSDQIREMERQTDLLLKKTLKKPAKAGSKLDGKRKTLKEEIAAVGSCT >OB03G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8672133:8672828:-1 gene:OB03G23270 transcript:OB03G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGNTAAKVTVARAQDYGRSVSPPSRVSSCSPPPPPVGALMAGDDTSTTVVLSPCAACKVLRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPEGARADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKVQLARAQADLLNAQAQHANLVALVCVELANHRRGDQQLYQAPPPSSSHHPAEYGVGASFGAAAYQPLYDSDMDSAAWDEAQLWA >OB03G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8686894:8692066:1 gene:OB03G23280 transcript:OB03G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNNSSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTRNRHQEFKDVFEVSEEPQEVITKERSHHFPKGLPSLKRSALRLKRLMPSKSPYGDETFDNNVVCRDGFDHLNSLEINNPLFEKRPHDVNYSSKHQYEKDTASSFRKYPVGLGNTSLKDIRNPSRERHGDFNSIVVLEPGLGEFQDSGKAFSTPDPSHANKNLRREMKQAEFSMVNRERVSPNILDTEDFNVSSIKGERYLTSNAVDSLLKEQESSFDHYNTLDTSSTGSFQKCVSGEVNSRQSNRSSSNSFPRKNRQKYAEGSVGSKTLAEMFALSDSERLKRDSDSLTQIRHNKVNRGNSNGKEGCFIVLPKHAPRLHPHSSLEKNSPHSNFCSNTSVTYNSGQSHFDSFWDRPRLQQIGSPSQDNLRNACVKHQTLEQQRSASPSHDNRNHSRRLTDKFSTFDCINEKILFTTDEDLVKKSAENVHSSFGSRLSEEEKVSASPFNCCDFESISISDRTYAAKSRKSLKEVEQPSPVSILQPPTDEDSCCSGYFKNDLQDMPNVEKLVDDCELRYEQEVSLSSDDDSGSSYQSLVAFQVEEERDFSYLLDILISSGMVVTDSQLLCKSWHLPGFPVGPYVFDRLERKYNKISTWPRPERRLLFDLANSVLSEILAPCKSRRLFCPVLGPEGPVEVVWQTMVRQQQELVVGHPDDKVLDPEWLEVGEDINMVGKQIAKMLHGDLLDEIILEFLTGCVAS >OB03G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8698543:8702878:1 gene:OB03G23290 transcript:OB03G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3LMQ3] MPATTQLLRTTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAALGDTFDLRFFDQLRQIRTFGAWPVAMMSQNERKVVVHGPACSSSSVAGWFAMDLSNATSPGAGAGEARPLELDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSVKFVQQVVLEDYSKVRGIPSDCSEVMAKPRTVYQQLSTQACTPEATCNKLIRACASVHGHVRTMRD >OB03G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8698255:8698894:-1 gene:OB03G23300 transcript:OB03G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALHCLSRRKIGRAFFFSSFGRFGWVPDLPELVEEAEVERVAKGGEEDDAGEAVVLDVAERDVALVVALLARGGVGGGEVVLVRQVAVHEPRGAKQLRGGRHVRGGLHHHPQKRRRHQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLPPRRPPTSSPTRTTARTP >OB03G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8710909:8713019:-1 gene:OB03G23310 transcript:OB03G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLALSRRLLAAAAALLVLASLASRSGAEVITLTEETFSDKIKEKDTIWFVQFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVLDEAEKAGEANLQDN >OB03G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8710909:8712226:1 gene:OB03G23320 transcript:OB03G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQKIGSHCREIYGLDLSRELELTDPIFIVNFHGRLEMRLKLAFPLNSSAESFPQQTKITFIFCNFFAFIKHLECWV >OB03G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8715486:8716934:1 gene:OB03G23330 transcript:OB03G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAGGGCSLCSSSQSSSSPCHLELPELSNCDKMSCSCGSSCGCGSNCKCGKMYPDLEEKSTSAQATVVLGVAREKARFEAAAESGETAHGCGCGSNCKCNPCNC >OB03G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8727349:8732165:1 gene:OB03G23340 transcript:OB03G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWHEELATLVGDNGVRFPGAGGESAANVAAVGGGWYRGGEEEEGEGEGREVEEGWAQQARGFVESTAEMLRLLGRGLWDIAAQSLAGAEDSELARRLRGPAAAAGKRLSFMNEYLPEDRDPVRCWVVVAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHIAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGINPSLLEEFGARLVTYDLPGFGESDPHPGRNLNSSAHDMLHLAGALGIVDKFWVVGYSAGSIHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEERRKTWERWSTKRKLMHILARRFPALLPLFYHQSFLSGKQGQPESWLSLSLGKKDKTLLEGPMFNAFWEKDVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFSQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPSEVASQLTEETTVPDKVKEEEQEISGLA >OB03G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8727616:8727847:-1 gene:OB03G23350 transcript:OB03G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQSLTHTQGKEDVGGERSAAITDERDERDGGNDDPAPDGVAVLREVLVHEAEALPRGCGRAPEPTRELTVLRAGEAL >OB03G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8734265:8736245:1 gene:OB03G23360 transcript:OB03G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQKADPVEPAAKIARQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGTYKPKKLSLEDELKALQQKLDINNYDYKKLPRPNEK >OB03G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8736477:8741684:1 gene:OB03G23370 transcript:OB03G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G52260) TAIR;Acc:AT3G52260] MAAATTGEIPAEEAPPPRAPCSFGRPWPEFNEGISYTDTFRCADAGATMTLIEFYSTTYKSSAPLPGWIKRIRNGQITVDGEVVTDPDMTLRQGSKLIYHRLPWQEPFAPHLLGVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQMKDWKIPSSFCSKQKDVQSHPVPVHRLGRGTSGLLLCAKTKLAKARLAAYFAEGTTNAGKSRDETDMCKVRKISKFYRALVTGILENDEVMITQPIGLVHYPGVAEGLYAACSSGKPAMSKVSVLERLEHRNQTLVQVEIHSGRPHQIRIHLACIGHPLVDDPLYGIGGQPKFDELESSSTDDSFAYDGGYERPLQPVPGDCGYHLHAHWLVLCHPTTNEMIKITAPLPQILQTREEQQDTAKQVGG >OB03G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8744586:8747710:1 gene:OB03G23380 transcript:OB03G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MEGSPATRLPEADSLPDGFVESSAADQAPSPAEAGDSPSHSLGPDQAAATVGRVGGGDETLGAPSTPDSVAAEERDALDVYSAADALQSLTVGSASEPGRALGEPAGDAGAVPVADAKESSKESRVVEQEESLADQKGSGEQKRKVVKKSKVEKDRELFELAQAYHKVVAERDAAIAVKEKLESLCREFQRQNKMLKEECRRVSTDGQNMRMELSDKFNDAIKDVSVKLEEQKNDCIAQLEENNLLRSKLKDLADQYNVTQQKYAHQLKEKMLELELADLKMQQHQEKAAHEQTQMQLYADQVSQLMATEKNLRLQLAADGERFQQFQDALTKSNEVFETYKQEMEKMVKLIKDLKKENEFLKGKCENSDVALVKLIEERELMKKQLDKFKNQKEKLESLCRSLQAERKQGPSGSTPDVTSNQTILASIES >OB03G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8748050:8750483:-1 gene:OB03G23390 transcript:OB03G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT5G03080) TAIR;Acc:AT5G03080] MAEFQEMAAEVPPSLKAITLTHVRYRRGDKLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGICFAAGLLVSQFLNELIKHSVAQSRPSYCELLEACDSHGWPSSHSQYTFFFATYLSLLTLRRSPARRVVAALAWPLAFLTMLSRVYLGYHTVAQVFAGAIVGLVFGAIWYWIVNTMLVEYFPMIEESAIARWLYIKDTSHIPDVLKFEYDNARAARRKVATD >OB03G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8755746:8760090:1 gene:OB03G23400 transcript:OB03G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Cytochrome B561-related, N-terminal (InterPro:IPR019176); Has 215 Blast hits to 213 proteins in 79 species: Archae - 0; Bacteria - 6; Metazoa - 131; Fungi - 22; Plants - 42; Viruses - 0; Other Eukaryotes - 14 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G07970) TAIR;Acc:AT1G07970] MESQQGGSPPGGGGKARDKFSVYRNPSLTQALASRSVRPSLPVLVLLALPTVASASSILVLSSWEGYLVKVAGRVGLSMAAAVLVFRLFEGALGLVALLTLQAFFRALMLYNGKRALAKEEKVVLSERQLGLLGLKTTGSEAGGTGEKTKKPPKAKPSTPSEPIVPIRKSSFSYTPSRPVQARIGSSHLSPGGERLATSLQMSPSTPLQKPVSSPSTPWSRKSSGSAKGIQTETMLEQFLAGLDENIDKITDSASKISTPPATITSFGVASPVSVTTSTTASGAARSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVDAFENLGVYPEIEQWRDNLRQWFSSVLVKPLVQKIKTSHIQVKQTTASVGASVTVSQVGSDLPSTTAPVGLSPLSGTKDWQPTVTIDEDGIINQLRTALLHSRDAPVAQTFGSPQQPHLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVQRVQELGEGTCLKNYDYMGHGDGCGKLEKKWISELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQNPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNILSVLDSDMES >OB03G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8760481:8763606:1 gene:OB03G23410 transcript:OB03G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFAGKRRSVAAPAKITAPKPKSIAAARTKTTRKSPPAPPQPRPRRAFGTVRSSNPHTEKPPPLQKPSKVSPPPPQKPVKVWPPPAQKPSKVSPPPRQKSAKVSPPPVPKPSKLSPSNPVKATKPSRQAAKPLKKAAPGPKLDPKPRKKSQRVTFQEDAVTAVAAASGEKAKISTEDTAGHTPMVSMKAPEKKWKDVSAETPFFSAQNCSNCTLDPLEESTYWLSHIHLAESVGKHRVAAAFFHLAFDCQAQPIHRIQSELRNYTVRHVSASTLAPLFDELLLAHGGMPVNQPKFDTDGFEVVDTPLVTNVDDNRLDTTTIQVDEECLARNCGGDIVHVAVPIITKPLEEGLGQPSFEQKLDDSFEFDDCEAVIVDRLAGEHSDLEKIVDAIDPCDSETMQSACRSSIDKLSLKGSPAVIGLSQRQRSSDSPLDKLSPSAARSLSANRLSSVSPDEKKSPVGSRASKRLTSSCPSSKKSFYSKGLSSKWMSSGSNHDGEHNGSAGTGALNEVIPYVEFDCPALVDQLELKENGDNDAVNEVCLTVLFTLRGVLQK >OB03G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8765891:8771906:1 gene:OB03G23420 transcript:OB03G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LMR6] MDGNARGGHSEALKNYNLGRTLGIGSFGKVKIAEHKHTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRSRLQNEATVAYYLLLDNRFRATSGYLGADYQESLERNFNHFASSESASSNARHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQELNVCWKKNGQYNMKCKWCVGYPQATDMLDVNHSFVGDSIIMDNGDVNGRLPAVIKFEIQLYKTRDDKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >OB03G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8776403:8777173:1 gene:OB03G23430 transcript:OB03G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:J3LMR7] MAKEFPVPPVVFTPSTPTHRRHPPPGTGASPPPAFAPPRPSTSSGANPLPFMSFDVNSAATSSSPPLFAGPIGVGASGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMGFVMWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVVF >OB03G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8784314:8792730:1 gene:OB03G23440 transcript:OB03G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:J3LMR8] MGTYKCCIFFTRRFALSDASTPGDVRALFTHHAVGAPYMGADELRRYLTANGDEDADIDMAEQIVDRILQERSRTPRFGRPTLTVDDFHHFLFSEDLNPPICHSKEVYHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQVGVRVIELDMWPNSSKDDVNILHGRTLTAPVSLIKCLKSIKEYAFVASPYPVIITLEDHLTSNLQEKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVILSTKPPKEYLESKVGSMKDKDIESQFTKGENEEAAWGIEVPDIQDEMQSAVKQHENDTLYTQRDVEEDDEKKTCQHHPLEYKHLITIKAGKPKGATVDALKGDPDKVRRLSLSEQELAKVAARHGRNIVRFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGHGRSLWLMHGFFKANGGCGYVKKPDFMMQTCPDGNVFNPKADLPVKKTLKVKVYMGEGWQSDFKQTYFDTYSPPDFYAKVGIAGVPSDSVMKKTRAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSDDDFGGQAALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRIGDAILRPAPGAAVNRPHQRRGLNLKYRVDKGHGPWSVYASSEAGTMRPEWERARAAVRGSAHAPLFVGDEDMGAVTLLKKWVHFGYIGLWTGQSFTKMNDRFLEDVSAAADGEGKDARLLVACGEGLRSLIAVRMLYDDGYRNVAWLAGGFSKCVDGDFADVEGESKLQYATVGGVSYIFLQILLLLRVVK >OB03G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8803702:8804625:-1 gene:OB03G23450 transcript:OB03G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFNGGAGWPEPVVRVQAVSDSCGATIPERYVKAASERPSLVAAPGGCMNNNIPVVDMSMPDGEETTRAVAAACREWGFFQAVNHGVRPELLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRHGQGRPPRLGRLLLPPPPPAGAQEPRQVALPSSHPTGDDGGVRGGGGAAVPEGDEGAVDGARAGRREAPGGVRRGRRRGRVPEGQLLPEVPAAGADARRGVALRPRRHDHAARR >OB03G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8825912:8828709:-1 gene:OB03G23460 transcript:OB03G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRDVVVVLGLAAAAARADSCDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPERLYNSLDNMTKKLRFTMPFPMLAFPLYLFARSPGKTGSHFNPSSSLFQPNEKKDVLTSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYMMFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPEKSAPLPFHLLGVLAKSLKSDRYVSDTGDVVYYQTDLKTSSSVQSSD >OB03G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8837795:8838241:-1 gene:OB03G23470 transcript:OB03G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKLFEGPSDVQKPRMKSTQEILTKYKFGGDAAAAAAHAKDKLNERGEKLARISQESAELQSESENFASLARQIAKSMENKRWWKP >OB03G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8839831:8847212:-1 gene:OB03G23480 transcript:OB03G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT3G51830) TAIR;Acc:AT3G51830] MATDADDAPLLAEEPLRPGACSRELELREFRDRYVIRSIDGGGAFAVSRSNGSLSRLSAEEAAAGSDCKVSKIYGVVGMIRLLAGNYVLVITSRKDAGSYQGSPVYNVNSMKFLCCNEAMKHLTAQEKRDEAYFMSLLRIAETTHGLYYSYDRDLTLNLQRASKLPARRVHKPLWKQADPRFIWNKNLLEEFIESKLDEFIIPLVQGSFRTVQFTLKEAPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIQHEEMPKVVQRHFHDLSQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQALYNQIEEAIQKQGYFLINTKGEILLEQNGVVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSISQSEDISDAFKKIWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYAVSKSISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQAVGRNAQHFITSIICAGLTAGVVALVKANGKQFCSKPRLCGLI >OB03G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8851955:8853617:1 gene:OB03G23490 transcript:OB03G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCKNGAGFLAAAVSSTVLLLFLDAFSLPPASALAVGLARHRRKDALSCDVFSGSWVRDDGSTGAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADFLTRMKGKTVMFVGDSLGRNQWESLVCLLHAAAPQSPAQLVSVDPLYTYKFLDYGLVVSFYRAPYLVDIDVAQGKKVLRLDDISENGEAWRGADVLSFNSGHWWTHTGALQGWDYMGADGQYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWASPVSKNCYGETAPAAGVNATAPPLATSGQDRVIQATLRSMKSQVRLLDITALSALRKDAHPSVYSGDLSPAQRASGGGAXXXDCSHWCLPGLPDTWNQLFYALLFYR >OB03G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8865019:8867751:1 gene:OB03G23500 transcript:OB03G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G01360) TAIR;Acc:AT5G01360] MVQLSAIKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKATENQREKKRTSLRTEIRTSALLSVEPETAAPPPVPKMAFNASRCSVTNGYWTYDRSKKLPYTDQTCPYVDRQDSCQSNGRPDTDYLYWDWHLDDCILPRFDPVSMLEKLRGKRIMFVGDSLLLGQWLSFVCLVNSAVPDTPDAKSMERSRTLSVYTVKEYNATVEFYWAPFLVESNSDRNISLGASGRVLHVDAIEGHAKHWRRADILVFDSYVWWMTGYSIKTVWGSFGDEGYEELDAWVAYRLGLKTWANWVDSNIDHTATRVFFMSISTTHMRSEDWGREGGIRCYNETWPITQRGYFGSGSDRRMMEVMSGVLGRMRVPITLLNITQLTEHRVDAHVSVYTETGGLLVTDEQKADPQQYTDCIHWCVPGVPDTWNQLLYAHL >OB03G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8868316:8871956:-1 gene:OB03G23510 transcript:OB03G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGAADWSQAKRARVLSCSRRLKHRGPDWSGLYQCEGNFLAQQRLAVVSPLSGDQPLYNEDRTIIVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFSFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVKFEIFPPGHLYSSAAGGLRRWYKPQWFAENVPAMPYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMNMDPEWKMYDPNLGRIEKWVMRKAFDDEEQPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPINKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASANHTNGNGNHANANGKANGHCAMVANGNGTNGVAVANGTANGEMEA >OB03G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8903856:8908594:1 gene:OB03G23520 transcript:OB03G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARKNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHVLQESVGAGHLAVSSPAKRGEEVIVRVLDAPGSMALAANAGSSSNSTMEVAKTNANANADAGGKVDEGQEKDRDVTLPSVKEGGADEARRREDEEAAEKEASAKAAAATAALRTVVNVPDTCDLYHGNWVYDEVNAPVYKEAQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDMPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGQKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSIAKHAHNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWIDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTLRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKKYADCIHWCLPGLPDTWNQFLYARIASAPWSDQ >OB03G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8905774:8906358:-1 gene:OB03G23530 transcript:OB03G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVMWLGDMLMKKTVVRLGSMLRSIHFAHVLSTSLYATGRSTSTYSVAPWSNDPFLSRPHTHIFSPRSAHNQLLFMHICCQGNHLQDFHVEGVEPPDVGVEDEVVDAEPVVRVLGDGVPLDDAVRDAVHLRVVRVRLHQERRPVELHRRVVLLHAHTPAALRDRIHITPHHIGATSWSCYVRVRRRCCCCRR >OB03G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8923208:8924548:1 gene:OB03G23540 transcript:OB03G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRHVAKVALGGDPKVAFFGVFDGHGGKSAAEFAAENMPKFMAEEISKVEGGDCGETERAVRRCYLKTDEEFLKREESGGACCVTALVQEGDLVVSNAGDCRAVLSRAGKAESLTSDHRASREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVVSDPETTTLSVDSQCEFLILASDGLWDKVENQEAVDIARPLCIINDKASRMTACRRLVETAVTRGSTDDVSIVIIQLQQFSR >OB03G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8929934:8932892:1 gene:OB03G23550 transcript:OB03G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G01340) TAIR;Acc:AT5G01340] MCHKPSCDSTAVRESVRIWVAVRVHKAAPHLLGFSSSRSGGSNHENNPKPASCATPAKVAASQMAAAAAASSSSSSSSAFPSSSSRPSMAPPPPLAPTAGSPAEDRRGGRAPVPPYVKAAAGSLGGVMEACCLQPIDVIKTRLQLDRTGAYRGIAHCGTTVVRSEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPRTGKVSAQGRLASGFGAGVLEALLIVTPFEVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGLFGLWAGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMVSGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYTEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPVHL >OB03G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8942441:8944929:1 gene:OB03G23560 transcript:OB03G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKCVGKGAFGTVHVAVDRATGRAFAVKSVEAKSAPAAAAMACLESEIRILRRLSSPYVVEYLGDDGNGATTRNLHMELVLGGSAAEAAVATGGLGEGGARGILRRVAAALRYLHDVAGVVHGDVKGRNVLVGCDGGGAKLADFGAARLISDTAVPRGPRGTPAWVQKLAEARLMTSLTSDRYTTRVLEASAYPHTEANTDPWNMGTDSMVVLQINGYMMVVVLQVADVASKGYRSPG >OB03G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8945247:8948271:-1 gene:OB03G23570 transcript:OB03G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRPEEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEEVLLDLKAKLYRFDKEGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKETVEEIAEQQGKNEEKENEDVSSTAGLVEKLSVTETKKEENAEKEETPAEEDKDAKE >OB03G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8954235:8959648:1 gene:OB03G23580 transcript:OB03G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVRSLLVASLVLSSIALHVAAARSLDPYKVLGVDKSASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGGPKTSYFTSDDGWQTMGGQGNTKTFSFSFGGNPGAGGGNPFGFDLGDVFSNLFSGGSMGGSQHTGSAGKARPGAKSSSHDSSSVNIQEVTMQIFNKEIADQGITWLLLFYTPNTRGQSVLESVVEDVARSLDGALKAGKVNCDHEKALCKKAGVSIGKSARLFVYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFSSNIILNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQNVSHPLLKRLGVRNIPALIGRSINGEEQLLKDGISVKDLRSGIKELKSLLENFEKKNKKLGSNQAKKPTHTNQPKENKIPLLTASNFEEICGEKTSVCIIGIFKSNKAKEKLETVLSEISQKTLIRGQNYNSGNAVVYTLLDGNKQSAFLSTFDKSAFKSSDTLLAYKPRRGRYFVYNNEVTMEEAERFKASEFRLTDCACDGTFVENLPLQQANLCRPLMHTFGPVQEPSGSKQSSPLPDSRMASRSLSPLSSASKRCRCGQADERKMASTIFSSSPSKVTTAKLGGGSVTRSSSPYTQLTFCSRHHFQKAATTALALHKPPPELSLLLPARSKHARTCPAADNDRAAPAAAQEAPNPLPPAAPGADGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSSGAGCWTRSGRLTARRRSGRGRGCPRRRATCSTTASAACPRKGGRSRPCRSAPTCSSSSPPSRSSPPSCSAPRTSCGSSAASTSTST >OB03G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8958298:8959592:-1 gene:OB03G23590 transcript:OB03G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVMSVKEATMTSTSVPNGRASNVHPSLGRPRLLSSNMLLGASGSRDPDRFFFAPSRKKHQERRGEGRNREAKTDKGRSRRVEAYFGSSNLGGRGAEDGGSHLPLVCLATATRRFDAEDNGDRLREAIRESGRGDDCLDPDGSWTGPNVCISGLHKFKPAGGAGSQRMYHHTHSPLV >OB03G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8962171:8968291:-1 gene:OB03G23600 transcript:OB03G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFWLLAGVLLLVASAGDSVVAGRPAGCPSRCGDVRIPYPFGIGAGCFRSEGFEIICNTSKKGKSGVVVPTIAATRQAIQVQKLSVYPRPEVKVMLPVAFQCYNSSGGVTRNFDGEVEFNRMGVYRISDERNMLVVLGCNTVAWTQHGNSEGIGLYINLYYAGCVTYCSDSHSAKDGKCAGIGCCHVDIPPELTDNNVTFEIWPRGEQVEFSPCDYAFLVAKNEYRFQRADLKMKLKQTMPVWLDWAIRDANASSCPPPEAQKTTAGYACVSANSECVNSTNGPGYYCRCSNGYHGNPYHSDGCQDINECDPSNKDKYPCYGVCKNIIGDYECRCPTGYQPSGRGPKNDECSPKFPVAARLALGITLGFSFLIVVVFFTLMMLQKKRMNGYFKRNGGSVLQKVENIVIFSKDEIKRILKNNSEVLGQGGYGKVYKGRLKDNTPVAVKTSIELNEDRREDFINEVTIQSQMIHNNIIKLLGCCLEVDAPMLVYEFAANGSLKDILHGDANRLVPLTLDLRLDIAIGSAEGLRYMHSSLSNTIRHGDVKPANILLTDKFIAKISDFGTSKLLNVDREFTMVVVGSMGYIDPVFYLTGHLTQKSDVYSFGVVLLELISRRPTIYGKNCSLIVDFQETYDQMNSGRSLFDKDIATMEEDVLILEEIGMLAMECMKEKIEERPDMKEVVERLVILRRSWKLRQETNHVSPLPYLEKNSIEEFHESFGDDSTTSNAALMMPSNLAAKNSITYKSTTEREREEI >OB03G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8980988:8984473:1 gene:OB03G23610 transcript:OB03G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MDPDGGGSPAVPTPSASTAGAPDAGAAAVEEGGGKQVLVVLVGPPGSGKSTFAEAILGGSGAGRHWARVCQDTIGNGKAGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFLKLGSHVHVDVHAVVLDLPAKVCISRSVNRRGHEGNLQGGMAAMVVNRMLKKKETPLLTEGFSRIMFCKDDNEIKKAVDMYNALGPSDCLDPGIFGQTTKGPIQVGIMKFLKKPESSEKSSGPKVTPSESMPQMQNHFSKQKTLEAGGTCPVEGENNKKKIEEQSRESIPSDISSRTLAFPSISTADFQFDLDRASDIIVDAVSIVLQKYDNIRLVLVDLSQRSRILSLVKDKAAKKNINSSRFLTFVGDITQLHSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLHRATKECADTLRPGSSVTVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFESFVAIAHNSCIEKQNTEPVLEKPVTGVTSPTDLKMKRGCSYDSERTKKHKLVQPNIPSNQAREGDNKRSGVTSTKTWGSWAQALYELAMHPENYKNSDSILEISDDFVVLNDLYPKAKRHVLIVSRRDGLDSLADVKKEHLPLLRTIHSAGVKWAQKFLEGDSSLVFRLGYHSVPSMRQLHLHIISQDFNSSSLKNKKHWNSFTTTFFLDSVDVIEEINQHGSANISSDDRVLAMELRCHRCRSAHPNIPKLKSHIANCKSSFPYHLLQKDRLLSSSTMHMDCS >OB03G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8985732:8989124:-1 gene:OB03G23620 transcript:OB03G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGARDPAILVKIIRVPIKIPKNLRENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLEDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRLSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSELAKRVSKNSTAFENYKRIFVPEGQPLESEPNEPLRVNVLFKHIQKMLNSDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGAQDKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTEAIGTALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >OB03G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:8998525:9001033:1 gene:OB03G23630 transcript:OB03G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: inflorescence meristem, petal, leaf whorl, flower; EXPRESSED DURING: 4 anthesis, petal differentiation and expansion s /.../BEST Arabidopsis thaliana protein match is: Tetratricopeptide repeat (TPR)-like superfamily protein (TAIR:AT4G18570.1); Has 288 Blast hits to 260 proteins in 50 species: Archae - 0; Bacteria - 8; Metazoa - 27; Fungi - 15; Plants - 163; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G07120) TAIR;Acc:AT1G07120] MMREGDACVALLRSKLHGLVERNRSLEEENKQLKHQISRLKGQVSSLEGQDTDRKILWKKLDNFSNGNSYLKEKQFVHNNDSKEAMDLNSTSCYSRQQFSRAPLVRSRAPRVPNPPPSPTCIQPTVNTRKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSASMGIPVATNSREMIGEIENRSAYVLAIKSDVENQSEFINFLAMEVQSAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERVRDSASGRYKDLKIPWEWMLDSGIISQLKMASLKLAQEYMNRIVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFNEGCRKAFQELKMYASKSD >OB03G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9002104:9012667:1 gene:OB03G23640 transcript:OB03G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAASSGGISGQLFVSIKLECPRLDEFGLVPHVFGSHPVAGSWDPSKALPMEQEEAAVWGLSCVVPYHHEALDFKLLLKPKDNSSQCIVEEGPDRSLVCENNEVEARSALFKVNDETGVVECKVFLETEMLSPFDLAASWKTHQEYIQPKVRGAHDVTMNAEFESRTKNGFASGLELDLEKYLVPTPNMGSGVVYAANLTENPRSLLTTGISSNNDTTKGILHNSIKGDASTNLYASANKGADGRHVPPQEEQRTIFVDRGVGSPKFARPTNETISMSNTKISSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGVHQTADFFRGDNKEGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRSRRNMLMKMAEGKCKVIFLETICNDQDVIERNMRSKVQRSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVDEGSYIKMIDMVSGSGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRARIGGDSSLSETGLLYSRKLASFIEKRLASERTASIWTSTLQRSILTAHPIIGFPKIQWRALDEINAGICDGMTYEEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLEELPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAVNPTAGI >OB03G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9014100:9014309:-1 gene:OB03G23650 transcript:OB03G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVAFLTLTASPTSLICFSMLRSDYIAASRLVLLPSCVNPTSNTVFPIDISKMCMLGCVNKAKIHRCQ >OB03G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9016198:9016876:1 gene:OB03G23660 transcript:OB03G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSKPSPSPPPQQQRAGGWWSDGGTTALIDAWGPLYVARNRGPLPVKAWRAAASAVNVHRAAAGYRFNRTRAQCQTRLRTLKERYKRELSKPPPSGWRHFSRLRAFLAGPDGPPPGFPAKTLASSVKMEKGEEEEEKCQQEVIGSGSAGPLLGRWTVPTRPRNGAAACCPAEVVTKLAEVYERVELSRLDGEKEKMAVEREKTVLPLHDAVKVKEEKLEMDT >OB03G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9019380:9020521:1 gene:OB03G23670 transcript:OB03G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRARRWPQLWIGSQEHTSGWSRRSRRRRHGWRSGAWRPCAISRSSACGFSSTSPSPPPPLPPTPPPPPGGAPXXXXXXXXXXXXXPPPPATATAASSS >OB03G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9021756:9024990:-1 gene:OB03G23680 transcript:OB03G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSHDGQIRTVAEGNLEQFQEQNFPQFLQTLSAELSNDEKPPESRRLAGILLKNSLDAKDSSRKEEFVQRWINVDPAIKSQVKGSLLMTLGSLVFEARSSSSQVIAKVAAIEIPHQGWPELIVNLLSNMTKPEGLPCLKQATLDALGYICEEISPEDLEQDQVNAVLTAVVQGMNHTENSSDVRLAAVKAMYNALDFAETNFLNDLERNYIMKVVCETAMCKEADIRKAAFECLVSVASIYYDLLEPYMQTLFELTSNAARVDEEPVALQAVEFWSTICDEEIARQEESQESGVVSSSCHFRFIEKALPSLVPMLLETLMKQEEDQDQDDGIWNISMSGGTCLGLVARAVQDAIVPLVMPFIEGNITKPDWRSREAATFAFGSILEGPSVEKLTPLVHAGFDFLLNATKDQNNHVRDTTAWTLSRIFEFLHSPTSGSSVVTNANLPHVIEILLTSIKDSPNVSEKICGALYFLAQGYEDAGSMSSVLTPCLGEIISALLATADRSDSNNSRLCASAYETLNEIVRCSCISETLNMIVQLLQEILKRLNQTFEIQITSSDDKEKQSDLQALLCGVAQVILQKFSSCDEKSAILHFADQMMVLFLRVFSCNSSNVHEEAMLAIGALAYATGSEFLKYMPEFHKYLEMGLQNFGAYQVCCVSVGVVGDICHALDNKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTMPMLQGAAGLCFHMDVSDDDSREYRNELNRSIFEAYSGILQGFKNSKSELMVPYAGDIFQFVELVLKDNLLRDDSVTKAGVAMVGDLADALGPNIKLLFKDSNFHSELLGQCCQSEDEQLRETASWVQGVIRRVLVS >OB03G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9030627:9034438:1 gene:OB03G23690 transcript:OB03G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAPCTPTTPLLECPRAAVFAGESPGVDPVCPCRRPPPPPPTPPAPRFTRNTSNADQMSEEDGNGETNDLWFVIGEEEVACERSCIAALSKPLSTLLYGGFAEAQRDRIDFTRDGITPRGMRAVAAYSRHGRLDDFPPDTILELLAFSNKFCCEGLKSACDNTLANMVTGVEDALSFVDLGLEEAANLLVSTCLQAFLRELPKSLSNPDVARLLCSPEGRERLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCECAEQHWQKQLALHQLGCVMLARGEFKDAQGWFEDAIAEGHMYSLAGVARSKYKRGHKYSAYKMMNGIMGDYEPAGWMYQERSLYCVGKEKMADLRIATELDPTLSYPYKYRAVALLEEDMIESALAEISKVLGFKLVTDCLELRAWFYLAFEDYEAAVRDIRAILTLDPSYMMFHGKMHGEQLIEILRGYVQQWDMADCWMQLYDRWSEVDDIGSLAVVQQMLSREPGNSSLRFRQSLLLLRLNCQKAAMRSLRFARNCSAHEHERLVYEGWILYDTGHREEALAKAEESVKIQRSFEAFFLKAYALGDSSLDTESALSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARSDLNMATLLDPTRTYPYRYRAAVLMDENKEDEAIGELSQAIAFKADLQLLHLRAAFFDSMGDNANALRDCEAALCLDPTHGDTLELYSKASTKAEAQS >OB03G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9035113:9038279:-1 gene:OB03G23700 transcript:OB03G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPRRNKLPVLVFLVAVSWPLCSAGTDGFRVGEEGFGSNEDSSGTRNFRKLLQIGGGGVNQAAPAPLPHGQAPSASGPVSAPSPSPWVSAPESSPSPSPSPSSKITAHRSPPRRHPLTTPPQLVRPKPTTRQAEHDHSVETKGHSWFKRSWTTYGLVAAGIAAFLIISVAGAFYFRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGSTSSCTLYKGTLSSGVEIAVASSLVTSADDWSKECESQYRKKITSLSKVSHKNFMNLLGYCEEAQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWNGAKEPNPTYSNSNSSSDLENTVRKYGIVLLEMLTGRVPDSEDGPLERWASRYFNGEIRLAELIDPSIGSFSEEAACSLCEVVRSCIDPDPKRRPPMSEVAARMREITALGPDRASPKVSPLWWAELEIMSSES >OB03G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9044036:9047752:1 gene:OB03G23710 transcript:OB03G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGDPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVKHCVFNLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPVSE >OB03G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9051089:9053937:-1 gene:OB03G23720 transcript:OB03G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHVRSDDSLPTCADSAFAAGEPTSAQAAPKKWQRLGRNFAGAIAAFKNSLNLDNGGLPRDPSPRAVGERPPLLVRGFQQLYSRGGATQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQTAGEDQPAVSIEEVPGSNGRGGATEGTVFQLTFACNAPLSWQSMSGSLDSPSFCCKRIQIFEKRGLTLGVVLIIVQSGNEALFKNRVDAALKSAMKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNDQTHRPQLPTPLPQSSVFVSIDEWQTIRSGGEELGRWMLSSEEIEFIDWVGPSSFKGVYRGRKVWVNKMRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQKSKRLSLRDILRIALDVAEGLAFMNSYAIAYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSESWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWENNPLKRPQFSEIISILQKQNIR >OB03G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9060486:9071736:1 gene:OB03G23730 transcript:OB03G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSSSKYAKHRRIGEDEEEEAEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAESVPSFENLYVVKRELKHGKRVYCMEYHFTKSAKGKHSYWDDDTHSMQLSFGVNEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGGNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVNFSMKLSYRTPEYDYDNEETLVSEANEPIAENEVVNHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADSWLLHPIVSPYMIDDSIGKFVGFASQLQLLVKAFESSAEAQFLEDFVADTSGQENSKSTITVPPPSVVDRVMKDLFNDEVGNSNYVDAENKYGRAMKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKTTSKIDLSTCLIHQKLHMLAICIERKKSLSREKSTDHAHEDGISNNEAQNKTRKGSAGVVPSMMLLNTFQEMHVPYTQDALLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDKAEGYSGWPPKGRLSQRMSENGNMWRKIWNDAPALPVSEQRSMLDPIREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLHATLASTLKSVQGKSDISDLSGDLKRICQVFEHIEKLLILAASVHRKLADAPRLAQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVAMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >OB03G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9070192:9074915:-1 gene:OB03G23740 transcript:OB03G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLLVALVSAAAVVAGALLPPRAEAAGKVSLALYYESLCPYCSRFIVNHLAGIFEDGLIDAVDLRLVPYGNAHVGANNTISCQHGGDECLLNTVEACAIDAWPDLRVHFRFIYCVESLVVDGKRSEWESCFAKLNLDAKPVTDCYKSERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYVCKAYKGHPPKVCEGLARPPTPTVLELAEGGNRVSYYDSGDIRLKPDEDDHANIKKVLPDGDDDA >OB03G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9075911:9076634:-1 gene:OB03G23750 transcript:OB03G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQRPLRRWNPFLAAFSSVDDAIEAADPGLLSRDEFRRARGRVVEMLRGAEDDAEAEELCLVLDEMMAESLLTLQIVPVTPERLATTDLAEVLGAMRKHESERIRGLATDIVRAWRATVKSDIVRMRSALERIPQSPKRVETGPNLEAKVKQGSSAPKKAIPMAAATSIRRRPQRRRHPREVLQLLAVLESRRTTRAQEQSRRNRRIRRRICRLASAAWATAKMASSPTSVTPRSWRH >OB03G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9077183:9079125:-1 gene:OB03G23760 transcript:OB03G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G28470) TAIR;Acc:AT3G28470] MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMQSIGTLAIRPPPTAGVASYVPASQAAPPAFTAYHDAPYFAALPQQQVVTKVEADAPVSPEQKPHQLNWSDFLADDATGAALAGHADAPQAALGQYQEGPAAAATGIVGGRAFGDVDGASGAVDDGAGAASAFIDAILDCDKEMGVDQLIAEMLADPAYYGGGGGGSSSSELGWGC >OB03G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9081820:9082940:1 gene:OB03G23770 transcript:OB03G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQEEAMAQGKERGGEDDAGGESGFLSTVASKIGAAMSGGAEEDGEGNGDGNVAAASGGGEEQRKRDGDGGGGGIFGKILPTESMAQGKEHGNGEVDGGGVEPGDGSGFLTTVASKIGAAMSGSNGSGDAEKDDGGGEHNGDGNVPAAPGGEEEGKRDDNGGGGIFVETEEERNEVDEQGQQAGILGAVASKIGVAMSGANGHAKHGVGNEDDARMSNGDAADHSTAEEKGDGQNGGGIMKQLISNLPTDDQAPDAEEASLLIAIIDD >OB03G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9083845:9086469:-1 gene:OB03G23780 transcript:OB03G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPSAAAAGADGASASQAAVVEPIRMPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALDNPITTEEMTARQQIVYTAKQMGRRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNTAVAGCVTGGALAVKGGPKATCVGCVGFATFSVMIEKFFDRHT >OB03G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9086264:9086500:1 gene:OB03G23790 transcript:OB03G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTTLRTAQLFQMSCPLISSGVGIRIGSTTAAWLAEAPSAPAAAADGSGEAILHYHSLALLRDGGASSGQVAARRV >OB03G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9086859:9090442:1 gene:OB03G23800 transcript:OB03G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVYPAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLGVDLEPLYQRIGWPLYRKFGHAFEAFKLIVADPDAILDALTYEEKETGPDGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKHKGKLIVKEAPRAVSEREDKLFNEHIETLNEQNAEVDGDDDSEEEEDTGMGDIDLTNSGVNAD >OB03G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9095430:9098159:1 gene:OB03G23810 transcript:OB03G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G58480) TAIR;Acc:AT5G58480] MRPSCGRHPLPPPLLLLLLHALTPPPAAAPVGVNWGFSSSHPFPAAQVVRGLLLPNSVPRVRLAAASPDALAALSGTGVAVTVGVPNELLRPLATSRKAAAAWVHDNVNRYASGVRFEYVAVGDESFLLNYGQQNQSFLVTAAANIQRALVDAKLSSKMKVVVPCSSDLYQNTSTLPSKAYFRPEVNETIAELLSFLANHSSPFMVELNPFLSFQHKKNLSLDYYLFQLMSHPVSDGHIKYDNYFDASIDALITALTKAGFSNMDIIVGGVGWPSDGAVNATPVIAQSFMTGLVNHLARKSGTPLRPKVLPNETYLFSLLDEDQRSIASGSYERHYGIFTFDGQAKYHVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACFNADCTALSPGGSCSGIGWPGNVSYAFNSYYQQHDQSEEGCNFNGLGLITTVDPSVDNCLFTLAIDTSTASSFHPILAILQILILYFYTYNVL >OB03G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9102042:9102548:1 gene:OB03G23820 transcript:OB03G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1278) [Source:Projected from Arabidopsis thaliana (AT1G76750) TAIR;Acc:AT1G76750] MACLGRFLPVLPFLLLAVITTTIAGVAADARPATAQVPGLGLARRLANGEGAQQQCWEVLMEIKSCTGEIILFFLNGEAYLGPGCCRAIRVIQQSCWATDAMLSVIGFTPEEGDILKGYCDDEGGAGGQQHEPSQPHAAVTLDCVAACEIAAAAVPVPGGRKSLPLHH >OB03G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9104521:9107831:1 gene:OB03G23830 transcript:OB03G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 27 (InterPro:IPR018939); Has 138 Blast hits to 13 /.../eins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 32; Fungi - 62; Plants - 33; Viruses - 0; Other Eukaryotes - 11 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40316) TAIR;Acc:AT2G40316] MIFNFDPPVCLNCEDCGGPLRCGTQCSALVSNNRRGYDVCTTIGRVSKSHISLIDELNPQKGVVVKMFSSKCSISVYIFCDTTVAQVSTEFVLSGSCDYATTLRHPSGCARSMAASGNGWGWLAISFVTIFCLLGGYILSGAVYRYYFLGIHSVEAIPNLEFWISLPQTIKSMLLPSARSARGRNRQIEDPYAPVDH >OB03G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9110695:9113789:1 gene:OB03G23840 transcript:OB03G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLSAAAAEGQGEPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAAVAGGGGVMALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSDRVGPNNPAAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVFRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMATNEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFTSSSIGDVCRTIVKRDGYVGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >OB03G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9116460:9117719:-1 gene:OB03G23850 transcript:OB03G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKLGGYWLGQRANKEISSAGDDIDSLSTSVGEGAKWLVNKLKGKMQKPLQELLREHDLPEGLFPREATNYDFAPETRRLTVHIPAPCEVGYRDGSVLRFDSTVSATLDKGRLAEVEGLKTKVLVWARVTAVKADAAKVHFTAGIKKSRSRDAYEVVRGGIIVEEF >OB03G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9118350:9120504:1 gene:OB03G23860 transcript:OB03G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENSNQELKSELKDLYINNAVQMDVAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGVLEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPTTENA >OB03G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9120679:9124395:-1 gene:OB03G23870 transcript:OB03G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;transferases;[acyl-carrier-protein] S-malonyltransferases;binding [Source:Projected from Arabidopsis thaliana (AT2G30200) TAIR;Acc:AT2G30200] MLRRPRPPPLRLRLGSPAPTMASTLAFLRPSAPSPLAASRGAARGGPSAXXXXXXRSRGVSAGVSLGSEVAVGSDALFADYKPTTAFLFPGQGAQTVGMGAEAVNVPAAAKLFDKANDILGYDLLDLCTNGPKGKLDSTVISQPAIYVTSLAAVEVLRAREGGQNVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQELCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAETEIKTPRIPVISNVDATPHSDPDTIKKILGRQITSPVQWESTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENVGA >OB03G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9136019:9136453:-1 gene:OB03G23880 transcript:OB03G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSSEGVGPSPLQRIKHDGRRTKLDGGGSSPAAGGGGGGGGGGFILDLIRYFLSLRSRLLLSLRRSPLSPPSNSLDDGGRSTAEQRQAALSGGAMAGGGSSSEGVGPSPLQRIKHDGRRTKLDGGGSSPAAVDRGRLAED >OB03G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9143465:9145187:-1 gene:OB03G23890 transcript:OB03G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGCAAEAAVAAAGVAGTSFRVVGGEHRLKNYLSVTTVHPSPSAPTSATIVVESYVVDVPAGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGVRAGAGALSGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGVRAGA >OB03G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9145036:9145557:-1 gene:OB03G23900 transcript:OB03G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRWLKIISLCQAILCQAIRVQRRNMRPRQGRTGKALSTCCPEFAGARAPPAPRVRQRLAAPAASPLRAPTLAIAPRSAARSLQAPPRSRHASIRHLRRTSRSARRPPPHPLNPSPRSRSGGRSVEPPPIRLLHLLIYQNRYDSVAVKFDSFIRDYLFVTTKSISPKFDVFI >OB03G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9145153:9145575:1 gene:OB03G23910 transcript:OB03G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQANWRRLDRAASRSRSRRRIERVRRRPAGGARGAAQVTDRGVATAWRSLERASGRSRRDGEGRSSERAGGRGGQALTDSRGGWSSGTGELGAAGAQGFSCPSLTRTHVPPLDADGLAKDGLAKADDFEPPRMHARLVT >OB03G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9154230:9157912:1 gene:OB03G23920 transcript:OB03G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLLPRRRHHHRLLLPPPSSSSSRRLHLTRLAIAVVVNPTAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPPPAAAAAAVKILRRLQCYSAASSSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGSPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVLLSMKIYGVVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLGMEFYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDCLSDVDDEFKF >OB03G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9159812:9162399:1 gene:OB03G23930 transcript:OB03G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inflorescence meristem receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G51740) TAIR;Acc:AT3G51740] MDVCGSRNRCGGGSRGGFVNVVMVMVAVAVVVVMTAVPVGGQSPDGVVIAQADLQGLQAIRQALVDPRGFLRGWNGTGLDACSGSWAGVKCVRGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQLPASLGFLQELRGLYLFNNRFAGAVPPQLGGCALLQTLDLSGNFLSGAIPASLANATKLFRLNLAYNNLSGAVPSSLASLPFLMSLQLSNNNLSGEVPATIGNLRMLHELSLSNNLISGSIPDGIGSLSKLQSLDLSGNLLSGIIPVSLCNITSLVELKLDGNDIGGHIPDAIDGLKNLTQLSLRRNVLDGEIPATVGNISALSLLDVSENNLTGGIPESLSRLTNLSSFNVSYNNLSGPVPIALSSKFNSSSFVGNIQLCGYNGSAICTSISSPATTASPPLPLSQRPTRKLNKRELIFAVGGICLLFLLLFCCVLLFWRKDKQESESPKKGAKDAADKATGKTGGGGAGSGGGAGGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMENGTFVAVKRLREKIAKNQKEFEPEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLTSFLHARAPDSPASWPTRMNISMGVARGLHHLHAEANIVHGNLTSNNILLDEGNDAKIADCGLSRLMNATANSNVIAAAGALGYRAPELSKLKKANAKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAAAETGEELVKTLKLALHCVDPSPAARPEAQQVLRQLEQIKPSVAVSASSSFTGEPSQTTATATTITDDTKSTITE >OB03G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9166005:9168845:1 gene:OB03G23940 transcript:OB03G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMTTTTTTTRLICCFSVAALLLLCFLLPAAVAEERFYEFVVQETLVKRLCKTQRIITVNGQFPGPTIEVFDGDEVSIRAVNMARYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFPIQGQEGTLWWHAHSSWLRATVHGALIIHPRRAVPYPFPKPHSEFPIILAEWWRRDPIAVLRQSMITGAPPNVSDTILINGQPGDFLECSAQETSIIPVVAGETTLLRIINAAMNTELFVSLAGHKMTVVAADAMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAILQYKEGAGCPSTTGAGAAAGAGAGVGAGANSFNGQVGSGANPFNGQTGSVANTFNGPLGRSQFSGGHPARAGPAPMLPFLPAFNDTNTATAFSNSIRSPAPVKVPGPVTQEVFTTVGFGLFNCMPGPFCQGPNNTRFAASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPLPPVFFDFTSQNVPRALWQPGKGTKLYRVKYGAVLKIVSQDTGIFAAEDLPMHIHGYHFYVLSTGFGNDEPVRDANKLNLDDPPSRNTIGVPVGGWAVARFVADNPGVWLGHCHIDAHLTGGLAMALLVEDGESELEATMAPPLDLPLCIL >OB03G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9174916:9175455:1 gene:OB03G23950 transcript:OB03G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVVRIVHLNGHIEEYARPVTAGEVIAAHPSHVLSRPCSQGGARRILIVSPESELKRGCFYFLVPASSVPEKKKRKPSSSTPCPRPQQKKAPRPRPPAPAPKAVPAGDATGAAKAAASDGGDSYLAEVLSESKATSCKRRRSVRATVWRPHLQIIAEEDASE >OB03G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9177974:9178753:-1 gene:OB03G23960 transcript:OB03G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVLLHRPVPLHPPPLCIIAQPPPPPRCIIAPPPPPHRYIIAQPERCIIPQPPPLPRCFITQPPAPPPRSSLSPSSRSFQPSCTMVRWNPPPLGWFKLNFDGSVYQDGSGKASIGGAIRDPDGRVVMSFGETTDHSTVGVVEARALIRGLRLAVSCCIKRLVVEGDDLVLVKLLRGEDTQTRIPGAMHEEIIGLLGCFAEVDVRHIYREGNQVAHVLCRQAYQCPGVWTGAFLSPAVAEKVDEDRRGVAHERLCKPRA >OB03G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9183252:9186444:1 gene:OB03G23970 transcript:OB03G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LMX1] MEFDRYQEYRYLLAITALAFAYSLAQALRHALRMRRGVDPVPAASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFFAFVALALSAMVSGYKLSKQTYM >OB03G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9190614:9194632:1 gene:OB03G23980 transcript:OB03G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OB03G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9198297:9200362:-1 gene:OB03G23990 transcript:OB03G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLVGQMYRSGYGVNKNEHKIFIGSGMTFLLYRLKFGWRKHHDTDLQSGKLAANAQYLWSVKDTMLVTQIQMILRKQANKHMLLERVI >OB03G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9204945:9212426:-1 gene:OB03G24000 transcript:OB03G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRHKVANEYSLGGRDLYRRADQHDPEALLDGVAMAGLVGALRQLGDLAEFAAQVFHGLSDEVMTVSARGHGLMLRVQQLEAELPLVEKDSCHADYLYIASNRGIYWHSNLRLDNGVVTKGDTPRFIMDSIKQCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPILQNSEIFRPPNAANNDSKLETDLSGKALDKVPTGRRQLKYRQLNGSLSQSFRQQVQNLYRETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKSREDNYVMHGNNRSIPEEALSRSSDARSTGRSKGYNSEVDIYVDALTTMDSEVETDTENRDHGHVFEPVESSKSCSDVHVVVVSGSISFRNNGSTVPNSEDVVPAKEEKDDHHQEYVCIPSPQAKPVSGEHERRSSLEELFAQERPVYCEHERTSSVEELLVGDVHASEPNMRTSATESNTNGSVSSAATNDALGTIKKEKDNLSIAAISFKKTASKQSKYVGGMELIASKVGILPRKLSKKQDLFSDSLRNMAKQLLELKINSTEETELYEFEANGDGCDMKCLEISRPPIKIMENAMQTFPSDSPQDNIDSRKCKAEEVNQEYDHDVPPSDSPQDSVDGHAFQDIALLSSQEEQQCAGAVTDNKLLDHTPEHTQDKIGEHLYREVTEGIYTEVVPENVSDIGEELKEGSISEGNVNEEDAEESNESDIYALDEETEYIEGQVVSDDLVSSPISSNQSDDPCQITPLALSDADDTVAGKSADNDISGMHITLSGTITESDVSTVVVESVTTNDVAMHHNEQWCLHPETTLPQDLTPVNNCEVVGQNEPLPLCSSSMVGGTPDLSVDSEEMHENPNMCNDNSTNLFRDALAPDSKDVPLPNISSFDWMLNGVMQKSLNVLPAKTHIEILHENYSSEDTEDAPPPLPPLPPMQWRATKLQRGSAPLSAKFGKPPRPKPPVKCQENESYSSQDKRNQDLQEVSLQNGLTPVTLEEEMVVATVSNEVQKNTITGGDSEESHLKRLNEYDVQVSNPFSASEYKSVGVPSVEGDSLETSQLSELIVIPEETWSELVDVKSIPGQEKGAKHQLSTGVFDCYGMHANSLSTENRDQYKGYDQKKKEFSAEESNTIADSLEKKPNGVTPDTQNPDFSVQKEDREYGSYGTARELSSSEEAVAKLSPHRVPEPPKYPQLQVTSHDRSMLKKAPTLVPSIKLLDEKSTIWGQIKNKSFNLKPVLAKRPNVMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSE >OB03G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9214176:9219325:-1 gene:OB03G24010 transcript:OB03G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQDFDFYGKRMRVQYAKTKSDCLLTEDGSSAPKEKRKKQEEKAEKKRRAEEAQQSGPNAAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRIIEAKPGIAFVEYEDDSQSMVAMQALHGFKITPYNPMAVSYAKK >OB03G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9235377:9236394:1 gene:OB03G24020 transcript:OB03G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAALELLPDKAHQSSMAPSLHGWDAANGAPTPMPRRLEGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDEAAGEALAAALGPHVGFVRVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCISPFGVATPMLINAWRQGHDASTADDADADIDLDIAVPSDEEVEKMEEVVRGLATLKGPTLRPRDIAEAALFLASDDSRYISGHNLVVDGGVTTSRNLIGL >OB03G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9248131:9248826:-1 gene:OB03G24030 transcript:OB03G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDLFLSLDFVSIGLLGSARFLDFVPRTAGAGGRSEPKRGSRQELANHKQGAAAAREASASSAELAELDGAREPDERDANFLVLRLYEALNFGDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADRGKARFLFVPRSVEAFGSTVIAEGTDDSRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSASAAKNTAISSSAAPAPAKPKCLWQSRRADSAHKSLPGLVLAI >OB03G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9260103:9262323:-1 gene:OB03G24040 transcript:OB03G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDARGVGGEAHEIVEVVGEPSTPSSTMRLMDFIPIYIPTVERGALSQSVRKRRFLDFLRAHPSRDWFLRSTFVGRLRRRGQAASGEDDEAGVSDGGRRPRRRFRVPFVRKIKWGKLWSYAVSWCRKPENFAMIIWLAFVAAGLLMLFMLMTGMLDGAIPDDERRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGAGGDREEVRKVYCKDGAPRPRDRAHMLVVVALLHATCLAQYFCCALFWSYARTDRPDWALNIGYGLGTGCPVIAGLYAAYGPLGRKQHQHDDPEAESSAEVRTANFYDVEDDRFVFHGARNEDGRAVLVPLPREASTATTHSRSLSCPPKIDAVAALNGENPLDLQMAAINMERVLGLDLFRPDKVLGDGKGLLRIGLQQNEP >OB03G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9278276:9281516:1 gene:OB03G24050 transcript:OB03G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33680) TAIR;Acc:AT4G33680] MATTPAAAAAAGTVSSFASPSSFSSVKASKTDRLRPARRAAVRVRCVSSPPATDTSFKTKVPRNANMAKLQAGYLFPEIARRRTAHLLKYPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMRCSPENGFFPDLSSVPQTDIIFFCSPNNPTGAAATQDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGGDNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKELYK >OB03G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9283643:9284989:-1 gene:OB03G24060 transcript:OB03G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAEQVVGERRMRQIQRFARNAKLTVVCLLLTVVVLRGTVGAGKFGTPQQDLIELRHRFISHPHRALAEHHDALSRGSSSSSSSGRAAERDDEPDPPPRSLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEIEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDHNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKFLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLGSRKVKRIRNETSNPLQVKDELGLLHPAFKAMKTTTT >OB03G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9289020:9293534:-1 gene:OB03G24070 transcript:OB03G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNADKLRGLTITSLDEEDDELELPHQSPSAIGGVGVGAGYDDEDDDDDEEAPEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNMPSGNSSCCGFCGEPLQFVLQVYAPIEDNAAAFHRTLFMFMCPSMACLLRDQHDQWKHRQGNPCRSGCSAKVFRCQLPRSNAFYSIEPPKHNGSDKPLCPGAPVCHWCGTWKGDKICGSCKKARYCSEKHQTLHWRSGHKSDCLQLISSSEASSSVLPSLGRVPASKYWPEYEIAIDYEGAFDSDSCDEGNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERISRAPKQVLRYCRESNAKPLWALSSGCPSNADIPSCSYCKGPMCYEFQIMPQLLYYFGVKNEPDSLDWATVVVYTCQGSCNQSVSYMEEFAWVQLYPTTTTRP >OB03G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9293709:9299815:1 gene:OB03G24080 transcript:OB03G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G11240) TAIR;Acc:AT5G11240] MAPAAATIRDLLTSFSPAADFLALSSGDGRIKVWDAVRGHLQTEFADIPPVEVGALPGAKRGHLALDYTCMKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRISDCHPGGVTAVTYSKHGRTVYTAGTDGMVCKIDASDGSVVDKFRSSSKAISALAVSPDGHILATAAGQLRTFDASHNKKIQKFSGHPVAVRCMIFSDDSQYVMSSGVGERYVAIWKLGSSKTQSSSCILSMEHPAIFVDCKCSETNATEGKIHVLAISEIGVCYFWSGTNMDDLRNKKPTKIVLSDSAVSRSKQGYAIFAAKLQGIDGPDSSHVLLAYGSVVKPSFDKLLVRYGMDISLSVSDDGVLLPMIQPSLSQKGQSAKKQGIVTALGRANAEDAILPLPQLHMQDKKRKHGATESSGDIKSVIHSDLGTATKLIEKRAPVQRTEDDTVCIEDMMRKCGIIDSRVDQSMEGHPSIPTNILSDLLGNDSKIDANLPNKKIRSHLRSLKPGDACKLLEKLVSSWKTRSGNAEVNLRWIYCLLITHGRYIPFEKSTKIISNLEKMCVERYKAAEDLLKLSGRLRLLMAKIDRDPNGSEPPSEEMPDSAAAQSDEEEDEIDETVYGEDEDSSRTSDDDAE >OB03G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9300951:9301616:1 gene:OB03G24090 transcript:OB03G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSWTHEIESPVAAPRLFRAAVMDWHTLAPKIASHIVASAHPVDGADGSVGSVRQFNFTSAMPFSHMKERLDFLDADKLECKSTLVEGGGVGKAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEIIKAKESLTAIFKTAEAYLIANPDAYN >OB03G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9303640:9306346:-1 gene:OB03G24100 transcript:OB03G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKSGSGNDKGDGELSTSVKSIKSFCQPVDYRETCESTLEASAGNASNPTDLAKAIFKATSEKIEQAGRGATVLNDLKNDQRTSGALKDCKELLDYAIDDLKTTFDKLGGFEMSNFKHAVDDLKTWLSSALTYQETCLDGFENATSTDASEKMKNALKSSQELTENILALVDQFGDTLANLDLPSFSRRLLGDDGLPGWISDAKRRLLQASPGAPEFKPDVTVAADGSGDFKTINEALAKVPIKATATYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTPNKTGPPSTGSGSGNDKGDGELSTSVKSIKSFCQPVDYRETCESTLEASAGNASNPTDLAKAIFKATSEKIEQAVRESTVLNDLKNDQRTSGALKDCKELLDYAIDDLKTTFDKLGGFEMSNFKHAVDDLKTWLSSALTYQETCLDGFENATSTDASEKMKNALKSSQELTENILALVDQFGDTLANLDLPSFSRRLLGDDGLPGWISDAKRRLLQASPGAPEFKPDVTVAADGSGDFKTINEALAKVPIKATATYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGAKNHQAVALRVQSDQSVFYQCQFDGYQDTLYTHTSRQYYRECTITGTIDFIFGNAQVVFQNCLIQARRCMDNQQNIVTAQGRKEKHSAGGTVIHNCTIEPHADFKADAAKFRTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLNTCYYAEVENRGAGADMSKRAKWRGVKTVTYQQAQQKYTVERFIQGQQWIPKYGVPFIPGLLPQEQSGRIH >OB03G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9311076:9323597:-1 gene:OB03G24110 transcript:OB03G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSGKASAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLGIRRNASATDVRAAYRRLAMKWHPDRCTSDQGEANRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSSDGGSXXXGVGGGVPSDGTRRTRVAPYPPQQRR >OB03G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9327682:9328382:-1 gene:OB03G24120 transcript:OB03G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLDRITEHPLLTQQLDGQQGRLEELSKQIALAAALVDDVKKEQAAAARARALGAPADSGGYLRLAKLKFPTFSGTFPRLWITKCASYFEFYAMPTELWVPWASMHMEGRAQLWMWTYEKRRGRDWDQFCQAVGADDHRRKILALMHLKQEGSMMTVREYRDRFEECMYHVMLFDPSMGMSSIFSVGMFVNGLREDIRAVVLPHSPATVTDAADLALLQEEACDQAMQRA >OB03G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9338077:9338694:-1 gene:OB03G24130 transcript:OB03G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCTTEWTAATEPLRYSSSSVIAMWTSEGSQTLPVALPQSAALRNAGARRKARPLAPPAIWPGKRTWLSNCDDLMASAGGKDCSDGDSTTITMAAEKNPDTRAAHSIALTLPQRCHRFLPPPPRLGFVHPKTPCRRAMASSNGSAAARLYPHSQQETDREHGGRSSGGGLPSPQLHRLALPGLVDRRVEWGRSEGESMRREGAR >OB03G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9338372:9339619:1 gene:OB03G24140 transcript:OB03G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LMY8] MLWAARVSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLAFRRAPAFRNAADCGNATGNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHAMCPESVFFHFLVSDPALGDLVRAVFPQLQFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTDRFWSEKQFAGTFAGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGRRRGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARSRQAVGAARRRAAVSARRALGAVRPVRPRRLRRGGVPVTATLKMILFLLSPTPYKF >OB03G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9345294:9345935:1 gene:OB03G24150 transcript:OB03G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDVMAHLCKRAIELHYLAITGRVANFTKKCIGTRTTSHFCQKSSDVRVTAYRTRQFVLERHMRLAGGCSEARMRQSHAEANTVCVRNPSLPTWT >OB03G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9353881:9356196:1 gene:OB03G24160 transcript:OB03G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAGPVPQAVVFGVLRTPTPTPAAAAPAPDPGCNGIQLTYNFESRTKIRPYVADRNKQPYSFKANATVLNSGTRPLKSWAMLVTFGYGEILVGVDGAVLTGGGEMPYNTTGDAGNATSFSGYPQTDLLTPIATAGDISRIQASVGIVGTLFAGPEPFGPRPPALSLDAPAYACPAATNVSSGILSTCCVLTPEAEANATVIDVNATDPTKNFLPRRTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPLEKDTSGCIYGPAGQYYKDLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDEKQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLAIATWQVVCNITTSKAAKPKCCVTFSAYYNDSVIPCNTCACGCPAKQGPTCSTTAQSMMLPPEALLVPFDNRTQKALAWAELKHYNVPRPKPCGDYCGVSINWHISTDYNNGWSARMTLFNWDNVDLANWFAAIVMDKAYDGFEKAYSFNSTTVGQNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFSKKLTPGINVVAGDGFPSKVFFNGDECSMPQRIPMSNSGFRTHLSSVLSLVLVLAASAFVLLHQ >OB03G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9366626:9368293:1 gene:OB03G24170 transcript:OB03G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50990) TAIR;Acc:AT5G50990] MSYTHCLRKYPVRAVFPYTNAILRASLEKGSPQKSLTDYNSMLRFTAFCPDYRTYVLLLKACAKCSNLYAAMEIHSRIVKLGLLSNQSITTHLFKLYIDHDRMTEACKLFWLTVEWNADPFYGNLMLTGFLKCGQIDKAYQIFKRMPVKDLVSWNSMIAGAARNSYLKDAMNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGVWVHQLMAELGLEMNHILSSALVDMYAKCGRIDVAIGIFKTIKRNHVSVWNTMIGGLAAHGLGSDAVLFFFEMESEGLVPDGVTFVALLTACSHCGMVEEARRYFQVMTTKYCITPKIEHYGAMVDTLSRAGLLDEAYDLVKSMNVKSDTVIWRALLSACCRYRQTKLGEITIKEIACQGSGDYTLLSSIYSSANRWEDSEEVWKERKKKRIRKSKGLSWVEIRGSTHEFKAGDRSHPDTDGIYQVLHRLSKKAKSVGYTPLTELVLKDVSEEEREENLTAHSEKLAVAYCILKTVPGTEIMVSKNLQTCTDCHEWMKIVSKVLSRVIIMRDRVRFHRFEGGCCSCKDYW >OB03G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9372438:9374193:1 gene:OB03G24180 transcript:OB03G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFLSPASSSCFSGGDFSSPPRAFPLEKLFVSAPSCVSEGRRVGNAGVLSSLPWVSPQENPLESPSSCVSDGRSGCYNSALGASAERQREVHEAERLLRSIAERYDDCFLRLRDATAEIADLRRERLRLGAENLHLSLLLEDLETEQRKQASAVASPKQAEEEAAQAGAPKSISIRSPGYLSLKQSQGQSKPQRLRVRASQATEDAAARDEKEEDDNGDGGGEVEVQAYRQGAAKTELCNKWERGACPYGERCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLPAAVSY >OB03G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9375973:9376389:-1 gene:OB03G24190 transcript:OB03G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGHTHHQPPGGGTPPPFLLPLPSAPLFQCRRKGRGILSESGKAARSLSAVAVRSEPPAEVFDGMGKCPAHRGAGRGGARLDWRSFEEAERPWWWWWLLRGGWVVGFRGMKDGYKGELFAATGGREERRESRKRR >OB03G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9376582:9379312:1 gene:OB03G24200 transcript:OB03G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELACPDAKRRRTFINSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTTSKRYKLQFQGNLPLTLFTGNRVEVENKQPLRIVLTDAVSNQTITSGPLSSMKVELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGIILLFNCIHEVVGVIVGSHCFTLNALTPTQKALVVKLQQDAYKFPDRIVEFKVQSQCSSQSSPTTTQSQTQVQIPGSENAQIMNLPQGVHSFPSGVRSQLGQSPRHLTKVLRHFRCVSGEPNSQDVLLNPLQYQPLNEALEDVLQTASGSHHHHHQGSSSSELPWTASFGAGGGFDVRDPFDVQFSGSQQCGLLLSSSGARL >OB03G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9381035:9384616:-1 gene:OB03G24210 transcript:OB03G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSRPSLRYGHAGFAKRGEDYFLVEPDCLRVPGEPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGIGRDDWLQALPRALVAGFVKTDIDFQRKGEASGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSSTPPSLSPKKSQNKLRSLLFGRRSHSSVGKLGDKSASFGSVEELFEEGSAMLGRNFPSKANPRCAICQVDQAQFEDLVADNGGGCCSAPSAQWSGPYLCSDCRKKKDAMEGKRSSRSTACR >OB03G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9391138:9391365:1 gene:OB03G24220 transcript:OB03G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSYTRHVYAWLLTEMNEVVVCTIQRAPVQNLSNRQDFRPIVAVIFQVSRKIGSQWFQLKSRSLASCISVCQIS >OB03G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9391538:9397305:-1 gene:OB03G24230 transcript:OB03G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPLLFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKASAGSVVVNTADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRSQSMNEGEMPYEEAGFSGDYHLDNGDTSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQHELVKAALKNGIDGTKSFSKSKVLVEVTPNSNEKKMDAIEVYSKHRQIKKETYGEVTLKQHSMLQQQSKHVEELKAGIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCSVGSIDDGNITIITPSKSGKEGRKTFSFNKVFGPAATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGVFIYDIAVQMIEIYNEQVRDLLVNDGLNKRLEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVISSLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQDSCELLSTQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERETIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYQRNNSRTKEDNEFDQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRENTNTRTPLHSQIPSASRKTSIGNRSGRQLLSGSDSRRLSSNGRHAGTK >OB03G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9407036:9407815:1 gene:OB03G24240 transcript:OB03G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) TAIR;Acc:AT1G47750] MVTAAGSPASNSSSSEARKPVVTRQSPPRPRRDFLLHVEAYLSRRDGVDSLQKISLYAARLALAVGPPPPLPAYAAARLRSFGSSVGLSRTALRLGKFVQSVNALRAHRRHGGGHVPPLLVLLAYGGQGVYFFLEQFAWLAKTGLLPAHLLPRLHRLGVWAQLPAHVGSIAIKLEEVAKLESSVETRRKEGFGEESEVVRALRGKLLVKRLSVVQDVADSVMTLGDVTGGKGLLGSSTFMASAGFLSALISAHRNWNSC >OB03G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9408374:9417172:1 gene:OB03G24250 transcript:OB03G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSGSKKSTTSSFFVRLPAHSESRSLVFQPVHVQVYKHDFGTKFTKTRKPPQELLKISRYAARLALAAGPLPPAASARLKPFESSVGLSRKAFRLGKFVQNVNALRAHPHPSTPLVLLAYGGEGVYYFLEQFVWLAKAGLLPKHLLPRLQLLSAWAELLGYVGSITIKLEEIAKLESSVKMRLKEGCGEKSEAVRTLRGKLLLKRMSVVQDVADAVMALGDVTDGTCLDQKAAVLELIVELNKLLICAGEGGEGSDEEYEEDEEDEGDETPRPRQPVKGHEDGRKGKADPAVVRSRRRKYEDDDDYLDELEEDAGVDEYDEDLEDEEAPRSKRVKKCGGRSMKGKLPPERSNCRRYEEDMDFDPDMDEGEEEEEEEDMDFDPEVEEEEEDFEDEEEDELEASKGRVKNMVRRQAALNQRRGKKKSSSKVSSWKVDSVKARKTSVRRRQRKRSTTDHYEVDDFIVEDEVTANRQPKKKARIRRQTEVDPATPVFEAETWPTVDSDTTDFDFVTSDEEAAADKLTRVTKKGRKKRLFLSDSSSDSEFIVSDKEMGDLKESEPPEYVKVVPSSPRKISGTGAGECKGKEKKEPQEAGKATCGICLSEEQRVTVQGILDCCSHFFCFACIMQWSKVESRCPLCKRRFTTITTSSKEDTVLELTKSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGGEGHPYHSPVNGNSMVFGATSPISTFEIQGIDLNVSPREISRRNHSVESQACTAGASTPSGRHANATNSRGRQLNDWIRNLLSAPRTTLRPDMHENGVQRSGYVPSTEPDHRNFCTPLESDISHNNGSVRQSQPNQNFHIMPEANTSETSFGRNAALSGRRQLFERFCMLLSGSSPTIRADLCHNASEHSGSMPRVEPNHMNFHAPPVVNSPQTLLDGIPNHGNGFSFTQAHSNLVDRNNFQETEGI >OB03G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9432117:9433009:1 gene:OB03G24260 transcript:OB03G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGILVEFSRGGTRYKPCNHVQGVYLLLIFCQNAEEFVHDETEGNCSSGQVIGKKRKLVRSFLTRLLLLPMLLLLSAANLA >OB03G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9437621:9437989:1 gene:OB03G24270 transcript:OB03G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase-promoting complex/cyclosome 11 [Source:Projected from Arabidopsis thaliana (AT3G05870) TAIR;Acc:AT3G05870] MWFSNISLVCPKFCLAQPFYACSSNITNDITRRVADTKMKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >OB03G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9437717:9437995:-1 gene:OB03G24280 transcript:OB03G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALKLPLPTAHWAKWCGCLRIHPLEYAVKMESVVAGAPDERAIIAGELTIRAAAVKCHPAYATGFILSVPCPRSHRMPLKNLDLHLGICYT >OB03G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9442972:9443701:1 gene:OB03G24290 transcript:OB03G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAKQKQKQQNASIGRRAWRLLRLAVLWARRGSAETPAFRFRTPSARVLRLIPCIAPAVADTPGFYGDEDRYFFCRRDTEPGCSGTGYYYNGEPSECGGEEDEIFRVGAAEEQLLELSMLEATAAAATEGAGGEDTGVDAKAEQFIAKFHAQMKLQRQISWLQYNEMMERSLR >OB03G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9448662:9452836:1 gene:OB03G24300 transcript:OB03G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGGAKVGGGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPEGAKLVKRGEETLPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSQSLKRSPEYSGSDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQLEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRVSDTRENIPASQRAVSRQLQSSRTTIELPDVRFSRGQQMNRSWNSSEEDIVIFRTSSDSYEINNPGVSKNNKGKSISLALQAKVNVQKREGLSSSGKNSGQKERDEFRTSQPFRSQSNGQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVTRGKSAPNKSVSSQQGRKMAGDCSTGKLKNGNKISKGGSRKDIIESISGDKEGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKSAARIQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRGNFSLDAINEDDSDKKSEGLSSGGLNFVNGDALSLLLEKKLKELASKIEPSINFTRGDTFVPATFSLEEPVVSSSSNWDMESGVFDCSPSEVKSSQYVDYCQSAQSSTKAQIFRGSQLQVEEPEECSSISNTRKEQENEDLSPLSVLEPTFLSESCWSSDCCSGSSNGSKGYSSSSEVKNLPRNFLINPPLVDTETKTTDSVSSSSIDASDTSASIDASDISDITQCSKKSRNSELEYIGDVLGNVNLTKGGLGSLFISQDDVSVLDPLVFDKLESMNLYTQGKNNLDRIGHRRLLFDCVSECLKMRRLTYFRAGYAAWSKGLAVMSRDIETEVCNEISGWKGMGDWVEDELVDKDMSSGLGTWVDFRVEEFETGEEVEREILSSLLDEVITDVFVRRRQECKFVI >OB03G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9456806:9457255:1 gene:OB03G24310 transcript:OB03G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRSKSEPGGAHAHDAPAKPEKAWPFSPSWASSRDRIAAKLRAARSPPPPQPQQEQQSPASTEAAGGEEPQPPRGRGRGKQGRRRSTTIAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGFTKTLNPVFSR >OB03G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9462097:9467313:-1 gene:OB03G24320 transcript:OB03G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDEALAGYIAKHGEGCWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNISKEEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAASEAAVTIDVTKLQAAGKRRGGRTAGQSRKGDKKREDAEPRKAAAAAAAAXXXXXGSVVVDPEPEEPSQQPNNSSDSGTPDGPCSEETTTGPMSLDPMEIGLWEAESEIAEMEALLCGGVAPDGAGIPGLEPVDVAAQADDLLDMDWDGFAADLWGDPAQSGLARDAGEPNGTMACCSSDELESFASWLLSDSC >OB03G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9488568:9489377:-1 gene:OB03G24330 transcript:OB03G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAARLSRRWCCLAAAVLFLCAPFCKPVTDGDVPVPIDPLPGLPWRSLRCFDDGQVYSCCEGAYRLNPSGIIAVPPGEVDDYCGGACVVETEDVLNCVASALDGFRFYNGASVEDARYALRRGCSHTVKRGDFNDLEPQVGDYPDIYGDYSSDGGKANAASPRLLAFLGAAATAWLLI >OB03G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9492784:9492945:1 gene:OB03G24340 transcript:OB03G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGESTWPYCSRHLTDLVHQFVIRRESLQSRSIIIEHIFFLINLHRYNLDPP >OB03G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9493843:9494959:-1 gene:OB03G24350 transcript:OB03G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQCSSKHVLLAAAVILCTCIPSCKAQDAVQIVAKAVLCFDNHTVINKCLQQIGISSNARASTQGATAGGGRGHPAAVLDTSTNASAALCDTPCFGHMMMMTGCMDDILSNFQGYSAGLIKGYRAVFEMSCRVVTAATANGATVATGDADDRHSPSHGAAKGSLVSGSSAANGAGRLRVGNLVWAAILAVTHMA >OB03G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9496669:9503885:1 gene:OB03G24360 transcript:OB03G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDGHLFAGVRFVLVGFDTVSESQYRSEMVRRSGVDAGRLGNGCTHVIVYGLVYDDSVCVGARAEGKKVVTELWVEDSLERGVLADADRVLYWPVRDLKGIAGSETLHICLTGYQRNGREDIMNMVSLMGAQFSKSLTPGVATHLICYKFEGEKYEAAKKVKLKYNLDIKLVNHRWLEDCLKSWKILPVDDYSKSSWELEIMEAQAKDSEDEEDVVQKSFRNKTVGSTPNPKGSVGTSANPVVNAPIQPAIISSDNIEMVAEKLQNIPGQIRKAEDALNRTHDITAQGTPKTTGLVMSANTDFSTPGQVPLILSGNRGDAAVRDLNSTDQIQGNKDKDVGTRILDVTSSALGTPSSSKTVVSANHNLHSLNKTNSVEDHGNIGASKADLTTSSREILSSNVLDSSTVARGQSQDDYGAKCTLDAAGQSIINEKVTNHDVHLKSESNASLNINNKSYLKPTEKSVWTEQYSGDHMTSPQGTEGSMLRADSSISTACKGAKISAKLADFQVLKGGENIQNENLLDGTHSQNKKCLISPSCFKLQSGDMGKETGTWNSTFASRLSDTSEPAIWPSVGTNPSEAANVHLGKQQSGSSKSRSRTALKHANPVDGVKLPQYSASETNVQPLQKPKELLTSSLSATVQDVKRCPDCSFQNKDGECAQDSGDTMNQDGLPLMQDVHKMVRTSDISLHSLRNSKLIHCFGNDDTEMTNALDVSKNEAAVASHCKPEKVLHGENVKADCLKDFPGTSNNVLCQKGYLKKVASRNAMNAGTKRPRSAASNVIDGPVINNDNAVVSDSEPDKMIAYEHIGETTKDSHDNATAAECRANSLDKVPMDGVSTISKRLRNIHTKKNNTHASSNLESNKVISEENTGTGINHRKFVSNNATPEEHQTNSPKKLPNTSVKNTVTKRFRASDTKMACESSVDKTETMAAKSLFGDLFTSQNIDDNPKKLSSSASADGCGSLSPKNVSSGRVRNAVAKRKIKALEDKSDRKLGKISDAIVSAAKAVASRRIEESSCNINKVTADQDSLEADGTRDVSGLFSKDTSVISRSKNLNNSRLRCSRRNKSISLDDGKENMQGNGILSSKSNGRTGSMNSMFDANSMQNSANIFNEPVRIKGNKPALLITPEPTCFILSGHRQQRKDYRSILRRLKARVCRDSHHWSYQATHFIAPDPLKRTEKFFAAAAAGKWILKTDYLTSCNEAGKLLDEEPFEWFGTGFNAGETISFEAPQKWRILRQQMGHGAFYGMQIIAYGQFVTPSLDTLKRAVRSGDGTILATSPPYTRFLNSDVDFAVVSSSMPSADAWVQQFISHNIPCISADYLVEYVCKPGHPLDRHVLFNTNDLANKALKRLLQNQQEVATDIVKPQEDGDPDDMSCSVCGSTDRGEVMLICGTEDGSTGCGIGMHIDCCDPPMEAVPDDDWLCPKCEMPKATKKPAALRAASKSRVSRRR >OB03G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9504877:9505080:1 gene:OB03G24370 transcript:OB03G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYLETVPKSQCSHHALPLHPCDAILSLHMYTLVLVHFTLRTIYPQANREEAVKKAAKGRDALWVAEIG >OB03G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9516677:9519428:-1 gene:OB03G24380 transcript:OB03G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARAILAAAPEAGSGDAVAALGLVDAALELSPRMEAALELRGRALLALRRYREVAEMLRDYIPSCTKTCSGDDTLSSTSSSLSSSGSGDLGTISRAKLLSPDRHRSDAAEAGAAAAARSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVSLSEDSFSSSSPAAAVAPIPGNTTKSGAAFIIPAMESEAVSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLIARIQGLRGRVAAGEACNIDYYALLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAERQRAKEAAAAAAEAAAAALAAKQAKEEAAAAVATAAAVALAAKQEAAKSEPAVPPMADRPRQTESAPCSKRCTPLKLKPKARPAATVSTTMSRKAATSTTARTAARTTAATMSKTTATAVAAPKSSPSTTPVAGVASAASTSTAPVYQGVFCRDMAVVGTLLSRGGFDRALPVKCEAMSC >OB03G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9530360:9532427:-1 gene:OB03G24390 transcript:OB03G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITHRLTSQTRDPENPPHTAKLFTESKETQPNPTKPQPGFDLNMEAQDDDFIDLNLPLDDGAINFEAPQYGDDDSVMGFTEETSGGSDGLEMEAPVQGFDS >OB03G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9549831:9552574:-1 gene:OB03G24400 transcript:OB03G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVLLLAEKLAGGQEMAGSDEANKSLASMEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQGANSSSSKAAVETLKPKLKRTPRHQLPPRKPTCASS >OB03G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9569234:9573845:-1 gene:OB03G24410 transcript:OB03G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAGHGSGAERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRHTWAETYRRCRRLASALAQRSVGPGSTVAVIAPNVPALYEAHFGVPMSGAVVNCVNIRLNADTIAFLLDHSAAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILVVVGDPTCDARSLQYALGRGAVEYEEFLETGDPEFSWKPPRDEWRSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWALAALCGTNICLRQVSPKAIYSGIVNHGVTHMCAAPVVFNNLINAPASETFLPLPRVVNIMVAGAAPTPSLLAALSVRGFRVTHTYGLSETYGPSTVCAWKPEWDSLPLEERSKLHCRQGVRYTALEGLDVVDPKTMAPMPADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSLEVEKVLYAHPAVLEASVVARADEQWGESPCAFVTAKDGVDRSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >OB03G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9580117:9580389:-1 gene:OB03G24420 transcript:OB03G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLRRKLSSKKSMEVDGDGDADVSDNRAAVAVSVAETGRTEETAASPDGFEEHRPEFLSRELSSRGWKPSLITIEERVAPKKVSHWLF >OB03G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9588769:9590130:-1 gene:OB03G24430 transcript:OB03G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62550) TAIR;Acc:AT3G62550] MDGATEEETAAAGRRILVAVDEGDESVHALKWCLASFAKRGGGAVPPDTIILLYVRPPPPTYSVLDASVQRLLASNHSEFRSPIDRISGDLVLALQEVGDGEADHEMKVEVKVAVGDARNVICQMVDKLGADVLVMGSHGYGLFKRSLERSRFQSQKLALLGSVSDYCVRNANCPVLIVKS >OB03G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9590765:9592143:1 gene:OB03G24440 transcript:OB03G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATPRGRSSQRRSRCKCAGGGGDRSAPCCFHPLRSLFRCPGRGRGRRSRSRSRHATTPSKVRDAPVAGAEQESEEPSFFVYAMPDQGGGAAADHSKKKKNKKKKKNRKARLPSIHACFRGKKNRERKASAAVIERRQALTPAPSLVTHPPHSPSTPEKTRSVAPSVTQPPSPAVTENGGTHSPALPNRIPGTPRPGKNSNDVSAESSVKSSLDYVNEPPPQLRATKPTALEKTQKKATEPPRLWLNGKTETGTAGERFTGPPVAGEADELWAHDIACSRVHATMLAETEKE >OB03G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9593899:9599196:1 gene:OB03G24450 transcript:OB03G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-Aspartase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G10920) TAIR;Acc:AT5G10920] MAATSQSFFSLVPKPLLRPRSLSFSAGGAGCSLPGGRRLAFPAVPAASTSMASSESEEKKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEADVYGYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >OB03G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9601799:9605021:1 gene:OB03G24460 transcript:OB03G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 [Source:Projected from Arabidopsis thaliana (AT1G56350) TAIR;Acc:AT1G56350] MASRLLTRSAAARLLSHLRSSRALNPTHHFLDHGAALGPLLGTLGRGLPAAGKPSTSRDPPTTRWFSSPAMVAEVPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWGWILERSRQLSVVLERPDLWDDPVFAGKVSREHGELMGKIKSVNQFEQELMEHIDMLRLAREEDDNELETETMRALAEMRRSAKEKELNALLSRDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYSSWAQRRGYTVTIIEEMPGEVAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHIPTGITATCQNERSQHMNKSSAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEADGSA >OB03G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9607153:9609384:-1 gene:OB03G24470 transcript:OB03G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKVQERNGGDAAAAADVVAGRRARHQGLFLHSFPVFPVALLLIAPCAIFFFSSRDVALPRIRVEYDCREAPAVSPLAAENTPPPPRPPPASTLPPPPPTSSLPRPVPLVGDGGEARQAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGRPRVLMVTGSAPRRCKDPEGDHVLLRALKNKVDYCRIHGFDIFYSNTVLDAEMSGFWTKLPLLRALMLAHPETELFWWVDSDVVFTDMLFEPPWGKYRRHNLVIHGWDGAWSLDLLDAWAPMGPPGPVRDMYGKIFAETLTNRPPYEADDQSALVFLLVTQRDRWGGKVFLENSYNLHGFWADIVDRYEDIRRQWRGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXGRPGLGDDRWPLITHFVGCKPCGGQDASYDVERCRRGMDRAFNFADDQILELYGFAHESLDTMAVRRVKNETGRPLDADDEELGHLLHPTFRARKKKTSRAARPM >OB03G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9615125:9615898:1 gene:OB03G24480 transcript:OB03G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFWAKLPLLRALMVAHPEAELLWWVDSDAVFTDMLFELPWERYASHNLVLHGWAAKVFDEKSWVGVNTGSFLIRNCQWSLDLLDAWAPMGPRGPVRDRYGHLFAEELSGRPPFEADDQSALIYLLVTQRERWGDKVFLESSYDLNGFWEGIVDRYEELRRTGRDDGRWPLITHFVGCKPCRRYADSYPAERCRLGMERAFNFADDQILKLYGFAHESLNTTAVQRVRNETGEPLDAGDEELGRLLHPTFRAARPT >OB03G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9616339:9631950:-1 gene:OB03G24490 transcript:OB03G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVTPTDVPDVDVDVDMREVYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHAWYSRGGSHSGEDNDDGISLPLGYLKLVERYPHIGKEHLVKLLKQLIVSSCHPHGLVGGVSPNAADVPTLLGSNSFSLLASDASRQDKESPKLSRYLRWPHIQADQVRGLSLREIGGFTKHRRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSCNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRAGAAFQLLSSSDDGTCRIWDARHSQQSPRIYIPRPPDAAPGKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPTIDPDRWEPLPEITDFIELEPENEIISDDTDSEYNGLDEHSSEGEQEALSGDSSDASYSSAEIDGDNLTDTALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPTVSRPHKSRKSRNGRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSERNTECAEAEHLAWNGQLRLGRESNSKYDSEDVTQPSHFTETHGNSGSNRKLVLRIPRRDLKVQFTSENRKTECSTQDKEGVALAPTNRETVETKPNSEPGSSSALKAELTDGVQTEISDPHDVSALHNNNTIKWGEVKVRSSKRFKFGDSSVADIWPTSNDAASQNVDHPDSKKMLNGDDIQQTVELNSREIQHAINVENHTTDDCCGDNLLDKEKIASNDNAHVDEGYEWEHGRQAHNTSQSISLKLKFRSRGFADGAGSLDKSRITTAVGNDMNSEHDKVHMLHDEGSALYQHMSDEVFTVSNSLPECTDKSASLHDSKKWHLDPAKTYSAVYKRSKTNKQKKNLDSDAYRNEESTSLSNDDDGYQPPDYSPVKSGSATLRRSARRPYAYTDDGITRDDATQVKNSSHEASTSGRRNITDVREVMWKSNSKTVGLRSARNKRESSNFPGAHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRSSDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGLGRTFMITLPELVNFPDFLVERTRYESSIDRNWTNRDKCKVWWRNEGEQGGSWWEGRVSALKPKSPDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNNVAEKSDFINRFPVQFSVEVIRIRLENNYYRTLEAVQHDATVMLANAQSYFSKSTEMTKKIRKLSDWMEETFSSL >OB03G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9635730:9646732:-1 gene:OB03G24500 transcript:OB03G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3LN24] MPGRRTWFASDSDSSGSAADSDSDASSAAGEESEGNRSSSAVAPAIVRPEDCHTVVVLPLPHQPLFPGFYMPISVKDPKLLQALVENQKRSFPYAGAFLVKNEEHTDSNIVTASDSKKSIHGLKGKELLEHLHQVGTLAKIASIQGDQVFLLGHSRLLITEMVEEDPLTVKVEHLKEKPYDKDDIVIKATSFEVLSTLKDVLKINPLWKDHAQIYTQHMGDFNYPRLADFGAAISVANKLLCQEVLEELDVSKRLMLSLELVKRELEITKLQRSIAKAIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSGVLQVIEEELTKLQLLEASSSEFSVTTNYLDWLTVLPWGDYSDENFDVHHAQRILDEDHYGLADVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDTAEIKGHRRTYVGAMPGKMVQCLKSIGTSNPLVLIDEIDKLGRGYSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPDPLLDRMEIISIAGYITDEKMHIARGYLEKNTREACGIMPEQVEFMDSALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRRGVSNEPTQEITLVEASEQHTGVDIATEVENKSLRDALAEDVSVHVTQTDLSCENINVVSLTTKSEVDHNINEGMEKVMEALVDSATEKVVVDASNLDKFIGKPVFQPERLFDQTPVGVVMGLAWNAMGGSTLYIETVKVEDGKGKVALVVTGQLGDVMKESAQIAHTVCTSILHEKEPNNPFFMKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAIGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPSANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFRSDSGTRAS >OB03G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9652003:9652512:1 gene:OB03G24510 transcript:OB03G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPVPEGARRAAAAIEEERQRNRKKSNRLSARRSRMKKQQYVDGLSVEVEQLRRENDATRAGVGAVLQRCGLVEQENRVLLAHARELCSTLQLRTSQLRLLGEVASVTLDVPDVADHLMQLYGGGAGAGGLVMPLSPPPPPLPPQIQMLLQSDVMHTVSMLQGYESI >OB03G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9658725:9660101:-1 gene:OB03G24520 transcript:OB03G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPMDSVLVGAALVGLRVVLAVLLERVAVLLAVARVAGGGAELLHLVPCRRALAALRVVRARLLRLLDALRHLLRQLRRRGRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTRRSGEPAPWPGAAVGASEPGRWPWRPR >OB03G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9659856:9660080:1 gene:OB03G24530 transcript:OB03G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPELAQKVSESIKQAEETCADDPEGGECAAAWDEVEELSAAASHARDRKKDSDPLEEYCKDNPETDECRTYED >OB03G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9661049:9661432:-1 gene:OB03G24540 transcript:OB03G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGLSFCKEKVLHCLSLVLSSIIIDEPNKITTFAFCIQGQDYMFVKEFVAFLASVLLKCCRQSDGSDMEIILGGLASLSDELSWFKKEAEKWSVNLAEVSPLKSNTEYCRFIPIKRLHKFTAIAT >OB03G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9666076:9669259:1 gene:OB03G24550 transcript:OB03G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIRKRRIQLLLFIVGVVALSMTAEKFRELVGKEAASKSGQFTFMNCFDMGSGSLACAAKEGVKLYVYNLRTAHMERVRQRAIEKALADAVTEGLSPADAAKQAQKVGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGIGTLFGTYAGGFHGEERLGKLGYLAGSHLGSWVGGRIGLMIYDVINGLNYMLQFVKPEYEASAYGSVESPEYAYNYRSGENEEPTYYETSEEKQEESNGFSLF >OB03G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9670133:9677712:1 gene:OB03G24560 transcript:OB03G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSIFQRACLRFALLPVPPLRAPLRPPRRPLGLPRRSAMSSVAPRLSHIAAAAAGGAAGESNEPPPAAASGLAQEDDDLSSGMMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGHSNTRSRMSFYTGIGIHRLMEDGTLGPEKEVHGYPDGARINFVTWSQDGRHLSFSVRVEEEDNTSGKLRLWVADVESGEARPLFKSPEIHLNAIFDSFVWIDNSTLLVCTIPLSRGALPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTADGNFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPSMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVVSPDKKDVSSRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKIKKQDESTYILLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDGELPLDQLKILTSKESKTENTQYYLQIWPEKKQVQITNFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCLNGTSKTDSDSVVDTGNKTLSTSGGGAPREDPEEKGFSSMQRSLL >OB03G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9678604:9679590:1 gene:OB03G24570 transcript:OB03G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQEVAALVQKIAGLHAAISKLPSLSPSTEVDALFTDLVTACVPASPVDVAKLGPEAQRMREELIRLCSAAEGQLEAHYSDVLAAFDNPLDHVGRFPYYGNYVKLSKLEYELLVRYVPGIAPIRVAFVGSGPLPFSSLVLAAHHLPNTLFDNYDLCGAANERAKRLFRADKDLGARMAFRTADVASLTEELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGARGFLYPIVDIEDIGFDVLAVCHPEDEVINSVIVARKVDARAAAARKDGLADSHGVVPVVGPPSKCCKMEASGLEKAEEFATNKELSV >OB03G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9682206:9682406:1 gene:OB03G24580 transcript:OB03G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIMARLDGQLLGGKLFRLLKRAAGRLHLAALARRAHHGRRAACVGEFALEPADATRVSLTSDDD >OB03G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9682412:9683167:-1 gene:OB03G24590 transcript:OB03G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVQKIAGLHAAISKLPSLSPSADVDALFTDLVTACVPASPVDVAKLGPEAQRMREELIRLCSAAEGQLEAHYSDVLAAFDNPLDHVGRFPYYGNYVKLSKLEYELLVRYVPGIAPIRVAFVGSGPLPFSSLVLAAHHLPNTLFDNYDLCGAANERAKRLFRADKDLGARMAFRTADVASLTEELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGAGGXCWPCTIPPTR >OB03G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9686022:9686327:1 gene:OB03G24600 transcript:OB03G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGQICCGCMVWACAFKSWGTSMNEARWYEEYTVVALLFWFRYMRNLKSSSNAFEGLKLDSHFPDRSKNVRSLCCLSCLARPSPSLLASKHLQNIPLFTV >OB03G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9704017:9704641:1 gene:OB03G24610 transcript:OB03G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNWPVNFFLKKTGDSTLADDVAVDEEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVVHTSGGDLFKVDPKTESVHVVKVQGSLKTGDGLALLSPTRLVAAGLVNRLVESDDDWETAKVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGFGKKTHVISKAAFAPL >OB03G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9706496:9709053:1 gene:OB03G24620 transcript:OB03G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) TAIR;Acc:AT3G62390] MDRQRSSSSSSTSCYCLLSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSSLGGNAAASAIARAPVLAAGGGGGSTSGAAVEVEEVAVLAGGSGNGSFGEAEAARRSDAGGFPSGRGVGSAMEAKLGSEKGQAPANGEGSDKAMALEGADAGGGDVNKPAKDAVLEKPNSAAVEKTARGAAGSAMATPFLVSNASASQGAATPGEEPKKLKSVQHVNSTMEASGPAVGGSGNSSEEEAYTSQQVQQLEAHSTVLNSSGAAPSSPSRQNTDPVQETVGSKVDVVRSNATLLCNVYDGRWVFDESYPLYTSDLCPFIDEGFSCEANGRMDRSYMKWRWQPTHCSIPRFDARKMLEMLQGKRLVFIGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGQKRTRTLRIDTIDRTSSKWRGADFLVFNTAHWWSHHKTKAGVNYYQEGDHVYPHLDASTAFLKALATWGSWVDHYINPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLPLNDTRVRPVPEMNMMLEHVTRQMKTHVTILNITNLSGLRIDGHPSVYGRKGVVGLAASSIQDCSHWCLPGVPDAWNELLFYHLVSSQENGVTG >OB03G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9709233:9716557:-1 gene:OB03G24630 transcript:OB03G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MASSSSKASDSSSHRPKRLDQGPGKDAAGLVALHGKLTQLKRQVQSTRLAAIKERVEANRKALQGHTCALFDVAAAAEAASRGAEGGNALSQRAAEGRRRLVGWDSASGSGERELVHLQEENLAAGTLVLSSSGSGASHRTVVQLVMLPVVEKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDIPEPEEEKHVFTEGEDQLIWKATQDHGLSREVSNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTEMQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHDIDENKRPCGNQCYLRVCVPQRREGHQDMCNDDHNACTTFNMDSRSSSLKLGATILSESEDSNREEDNNKSTSVVETSRSKIANAEYAVKSATPPPGDTSETENVSPDMVLKNFGRRKISKHASRSNDHSPDKRQKICSSQFSFATSVLNEQHVPEIGDTCPDSRESVIDQLNLDDPNKKISTKDMCAGSTTNTTEDALRDNNNLFTSSKDHSISLWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGSAMAKRPLSGKSVLGDFAEAEQVYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKKWYTQYNPCGCQQMCGKDCPCLENGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAANRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSSPNCFAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >OB03G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9720917:9723605:1 gene:OB03G24640 transcript:OB03G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) TAIR;Acc:AT3G17000] MAAAAKYNRGNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAIQGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDYKKEDRRALATKSREAPPKFGSPERQKVIDEIHEQMLSRAPPVPQLLTNETNTETNQLPASDTSDEHAHKAVEGVNTSGSSSGSIDNDLPRPDSESEIAQNIVEAPAEGVTNHSRTNTSRESIPGIAPTQLNPVVEIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGLAGYIEGKF >OB03G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9723744:9726938:-1 gene:OB03G24650 transcript:OB03G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3LN39] MATTTTPSSSLTAPLLRPSSNPNQAPRSLPLLRSRRCARAVAAVGGVGVGPHGAAQRRGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSGGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >OB03G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9727806:9735511:-1 gene:OB03G24660 transcript:OB03G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSHKELRAAHVNFVKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCALSRQQGVEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRAEESPAGDEESHAPQHNWVDEIVRREGRAGLGGGNDVNCSSTTIRLRSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQSATLLARQLRSRGIAPELVVAGDLCRHLRKTLEAMESASIEELNFNESLQNFLQDCLLEVVRGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMQLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRPRNESDFLYETKKWQSRTTSVFASATALLEKLRREKETGNMVHDDDKEKNIREEESKHIWARKNSAYFSKLVFSFTDRYATLTSSAEEANIVMLTEDQTNQLLSAFWVQANQTDNTPFNYEAIGHSYSLTVLSSRLKDSRNSNNIQFFQLPLSLRSVSLTPSGVLSPSCQRSIFSLATSMLAFAGKVCHIAELVELLRCFTSCNMDPFLKIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRAKVGISDQRVLDVIARELCNLTEMDMDVLVKELTEMFTPEEVPLFGSNSALDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKVTLQQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTSEPCSTVKLPPASPFDNFLKAAYRAQ >OB03G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9735602:9735808:-1 gene:OB03G24670 transcript:OB03G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPVSWFMDGVVVAVYRLRKAVLWHGLAHHSQDFYHSWLLLLLLYFSSSQILADFSFGCPINSTYCSQ >OB03G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9743590:9748709:1 gene:OB03G24680 transcript:OB03G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47330) TAIR;Acc:AT2G47330] MSKRPKLEGFSIPRPTSYSFERSQPAQRLYVPADDPDLDDIAFSDDAAATSDAPSADGAGAGEAAGDDEEIDPLDAFMAEIQEEIRAPTPAPKPEALRRTDSDDEDDPVESFLRAKKDAGLTLAADAMHAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSAIEYEPFNKDFYEEKPSVSGMGEQEVADYMKSLAIRVSGFDVPRPIKSFVECGFPVQLMNAISKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKVGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLIFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGELVHCLIAAGQNVPNELMDLAMKDGRFRANRDSRKGGKKSGKGKGGGGGGGGSGARGRGRGVRGVDFGLGIGYNAESGSQVPAPRSAAVNSLKTGMMQNFKSSFVSASSNTPSNSAPSRGVPPSFVRPALRGFVSGGTIGGDANPARAVQPAPSFVPASRPAENTTENANPTPESSRDRPRERKRPSGWDR >OB03G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9749552:9750375:1 gene:OB03G24690 transcript:OB03G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPVALRQRLTLEDYILFFTTRSGHGINMDHLNQIIFMHGFVKLHSHNKPVIVDALNKLDLMRPRRSTVGINAAAPPPGASKPSDALLSTEEARADMEDLGWRECPVGSLLSVRAGESRPSATHVPIAAIRPGSAAVECISPPGILSASSLASPIPAAAKRKRCRRSQGKAAMMGKKRRVVQLLTLPSVEDMAATA >OB03G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9752963:9758391:-1 gene:OB03G24700 transcript:OB03G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) TAIR;Acc:AT3G17040] MAMRIFPSSSSPTPPLRSLFPSQSAKAPPSVHFSLALRLRRARVAASAPGGXAGAGGPERVSGGYEVEEEGGENGSFDRGMSEIARKVPLFEPARGDAAAAAGERPLPINLELWLYRAKVHTRKYEFSDAEKLLNKCIVYWPEDGRPYVALGKLYNKQGRFDKARAAYERGCQATQGENPYIWQCWAVLERKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEAEQGNIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNIARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRAMSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGSAAQDKLKSAGEPSNVTIRTSAGAEFPGGSREEGSDASDLANADDKESNKAAEIPESDFDVDGFIKRRLGLDPAELDAVLEGSDPRGVVSRRRTQRLPRKPLPLLPVP >OB03G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9759284:9766724:-1 gene:OB03G24710 transcript:OB03G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEDAAAATVTAENDDDVEDLYADLDDQVAAALAAAGESGGSNPATDGEAEAPGAHNAVDDANETVDLGDGTAGYISSDEESEDDLHIVLNDYGTASPPPTAGRCEDRWAEVSEEGEVSGSCVKGPSTDGDRGKLGELHQKGLLEKTAAPITGQGDRGHQHAFQKEFSFFLPRNRTVFDIDIEAFQEKPWRQHGVDLTDYFNFGMDEEGWRKYCLDMGNFRHGTRTLAKESSGLEQEFHYNLGLSKSVPKSEIYSRNELAKPKGRAIHVEGGLQERLPSADMWPPRRRDSDVIQVSMMLSPSNHSGSDGRSTVNDTTKRCRPASNHHGGDECPKETSSVVDRMVDKEVHKRGSSEYTGSKPVLRDSACAGVQSSSPDNSDMLSEESTEDLYFKRKRGKSNSNAFYVETVHNDEHVLSDFCHRSSKSDQENSKGEIHRYAPSPTDVRYHKITERRRTDEAGAGISSRFLNNRQNDCHLHKSGYRSTEELKRQSLAGGKRALFEIQENTTDNYSSRYARKHKHERSSSNFLGNNYRVHIQLCEKQDYLPLERIALRHDEQRNDDSSQRHRRSWHEIDDNEDILECYSTRRWQQHHDHVHRSPSMPKAEFCDDTDGRMYRERQYLETRKVRHDHNGDDEFFHYTDYRFGKVLHPQDRHGYRSQSAESSDEHFRHSEHLVFEHFTHPDRLILSCQANASHRKFEKGWPVPSANLNCMSSKNRFIDNKRIQNGKIKYNCDGYYGKKILHHSGFGVDGIQRPALYSGSVAETGQCIQPVKRKVYADQGSMNHKDLFNPSYPKGRRWMHDRSMISGKKRYVAEMHNYTKEIDVEAMCSLNDMRNNDNIRNIYDKKRHEIMNLQPSDADNLLLIHRKRKFNRQGIEIRREVESDSEGCLPADSDLHSSKLKSVHQKVRKPRSYRISRNQILEKSIQQKQQHVSINQECEEIEEGELIEQDHHDTASRSKFNQRSKVVLRSVIEASSAGQGGMVNATSKDADCSNGATRECDDKHILEVMKKMQKRRERFKEPIAPQKEEDEHGKELLAATYSVDDMKNPRPARKRLWGCSG >OB03G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9767143:9774620:-1 gene:OB03G24720 transcript:OB03G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme binding [Source:Projected from Arabidopsis thaliana (AT3G62370) TAIR;Acc:AT3G62370] MRTLALLVAAAALLAAXXXXXXXXXXXXXXXXXXGGRVLAEFRPGEVTVDGHPADWDGVEASEFALLPALDPDEDRAYAGGKVSVKAMHDGVNIFFMLKVDGDYTYTKGENKKCPSVALMFQIGEKATYYNMGGCKDLPGSCTSKSCRGQEVDIMHFSVGSAIPGRLYGGNHIDNADGNGGDRFGHLVDLYAWNPHCRYLDGIGPKENDSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRFQQDAQFTIGGPNSMSVAFWYPDDGKPWSKSDHYSASCDWLVLDIQPSLEAAHYHPAPNRSWDAATAFALLLSVVAICISVFVGYGVSKNKNSVQFTPLEQL >OB03G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9786777:9787001:1 gene:OB03G24730 transcript:OB03G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRIVIGSSLVLTQQQRATGRRPTPSPPSVTASALLPNPSSKQVHSDGSDNRKAGEVRRGEEKKSDAPERADAAT >OB03G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9786871:9794479:1 gene:OB03G24740 transcript:OB03G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALQQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSAGEDDHNIARGGIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEAQIKDIIEWLTVCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQVGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCVNGWNAKVAELTGLSVEEAMGKSLVNDLIFQESEEIVNKLLSRALRGDEDKNVEIKLKTFGSEKSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGEKVVMDKFVNIQGDYKAIVHNPNPLIPPIFGSDENTCCSEWNTAMEKLTGWPRGEVIGKLLVGEVFGNCCRLKGPDALTKFMIVLHNALGGQDCEKFPFSFFNKNGKYVQALLTANTRSKMDGEAVGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVRDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEVVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPHQAASRETS >OB03G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9801085:9802293:1 gene:OB03G24750 transcript:OB03G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARRARALALAALVAVLVVVACTEARLLEKETLGGGGGFGGGGGFGGGAGLGGGGGAGGGFGGGLGHGGGLGGGFGGGKGGGLGGGLGGGGGAGGGFGGGLGHGGGLGHSGGLGGGFGGGKGGGLGGGAGGGGGLGGGAGGGLGGGVGGGGGLTGGAGGGGGLGGGAGGGLGGGGAGGGGGLGGGAGGGSGGAGGGLGGGAGGGGGLGGGAGGGGGLGGGAGGGLGGGAGGGGGLGSGEGGGLGGGAGGGFGDGAGAGGGGGLGGGAGGGGGVGAGGGFGGGKGGGFGGGLGGGGGIGAGGGAGGGAGAGFGGGAGAGGGGGLGGGGGGGFGGGGGGGIGGGM >OB03G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9804398:9805911:-1 gene:OB03G24760 transcript:OB03G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17060) TAIR;Acc:AT3G17060] MARLHLLLLPFLVATVAVLVSPFGRTLAKTAKKSDDIVNGPLLTSKINAKRTLIVGPEDEFKTVQAAIDAVPVGNAEWVIVHLRSGIYREKVVIPETKPFIFMRGNGKGRTSITHESTSSHNAESAAFAVHANNVIVFGISFRNSARAGLPNTPEIRAVSTMVSGDKVAFYHCAFYSPHHTLFDDIGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQDRKEEDGSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSKTINPAGWTNYGYNGPTDHMVLGEYNCTGPGAADASSERVPWARKFTKEEADKFITVDFINGKEWLPAFYY >OB03G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9816682:9818979:1 gene:OB03G24770 transcript:OB03G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16230) TAIR;Acc:AT4G16230] MVLVRLTMLVFLAVLLAGACLVVVTGDGMPATFVFGDSLVDAGNNNYLVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILGKEMGMGGFVPPYLAPETAGDVLLKGVNYASGGGGILNQTGSIFGGRINLDAQIDNYANNRHDLITRHGEVAAVSLLRGALFSITMGSNDFINNYLTPIFSVPERAVTPPEAFIDSMISKFREQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPSAGAACAEFPNQMARSFNRKLRGLVDELSTNLTGSRFLYADVYRIFADIIANHKSHGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGDPADISPINVRQLITSS >OB03G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9825305:9829621:1 gene:OB03G24780 transcript:OB03G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase A [Source:Projected from Arabidopsis thaliana (AT4G16210) TAIR;Acc:AT4G16210] MDATSPDSGDLILVEPAKPGSPVAVVTINRPKALNALTRPMMVSLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVVQMERCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFIDTHAKFGIFPSWGLSQKLSRIVGPNRAREVSLTCIPITAEMGEKWGLVNHIVDDSQVLSKAIEVCEAIARNNRNLVVLYKSVINDGLQLDLEHARALEKERAHDYYNGMTKEQFASMQKFIQGRGSKPPSKL >OB03G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9830452:9841267:1 gene:OB03G24790 transcript:OB03G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLLRFLLLAVAVATYAAARREAFRRDPGHPQWHHSAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHKLEEFLKTSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATLVEPIFQRLYSFIFDMDTGYSSPEMDRPAPIAIFIVNFDKVRMDPRNKEADLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSVPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAVRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHTGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHRPIWESYMPRNKKEKRGTGKKKHGDMYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKIPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDIALRTTIYAQVDAALHKIRDTSESVQSFASEHLKTPLGEPVKGNKNKSSTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEEQLVDLSSLLYDHRLVDAYKNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYIHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >OB03G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9841203:9842120:-1 gene:OB03G24800 transcript:OB03G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEFAEMLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWQHMYHDVLLLENQIPFLVVEKMHGVAFAGEDGPDRDALLDIFCKAFAGDLPSSRIIRPASDKTIHHLLHLHYECNVRNPAVDSEKARNGGEANGGASSLAIWKQPPVPSPRSGGDGAVKGRMTSMIPPAAKMEEAGVTFKRRATPRDVFDVSFRYGVLHMPAFVVDESVKVLLANLVAFEQGGGRAARKLDGGNLMTGFVALVGSLVNARRDVEVLRRSGILHCMITDEEAVAYFSHVVQYTTMDYDRHLLACLFRDIREHCHWNR >OB03G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9847664:9847885:1 gene:OB03G24810 transcript:OB03G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPATITSGCPDDEPSATTFLAGFETTETELRASPTNHQKEERRRQELGCTKNGGHMNDSPPLPLSVEKRTN >OB03G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9856487:9857005:1 gene:OB03G24820 transcript:OB03G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G49480) TAIR;Acc:AT5G49480] MCPGGRYAGLDVPAACGPGDLRPAFDVLDVDRDGRISREDLKSFYAGAATSERFDDEDIAAMIAAADADNDGFVQYDEFERLLGRAAAGAGCRPAMEDVLRMMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDGDGCVGLEELAVVLGCSPKNWNGSSLTLH >OB03G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9860849:9877490:1 gene:OB03G24830 transcript:OB03G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLARPGSFHRLLASRHYPPSSPVPPPRPLPLLLLPRTLIPSSAAAAAAATMGFPRRGRRDVAAASAPASSSSGTEVAPGAWGKVSAVLFDMDGVLCNSEEPSRRAGVDVFAEMGVDVTVDDFVPFMGTGEANFLGGVARVKGVKDFNTESAKKRFFEIYLDKYAKPNSGIGFPGALDLIMECKNAGLKVAVASSADRIKVDANLAAAGLPISLFDAIVSADAFENLKPAPDIFLAASKNLDVDTDECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENIENISSLGKSSPEILNGATNSESALSTNSPSSNDHSREGLLGSRRDIIRYGSLGIAVSCLIYTIRNWKAMQFVSPKGLFNYLTGGDSSIFANNEGKPLTSRIQQIKKYLADFETGGSATYVPGFPRKLDWLNTAPLQFGRDLRGRVILLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVIGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIAPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLKNNSLPLALEKDKDNRLLASPLKFPGKLAVDVLNNRLFISDSNHNRIVVTNLEGEFLCQIGSSEEGLLDGSFDTASFNRPQGLAYNAKKNILYVADTENHALREIDFVNETVKTLAGNGTKGSDYKGGGQGTNQACSMVFYVSSTIVLNSPWDVCYDSSKDTLYIAMAGQHQIWKHNTMAGVTEVLSGDGYERNLNGSSSTRTSFAQPSGISLAPELQELIVADSESSSIRAVNLKSGGSRSLAGGDPMIAENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVMTIAGTGRAGYKDGPALSAQLSEPAGLVEVGEGKLLVADTNNSTIRYIDLNEKGAEVRTLDLIGVKPPSPKPKALRRLRRRLSADTNVINIDGGSSMEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAVEIEPVNGFLNSEGQASLKYKRTSSSSTTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAISSPAQITLSYTVVPRDNSSSALIAAGRNGRV >OB03G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9889099:9889446:1 gene:OB03G24840 transcript:OB03G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRWSRAILASHHRRIQAARRARLREPTSPPSRHPSSPCAKGPKAPALARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEVLSTVSASTSAASDSSGGSSSPA >OB03G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9911185:9911973:1 gene:OB03G24850 transcript:OB03G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHSGAGGGGGGGGPRLVKQVRELMRLAPRRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPCRREPCGLGELFQDAASHIEDLQMQVKLMRMLLEKLSED >OB03G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9921021:9923834:1 gene:OB03G24860 transcript:OB03G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21800) TAIR;Acc:AT4G21800] MDVDSDPAAEGKPTQMDLEDQTDTKGKGKAEDEGKGKGEELADSIGSLSIGPERTNFKKKPVIIIVIGMAGTGKTTFMHRLVCHTQASNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSSSPATFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFALEWMEDFEAFQTSLESDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNAFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMEKSKGQTVVLSTGLKDKNRASEMMDDADEEEEEALEDIRISDDDEDEDDGEDEEV >OB03G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9926272:9929145:1 gene:OB03G24870 transcript:OB03G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGLAALRAARAVKETTGIVGLEVVPNAREVLIGLYGRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEDRIGCGQVEELIEEAQDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGESPPQVKA >OB03G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9929731:9931187:-1 gene:OB03G24880 transcript:OB03G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMSKQELVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGVNAVTNFEPSRYNLEAISQSDLPISISGRRQNSSNKPAPEAEGHITLSSPPISQQSSNSGSAAPCLLHNLLQFQPCGPPQALPLPGYSYAEPGFCWPFGDGEQKVQLNSKVEMANGFLLHLGNAAN >OB03G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9946362:9949110:-1 gene:OB03G24890 transcript:OB03G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVFVVSMVVFGHNHRFRAMQPTFRRSIETISRYFKEVLYAVGELRNEMIKPPSTETNLKISSNGRFNPYFKDCIGAIDGTHVLARVPAAMSAAFRGRKKETTQNVMAAVDFGLRFTYVLAGWEGSAHDALILADALERDDGLSVLAGKYYLVDAGYAARPGFLPPYRGTRYHLKEFDSRNYPRNSRELFNLRHSSLRVTIERAFGALKNSFKILYSKPFHPYKTQFGNDQHVPLEAEWRANDRDEDVLGDIEEDNRGMAQIRDDIATDMWNNRGMAEAGSGNGGQAEGVRTDKGFKEVHLNQVARSLSDHYGLDISGTQVYNHLRKWRQRWVRITRLKDISGALWDDQNSTIVLEDEHYMGHVKDHPKDAEFLNVPLENYTQMTAIFSNGQATGKYAMGSNEALGKLADMAESDLGPLDGTIGDGIAGGRGSSSDRKRKRTHAVNEGEAALITNMTESVREVAAAIRATAHTEVHPELSDSMLNLPGFTEDQLELVLTYLTNNKATSLVYIQKNEERRARWVKKYLEEHLPDDVI >OB03G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9949349:9949543:1 gene:OB03G24900 transcript:OB03G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFTYHLPEYSKKGDGGGRQERQRLAGRAWRRRIWLEDEDDDRRGAHTKSGRRTSAGENSDR >OB03G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9952612:9956343:1 gene:OB03G24910 transcript:OB03G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:J3LN65] MDWGTRSLEEMQRAEDFESFCLMGLSPLDGRYERFIRDLKPFFSEFGLIRYRVIVEVKWLLKLSQIPEITEVPPFSEEAQLFLNAIIEEFGIADAKEVKKIERITNHDVKAVEYFLKQKCSSNPEITKVLEFFHFGCTSEDINNLSHALALKEGVNTVMFPVMIDICKAMCSLATQNSTIPMLSRTHGQPASPTTLGKEMANFAARLSTIGKSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVTEEFVRSLGLEFNPYVTQIEPHDYISKLFNLFVQFNNVLTDFDRDMWTYISLGYFKQIVKAGEVGSSTMPHKVNPIDFENSDGNLTIANGTLSSLSMKLPISRMQRDLTDSTVLRNLGVGLGHSLLAYKATLRGIKKVQVNEFRLSEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEITRGQAVTKDSIRLFIEGLDLPEVARSSLLKLTPHSYIGEAEKLARNIEELVDLKSGFKIE >OB03G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9962967:9963287:-1 gene:OB03G24920 transcript:OB03G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVSMLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPSWCPTCPPWWTPCSGASSPPSSPSRSSPWCAASSSSAAARYDRGSPPLPPYISCKYVISV >OB03G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9967214:9971015:1 gene:OB03G24930 transcript:OB03G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRQVSLFDVVDETSVSAKLGRAATTNGAAAAGANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDEFLTVLRDNQTLILVGETGSGKTTQIPQFVLDAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEASIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEVNNMGDQVGPVKVVPLYSTLPPALQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTPLGEIMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPRDSQKAADESKARFGHIDGDHLTLLNVYHAYKQNNEDIQWCYDNYINSRALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRN >OB03G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9972876:9973061:1 gene:OB03G24940 transcript:OB03G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIISSVANPRRCRHCCRRHRQAHHAAVAEHVPPSPSSCSSDRSRSDCLSPRCSRCAAVVR >OB03G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9974616:9980497:-1 gene:OB03G24950 transcript:OB03G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06550) TAIR;Acc:AT3G06550] MAEEVASAGGIAMAASTSLTPGQVSALLGFLWVFAAWAYAEVLYYRKNAASIKAHSDVNLAVMDTSSNKGEDQTMLLEEGVQAPVPKPIYASFTSQMLRLFLMDQALILEKRLTLRALSEFGGHLLYFYICDRTNLLGESGKDYNRDMFLFLYFLLIIVAAMTSFKVHQDKSTFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIGSVMAIKFVACFLVVILIWEIPGVFEIVWSPFTFLLGYTDPSKPDLPRLHEWYFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETKTKLYIKALIVTISLTGGYLWYEYIYKLDKITYNKFHPYTSWIPITVYICLRNFTQEFRCCSLTLFAWLGKITLETYISQFHIWLRSRVPNGQPKWLLTIIPNYPMLNFMLTTAIYVAVSHRLFELTNTLKMAFVPSRDNKRLSYNFVAGIAISVALYSASFLIVGMAGY >OB03G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9986268:9988214:1 gene:OB03G24960 transcript:OB03G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLEAHLERCGSARHLLQIHAQFVASGLLADAFAASRLLRFTADTSLLPLPLPFHHSLRLLRLVHRPNAFSCNTVLRAARDHGLPHLCLPLYASMPALPDEYTYPILITACATRGAVDEGRQVHCHAVRHGFECNLYLANALMSMYSACGCLGDARKVFDAGPMWDTVSWNTILAAYVQAGDVAQAVEMFTQMPKRTAAAVSSMLALFGRRGMVDEARKLFDRAEYKNVFTWTAMISCFQRNGMFTEAFSLFSGMRGEGWPVDEVAMVSVVAACARVEITQNGYMCHGLAAKAGLDSRVNVQNALIHMYSSFLDVVAARRLFDTGHCLDHFSWNSMIAGYLKNGCFKDAKELFGAMPDKDNVSWTTMISGCVQNDQSSEALSVFNSMLAQGIKPNEVTLVSIISACTNMSSLEQGKLIHEYIRKHQYNITVVLGTSLIDMYMKCGCLESALEVFDRMEQRGTPCWNAVIVGLAMNGLVTKSLDMFSEMELSSTATPNEITFTGVLSACRHAGLVEEGQRFFKLMQHKYHILPNIRHYGCMVDLLGRAGYVREAEDLIKSMPMSPDVPAWGALLGSCWKHGDSEVGERVGRELVNLDPHHDGFHTMLSNIYASEGMWQCVKDLRGSMKQRHVSKIPGFSVVESSL >OB03G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9989836:9990162:1 gene:OB03G24970 transcript:OB03G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRGSIAFFASYRPPLALDIFCCPAPPSRPQDELHLTDGDSYNYNCRPIPPAALKTIVERLGVSRGDAVEDDIDSGRITGLVFVSDRERNLETLHIALRFADDGEV >OB03G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9990199:9995693:1 gene:OB03G24980 transcript:OB03G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGARMEDSGCVAGGYEVDGRTVDHHLVYVSTKEPVQERRSPWNVAYKTNLRTGETHRLTPPGTSDLSPSASPSGKKVAVASFQGKKWDGEIKNLKTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDDDDGDQRQGSWGVFRHTLSTGETVRVTPASFDAVTPAAVDETRVAVATIRQKSEFSDVRVEAQYRHIEVFDMSSPEPLQITRNTRPKADHFNPFVMDGGKLIGYHRCKSERLQHGDDLPRKFHKVQSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKSVWVADSQGLRVVFKTDGPDGVFSPLWNSKKDILYVCMGPSFKASATLEIHSIHNVSTGDRKSRQLTFGGFNNAFPSTNPDGTKFVFRSTRNGGAKYYKNLYIMEDADAGEGEDGQLVTRLTVGDWIDTHCQWSPNGKWIVFSSNRDRPADAPERDHGLDPGYFAVYLMDAADRSVVRVIRSGYDVAGHVNHPVFSPDCRSIAVTADLAAVSADPMSLPLFLHSVRPYGDIFTVDIDPDDMARNRDVERFVRITHSRYENSTPAWTVFSTHDPHAQWNLLVVEDEHVPSCPYAHRDGGESWHMTGQICIPKRHC >OB03G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:9997847:9998721:1 gene:OB03G24990 transcript:OB03G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 1 [Source:Projected from Arabidopsis thaliana (AT4G01940) TAIR;Acc:AT4G01940] MDASLTVAGAAAMFLRPQIRLRITQSSLPLPLRRLQFGPSKIQTSRTPAHLAAASASTPPAAGGGLYSAETFQLTAENVDRVLDDVRPYLIADGGDVSVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDDDQQPAETTPQAVNGHLDILRPAIANYGGSVEVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVVFLQ >OB03G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10002948:10003774:1 gene:OB03G25000 transcript:OB03G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFETQRQMERTGELYTIAVERMSLGIVKPCRRVLFHQGMSNELYLGQGVLIKIVYV >OB03G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10003555:10003740:1 gene:OB03G25010 transcript:OB03G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIRFGLLDLARPFLDLVRPNTAMLLFLIFIFRFHPILFFISHLASISCLLFLSIPPELY >OB03G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10011153:10016777:-1 gene:OB03G25020 transcript:OB03G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHALLYLSGRRYSPAAGLAPLAAVAARRLLSTSVESGSGASSTGEGYKPPLFDPFRAASLASSAPSIESPPIEELPDDAPPPEEEPGPPAASEKDSVACQHELEGLKAWVETVRSRVESTQEKEAWSLLGRSVVNYCGTAVGTVAANDPSTASQMLNYDQVFIRDFIPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYSKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSINDGSSSLIRAINNRLSALSFHIREYYWVDMKKINEIYRYRTEEYSHDAINKFNIYPEQIPTWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNMPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLACPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQVLV >OB03G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10019642:10022284:-1 gene:OB03G25030 transcript:OB03G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) TAIR;Acc:AT5G61770] MARVRNKNGRRGGGGGGGKGKGGGGKWKMPASVARKQQAAMANVDQVSGARIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFINVSGPLGVTHFMILTNPKSSPHLRLATTPQGPTYTFQIKEYALAADIANSQKRPRCPPEIFKNSPLTVLGGFGGLDKPYKSLVEFFKYIVPSVDPATVKLSTCQRILLLQFDKEKEIIDFRHYSIKLQPVGVTRKIRKLMQNNQVPDLRELKDVSDYVTKAGYGSESEADDEAATVNLASDVDKLNRASRKSAVRLQEIGPRMTLHLVKVESGLCSGAVLYPEPVAKEGAEEEGKDVDEEIGQEDEDLMDSYDDSEDESEE >OB03G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10035613:10036996:-1 gene:OB03G25040 transcript:OB03G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDASSEEEVMAGDLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAASSSGGEAGNQQQQGGADTSPLSWQHGGCDDGLYESAELPMPDASCWPAEYCTAAGGQMHGTPAPELSSTTAGSSSPSTDSGAGAQPSWAQADGAEWFTTACDASSAIGVATSDMKLAQPACQAVQTWTPESSLPGLSFPDLAVADFEIGGFDVDSFWTSMEDDLWCPTQAAV >OB03G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10060971:10066277:-1 gene:OB03G25050 transcript:OB03G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S1 [Source:Projected from Arabidopsis thaliana (AT5G30510) TAIR;Acc:AT5G30510] MRQLFDEASERCRTAPMEGVAFSPEDLDSAVESTDIDTDIGSPIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDINHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSQESGEAQSTDE >OB03G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10074973:10076410:1 gene:OB03G25060 transcript:OB03G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LN80] MAPPQLAGKMTAKAAAAAAAKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFENIDELFDLPKGRFYAVMDCFCERTWSHTPQYKIGYCQQCPDKVAWPTAELGPPPALYFNAGMFVHEPSMATAKALLDTLRVTTPTPFAEQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLKKVKVVHYCAAGSKPWRYTGKEENMDREDIKMLVKKWWDIYNDETLDFRGLPPVEAANTDEVEVAAKKPLRAALAEAGTVKYVTAPSAA >OB03G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10085550:10087771:-1 gene:OB03G25070 transcript:OB03G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNFRKWNLEEADVADHFDDENARRVALEEIKYMQKLRERKLGIPAAAGASLAPPDGASPRGRGGGGGGLAAAEDADKEDLVLQGTFAQETAVTIEDPNMLRYVENELLKKRSKKVDVKDKEEKDQVDELYTVPDHLKVGKKNSEESSTQWTTGITEVQLPIDKDELTVILCDYIMSIDDTVALKRSWVSFYPYEITLTLTDIQSSLNENQVMSRECFNMGVRFRAYRQYKRLTYYTHEILKHFMDLRFSASIFDPMSMRWNFVIEAFTHEANIYQKDASGTYKTLC >OB03G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10091129:10094400:1 gene:OB03G25080 transcript:OB03G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) TAIR;Acc:AT5G02270] MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGHRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVAGVDPQRRDGLIKILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLTYLKKECEERSATIIYATHIFDGLDDWPTHIVYIAHGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKFTEGSRVIGDPVARAVNNGWAAGRLASTVAGEENFIFSSNSVLRQ >OB03G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10098403:10104817:1 gene:OB03G25090 transcript:OB03G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGFGTSSAMGSASHPARIEYDSSNSMSSQYVYEQGLYYPATNGYAYYTGFEPPVEWTDHTTFVGVDSQNLQLPNDNLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGPASGFFPMSIQPSADFSSNVSAEPPLCSTGTGTSVVASRLANASMKNKYQMSGNTAPASQTAPSGSPAVGRPQHAYENEITNKPSNLPDTNMSKRDKSSASHITIPVDASSTDKDGKSDAGNQLKEHVPSLQVISGPMAGESGQSKATSSCTLEKITINPDQYNKVHFPVDYPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVQGRVLGKCPIFLFFSVNASGQFCGVAEMVGPVDFHKDMGFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPLKSGINMLKLFKDGPLTTSILDDFPFYEGRQKAMLQEKCRRSGRNFDECMYVPAFVAKSTVDAVGEPAEVGKGQFSSKDPHSGDVKQDCGTCEQPDKLNQTKDVVVTEALKTDGGTFVGQLEHAKTNQDSLDGRVDHQSENCSCSAPPENDETKPASLSELVKLNGKSHSDCEAQPLINLSESNYSSVKKGHPENFGGQNLSNFMKEGGAGTVEERKSTKFVTKRQDFPSRRVDKEAKGNANEMAVITTTGVVKVGSVHIKVNVAGESSSEIIGDENGLP >OB03G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10109014:10111008:1 gene:OB03G25100 transcript:OB03G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTTASYNALLAGYFRTRLPDAALGLFRRMPSRDLASYNALISGLSLRRQTLPDAAAALTSIPFPPSVVSFTSLLRGYVRHGLLAGAVRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDKDVVARTAMLSGYCQAGRVAEARDLFDEMPKRNVVSWTAMISGYAQNGKVNLARKLFEVMPERNEVSWTAMLVGYIQAGHIEDAEELFNAMPEHPVAACNFMMVGFGQRGMVDASKAVFEKMQERDDGTWSAMIKAYEQNEFLMEALSTFREMLWRGVRPNYPSVISILTVCAALAVLDYGREVHAGMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHMFEPKDVVMWNSMITGYAQHGLGEEALGIFHDMRLSGMVPDGITYIGALTACSYTGKVKEGRDIFNSMTMNCAIQPGAEHYSCMVDLLGRAGLVEEALDLINNMPVEPDAVIWGALMGACRMHRNAEIAELAANKLLELEPGNAGPYVLLSHIYTSIGRWDDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHATILKILEKLDGLLMESGYSADGSFVLHDIDEEQKAHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITAREIILRDANRFHHFKDGFCSCRDYW >OB03G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10117138:10118905:1 gene:OB03G25110 transcript:OB03G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIEMQKLAFFIVALLAAVATSRCNAAAAIRMQLTHADAGGRGLAGRELMQRMALRSKARVARLLSSSATAPVSPGGCAGGVLGSSEYLVHLAIGTPPQPGQLTLDTGSDLIWTQCTPCLSCFDQALPCFNPSTSSTLALLPCSSTTCQDLQLTSCGTGNPKSWPNQTCVYTYSYGDKSVTTGLLDVEKFTFGAGASVGSSVAGVIFGCGIFYSGVFRSNETGIAGFGRGPSSLPSQLKAGNFSHCFTAVTGSKPSTVLLDLPADLYKDGRGAVQTTPLVQTLYYLSLKGITVGSTRLPVPESEFALRNGTTGGTIIDSGTAITSLPPRVYRLVRSAFAAQVKLPVVAAGNATGPYVCFTAPPRTKPDVPKLVLHLEGATLDLPRENYVFEVEDAGNSYLCLAMIEGGDMTTIGNFQQQNMHVLYDLQNNKLSFVPAQCDKL >OB03G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10119488:10125780:-1 gene:OB03G25120 transcript:OB03G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LN86] MAALPVSAHPAPAPASFRPSAAAASRFSPCAQVAGPASDFRTQVCGLRCLIAAKLKFRKTLKRHGWQHRRNLKVQANDSGGETSNTCPDTVESSTSEKSLKNGKLNPSETHPPVLKEDPILFDDQPESPAALCIAVIGATGELARSKVFPALFALYYSGFLPRLLGVIVIVISHLLDFILQNVGIFGYSRKTLTDEDLRSIIEANLTCRVDHHENCDEKLNEFLKKTYYIDAGYDNKDGMGKLNSRMSQIEGNCAANRIFYLAVPQEALLDVALPLADIAQTTQGWNRIIIEKPFGFTGLTSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGKYFGNYGIIRDIVHSHILQTIALFAMEPPVSLNGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSVEIDRYTKSMTPTYFAAAMYIDNARWDGVPFFIKTGIGLMKNRAEIRIQFNHVPGNIYHERFGHGTDFDTNELILRDQPEEAILLKVNNKIPGLGLQLDASELNMLYRDRYNVEVPDSYEHLLLDVLDGDNHLFMRSDELAAAWNVLTPVIQEIDQNRIAPELYEPGGRGPVNAFYLAAKHGVRLDDEWVLPLLLDIADCTNPGQQRRTNACDVPTSKV >OB03G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10126261:10130520:-1 gene:OB03G25130 transcript:OB03G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTEDKNQMLDGQSGGIMPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEIQKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >OB03G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10147065:10148093:-1 gene:OB03G25140 transcript:OB03G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAKNKEEASVHAQQKAVYIPVYPWNHGVACRTAEGTELRSILPLATSSLGRTPSSHGHTRSGTAWPWEPRPRRRRRHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPGPAPPTTPPSPAALPSVHRRLRDAVVVPVLHAQLVHRSRLRHGGTTHMHTLTRRDQTNNKNKTRINKSTSQRADVSAHRRTPS >OB03G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10147176:10148088:1 gene:OB03G25150 transcript:OB03G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAVAEARSMDKLSVQHGHHNGISKPPVHGGKGGGGRGSSRGGGTGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVAPPASWPRLPWPCRSRACMTMGAWCTAKTTGRVVGSIGAPFLLLFYMPHHHGYTGIYTAFCCACTLASSLFLAR >OB03G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10160084:10162809:1 gene:OB03G25160 transcript:OB03G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISILTTTVLQTPVPPLQRAADITPVFNRILMNEQEEDFDGPPQKEIPALIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERRCLPDLPCETDIMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYNFQAPEEKDFSKEPPSLPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFRSISDNLQELWLVLYMMYHHDVWPPGLFTSEGPKHPEFLHISISLFSMLKAQKATELFALSFRAAQLECSAAWSLRQLLL >OB03G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10163087:10164268:1 gene:OB03G25170 transcript:OB03G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRSVNSLQSLASTAPSTMLLLHAGAPPTYSATVKKGQQRERIHVGVVTKESSRGRGRATQPNRLESQTEMSMGNYRRGSTPRSRLREDKFFPSPSSRNITGFILNSSPITTRIFSPWFFPWLSGGQRGGERALGVGRRPERQQTASGAAANVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASGGPARREAASRRAASTATGRCVGLPTSLAPLDGLGVGMQPVETGV >OB03G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10170115:10171116:1 gene:OB03G25180 transcript:OB03G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESAPPSPAATTPRPFPTKPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMQAAAASDVPTPEATDKGSYDQWKDGRDADPSPSPSPSPQKPRSEEHYPSPDSVLDAITSPRFPCRKRSSPCTDLDADRKLSSGIATVGSKIVKPSRTLVFSGTFTMVILLTYTFRKVLHQVSIYQSTAISDKSTYLCGDYCKIRPCNELHAVAMYHHPVVAIEAIPRWMPPLLPSSEIISWRHRRRWGLEAAASGRSRAMAESVGEVWGQGADEERHEAGRVGAALERAILHDLVGDVVAELLTQPPPHPSSSGHGAGAAMCRKRLVF >OB03G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10176888:10178651:1 gene:OB03G25190 transcript:OB03G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OB03G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10179740:10184317:-1 gene:OB03G25200 transcript:OB03G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LN94] MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENDEPVAIKILDKEKVQKHRLVEQIRREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILFVLLAGFLPFEDENIIALYKKISEAQFTCPSWFSSGAKKLITRILDPNPTTRITIPQILEDPWFKKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYKRETRFTSQCPPKEIITKIAEAAKPLGFDIQKKNYKMRMENLKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKFYRTLSTQLKDVVWKCDGEVEGNGAAA >OB03G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10197397:10199777:-1 gene:OB03G25210 transcript:OB03G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small and basic intrinsic protein 2;1 [Source:Projected from Arabidopsis thaliana (AT3G56950) TAIR;Acc:AT3G56950] MSPPPPPPSPSRGRIRPWLVVGDLVLAAMWVCAGALVKLAVYGVLGLGGRPEADAAKVALSLVYMFFFAWLEGLTGGASYNPLTVLAGALASRGGPSLYLFTVFVRIPAQVLGSILGVKLIRTALPNVGKGARLSVGVHHGALAEGLATFMVVMVSVTLKKKEMKGFFMKTWISSIWKMTFHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWIVTYLTKPKNIKEQEEDESKTKKE >OB03G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10200058:10207976:-1 gene:OB03G25220 transcript:OB03G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRGCLDCSLFHMLVLVCGLCQVLFVGTVTGQTAQFSVDASPQNGQTIPDKMFGIFFEELNHAGAGGLWAELVSNRGFEAGGINTPSNIDPWLIIGDESNIIVATDRSSCFASNPIALRMEVLCRASGTNACPSGGVGIYNPGFWGMNIEKTKIYKVSMYIRSSDSVDLAISLTSSDGLQNLATHTITAEKGDFAEWTKVEFDLQSGERNTNSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWDYWTDDGLGFFEFLQLAEDLGACPVWVINDGASLNEQIPSATITAFVKDVVNGIEFARGDPETTWGSVRAAMGHPEPFPLYYISIGNQECSKPYYKEKYIKFYSAIKASYPDIKIISSCDISSISPVNPADLYDVHVYTSSGDMFAKTRMFDNTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLEKNSDVVEMASCAPLFVNDNDRRFSPDAIVFNSWQHYGCPNYWMLYFFKDSSGATLHPLTIQVSNYDQLVASALTWQNSNDGNTYLKIKVVNFGNKAVNLNVSVTGLENSIQAFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAAEQMGVIVDPYSLTSFDLLLDSGTGISESSLHPSM >OB03G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10236163:10240962:1 gene:OB03G25230 transcript:OB03G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G27060) TAIR;Acc:AT2G27060] MRLVILCLSIWAASAAAAMAGTDMEALLEFGRGIRQDSSGHQATPWNPTNALDSDGCPVDWHGVQCNNGQILSIAFDGAGLIGNASLSALARMPMLQNLSLSNNKLEGVLPHDLGSMTSLQLLDLSNNMFSGQIPAEFTKLASLGHLNLSFNGFGGALPLGLRNLKKLKYLDLRGNGFTGKLDGIFAELQSPVHVDLSCNQFSGSLTSISDNSSVVSTLQYLNVSHNLMSGTLFESDLMPLFDSLEVFDASYNMLNGSIPQFNFLISLKVLRLQNNNFSGSIPEALFRQTSMVLSELDLSCNQLTGPLRRVTSINLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVVRTWGNFIETVDLTSNRLTGTWPNETTQFLRLTSLRISDNLLTGELPAVIGTYPELVAIDFSLNQLHGPLPGNLFTAVKLTYLNLSGNSFAGTLPLPNSEAKSSIFIDFLVLPVQTSNLSFVDLSNNSFSGSLPSGIGALSGLALLNLCQNSFSGKIPEEITKLKHLMYIDLSRNNFNGSIPDSLPDDLVVFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSGSQNGPDNSGGGRHGMKHGILYALIACVVVFVTGIIVLLLVHWKISSWKSSEKGTSQSKQPATVDECSQRHTEAPTSEMQEVSLESSSSTEYVGNPLPGKERQREAQDVSVHADQTGSSSTIKDSMTSLMPPLTSSPPDSRAQHQHSVLRVHSPDKLVGDLHLFDNHVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGSVKHPNLVSMRGYYWGPKEHERIIISDYVDATSLSAFLSEFEERNIPPLSLGQRLDIATDIARCLDYLHNERVIPHGNLKSSNVLIQKSSASALVTDYSLHRLMTPVGMAEQVLNAGALGYSPPEFASTSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNEGVVDLTDWVRMLAREERVSECYDGRIVEAHGSGGAPKALEDMLRIAIRCIRSASERPEIRTVFEDISSLSP >OB03G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10242182:10245453:1 gene:OB03G25240 transcript:OB03G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06200) TAIR;Acc:AT3G06200] MILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFVTKDDFLAMIEREELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVKRMKNFDYVVVNSEGNLEGAVKQVESIIDAEKAKVHKRTVNI >OB03G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10246294:10249824:1 gene:OB03G25250 transcript:OB03G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETPRSQPPPRGNASSYQAERPSTSVTMSSATGRTRRSNPPPAPPKRPETQKQGAPRAHNTARPDKLPRPRELCVVVVVLKDLDLTTRTRPCHFSGRGARPSAVLLCCGRARLAASCVATPTFPQFPSPLGRRVRRVGYLPPEPELRQAKLISSSLAPRPSPQPLMAAQGIVESVQAYTGLSPAAAVTILALMLATYLLVSSLFVAPDAAPPAPAAPRDPPPKQRKEEQQKQQEEAGEEPGAFVPYPDPVQVGEITLEQLAAYDGKDPAKPILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPIDLTGDLEGLGPDELEVLQDWEDKFKERYPTVGHLASENATDGNHSGAA >OB03G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10258406:10260098:1 gene:OB03G25260 transcript:OB03G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLPREEGEDHFDRVPDSLVLLIFNRLADARSLGRCSAVSKRFNALVPLVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGSTLQSCVILGGTRVDRAAAVPAAAATAAGDHEASQGDDSGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLETVALTDAHGQGTLSMGRDQIKEFRDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVADAFDGPYREAVSALSKRRTYLLEMNGF >OB03G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10265078:10270293:-1 gene:OB03G25270 transcript:OB03G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFLSEIRLYLYSATDSKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCNIHGFVNVNKVAGNFHFAPGKSLDQSFNFLQDLLNFQQENYNISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >OB03G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10271506:10276361:-1 gene:OB03G25280 transcript:OB03G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSKNEDDKAIVLCHERKRYVREALDGRCAFAAAHFAYIQSLRNTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIRQKSTNQSPSISHQASDSFSPVPSPLSSGRFHINHMKSGGNPVMTIEEKVPVPVTATLQSSRRVPKAVHELDDSSTFEAPPGTPPWDYFGLFNPVENQFSFHDEKEPGHEFENADDIRRLREKEGIPELEEEGEKTPVRPDNIRHFHEEKTPDPKHAEKSPMNGREDDFAESEDDFDNPSSEPLVRVFQNRNDMPVENTMMNQSPARASEKLASENSESQTDRPNNDKKVLDISMYGSDESPVASPVKEMTSSVAVLPMNGKSKEPFHDVRNGVKDLHSCMKEIEILFIRASDSGKEVPRMLEADKVNFRPLLPNEKAHGSKASGFFASFFACCGGEEIPIPQPPPEAEVKYLTWHRSVSSLSSSSRNPLGATSKDDVDGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESKDESQMSIDRTRAVVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSAMLECHRHQHEIIKLVSSSKVLIRSESQCQAALLLQVELSTLCSNFQKWIAHHRSYLDSLNSWLLKCVKPLRGKKSSRRRKEADTPITKYAVAPVFKTCESWIKLLDDLPMKDLEDAIKGLVADINHSVPHQEKRRGGSKLTSSLSRSGSTKLSFSLSRNRDLNGEMAEIHRNSHPMDLQSSLETFLGKLALFSDVSLQNYMKLTVEIDDAKVEYENVKLTNTRK >OB03G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10288469:10288660:-1 gene:OB03G25290 transcript:OB03G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEPSILHDIDGNKPLKSKSCREIRVIEVEHNPPKGAREEGKFYSQVTRTVNSRWRRTRSP >OB03G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10294864:10296705:1 gene:OB03G25300 transcript:OB03G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPITNASSPSSSAARRSTTSENKNQPLPRHSEAYSWGVGVVEQKPACTELQSCSTECQDGFSAGTIPEETVDAGRFGSIRFFHFL >OB03G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10297040:10297765:-1 gene:OB03G25310 transcript:OB03G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT1G04640) TAIR;Acc:AT1G04640] MSGGARRVLEAWKLGVVKYGDALRLQEKLVAERRAGRVSDLVLSLQHPPTYTLGKRRTDHNLLLPEAELRALGADVHRTERGGDVTFHGPRQAVLYPILSLRAVGLGARRYVEGLESAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGYFKNIVPCGIADKEVTSLRREAALELPPDEVIHDQLVQSLARTFCFSDVEVKDETECADMVCLAAATQS >OB03G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10298563:10298832:-1 gene:OB03G25320 transcript:OB03G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAEFAPSSHWPTLAPVIFGSYLVFRVCLDLVPLAQPAPKQCFTPRCPVNCCASTHFGENQLALGSSGISPLTTTHPLILQHQSVRTSA >OB03G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10299732:10302460:1 gene:OB03G25330 transcript:OB03G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFMELALEQAQFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDVLLREWQGMGLDQPHVAEKFARCDIYVKCESCIMCAMALSILGIREVYFGCANDKFGGCGSIMSLHQSSSTEFSGKEIPGPKGYKCTGGIMAEEAVALFRSFYEQGNPNAPKPHRPVRIAPQ >OB03G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10303074:10303292:1 gene:OB03G25340 transcript:OB03G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDAFWFTDLMSPLNNCHLLSTYNEITQQFSCIRSTQKQNHSMCDDWSKRTLHQALQKLSGQADRRVLLCL >OB03G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10303823:10304230:-1 gene:OB03G25350 transcript:OB03G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPVMTESERRAYRYGQAASPKQRALGQLGCIRKTWSTDSLSSYGGGGGGRAGGGAQACVCAPTTHPGSFRCKHHRHASNLGAAAPAGQVADADAKRQEAQQQEVSSAEQEKATWGRATTVLSRKLGRRRER >OB03G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10309146:10314023:1 gene:OB03G25360 transcript:OB03G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MASEKEAALAAVPNDNPTIFDKIIKKEIPSTVVFEDEKVLAFRDINPQAPTHIVIIPKVKDGLSGLSKAEERHVEILGYLLYVAKVIAKQEGLEDGFRIVINDGPKGCQSVYHIHVHLLGGRQMNWPPG >OB03G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10314657:10317708:1 gene:OB03G25370 transcript:OB03G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCGGGLDVPRPLLGPARARASPRASALRYSSLQAGESLGEEVLRMFLAERQAHGDFVTKISDMVWRRSGTALGVVEAATEQENSADVAQRPEDDVAGGGMLRLAATRDWVSGESSLPVSKRLSAKDRQNESERRKELNLLRYEALKDELLLLTAGVGAACSLYCLLVFSLEAAISYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFLKKKVKRIGIRSEDLKNTVEKTLGGITVALSSPRLVIPAIIFGLSTFSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >OB03G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10316979:10317684:-1 gene:OB03G25380 transcript:OB03G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPVVQYVPQQEPKNQLRRFPDFWKLLQKCCTPNLWFMQPQSSLPPAGAMLSVACDCKSAPDKMY >OB03G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10331493:10336030:1 gene:OB03G25390 transcript:OB03G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCAEQDGNLSLSGRCLATKPTPAIHHRGGGGGVGPAGLLLPTRGVGHRPVETGRFLRGPDYKYFMSMTNLRRRLHHGDVDGRKNEHVDISSVDSLNEPLLGKSSDNGPSEVYDPRARRQDLWGDDRKKEQLHWSFLFSNLIAQWAQWLASIIVSSGSLFGRLFPFSLDNQNSSSLYLSPLQEDRLNTLRCRLQIPFDGSRVEHQDALRQLWRLAYPNRDIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARNYPGSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVPFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >OB03G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10337051:10338036:-1 gene:OB03G25400 transcript:OB03G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAHHGGGILESVQEGAKSFVSAVGRTFGGARDTAVEKTSQTAEATRDKLGEYKDYTAEKARETNDTVSRKTNETADATRNKLGEYKDYTAEKARETKDSVAQTASDASKATKNKLGEYKDALAGKTREAKDTTAQKATEFKDGVKVTAQETRDATADKARQAKDATKQKAGEYSDAAKDTAQDARDRSWATTQTAADKAKDTADTHDADRYTRRNTRLLLAIDPTLLTFRVRRGPGLFGALGNVTGAIKEKLTVSPAATQTQQQQHLGGEDERAVKERAAEKAASVYFEEKDRLMKERAAERVDKCVERCVEGCPDSTCAHRHGKM >OB03G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10353914:10359325:1 gene:OB03G25410 transcript:OB03G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKEEERNERVVRGLLKLPPNRRCINCNALGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFTTQEVEALQNGGNQRARESFLKEFDAQNMRLPDSSHVNGLREFIKAVYVERRYAGGRFSERPPRDKQNQKNYEQEHRRANSYHSFSQSPPYDYHYEERRNGKQSVMLTRKPGSDRGHDGKMSGFAYSPQSLHGRMSEDRFANENCGPRTSDCSGSTVSDTFRTAPESPNFLDKGCSSPSMQQNLSNMQASSGINQSELRTISTGNMDSASLKSGNSSLADMFFESDKAKRNQQTKDDAITPSFTTFSDAVNVAQKDLFNEPVSQQQLVTVLDRPVDLFANMLPATPSADKMPTAAPSIDNPGWATFDTPPEEKQPGLTGLSGIAAIDKQALSCDLFQFEQFEPNNGQPTWLQSSKTSENNSSVTDQSAVPCKYTSSDASNSQAWSAFDASVSTQESLPDLPLVSSVEPNKPIGENNLQLWHSFDDASETMTHNLSNAQLQSNVHKRVDDNSLTTSNPFNCSIASKESIGNDSQELLIGGFAPNEPFAPFPEPSPLFATTLVGGTSAEQMPLNPFDLPFDADKDSPDTFMDVTALQAALPNAGLSTSFVDGLPETWFPNNTAAYVPPGSHGGPPCLVEQIPNSPLRNITLSAVSTGNPFA >OB03G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10361025:10361489:-1 gene:OB03G25420 transcript:OB03G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACARPVGVGYLGGGGGAASWCQQHQRPRRAWAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRETWGSRMRHAAARAQPSPL >OB03G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10377706:10383565:1 gene:OB03G25430 transcript:OB03G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASAAAPPPPPPVQPHMAAPPYGAGLAGILPPKPDGEEKKMEEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGFLEVLSQSTETIKVPTAHYEFGANFIDPKLILVGRVMTDGRLNARVKCDLTDDLTLKVNAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSFGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHPKKNYKFGFGMTVGE >OB03G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10386328:10386945:1 gene:OB03G25440 transcript:OB03G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYTSQLLSAHAHAHAHPRSPRLHMSKRRSRSTRIDAMAMIMPPMASAGAVAALLVLTSLLQPRAARAQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRCPPSAASTRPRRGSALPSGCPSPSRRAAPSRRSQVRHDRSPPRAVLTLRFRYAFSVSFSQCDRPKL >OB03G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10408510:10408893:-1 gene:OB03G25450 transcript:OB03G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHALIISQREMKKDYRSTGETFHKRIYFYGFYFLLYPERHGLLETRTCSHVLYQKGSEDIHKKRRKQETETDQAHIKWRTDGSAHCLRGSRKPKTIAFGHKLDAKQDAPVPLCISRAEFTTAMYS >OB03G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10409919:10411781:1 gene:OB03G25460 transcript:OB03G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDRMKLKRLKELQQSRGKDPAGGGGDLSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELASGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRGPPRAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEWWPALGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELFLKLHPGARPPVPSGGIASAISFNASSSEYDVDVVDDCKGDEAGNQKAVVSDPSAFNLGAAILSDKFLMPATMKEETTDVEFIQKRSAPGAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARNSHQYTCKYNDPLQQSTENKPSPPAIFPATYNTPNQALNNLDFGLPVDGQRSITELMNMYDSNFVANKSLSNDSATIMERPNAANPRMQMDDGFFGQGSAIGGSSGGVFDDVNGMMQQQQQTTPVQQQFFIRDDTAFGNQMGEISGASEFRFGSGFNMSGAVDYPGAVQGQQKNDGSNWYY >OB03G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10418068:10418238:1 gene:OB03G25470 transcript:OB03G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNQLEIYNSSATICNHGYVMSLNKKTFETQNCLTGLVQDDCLFIKKSNNMTPEL >OB03G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10421095:10424331:-1 gene:OB03G25480 transcript:OB03G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKSWLEMLKAKDITEKIGTFLFNLAWSKGTRLWNVEEEAEKLRRTEKRIRALLTDAEQRRYIDDESVKLWLLELKAVAYDAETLLDRLTTFTAVAKLDSTEPSRKRKRSWLPVQLGPRQRWGLDAKIAEINERLDEIARGRRRFRFQQGDAARRAQPGQRPRFLEVATCPDESSQSQIIGRGKEKEEVVQALLSDHDISLPVISIYGAAGIGKTTLARWVYHDDKVQDLFPTKIWVCLSDKCGVTKATKMIMEAVTKVKCDALSLDILQQQLREHLSTTKFLLVIDNLWAEDYNFWELLRCPLLAGEKGSKVLITTRNERVWRRTSTIHPLHLKGLSLEECWLLLKKYALLNGQGMENDSLSTIGRMIARDCQGSPLAAKSLGMLLSETNGEEEEWLNISNQMRILNEDQNNILPSLQISYHHLPYHLKQMFALCCLFPIWHEFEKDEVIRLWIAEGLIQCNGRRRLEAEGGRFFDELLWRSFFVSSDSSTNQRYRVPSLMNELALLVSKSEFLCIEPGNLQADINADLVRYASILCQKDESPELSMLYIYENIRILRLSTQVRIPLKCVPSDLFLKLRCLRTLDISNSQLEELPDSVGCLTHLRYIGLRKTLIKRLPESISALFNLQTLDLRECYRLTELPEGLSRLVNLRHLDLHLEWDRVVPIAMPRGIDKLTSLQTLSRFTVIADGEAYCNMKELKNINIRGELCLLKLEYATHENVKESNLSGKQYVENLMLQWSYNNSQAVDEESTRVIESLRPHSKLRSLRIDRYPGKNFPGWMGESSFTYLEDLWICNCKNSQLLPSVGELPNLKKLHLEGMHSLQSMGALLGFPSLEVLTLWDMPNLQTWCESEEAEFPKLKELHISHCPRLQAMTNLPPELSKLEINNCGTLCSLPVLQHLRDLVVHRGSDQLIVWISRLISLTSLTLMHFLETTDIQQLQHLSALKRLKIGGFKQLLSVTGNSSMEALSSLEFLEISSCTDLQQFSVVSLQSLKDFKLRHCTKLEALPTGFCNLGSLRRIEIHDIPNLRIDAGDLVLPDSVSCLTLAGCPALEDWCKNAGAQRVNAIPNVKIGF >OB03G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10430420:10432625:1 gene:OB03G25490 transcript:OB03G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKWGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCGAVRVRDAAAADVVFVPFFASLSFNRHSKVVPPARASQDRALQRRLLEYLVSRPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVASLDKDVIAPYRHVVPNFVNDSAGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVRGEDAVKKGFLMNLIKGISREDWTRMWNRLKEVEGHFEYQYPSQNDDAVQMIWKAIARKVPSIRLKVNRLRRFSRFETNRMDETPTRSPWLESQAS >OB03G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10433667:10433840:-1 gene:OB03G25500 transcript:OB03G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTCFSSCAKTFISSSIHPNQAKMMQLSVALLKQTPWTHELSSTPSSAATAASAPG >OB03G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10436044:10440042:-1 gene:OB03G25510 transcript:OB03G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT4G26410) TAIR;Acc:AT4G26410] MASPDASRGPAQGEEAASTSPWPLRKLQSLTPGLWSQYKAYEDAFVHMAKGTVSDALVLVNEHQAEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIKQLKKDSKYTLDKIAIGESDLQRGQTDFRSTGKQIRSLISSIYKAESTATGLMDRLRTIPTRQSLELRAEVASMASDLKGQRYVLEERINKISEYGVRV >OB03G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10437253:10439372:1 gene:OB03G25520 transcript:OB03G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTPSYFAQHHSNYTFSVLKRPRVLRYKNLRGPLNKMNPATVAHPIASAWCSFTRTSASETVPTM >OB03G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10442178:10443146:1 gene:OB03G25530 transcript:OB03G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPSGTCRYFCYRCSLIVRPEVGIEEVQCPHCHSGFVEEMAGAEDDGRRSGNAVGGRRAAGEENADDDATRALPPWAPMLIDLLGVSSRRHGLDDDGSGDLAAFARRQYRNIALLQLLSALQDDDEAGGGDTPTNSGREQVVLVSSADARAMLTDGNGAGVAAASGFTLGDLFLGPGLDLLLDYLADADPNRQGTPPAKKEAVAALPTVRVHDAAATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEAHSSCPVCRYQLPTDEPTAGNAIAAEGGGGELIGNARGGGDGESSGRRRWLSWPFGGLFSHRSSGSSSSS >OB03G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10445669:10448069:-1 gene:OB03G25540 transcript:OB03G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3LNC8] MEAALDLDLGGAGPEETWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLRRAMELTGLICAVMLDTKGPEIRTGFLKDGKPIQLKKGKEITITTDYSIKGDENLISMSYHKLAMDLKPGSTVLCADGTITLTVLSCDREHGLVKCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDILRWGVPNKIDMIALSFVRKGSDLKLVRSVLGEHARSILLMSKVENQEGVANVDEIIANSDAFMVARGDLGMEIPIEKIFYAQKVMIHKCNMKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGEYPELAVKTMANICLKAESYLDYPFIFKKLCSEAPVPLSPLESLASSAVQAANGSHASLILVLTRGGTTARLVAKYRPAMPVLSVVVPELKTDDSFNWVCSDEAPARHSLIVRGLIPFLSTVSSKASDAESTEEAINSAIDYAKKLGICNSGDSVVALHRVGGYSMIKIVTVT >OB03G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10448753:10448968:-1 gene:OB03G25550 transcript:OB03G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCRRPSCSCMTATLGEGIFEEAVAILATTNTNDDGLLGHDEFLRLVGQPEEEEMRMSCLRGAFDMYTAE >OB03G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10457424:10463389:1 gene:OB03G25560 transcript:OB03G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQQIQNHSQLLSSRQSFPSERHLLMQGGSVPGESGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANVGNVKNALVCTTATEKPSEGNRSPVSHLTLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTVCDGSQKDQDILSISLSAQKGKEIGCMSFDMHVKERGSEDLFLNKLSRRPSNHQERCERRDGFSMSCQATKLDLNMNDTYGGPKHCKKFDLNGFSWA >OB03G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10461752:10462021:-1 gene:OB03G25570 transcript:OB03G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPCSICELSISSKPEKACWRHSVDTFETNSDNSIFAVSRPTEPAFCFASVSCAFSRTDCRYFPCASIRSCRCLCTCSNVIASSLHAR >OB03G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10467995:10468294:-1 gene:OB03G25580 transcript:OB03G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGAVLHQQSRMLSWIEDHGSSTSIYIDRLIAYIENNALNILARYEKQYMYCSVDQQVQQLLTYIYRNSSLWSSSSLEYGLPYGRVSLLIISIIPLQ >OB03G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10468775:10470886:-1 gene:OB03G25590 transcript:OB03G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGSACQYEQQASSSSSSGSTGGSSLGLFAHGPGASGSGGGYLQASCGASASSAPLAPGLMGDVDSGGSDDLFAISRQMGFVGSPRCSPASPATPGSTAAAAQQQFYSCQLPPATITVFINGVPMEVPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILMQSLQMGESYFLVTIHLQASLVFRLSTDLSQCSFVFMKAPH >OB03G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10490209:10491569:1 gene:OB03G25600 transcript:OB03G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIRIQCRASDELSLTLVNGEVILTRADPRDDRQARPSSSLLRFRVWYKNVTYSAGLKDEAGRPAFALVNKAIGYALKHSFGYNHPIYCKYRQLLHVHFFPLSHQVRAVKFEPCYLDESVLWTESESHDVRVGFRRIHMINNADYIFDAEDPKCDGARDGTRLILFRWHGGDNQLWRMAPCTEQAPDHGPPVRVVCQRDKNLCLTVRDGAVELARVDREDPKQQWIVSFRNTGRVTDGEGHRSFALVNRSTGKAMKRSGDKEPVELVGHSPDSVDVALLWTRSDDLSGGFHCLRTVRDVDLVLDAAEGVPGSGKAQDGTPITVFPWNGGSNKKWAMFPLH >OB03G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10494342:10499282:-1 gene:OB03G25610 transcript:OB03G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LND5] MAEDHHHGSSRHMSASQKELGDEDARVVRVGDAERTDERMEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDARRHRSDRAENSRLAAVLSPGAGTQFAPTKWKHVRVGDVVRVYSDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLTGAVIRCERPNRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTTWAIGVVVYAGRETKAMLNNAGSPTKRSRLETQMNRETLFLSAILVALCSLVAALSGVWLRTHKAELELAQFFHKKDYVSHDTNGNYNYYGIAAQIVFVFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTNLYDASSNSRFQCRSLNINEDLGQVKCVFSDKTGTLTQNKMEFRCASIQGVDYSDVARQQPVEGDRIWAPKMAVSIDSEIVELLRNGGETEQGRYAREFFLALATCNTIVPLVLDGLDPKKKVVDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDINHSTEKHLHAYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCGKSLDDAISMVKKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFFMIDSIWQSLAIFFIPYLAYRKSTIDSASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKYQDSHDVTHPEVQMSTMARA >OB03G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10506532:10512800:-1 gene:OB03G25620 transcript:OB03G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LND6] MDVPTRLPDPVPSPILRHSPSSGSAMSRSLRSLADMPSVTFAADMRRSASERAGSQRDLRDEDARFVYINDAARTNAPPARFPDNSVRTTKYSILTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVLVDGVFQPKPWKEIQVGDLVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPTEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTILCTLVSLLAGIWLSDHRDKLGVIPFFRKYDFSDPNEVDNYKWYGRGAEVTFTFMMAVIQFQVMIPIALFISMELVRVGQSYFMVQDKHMFDDKTQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETVGGAADGHAVTDDGVVLRPKTVVKTDPKLMATLRDGTGAKADAARDFFLTLVTCNTIVPIIVDDDEEEAADGDPAAGTGGEGGPADGDPAAARRRRLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGNRQRVYQHGSEECEARGKQHIRIKLSQMSPNLGP >OB03G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10518067:10521743:-1 gene:OB03G25630 transcript:OB03G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEPCWRMNSSFSPPMSRRWDCRNPSDGFPHRVHDTPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSDYPHSSERHLTAVSSFSSASPFSESSQLASSNKQPYMHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNAGRTTPSEDSPLWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYEFGYDGDAIEISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVRNSDMPVAAVLPCRHIFHADCLEESTPKSQAHEPPCPLCTRGTDDEGCVPFSEPLHVALRSARRNQGNSFPLGGAGGSTSANPSRNDHALKRNQSALVARQGGAMFRNRFKKQFPFKGRFGKDLFAGRVFRKVGSSSSSGQQDDRRQPKT >OB03G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10531583:10533798:-1 gene:OB03G25640 transcript:OB03G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGQAVKGSVVVSPCGNRGFLGRRRGAVASARMAAAPTALRIGRGSPFLGGRLAVGPRRSRPVPRNLVAPVQMNLAFAKAVKWWEKGVQPNMREVESAQDLVDSLTNAGDRLVVVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHKPDRCSLGPTRGLEESELLALAANKDLQFNYTKKPELVPSGDATAAQEVAHERPKLSPPVKPLVKQGSEERSLVSSGR >OB03G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10536437:10539771:-1 gene:OB03G25650 transcript:OB03G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPAPPPPPPQLSATNKLHLTDLSSDESELESSDEDVDKRKVKRMKHETIVGPAVDKSIAHEAVGVKPAALVSSELQVIKKKNPVLQINITAKTAQKELTVQSTTDKELVPTDEQVEEKHFVTPQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSLFENMEIARSSLSIKLMQEGRMRGQAFVTFPSVELAQRALSLVHGCVFKGKPMIIQFGRNPAANKSS >OB03G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10548316:10549717:-1 gene:OB03G25660 transcript:OB03G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVVNQPEVEETAAVLDLPPGFRFHPTDEEIVSHYLTPKALDHRLAAGLDLPPGFRFHPTDEEIVSHYLTPKALDHRFSSGVIGEVDLNKCEPWFLPGMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPNQLPRSAKDQWAVCKVFNKELALAAKNGPMAVTVTTTDDEEIEPDFLSEFIDPELPPLTDPSFVADVDGADDTKGGTTSTSGKAAVAAYHATLGGSYQQVKVEETLPLPYLHQPQRALYSGQYFSLPAVHPGHLTPSAIRKYCKAEQVSGQTSALSVSRDTGLSTDPNAAGCAEISSAVSQPFPEFDDPILSIDEFWNYIHA >OB03G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10568315:10570276:-1 gene:OB03G25670 transcript:OB03G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGQPAQPQHGGGGGHQPTFKIFCRADEGYCVAVREGNVVLAPANPRDDHQHWYKDMRFGDKIKDEEGNPAFALVNRATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYAGGSANAPRGGSEPTVRIFCKADEGFSVTARNGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTTVVLWKWCEGDNQRWKIVPW >OB03G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10588948:10590769:-1 gene:OB03G25680 transcript:OB03G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWVLPSKAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSGGGGGSNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNASMRLDDWVLCRIYKKSSHSQPTGVPPLSDHEQDEPGALEENPPLYAPSSSSSAAMILQGGAFPALNAAATQRTMMQKIPSISDLLNEYSLSQLFDDGGAAALDMTRQPDNQHHHHAALLGHPVMNHFLVNSSMAQLAQMDLSPATASTSAAAGAHVEAAATGKRKRSDAEPQTSQSPPAAAAAAKKANGSCVGATFQIGNALQLQGSLGLGHQMLLHSNMGMN >OB03G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10608170:10622230:1 gene:OB03G25690 transcript:OB03G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanyl-nucleotide exchange factors;GTPase binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT4G16340) TAIR;Acc:AT4G16340] MDSAAATGEGQRFKRIPRQSLAGNLELDPLLNESLDQWPHLNELVQCYRADFVKDDCKYGRYESVAPPSFQNQIFEGPDTELEKELQLSNDRQSKPDEVTEDDMPSTSGRQIYETEIPASSSKKHCSLSPLPAYEPAFDWENERSLIFGQRVPENVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNKDRREKLSEDFYFHILPTEMQDAQISLDRRAVFSLDAPSPSACLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYRESFAWSMIPLFDSNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLKLEVEKLHNGHNDMDNVSEGGSMANDLNDAGELNNGRYSRSSFDGIHGSLNSTAVTQKDAHQNGQASNTESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTIGLSRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFFHLDLQMKPEAPKPVVVGYAVLPLSTHIQLLSDISLPILRELAPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDFAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIVRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSISTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETQRLRKSLEEMADVRSKDLLKDCGLPVAALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRCAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNADVNSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHMSLKDIYESILDQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >OB03G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10623326:10626757:-1 gene:OB03G25700 transcript:OB03G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKNSPPAIRRRGVGMLRWAGRVASSIVLWTVLLHFSSILGLPRPPLLAARPSCLGGGSGSNSSASSAAVTVVAAEDVAPPVVPRRRVYKSNGYLLISCNGGLNQMRAAICDMVTVARYLNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFNRKVPFSMQPISWSSEKYYLRQILPLVRKHKVVRFSRTDSRLANNGLPLKFQKLRCHANYNALQFAPSIEALGKKMISALRKTGYFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPKGTRIYIASGEIYGGEKRLTVLKTEFPNIVRKEMLLSADELRPFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFMGFYKTIQLDRKRLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTKRKAIPGQPKEEDYFYANPHECLGAARKRREKLKHTET >OB03G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10628219:10629654:1 gene:OB03G25710 transcript:OB03G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSLLAAILLAAAAGVEALVFDVPSGGSKCLTEELRRGALSHASYRVAEATSAAASTVSARVAGPRGEELHLAEGVEAGDFRFEAAEDGKYTACFWTPRYDRGAAVSVDVQWDTGVRSHAQPAVAAAKEGGVDSITGELKKLEVSARLIHEEMISLHRSEDEMQMLNEDTAMKIHFFTLLSLAVCVGVAGLQLWHLKTFFQKRHIL >OB03G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10629945:10635049:-1 gene:OB03G25720 transcript:OB03G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTPVLLISFVLVAMPRAPASATVEGSAGELVAAAGRRWGPRAVSGIGDGSTKYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYANDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRTLGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINYLLGKLGEPYSQTVGVVDLGGGSVQMAYAISEKDAAKAPKVSEGEDSYVKKLLLKGATYYLYIHSYLHYGLLAARAEILKAGEGNDYNNCILEGHRGNYKYGDDIVEVSGSSSGASYSKCRAVAVRALNVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAADAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENIPYLCMDLVYQYTLLVDGFGLDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >OB03G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10661963:10664900:1 gene:OB03G25730 transcript:OB03G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLQSVMAQARKELSLLPASSPTVAGQSPFLLARQNSGRGAAPSPSPLSASSPSSWAQAQPFSRSNGAADDVVGAREELISPANSGGGAAANAPPFFPRGGDALLDDFQLQEQLAFLNDGGVNPGHPLPPFDGAECRSPGPGESSGMFPYSLGWANGGPGHRRSASVNELCLGGGGSDGFGWKPCLYYARGFCKNGSSCRFVHGDDVAALTGATMDAATAEQQQCQDFLLRSKSQRLGPAAFPFSPTGSLPCSPSAASKCLSLLLQQQHNDNQRAAAAALMLGGSDEAQKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKHQGDFSGCATPTGLDARDPFDLHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQSIELHSRRIMDLQLLDLKSRAAAAATTAMVMTAPTANAFVSTQPAATSVVESPPDSGEQLKGTGYFTEERKMVNGGGDKEESAGEGSLNADSDQSLEHNLPDSPFASPTRTSASAHQSFTNTDTGVVPTSSCSASHVGVNTGPNAGGGINHLRPSTLDIPSPRDFFSVSRRLASDHGAIGM >OB03G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10663154:10663483:-1 gene:OB03G25740 transcript:OB03G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCASAGTGCAHRAPARRCCSALLGDVLLAERAVGREGEVNLARSGVHHAGEVDAVQARPPHELLCLVAAAQH >OB03G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10679651:10681353:1 gene:OB03G25750 transcript:OB03G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGDKEMIRLAFGPEALLQSVIAQARKDLALLPASSPTVSAAGHAPFLLSRQSSGRGAAPALPATSPSSWAQAQPFSRSNSMSNGAADELLCAGEELSPVNSAGVAAAAPPFFPRGGDSLLDDFQLQEQLAFLHEGAGGVNPGHALPVFDGAECWPSGGPGHRRSASVNELFLGGGGGDAFGWKPCLYYARGFCKNGSSCRFVHGGLSDDFAVAGMDAAAAEQQQCQDFLPRSKSQHLGPAAFPFSPTGPLPGSPSATSKCLSLLLQQQHNDNQRAAAAAMMLGGGGGGHGGAQVHGSAASGPRRLRQHDEPRIAPDLPHLPGRQHVPRGGRLQLLQHLRAGARRAHSVPAEAHVRVRHLRVPRDGEADLGQGQPAFHLRRPRARQALQGEGQGPGQVQEAAAR >OB03G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10679840:10681007:-1 gene:OB03G25760 transcript:OB03G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCASAGTECARRAPARRCCSALLGDVLLAERAVGREGEVNLARSGVHHAGEVGAVQTRPTHELVRLRAPPPRRRASSRPRRLFTRASKKGWNFESFWLGEWRLQFAKVVIPLVVVVLLLQQEAEALAGGGRRAWERAGGGEREGGGPEVLALGAREEILALLLFGGGCVHTGDREIVGEAAVDEPAAAAVLAETPRVVKARFPTEGVATAPAEEELVYRRAPAVTRTTAGPALGSIEDRESVPGVHASGSFVQERELLLQLKVVKERVPAARKEGRRGRRDSCAVHRAQFLASAEQLIRGAIAHAITPRERLRLRPRRRRGRGQRRRGAAAGALPREQERRVAGRRHRGRRRR >OB03G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10700176:10703808:-1 gene:OB03G25770 transcript:OB03G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3LNF1] MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVSRKHFVWTVGVLLAAGMFAGLVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKHNNVPWRGNSCLKDGLSDPAVRRSLVGGYFDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHIRDTIKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAAASIVFKDNKAYSQKLVHGATTLFKFARQNRGRYSAGGSDAAKFYNSTSYWDEFVWGGSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPMFNSFNRTKGGLIQLNHGKAQPLQYVVNAAFLASLYSDYLEAADTPGWYCGPHFYPIETLRNFARTQIEYILGKNPLKMSFVVGYGKHYPKRVHHRGASIPKNGVHYGCKGGWKWRETKKPNPNIIVGAMVAGPDHHDGFKDVRRNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >OB03G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10715863:10720441:1 gene:OB03G25780 transcript:OB03G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWQNAPPTWGQSDDPCGDAPWDGVVCSNSRVISIKISTMGIKGVLAADIGQLTELQSLDLSFNKDLGGVLTPNIGNLKQLTTLILAGCSFHGTIPDELGTLPKLSYMALNSNQFSGKIPASLGNLSNLYWFDIADNQLTGPLPISTNGGMGLDKLLKTKHFHFNKNQLSGSIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGLVSTLEVVRLDRNSLSGPVPENLNNLTKVNELNLANNQLTGPLPDLSQMTQLNYVDLSNNTFDPSPSPQWFWRLPQLSALIIQSGRLYGSVPIRLFSSPQLQQVILDGNAFNGTLDMGKSISSELSIVSFKDNELSSFTVTASYNGTLSLAGNPVCNQLPNTQYCNATQRAAAAAAYSTSLVKCFSGACNAAAGQSLSPQSCACAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLGLTPGSVFLQDPFFNADSYMQVQVKLFPSAGAYFNRSEVMRIGFDLSNQTFKPPREFGPYYFIASPYPFPEDRSSSKSRSAIIGIAVGCGVLVVALVGAAVYALVQRRRAQKAREELGGPFASWARSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSTQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDGLSGKSGLHLDWKKRLRVALGAARGLAHLPHPERAACTSTGRSGCAWRSARRAGWPTSTSSPTRPSSTGTSSPATSSWTSTSPPRSPTSASPSSCPTPRGATSAPK >OB03G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10722116:10724786:-1 gene:OB03G25790 transcript:OB03G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G38460) TAIR;Acc:AT5G38460] MAKPKKPRTTAPDPPTGDARLPWHPPAPPVATGLLISLAALLVRVLISVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSDNDLAYWGLDYPPLSAYQSRLHGLLLNSSLPDAVALRSSRGFESPESKLLMRWTVLSSDLVVFFPAALWFVWAYFKHGVGGTGEEGAAGWTWLLAICLINPCLVLIDHGHFQYNCISLGLTLGAIAGVFSRHELVAAALFSLSINHKQMSLYFAPAFFGHLLGKCMKRKYPIVEVMKLGFVVLGTFALVWWPFFHSYEAAMQVISRLAPFERGIYEDYVANFWCSTSVLIKWKRLFAIKPLKLMSLSATILAFLPSFVQQVRSPSNIGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLVLEEPQLYGWFMYFALFSMYPLICRDQLLLQYIAILGLYGLIYYSPGGSYKKGVNIPSGAKAVMSLTLLCSLLLQIIYLRIEPPKRWPFLFDALIMFICFSQFVILTLYSNYKQWMLDSHSRSIRRKKDV >OB03G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10727448:10733198:1 gene:OB03G25800 transcript:OB03G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPTLPKFMKDITRPRNTQNILMPAQLPNDSMALHPRASVVRSPYLPMLGYDCNPIDPVSSHERQFMAAPFISQSSNVEVFQSLCNNNTHGGHTEATWFPAVSDAPDYMDTITIPDNHTQSGTSTITSDVAKQNEWWADIMDDDWKDILDATATDSQSKSMAQASNSAASHPTFNQSTSSHSGDICPVASPPNNSNASSSKQRMRWTPELHECFVHAVNKLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTAQEGKTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTQKVQDPSSGDAATPSEPSNSVDKDSNAALPNRIGDNHPGLAEFGKFSTNVGANQKTQETDFPDSLATATDGSELSQEKRRRVI >OB03G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10738904:10743626:-1 gene:OB03G25810 transcript:OB03G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LNF5] MKATPPPAKRRRGPRLAVLALVFCSLLVPIAFLLNRFPAVYVTDERPQQEIDLPSFERLGLERSGVVTGVRREDGSGANAETKDTPGMIHMDSSSTNIHHHRDTEPSKPSKVSAKPKVPPPPKIEQLKPEAKPVQVPVRHTENISGNNMKPARVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDAIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKIKIEKMDQTIARAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSTPLDSDDSAVHRFKVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYEHIILENLPEFSTQQLYLPEEFRVFISNLERPTEKTRMEYLSVFSHSHFFIPEILKDLRKVIVLDDDVVVQRDLSFLWNIDMGDKVNGAVKFCGLRLGQLRNLLGKTTYDPQSCAWISGVNVIDLEKWREHNVTENYLQLLKKFQRNDDEASARTAVLPISLLAFEHLIYPLDETLTISGLGYDYAIKEEVVQNSASLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVSP >OB03G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10755658:10759631:1 gene:OB03G25820 transcript:OB03G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARRWELAGHRRLGKGKGKVVGVVVLDGWGEAAPDPFNCIHVADTPALDALKKGAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDMALASGKIYEGEGFKYIQQCFDKGTLHLIGLLSDGGVHSRIDQLQLLLKGASDHGAKRIRVHILTDGRDVLDGSSVRFVEMLENDLAKLRDKGVDARFASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGSPIGPIVDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPRHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFNPNLEKYEEIPSDIGIPFNEQPKMKAVEIAEKARDAILSRKYDQVRVNIANGDMVGHTGDIEATIIGCKAADDAVKIILDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPLRDEDGNVQPLTSHTLNPVPIAIGGPGLQPGVRFRSELPSAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >OB03G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10759551:10764741:-1 gene:OB03G25830 transcript:OB03G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNLELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSATGTFKLSLSGNAKGSSWFTKSTIARFLNIVNSSDASKSVNGILHEISQLEETRKFHQSLCSKDQQNPMGGALSGGVFGTIGIEQQGTAGPNSSEATKNELLRALDLRLTVLKEEIFVMLNRAVVSNMSTTDISDLSAFVQHFGASEFSWLMRCLLLIPDCKHSELSQQEASTAEKNDKRDNALLTRNISSQTIIQRPITNNVSPAKLAQIERESSTESDDSSESSDADKAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPCQRIPLDKDDESGSCNGETDQPPRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNKSEEKTLDSTYQSKSSNTVSDTEKDGTETKAVPGSAYSAVVTPDAGGFHTDGQGVAVPETETAVSSHTEILVDQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSNANITATGINSTNEQKVGLHGQHREKRDPKVRAEKSGRRPAKEVSRPLKETVGQKKAAITPETGTATEKRNSPVPQRARRNSSPLVLPKELTPKVPAKKSSPKPSPSPAPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSTSTPTSRRRTPVVPSPSQPTSKVERSAQLVKNKKETVTASKPAIKGNEEKKTKTATKTSRVPKSSPVSDDKSSATTKPSLYNKVAKKSSVVPLESKPLKKSTGISQTTGSGAVKSKAPQLDDSPNDIENVTQAEDKEQSTVTIQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSPPSLATTEMDSSDLVEPNTEVQPPPDEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGSKTNSILSAQTTTGSFNSTSSDRLRDRTGAAPSTKSSRSFFSLSNFRSSRSNESKLR >OB03G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10765176:10765358:-1 gene:OB03G25840 transcript:OB03G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding WISLWAVDFGFGFDSSELRIWGFGSGHVALVLNFRLVRNLAVLSQCGQCLGLIAELKDLK >OB03G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10782020:10787831:1 gene:OB03G25850 transcript:OB03G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEEEHFQEQLKEKLRNYGERDKEVDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWITFMKLRLDRVLADQFEAETLEDALASNPAELKFDKPDNWTAPYPKYEYGWWEPFLPPKSSNGTA >OB03G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10787833:10788405:-1 gene:OB03G25860 transcript:OB03G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAVNVKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRASLEATVGGYIPAGAAGLRFGDFDALHRALGDALFGPLEEEEPGKRAEDDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRDSDGRVDFGEFKCMMQGITVWGA >OB03G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10799708:10799944:1 gene:OB03G25870 transcript:OB03G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANALAILNEDRFLAPRGWSVSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLVSG >OB03G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10799702:10803472:-1 gene:OB03G25880 transcript:OB03G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLCSWEERIDKNGGNVDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPTKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVDLAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQGKVREEVQEVCEGRPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKDFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPHYEHSPTLKLIVEPEFGVDLTLTRVQGAHRH >OB03G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10810043:10810333:-1 gene:OB03G25890 transcript:OB03G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAMVVVKVLVSLCCVGACCLAAYLYCVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMRRRHRARLPPRRAALLREMEKRLRYVRTCSALIM >OB03G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10810136:10810351:1 gene:OB03G25900 transcript:OB03G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHVGEVAVGERGARPADALPPELGEHPPRRHPHDAVQVRREAARPHAAQAHQHLHHHHGHLLHRSLPLS >OB03G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10822120:10822314:-1 gene:OB03G25910 transcript:OB03G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVDSLVIHCLYFIMLMDSSSAVYCYPIIKIMMICCFLVQRHYNNYLLIHFIQLWKKRNKIRL >OB03G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10827544:10837221:1 gene:OB03G25920 transcript:OB03G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSCRVLAAATRHLLRGSRFDPLPATAAAPVFRHLDEANGPPHPVLKPLRSPLVGGGCGSEQNGRVFPGEYAPLGLRGCFLSDSAYQPRWTCPPRDVRWHAFSTSANAMAAVKSSEDKVQKDVSKKDVDDQIADTQILKNLGKYLLLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTDANVTLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLTAIRSVSRMVFLHLHELDLRYHLCRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSSFAWITSVSVAAYVAFTLAVTQWRTKFRTAMNKAENASSTVAVDSLLNYETVKYFNNDQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSAALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILDGASFTVPAGRSAAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIKEVTLESLRKCLGVVPQDTVLFNDTIKHNVQYGRLSAKDEEVYDAARRAAVHDTIMNFPEKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKTFSVKCTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHDFLLSKGGRYSELWSQQNNSDATDAASVKL >OB03G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10838930:10841661:1 gene:OB03G25930 transcript:OB03G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQLEQLLPFLYIVSWYISSTTVEHCHCESIGREGRRRRRGEGMSGKGKRRSARLLKLEEQKNDDTTATACLLDPWQIIRNSISSARGKRKRNEEIQRFPGEASSSRALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVSDYSERVNRPGDFATLRQKNKDGMYSTLEQFENDVYMVFQKAMSINSQDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKHLVARSPAKANGKDDDGGSSGGGGGGGAPPTAQQRPSAPARKRVAAKTGGAADKSTARQRGGARESNGTPGRRARKAAAPPPATKAAELGGAGAEERRLAYAADETDHRRRMAPVVPRGQHATLVYQPQTAAHTYQDSLRRFVRHAGMKARVAAEFRSLECDVRTRQIPGAPAYWPYGGFASSSGAGTASRFLPRGYCPPQSPPSAAFNAAAVWRGRAADASDQAPPRCTLETDEVLKLLVLIGRPAFMERARRVLGHKRGVGHEKPVTIAGDEGGKAGVPAAKPGKKGSASEPAAIEFGPFAPPKLIIPGRQLGFSQFAGSSVQPFKVTPTTPDVPDKKNKK >OB03G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10841597:10845344:-1 gene:OB03G25940 transcript:OB03G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10850) TAIR;Acc:AT3G10850] MKIIPVACLEDNYAYLIVDESTRSAAAVDPVEPEKVLAAAAEVGARIDFVLTTHHHWDHAGGNEKMAQSVPGVKVYGGSLDNVKGCTDQVENGIKLSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTRVYCGHEYTAKNLKFILTVEPENEKVKQKLEWAQKQREANQPTVPSTIGEEFEINTFMRVDLPEIQAKFGAKSPVDAMREVRKTKDNWKG >OB03G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10848139:10848571:-1 gene:OB03G25950 transcript:OB03G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHAGKAAFHLRVRAHSFRVLRINKMLSCAGADRPMQTGMRGAFGKPPRGLRARARVRIGQVLLSVRCRDAHAGHAQEALRRAKCSFPGRQRVSIRHRQGIHRV >OB03G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10850679:10855750:-1 gene:OB03G25960 transcript:OB03G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPAGLASAMVHSRATAPVALWRLAFVVLLTHFVVAVAAAAALDVAAKPCHSASVDADDGCLSWRVMVEANNARGWRTVPAPCVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADADGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKMWATKGACPGIPAVLELFATLQAKGFKVFLLSGRDEETLATCTSDNLESEGFLGYERLIMRSPDYRGQSSSLFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMYYVP >OB03G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10858706:10864142:-1 gene:OB03G25970 transcript:OB03G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 7 [Source:Projected from Arabidopsis thaliana (AT3G10670) TAIR;Acc:AT3G10670] MENRQEILSGVNLTIREGEVHALMGQNGSGKSTLMKVLAGHPEYEVTGGSVLFKGENLIDMDPEERSLAGIFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVFPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPHNSVMMITHYQRLLDLIQPSYVHIMDKGKIVKTGDRSLANYIDESGYKEIATV >OB03G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10868023:10872718:1 gene:OB03G25980 transcript:OB03G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLILLSLLLFTSLAAADITSEKQALLAFASAVYHGNKLNWDLNISSCLWHGVTCSPDRSRISALRVPAAGLIGAIPTNTLGRLVSLQVLSLRSNRLSGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFTGQIPASLQNLTQLSTLNLSKNSLSGPIPNLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPAALPHRGKKVGTGSIIAAAVGGSAVFLLAAAVFVACFSKRKEKKDEVVDNNGKGVDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIAEKTALDWNTRMKIILGTAHGIAHIHSEGGSKLTHGNIKSTNVLLDQDHSPYVSDYGLGALMSFPVSTSRVVVGYRAPEAIESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPDRRPTMAQVISVIEELRQSAPESRESSNENGCRESNPPSA >OB03G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10873125:10877814:-1 gene:OB03G25990 transcript:OB03G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDAVSSTAETPIQCHSHESAPNDHHNSTDADDAMSTAGEEAPQYQNHEPDRQANHGGTNTNDAMSYVGEGIPSYQNVKPAMTEENHKFSTVAHVNQRNVEMSDSTVELDMHRLYETKLDKLHEAIKQLEDEKSSWLQKVSIMESELEKLHNKVDYHAQNEVRLEEKLNNLQNGYDLLIKKEEVLGNKVRCIEVINGALTHQETSLKERLSGLEETNKALLVQVKMLEGTSSNTVEERQKLIKGLDELVSRLDAFESKVAVTEASHAKKRNELIADRSLSSSGTVTSVNNLINESSSNAYASNHLEETPMHLPETSIDDVASQAIIDVNAYQRSAQNFDEPRTGEEIVPVALDDIQIHEDDPQPPIADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >OB03G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10879351:10889942:1 gene:OB03G26000 transcript:OB03G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06720) TAIR;Acc:AT1G06720] MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGDDDAGGEKKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVCGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWSEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPRESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGLTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLVYDPDAVYININPHLVQFSKTDENETSNKQGKGRDVGVDLVKTLQNPKYSLDEKLEQSFINFFGRKPAAQSEGISASQNDQGDANISNADILESNEDSYSECSSDSEHGNDDDAQLSDHEVDLTEKVEFCNGRLRRKAVSANFKDDDDNEDADEDDTDSENSGDDQLSEGSVSLDDNEHALDSDDETENNAKWKKSLLARTQSRRIANLMRLVYGQATTKLGSTTSERNDSSAEESSDEEFFVPKGQKKQANNESTSFDDLDAEDYSKFFKTKLRDWSDEDLIKSIRDRFVTGNWSKAALRGHELNENEEDDEVYGDFEDLETGEVHKSEVYENSTGNGGAHKQDDLAMEERRLKKLALKAKFDAEYDGSDLSGEEVDNDKRKSKQEESNGGGYFDKLKEEIEIRKQINISELNELDEDIRVEIEGFRTGSYVRMEVHGVPFELVEYFHPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFSGPLAPPKSGVLAVQHLSNSQVPFRITATGWIQEFNNSARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMLKRKGESTEGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDQTWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKVRKFNPVEIPAKLQHLLPFKSKPKDTPKRKKIPVESRVPVLMQPREKKTYAAIQQLRLLKHEKARKKKMQDEKKKKAYEAEKAKTEQLTKKRQREVRRVRYREEDKQKKRARR >OB03G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10893292:10897784:1 gene:OB03G26010 transcript:OB03G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEASELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLSVAETGEPSFAGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >OB03G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10897802:10899808:1 gene:OB03G26020 transcript:OB03G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTPGWRTRGGGXXXXAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPNKRRVRILEEMDTAEKALLRGR >OB03G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10919305:10920423:-1 gene:OB03G26030 transcript:OB03G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAQEPWIILAAIPKVVGYKEAKRIFPPGADISVVRNRVPRASVLTVPPHISLPPCLGAYPYVVTADRSGLLLLLGTHPVNTNSVVVSHHICDARTGEVVTLPELPPMRQMSFYGAANVGLIMKDDDGCMVAELQPRRDSTGSVILRNYKVGGECCDWRERELTCSPPLTRDWYPEGVVSHGGMLWWVDLSYGLLAGDPFAEEPNLLHVPLPQVPDQLRPDVYDRGGRGAHRCVKVSGGRLMYVQIHGDPNVPVVSTWMLAESILSPGEWDWHRHRSSVPLAEVWIHQSYVDTTLPLSIPTLALLHPTDPDRLYFFLQSHIFAVDLRLRKLVAFNQFRMPEPPCQHRLKRTSHFVHAWHYDPSSSRMYL >OB03G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10924716:10927770:1 gene:OB03G26040 transcript:OB03G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGLGGPVLVKAVGWLPLAGLFFRALCSSYSSPEISKGKCNLFDGEWIPNPSGPAYTNKTCRFIDGHQNCMRNSRPDMSYLHWRWKPYECEMPQFDGVKFISGMRNKSWLIGDSILRNQIQSLICLLSEVNAVSLMY >OB03G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10928243:10928824:-1 gene:OB03G26050 transcript:OB03G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFLLQLSATGPLTSNTHRQTSTPAHTITATATSSPPPPPPPLLSSRRQPLVDSIARTSPPPPLHAGVGPSSTQLPGRRRLLPTPAVTPAAPPYPLARSPDVAASTRRQPSRGLLPTPAAKNLGQSPLLSTLAPTHPVAKPNKAGGVEVGDGGVGQVSTPARRREDGGSGRGVAAGWGGHLDISLTKKHAC >OB03G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10957638:10964780:-1 gene:OB03G26060 transcript:OB03G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTTHAQGPKLFRGKILLPMGPPDVVPSENIEFDFSDVFGSTAVQTPTDLSILTPDSPAPLAESNEGIYNDPVVIVKRSHSLVGPSSLVSQSLPISKLTLHGSDSSLDLLDCPKEKQGNQGALSDEELDNTEKENGIFGLEDFEVLKLVGQGAFGKVYQVRRKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGCGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIIKEKIKLPAYLSSEVHSLLKGLLHKEAGRRLGSGPGGSNEIKNHKWFKLVNWKKLDARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFLQRPSPLG >OB03G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10964568:10966392:-1 gene:OB03G26070 transcript:OB03G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRHVSDRALSPLPVPRIPRAAAIRGPIAAQPQEFAEPSTRRRFRRLSLSPPPSTTSPTCKGSTVASRVSCSSGNNGIFPPCLLPGFLGFPVVFVNFHLFKAIVRSPASSVVLGIRGGRLP >OB03G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10969337:10974975:1 gene:OB03G26080 transcript:OB03G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCSCARLPHPACKPKPAPAPAPAPPPPNLSPKRATTTRGPRPSLRTLVARAAPRRDDAPAPPSTFDFLALKRELEEEEEGAAREEVAVEPREGRGVDGAASEDGGDGEAKRRGGGGGGGGGGGGGGGGAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVENEFKLIGLHSLVGYDVVTSRRRNVGKVRGFTFDINSGAVESLELDSFGISIVPASLVSTYCLFVEDVLDIVSDTVVVHEDAISRVQRLTQGIWGTQNIQGPGGQMDEYGRYRRRGARPVQRQNSPRKSNGRKFHRKMRTRDDEWELPMDY >OB03G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10977602:10980843:1 gene:OB03G26090 transcript:OB03G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANTGGIRLVRCPKCYNILPEPPNVTVYECGGCNTILRAKIRVSNAQNVATKQVRHDSDDFSVATTASNGGPPQNRELAFSGATMDSSCAPEAPTTETEHDNNGVSSRENGHAMSVEKNASEVADTDSKENCNLDGQNTSGRIEVPSEEVLPNVNNMDIDSDKEETDNLEGVAENQEDRRVTGGDDMECSLDAAPEHEVPLPHESKPNSELKEATENEDEASKKRFFARAPSRSCDLRESHRASAGSSLDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEDDCNPKTAAYPPRPSDQDGYKPRAPITASVPLTAYHPAAKHSGHVARLSRSGQVPLPPRRELSSLRYRRRRRAYACCHSEQMEMMRPRAPCAHDCCHSCRPPCRHHGSWKNQDDAAVQRPPVQEMKRRLPPRHHCLPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGSCSEILSYSYRDPAKKKLQSPSGDEEYQYSTDDYEIQQGADHRTTGYNEAEPFSYSEEYGVSYSTEDEQPLHVSRNSSFDTVDERNAKLHRLMGYSSASELLLRRRSPDLYESFVERAPAARTDGGKGKAICVADEDDNSSAMKRSKVRGGGLPLHGILKRGIHGLESLKLRS >OB03G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10981933:10982169:-1 gene:OB03G26100 transcript:OB03G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RALCGGGTEPVETRKDGEGLGKEEEAAEELEVLEAEAMGGGDEGRRPTDYDRRAHIFEESSRVFSALKHRHDNGAVGAA >OB03G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10989421:10994749:1 gene:OB03G26110 transcript:OB03G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LNI5] MSNGALLLSSSGTSDSPSKHQAPARTSVGSLGCLCQTDSFSSSVYEDCDTASVNHVDEEEAVSRVCLVSDVSRGAERFESADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRSRRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNRETLVLQSGDFRSKTWKNICAGEVVKIHSNETMPCDMVLLSTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMIIDGSYSGLIKCEQPNRNIYEFTATMELNNQRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSTSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFRQASIYGKNYGSSLHVTSDSSFEISAAESSRQQGSKSKSGVSVDSALMALLSQPLVGEERLAAHDFFLTLAACNTVIPVSTENSLDLINEINEVGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGDRIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRRADDDELHNSSHTKIRESTGNHLSGYSSEGLRTLVIGSKNLTDAEFSEWQERYEEASTSMTERSAKLRQASALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIIINGSSEFECRRLLADAKAEFGIKSSDSVRGSRDVCNGDVSKLTTSNGHISEGGIQNFELTGVIASDKLEYSEKVATFADAELALIIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYESGLQNEGYNLTLFWITMMDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIARESELLKKLPQRLGSRPTSDII >OB03G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:10994888:11000253:-1 gene:OB03G26120 transcript:OB03G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRGPLAALALAVLVAIAAARGADASIHEYSGGGFAPRANSFFFHGGSEGLFASDPSSNSSASFIRFESVTFRRTQESIARHEAMQQKTGLVEAIIVEIQDRSKIGGAYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFNGRDEEANMVTQVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFFGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYGNFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVIYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLALSVLVSIAWIGYELYFNATDPLSELWQRAWIIPAFWNLLSYALLAIICILWSPSHNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDMVNMHVFPEDKRA >OB03G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11002231:11003695:1 gene:OB03G26130 transcript:OB03G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYLYRSGVDTFSVPVQGPSVSVAELKRLIMATARQGHGRSRGRGPRETVALYGDRAGEEYTEEAEMIPTGSTVVVRRRVDGPPVETIVDSSLKKPLSQGGGGGSSSDSGVPSTEAEAVDEERAISAVIEAAELKWEAPSQGGHCYGHRSTHAWRAPPAGYVCHRCRVPGHFIHHCPTNGDRRFGIRRAGPAPASSTAPLASGDPEGVVVPAELYCKICQRVMIDAVLASKCCFDSFCDRCIITGKSKCACGAQARADDLIPNPKLRTTIANLLATIGATSGTEKPKSSAGSNAAEPAPHSPTASQASRSNVSSMTSTHSDGGATSTSKKSATISGVLGQRKARETDGDHSVESRSLAIDGNHHSAPFCPAPGYVDPFFGGMPFGAAYYGGVPYGCGGGAAAAYGYYGEEHRDMKRARLR >OB03G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11019552:11020819:1 gene:OB03G26140 transcript:OB03G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNVGEEMQFNVYRKLERLVGVDEERDDRLGSGRGRSSNKTVAATLDDGHVWRKYGQKDIQNSPHPRSYYRCTHKVDQGCTATRHIQRCENDPSNYVITYYGEHTCRDPSTIIPTGAIANGAGVASDGAAGANNIISFAAVRYVADAATATVGYGASSRLAREGTTSTAGTRPTSPSWGASGGDDVFSSSGEPFMQWDELAAAVVHVSSAAVTSSTVGSAPAAENDGANGDTAAGGGGEGGSGGGGGPGSFPSSPSTGSLGFVVSIGHDGG >OB03G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11028642:11034608:1 gene:OB03G26150 transcript:OB03G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVRWLLVAVVVVAMMVDTAAGRFVVEKNSLRVTSPDSIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNRKACKSFEDFDISFKSTRSGGRPKFVLIDRGDCYFTTKAWNAQNAGAAAVLVADNKLEPLITMDNPDDAGTEHLENITIPSVLITKELGDNLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECSVDVIKSLGLDLDKIKKCVGDPEADEENPILKAEQDAQIGHGKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEDVQTNECLENNGGCWQDKDNNITACKDTFRGRVCECPVVKGVKFVGDGYSNCEASGIGRCEIKNGGCWKEARNGKTISACSNEVSEGCKCPPGFRGDGIKSCEDIDECKDKLYCQCKGCSCENTWGSYECSCGGNNMLYMREHDTCLSKEATSTVGWSFLWVIFFGLALAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAPNQHHVAHAGDDI >OB03G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11037078:11040814:1 gene:OB03G26160 transcript:OB03G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVVLVGLACLVFVAEAKGAGAGSAAALGDDVLGLIVFKADVVDPEGRLATWSEDDERPCAWASVTCDPLTGRVAGLSLAGFGLSGKLGRGLLRLESLQSLSLSRNNFSGDLPADLARLPDLQSLDLSSNAFSGAIPDGFFGHCRSLRDISLANNAFSGDVPRDVGSCATLATLNLSSNRLAGALPSDIWSLNALRTLDLSGNAITGDLPVGVSRMFNLRSLNLRSNRLTGGLPDDIGDCPLLRSVDLGSNNLSGNLPESLRRLSTCTYLDLSSNALTGNVATWVGEMASLEVLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKGLVHVDVSWNSLTGALPAWVFASSVQWVSVSDNTLSGEVSVPVNASSMVRGVDLSSNAFSGRIPFEISQLVTLQSLNMSWNSLSGSIPASIVQMKSLELLDLTANRLNGSIPATIGGVSLRELRLGKNSLTGEIPAQIGNCTALASLDLSYNNLTGAIPATIANLTNLQTVDISRNKLSGGLPKQLSDLPHLVHFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSLDPLSQPEPTPNGLRHKKTILSISALVAIGAGVLITVGVITITVLNLRVRAPGSHSAAELELSDGYLSESPTTDVNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTANCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGDAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPENELG >OB03G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11044293:11045857:1 gene:OB03G26170 transcript:OB03G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMASPCHSPFPLSPPSSCHGGGVLQLAATRAAASPFACYCRAPASPGGDHDDHDAGILQALASNGNGSVVSTQKVLDPGSEGDESGDGGGRRPSSRLRARDCAKRIMGLPVEERVKVLDLLQCKDGELTVSDYNDIISALARAGDHDSAVALFRALRPNGVVPDAQSYDTAVQCLCRKGAPDEAKEALDEMVARGFGPTIATFSAGVGCLCKRGRISRAMEVFDTMRAVGCEPTIRTYNNLIGGLCYVGRLEEALDLLNKLKESPKQTPDIYTFTIVLDGFCKVGRTDEATAIFQDAVRNGLSPTIFTYNALLNGHCKEGNPLKAYSLLMEMCGNGACPPDKISFSIVLQALLRAGETSAAWQTYKRMERAGFEADGRALDTLARGLCRRCAADISALADARERGRSRDALRVLALVIARDFVPGRNAFDALLGELARQGRWPDAMAVYAAAVKRGVLVSLKRHSKEALLAQETRESSGQLSVPQ >OB03G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11044695:11045885:-1 gene:OB03G26180 transcript:OB03G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFSWAQLLTAERSAAPTIRASPAPATPPCCAASRRPGRRASRRRRTQPSRRATSPAGRARRAARRTRSGRARSPWRSPAPAPGARRATCPARTARGRRRCRPASAAXXXXXXXXXPGCHQRGEHLPRVGEGRDVRRASPAQAPGERVQGAAVGLEPGALHPLVRLPRRGGLARAQQRLQHDAEADLVRRAGAVAAHLHEQAVRLERIPLLAVAVEQGVVGEYRRREAIPDGVLEDGRGLVGPPDLAESVEDDGERVDVRRLLGRLLELVEEVQRLLEPSDVAETADEVVVGADGGLASDGAHGVEHLHGSADATPLAEAPHAGREGGDGGAEAARHHLVERLLGLVGRALPAEALDGSVVGLRVGHDAVGPERPEERHRGVVVPGPGERGDDVVV >OB03G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11047022:11047466:1 gene:OB03G26190 transcript:OB03G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASPSSPPPPSSVVLGLIPLLLLLLLRQGRQDHRLLLRKNDLPDRLLRDAERRGELGAEQGVEHGGVADQELRVVPPRGRREAEEHERPAARRHLAEREEARDVEHVAGAHRGAHRQRPVREDDLDAACAAEHHAVLRRPRVHVR >OB03G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11046996:11049115:-1 gene:OB03G26200 transcript:OB03G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28490) TAIR;Acc:AT2G28490] MGRRVAAAVAAPLLIMLLLLSAAAEARRGGKGWDWEEEHEGEWRPEEEEEGKGHGHGGGGGGDDPGPKPSPAGRGLFVLDKGEKVVESEGGHVRVVRGRPWPPATVMPDPWQHGWSAPSGCREGLMHIGFITMEPKTLFVPQYVDSNLVLFVHLGEVKVGWIHKDKLVEKKLKMGDVLHIDAGSTFYMVNSGKGQRLKIICSIDASDSIGFSPYQAFFLGGGAGRAQSVLAGFDTKTLVTAFNTTYEELAQTLLADTGRDRGPIVYYATEPVSGGEEHREQGDQRGVGVGYRGARGGEAPPGQWSPVGRGEEGELPVDESSSTSTWSWRKVVGRLLGVAGGGVSNSVAAQANKKGKDKTMRAPEAYNLYEHGADFRNAYGSSVAVDKHDYEPLDHSDIGVYLVNLSAGSMMAPHVNPRATEYGVVLSGAGCIQVVFPNGSLAMSATVRAGDVFYIPRFFPFCQVASRGGPFVFFGFTTSARRNHPQFLVGDTSVLHALLGPELAAAFGVPEKAIRKVVLSQKEAVILPSLSEKKKKKKRDEPEDDERREEEEKKAKQRKPWVIKQVAK >OB03G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11062492:11066179:1 gene:OB03G26210 transcript:OB03G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKAMTPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLSQQSAPRQNGGAQLPPQFQAPRSNMANHILSHPNGLQDIMQQDPLGRLQGLDISKGPLVVKSESSSISASESSSTF >OB03G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11075144:11090166:1 gene:OB03G26220 transcript:OB03G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT1G06900) TAIR;Acc:AT1G06900] MPRGGEAEMAAAAWRDDELVIKSPSDHRSYRLLRLPNGLCALLVHDPEIYPDGYPDPQPTKPHEDEEMGEEEEEEDDEEEDGEEDDDDEEYSDDEGEGDEEDEGEEEEDGSEPKRRKEKGGSEPLVKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYTTNYHGGMMKLVIIGGEPLDILEGWTMELFSKVKAGPLLDMSPKTDMPFWRSGKLHKLEAVRDIHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLCFLKAKGWASSLSAGVGTGGAQRSSYAYIFEMSIRLTDSGLKNLYEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLHYSEKHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSQAIQCEPWFGAQYIEEDIPASFMESWRNPAQIDDAFHLPRKNEFIPGDFNLRNANIPKPLSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGYSTLENSVLTDLFANLLKDELNEVLYQAYVAKLETSLSVVGSNLELKLYGYNDKLPILLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAINISKIFRNTLLGQTLPDEARHGERVFCIPNGTNFVRSVHVKNELEENSVVEVYFPVEQDIGNDATRLRAITDLFSNIIEEPCFDQLRTKEQLGYTVHSSPRMTYRVLAYCFQVMSSKYSPIYLQSRIDNFIDGLSALLDGLDEETFEHHRSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWFNTYIKPSSPKRRRLAIHLYGCKSDIAEATKLQEQSWIAIDDIKSLKRSSQFYSSLC >OB03G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11096918:11097781:1 gene:OB03G26230 transcript:OB03G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLLASLLVVAAPRALGLGLGQWQPGHATFYGGDDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKKGGVRFTVNGHAYFNLVLVTNVGGAGDVRSLAVKASGSRGRGRGGRWQPMSRNWGQNWQSNGYLNGQALSFRVTSGDGRSLTCADVAPAGWRFGQTFEGRQF >OB03G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11098937:11101841:1 gene:OB03G26240 transcript:OB03G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGVDGAIHRAAGPELVEACRKVPEVKPGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDRQPEVSLKNAYANSLKLAKESGIQYIAFPAISCGVFRYPPKEASQIAVSTAQQFSNDIKEVHFVLFSDELYDIWRETAKELLLQFEK >OB03G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11102055:11104264:-1 gene:OB03G26250 transcript:OB03G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10915) TAIR;Acc:AT3G10915] MEAGAGLTVPANGGIESSTADPSTSGTGSRLSVHRIAGGGKAADIILWKRGRVTIGVIFGATMAWWLFEKSGLPFLTVCSDVLLILIVVQFIRIKIAGLLNRQPRPLPELVLSEEMVSNAASSFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSATLGYIGTIALVTIPALYNKNQEKVDRYAGMVHRNLSRHYKIVDENVMSRIPRSFIRDKED >OB03G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11111576:11119835:1 gene:OB03G26260 transcript:OB03G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAYGSVPLKTYLPDGDIDLTVLGNTSYGSTLIDDIYYILQSEEQNSDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKKHLLKRSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEAINTPGGDLLFDKEFLKNSVQKTSPKDTNACYNEFRAKYLNIIDPLKEHNNLGRSVNRASFNRIRTAFSYGAQKLGKVLLLQPELIPDEIYGFFKNTLDRIGSGVRPDIDSEIYDDAFHCEPSFRTGTGKTLWEEMSSMRISCKNQDENSSPHHFSKILVNKGSYATLNAPTHLAQRFHSDHMISSSTDLSINSSCFVHHTPNQYPLFSLGNGNGGSEQYMYHGMVEQVSCCTAETCHVNEEPSMHPQVHPNNIFYLTLNNNLGYSKSGPSDKMITAHHEERQNFPPLSSLVDLSGDLDLQLRCLRQVQYHLEYMFDGVLQSVQETSSDFTVVKELFDTPTLNIVSNTDVLLPGRLSPSTETDERRLSPVSSSHSTEDFSQQSQEEDNWGVACQQNVLSPSGIIALSNGLPTPSSSYADSENYVQWYHRSDDIPSMQGTVPHIFQKNMASSGENTKTLISRPVRVKSIQASVPKGIFFTYKEQLAKETANKDIKLSQVQDNEHEYIVSNKKIVGNNCETCIEYIKPENEASQIPRHYKHVRSSKNSSENRIYDTDMGFAQSVSTMNQMPKYQPLKNQDMPNECICPTRSLTRNQSNDIRKECEVFNWPRKQMPSGEPQNSLRGRAGSNKKLAAKQINNNHKEHLSFVTDTEQMPENQANSNKEAEIVGKCRLSLPPVQFSHHNNNGKGQTMLTSSTVHPSFPVTKGYSQSGSLEIPPLDTIEFGTLGPISLTLSPKSNKPRDTLSTSKTCADAAALALQSHPTQSRSPGFYKVGDEEHFPPLRAGTR >OB03G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11123727:11124059:1 gene:OB03G26270 transcript:OB03G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMEVAMKIDRSMHDACIALRAMDFGSLAGQKPLAAEVDPDEDHAAEQRDDGAVVLGGVERQVAGEEVLKVLQPPDAVGAQHLDHAVDQLPLRLQRLHHQHLALAQPHP >OB03G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11123811:11124056:-1 gene:OB03G26280 transcript:OB03G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRESEVLVMESLEPERELVDSMIEMLCTNGVRRLEDLQDLLACYLSLNAAEHHRTIVALFRRVVLVWIHLGSQRLLPGQ >OB03G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11131675:11131839:1 gene:OB03G26290 transcript:OB03G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQQQQLGCFCDCMKNQCMTLGAGPNKFDCAAACTEGCTQIGQPGQPKDNDFCGF >OB03G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11135352:11141234:1 gene:OB03G26300 transcript:OB03G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LNK4] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPERTDKSRIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSEASGDEQQRQQLMPAEEERGRLAVIGSSHASYDFQDSVQHSSAASVEMTRRRSIGGVIADDESPCGGGGGRAKQQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >OB03G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11150239:11150421:1 gene:OB03G26310 transcript:OB03G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFVGLIADRLVGRATRAVHSSIYISSVELPPDTYICIIIQIDSSPLAFALRGGLVVNW >OB03G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11158670:11160025:1 gene:OB03G26320 transcript:OB03G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LNK6] MVSNKMVEQKGNILMKRYEIGKLLGQGSFAKVYHGRNVKNSQSVAIKVIDKEKILKSELMDQIRREISVMNLVRHPCIVQLYEVMATKSKIYFVLEYVKGGELFNKIRRGRLKEEVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSAFAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWACGVILYVLLAGYLPFQDKNVMNMYKKICRAELKWPSWFPSDVRKLLRRILDPNPTTRISVSEIMENPWFRVGLDSDLLNKTIPTEDVIPVHMDSTSDHFTKNTNEEKQAAENLTSLNAFDIISLSSGFDLSGMFEDDNSKEEPKFTSTNTVVTITKKLEDVAKSLQLKFLKKSGGLLKMEGSKPGRKGVMSINAEIFQITPNFHLVEFTKTNGDTLEYQKVKQEMRPALKDIVWAWQGELPQPLNEQL >OB03G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11162494:11165244:-1 gene:OB03G26330 transcript:OB03G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPPNPKKEQSEECGQAVDVKEQAAQLEHDLIIKEKETLEVLKELESTKKIIADLKLRIQKESDEASPAAVKSDDQIEVPVAESEEQQPENVATDMDMEGLDEHPLQLSCSVLLELQQAKANLNRTTSDLAAVRAAIELLHNSITKEKILLERGREKLSSNTELISSLEDELDQTTQKLQTLKDLQARREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEVRCIAAKKMEEAARAAEALALAEIKALLSSESSSECGSSVCDGVTLSMEEYFELCSKAQEADENSRKKVEDAMLQVDVANSSETDSVKKLEDARLEVEECKRALQEALKRVETANRGKLAVEEILRRWKSENGHKRRSIGGSPKFKNAAQRRKDSQHSMDIISDASTNSLKPTLSIGQILSMKLMGPEGYDKTIWDDKTSEMPNVSLGQILNRGRVLSREDTVVRKRVSGKRKKFALTGLSVILAKQSKNKKKRESF >OB03G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11167981:11169207:-1 gene:OB03G26340 transcript:OB03G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELNYLTIMATAGQGHGRSRGRGPRETIALYRDKTGEEYTEEAKPIPTGSMVVVRRRVAGPPAETIVDSSLKKPLSQGGGGGSSSDSGASSTEAEAVDEERAISAVIEAAELKWEAPSQGGHCYGHSSTHAWRAPPAGYVCHRCRVPGHFIQDCPTNGDPRFGIRRVAPAPASSTVLPASGDPEGVVVPAELYCRICKKVMTDAMLASKCCFDSFCDMCIREHIAGKSKCACGAQARIDGLIPNLTLRTTIANLLATTGAASVSRGTEKPRSSAGSNAAEPAPPHSPAASQASRGNVSSLTSTHSDGGASATSKKSATISGVLRQRKARETDGDHSAESRSPAIDGNRHGAYGAPFCPAPGYVDPFFGGMPFGAAYYGGVPYGCGGGAAAYSYYGEERCNMKRTRLR >OB03G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11169586:11170405:-1 gene:OB03G26350 transcript:OB03G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATGEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDQESKQVRVSCKVNAEYSAVKNLPTDQGREQVKSVAATSTALALPGTQGVKDADNKGSNSTAIVPAPHMLPKAPH >OB03G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11170932:11174726:1 gene:OB03G26360 transcript:OB03G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G30320) TAIR;Acc:AT2G30320] MAAAAAAMSCLLWRPYYPCALLARRVNPRVLRTTLCQSYSTASAPASDAPTSPPLTTCSDDGGRGIRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLETAIFKTGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGISLSNFINSNLPDNVRVFSILPAQRSFDVRKECLYRDYLYLIPAEIIGIKDGCSSDEVVEHLSEFNYILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKRASSAVNSMSSKMGMEGISSDDGTSSDHDEEDLNSSSLIGSSAPENSYKDSPELSKKQVQIRARWLHEPDENDRLNASHFRDILTFSCGELQSSSGMQFVELTISGVSFMLHQIRKMVGTAVAVKRGLLPKDIIALSLAKFSRIVLPIAPSEVLILRDNSFCLKNKQGSIVRPGIQSMNESEEVKKGVMEFYKAALLPELANFLDASMPPWKEWVENLDQFTSIPDSQLEEIRSAYRVWKADYDRVKMARKNVTID >OB03G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11176789:11178538:-1 gene:OB03G26370 transcript:OB03G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRERKKAAALQEKLQILRSITHSHALSNTSIIVDASKYIKELKQKVVRLNQEIACAQDALRQNRVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCADTFRLEAIGGENLVEKVDEHVVKQAVLRAIRSCSGDHHDDEEEDDE >OB03G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11199056:11199673:1 gene:OB03G26380 transcript:OB03G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACCFFSLLLSGRVCLLLLLLLLVLVVMMHTPAAVAAIWRLRRRDDDDSLHKMANK >OB03G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11210338:11213190:1 gene:OB03G26390 transcript:OB03G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVARIARRGLSRLAAAVETAAVPRMPAFDHVPLPYDGPSAAEIARKRAEFLSPSLFHFYSNPLNIVEGKMQYLYDERGRRYLDAFAGIATVCCGHCHPDVVDAVAAQARRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDADKYVRDVQEIIEFGTTGQVAGFISEAIQGVGGIVELSPGSLPLAYEKVRNAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRGYFHTFGGTPLCTAGGLAVLRVLEKEGLQENAHAVGSYLKDRLRALQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDAGWPLITLHQDHYIFSNEK >OB03G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11227721:11229069:-1 gene:OB03G26400 transcript:OB03G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRIWKFAEAEDLFTGKGLGILFQERGHMLACFVKEKEQLGDHSIWTNQYVVYRWFMVTQCMVSLLNLWPFSLHVSSAYLILTASSVVESVKVLLYRIDGLAWIRVFRIRGACLIQLNLARDQCHELV >OB03G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11230451:11241109:1 gene:OB03G26410 transcript:OB03G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVFWSTNSARQMAHSAALACLVPAAKDTSAGGGSGGSASVIGSFFGSSTAAPMAAPRCLARRCRRSRVEKQSKQEAAFGGALAQTNRPLSMEMQPKLHGLEKALEKQLDKKKLERKLKKHQKAREKEEKRLKAKQKEAAMFQAQAALYVLKKAEKKHREKVVEDENSEDFIDQETPNGQKKLLAPQMAEQYSPRMVEKSWYAWWESSGFFGADSASTKPPFVIVMPPPNAAGALHIGHALTVAIEDALIRWRRMSGYNALWVPWVDHADIATQFVVERKLMRERNLTRHDIGPENFVSEVLKWKEQYGGTIFNQLRRLGASLDWSREVLQRSNAVTEAFVRLYKAGLIFRDYRLVNWDCTLLTSISDIEVDHIDLKEETMLKIPGYATPIQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYQHLHGRYAVHPFNGRKLKIICDAEMVYPTFSTGAVKIAPAHDPNDFEVGRRNNLQFINIFTDDGKINSNGCAQFEGMPRFTARVCIIEALKAKGLYKGTKKTEMSLGICSRSNDVVEPMIKSTQWFVNCNTMAKVGLDAVRSKKIEIIPQQYEQDWYRWLENICDWCVSRQHWWGHRVPAWYVAFEDDQAKTLASDNDRWIVAKNESAANLEAQKRYPGKKFLLNQDPGVLDTWFSFALLPLTVLGWPDDTADLRTFYPTSMLEAGLDIPLFWVAQMVMIGTQLGGDVPFQKVYLHPFICDTHGRKMCKSLSNAIDPLEVINGMTLKSLVKRQKEGNLDPDELNIERKLKDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRKWCNKLWNAIRFAMGKLGDNYSPPATVDMSIIPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFNETQEFESARSASRDTLWVCLDTGLRLLHPFMPYLTEELWQRLPQPKYSCRRDSIMIAEYPSLVEEWTNDGLENEMDIVLDAVNKIRSLKAPTGRNERRPAFALCRGQDITATIQCHQSLIVSLSSISLLEILTENDEIPADCAIAIVNKDLSVYLELQGALNSEAEREKLRKKRDEIQMLQHSVTRKMDASGYREKAPQSVQQEDIRTLAALLGQLEVITEAEKKLDAKTDNN >OB03G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11261576:11264905:1 gene:OB03G26420 transcript:OB03G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAIKMINKVPKGTPCSEVEAMVKLSGLDHVVQMYSAWCENVVSGQSDVYIGMEFFERNLEEYLTARTVVDLQKSTRIFTEILAGVNSIHEAGIIHRDLKPMNILIDSSDHICVTDFGIAKIKPYPAAELSYPGCSKYGTQFYCDPILNSPHHQHDEKVDIYSCGIIYFEMHLLGVTKRRSSHFTEKALFYRRNYKSLLISQKVNKCTKQKFIHCKFYALMSAGFMVERLSVMTQCCKYTALAFDVNTCRRLVMIPFVSWALCGVELSVGADDELVWKLSADRRFSVAASTCGKLIWKTRFPTKVKLFMWVMEKEQRTAYPGGEGVAELGILLNGGGFMMASSYTHTPFISGQASSSSCRSKLISAWGTGGRRRGRVFGNAIGEKL >OB03G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11271061:11271833:1 gene:OB03G26430 transcript:OB03G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIVPVILRPRKQDNVNEASKELPDANLEIITGGGYNGKDIWLRRHNVLQMKLFKIQSS >OB03G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11273898:11276283:1 gene:OB03G26440 transcript:OB03G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:J3LNL8] MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAASAAPAQTVAAPKKAKK >OB03G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11280890:11281363:-1 gene:OB03G26450 transcript:OB03G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VALARLLGGRVADGGDAAERARRVRPEPPVDALDVEPVAAPGQEAAPLAVPHLRQAHRALHRRLAADDVDEHRQRRDVPPPERRLALAGGGGGGAGDGDDGRQHVLRARGHSEPVEAPEADGEHGHDADRRERRVRAELEVAAQGHHLRRIHLGARAR >OB03G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11280908:11281405:1 gene:OB03G26460 transcript:OB03G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQMMSLGGDLKFRAYAALAAVGVVAVLAVCFWRLYRLTVSARPQDMLPSVVSVAGSSSPAAGKGEAALRRWDISALPVFVHVVGGEAAVECAVCLAEVRDGERGRLLPRCGHRFHVECIDRWFRANSTCPLCRVAAVGDPAAEEARKGDAVVVGVPALVGQG >OB03G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11288710:11294963:-1 gene:OB03G26470 transcript:OB03G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:J3LNM1] MSGPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDALDEVQGSGGRALVEGPFLDVLRSAQVRHAREIQPSKLSVRQRGDRAAVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQFTVSEYLRFKEELVDGQYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPVDTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRVPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLNKQGLDFTPKILIVTRLIPEAKGTSCNQRLERISGTQHTYILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWTKYDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEKTKRLTSLHGSLENLIYDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAYAKNARLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIMTYNLFGQFRWISAQTNRARNGELYRYIGDTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATVHGGPAEIIEHGISGFHIDPYHSDQAANLIADFFEQCKQDPNHWDEISNRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYASKLERRETRRYLEMFYILKFRELAKTVPLAVDEAH >OB03G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11300631:11302710:1 gene:OB03G26480 transcript:OB03G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16760) TAIR;Acc:AT3G16760] MNYSGGDRSSSSSRPTTTSFDSYKFDFGGVNSSRPLRDQRPGATAAAGNAYAKPGGVASGNTWSHQPAKTTSWTHQPSPAAAAATGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNPGLFSDLLGSALGSSSRAQSNAPLRSAAPQTSKPANPNPSTNSSPFSMGGMASTLLKTTGAPMASGGGYGVGGRPMKPAGMASAAATQPIGQKKDPFGSIDPFAAKPGSMNAAKKDNSVKPDQGFGAFQSMNSGGDAGFSGFQSADAGFGTFQSSGATKPSSFTPPPAPAPAPAPAAASVNSGVDHLDSLFASSTAAPTAASNGGGGGDMFGEMDGWVDVEADFGTGDSGGTTTELEGLPPPPSGLTASGAKAKGMDNYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLEKDKENVSVLVQRALLYESSEKYRLGADDLRLVLKIDPGNRLARSMIHRLNKMAD >OB03G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11310004:11321046:1 gene:OB03G26490 transcript:OB03G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G02260) TAIR;Acc:AT4G02260] MPSKREREVEAVCHLFHFTTRSRRRFVWPSSRRLSCYPFFLLSLLLFSSPPSASASSSANPFSSGVSPPAPPLRIRGRGGEGEGGDGAASESPMRLRADATCNPRRAPCQVCGGPLECVSSCRASWKGRGGGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCGHGAGAGWRRQGRSRRRGNNNSLLQITWAEDVNKRKFGYGSSAHSFPIGNFVRSRSTSVDPTWRAFCYSSSESFDHISPETLWEDLKPAISYLQPEELDFVNDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKSEDNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAISMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFGELKKRVEDLYKAHEQELEEANQILGQKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSNSSINEVNQVAQLRIIIKPKACNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIITYDKLSSKYAFERHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDSEQSIPSSENKDYAFNWQKILNSEKLSFGNKKSDCFLPVKNVYVPKVNGKHNKTVQELGIKINGSTFRGDSFTDFIHPGVSTSKEVLPSVDNWKAGKICAWHNTEGSSIQWLCIVCVDRKGMVAEVTSALTACGITICSCLAERDKRRGVGVMLFHFEGTYENVVSSCSSVEMILGVLGWSVGCSCNPLGVLEC >OB03G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11330427:11333177:-1 gene:OB03G26500 transcript:OB03G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSPFNAVILRRLFMSKTNRPPLSLRRLVRFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETVRARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >OB03G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11334576:11339804:-1 gene:OB03G26510 transcript:OB03G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSFAVKQDPALAREVVAAVKADAAGTLSGFAVSVLLSVARVRRFNEAAVGVLRDAVTTSRRDYWISRRCKWLPDCLKEECGRAAHCVEKALLKAVGESIGGREHVVPSIVQVGFLLLEASDSDRREEGDSDERVMSTEEVGVNMVKSLFEIHGMARTEIIEQCKFRILSAKPSQTVPVIRLLGDLVRTHPLQMLEYIAHLKELLDYFSFLNDKISTGLINCILPLTKFSRDLKDYIILVVRKAMFKREDDVRIAATNAIVQLIIMENKYRKNEANPFQDSSSQPSSSQQPEAHLEIGGGLFQELSGLLRRCFSQQARVKEALYSGLIQIVTSDPIIAENVLDFLWPHFLNYYTEHAECPLKTDLCFKSESAKMCIVEPIDCLLSCISCVLQVQHNSKCERTRDAYWKCFGFAPSQDNEVGRLSSSDLFMEALSNIQKYLKKCLAEDQRGQTQENGYLSSHLDTAHCHNFAMLGIIEVFVDFAASKLVKVADEQKEMLEKEILDLIDAHSSFERKTSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRGKFMDTSLYELVTMCIKQCDADNLVKCSQRPSQSKLNQCSSLLSFVLKACLGMFKSLAAKGSRVTIGNLSTVLYEDVKRLMGPMMQLIWWLMLGSKQENGGTKRNLTQGKKNMDSKKDQLYLALTCLKEMSKLSVPEDRPGDIFDVLVSSAPPNIEDMVHCSQLLDRNHTGPNTRSPHVFLNILKMLYVRVLSQSLPRESEAVTELIFGISRKLHHEQSHLVGHWAASLCQKNIVQNPSIAQEMVKLAVHLMAAPDDLVLVHNMTAELKLLASGNEDSIDSSEAFPVINCKTKNSLTAVFLQMVESSLTELDWVTGKLKLMLALAYDSANIDEDQPADESTQRLYLEEALYSRSTTVVHVLSSFADMSLKDSQAEQFLRLTAKFYKLLARMAKSQIAPKGYKQTIPSLKFQKLAEVTCRMLTAPLYVFVAIVQENQQTSKRGILARIKRESRCIPDLIFQIEDYEKYLIQLSKLTHMNLLRHAKRSVARDFQIKAKDEQEMDSSPAGAASSEDRPEEDAEGADAPLETNADEDPQASARSDKTVEDSESDEEEERVLARRKRAKINTVVEDSDEEARSE >OB03G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11350476:11352959:1 gene:OB03G26520 transcript:OB03G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3LNM6] MADPSFFVGIVGNVISILVFASPIGTFRRIVRSKSTEEFRWLPYVTTLLCTSLWTFYGLLKPGGLLIVTVNGAGAALEAVYVALYLAYAPRETKAKMGKVVLAVNVGALAAVVAVALTALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDFFIGVPNAIGFALGTAQLALYMAYRRKKPAAARKGEEDEEEAQGVARLIGQVEMAQRRVQLHKGLSLPKPAAPRHGGLDHIMKSFSTTPVELHSILHQHHGGAGAHHHHRRFDSVPDDEEEAAGHDVAGYDSRSKR >OB03G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11359661:11360680:-1 gene:OB03G26530 transcript:OB03G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSITSLLLAAFLVAAVGIAPHGAEGGASSAVVGLVTGVVPCSAGSSINVASVPAFPNATVQLRCGGRAVAGATADSSGAFAMNLGALTPATLMPLLNNKCSVVVTTPLAACDASLAGVAGTLMAPVQLLGDTGGGLGGLIGGIVGVVGQILSGVLGNIISIVPSAFSVV >OB03G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11371803:11374540:-1 gene:OB03G26540 transcript:OB03G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTRLGRLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRWGAPRPAAVKINDPAGDATMTAAPPTPPGVADEAPVKVTRSIMIKRPAGYPSSPRSAASTPPASPAGSTPPISPFAGAGGRFRRKSSSDAYERATAGTTSHPPPFEV >OB03G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11378817:11383627:1 gene:OB03G26550 transcript:OB03G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRPRGNPKSKALVAGAAHGKKKKSGVTGGAGKRGERCSRHGPRLPTALRRQLDALGPSPSRGSDDEDGEAGSDSGGDDVYEYEEGVPEEEAGKNSRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKKKKQPLELPLQPEVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLPKVEREKIERGVVYQESKKEVTKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKKMAEIIHSTEMMEAHKNDGAKILELNKIDMEDVRDRQDRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADLEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDGEEDDNESEENILNKGKEKILKVLGNDNEIPTSGVFSLPFMERAMKKHEEATYQEARLALEEYDESLRKLEDGNTEENGDSVKVTGKRTFGPVKNTTKETNKKQKLDDPDKSSDSEYESDSSQHLDNNDVNKVDDVQLGTALLDDETQDDLFKSFDDIIKNPGPKTTFEVGMLADNSWKKFNSSKGNDRSNANSDTDKSMLKVPYMAGQNPKQLDHNSDSDSEEEMVEGLMTISDTKESYKIPSQADLIRQAFAGDDVEAEFEKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKADKLLARNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRIIQRAVPNPKAKKTSAKKAKAVASNKRK >OB03G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11385428:11388431:1 gene:OB03G26560 transcript:OB03G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYGGFKEAVKGLDVRKVLDAPEEVKCVPMKNALEPGDIPTLAELGLTAPPAMAQDSKPAVGSTLIGGEVEALERLKKFAAECCMQPNKADKDNTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSTPKNGDGTGDAGTNWLMFELLWRDFFRFITKKYSSAQKTSDVAPATGCTPTPALA >OB03G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11388878:11390382:-1 gene:OB03G26570 transcript:OB03G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAKGGAAGGKKKGSVTFVIDCAKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKYNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >OB03G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11402969:11403199:1 gene:OB03G26580 transcript:OB03G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPRPRRRCRRRSRTSCSASRPAASCSSRSSAPSSPSPTSTPSSGPDPSSINHLASRKSARAHAFVKRLAVHLLACM >OB03G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11404030:11408863:1 gene:OB03G26590 transcript:OB03G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARSQVQLKQIPIRAGARLPEPEPEPPARAQPEPRSCPPHGLPVGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDACAGRDGYNFDGHRLRVEPAHGGRGHGSSYDRPSNFGGGRRGVSRHSEYRVMVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGSGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSHGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRARRSASRSRSRSRSVSSRSRSASKGRSPSRSPAASKSPNASPANEASSPKKRSPSRSPSHSRSPDAKSE >OB03G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11409506:11409840:-1 gene:OB03G26600 transcript:OB03G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMRDKARGLTAQYGVEVKLEVKDGEARRVLCDAVIEHGAGVLVVGSHGYGPVRRALLGSVSDHCCRHASCPVMVVKMPLAKR >OB03G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11409614:11409916:1 gene:OB03G26610 transcript:OB03G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHQHAGAVLDDGVAQDAPRLAVLHLQLHLNLQILSSSEVNSLALHTYPWRRAVLRTPYCAVSPRALSRISFSIARCSASSMLITGATAPGKMERRVG >OB03G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11412059:11417726:-1 gene:OB03G26620 transcript:OB03G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLASLLTLHRRKRHRDASHFHGPLRHRRRRLGLCPGTFPAPSFPPPPIPREAASFAFDMGNFISGLLCKPGRDDDGLGVYRGWVADLTAATRDDDAELGLSVVTRRVGDPRKAALEGAAHPREREKREPYYRRELERARSRDKRLGDLASQVNLHEERLADLRKAPKEDLSELFACLTEEEENEVHKCLYGRGSSTQVLALHEPSNIEVSREKFRCLRPKAWLNDEVINLYLELLKERETREPKRFLKCHFFNTFFYKKLTSGKTGYDYKSVKRWTTRRRLGYELIECDKIFVPVHKDVHWCLAIINMKEKTFQYLDSLGGCDCHVSRVLARYIAEEVKDKSNKEIDISSWHEDSVGYIPLQQNGWDCGMFMLKYIDFHSRGLSLSFSQEDMEYFRKRTVKEILRLRAD >OB03G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11419824:11421741:-1 gene:OB03G26630 transcript:OB03G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYEPPPRLSRPHPSNAAAAAAAAAPLFVLASLSLREISRCCGVLHPPPPCRTRRVRWWTSTSPGSARPQTGSSPPRTMPRSRSTLGMWMRMGCTMAASPRLLSLGSSVLREMLTVHWTGCGRRGRPRSSSSSFTWKNSFPFHAS >OB03G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11426011:11426760:1 gene:OB03G26640 transcript:OB03G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLPSVKLWRAMVRVHSSCSSSPSPTPSVSEKLEEAGAPPRWQPTAASTTKRHWSEQREVFTIWMKSLVLNGSGCTVYDSGGRIVYRVDNYSSRSAADVCVMDLAGNVVVQILKRRSLGHLVGRWDGYRCRGSGGEQQEETPPPTARPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAGRRRADAGGGAQRGALPHPGAPARACMTYGLYKNIYIYQ >OB03G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11428631:11439390:-1 gene:OB03G26650 transcript:OB03G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTGAVNRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGIAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYATHHNLRLEGSYTNSLVCRLKHAKQRGALLETYESKVVRVNGKALDKNAKITLSGGDEVVFSSPVRHAYIFEQLPEEKSSTSAISSTWCSIQQGQHSLIKDFKDIFSSKEPKVTSFYFGKGRPPLMPVGSSSDPDLVSSLCKTMEDQFNSEENTPFSWCPLLKEDLKNATIHPSDISETFDSCPYYLSENTQSALQSSAFVNLHCKDYIKFTKDISSLGQRVLLSGPTGTDIYQQYLVKALAKHFDARLLTVDSSMLFGGKTTKESESYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSVDSLCLDAPGWEIRSKHPFDVIIQFISEEIEHGPLILFLKDTERICGNNDSYRALKRKLKYFPAGAFIIGSHVQPDDHKEKANGSSLLLSKFPYSQAILDFAFQDFDRGTDKNKETSKAMKHLTKLFPNKVTIQPPRDELERSKWNQMLDRDVQVLKGNANISKIRSFLVKMGLECNDLETVCIKDRILTNECIDKIVGFALSHELKHSTIPDPSSGVCFTLSSESLKHGVDMLESVESNPKSSNLRKSLKDIATENEFEKRLLADVIPPEEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLAADVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSYSSNDVRALRLSDFKQAHEQVCASVSSDSTNMNELVQWNDLYGEGGSRKKTTLSYFM >OB03G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11444104:11446204:-1 gene:OB03G26660 transcript:OB03G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYKPPPREDAARVSPPPSSPPRFTSAAPEKFKKQPCEAPMAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKARDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >OB03G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11448293:11452463:-1 gene:OB03G26670 transcript:OB03G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3LNP1] MGPNVQVRPKQAHLGPINEPTTNKVHPHPSSQRAVSPDQIIHTHLRLPSHSPRARELARTHGPRPWRPPPCPSACPRRLTRPPLVARAAPPSGPPNPLFNPRADPFLSTLAAASPEEIEAAAGGGRRGDDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGTMQASDVVIRAKEVVHNRNTLVRLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMANMLSPEEWDKVAGVRRPDIM >OB03G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11452981:11453301:-1 gene:OB03G26680 transcript:OB03G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVSWSDRDSAASRQEKVVRKLFELDLGTRPVGRGVVAPGRGQWGGDTIYSVEMFRIFGKVLDFVTACRVSDTDDRRWCRLARKNAAADADAMSSRLKSMAALHL >OB03G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11453301:11453711:-1 gene:OB03G26690 transcript:OB03G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQESIFCWLHSKQSLFSLLSSHSSTSASMQRKRWQLEPFIRALNTTLVIATPKVSHSNLSSLSFWQIEKKIAFNSVPFDFSNFSDFSYPLVARLYRNRRFTWHPEKYDAGFLSCLVLKLLQCDLFLLPTPPPRGC >OB03G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11454695:11455114:-1 gene:OB03G26700 transcript:OB03G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALPFVVLVVAAAAALAVVPATASTAEQLVVHGQRATSGWTRAGDPCLQGTVEQCLAADGEGGGXXXXXXXXXXXXXXXXXXXDYGGGAPQYISYAALMRNSVPCSLPGASYYNCRPGADANPYTRGCSAITQCRD >OB03G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11455800:11459841:-1 gene:OB03G26710 transcript:OB03G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MELSMSGGALRTFTRCVTCLARVGSDLVLQGHPAKLELHTLNSSRSAFASISLARDFFDEFRLSSAAAASAPSSTPVQCSVLLKSVLSVLRTPTPALDRIAASLPHPDAPKLQLTLHCLNGVKKTYWISCSAETEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEVEGKAVELRSYIDPTKDDCDTRLHTQLWIDPAEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVEILLFFQKAGEPVLLVPKFGVDDGSSSDFDATLVLATMLVSQLTDSDVAQQPATSAQQAEEPRDASTPRPVPENVSNHTKIWSELSGSAPKSFEVNREKHTQMERNANANALNDTSMLHSVNARCKPPVADNANDTVQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDDEEELFVQTTPYYMD >OB03G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11460025:11461685:1 gene:OB03G26720 transcript:OB03G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding YEPPPRPSRPHPSNAAAAAAAAAPLFGLASLSLREISSRCCGVFLHPPPPCRTRRVRWWTSTSPGSARPQTGSSPPRTMPRSRSTLGMWMRMGCTMAASPRLLSLGSSVLREMLTVRWTGCGRRGRLRSSSSRSC >OB03G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11462339:11465994:-1 gene:OB03G26730 transcript:OB03G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPKPPHACSAPGVTPVFAAPTVFGAAPVSSAPASDLTLFVAPPCEEDGRAWYGCCYRAIQKTVGGFPRPRIDLSPHNFARVMDEALGYRLDPPFDPYVSSLNFLLAAYVIPYLGINGYTGTNPLIDGYATKRLGRICTNILSADADSLSYSRTPAELLRILYLTGDERKPGGFFPDGANGKIARSFLAKPPGVNH >OB03G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11486282:11487259:-1 gene:OB03G26740 transcript:OB03G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVTVTGTVDGDTLVRRLYKSGKQAVPWQHPHVAPVPAPAPAAKELEAAPQPEAAPTGDGGKGDAAAEKATEEKAVKETEAQAESSEKKVEEGAESEKKPEAEKEAGKKEEEAKPSDEAKKDGGESEAAPETKAKGGDDVAEPAKEAAKKASNDDDGAKEEQSKPKDAGDAPPAAATTTERSLKFTPPPQQRHAYEEQQQHYPYPYYGTPQPVGSSHMAQPTTSVSYYAPRPEPAYSMQQQPPPRTEPAYPVEQHYPPPSSPQPQPMQQQWSPSYLYMPYPHSSPDSYYRDYYSPPGTMHAPPPPLQDEYRMFDDENPNACSVM >OB03G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11487435:11487671:1 gene:OB03G26750 transcript:OB03G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTFLTFFLHPRQWMDTFSTTVCSCNNHDTHQQRTNRTPAIITHPNRPLPEKQKKRGQLLNLLRGGHRRRRRDRIL >OB03G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11518016:11518339:-1 gene:OB03G26760 transcript:OB03G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGVWVFKDGVMQLEEERAAGRRRLVYVPANETMASLQALERRRAGLGWERYYEDRASVQLHRRDGGADLISLPRDFSRFRSTHMYDVVLKNRDHFKVVDLPTCN >OB03G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11534431:11540046:1 gene:OB03G26770 transcript:OB03G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDFCKVPVFDYHRHCPRCLYDLCLDCCRDVRRSRTNAPRGEYTEGRVVDRSKDTSSKRARLEPSSESANDKSALQRMHIKNIDIRYLFPTWRVNNDGSVTCGPHEAGGCGSSKLVLRRIFKINWISKLVKNSEEMVNGCKVHDLENGCSSCKDGRRLELTAHRNFGLSTCSNNGGIDRFCVFSPVLEDLKFEGIIHFRKHWIKGEPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDEDVVVKAVDCSNQTEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPPDTLQPEVGLKLLMAYGRHQEAGTGDSVTNLMINMSDVVNMLMHTAEVHDVCSRRLLPERSERIANGMTVHVNAYAPVQNSNVDTDEQSPDHISSNLDERVCASSLQLQEKSSAELSYSSHSEEPKVNGSERSQAGAVWDVFRRQDLSKLNEYLAANWEELTTSSQGAITVKNPIYDQAVYLNKYHKKILKDQYGIEPWTFQQHIGEAVFIPAGCPFQVKNLQSTVQLALDFLSPESLGESARMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLELKFKDENLTRAVSENLARVTKQRKVPCG >OB03G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11554229:11558255:1 gene:OB03G26780 transcript:OB03G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G47830) TAIR;Acc:AT2G47830] MLLVTAGGIAWHAFDVLQGVMSSAPEIIGSISHSHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALIGVGGSILGVPYLDPLAGLVVSGMILKAGVQTGYESVLELVDAAVDPSLLLPIKETILKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIDPSYSVESNMDKKGFWENIERRNSDSIPRQQTAEAIVSHIISSHFSKKMSLEHLMLHYLQGRVLLQVQVSMSPEIMIRDAMNIAKQAEEEILKADSSISQVSVQLRLGQQIKQLKLPGGIDTSSNQQAEEP >OB03G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11560218:11564824:-1 gene:OB03G26790 transcript:OB03G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVLLHVVLSGAACLVYGCAGVECILDAKNIMDHKENMEPLEPEDGDGHQSDATDDDSPPRICIGKAYQAEIPNLATEDERRQYMSNTPDSCMALGYDCPIPIMWASSSESNKKDEEMQMHNSSETKITASNRDVYSQMTSICPISNNTVEHCSTYHDQHPELPVDQKVSDTQQGHDDKLAPCSTQGGLNFTDKATTDQGEIDQLIPVPNSSTSVWSDQEADLFLLGLYIFGKNLLLLSRFIGSKTVGDVLSHYYGNFYKREAYKRWSDCRKARIRRCILGERIFIGWRRQELISRLKSKILQEDHDLLDEMFKSFNEGQTSLADFVFNLKSTVGTEAFVEAVAIGKGKDDLTGFVMDPSKPNHVLSIQPGMPAGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLELEVDEMGNPVTADNNGCDAEMKLNQDVPSDGYNEPPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLHNSHDMLSDSSSDEHDTDDISPNYEEPYARVAADGNGTEMVSANNADNGKQADSFQNMAATSCSVFPVNGHSSNGNVDTIGVTSFFSQKSKNEKRKYLSPVTKRRRLTSCSNDQTSRRGFSFSKGVGLEKEKVKLPSTSSRPTAIDAGATFQSKSLASCSSKEKPSKQIVDASNSHANDRSNEKMNVAKPNEKPSGRKVDTLASVHSKTTVEATQPAKGAAQTTVEGTHPAKGVAQSSDLVIRAKPEAQQDDKTITSVHTPSSDNHGSVVKNKEAPSNSNTQTIHDAPETTRGGPASPQQPDPQAPSQAMNPRRQGTRVRPPTARALEAVAFGLLGGGKRKADPRSPTGTSRPRQRARKSTKETASMSTSSDTEKSSMDSGARQ >OB03G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11573965:11585813:1 gene:OB03G26800 transcript:OB03G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13540) TAIR;Acc:AT2G13540] MSAGWRTLLLRIGDRCPEYAGSADHKEHIETCYGVLCREYEHSKDAIFEFFLQCADQLPHKIPFFGVLIGLINLENEDFAKDIVNTTHANLLDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFETLLSSAATILDEETGNPSWQPCADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFERISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAAELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVGMVRGKKTVRDIILWVEEQIIPANGAKFALDVVSQTLLDIGSKSFTHLITVLERYNQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAITIDRMMVYRLISNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIYDLRKEIQTLRKGLQAAKEASEKANRELEEAKSIIEIVDGQPVPVEKPGRLKRLQTRADTMKEEEVTTEESLEAKDALLVRGLEESKELLRLLFKSFVDVLTERLPPISADGEVPNLRAGDPNVNSAASAPEATMDIDNENGADNDSQLNGQNTKVGHNVGELEQWCLCTLGYLKSFSRQYATEIWSHMSMLDQEVFVGDIHPLIRKAAFSGLCRPTSEGSHL >OB03G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11589656:11600024:-1 gene:OB03G26810 transcript:OB03G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDVELEEGEACPDDDGTVGCVDPDVELSYIVILSTNHFLTGSKFGGYGSFLPTYQRSPPPLPQSRSPPKVANIGISRSPYRQSTESMDQYPSTVAMESISRNNGSTAPPSGDLCKKEICSSTNGEKDSVACSDSLDSSFNGSDQKTLKVRLKVSSTNTLTRKNAAIYSGLGLDISSSSSMEGSPDGIEAQSPEFSNMPYESPRTILQIMTYFSVPGGFLLSPLHGNILKLTNKVTPLVNKWETNMDLQNVPRAVDGHSEHSLSSGHVKGHVAKKMKHDGKKKKSIDTKTRKDTNSTSAIAGKEANVEIPSSDVILSDTHNIPGSSGVPTTELKGVSQFTEKSIKDARSKQQIVCNDLGTVKSGAVKIEATKHGEENSSFDSSGNGSVLPRGKVNLAASKVDRTSEDLNITSHRDSPYDRKKESKVKPMRTFEPAMVDFEGNDDKDWDAGPSGPSDNLKIIPGNKTFASDRTADGNSRSEVKRLQKDHKANSPAPSNLIEDGICTHSSVALNDGKIDSHSKSNHFENKSKARSHKDLSETLPKRYMGNKEEASLDNISVQGRQKEKMMDSDNEKEFHIAGPAKKEIPSTVKHGIFPGSEEQQLHLPSNGGIIPSNAASLPAPVVIEDKWVCCDLCHKWRLLPYGTNTSMLPEKWKCSMLDWLPGMNRCDISEDETTNALNALYVTQIPATGISSGGPHTGHAGAAASSTYNIIGQLGQNRKRKNALKDENCLVENSYLPAPASVTIMSNQRAPAKNKEVVDSERYPNDSDFVRRHELGPVSKSADHFAEKQKSKHKSRSSHFDGDLTEKSKKHSKSKNRRGNDREEHKTSKKTKKDDRHYFDKDWENQYDLAGNEVHGETKAFAAKVKTLKDSCERGEFSLQQEKASRYDILEKPKRNNDNDVGFHEKMKEPHADTETLDLSGKKKIVKEWEDSRLSSMDHTSKGAENENLERMSKIKKFEARPGEVRDANALLSSAGGRLNNELVADNKFVTCKESPSEPWENQPPRQVLNLTEPTRRDVACHKSSTVATSSSSKVSSSRRNKNSQETKGSPVESVSSSPVKNLTIDKISKARDTGKDGALNADSSVMRTPVKYPSNEVGFLHTGKQAKNTESKATGDAILRDYLQGCSDGNNKRDLSTQAQISDFIHLEKSMDSSRPKASGRMDLAANNSGVGSGDNQLYPGDKKILDTHSPTLQPDQRALFNQRATADSTGHKSKNSTLSRQGRNGSSNLISDGNKQIEMSSRKEKSRPRIDNQDMQKPIGQDIHSHRKEGKLEVHTSRAKSDASKNSTQSRPNVENGVQHGTMGQAVSNPSDSTSPIRRDGNMVAFALKEARDLKHKANHLKDKGLELESMGLYFEAALKFLHVASLLETPNLDNSRSGDAAQSMKMYSETAKLCSFCAHAYERCKKMASAALAYKCVEVAYLKAAYYKHPSANKDRQELQSVLQTGPGESPSSSASDIDNLNSHGLSKVPSTKDGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDINCAFDATRKSQVAIASAVGSLQREKVVDDGLASVDKGVVIGNIWDSKHSLAMFL >OB03G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11607478:11609926:-1 gene:OB03G26820 transcript:OB03G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT2G39060) TAIR;Acc:AT2G39060] MVQPLVFAVGIVGNILSFLVILAPVPTFYRVYRKKSTESFRSAPYAAALLSATLWLYYALLTSDLLLLSINSLGCLVESLYLTIFLLYAPKKSMVFTVKLVCAMNLGLFGAMVAVLQLCVKGERRVTLAGGIGASFALAVFVAPLTIIRQVMRTKSVEFMPFWLSFFLTLSAVVWFLYGLLIKDFFVATPNVLGLLFGVAQMVLYVVYKNPRKNAAVSEEAAAGQAQQVELKDQQHLQLQLQASPAVAPLDTHADLEAX >OB03G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11625394:11627573:-1 gene:OB03G26830 transcript:OB03G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSISGKRAFRPSLTTRHANEWPPTDVSSDLTVEVGTSSFALHKFPLVSRSGKIRRAVAEAKDGRLARLSLHGTPGGAVAFELAAKFCYGVGVDVTVGNVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIASSVAVLRSCEALLPAAEDVNLVPRLIAAIANNVCKEQLTSGLSKLDQLKPPPAVSAGVDLDSPGDWWGKSVAGLGLDFFQRLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDIQAAAKCGGDTDAIKKQRAVVETIVGLLPAQSKKSPVPMAFLSGLLKTAMAVSASSICRADLEKRIGMQLDQAILEDILVAAGPGAAAAAAAGAGQQHTLYDTDVVARIFAVFLNLDDDSNEEDVGGAFDYDSPRSPKQSLLVKASKLLDSYLAEIALDSNLLPSKFISLAELLPDHARLVTDGLYRAVDIFLKVHPNIKEAERYRMCKAIDCQRLTPDACSHAAQNERLPGQMAVARMRMRLTDLEKDHVSMKRELVRVSPANRLLRSFARSLGRLNALFRMRPAAEPGLHQLGAKATADAKVLFQRRRRHSIS >OB03G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11642866:11647649:1 gene:OB03G26840 transcript:OB03G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MRGKLWTRLAPYISKRHISTSQTSSTSFASSCSAIQPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHHFKALFGEGMIEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNRTGRERIDSAIQEGVEILKLVVSKGLTEAARSSNMDQKYKHLTSHDLQL >OB03G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11648604:11651557:-1 gene:OB03G26850 transcript:OB03G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLKSPLSQQVKRALKIPLPWTLKRIEALNYIAEYNQEQAYNPSILELARLDFNLLQLHLRELKDFSRWGNTLYSIVGLTYSRDRIVECYFWSYTVYYEQKYAEARIILAKIFVLTSLLDDTYDMHATLEEGQKLDEAWDENAISLLPEYLKNYYAKLISTFKDIEAELKSDEKYYVTNYALKAYQRLCKHYLQEAVWFHHNYIPSFQDHLDVSIISSGAPMLSVSLVGTGDLVTKEALEWATGCTDAVKACGEITRFLDDLAAFKNGKSKMDISSCVECHMVEHSVTGEVATAAIGNLVEDAWKTINQSRFEHPSLVPAVNRVANLAMSMVFLFQDSNDAYTFSELNKKTIQQQFLEPIPI >OB03G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11654711:11660615:-1 gene:OB03G26860 transcript:OB03G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGTTPKTASGFHPTVWGDFFINYEPQCLQRPEKWTRDRCNELRAEVTQLFDAFEGVAEKLNLIDTLQRLGINHLFEEQIATTLKAIHSAEFDSPSLHDVALRFRLLRQQGFWVSSDVFNKFKKIDGSFVVDDRTNDPKGLLSLYNAANVTHNEEILEEAILFSRHQLELMKSSLMSPILAKQVSRALQIPLPRTLNRFEAISYMLEYDDVHKQTYNPSILELAKLDFNLLQHIHQKELKVITQWWEDLSKDTRLDYIRDRIVECYFWAYSIYFEQEYTCARMILTKFFMLISLLDDTYDTHATLEECRKLNAAIQSWDKSDVSVLPDYLKKFFLEVMSNFAEFENELEPHIKYRNAYNRKVFQLLSGYYLQEAEWFHHNYIPSFNEQISVSVMSAGIQALSVCILVGMGDIVTDEALEWAIGNNDAVRAGGEVARFTAFKNGRNKLDVASSMECYMNEYNVTSEVALAKISSLVEDAWKTINQAHIDRQELLPFVHRITNLSRSMAILFLDKRDAYTYSKDFKGTMEKHFVKPIPL >OB03G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11659663:11659830:1 gene:OB03G26870 transcript:OB03G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHACTAYGHHGEVYIGTRALFSYILIFFYSFFFLGGQDSPFAFQDFMVFARYT >OB03G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11657156:11663709:1 gene:OB03G26880 transcript:OB03G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLNTREDSMMPRWLKAWFDHEAVGRMHSEGGVMHDIVLDQMQHQIQIEPHWVIKKFSYKLHTLFFIYSV >OB03G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11666517:11674657:-1 gene:OB03G26890 transcript:OB03G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDAAARHGFGKMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTVICLVCDTEQPVSATAIASISASLEVAQVCCNCGVCMGEYFCRECKFLDDDVDREHFHCKDCGICRVGGQENFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEISHLFRVILFFLDADTPCRFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGHDAGVLEGGRPQVLPLPVSQHLQGGCPVAEVRARRGHMERRRWWWSSSSSAAAAMLLVLIIPAAASRPPHGSVAAAAAKESSAQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRCLIVDVQNCLQAFVGVDHSLNSIIVSIRGTQENSVQNWIKDMLWKQVDLNNPDMPNAKVHTGFYSSYNNTILRPAIGNAVRKARKLYGDIRIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNAIRVTHEHDIVPHLPPYFFIFPDQTYHHFPREVWEHEVDGNTIYQVCDGSGEDPNCSRSVFVLFWSASDHLTYLGVDMEADDWSNCRIVLGRSVETLFLQAASLASVDVVVADHGVQVDWS >OB03G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11677045:11684333:-1 gene:OB03G26900 transcript:OB03G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:J3LNR4] MGGWSELLHSSSKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMATGLSRPSLMPSSASSPQASSGLPSKEVMPIPNKTIIENKSSVYAAVVRDLNDARGRSLPFGPATAFRTAYESLSVDAIGTKSVTMHKVWHLIQALVGEGSTHRNISTKMSLVIGGRRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFAPLLVEWIATNGAVSPETALTASEECEKMLRMGDRPGRPGYDRKKLLLYAMICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYTGASSSNVLNEGLVPYTLEDLQSYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQAAAAMGGGEVSWIGQGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICAMARSMLDGESRAAALIHSGNEILETARYSEASVQDKDLISEQQTLLRQLEAILHIYRLARAGQTVDALRETIKLPFLHLDPQAPNVTVDVFRNLSPHVQVCVPDLLKIALNCMDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI >OB03G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11701114:11704626:-1 gene:OB03G26910 transcript:OB03G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAVDLDFSEILSDDDVESQLKEVAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRVKLETRLRVLEGKELGRSAGSAKGKPKIEVYEKDRKKGAGALITAAKTYNPAADLVLGQSTEETPKKPEGASKKRKHEAEPAPAEETIQEDGEQEGQKKKKKKKSKEIEESADADGGKKKKKKSKEGEEPPVAASEGEKKEKK >OB03G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11708728:11712164:-1 gene:OB03G26920 transcript:OB03G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAVDLDFSEILSDDDVESQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGGDNSIGLESRVKLETRLRVLEGKELGRSAGSAKGKPKIEVYEKDRKKGAGALITAAKTYNPAADLVLGQSTEETPKKPEGASKKRKHEAETAPAEETIQEDGDQEGQKKKKKKSKESEESPVADADGGKKKKKKSKESEELPVATAEGEKKKKKKKKSDSQDAEDATMEIEESGKKDKKKKKKKHSDE >OB03G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11718160:11721043:1 gene:OB03G26930 transcript:OB03G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGYENAGWDNSDYHVLNDSCKIEFTYEQAPVSSAEACLSSFVQISQLCPSMSNGGNMEDTRQTNPENGTPMQVHPKRPEIVPCPDRNSVISRYKEKRKTRRFDRQVRYESRKARADSRLRIKGRFAKTNQI >OB03G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11720671:11722244:-1 gene:OB03G26940 transcript:OB03G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEEEEEERLGFCSDSWRVDYRATLHTLQAARGLGAAHFVLLSAVCVQKPLLEFQRAKLRFEDELAAEASRDPSFTYSIVRPTAFFKSLGGQVDTVKNGQPYVMFGDGKLCACKPISEEDLAAYIADCIFDEDKANKILPIGGPGKALTPLEQGEMLFRLLGREPKFIKVPIQIMDAAIWVLDGLAKVFPGLEDAAEFGKIGRYYASESMLVLDPETGEYSDEKTPSYGNDTLEQFFERVIREGMAGQELGEQTIF >OB03G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11726341:11727857:1 gene:OB03G26950 transcript:OB03G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3LNR9] MVRDAGLCLRVSLDTHGSALPGWAARAAAADPDILLTDRSGNRREGCLSFAVDELPVLGGKSPLEAYEAFFRSFAAAFHDFLGSTITDVTVSLGPNGELRYPSYPPGSDGYSGAGEFQCYDKHMLARLKQHAGAAGQPLWGLSGPHDAPRYGESPETSTFFKAHGGSSETAYGSFFLSWYAGELLAHGDRVLAAASRVFGGEPVELSAKVPLLRSRPPEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAGSPASRSCAVHMCSSNYKYYCNYSSTITTNLSVGNQR >OB03G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11729174:11733260:-1 gene:OB03G26960 transcript:OB03G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHNLRSRVLSVLIFSTVHDAQPGPRDGTLKCFIRRNRATQTYYLYLGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRIRKVRENNVLWISHQNCSFNWPLEKVYIKHNLQQSFKESANQLRFNRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVIGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVEEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQISAQKEEKLVLRNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >OB03G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11747960:11751888:1 gene:OB03G26970 transcript:OB03G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:J3LNS1] MVKAVVVLGSSDTVKGTISFVQEGDGPTTVSGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANINVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGVIGLQG >OB03G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11758834:11759049:1 gene:OB03G26980 transcript:OB03G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAPIKELSQVANSPQSRKYSMHICWLSQRRSNHKPCLEMSVAWIGQTAHRCRLEILFSYSDFRVDQLE >OB03G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11762030:11763114:1 gene:OB03G26990 transcript:OB03G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFRWYSIQSCAQVVRCHNQSSSFKVYKKLQNWQIPQKFSKRIVFFMIPGLVIQCSIKKIQKTETSCYNMYTLYGYIIDKSGKSDLQNHNSQRLACCEEEQEQGANAANPDGLGHGGDGGGDCGDDGGGDYDGRDCCPGGLSVVDYKVEELDLVNVYCLHCSVNAEDKKTD >OB03G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11760513:11766267:-1 gene:OB03G27000 transcript:OB03G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHICAADVVASFKLQRMISELRENKPKLEYDIFEEIGIGNSTVSVISFDSISESNWTVVTFGVWPYPSNSTISTTELSILRSYLMSLVIRQSTLQLTPSLFGNSSSFEILRFPGAITIIPPQNAFVPQKPDALFNFSLNFPIDVVQNKVSELKAQMKSGLFLSQLEILYVTLTNLDGSTVAPPTIVQTSVLLAVGADRKPPSLQRLKELAQTLKNSSSGNLGLNHTVFGKVKQISLSSYLQHSLNNAGSTHSPSPAPQPYNQPHSAHQDNNHDHHSHHHHHHHSHHHHHHHDLSHQGLQHLPPAPAPLHSMPTFVSCDSSCTRKKLHSDAKHHSAPHMDPSFRHVTPVASPNSYEASGPYVDPPSFHPRIPLSPLPAVVFHAMPPSESVGTLEHPYKFSSISPAPSTCKSLFPDLSIIYP >OB03G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11772553:11773688:1 gene:OB03G27010 transcript:OB03G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGEARPRSCDSGCLEAIADSMDDTGNLKLQKLETFPDIHDPQIQVYMFLEKYETCSFPVLKDELEYFCMVLDLYFKYNITFIDE >OB03G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11778070:11778918:-1 gene:OB03G27020 transcript:OB03G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQSYWCYQCRQRVNPRGRRMECPYCDSGFVSEMDDVEALMSHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGANREVDVRGRPNIFPDLEMEFGSGPWLLFRGQIPGHLSEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRQGPPPATQSSIDAMPTVKITQRHLTGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSTAGASCSRTRSTNQSQTSSSNGRSSVGRQRRRNPFSFLWPFRSSSSR >OB03G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11781388:11781947:1 gene:OB03G27030 transcript:OB03G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQYDWCEEIIAQFSATVYFQSNEDNTMHWMTRGRWYNINYKDFVVLLAFENYNMHEKTRDGLMSRMYIPGGQPVLGTVKGLKSKYIYLNRMLRKTLTPKDGDASHINSLSNNILRKMMNIDTFDVPRFIWDEIYNTSVSHRKGLGYSPQIMFLIEIVIRIEFVKKVKHTRLGLRLD >OB03G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11787465:11791440:1 gene:OB03G27040 transcript:OB03G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family [Source:Projected from Arabidopsis thaliana (AT3G54380) TAIR;Acc:AT3G54380] MDRRDMAASHRGRSSARGQAWGRGWRGRGEGRGRSRGAGPSPPPPPPPSTSSFPAAGATAADAGGDAPPIAGSCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKFCRTISSTSVQASDIRPLPVLRETMDYLLHLLDSSEHQFGIVHDFIFDRTRSVRQDLSMQNIVNDQAIQIYEDVIKFHIISHQILARNCQDSDASSLCYLNMEQLMKCLISLYDMYDVIHKSNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLPTSIVQSKEMIFARSILRYYNLGNFKRFFCIIAAEATDLQLRLLEPFLNEARVRALMYFNHSGYKLQHHPLTHLSEILMIEEVELETLCRLCGLEISKNEDTKAFAPKQASFSLPGSIPQSNGIYISRESQR >OB03G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11791689:11794940:-1 gene:OB03G27050 transcript:OB03G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASVVDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYIYAKLANYIKDKSELAEKDIPTLADLIGDEDKAKEVVEAAKASMGQDLSEVDLINVKQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDSATTVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMTNDVDDDEKVDASAKKSKKKKSKADGDAMDLDKPSNDGEAEPGTEKKKKKKKHKLEEPPEEVNGAAHANGDAEENGTPKKKKKKNREVPEDAEPKTATEGKKKKKKKSKAEDEE >OB03G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11798782:11799045:-1 gene:OB03G27060 transcript:OB03G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEPAAIGLDAGVGELLGLEVMLQDLPGPVPEGAFLSLARLTSSECRGLPDTFTALSFFFAIAREGRRREEAVVREKRGGDEGWGG >OB03G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11800499:11803540:1 gene:OB03G27070 transcript:OB03G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSRDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDASEHIGEVLRRVKKEHLQRAYKIKDWTDDNDFLVQLSKTSGKLLKGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQRGEDGPSETTEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQHMSVPCQKEFGVTTEDSEAEQLD >OB03G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11809139:11820671:1 gene:OB03G27080 transcript:OB03G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INO80 ortholog [Source:Projected from Arabidopsis thaliana (AT3G57300) TAIR;Acc:AT3G57300] MDPRRPPPRGGANGGGLSYSTLFNLEPLLNFKVPLPEDLDRHRRRSPNGSMSSQGQGSLSDQYNGISDASHGLNRKRKHHLDGASDDDDTDAYSNQITEEHYRTMLSEHVQKYRRSKFKEDVFGSDPPQAIVPRKHKNGTARVTKCRSDTRNVATLGGAEATAEYNGMKYINAHGGFNKLVASLDSSYLDMGDNVSYIIPEGYDKLAPSLNLPVFSDIRVEENFLNSTLDLRTLAAMLSTDQKFETTNRGGLAEPQPQYESLQERVKVQKFALQVTEDPFAIPEGAAGRIRRFIISESGSLDVHYVKVLEKGDTYEIIERSLPKKQIVRKDPSEIAREDSEKTIKLWHAIAVKGIPRHHRNFMALLKKRQVDAKRFSDNCQREVKLKVSRSLKLMRGAAIRTRRLARDMLIFWKRVDKEQYELRKREEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKASESASPDEGSVPEPDEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSEIGRLHQSSDSGIATDDLSTVEPNKIDLLHPSTMPEKSSVQTPELFKGALKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEEVIRFCPDLKILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGSLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYEGIISNMEMTLHGCGFPCGSFNRMFNIFSTSYIHQSAFPEAISPKNAVLSSGAFGFTRLINLSPLETSFLATCSSFHRLVFSAVRWNKKYMDELVDAFLDSESTDLDSTHNDVTKVRAVARLLLSPTKADSSLLRTKMETGPSDSPYETLVLSHHERLVSNIRLLRSTYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWAKKLFVGFARTSEFNGPREPISPHPLIQELHTDLPCPEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLQTLDTLLRRLRAENHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQMSHKLKEISMQAKDRQKKRRSKGIKVDNEGDLMLEELDDPTTGAAEQDNTSSKKRKSSQKKLSKSQGNDSVDKNVEAEGVGEAEDEDNIAAPRPKRSKRLMKNVNDDKESEPTTDGDNLADPAENDISRDDDDTAEAQDQTPSA >OB03G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11824746:11826615:1 gene:OB03G27090 transcript:OB03G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDCRAYGHELPRRSTLATCYLPPLLIPPTCLYILPHDPIYTSKRIDLNALGSSAQARLRQVDDVHRWLPSEVLRDIGIIEDNPTCAVEGHHLVIVKDLVTRLTGVLLGNTAQGNQHCLGVPLSATGRLDNSYQRLHASPPTEVCPFRSNIGMMVNLATPIVALPCFAPTMWPPPLLVSAGVPPPLFEKWPSGASGTGFFLPRARAYYNRKIRMPKATTRLTGVKPPRYAKRHQRQQ >OB03G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11831264:11834325:1 gene:OB03G27100 transcript:OB03G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCCTYMHEMDDVHRWLPSEILGDIGISESDTAERRRVAVVEDLAVRLAGVLGGGAGEMAAPCHRPQVRGGAAADPRHANHHAPAAAARPHTNVPPSQCRAQRHRRGNEAAAAMARRQQELRQAMAANVAQMQQLAVPGAPAPSCPDLALPQEWTY >OB03G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11838109:11838703:1 gene:OB03G27110 transcript:OB03G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCCMYMHEMDDVHRWLPSEVLRDIGIVDTAERLRFAVVEDLAVRLVGVLGGGGCEMAVTPYRSPLPSCHRPQVGGGGGATFMGHHALADAGCPRGAGAPPPYMPPPLPAPASPWHVTASGPRNATVLRGPTPPNHPHPLLRVRGAVAGAAHQPATTRRSSGTGFFLPRTAAAGHANHHGAARA >OB03G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11839264:11840199:-1 gene:OB03G27120 transcript:OB03G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKEKVAYARSDQALEHLPPDKELRMLAYVHNARGAPAMLSLLELLATTPAVDSRIDDATQVNWAVDVFTSVTGLSIRQVEVADRGATVIEHEEDPPPHEERPRRSSADAVPQGAALRQQDDLPSRRPLPAQPRLAPCTVGIFTDRPRGIFVRLGKKNK >OB03G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11842448:11844457:-1 gene:OB03G27130 transcript:OB03G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3LNT7] MAGARSLLLRRLRVAVAPSSSAASLRPAAALREALWGRRWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >OB03G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11849228:11850782:1 gene:OB03G27140 transcript:OB03G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPVFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLELHGEDVDLAGLLPDDAFDDDEDFFYDQAQDLAFEPPHPPAAPKTDAVLKALSLVSPKAGDAARGFREKPRHSEKPTKYAGSPRSGGGAPRVIHQPR >OB03G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11853883:11856023:1 gene:OB03G27150 transcript:OB03G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleolar protein 12 (InterPro:IPR019186); Has 2484 Blast hits to 1934 proteins in 262 species: Archae - 0; Bacteria - 90; Metazoa - 921; Fungi - 378; Plants - 144; Viruses - 18; Other Eukaryotes - 933 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11240) TAIR;Acc:AT1G11240] MAWEEEEAVEEEYGEEMEGSGSEAEDVVVGQMPTVMVPKHIKKRSLKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQKILQEKERRKRIEERKRRKQEKEIALYGRVLSSDNADGEDFENDGDEMETDDLPASEVRTYEDGGTKITVTTSEITHEEDDDDLGPKRVAPASTSYASKSPGMAAKKSTSLGVKKKPSKRTFRNKSKSKRGDKKKGAAAKGKQKNRGRK >OB03G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11856840:11873626:1 gene:OB03G27160 transcript:OB03G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGLVQLLVAFVVAWEAIELVLRHGLLLSALKFILAAAVVVAASCLALLFLARAVAWVLRHTAKLSIGCRSFGFNYLRDITINSPKGVVDSICIGEIRLGLRRPLTHLGFTILTHGPILQLQISALDIVLRQPAKNANKKKPPPRKSTSTSPAKAKGKSKGQAKWRLITNIASLLSLSIVELRLKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIALLCDLHQRGKGIGVKNLDLISGPVVVNLEEKLFTKKKLSASTVADKSDEPATDVKSATKSEGSKLSSFNKKIDLLPEKVSFNMSKLDLKFLPKDHGLSINNQIGSISVRLVKSQLHSDFGEAAHLQLATNVSDIHLLMDGATSVLEVVKIATVVSANIPIQSTSPIRAEAGIKISGSQCNLIISRIKPLIPLNSAEKKPLVLSESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYNCCLMSTHIAASKTVNQGTELHAVLGELNLLVVGKHQQSIKERISSTLLQISRTTLDLEQKDPSKDNGLDNPKSALSLNVSGIRTNFCFYYLELLCTTAMSYKVFLKSIHPPKKRPVQGTSKKSSKNAKATQIVKINVEQCSVLYIGEMRLEDMTIADPKRVNFGSQGGRVVIINDADGSPRMAYVNSTSHPDHKHINFSTYLEINQIGVCLNKEKQSMQVELGRSRLTHKEDQLDDSPAEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVRWEPDPYLELLEVATRLKSILHRIKLQISATEIKDDAVYTDTLTKKDSLTEHGQQEKPQKKRESVIALDVESLRISGELADGVEAMVHVGSIFSENAKIGVLIEGILVSFCGARIFKSSRTQISRIPVSISDSLPDKKLQSAATCDWVIQCRDAYICLPFRLQLRAIDDAVEDTLRALKIISAAKTSILFPEKKSSSSSSSSSSSKKSKSKSTAFRYVRVMVRDLVAEIEEEPIQGWLDEHIHLMKNVFNESTVRLDLLDELASPKHKDSPKAKLDGSSEKKSDCPEVDGDAPDVCSFEKLREEIYKQAFQSYYSACQELKVSEGSGSCSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFVKTVDPVCAKKDIPFSRLYGSNFTLKAKSLSVYLRDYSFPLFSGTSAKCKGRLVLAQQATTFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYADLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDLAETKWHLPAKTSPYEKLDQMLLTSDYMEICYVDGYVSLSSKYLKVYLSSLESLAKKSSLETPYHEAIPFLETPSFFMDISIQWGCDSGNPMDHYLFALPVEGKPRDKVLDPFRSTSLSLKWSFSLKPSTAEPVKHQQSIQAVSNNSPTVNVGAHDLAWLMKWCNLVFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNTPLQADDPAKGLTLHFTKFRLEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVFINKTPEPSTSKDAQVENKSLPMKATDSPGKKKTSSTEKIRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSDMPLVKSEFDGGDESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVCWVGGLTQAFQPPKPSPSRQYAQRKILEKKQSAKEAEVSNDGTLNSPLASQPSDPPKQTKGSEPPSSGSSKLESTSSSDTAMKPSNSTDSEDEGTGHFMVNVVQPQFNLHSEEANGRFLLAAGSGRILIRSFHSIVHVGQEMFEKALGSSNISIGGETRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARTPKPQKSNLSYPLDNDDDDDDIGEESDAVVPDGVEEVELAKIDVEIKERERKILLDDIRTLSVGNEISADETQTPKSNDATWIVTGSRASLVKFLKKELVNVRNGRKEASSLLRVAMQKAAQLRLMEKEKNKSPSFAMRVSMKINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLTNAKSDTVLSPWNPPSEWGKNVMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTGGTRRKKSMSVAETNSPSKQSSKDSTLPQKPELRRTSSFDRTWEETVAESVTNELVSQIQSQSNESQDAAKDAKLVRSARSTREEKKNIEPNEVKQTRPQKLMDFHNINISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRVKKHIIWGVLKSVTGMQGKKFKAKSTSQKEPTASLIAANDFNLSDSDGDEAGGSDQLPAFLKKTNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKFKSKVPKSAATLQEHGLELPPRGPTGNQTDSSSSDDDDSSPAETSPKD >OB03G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11887851:11891182:1 gene:OB03G27170 transcript:OB03G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWRPGPPRHEAPLVVYRANTATPTHQGETNLLGAVVYRALSPEPAPYKTVQVATLAAAPAPSTTALSKEFEHKIIFVPDTKPALPAAASSSPSGEAVAESYVGLALAFSKEIAHQARRSYGPSRNGVMIGENGHFVVNVAFDNKLFCHVHELSLLPPLPPLSKFTNGGALDEWLCLIQKRYATGMSTSLNSIQNALRDAHGATHYCVVHDKNRFDTDDHCSCTVPVVPSSSNIEIAVELDSLQLGSTPRATELVKFQVGFVMNRQTIMVDTENEDSVSSVIQQAMLKTSYWPKDVYYTSSMGILDLRKTMKESHLSKGSLIFVNIRNRGGGEPPADCEWILERLLKTDKVPLLDHIRSVKGHDPWIKWFEMIKLPRSLVIPGEWGGVLLHDEASKLARMLTLCLERCHASGHCFGGFSISDVYYLVSYGIIEINAPWINFSSDSYIDDWLSVKEIIDNHYRYAHQATYKQEYPLYLESYVGRISCLRREDPLTGRSWRNRAVLFQNICFESSEKRVEIIEGLVGFFRERHSSDRNMIVDVLDNCAVWKSEVRQVPLMRSALEFAVLNDLNDWVPANQYFEMCGYSYIYFCRCFFVHYTKPGKIDRKELDTAIGIRLPYHLTSAQQRLLVDYELEQVKASSRSSASTKFSVHHIFGHGSIGSDLPAPEKKGKKRKRSLA >OB03G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11902141:11902473:1 gene:OB03G27180 transcript:OB03G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLCGPGKEMLSWKPHPLEQFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKVLLREGSIFSRKDLVKSLGRKVLMNASGFIWEFE >OB03G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11905158:11906564:-1 gene:OB03G27190 transcript:OB03G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREEFAPSYVLLDRTVRIDREAVRKESDWAIMECTDWKAYGCDGSCNRNDVENASRMKGLVLLARLAEPPNLSEISVRLAPAVPKAKQPTSFPPGTALLPIFDLPEGPENLPTHVEAAGDGLIVLNSRFADGSRYYLVYDAISKSLSMVAGLPDPCRTYCSMRPLPVRGSAGYSLRSRVGTYTYTLALVAKDWEFNMETGEYDYRNVLCLWSPRPFSIPSPCTIRSSYYDTPWQFKNALFPSEMPGSFHADKVFSSGGMCFWADLAQGPLFCRCEDVLSDGNDVQFFHIQLPPECRLDLMFHLRGDLELCRTMSCEGDSIKFVCVSAEPRTGHPGDSTVTMWTLSLATEQWLKDGELQVASLWEMEGFKNARLPRSIPVCPILIPNEDDGVLSFMLNEAGDELYMVTINMHSKRFLSAITLSPCPDDEVVPPLGFDLSKHIQDLSLHPIRDEGCPIATKRKLSSLN >OB03G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11912275:11916361:1 gene:OB03G27200 transcript:OB03G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTNDSAVQARLRRLGEPVTLFGEREMERRDRLRALMVRLEAEGHLDRLLRAQEEEQGSAGAEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDASMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVTFSPVDGCLATASADKTAKLWKTDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDVITGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDSNARVWDLRSGRLWGNLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRNKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKVALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCKSRAQDEMELD >OB03G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11924626:11924820:1 gene:OB03G27210 transcript:OB03G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGEDIERDEAYQRGMDACASAPVSPESLSAMLDEALQSVGRQQQQQNEPRDGVVRRMRTGF >OB03G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11925912:11931930:-1 gene:OB03G27220 transcript:OB03G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LNU6] MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSARPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAGAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQAALDGFMIQFNKNTFGLAAGGPLQVPPLQPGASARTLLLMVVFQNLSPGAPNSLLQVAVKNNQQPVWYFNDKIPMHVFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPASVISSIDATVEHLAASNVFFIAKRKNANKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >OB03G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11943764:11947191:1 gene:OB03G27230 transcript:OB03G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSFDCVFTFLFFLLPLCSLFQTAMRCFSDRNPSHSAQQSNAAICRIKSRHFGFRSVQTLSSCSDSAASLDMERKKRGWFERIKRLFVSEPKQKAKVEKKVKSKRWMFVGKLKTQNSFALPAPAPAAAVEEEQIRQAEDEQSKHAMAVAHAAAEVVRLTGKPAALAPATTPTPSSHAHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRXXXXXXXXXXXXXXXXXXXXXDGMDALLRRGRELYYAAAAAVHEQQQGKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKAGVRRQPMSREEMETLNQRWTWLEEWVGSQPFDKDIPVAHQSPSRDGTDDLPSPAPAPAVLRSRSRADRLAWSFTRAGRRTPARDDDAPPLFPGYMASTASAKAKFRSMSTPKERSGVAAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPSAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >OB03G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11946968:11949913:-1 gene:OB03G27240 transcript:OB03G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT4G31790) TAIR;Acc:AT4G31790] MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLTRTAPSEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMNVNTAISQLLEVEELREGSAYGADSLCIGVARLGSDDQKIVAGPMKKLLDVDFGLPLHCLIIVGETHPVEEEMIEFYMIKS >OB03G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11952217:11953661:-1 gene:OB03G27250 transcript:OB03G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMAEDFVQNSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVMGQGDKLVGEASRLVVAEACVQALDIDFTEGQIYEINSVKGEGPGSDPEKWKEQFRAVQSN >OB03G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11964814:11969683:-1 gene:OB03G27260 transcript:OB03G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLYTDKRKRSRAAMGAAYQAVLIAVAAAAGASFLVDEHLAGAVAGGAGDEADAPAGAADEGRIVHGPWAETRVRGIGPEAHRPVDPSFPLWITRGRAARGQVALRVRPEAASALLSSPEPPAAAAATSASMASLSPSLHLPCNSRTGFVAKTQGIRLRVIPAGRVGFVRKTVECKESRIGKKPIEVPSNVTLTLEEQFVKAKGPLGELSLNYPAEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEFVRRKEGKAGKKK >OB03G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11969085:11969830:1 gene:OB03G27270 transcript:OB03G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGGGSVGPFSKKPTHLARSLTYHHHPYQGQGRSPSFRARRQQQQQQQTNAVVLYTTSLRGVRRTFADCSAGAAGQDPAFVCGACGGVRFVPCPACDGSRKVFVHEEGCARRCGDCNENGLCLGD >OB03G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11971354:11973524:-1 gene:OB03G27280 transcript:OB03G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3LNV2] MASVTYIDDSSSEVIDPPKTEVLDVTELAGDPVPHSPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCSGIYPYYCKLKHELQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >OB03G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11977169:11980995:-1 gene:OB03G27290 transcript:OB03G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:J3LNV3] MDSHVGKFFDSVGSIFRGGDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQRLVEDKTRRDGMIGMAIITGAFGLVGLVAGGIIAAASSSSSSSSSRKK >OB03G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11984868:11989255:1 gene:OB03G27300 transcript:OB03G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALRSPVAMTRRARQGGGLLRWLGTAAEAEREGGATWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILARYRRAYEQPWGRSRLRYVDDGRPFWQHIVTSSTGCSDLQYFEELYRYYMTEKAWKLCDPDAESVFQALRKSGVKTAVVSNFDTRLRPLLHALKCDHWFDVVAVSAEVAAEKPNPTIFLKACELLGVKPEEAVHVGDDRRNDLWGARDAGFDASLWGSDLHSFNEVAERIGVEVAK >OB03G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11988973:11989935:-1 gene:OB03G27310 transcript:OB03G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKISFLVAFLAALSDDPVGVTKDWRAALSDDPVGVTKDWRAAGRNSLRGKGYLVAAADSTPHMTFLHTRSDHNSQDNIPVQTMNQAQHARKKKKSQLLVR >OB03G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:11993441:11993692:-1 gene:OB03G27320 transcript:OB03G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAVAEAEAYVSPKRWARWPCSRSCRRWYTSSCASRRVSSPWRGGLSAKEDVTCITNPDSAGSHGLTHDRLFTKPTTKTTD >OB03G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12004759:12005912:-1 gene:OB03G27330 transcript:OB03G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHGRHMSVFFVDPFVRIHGDIPSASLAGPSTRLPSMGSCWTNANFLPHGLSPIVGAHAASIYIATKLASSIDCQICEATCRVKCLINNLFQWGGCYQRCKADNCNDWCSGR >OB03G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12017356:12020600:1 gene:OB03G27340 transcript:OB03G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLQLGIRHAVGRQGQVILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKILLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQSRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDIDGDEPATPRLSRWDRDHFLSDPNRWSKIKLGANMLSRAELTIRKNEGDVIGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSKRFKDFIYKAFQEDRVDS >OB03G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12024911:12028731:1 gene:OB03G27350 transcript:OB03G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRAALWWWRRRRRRRRRGRGGGGGGGGGGGGADDEGPGAAPQRRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDKDCDFLEQENIMDYSLLVGVHFRDKRNILASEGSFDSDSSRASSPHLSRGDTDPNRFTKIKLGSNMPTRAELTVRKSDCNEIQIIGEPTGEFYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEDKVDI >OB03G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12030468:12040978:-1 gene:OB03G27360 transcript:OB03G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLAAYRQCSLGSSSENAACANQVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNETTDTYDGTIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARVNAMRSAIAETFPEPNRRLLQRILKMMYTVASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMEDDNGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDTKLVEANIDLSKGPKSHPTENGSAYMETLLSEKNPSNPISSHEAPLSMGEILSSLDPGISLPNHSSEYSVESRQLAKVNESHPHVKRSNFWGRNTARKSQHSESVDSSGEEELSIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPTVDGNDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSLDTSQWRNIKQHVLPYGSSRPLTRKLSMDASSSDSRGVEASTSMPTENTAMAINAPKFTEGVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFSFNKPPSPWDSPR >OB03G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12047093:12050752:-1 gene:OB03G27370 transcript:OB03G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGFDPSAAALAATSTCAHCQREIPSSNIDLHSAHCARNLQKCEHCGEMVARKLMDEHYNESHAPVSCTLCKEIIAHEIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYIRLREWIGHELQCHANTNGSAQSSSARTIPEREVRPPPPVRPPRPIHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >OB03G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12053984:12063713:-1 gene:OB03G27380 transcript:OB03G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MVYRIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPEHLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPSVFKKMFPSANITRLGDWNTVDGDPRWCCTYLLDPSDALFIDVGQAFIKQQMKEYGDITIIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDATFWKEPQMKALLHSVPIGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYAVLDSISSGPIDARTSHNSMMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEMEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPSSFSSDHSKQREIAKVRKHRRFVLSEVSAGLPHPHLWYPTKDAIKALELFLNAGNDLSKSLTYRYDLVDLTRQALSKLANEVYIDAMNAYQKKDSNGLRFHMKKFLKLITDIDTLLASDDNFLLGPWLESAKSLAMTENERKQYEWNARTQVTMWYDNTKTEQSQLHDYANKFWSGLLKSYYLPRASKYFTRLTKSLQENQSFQLEEWRKDWIAYSNEWQSGMELYAVKATGDALAISRSLFRKYLS >OB03G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12077524:12087692:1 gene:OB03G27390 transcript:OB03G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGAGQKPGTEIWRIQDFKPVPLPKSDYGKFYCGDSYIVLQTTCNKGGGAYLFDIHFWIGKDSSQDESGTAAIKTVEFDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVSDDDVVLEATAPKLYSINNGQLKLEDTVLSKSILENNKCFLVDCGADLFIWVGRLTQVDERKAANAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPLNSAAGSASMEEGRGKVAALLKQQGVDVKGASKSSAPVDEEVPPLLEGDGKIEVWCINGSSKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSMPEDQEMAFQTANSIWNSLKGRPALGRIYQGKEPPQFIALFQPMVVLKGGISSGYKKFVEEKGLKDETYSGDGIALVRVSGTSMHNNKALQVDAVSSSLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKIAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNVTHDVVVRDPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMILDTHGEVFVWMGQCVDTKEKQKAFEIGQKYVEHAVTFEGLSPDVPLYKVIEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSQKKQSNDRPTSSGDGGPTQRASAMAALTSAFNPSAKPKSPPPSRAGQGSQRAAAVAALSNVLTAEGSTQSPRTRSSPSAVDADAEKTELTPSAVSPQSEAAESSEFSADKDAPGDGASSEGGRTEPDVSEEQTANENGGETTFSYDRLISKSANPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >OB03G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12087728:12088945:-1 gene:OB03G27400 transcript:OB03G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWFRPTKATFSVKNGESDYHYYDDDDMDELEPDDALPSDFVSLIDERYRDRALYSEVVWGIPNSDGANGWFYDCPFRMDLLDHSSEDNGNNDDDGELLLPAINDLPWLPSMEQERKDGKLWKELHDGVKLSWIVNRRMERAVNLASWHPLGGGHWPTDAGFVLRFGSVLQAAKEALPPPLPWCRVAECVLLVKLRVRSMGRRETGEPQPPALALSEQCGCRMSLYSPN >OB03G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12094959:12096799:1 gene:OB03G27410 transcript:OB03G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHAGSVTGQPKPKVVFVLGATATGKSKLAIFIAKRFGGEVINSDKIQVHEGFPIITNKVAKIGRLSTLDGWDVRRVDATAVFTRMAEGAPHGETWEAAVWKPCEATVISPIDFSWFFQCDFYGHGGKTSFSHSSGLE >OB03G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12103659:12117452:-1 gene:OB03G27420 transcript:OB03G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFPAWPAMISEPEQWGLTSVKKKLLVYFYGTKQIAFCNYADLEAFTEEKRRSLLSKRQGKGSDFVRAVDEIIDAYDSLKEGNNKLGLATNEVKPGVKNLANNNSSVDTEGLLNSSNMGSDKKQEDHSIVASGHNTVDSDEPSVTAVGSERCVVNSAPDDPTENVSILDEMRNIPLSTSSISKKLRDAQPQNCYTRSRVSSLRRSRSSLNTDTRKAQDAGKLSGGTSLASVGLAVDGNKEGSTHHVYVEDVKGNSGSPSTQDDVCLHSNAGIDNQPGTPGTSNNNKRLNTVVDSTCDSEASQNGASETEFKSHETSSIPMKKSVIFKRKRKPNRKQFSHSTDKDGEFQAELSENTADSPNPKTEVNKSDGDEHLPLVKRARVRMGRPQLEDSPVDKIDATNNRRELAMLQDRCNMHNTVTLPGDDCSAEQSAVVNSVSLQGRVANTVSKPSSSKLYMPGPSNEGQSAWKNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANVAETNNLPEVTGSKQLIPNGCVASENRHSNKSSDTVVTTTNGSAIAESSRPSLCTESMHSPKCKTQHSESILQNNSLPASASVPSEAKDDESHIVTKDNICEETHVDSKTSDCLLVSNEVVNDGCGKGSALSTKLNESALGGSQTIAVPDRLSSSLGTTSVNEVAKPINCNEGPKPVDCPAYDTDRSVQRCDEPIYQSKLPSSDNNVIGDSVPNNETVLAEPVVNVADTASTSSLATKSSSIQSDADTRTFEVHTFSALALKELNHRNLKDKNTSPDSMPMKELIAVAQARRFSRSTSFPDTFLNAKYIAETVVDAPAFNEGSQKQLSPLNRIIRSTSTNDNIHSRSPFGSQQSKSLSKLTGHDEANAARKAFGAFLGILTRTKENIARATRLAIECAKHGIAGEAIDIIVERLEKESNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGNSAWENRRQCLKVLKLWLERKTLPEYIIRHHIRELEAINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEDDDGSSSEDRSFEAVTPEHDTPCTTEKEESQMPAEKHHRVLEEVDGELEMEDVAPPSEVEASTRCRPEQIDTKCRTSDRHTSDNGPPLPDDRPPSPPPLPSSPPPVPPPPPAPITQTAQLQPTLPMASDPVGPHPPRATNNIQTQQPNSIMERPGSMNPSVAALQHPPFCNSGYGGHPNQMPPPPPVAPLNPPGPHGNFPAPPAPYHGNNYHQPPMASIPNEGYHLQPPPPPPPINQYPYRPPEPQQRPRPWVNNSSSYPERYRYNGHDRDHHRHDRRHHGHDRRHHFDDGGYHYDDRGYHYDDRGYHFDDRGHHFDDRGRSFDERAIRGQMHHEVADRGRFPFPPDHFEASSAAPVNYGRPSDPPPGPCSGWSMPPMSSNYSPSRHSMEPPVPHVGAHGSWRPR >OB03G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12121921:12122136:1 gene:OB03G27430 transcript:OB03G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSAAAAAAACVDFFFPSPSSLLFFFLRVLFVGFFFSVEEKRREGERERACEVGWVGSSAEGRGRESVGRVK >OB03G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12126655:12130050:1 gene:OB03G27440 transcript:OB03G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LNW8] MGAAESVPETSIHEFTVKDCNGKEVSLGMYKGKVLLVVNVASKCGFTETNYTQLTELYQKYRDTDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSRIKWNFTKFLLDKNGKVIDRYSTATNPMSIEVSLFSLFFSLPHPLLPLYARKKF >OB03G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12142119:12145103:-1 gene:OB03G27450 transcript:OB03G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGKSFTKLCKGLAAILFLAHVVIRLFPSTVTYLALIPSRTIPFAWNLVTSGYIEQTIPGVIVSISGLLILGKVLEPLWGAKELLKFIFVINLSTSACVFVTAIVLYYITQQESYLYTPVSGFYGVLSGFLVGIKQILPDQEINLFLLNIKAKWVPSLIALISVSVSFFMKESMPYISIILFGIYMSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPGFLRPVLDPIASVFHTLVCGRSERSEANDQTLDGLLPDSYSVEANRRRERGQRALEQRLAEKLAAVRSSETMPHHHHQQQEAETRMLLIKSEHPLPRLVDSQSRSWSSVVLLACQEILLGIGK >OB03G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12150901:12154039:-1 gene:OB03G27460 transcript:OB03G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFFWPFGLPGWLAASFCLFGFYVLCARLAAAAARWPSSRAVTIGFGGSSFFFVLMGFAALLYLTGFLSDSSGSSVLTEMEKTTVLNLQRQHWHYLGIQIAALSLFIAPFFNIFVLQADVKRSIDDGEKRFNQLSIQERGKFDEETLVNVNNIKRQKAGSQRSNRFSNNETGAGKHVPRAICVNIEPTIIDEENVTS >OB03G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12165524:12167021:1 gene:OB03G27470 transcript:OB03G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHLIPAVDTALLLATHGAVCTIVATPATAARGRPTVERFEVTRAQAPGFFRNPGWEKFADDVERARAEADGVVMNTFLEMEPEYVSGYADARGMKVWTVGPVALYHQSTATLAARGNAAAIGADECLQWLDGKEPGSVVYVSFGSIVHPEEKQIVELGLGLEASGQPFIWAGKSPDHHGEASLAFLRELEARTAGRGLIIRGQKF >OB03G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12175865:12177820:1 gene:OB03G27480 transcript:OB03G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIERRLYTLKRYVRNRARPEGSIAEAYIADECLTFCSKYMDGVETRFNREPRNKGFSNEEAYEVDVFGHGVHFTSASELQYDENGFDQMVWYVLNNCSQIEKYVKMFRDELEREGVPNIERKIRLGFQICLRDTHPEEVSDDVFSLACGPDFRVKKYSSCIVNGVRFNTVDRDKNKKTQNSGVMTQGTHNGQFIDFFGTLKEIIELEYNSEERTVVLFKCDWFKLDGRNTQLQYDGFFKSINVGSLWYKDDSLILATQARKVFYLADTLLGNKWQVVQTYDHRHLYNVREIESAQYNAPAYQEDECCDGDERRATVIDMAYDIPLNRKNEALFLMLLKLLGWLKNVTKGIRFMAKKGIRFITKRKKMTHFWSIVAMMEALHLMLTVMMNSCYQLAIIVLYAEFVIL >OB03G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12178053:12179088:-1 gene:OB03G27490 transcript:OB03G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGGAARRRRRALLPPRAPLASSSSPPPPRAILGRELALMDAREATKKYNMAMQQLNEAHKATRTEAIACH >OB03G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12190971:12192267:1 gene:OB03G27500 transcript:OB03G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYITEVRFLNIMITLLKDSSKNIRICAFHVFKVFVANPNKHRSIIEALIENRRELLKLLQNLPTSKGEDELDEER >OB03G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12193282:12193726:-1 gene:OB03G27510 transcript:OB03G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding WISRLVAEDFSRGCREDREDRFCVECLAAFCGHCCGGHLHLGHEVVRVGEDREGARASRRSGSRKDSFCMDCAAAFSSALCDHHDGHETVRIVLHEGRYCVRCTDSEPWFEEFDGV >OB03G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12198321:12198956:-1 gene:OB03G27520 transcript:OB03G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASRRARGRPTVSSLSEVEELIDSRGRRRGLRVKVPFLSRMSEAPEMQRLLRQRVRRKGWRIDGLEHLDPLVGIHPILALADAVLDHVIADYTGAPASASVPWPDVLQLARMARAVAPRRRRRRRRARAPTSIALLRDAGGALSDVRLGSIALEDVLRQLGELLEEIGCRISTERCRRPGMVELLRGDQRLTQTLLNLKIPASEFNLL >OB03G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12201283:12209144:-1 gene:OB03G27530 transcript:OB03G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRAEYSPNDDLLEQEFMLKRRWFQRKDLEVVNGQGKKLQCSHYMPTVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNYLRTDGNVSCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYEAYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHFFLTPHGSLGQGHWDTEHDIEYRFAQSPTARAATTEDAIAQLRSRRLMSRMEVPTGATAEDRADRNEVMDSDNGPSSSSISTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDLEQSNTKTVQSVASDAASKEHKEENGCNGATEAVLEPDTSSTSARTTDAPSKDPTACSSEVKSSDVQSADKYTTNSAASVNTSSSSESNASSQVTNGKSISSESQKPTQNANGEDGTRATLVVQKSRTGSLIDGLTHKWGSLFKNND >OB03G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12209773:12209940:-1 gene:OB03G27540 transcript:OB03G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding INTSEIPSLAPLPTALLPRPLSQKVNNMFSIGVSTLFSSPKKTTITIQPSLSSPN >OB03G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12215474:12215662:-1 gene:OB03G27550 transcript:OB03G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCPMRTLSTGVLVLLSYLIIRINNFPLMTMQTMIQDHSEVYFYMEHFECNLQCFNSTYL >OB03G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12218093:12225157:-1 gene:OB03G27560 transcript:OB03G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPEPEPGVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTDVDRPYRQELLSKMDPDGNKVISEVIFGPGKTKFRYCKHISKQRLPNLSSDLMKKGKHFILIRSPLNILPSFGKVVPPSFFELGIAELVSIYSELCELGSPPPVIDADDLLRDPEVVLGGLCEALRIPFQPQMLKWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRHYPLTFPFAFYDLLEQSLPFYNMLKRQVSKTAGSLQPTLPDPPLPVPENKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPSRDWIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVLTAGSGVPIPRNAEE >OB03G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12228133:12228595:1 gene:OB03G27570 transcript:OB03G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVDGCRVACLLGEDGVVDLGVGLPVGVDGVALAAALALPLLLAVLAAEVLVDADEVAQRTAVVHARRLRGSGHTSRRRTGSSPVLEDDHLSTPLVDERRRKKTEKQQFG >OB03G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12236678:12237007:1 gene:OB03G27580 transcript:OB03G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKPAAKPAGNAPAPIVLPSAPAPAPAAGSPPPRRRHGHAPTSSGSAPTAAAAEKVPAAPPPGIPVPVPPPAAATGTPPAPDAKDGGGKDQQTKGKTWVSSRVRKAFSSK >OB03G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12240534:12243860:1 gene:OB03G27590 transcript:OB03G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRQRRRRRGRQRAAQQPHNGSITKRKETPCQQDNQGDKRLKYSEPDLPEHMWWHIHSLLPLRDAARVACVSRVFLRSWRCHPNLIFITETLGLEPNAGRQRDRTRAFTSIVNHILKNHSGNGIKTLNLQLYDYPDINPCDLNNWLQNAIKPWIEEITLGCIDCKYIKMYNFPCSLLFGENGRSLRYLDIHGCAFRPTVGLRLRSLRQLCLYYVCITGDELWCLLTNSFALKELELSGCSEIICLKVPPLEQLSHLNVFSCKMLQMIEMTDSNISTFNFIGSLPQFSVGQLSKVKDLDMECSNKSNFLFNAITRLPCVAPNVESLVLFSISEMVDTPMVAAKFLHLKYLDITLSDANFSPEYDYLSLVHFLDACPVLETFILSILQERMKHVSVFGDASLPMRQMTEHKKHKSLKHVMMLGFCSAKSLVELTCCILEKATSLECITLDTINNWYSDESGIINRCSDTSNTRKCLPIGRKMILEAHRALLAIERYIVGKVPSAVRLDVLRPCTRCHTV >OB03G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12248547:12249080:1 gene:OB03G27600 transcript:OB03G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASSRARGRPARVSSLRKVEELIDSRGRKRGLRVKVAFLSRMSEAPEMRRLLRQRVRTKGWRIDGLEHLDPLGGIHHILALADAVLDHVIADYTGAPASASVPWPDVLQLARMARAVAPRRRRRRRRARAPTSIALLRDAGEPLCDVRLGSIALEDVLRQLGELLEEIGCRIVG >OB03G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12256499:12261089:-1 gene:OB03G27610 transcript:OB03G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSINDSFHALHTKTGARALEEVEKNLSSLRQMLAGDGDAEPNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLKQKVDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGSMLRECIKYPTLTKYILESSSFELFFEYVELPNFDIASDALSTFKDLLTKHETVVAEFLSSHYEQFFELYTRLLTSANYVTRRQSVKFLSEFLLEAPNSRIMKRYITEVRFLNIMTTLLKDSSKNIRICAFHVFKVFVANPNKHRSIIEALIENRRELLKLLQNLPTSKGEDELDEERDLIIQEIQKLACPSA >OB03G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12264076:12267140:-1 gene:OB03G27620 transcript:OB03G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LNY6] MVIDCCPAEAFDTCLHFMRQEPLNYARIYLADTLPPGVRRVIYLDSDVVVVDDIRTLASVGLGGHVVGAPQYCHPNFTNYFTDAFWSDPALNGTFHGRRPCYFNTGVMVMDVGKWRAGGSTRRVEQWMEVQKQTRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWIRLDARRPCSVDYLWAPYDLFRPSSPVLEE >OB03G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12276181:12276453:-1 gene:OB03G27630 transcript:OB03G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRIVIFSVCIASRIFYPAIQCSAMFIVFSDVAFLLHKGALNFDEFSTRDEPDQLLALLPDFSRYFPIADYGTPFNLLSRVIFIETFQ >OB03G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12278731:12281494:1 gene:OB03G27640 transcript:OB03G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVSSKQRSEEEWRAVLSPEQFRILRRKGTELPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAIKRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPSS >OB03G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12283750:12289772:1 gene:OB03G27650 transcript:OB03G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQNVRAEEGRGMRPLSELAERLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRLADHFDFRKQYKTSVMENVLRATIDVPGAGEVSFYCTHLDHLDEGLRMRQVNSILRFAGGRHHILAGGLNALDATDYSADRWAAIAKYHEEIGKPAPKAEVMRHLKAKRYVDAKDFAGGGARETLEVAPGGQGSRAWLADWLTDKPLIHKIFFPDLRADLPWAMAMVCRCAGDVQVRHEGGLHPGVAQLAVQFSPVLRRWASGGRRARARLAGWGAVGGGNTRGADGGTSDAVVPGAPEGGGSHHVPREQRRQQRRMQYESLAAVSVEERMRARRERLAAEVRRKMFGVAVGVGVAEAAALVDTLERLGLDGHFRQEIGELLGRLHRGEADYSGGHGDDLHTVALRFRLLRQHGLYISAGADVFDKFRDGTGSLRSSLRDDSRALLSL >OB03G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12295754:12297881:1 gene:OB03G27660 transcript:OB03G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRAWHAPDVSLLPYTGWVFVSKKIGRFLTWMQVLSAFTCVTLSVMRLWQNKFGDEPNKRPALLLFYTLALTEALLFLLEKAYWAWKINMCKLLEQVSGDCELGAYGHVSVTRFFYDAYSRCITGSIFDGIKMDLVTFAEELILSEFLDEQLIGVHILQQFVKAQGSARDTLRKVGTSSRSIERLIEMLNWKRPEEEEVRRCAAEVLSKLAGKRQNALRVSGIPGAIESIMSLLYTGSTTPSSAAPHDASPAARGYDHPQLNLFGLLILKKLARDHDNCGKIGNTRGLLAKIIDFTHASPALLDNPLTADSQVRAVKRALQVVKMLVSTTGGTGKLLRQEVAENVFTVSNLRGILKHGQQHKPLQKLAMDILTGLAMDDGAKQVIVSTGGVVKLLLSIFFNADERELSGDAGEALAMLALESEASCAAILKRGDVLDHLMSALEDDGEARRRNAARVLRNLCAYAGDKHRERLSAVVTNAIPMVLKATMTGRDKILEVSVGLTTQICRFIDGERFTAELRGAGIDERVYVERLAAILREHRYPEIRVPRMRRFVVQQVIWLMDSSAGGGGGDYVSLLRGAGMERLLESIADTTSELECYHVFSGSVGIGKHRESFSAAVDAALELLAGDGARAEA >OB03G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12299225:12301809:1 gene:OB03G27670 transcript:OB03G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREFFDDFARVAQDEGRHFTVLSARLRELGSHYGALPAHDGLWDSATRTSHSLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEIIIYPEEITHCAAGVRWFRYLCLRSHNGDPTASSVPQDITQCSVLPRGGTSDINKVEEVEDGPEAKLAEPSNGHDKTVQQVEDELAQCKLVDIDDDAEAAVIRTFHSVVREYFRGPLKPPFNTEARKAAGFDPAWYEPLAVKEVERQAVE >OB03G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12327884:12328249:1 gene:OB03G27680 transcript:OB03G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAGDANDSSAAALATLVDTLERLGLDGHFRQDIGAALGRLCREVADSAASDKDDLHTVALQFRLLRQHGLRVSAV >OB03G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12335487:12336993:1 gene:OB03G27690 transcript:OB03G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVSRALEIPLPRFPRRLETMNYLVEYEKEDGHDSMLLELARLNFDLARSLHLKELKTLSMWWRELYDNVKLTYSRDRLVESYLWTCIVFHEEEYSRARIIFAKVFGLQALMDDTYDVHASLEECRKLNEAIQRLDQWLVISYYGNNHYVDEPAHMHSHTYKLICKWDSSAVSIVPKYLHMFYIKLLSNFDELEDSLEPHEKYRISYIRNAFKLTSQYYLHEAQWCNDKYVPGFEEHMEVSIMSCGCALLPPMLLMGVHDGEGVATREVFEWVATCPDVMKAGAEVARFLNDIASYTAGKKKKDACSAVECYMAEHGVDGDAAVAAVAALAERAWRTMNQLCVEMDPALLMVKFTRTLEVIYLGGRESYTFGGDLNGLIAGLFLDAGPLNINV >OB03G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12344905:12350074:1 gene:OB03G27700 transcript:OB03G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGMKAAVKQPEDVNGSGGDDVPFDPSMWRDYFITYTAPLSQARTEEWMRARAETLTGEVRRTMLDVAGDANDDSSAAAMLVDTLERLGLDGHFRQEIGAAMGRLCREVASDRDDLHTVALRFRLLRQHGLRVSADVFDKFREGSGDFSPSLRNDSRGLLSLYNAAHTATPNEASLNYAIAFARRHLEAMKDELTPPMAKQVSRALDIPLPRFPRRHETMNYLAEYEKEDEHNSMLLELARLDFDLARSLHLKELKTLSMWWRELYDSVKLSYARDRLVESYLWICGVFHEEDYSRARIMFAKFFGLLSLMDDTYDVHATLDECFKLNEAIQRWDESAVSILPKYLRVFYIKLLNNFNELEDSLEPHEKYRISYTRNAFKLSSEYYLREAKWSNENYAPSFAEQLEVSSMSSYPLLAPAMLMGVGDDGVATAEAFEWAAAVPDMISASGEVARFLNDIASHVVRKSKKDVPSTVECYMAEHGGGGEGAVAAVAALAERAWRTINRAFLEMDPSLLPAARLIVNLTKMLEVIYLGGGDVYTFGDGLKGLISSLFLKPAIVYTQ >OB03G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12352174:12353864:1 gene:OB03G27710 transcript:OB03G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRAAGASPAASMMAAAAGGVRSRPTRLNSIVGLSSSAGGRRKKGGRRGEAKPQLPPPPPPRPQLRNGETPGSKNSKPDARTTGRPAEEAASQGPQRQVGERRKKPPQQQQEKPKRVVKWKCAAGCGACCKLDKGPDFPAPEEIFAEHPEDLKLYKSMIGTDGWCTNYDKSTRTCNIYEERPIFCRVEPKVFEEYFGVPSRPSTFDREACSACVDTIKMVYGDDSAELTNFKRVIREENWIVFLNIKRFKV >OB03G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12355143:12359907:-1 gene:OB03G27720 transcript:OB03G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSTAVAVCGSFVFGTCVGYSAPAQSGIVNDFGLSNSEYGVFGSVLTIGAMMGALTSGRLADTLGRKVTMRLAAIIGIVGWFTIYFAKGATMLYLGRILLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLANTGRVKEFQASLQKLRGENADISEEATDIREYIESLRSLPKARVQDLFQSKNMYAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQIPITFFGALLMDRSGRRALLLVSASGTFLGCFLTGLSFYFKLQGLYTHLVPTLALYGILVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKTLEEIQESLT >OB03G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12363095:12367170:-1 gene:OB03G27730 transcript:OB03G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLMLATAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISAIICIFGWLSVHLAKGANMLYFGRILLGFSTGVLSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGIAPCVLLLTGLFFIPESPRWLANVGREKEFHISLQVLRGEDADVSEEAIEIKEYIESLHRLPKARVQDLFLRKNIYAVIVGVGLMIFQQLGGINGVGFYASSIFSSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFPQCVPALALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVATLVPETKGRTLEEIQDSLLSSTR >OB03G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12372579:12373535:-1 gene:OB03G27740 transcript:OB03G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGTVPDTFIYQRIMHGLFAHGLGSEALRVFNDIKLRGYHIDAVTYTTAIDGLCKMGCIDEARGVWSEMVGKGMEPNEHAYCSLLDYHCKAGDFVMAHKVYDEMLGKGLKESTVSCNIMVTGFCVHGRLDEALGMFEEMVKRGIRHDVITYNILIQGLCKAGKLGDAIQVYEQLLSSGLEPSVSTFTPLIDTMCEEGQVDSATEFLKLMHAKGLVPLVRTNDSILNGFCKARRAEDGMAWLAGMLKNNLKPREQTFNSLVELLSSSGQVDDALLVLNLMLKIGHELGSLACTMLVEKLCTGKLFYSHELENILATN >OB03G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12375909:12379392:1 gene:OB03G27750 transcript:OB03G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSLESNNKCTNYVLQCLVFMFCRPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAAAKQEMNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHSYSPSPSPARRDYRDQRDDYSPGESYSPHAQDKRHNRSNGRSASPDEHGRQVSPSNNGHAPPVDGKSP >OB03G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12382151:12389051:1 gene:OB03G27760 transcript:OB03G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G04970) TAIR;Acc:AT3G04970] MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHFLRLVHAACGNGARDLVLGVERYCCERPNPILQVFYVAIIGVTYFIIVQTSFQYIPGYYVSGLHRYLSIVAVAIGALLFVLTSFSDPGTVTTENVSQYLSAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCLYGAVVLGFILAGELKERKVIYILTVYYGIDNSFSGLFPHVAQWLLVVHNTQILLAVFLAIIALLLGGFCGYHTHLCLMNTTTNETFKWQDYIMWKKKVNQEKAAANGEVRKASPSKWKAFFCRSHSQADEPVVKNNIYDKGMIRNLCEVIVPLSERQSFSRRKSD >OB03G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12387461:12391892:-1 gene:OB03G27770 transcript:OB03G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3LP01] MSVYSLKSPKGPLLPLRSVLVFLIALFGFYVCYFSFNEITLESRENLTSREERIRNLCGRRAIPNELKKYVHFPKPTSFSRGECACTPVRYFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSIRERRENISSIVRTLDKLYNLDWYTSAAKNQCTAAFGLKWMLNQGLMDHYHDIVNYLNKKGVAVIFLFRRNALRRVISVLANDYDRKAKQLNGTHKAHVHSKEEAEILARFKPKLDMPTLIPSIRGAEQSIRTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLGVPVMRLFSRHVKIHTSPLPDLVDNWEEVSEKLNGTEYAHFIDGADYDK >OB03G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12398315:12399490:-1 gene:OB03G27780 transcript:OB03G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57860) TAIR;Acc:AT5G57860] MYIRVKRNKTTYFIQCDPTETILSIKQKLHSLIDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKDDSEFEEVSIARPEDFMSSS >OB03G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12408296:12410242:1 gene:OB03G27790 transcript:OB03G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTWRPVLASATRCCAAEDAVVVEAAAGGGLARSPAVGHVVALRVQAEVIFLGQFRHPHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKRISATLPWGTRIKIAIGAAKGLAFLHGASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGSETHVTTRVMGTHGYAAPEYVMTGHLNIKSDVYSFGVVLLELLTGRRAMEHVRGKSLHADQCTSPQPRDRPRMAAVVEALERLQGVKDMAVTVGLWPTNTPVAGRNAISAKIRGDVKGGGSTGGGGASRRRSASAKLP >OB03G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12409155:12410188:-1 gene:OB03G27800 transcript:OB03G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAALDIPADLGGDGVPPGDGGVGGPEADGDGHVLDPLQPLQRLDDGGHAGPVARLRAGALHGEVGDGAGALDGVVTGEPPXXXXXXXXXAAAQVRAGPVDDLDDLVGVEALAPDVLHGAAAREELEEHDAEAVDVALDVEVARHHVLRRRVAVGAHHPRRHVRLRTLRPHLRQPEVRQLRRELRVEEDVGGLEVAVDDRS >OB03G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12415317:12420253:-1 gene:OB03G27810 transcript:OB03G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAATLDYGGKGETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEMPSVKETVTKETADILDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVTGKTKAELEDSISMVDILAVQLSKREAELLQQKEEVTKLAKSLKLASEDAKRIVEEERASAHTEIESARSAVQRVQQALQEHEKISKTTGKQDMVELKKEVREARRIKMLHHPSKAMDLENEIKILRKTFAERSMDCVNLLKELELHKRPQGNDIPVFDLEGLQCLGSILRIVAQSGAAMDFSNISIQWFRVHPKESNKEIISGATRSVYAPEPHDVGRYLEAEISFGGEIAIAKTAGPIDPDAGLVEYVETLVKKRETEFNVVVLQLNGIDQPKESVHVLNIGKLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTAIMLTRRFAIDCNIILAGPGDKTPW >OB03G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12441256:12444057:1 gene:OB03G27820 transcript:OB03G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEAELLDLLRALQKLEFTVDTIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKIVVNDWVNNGGAIVGSIYDLTKFCLCFSSQTCFGFEKFFDEMDDDGNIRTGAKESVQQHYPANQEPSKKQRPMGQGYDPEENWKLDQSAMRQSQPYEPSNWQTKQQSITGTQRKHSAAAHGPWTPQKMHFEPKCSEMRTKQQQDAPVAQRPKPTMRNELSSQVDQNSVQVNAKLEATKRMLQEGYQEFYNAKKQRTIQVVDPQDLPKQRNRNVHPSCKPRNNSNNNSLRNRHAIRR >OB03G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12460390:12464121:1 gene:OB03G27830 transcript:OB03G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFFPSPPPMLLLLFLLICTSSAAAADSSGDPATGGFTRLFSFGDSITDAGNLVSFVPSSPAASPPYGETFFGRPTGRFCDGRLIVDFLAEALRLPYLTPFLRGKTAEDFRQGANFAVAGATALSQDFFKEMGLDLTIIPPFSLDVQLDWFKGLLQTLGSTDKERKEIMSKSLFLMGEVGGNDYNHPFFQNRSFTTEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPRYLTMFQNKTNPKYYDAFGCINWLNDFSKEHNRALKHMLEQIPRDPTVTVVYGDYYDTTLEITHRPTIHGFKKETVLVACCGDGGPYNSNSLFGCGGPSSNLCSGPSAHISWDGLHLTEAAYNFVAHHMLHGPNSEPCIVPSN >OB03G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12472261:12475243:1 gene:OB03G27840 transcript:OB03G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLSSPTMMTMILFLLLCTSAAADSAHPAPGGGGGSGFTHLFSFGDSITDTGNLVSFVPSSTAARLPYGETFFRRPTGRFCDGRLIVDFLAEELRLPYLTAFLRGRTAEDFRRGANFAVSASTALGQEFFNEMGLDLTIIPPYSLDVQLEWFKVLLHTFVSTDEERKEIMSKSLFLMGEVGYNDYNHPFFQNRCFTTEIKPLVPKVIAKIENAIKVLIELGAKTIVVPGLFPIGCVPRYLTIFQNKTNPKDYDEFGCIKWLNDFSKEHNRALTYMLEQIPRDLTLTIVYADYYSGALEITRHPVVHGFKKETVLVACCGDGGPYNSNSLFGCGSPSSNLCSDPSKHISWDGLHLTEAAYNFVAHHVTWPKY >OB03G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12482888:12485718:1 gene:OB03G27850 transcript:OB03G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSSLSPAMTTTTTTRMMLPLFLLLILFAHSVTAGESAGHLAAGCGGFTRMFSLGDSITDAGNLAVISPNSTFNRLPYGETFFGRPTGRFCDGRLIVDFLAEELGLPFLTPFLRGKTAEDFRQGANFAVAGATALSQDFFKEMGLDLTLIPPFSLDVQLEWFKGVLHSLASTDEEIKKFMSTSLFLLGEIGGNDYNHPFFQNRSFTAEIKLLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPRYLTIFQSTSSPKDYDAFGCIKWLNDFSVYHNRALKSMLHKIPRDPTVTILYADYYNTALEITRHPIKHGFKKETVLVACCGDGGPYNSNSLFGCGGPSSNLCSDPSKHVSWDGLHLTEAAYKFVAHHMLHGPFADQPSRAPK >OB03G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12487635:12490427:1 gene:OB03G27860 transcript:OB03G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYSSVSSAMIRSLILLACAWGAAVASHQPAASGGYCYTSMFSFGDSITDTGNQVSFFPTAPAASPPYGETFFGHPTGRYSDGRLVVDFLAEALRLPYLTAFLRGKTAEDFRRGANFAVSASTALGQEFFRARGLDLTIIPPFSLDVQLEWFKGVLHSLASTNHQQESKDIMARSLFLMGEIGINDYNHHFFQNRSFTAEIKPLVPMVIAKIANATKVLIDLGAKTMLVPGIPPMGCIPRFLNLFPSKNPNDYDKLGCLKWLNNFSQYHNHALNQMLHGIHRDTKVTLIYLDYYEAMLKIIRSPQHNGFTKKSALTACCGVGGPYNAGSLVCNGNATASNLCPDPSRYISWDGLHLTEAAYHVIARGVLDGTYSRPTIPSGCTY >OB03G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12491983:12493973:-1 gene:OB03G27870 transcript:OB03G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLPTLNRVLVEKLLQPNKSAGGILLPETTKQIREVTGCSEVLVVKNGEILVVSLLTSTCGIWELNSAKVVAVGPGERDRDGKLIPVSLKEGDTVLLPEYGGTEVKLAEKDCLLKLASHLAAFDVPNKNV >OB03G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12494981:12495295:-1 gene:OB03G27880 transcript:OB03G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRRGLICAVSLLALVLILLQLGIISAVGCCSCSSFCCGDDDDCHQQQQHHGGDVRPGRRLLIGHHQVVIGKALVEHAKGGGDVFDEEEREVLTGPNPLHNR >OB03G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12518302:12529712:1 gene:OB03G27890 transcript:OB03G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTPNEASIPESGEPGVGLDKSFGFSKHFAAKYELGREVGRGHFGYTCAAKCKKGELKGEDVAVKVIPKSKVQPSLFLAGRQFFSCAVHDLVLVAEHSFQNDGVCVSIMCKLSISRVVYLLHMTTAIAIEDVRREVRILSSLTGHNNLVQFYDAFEDEENEKTQKNILDVIAAELDIERVAFEGLCKGGELLDRILARGGKYSEGDAKVVIRQILSVASFCHLQGVVHRDLKPENFLFLSKDENSSMKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPNLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTADQRYYLREQFELLGPNKSGLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSASAISVYQMEGLETWEQHAQQAYDFFDKEVTALRTSHLRQPSASGARPAPVSPRLHGYICHESLIVGCGKLVWDPRAIATHTTPSPSRVSGSFIFLPIPQVPKLRKDYIDKVSVQLKMIL >OB03G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12530520:12534723:1 gene:OB03G27900 transcript:OB03G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIARKPRMPPLPPARALLTAFAATAALAILCLVSSSSPTSSFRGSWGPAAAAAKGSDKYLYWGGRVDCPGKHCGACAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSVHNTKGIIHSSNATSEQRWEENSCSMDSLYDIGLISKTVPVILDNSKTWHEIISRSMKPDEGGVAHIQGISRAELKDNPLYFKALLINRTASPLAWFMECKDRKSHSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPPGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILDPIIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPVYTDD >OB03G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12535987:12538371:-1 gene:OB03G27910 transcript:OB03G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock transcription factor B4 [Source:Projected from Arabidopsis thaliana (AT1G46264) TAIR;Acc:AT1G46264] MAFLVERCGGGEMVVSSDGRGAAAPAPFLSKTYELVDDPSSDHVVSWGEDGATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSKIHRRKSSSSCSHPPPLLPLPMHQHYPLSLFSPPPPTTTTTTRSPPVGAAAAAYHFQEEYCSPSSDVVVSGGDLLAARSGDNRQLRRRNSLLLSELAHMRKLYDDIIYFLQNHVEPAAPSTPKPPPAPSCRLVELGPSPSPTQRSGDDDAAVRLFGVRLDDHGKKRRAQLVEEGDVASREAS >OB03G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12549801:12550283:-1 gene:OB03G27920 transcript:OB03G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G07130) TAIR;Acc:AT1G07130] MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVARAEVVGVVVSRDRREKFLRFLVDDGTGCVPCILWLNHQYLNANDSSSRASDSDPTAEMALKMSELVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEVLHWLQCVHMAKECYDLQPPSA >OB03G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12552082:12562572:1 gene:OB03G27930 transcript:OB03G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G54010) TAIR;Acc:AT3G54010] MARADADDGDLPPPPAKKKSPAEEEAEKRRKKLTPGSLMKGLVRSGSGDATPAEGDQVVLHCTTRAMDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIVDEGKGWETPREPYEVTTRIIARTADGKELLPSKEEPYFFTLGKSQVPKGLEMGIGTMAREEKAIIYVTSDYLTNSPLMQLEGLEEVHFEVELVQFVQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDETKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKSTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDEEGKIFANSRSSLHLNVAACYQKMGEHRKSIDTCNKVLEANPAHVKALYRRGMAYMLLGEFDDAKKDFEKMIAVDKSSEPDATAALLKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVVEPEVNKNASDAAASGEAASIADRDADAKAIPRSDSELAFEEERPGLLGRMWPSASRIFSSLGLNRCTIL >OB03G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12563211:12563958:1 gene:OB03G27940 transcript:OB03G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGLLLLLLRLLLGFLMFERFGRSGISVTVFNPRLAAFVQKWKARDLLCLTKSISRYRYLELCLPHPFWKLQADPIEKKEKMRKIQADVMQQAKQIPFVL >OB03G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12586454:12595230:1 gene:OB03G27950 transcript:OB03G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAAGRPVVIPEVLVCALANIVGQITVSKRVFDVQGDESNSYKDMIVSLLTGAGLFNISDFVPALAWLDLQGVQAKLRRIHNQFDDMFTAGTDTSSIIVEWAMAELMKNPAVMARAQEEMDHVVGRGRRLEESDIPNLPYLQAVCKEAMRLHPSTPLSLPHFTFDECDVDGHRVPPNTRLLINIWAIGRDPSAWEDPLQFRPERFMPGGAAARVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHSFDWRLPDGEEKLDMSETFGLALPKAVPLRAIVTPRLAPAAYA >OB03G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12604846:12605587:1 gene:OB03G27960 transcript:OB03G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAGGEEEMREYGAKLLELYSADYTQVDQELDLAGVLHVVDAFSVEQITEENSLSANLLSKVKDALAKVEDIKAKDLKSKILRRKNKIRILLKKTHLFEKDVGINLNKDDSADVSTLK >OB03G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12609943:12611571:1 gene:OB03G27970 transcript:OB03G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIGRCLLDSPGNRREVDRSTMARRWRLRDRRARRPRGGGGGDREDRLSALPNDLLLLVLRRVDTRTALATGLLSRRWAHLSRELPTLDFRVGDGLPARYDRCILRHRGVMRCGMLYGNSIRKDLMPAIRRHERRAMRGFVSSVKSFIDAADAADRPRRKLSRLSLEFFSTHHAGCINRLVSKAIDDWGVEELEAVAKPMYWQHPPAHAFPSHGLCKEPRASRLRSLTLGGCVLPPLHDYGAVTKLVLHGMPRSTPAAAYEGVFTSCPQLQVLHLKSCYLQWSLSLVVDAPMSEIRELVVDQCQIRVVRIRALPRLESLLSWGTQVLFDSAASSPCRLRQWHLAFRYGLKRKLHPCFIDDMELDDFFACTPDITNLIIRFTGPERWIVPSSSTSLLPGLTRLLVADVPSSWDVSWPRMLLEAAPSLQTLHIHIAPCDEDPSSEIPWQPTALRHHCLEEFAMAGFEGTERQVYFVKFVMGACTALRHVAIFKDGNVQYNGSWDWEMPRMLEISWTGKEKETMKKRLMDAASCSTDHLQIVLG >OB03G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12631130:12634849:1 gene:OB03G27980 transcript:OB03G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MEGDEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALENDNAGPEVVDLNDDDEYGSADEEDHVLMQKKQSKNMKRKTRQGKALEKKAARSFLDVLHEASLEPPPPHVPTYSRAAVGPPSTSSRRHYCSVCGSAANYTCVRCGTRFCSCRCQAIHNDTRCLKFVA >OB03G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12639297:12642226:1 gene:OB03G27990 transcript:OB03G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSSVTVHITGFKKFHGVAENPTEKIVSNLESFMEKRGLPKGLILGSCTVLETAGQGGLGPLYDVFESAIVDKEYGLSDQTQVILLHFGVNSGTTRFALENQAVNEATFRCPDELGWKPQRAPIVSSDGSISNTRKTTLPVDEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTIREEVQMNFVASLLEVLASLKYAQ >OB03G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12646580:12646858:-1 gene:OB03G28000 transcript:OB03G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAWAPVNSGEVGDGMASGEKVVEERAKLMTGLNERKGDGRGLGTSGGCVRVQRQDAVTDGNHGSNQAVKGVQEWGNQRAVNVSWKREGKN >OB03G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12651767:12652759:1 gene:OB03G28010 transcript:OB03G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LP25] MAAAAMKGKLAVAVTCALLLASACHGLELGYYKKSCPRVEAIVRDAVKKFVYKDAGIGAGLIRLVFHDCFVEGCDASVLLDPTPANPKPEKLSPPNMPSLRGFEVIDAAKDAVERACPGVVSCADIVAFAARDAAYFLSRMRVKINVPGGRLDGRRSLDSDALNNLPPPSFTVSQLIAAFATKGLDAEDMVVLSNPSSSNDPTVNQDVVTPNAFDNQYYKRLRQLPQAKAPGQPELQQRPDREPGRGHPQRVRQPVLQERAVAQGAVHVGRGAADLAGDGEDGVGQRQHPRVVGGQVRQGVRQDGVHRGEDRIPGRDQEALQGGQLIWSN >OB03G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12656890:12657240:-1 gene:OB03G28020 transcript:OB03G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKAVGVVLLGEEETITVQMQPSMPLHRSSPIAWELPPRAGRNRPHAGEQYPPPLPPCKGAATAAATRGGGAVQPPPPDAGVRRPTEVEEEMGAPWVEVEEMGVRRRRNWRRDG >OB03G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12657154:12657330:1 gene:OB03G28030 transcript:OB03G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHRWLHLHGDCFFFTQQHHSNCFNGRHMLFLFSISHLSNMQMPNQKSLSVIQNFTQ >OB03G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12663048:12664135:1 gene:OB03G28040 transcript:OB03G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LP28] MAFAAMTVKLAVAVTCALVLASACHGLELGYYKKSCPRVEAIVRDQVKKFVYKDAGVGAGLIRLVFHDCFVEGCDASVLLDPTPANPKPEKLSPPNFPSLRGFDVIDAAKDAVERVCPGVVSCADIVAFAARDAAYFLSRMRVKINMPAGRFDGRLAHPPPPPAHPLPPPVSRHPQLRRQGPRRRGHGGALRRPHRRPLPLLLLRLRPPRRPLRHQRRLRQLPQAKVPGRQRPDREPGRGHPQRVRQPVLQERAVAQGAVNYGRGAADLAGDGEDGVGQRQHPRGVGGQVRQGVRQDGVHRCQDRIPGRDQEALQGCQLVIKLVHLLILP >OB03G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12664646:12665140:1 gene:OB03G28050 transcript:OB03G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAEAFPSSAERETSTASTPGRERLVLRIMIPLSPAWTPEEDACLARLVGENGFRHWRRVARDMPPTAGGRPRHRSPRQCRDRWRDHLARDVYRRPFTADDDAELARLLLARDDGERSWKDISRAARRGSEIILLLRWRELCGSDAFLRKLWCPLPPVQPSD >OB03G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12673130:12676037:1 gene:OB03G28060 transcript:OB03G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LP30] MKELAVAVTCAALLLAAACNADALEVGYYKKSCPRVETIVRAEVKKFVYKNAGVGAGLIRLLFHDCFVELIDSFATKGLDAEDMVVLSGAHTVGRSHCSPFAPARLAAPSDIDGGFASFLRRRCPANPTSSNDPTVNQDVVTPNAFDNQYYKNVLSHKVLFTSDAALLTSPATAKMVSDNAHIPGWWEDRFKKAFVKMAAIDVKNSYQGEIRKNCRVDDMPSPSSAASSSSSQQAPLPRSAVATAEEATGSDGIRERKQKGKEEDESRGSSADVMAYSTALSRWWLCLVLQINKHMRLFLSVRDNQGQE >OB03G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12676894:12678312:1 gene:OB03G28070 transcript:OB03G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAVAATCAALLLAAACNADALEVGYYKKSCPRVETIVRAEVKKFVYKNAGVGAGLIRLLFHDCFVELIDSFATKGLDAEDMVVLSGAHTVGRSHCSSFVPDRLAVPSDIDGGFASFLRRRCPANPTSSNDPTVNQDVVTPNAFDNQYYKNVLSHKVLFTSDAALLTSPATAKMVSDNAHIPGWWEDRFKKAFVKMAAIDVKNSYQGEIRKNCRVVNY >OB03G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12684605:12685794:1 gene:OB03G28080 transcript:OB03G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LP32] MAAPSLPLVTCALLLLAVACRAHPYWPLELAYYRDKCPQAEAVVKSVVGQAVHQNPGLGAAVIRMLFHDCFVEGCDASILLDPTPFSPTPEKLSAPNNPSMRGFDLIDAIKSAVEAACPGVVSCADIIAFAARDATYFLSNGKVYFDMPSGRRDGSFSNDSGPINFLPPPTSNLSDLISSFAVKGLSVEDMVVLSGAHTVGRSHCSSFVPDRLNASVFSDIDGGFAWFLKSQCPLDATPGGSNDPTVMQDFVTPNTLDNQYYKNVLAHKVLFTSDAALLTSPETAKMVSDNANIPGWWEDKFKAAMVKMASIQVKTGYQGQIRKNCRAINY >OB03G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12693136:12694388:1 gene:OB03G28090 transcript:OB03G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LP33] MGGGGKLAPAAVMVSMAVLMAAVTTTCQAGAVGPYYHGPAASCGLKVGYYRDRCPHAEDIVRGVVGAAVLRDPGVGAGLVRMLFHDCFVEGCDASVLLDPTPANPQPEKLGPPNTPSLRGYEVIDAAKAAVEAACPGVVSCADIVAFAARDASFFLSHTRVAHTRVAFQMPAGRLDGRYSNASRTLDFLPPPTFNLGQLVANFAVKGLSVEDMVVLSGAHTVGSSHCSSFVPDRLAVPSDMEPYLAAMLRTQCPAKPSSSNDPTVVQDVVTPNALDNQYYKNVLAHRALFTSDASLLTSPATAKMVVDNANIPGWWEDRFKAAMVKMASIEVKTGNNGEIRRNCRAVNH >OB03G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12699363:12700927:1 gene:OB03G28100 transcript:OB03G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LP34] MAGLCALLVAGALFVAATASMVGGVAGFYVPPPGPAAACGLKVGYYRDRCPHAEDIVRGVVGAAVLRDPGVGAGLVRMLFHDCFVEGCDASVLLDPSPANPQPEKLAPPNNPSLRGFEVIDAAKAAPGGRXGADVVAFAARDASFFLSNSRVAFQMPAGRLDGRYSNASRTLDFLPPPTFNLAQLVANFAVKGLSVEDMVVLSGAHTVGRSHCSSFVPDRLAAPSDIDPSFAFTLRGQCPASPSPGNDPTVVQDAVTPNTLDSQYYKNVLAHRALFTSDASLLASPATAKMVVDNANIPGWWEDRFKEAMVKLAAVEVKTGGNGEVRRNCRAVN >OB03G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12706248:12706514:-1 gene:OB03G28110 transcript:OB03G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRIAKLIDDKVAVLVLGWMPGPTTDDDDDGDDLDTPGAAACCKNCWRSAAAASIAPSCTAGSRSRSMNPSRRRRRRAPFAGIRVQF >OB03G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12715899:12716301:1 gene:OB03G28120 transcript:OB03G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGAHTVGRAHCSSFVPDRLAVPSDMDPSFAGMLRGQCPACPSSSNDPTVVQDVVTPNRWATRNVLAHRALFTSDASLLTSPATAKMVVDNANIPGWWEDRFKEAMVKLAAVEVKTGGSGEVRRNCRAVN >OB03G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12719680:12721257:1 gene:OB03G28130 transcript:OB03G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G28690) TAIR;Acc:AT1G28690] MKPNGKHRDPNMQQQGSVPRYLRTAGALAAVVQSFIDEYPTRRGCQTLHAQLLTSGLRPTAVGGGGGDLSIKLLILHLRCGSHHNARAVFDEMPSPTHAAHNYLAAGYFRLGLPEEALGVVRRLARRTGRVNLLVLSMALKLSAALAMPRAVREVHARVVRSVVKPDDVLFAALVDAYVKSALLGYARRVFDVMPTRSVVSSTALIVGCMNEGLYEDAEKLFKGMDEKDVVVYNAMVEGYSNKEDTAENSMEVFKAMQRARFRPTVSTFVSVLGACSLMSSPELGEQVHCQVIKNSLFSNIKAGSALLDMYSKCGRVEDGRRIFDRMAERNVITWTSMIDGYGKNGLSDEALRLFDQIRRRSHRRQDDARPNHATFLSALSACARAGLVSEGQELFQSMERDHALEPRMEHYACMVDLLGRFGSVRRAHDFIRGMPAAARPSSDVWAALLGAATLHGDVEVADLAAREVFELSRAGSRQRPGAYMAMSNTLAAAGEWDGVRHVREMMRRRGVLKDAACSWVGSDK >OB03G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12724099:12728315:-1 gene:OB03G28140 transcript:OB03G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSNRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQMASAMSNQQLMDAGREQMTQTDQAIDRSKMVVMQTIETGTQTASALTQQTEQMKRIDNELDSVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPRNKNIRDIPGLAPPAQNFQISNRRLLSVEIFSGL >OB03G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12728429:12769229:-1 gene:OB03G28150 transcript:OB03G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDGIETVAWKDFFWGVLDWFACTAGVMWISYRYRDLETFLHASSWEYLEGAIARSINVSRFPVLWRRKSLEMFFFFFFFFFFFFFFFFFFFFFFTFSLFVIP >OB03G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12752062:12756325:1 gene:OB03G28160 transcript:OB03G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGGMRQTGVTLRYMMEFGARPTERNLLRSAQFLRKELPIRIARRALDLDSLPFGLSTKPAILKVRDWYLDSFRDIRYFPEVRNRDDELAFTEMIKMIRVRHTNVVPAMALGVQQLKKDLGGTKGFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISTRLSPMLAAQHASEDARAICMREYGSAPDVNIYGDPNLTFPYVKPHLHLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >OB03G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12759695:12763927:1 gene:OB03G28170 transcript:OB03G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAEARTSVWWDIDGCGVPACCCDPHRVAHRRRHPRRPSRPGGALLHRRLPQPRTKDGTDKRMLVDMLFWAIDNPPPGNYLLISGDQDFSDLLHRLGMKRYGILLAQPSNASSQVLAAAAKVVWSWERLVAGELLANTHSVLDCNPKLNGLDVSKCSQSKASAVCHNGASNVKACNQYKVKPVQKYVKKNNAISNSANNQDQVISVDGFSDDFAGSTGSDQDKSSVSSSTSSSESLEVDLPSLLETPLAESSAQEPGVSTSSQQVGPLSKSIIAEKPRTSAEFVPRKGTLDFGLSNEHNHQMFMQSQPSEAKNKLHSEYDMAEKNAKKTDIKFSPARNQFQSKPIQRYVKKTSITSSPASNQLDSDGMPDCSMGNTPTKLNQLPVLSPPNCELLEGIKVDHSSLLGTFNLSQPSSQAPVVSTHFQVKAPHELIFGKKTLCTSTEHASRDETSNMGDNVGKAKTRNQHKVTQRQHYVKKTNTVSSSAQNKIDLVKGLPVNSKGNTLSDPNKSMLSASNSESLLGAKVNCSTPLKNSAPSLSAVHKPFTHDLQPVGSEFSFGKNPSLSIDCMPKDGTFHFGATNGHNSPACQQAQSLPEQHESVPPSSVGHSNSANPDVGSSEAASTGFNGVPSAQRQISPSSSTFQNLLDICSDFSRLTISQCPPGRCENGPTFQSMHANPAFSMQNISGHPRGPHEIGSIFHPGSGVSSALQTVQSPSNNCTLKPHSPNLSCNIKNPCSHGETQGYPPSSSEPEVTIRNILHALHILKAEKVFPTESNIADCIRYSEMNMSGFDVKKALELSIRHQAVVMKKLVNDMPLFVAKDESIWKCVNVTNRKAKHPKETLDTVHKYISSTDGWSEIKNSQSRYQAATILKKTCLQQHALGDVLQILHIIIVRKKWILPHSSGWQPLSINTTVVDATAVAVGESRS >OB03G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12769405:12772530:1 gene:OB03G28180 transcript:OB03G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSRSSSSVSVADRALRGVADLIKLLPSGTVFMLRAGDFVHAALSLLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGVASYAFMVFPNNRHGIGYQPTRATEDFQRKN >OB03G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12774068:12775858:1 gene:OB03G28190 transcript:OB03G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPAAAASPATVGKTKSKKKSKKHQDEPPSSLAVAAPSPAEAEAEAAAKSDGYLIKPQSLVPPLDTSAWPLLLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVERAPRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGESDNMVTMHDVMDARWAMDNFNDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDVEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPSEWIRNVVLPSGGDAMIASIAATPEPEKPKVEEEADVAVETKEKKKKKHKDEAGDNADEGRKRKLGDDHLSASVSAKKIKVEEDADAVEGDKSEKKKKKKKDKAESASADGEEVKVKVELSDGEKGGSEKKKKKKTNKEGEAGDDEAEKSEKKKEKKKKNRDAEETQ >OB03G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12775037:12775561:-1 gene:OB03G28200 transcript:OB03G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISQLPLPPLISIVTCLILMFLLFLLLGLYSHICLLFDLWLLWLRCCSNAGNHSITTRWKHNIPNPLTWCLLIWLPMFVKKAFSNHLFLLECHRPKPPLPRVCVPVHHHPFDLGNRTMVTSSHNSRGHLSNANRNRFPLGCHENHLLPYFNVILKSEQPGDHELRAIANSIHS >OB03G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12777168:12777449:-1 gene:OB03G28210 transcript:OB03G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPAMSDRTATFVDLVIAIILPPLGVFLKKEFWICLLLSFFGYLPGIIYAVWVIITN >OB03G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12780323:12780964:1 gene:OB03G28220 transcript:OB03G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMPMPMPPPGPGGDTPPPPMMPMRMGGGMSFTWGHRAVVLFSGWPGDRAGVGMYVLCLLVVLALAALVEALSATASRRRRGAPXXXXXXXXXXXXXXXXXXXXXRPARFSRRRARHRWRRRRRHVEWFFSPSIVVRAETLIISCPVNSQKKQSCNSRRLHKTKCEIVLCKICPRGASKWFLDRSSLFRFSILESKNLWLVRPWPVCFSFE >OB03G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12781353:12785672:1 gene:OB03G28230 transcript:OB03G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDHDYLRRIFPHFVAWKEQYGGPFLFWLGTQPRICISDYDSVKQILSNKYGHFVKNDAHPAILSMIGKGLVLVDGKDWVRHRRVLTPAFSMDKLKMMTETITSCAECLIKEWEDQASNSKNKETEVEFSKQFQELTADVICRTAFGSGCKEGKEVFHAQKQLQAIAIATLLNMQLPGFKYLPTKMNRCKWMLEKKLKNMVMQIIRSRIASEGNGYGDDLLGVMLDACYPTEQGKKRDELTLNLSVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLREEVLRECGKENPNADMLSKLKTMTMVLLETLRLYSPVMFMYRKPITNIQLGRLQLPGGTTLVIPIPMLHRDKEVWGDDADQFDPLRFQNGVTKAAKIPHALMGFSIGPRSCIGQSFAILEAKSVMAMILRKFSFTLSPKYIHAPADMITLQPKFGLPILLRALEA >OB03G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12787980:12792076:1 gene:OB03G28240 transcript:OB03G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLFFLALFLLAISWLWDYIVLRHIWRPYMAAKKLREQGIHGPRYKFLQGCNEDVKRMKAAADGLVLDVHDHNYLPRVMPQYLTWRSQYGEPFVYWFGAKPRMCIFNYEWARQILSSKSGHFVKNDTHPTVLALLGKGLVLVEGTDWVRHRRVINPAFTMDKIKMMTKTMVACAQNMMKELEGQASRNKNGEAQVELTKRFQELTADIISHTAFGSSYKLGIEAFHAQRELQEIAAKTLLNVQIPGFNYLPTKGNRRKWMLEKKLRSTLMQIIQSRLSSGKSGYGNDLLGLMLEACTGTDQGKEQQHQLCLSIDEIIHECKTFFFAGHETTSLLLTWTVFLLSVYPEWQARLRLEVLRERGEENPNGDSLSKLKEMSMVFLETLRLYGPALFFQRKPLTDITLGETKIPKGHAIIIPSAIMHRDKAVWGDDADEFNPLRFGNGVTRAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAMVLKKFSFTLSPTYVHAPVDLLTLQPKFGLPVVLRPLDA >OB03G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12794716:12796993:1 gene:OB03G28250 transcript:OB03G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLNKLNNAAERESSESQALIAVKKLEEAALELVASYVDCTCYAEAIREVPRAYQPSNQSTDFEKLIEAEVNKVKADSSTSVENHPLIRQFREAVWNVHHAGQPMPGDEQEDILMTSTQTSILNVTCPLTGKPVIELTEPVRCVDCKHIYEKVPIMHYIKHQRPPKCPIAGCPRVLQVGRVICDALLQVEIEELRSSGPSAPNAENIEDLADDEDDEDE >OB03G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12797825:12798426:-1 gene:OB03G28260 transcript:OB03G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPGIAAFHGPPALPSQSSSLPSVLMFQQANSKMPRFEFGHGQLDKHENDRRVRFGPSEGTERAEQGIPLQPYPASGEVADGQTTVEKSDSPGRFGKDGPDNKALGTNSCKIFGISLTEKVPAREELDHDDANYSLQSLKQVPKSLGNSCATVHERPVVGRVIDISTMDMMIL >OB03G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12808611:12816567:1 gene:OB03G28270 transcript:OB03G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFTASFSGQSGSVSGGFHHSGLHNMHPNFNLPLAQRNAAMSGLPSSGVQQPGGSISGRFSSANLPVGMSQIPHGHSGVSGRGMNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGSPQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDASDNAPFDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMELHHKEQLHDNIPVMQAQQYPMSRSVGFNLGSNYPSNRQQHQQGANSVQNAGPQNIGLRPLNSPSQTSSLGSYEQLIQQYQQPQTQNPFRLQQVSSATQSYRDQSVKSIQAGQTPPDPYGLMGLLGVIRMNDADLASLALGIDLTTLGLNLNSPDNLDKTFGSPWSNDPAKGEPEFHIPACYSAEQPPPLQPIHYQKFQTLTLFYIFYSMPRDEAQLCAANELYSRGWFYHKEVRLWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKPAIPSSQNVR >OB03G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12829390:12829647:1 gene:OB03G28280 transcript:OB03G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWCGGGKEEPAAAGCRTPAGHHQGRAAAGEAGSGVVVAAADCPAAPRKKRAAPGPVSQELRREFYSGADIDAFFAAHNL >OB03G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12836989:12838431:-1 gene:OB03G28290 transcript:OB03G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIQLHSMLGNKWSQIAAQLPGRTDNEPWLDLGHNANPVADGHYAGVLDELRWSDYFDGAFQAAAAATTTQAGALPVQCLYDGSGGGKDVDDAVQFVDVHGLSNWC >OB03G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12837680:12837862:1 gene:OB03G28300 transcript:OB03G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRSFFLMYEFQKFLTSLSVLPGSCAAICDHLVHAQPCPSASRPVAAGAVQQRADGLN >OB03G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12861388:12864225:-1 gene:OB03G28310 transcript:OB03G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTAATTALLHSGHHQATPLPPLSTEFQIPQFRILPLLISGLRLEKKLCIDLKLFREREITLLPGRIWICRSRASDSYGQQLCDDEACWLLSNPACFTNHYLGKKVVSSLCWLLLVVNCSQALLHGMVGVDLCLGLCTYLYPGSLRPRSARRDVSCYSAKKRSNRLVHD >OB03G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12864968:12872999:1 gene:OB03G28320 transcript:OB03G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MECDSNMMTQYVLDSETRSGSFRQEVADDLAAGLQRPAKSFSETTFRTISGASVSANASSARTGNLCVSLAGDVQEPAATFESTASFATVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGPGWVQKFLLHPMAHLSLSRDAKCTSEGSHNGLESGLPEPEYSVTRNLQWAHGKAGEDRIHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGPLWVYEDSPERSAHASTLGEDESAAAPHDLPNGGDIQSHTENVKPEQLADLEKENISNGKISDDGDLQVQSGLNTGAQRDLVSQISSNHRLNAGEIVEEKVGDDVGNNLQGTESYNSGRDISNIDVNTSCSCTSEHSTYCNEDVKSSKEIRTSKRLFELLEMELLEEYNRNISKSSLEGRKGRNLFNMQAGTTEESSRDIAELSRCSLAESGECLVDFENDKHSRRDDSVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKLYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALEKTEEAYMDIVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQDNEKYNNSSFLKGDLRHRNRSRESLVCVELDRISEESPMHNPNSHLSSNTKTKELTICKLKIRAVQLSTDHSTSVEEEISRIRAEHPDDPKSVFNDRVKGQLKVTRAFGAGFLKKPKFNDMLLEMFRIDYVGTSSYISCNPAVLHHHLCSNDRFLVLSSDGLYQYFTNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >OB03G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12874131:12874795:-1 gene:OB03G28330 transcript:OB03G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAKIPGIKSLAADEEKGTMTVVGEVDVVQVVSELRKAKFAAEVVSVGPEKEPDPPKKPDPPKKPDEPPCKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYTFFLVHLTYASINIGKCDVLRLI >OB03G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12876101:12882080:1 gene:OB03G28340 transcript:OB03G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGRKPRKFATFRLFPRAGAADPNDRVFVRVDNNDYSVPGFGDDEDAFDPSLSPAAADRFSSGSGPLPDHIRREILELGLPDDGYNYLHHLRELRPSAAAAASSFVPNQDAPPLPLDVKAYDASRVRIASGKAEDELDEGRTMCKVAAKTAPLRRIERAVDPDIARLLDESDVSHGGSEDEGLEEDFVVMANRAEDDEEEEEEDEMVDDVFLSDVEEEEELEEDEPKQRVRRLLDEQFDLLALEEYGDSDDDDQGVRDGECELPTEVIDELKLFHSQNVSVTEEYRTPADFVRRKLDLSTAEDVDESANVIQKCAEYAERYLNETAEEEEVVLVSESSDESEVWDCETIVTTYSNLDNHPGKIQTPGSPKKRLPKVFPGETATTKDIIKLQGKERLPVEYLPQRKRKGEKEKAKPTEAPSDEDFKKGAQKETKEEKKARKAAVKEEKREARKAKKELKGLYKFETQKAQKVAAVTGPASIRLIYPAYTTYWNLRTTFMRNMSCNICIANFFRKGVHEHGLLFLIDLSLAPIAMGERRENKEMALFEKKARVEAADTPPRPPHDVADRLEVPDPDGRRRRLARGPRGAAQDRHGEVTPAGRSVALHGKLHWLVESFDMAREKLRLRETPEHVAALDVETARISVLPGGKLCVFAMGNYARKMDMWVLDDYADHRRSWQIKKRIDLCRRKRRGRRGGLSPTFSMYRQDSQAEGVQGAAEEGDEVFVHAHGRVRRVQPPAREVAGRQQRRAIGRRQGAGGGDYNISKAEFSFLKKHNIRYTASAGLHPRNC >OB03G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12881888:12885797:-1 gene:OB03G28350 transcript:OB03G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRERKLGIPAAAGASLAPPDGASPRGRGGGGGGLAAAEDADKEDLVLQGTFAQETAVTIEDPNMLRYVENELLKKRGKKVDVKDKEEKDQVDELYTVPDHLKVRKKNSEESSTQWTTGIAEIQLPIEYKLRNIEETEAAKKMLQEKRLAGKAKSDANIPSSYNADYFHRGQDYAEKLRREHPELYKDQDSQGNGTGGKSIGGNKPDGAGRREAATDELLLERFRKREKFRVMRR >OB03G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12894916:12898789:1 gene:OB03G28360 transcript:OB03G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAARVLPLSIEAGKMARGEKVVTDMPSSSTVASCNRIEQPEQNTKDDEYERLVRPAQSVIGDINMVVLPEQPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMAGTTIGMVVPYWIGSLFRECLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSITGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNIISFVIAVVLTVAFTVYAKRALNDIKESEGILTDEYGRSAGHKNQNPHGERSPPRPVPLDDVV >OB03G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12902705:12905141:-1 gene:OB03G28370 transcript:OB03G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDYDALEEEVAAASSGGGGERAILKALGSGKLVIEGSLSFKRDQQMSPATLQVETKISIKPADIAAAAPPMPREVARARLFADGGAAESPEHEAAAVKLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDSWLHCESKQPFFYWLDVGEGKEINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFLYKKSRQILDTSCGPRDAKWIFVLSTSKSLFVGQKKKGKFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFKSFLNHNLVDLTDVKMSPAEEDEESWDGLKRIPSESYPKNTVTDNSEGKTSHCQMPRVSYEPEIDGCEEPRKVEPSSDAAAAAESTEAEEDQEGGSEQAPVPREKILERINSKKGMRSYQLGKQLSFRWTTGAGPRIGCVRDYPSELQLAAAAAAASSRFSSPQRRSFNGAVARGCDASSPNTPREALRPSPLQHGLVAVAVAVAAAD >OB03G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12902747:12903103:1 gene:OB03G28380 transcript:OB03G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGRRPERLPRRVGRRRVAPPRHGAVEAPPLRRREPGRRGGGGGGQLQLRRVVAHAADPWPRAGRPPERELLPELIRPHPLLGVDPLQDLLPRHRRLLAAAFLILLGFSALSSSSSI >OB03G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12914872:12917185:-1 gene:OB03G28390 transcript:OB03G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYDYSPSPPREYRRRGRSPSPRGRYGGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPRDYYSGEPRGFGFIQYYDPEDAADAKYHMDGQILLGREVTVVFAEENRKKPSEMRHRERVSNRGRSYDRRSRSPRYSRSRSPGYSPRSRSRSYSPAPKGKHYSRSPAHRERSLSRSPVDSRSRSRSPSDDRHSKSPDRERSLSVSK >OB03G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12925854:12932488:1 gene:OB03G28400 transcript:OB03G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRRWQGLAMLLLICLLCNASGSFVGANMIPSPENSPSEFAKIVQSKQTKQARVFSGGADHRSLRSLANTGEEVILTVPNDQLEHIAEFPEEAELWVAANVVRFLPATRITHVVAGDDVLADCSAIQPGGPCFKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFAGSSMAGGSNTSVPGASHLYPRSFAFPQPMWDYSTVRRNHFDKFMLPIISKRV >OB03G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12936513:12937217:-1 gene:OB03G28410 transcript:OB03G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMLMQFCLGCRDHRRAVAQVLDGGRRRALLRRPLRGAAQQRRVPAPRHGGPGPPPVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPGVRGRRRRVEGLSRRRRAHGAGRRVARRHEGVQDEEVAPVQRRRRRRPSSAAPRCSSSCGQQSLNSEPFFDRFHLAKDETWKKHMYEFGEGLK >OB03G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12953912:12957039:-1 gene:OB03G28420 transcript:OB03G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:Projected from Arabidopsis thaliana (AT3G13620) TAIR;Acc:AT3G13620] MGSEGSMAAEEAHLGVGDSKNPGVDGVTAGDGGGGGGHGRGPPGNKLTLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVVWADRAFGPFSGSLMGTWKYVSAAINGAAFPALCAASLERIVPAVSGGGPRVAVIVAFNAAISVLNYTGLSIVGWTAVALGVASLSPFLLMFGAALPKIRPHRWGATAVPGGKDWRLFFNTLFWNLNYWDSASTMAGEVDRPGKTFPAALLSAVAMTTLGYLLPLMAATGAIDASPSDWGNGFFADAAGMIGGRWLKYWIEVGAVLSTIGLYSATLSSAAFQLLGMAELGLLPRAFALRAPAFRTPWVGILXXXXXXXXXXXXXXXXXXXXAPPAPTWPAPTPCRSGACPPPPRSASSPRPSSSSSWPSPAGRCTSSAPSSPPPASPSTTSWASARPGGGSSSLPPPTARPPR >OB03G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12958255:12961725:1 gene:OB03G28430 transcript:OB03G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHRFSTRLPCTKRLISISINKKTMIQVYTVRFEYKAFLDLSSQSPYYLRNKQASSGNNSDTAIQVYTELEVKALGKQITHDSSSSLKKNMILDPLRCYSHQLEKEGRVNDRSTKQVYNQKFMDSNPGNRGIKDAGGAKLGRRNNKEMTLSGRWCQGVRVWSAEEC >OB03G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12959687:12963228:-1 gene:OB03G28440 transcript:OB03G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLPPLLLVVVVAVLAAGSLSPAAGLRFDLQSGHTKCISDDIKVGAMAVGKYHVVAPEPEGSSLAASSRLPDSHRISLRVTSPYGNSLHYAENVQSGNFAFTASEAGDYLACFWAPDHRPPATVGFEFDWRSGVSAKDWSSVAKKGQVDMMEIELKKLEDTIKSIHEEMFYLREREEEMQELNRRTNTRMAWFSFLSLAICLSVAGLQLWHLKNFFERKKLL >OB03G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12965573:12968307:-1 gene:OB03G28450 transcript:OB03G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGITMTVIRPADMDALQHALDNNNVSLFFTETPTNPFLRCIDVDLVSKMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRKFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQREIYGIKDNLIRFSIGVEDFEDLKNDIVQALDKI >OB03G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12980212:12983268:-1 gene:OB03G28460 transcript:OB03G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSLSLSPQLLPFPASSRLAPSSVSFPSSNRTRPPPPLADAGFPFLPLAVAVSEDVETEQEEEGSEAEEEFSEDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSTVEEVEAAVEQFNGHILDGRSLRVNSGPPPPREQSSPRAPRGEANRVYVGNLSWGVDNAALANLFSGEGEVLEARIIYDRESGRSRGFGFVTYGSAEEVENAVSNLDGTDLDGRQIRVTVAESRQPRRQY >OB03G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12981913:12982903:1 gene:OB03G28470 transcript:OB03G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKKIRTKTGKQVNIEEGTICKLQRRDMSALYATFLARPYTNMEQKKNCSSSKIRKHTHMAIELLDGCLNFFNSRHCHKSKSTTSSSQRKRAYTSTISTEPACSKSPASWALSTLKGRLPTKTLRSSENSSSASEPSSSCSVSTSSETATASGRKG >OB03G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12984844:12985306:1 gene:OB03G28480 transcript:OB03G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNGMEASQPNPSSFIEKARFGREGKERRKISDETSSKGHQRRFPLSRCKTMVLISHGDNFEFFHGVFNWMYCRIAF >OB03G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12989442:12991127:-1 gene:OB03G28490 transcript:OB03G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMTNIMVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQLRADTQAKIRIGESVPGCDERVITIFSSSRETNTLEDAEDKVCPAQDALFKVHEKLSSDDDIGNEENDEGLAQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGAQIRVLSNENIPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSITQPYPVGSHLGSSSTAPVVGITPLISHYGGYKGDAAGDWPSIYQPRREDSSPKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNSEDDCIITISAKEFFEDPVSPSINAAVHLPHQ >OB03G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:12995018:13000987:1 gene:OB03G28500 transcript:OB03G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVDLCKPFGRIVNTKCGVGANRNQAFVEFTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPTVGADGRKVEAEGNVLLASIENMQYVVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTSTGWQGNPQAAGAYAPPGAAAPNHGTTGQLPNWNPGNSGYAQASGAYPGQIYSSPVQYGASGAFSAPPAAPPLELHTSQQMPPPPQYGNQPGSAGAPGTGQPHPYYR >OB03G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13006300:13008356:1 gene:OB03G28510 transcript:OB03G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAVLFLLSLSSPSSSSAAAAAGYAPGRWTNAHATFYGGADASGTMGGACGYGNTYSQGYGADTAALSTAMFADGLSCGACFEPAFLRIARYQAGIVPVSYRRVACRRKGGMRFTINGHSYFNLVLLCKTDTIRIIKGMFVSRG >OB03G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13010497:13018294:1 gene:OB03G28520 transcript:OB03G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSPPPPRSPSSDGDPTEAAKLAVAALAVPARLWSSLVARLRSLPGRRRRRRGRRPAIPPLIRTAAAHPARVTGEMPEAFGILEDIAQHTLSSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKSTYQTLTRLRSNESPIQYLLHSASDMVSTKLAVLTSMQHCLAAFLAEIYCEVDKFREGLTENSDKSLHTLFVVLNTVFSKLEASLQNVREGRTLLVPPEGSSSEILFERLPEIDYESSEWTEASSTDAISLIYQNLQKLDNFVSSQLSSHKRPTHMTIHWLPYTCGALGLSACSLWLLRHSSLMGSPDIDNWIQGAKESVAGFWDVHVEKPIISIRGELFETFKNRGKHVMDKQEVQLNEEVLHRMMLAFCQETSNEKLPQDLSEQALMKIFMERYEKEWTHPVKNLFRGELVYAILIQLQKRTVDIKQALLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCTINGMEEEACCKFGLTLYTLDRLYRAVESHAEETGEWSRLREDILDLAKPKMGMADKLVVLSRLKGTYDCFP >OB03G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13019131:13029247:1 gene:OB03G28530 transcript:OB03G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSPPASPSSGDPAGGAANPAAASAALAASARVWSSLLARLPSLSDYSRLLSGGRRRRRRRRRAPLPLPIRPAAPPPPIAGEIPKAFDILQDVVQHTLSNLHSIQKSLIFWQSKAEGTNSQKLYFMIFERGPKAFVEAAWQTFTRLKSNGSPVPYLLHSASDMVSTKIAALTSMQHCLAAFLAEVYFEVDKCREGLTESSDKSLHTLFIVLNSVFSKLEVSFRNADEGKTLLCTHDGNSPELIFERLPEVDVESSECTQALPTDAITLLYQNLQKLDDFISDQFSSYKRPRNMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLIDFCGNTSNEKPPQDIPELAMMEIVMKRYEKEAMHPFQGLSSGELTRALSIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGLSLLLLFAVRAWATNGRGAEGRGRGARRQRRLLLADVEKRLVEFQNCMANGMEREACCKFGLTLYTLDRLYKAVELHARETGEWSSLREDMFDLAKPDMGMEDKLVLLSRLKGMYDCLLPSSPGVLRHL >OB03G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13031093:13033027:-1 gene:OB03G28540 transcript:OB03G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADAPSSMVSIVSSVRDSGRTVAGVPPVLVGASVSSAPELRGRAYLVHHVFYEDGSASGGGGAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCYGVGSASGGGVAPASRASVAALREVLEEAAEASECAICLDGDGEPRREARGRKEMPCGHRFHGECVERWLGIHGSCPLCRRPARDAGGGGGGGDGAWGEGGDAREARRAQRARHWPAGRRRRRRGN >OB03G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13033484:13037888:-1 gene:OB03G28550 transcript:OB03G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEVAGALLFLLAAAAPVAAAVFTGAVDFTYLVTAVGEGSPSPGSGAAWWREAWVRVRSGAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLAGWSPERRFRWEPLGGGADEEKGEDAAAAYPMVMVQIPMFNELEVYKLSIGAVCELKWPKERLIIQVLDDSTDSFIKNLVEMECEDWANKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYIAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGTAGVWRREAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDVRVKSELPSTYKAYCRQQFRWSCGGTNLFRKMIWDVLAAKKVSSLKKIYMLYSFFLVRRVIAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAMRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTQKVGNNTSNENNETPLLQKSRKRLINRVNIPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFFLGLNFVGTLPGHCCF >OB03G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13043745:13044721:1 gene:OB03G28560 transcript:OB03G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREPRRGLGVAELERIRVQLEAAQSLFMIPPSLSSSSSALATPPPPSYPPPGAVRYGQQQQYVVHPSITHAYMREKLTLADVHTQVGNGSASSSSSKALFPLQINQGVDRSAVNAPPIPRQQQGSSEELYKLQLQLQECHRRRVQQQQQLLHGEGTATAARRTQSIPFVNLVDSDDDEAAAGAGEELDLELRL >OB03G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13047276:13051885:1 gene:OB03G28570 transcript:OB03G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGLSSFAAEPARAGDSLRPLMDFARDKVGGAGAAAATEVRLMATAGLRLQEERVREAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWIAANYALGTLGGNPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGETTYTLYSNSFLNFGQNAAQESFREILRSKDSSKNGTLVDPCAPKGYSRIKEVISRSNSLSKSKLENQFADSGDGDFTGCRSSSLMLLKKGNEECRYQRCQLGPTFVPELRGHFLATENFYFTSMFFGLKQSSSLSDFVLAGEQLCSKDMSTLRQKYPNQSDDDFSRYCFSSAYIVALLHDSLGVPLDDKRIEYSNQVGDTQVEWALGAFISNIKDTILESPGTGRSARKSRSLLAVLLGVFLLGGALWLARWRKPKTKIIYDLEKGRYIITRVS >OB03G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13053888:13061073:1 gene:OB03G28580 transcript:OB03G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring acyl groups [Source:Projected from Arabidopsis thaliana (AT4G17910) TAIR;Acc:AT4G17910] MDEHINTHKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSCGDNTRRDSFKKKNDALPGHKGFAHYFSTLVVDYLTIVLPVLLVFTIFAEWACACAIFLVMLISILTMFKRSQSHLKAGVNQLPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNITTMRWNKVLMSTSPLIFLGFARLISTSSVDYQVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLVGLLVLAGFQTWLSSGLNKYLISNERSADIISQNKEGVYSIFGYWGMYLIGVSLGYNLFFDNSSKGKHRSTQVVKVWVLAVSFWILAIILDSYVERVSRRMCNFAYVMLVFGQNFQVISILTLAGFISYEKNMVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASPLTAFIILLVYSFTLCTVAGLAQFCGVRMKFW >OB03G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13075352:13076290:1 gene:OB03G28590 transcript:OB03G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDIVLVSYVQEHGPGNWRAVPARTGLLRCSKSCRLRWTNYLRPGIRRGGFSDHEERLIVHLQALLGNRWAAIASYLPDRTDNDIKNFWNTHLKKKLLLAHTTTPTPTAHAGRSSSSSAPAPLVAKDQWERKLQTDIGPARRALREALSVDGASAAATVPQPTTRTDSRTGAADKSCSPASGAGSAAYALTARNISMMLSGWAPGKGATVVAGANPATPSAPTGTSSELTDCSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASGGDPQLALDEQLLDVALHNYAF >OB03G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13088113:13088367:-1 gene:OB03G28600 transcript:OB03G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQHTGRLALLALLCTVVAVHGLRRAELVVLAPAPAPAPAAPDPGNVVGVGAATATADTSDAAAQTSKWRVRRGSDPIHNRSR >OB03G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13088581:13088802:1 gene:OB03G28610 transcript:OB03G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEALTVWGRQRFWQSHGWGYISQQTKPKVCNSMLETKTCFSSSLLLLLLLLLLLSAPACAWPMCAVKTQH >OB03G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13091298:13094772:1 gene:OB03G28620 transcript:OB03G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTESRTRTTAFVVVVFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCVVLPNLNFETHHSASDLPNDTGSNEVKSFKPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKEKLNCLVPAPKGYAAPFQWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPQGADKYIDHLSSVIPIANGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKSPFPSRAFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQNEQRKIEQFAKLLCWNKISEKDGIAIWRKRLNDKSCLMKQENPNFGKCQLANDNDVWYKKMEVCVTPLPEVKTMTEVAGGQLEPFPQRLNTVPPRIAHGFAPGFSVQSYQDDNKLWQKHVNAYKKMNDLLDTGRYRNIMDMNAGLGSFAAALESTKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANSVFSLYENRCKFEDILLEMDRILRPEGAVIVRDKVDVLVKVEKIANAMRWKTRLADHEGGPHVPEKILVAVKQYWVVESKSS >OB03G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13098140:13100036:1 gene:OB03G28630 transcript:OB03G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGGGSVATAVRPAAAAERLVHHGAISDKKCRKKVPRKAHKSEREKLKRGHLNDLFSDLGNMLEADRQSNGKACILTDTTRILRDLLSQVESLRHENSTLQNESNYVTMERNELQDENGVLRNEISGLQNELRLRVTGNPGWSHSATESPLPVPRSPSTVFPSQQPMQPSTMTSTVFPLQQPLLPQPTVVEHSYARQPLELKLFLEAPAVESQEPSENLEALNHVARPQARYPTEASSWPISLGLPRMEDEQCSSSTTCSSKIDSTGRD >OB03G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13100149:13101858:-1 gene:OB03G28640 transcript:OB03G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGGSKIPSTNEIKTKPDGWETTSRGQDGSIWDISQREDDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPFREDPPAIASGSPFRGNRP >OB03G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13108561:13110827:1 gene:OB03G28650 transcript:OB03G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRLDSEKHIDFSLTSPLGGGEPGRVKRKNQKKASGGGGGDGDEEEE >OB03G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13114213:13121669:1 gene:OB03G28660 transcript:OB03G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVWRLQELAVGQARALVAVNGDIVRLRDRLMWLQAFLREADAKRRAVSDEVTRVWLLQTRDAVFDAEDALDHFYLRVDMSRFPRWAQPCMRNIVTFTTQIRMRHILSGKIVAINTRLEEIIQNKDRYKMDDMNKEIEVTWKASTSISESQSELGDSQKGYLTLYAELQNKLEKYLTPTDQERKKNNNRPIVISVSGKSGVGKTTLVRNVYKTMVKKNCFDVHAMESFAPHLTAPNILHQIVQQLTEDNKNCPRTKVQEMLADALKGKNYLLVIDGEVSRTEWKNMVTILTTLTPGSTGNRIVHIRFDKPEQQSIYYQECIQLDPLENNNIMALFHERLRSQDQQGDSRYPIILKLKRLLQLDEQYRKLEEYREDICKITEGLPLAVVLLSGLVQTKEFPHEWTEVFKYLISKKSKRLDNLLSLCFDDLPHELKCCYLYFAAFPPNVVLEARNLVCMWMAEGFLTPRVGKTLEKVGYIFLNELIARNLVNPVLADDDNSSTGAMFVSIQNKIHEFLQSEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAALANPLPKLRSIFSQFEQEPKEQDLEVNQTKQCCCTPQQWVTTYKKQKDIKSHIKGLLKGSEFLRVIDLQGIEIGEELPHAIGSVVHLQYLGITSCSLTVIPPSIGSLSGLQTLDVRETNVRKLPLDFWMIKTLRHVFGFTLKLPKQIGSKKHMQTLDSIELDDHEQDLTGTVGNMVHLENLFVWNIAMGNMAALSIALKMLENLRTLALHGQIIPSSVFNTISLRRLKFMKLQGKLKPKSMITSMDVCLPNLSMLLLEKTKVSQLFITKLAELPSLETLALYPGSYKDEHLLFSPSGFVNLKKIKLDVPTTLKTIEIQHGALPVLKELDILSQHHQAKIIAESRITNIIV >OB03G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13125505:13126017:1 gene:OB03G28670 transcript:OB03G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRIGDTRRCGLWLRRTDGSFPDPTIIQALISTVTAARVGRWRQPDASTQSLDRHDRHGSQFVPPPTRPPDSYFPATAMPASKEAIEALKDVAIATTDDVNQQPKCAICLDCQDAVTAGWMPHFDSASTGSTVNASCLGKWLRVCMAVAHVPHVLPPNGNDGAGRGRRW >OB03G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13125505:13128789:1 gene:OB03G28680 transcript:OB03G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRIGDTRRCGLWLRRTDGSFPDPTIIQALISTVTAARVGRWRQPDASTQSLDRHDRHGSQFVPPPTRPPDSYFPATAMPASKEAIEALKDVAIATTDDVNQQPKCAICLDCQDAVTAGWMPHFDSASTGSTVNASCLGKWLRVCMAVAHVPHVLPPNGNDGAGRGRRCGKHHRQHAGHGGGRAHHRRPAQRALRDSLLHLAAAATLAQRQRRRRPGPRPEGEAIEALKNVPITGGDDADRPECAICLDHGTAAAGWKEMPCGHQFHGACLEEWLRAHCTCPVCRHQMPTAPLPPAEEEDDDEEEAGGGRPERRVVLALSHPGGSRGGTRRNSLEWE >OB03G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13130010:13130276:1 gene:OB03G28690 transcript:OB03G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRPLAQSMEVGAARINPNGAEGVSDVCIASDVSEAPDTGEVSEESDADEVSEESDAAEASEEATMSYFQDIEQYLRYLEVRVS >OB03G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13132934:13134089:-1 gene:OB03G28700 transcript:OB03G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPQSPLRRWKPFLAAFSSVDDAIEAADPAGELHSRGEFRRARGRLVEMLRGAEDDAEAEELCLDEGRLERIPQSPKRVEIGLNLEAKVKLQGSPAPKKAITNGGCHVNPTKTSAPSPPKRSAPVVGGARVKTDDKGAAAKPKESVHPAKKQPAGISRVGDRQDQAASAARKRKLHDGYQEEEEAKKRRKTADMGGAATKPKEPALPPKKAPLLVASAGRRESIEHRNEAESMIGSTTRKLRESYQEAEEAKKRRQVHIIEDPKMLKQRQQKMHPIMRMRSRASSASSVGEKRLTMSSLRRR >OB03G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13134544:13134997:-1 gene:OB03G28710 transcript:OB03G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVADREPAADAPVSPDQQHHQLAQLAGATPSPTMPPARRSPGGHTDAPQAALDRPVPGGAGIGGGRAFGDVDGASGAAVVGADDGAGAAAASAFIDVVLDCDKEMHGGGPAHREPRCSPTRRPTTAAAAPPRRS >OB03G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13140609:13140821:1 gene:OB03G28720 transcript:OB03G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGDVDDQPLPEYCAICLDHGTAGAGWKETPCVHRFHGECLERWLRVHGTCPMCRRPVTVPPPPPAEEEED >OB03G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13146448:13146981:1 gene:OB03G28730 transcript:OB03G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDGDVDVLSFAYQVAMRAMFLQPFIDQILREELAQPTGGGTGGGGAPASEEAIRALKDVAITEGGDQPKDVCAICLEETAAAAWKEMPCGHQFHGACLEKWLRMHGTCPMCRHQMPTAPAAEVEVVDALATSDSILVMIRVRSPNDDGAAPVEHNIYDDIYQIYEIPFVPSTNP >OB03G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13160604:13161872:-1 gene:OB03G28740 transcript:OB03G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRSMVTAAVAVAVAVAFLIGGTPAEARNEGKQDIGYYELRRGEFSIVVTNWGATILSVNLPDKNGRIDDVVLGYKNIGSYVNDTTYFGALVGRVANRIAGGRFTVKGQAYHTYKNDGNNTLHGGHRGFNQVFWSVRERVTGQFPYVTFYYRSYDGEQGFPGALDVLVTYKIDGDDSYSVTMYARPVDKPTPVNLAQHTYWNLRGHGRGTILDHSVQIFASAVTPVGAGLIPTGAVKPVSGTPFDFRAPAAAGARXXXXXXXGAGGHLRARDGALVGPARRAVLHRQLPQGRGGQGRRPVREARRPVPRDAGLPRRRPQAGVPHRDLPQGPGLQALHALQVLPLRQDVLISHGSI >OB03G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13162180:13169688:1 gene:OB03G28750 transcript:OB03G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3LP99] MAAAGGDGEAAAAAALRAEVDALRRRVQELERENQRLAKIVSTCSCGSKEDSVASSPVLTESVCSSSQELQKNMKSHCAGHQAGVVRHCPKRLVALKIMYFGQRFFGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAGLAVVDNESEIDYVKVLNRILPHDIRVIGWCPVAADFLARFSCLGREYIYLFWKGDLDVLKMQKAAAKFIGEHDYRNFCKMDAANVSNYRRHITDFNISSYNQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLELPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMSSSDASQALIEHLKDEYHQYMLQAAIFREALSCLTFRERNSFEPHHKKRNHIPLMSRQTEPSYEERVAKLKTKSAGSL >OB03G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13172167:13175534:1 gene:OB03G28760 transcript:OB03G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT1G71790) TAIR;Acc:AT1G71790] MEAAMDLMRRMPPGRAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKESLKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEVEANEVFSVYRDQYYEGGISSVYIWEDEDEGFIACFLIKKDGQGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGRMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >OB03G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13175879:13178502:-1 gene:OB03G28770 transcript:OB03G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVRACSRHPITKWAQRSDKVFLTIELPDARDVKLNLKPDGHFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAPRTICYLVKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLDDDDDDEDTADSANKDEDIKAEGSGEQEAAGEAKP >OB03G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13182322:13183321:1 gene:OB03G28780 transcript:OB03G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFNAAAGDGDGRDGFRASSSRWSGREDPGLEESTLGYNRHGVQHNASQNPNLGQDDEVIVMDGVLVGNNSVSVPCYPSNQGYVPASYYGGTASYYAPNLQLIMNSLTYLIQFLSALGFVDF >OB03G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13184994:13185296:-1 gene:OB03G28790 transcript:OB03G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRYGEPRLGGGRGQEEPGDDQSDGGGGGGVRGESGGGRCREGQRQGEGRCETFATRRGALSQAVKYTHSSTAPHQVHDTLGNATPSPFRSKPTLISLSVL >OB03G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13216157:13217496:1 gene:OB03G28800 transcript:OB03G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALVTVLLLAHAVAYLAWTAAARRRQSRCYLIDYVCHKPADDRKVSTETAGAVIERSKRLGLPEYRFLLRVIVRSGIGEETYGPRNVLDGREDAPTHADALEEMEAFFGSSIDELFAKTGFGPRDVDVLVVNVSMFSPDPSLASMILIRKRLLPHAAAATTTTKNDGPKINFRTGVDHFCLHPGGTAVIEAVKRSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDKVLMVTFGSGFKCNSCVWEVTGDMADKGVWADCIDAYPPENMANPYLEKYSWINDVDGDSLIL >OB03G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13229414:13230852:-1 gene:OB03G28810 transcript:OB03G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LPA5] MAMAKPTVVLLPVWASGHFMPALEAAKRLVAALGADLFSLTVLVMRPPTPDSASERVPPPVARESSSHGATGVEVAFVHLPHVDPPTDCATVEEFTSRYIRLHAPRVRAAVSPRAAALVVEFTSTDIFDVARELGLPAYVYFASSAAMLALMLRLPALHHEMPTEFGEMDGGHYVRVPGLPPVPASFMPASVMSKKSPSYGDTLYHGGRLVDAAGIIVNTAVELEPAGGAAIAEGQCTPGRPAPPLYPIGPVISSAGAQSDGNHECIRWLDAQPQASVVLLCFGSLGFLGAAQVREAATALERSEHRFLWVLRTSPAAGADHLDGILPEGFLDRTAAAGGLVWPLWAPQREILAHAAVGGFVTHCGWNSVLEAVWSGVPMAPWPLYAEQHLNAFELVAAMGVAVRMEVDRKRSNFVEARELEHAVRCLMEEEEEEGRRARRRAAEAMAACRKAVDAGGSSDASLHSVAARMRNDTKW >OB03G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13233279:13233941:-1 gene:OB03G28820 transcript:OB03G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRLVRATTAASEDAYSCILQREDDDGLLGASITKALPKAALRAFAANLQRLLPPVLPAMEIARLAADLARQKLLQRLQRVKLKINLKAGVDHLCLHAGGIAVTDAVKKSFGLDERDVEPSRMTMQLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVAFGSGFKCNSCVWEVIGDMADKGAWADCIDEYPPEGKPNPYLEKYAWVNDAGDESSPF >OB03G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13243105:13248925:-1 gene:OB03G28830 transcript:OB03G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAWRRRGFAAAATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFDSIQSEKAVEEANEHVEVNPAANEAPEIQNVRQEVHKETVVQVTEEAAPPITDISQPLTVSAVEDPPEVNVVATYEAPVSEAPTTKPEEPQSIAGDFSTVQKEHLHSESNAEPFVEAPQDDGTNKTIDAHDMTSADVESDMTSSDVKTDATEAGKVQHQTVSATVDAIPTDADPMDTDAATEKMVLNDLSENTSMYDEERKDSELTNEDGKPITPKPNDQVPEVSPDLGSPIKCESISSDDISTNKQNNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKELDKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEVKQVESNIKSEGNTELSSDHVKEVSLPDSVVDGSSVDTKEVIAEEKTAASTEKRKLEAEETIAPAEPIKRQRRWTADGAKVPERQPLSHSGSDAPKENFQPALRRSFGRSDSTASEDSPKERVVPPPQNPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDHIKTHCYVTYSSLEEAVATRDAVYNLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAATPTSPATPFQQAQTNQNVPRQAAAPRENLPPPPPLTKPPTTDPGSTRERLPPTPKKQPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVAAKLASQGKGKRE >OB03G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13253040:13257798:1 gene:OB03G28840 transcript:OB03G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLASLFTGGGGGDHHGHKNSRRRQLQTVELKVRMDCEGCELKVKNALSSMKGVESVKINRKQQKVTVSGYVEAGKVLKKAQSTRKKSELWPYVPYGAASHPYPYLAGSVGSVGARCCGFRGKTVSVKLIQKIMPELCCQLVIREKGGTTSINGQCAYKKGDMWPCREHIVFLQQTLGGSNLFSSSVSEVVSMGGTLEYLASLFTGGGGGDHHGHKNSRRRQLQTVELKVRMDCEGCELKVKNALSSMKGVESVKINRKQQKVTVSGYVEAGKVLKKAQSTRKKSELWPYVPYGAASHPYPYVAAAYDRRAPPGHVRNVEASSAAYVQSGAGGSRAVERLTNLFNDEDPNACVVM >OB03G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13262109:13263445:-1 gene:OB03G28850 transcript:OB03G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTKHRPEIMPSSSSKAAAAGRLRIIRSARDRRRERLALFSCLVINQKEKLAASAGAAAGEETRQEARNASGDDDGPPAVVGAKALPTTVRALLREPAVLLGEGTAGSTYSLRGGRLVLKRLRGVRWDGTAEFERLVAAAIAPVVVEDDRHVVALRAYHYSPTENEALLLYDGFPMGISLWTMLHGPRRYYDDDDYCYRAPLDWETRLAIAHDTARGVGSIHRARIYHGNIKSSNILLTVTGGGDYMALVSEHGLPALIGPNSRSLSNQAYHAPEVKDSIGNNGQKADVYSFGVVLLELLTGKNPACNDGVDLSRWVRSFAYTEWMATVVDTDLIGKQPVRKGRKMDAILDLLKLAMDCCITDADLRPDMNKVERLITQIRRS >OB03G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13266175:13266896:-1 gene:OB03G28860 transcript:OB03G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRCVAVGRFATVAGPALSRPIIFHLFSFVFITAYLPICYSFFHVVFITTSFKSTRVTQISASAPDMDMIRQTLLWAFQHLQFLLFCFCIMMSRVGNANGLVSDLQMD >OB03G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13266175:13269101:-1 gene:OB03G28870 transcript:OB03G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDEGNQPPPQAEAAPVARPQPITPAQFLSWKQRKDAEEAARKAEAAQKREADIASGAVQMNGRELFKHEPWVFDNNIY >OB03G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13273725:13283443:1 gene:OB03G28880 transcript:OB03G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKLASCRPAHAPAPAPAGAASSGGAAAGPAKNAATARSPVASWTTAMLVVAAAAVLASFRT >OB03G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13288915:13289426:-1 gene:OB03G28890 transcript:OB03G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDDGDNYAELLCDDVLELIFLRLDSPVTLVRAPSACKRWCSAAADAIFLRRFRSLHRPIVLGHYSTGHPASFESYVSEDEKPVPFFLPSPSLAAISAGSHLAPDFLGSYSEILDSCGSLLLLRPRRFTFEGILYEPLTRRRRVIDDPPEPWDWKSEHYRGGGAYLLG >OB03G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13293392:13294560:-1 gene:OB03G28900 transcript:OB03G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLIASFLRAAAPPRAPSAPGYLFSRATAYSSSAPYTGQGFPPPGSEPASRPGLFARAGDTRQPSYGERVMASQQLRQDYRARTQADVPSTNFGATMSRIAGGEKSSYFRTPSHIYDEYKQSLVNGKRDLVHISLKRNKTFVTVTDVRGNKKTGASAGCLEDRKGRARLSKYAAEATAEHVGRAARKMGLKSVIMKVKGAAFFTKKKKVILGFREGFRGERVREQSPVVFIHDVTQLPHNGCRLPKQRRI >OB03G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13301920:13307055:1 gene:OB03G28910 transcript:OB03G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLHDDLEFVFSGDGDGGDDYYDYFEFGDRDAVDGGSAGAASHRNKQMDDTSALDYKEGKDMQGIPWERLNYSRDQYRKMRLRQYKNYENLTMPRDGLAKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSPLLQRGKEVLNVAGQLTATQNVRGARPLSRVQISSMAVKGNLMVAGGFQGELICKYVDQPGVAFCTNLTGNSNSIMNAVDVYQDPNGATRVMAANNDCTVRTFDTERYSLISQFPFQWSVNNTSVSPDGKLLAVLGDSTNCLIADSQSGKEIARLKGHLDYSFSSAWHPDGRVVATGNQDRTCRLWDVRNLSRSVAALGGRIGAVRGLRYSPDGRFLAVSEPADFVHVYDASAGYAAAQEIDLFGEIAGVAFSPGDGEGGGESLFVSIADRTYGSLLEFRRRRRLGYLDCYV >OB03G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13308336:13308611:1 gene:OB03G28920 transcript:OB03G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIDDLLELNFYSSSFISRMKDGMDEQLRMLLELILSCKVYTRRDGSWFCHAIGLGTLPCRKKGGNSRSISSWVLSSNPNPSPSRISTA >OB03G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13340685:13342548:1 gene:OB03G28930 transcript:OB03G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LPB7] MTKHGALVVPEDAVEKHPSALGRFEAVAAAAKGKRIVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVLSFVRLEELYYAGSHGMDIQGPTRHEVRGGEEEEVLCQPARDFLPMIAEAHAALAAKVEAIPGAKVENNKFCLSVHFRCVDERRGGGGTVAEQVRAVLRDYPRLRLTQGRKVLEIRPAIKWDKGEALRFLLSVPAFSPSGGGGGDDAFPIYIGDDRTDEDAFRVLRARGQGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLAAAAT >OB03G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13354612:13357007:1 gene:OB03G28940 transcript:OB03G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LPB8] MSREVLALQLFFLVLVHGALADQAARVVEFSRSRMEMQYDEQDAAKHTSQHVNHQLYMSSQDGLKEADKVSELPGQPSRADFDQYAGYVTVNATSGKALFYYFVEAVQDPSTKPLVLWLNGGLACDFYSKRKCYLGILGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFIESPAGVGFSYSNATSEYNNTGDRSTTADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNATIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQQHCSFDGTYMAQCRNALAAAENEKGVIDPYNIYAPLCWNASDPQQLHGLAINVDPCSRYYIDSYLNRPEVQRALHANATGLKQPWSDCSNIITPENWKDAPMSMLPSIQELISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPISSFWRPWYSDDNEVGGYVVGYKGLVFATVREAGHMVPTYQPQRALTLFSSFLQGTLPPE >OB03G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13359622:13360939:1 gene:OB03G28950 transcript:OB03G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPIKMKRSLLILWSLFCLSVENTIARNKSNHPLQFDQLKISMNAKAGRALFYYFVEAHRDPLKKSLALWLNGVAWSYHLKHSPWLLGGFEVPAGVGYSYSNTTSDYYNIGDKKTVDDAYTFLVNWMKKFSEYQDYDFFITSESYTGHYIPELANLIVSNNKAINSTNTKLKGVARSVQ >OB03G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13361154:13361624:1 gene:OB03G28960 transcript:OB03G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLANQEKGNVDDYNIYAPQCHDASNPSGSSDSVTFDDPCTNHYVSSYLNDPKVQRALHANTTELNYPWMDCRIWIRIFNQVTYICLQFKCNFLPRMITINNLFSFLRDYLCVSYILGIKYNLFIV >OB03G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13367983:13378896:1 gene:OB03G28970 transcript:OB03G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) TAIR;Acc:AT2G38770] MILEVSQYLENYLWPNFDPDAASFEHVMSMILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEDMELNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSSGRLQMELCLNPELIKKWTKIKRKEAKEAKKAGQTCDASELLENKFLRNLIEEFLEILDSKVILSSQDGGNESVLNESLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFSLCNIGSIHKRVDLAKKLLVLSDVELQDLVCNKLKLISEEDTCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVSADVTFSISSYKSQIKSEWDNLKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGSLMNDFTGRIKREEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLETIDFKDTFLDADHVVQSFPDYQVTFINSDGTGNLNPSPPFKIKLSKKMRESSHALPGNANSVLVAKNNMVDDDGSQKEKIIVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLASSLHLPEDVSYTCETAAYFWLLHVYARWEQFLAACAQNEDKPSFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYEYQLVDVPDYRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLEETGNIHYITGIEDIDHLVNFRLEHLRQMQYMQYYAPHANIPPSSVSANNDDATENGNAGNGMPKAKEGMLEENGEASDAAMRNKMEEDTIEAKGDLTQEDNKMDGKNPEASDVAMEDKTMDGDTEPKNKMEEGNTEANERMEEENDEAKNNMEE >OB03G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13382742:13387539:1 gene:OB03G28980 transcript:OB03G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3LPC2] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISVDNIEIGVIRSDREFKVLSPAEIKDFLEEVE >OB03G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13404654:13405155:-1 gene:OB03G28990 transcript:OB03G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLEVSVVSTVVGGRRDALGRFLGGLDDGAGGGGCGGGAAPCEGDMTAAEGELGRRPWKGESRVDLDVGVGGLVI >OB03G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13404824:13405501:1 gene:OB03G29000 transcript:OB03G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSDSLPSSPSLPATAGLDSGADQEFTSHHHGHGLFLPSSSSSYLDSPFHGLLPSSPSAAVMSPSQGAAPPPHPPPPAPSSKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFVAAPPPSVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAA >OB03G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13421781:13423866:1 gene:OB03G29010 transcript:OB03G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSMWFIPEVAFRSFSGPNQFYAVGLHADECKMIAQEEALAAGHRPGHRQAAQRRRPAAATPTGRGMLRHRLRRTGADLHDERQQQQQHPPGATRSRTALPASPAVSFDRLCGSGGSGIVDVVSDVGTYSAYIGDSNNNNTSPKPTLTPPPHTARRRRCRLLPHGPKPKPPPPSPQPTPPLALSPPQRDRRLRPRLSSLGRLPDLVETIDIDGISASF >OB03G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13429276:13431665:1 gene:OB03G29020 transcript:OB03G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAPVRKSHTSTADLLAWPQQQQHNPAAHAASTPSPARRPHQPSEALRKVVFGGQVTEEEAESLNKRKPCSAPKWKEMTGSGIFAAGANGEAEEPAAAPPARAAPRNYQPVTVSHISFAEDGSDPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQVSNAKSKELSGHGLFAEPQDVRPNGARSTSNGSAATHTPVRNANASSFSFGEANTDSVTKTAKKITGKKFTDLTGNNIFKGDEAPASAEKHLSTAKLKEMTGSNIFAEGQAPTREYHSGNRKPPGGESSIALI >OB03G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13431235:13431668:-1 gene:OB03G29030 transcript:OB03G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQRNAALATRRLAVPAVVLSGWCLSFCKYVAASHLFQFGSAQMLLCGRGGLVSFEYVVACEISEFLPGYLLCSFRHTVSVGLSKREAAGLFKEENYLLDSISGHISSMKEQAGSSTFAFLTGVCVAALPFDVLLAPLGLTS >OB03G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13442165:13446864:1 gene:OB03G29040 transcript:OB03G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFQGKLKDEFALSNNKEHENGVGTESISSKKLKHGVAIEKSPHVDISGVNGSAAQKCNSEQIHSANGTVPQDLNNHHIGNCKVESNAFPMNTISETRYPTDNWNSCQFTLSNGSPLLNNQSAPQTGHGYGDNDLTYIDWHGIDNFEDVDNLFRRCDSTYGQQQLQNTDELSWIPSSDAMYSSDVALQPGFESSYSDYGILDDLSAFNCTEDKSLPTADPSAAECDNQFDDTYLFNDQKAKDVYGEQGYQGDAMELLSSDQICSGHENLDMIGNQYSSENAMEQPEDQKFSTASGSQLSSSHNLLKQKNHLDSTSPSNITSESYPERSCQFIPSGASFPEKLKVQQKMASSASGQLISDNLAEHPGHQTLTRRASYPCENYEIGKRALGKRGLGHSDVTVGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKLCIRDGLYRLARSAQNRQVFPNTMNSNGDSHDIKDMQNPETSGKFVVDPESIETQTNPIDRSIALLLFHQPSEHGAVDDAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQADPMDAKSRRNN >OB03G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13450056:13451188:-1 gene:OB03G29050 transcript:OB03G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRSEVKMVRLTFDQQLLTKFEEKKKRQHRGNGAGPTKSKAQQEYRKGANQPGPRPNRSTQQSRRAAPGAAASGCRPPPPRRSTGAREDAALRPAHRRSANSDSLLVLNVSFRTAADDLLPLFDSCGEVTDIYIPRDRRTGDSRGFAFVRYKYEDEAQKKAVRQAGWEDIGWEGYRGAVRQIWPKC >OB03G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13457372:13457605:1 gene:OB03G29060 transcript:OB03G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYCGQFTLQGSPFAGHRLRSKCIYVSITTLPSTLFLHGPRTASSYCLHCNNITILFLYCPVTCIDEKILNEFLSQ >OB03G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13457209:13461068:-1 gene:OB03G29070 transcript:OB03G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQQSDLEPLPSAADSDSPSTPRRRRVRELLRLSSRGRHQHHHHAAEDGAAASPRGGYPESARGQEDSDELGDGAPPEWALLLVGCLLRLATGVCVAEFNHGVHVIHEWAWAGTLTEGASWLCLHRLADTWHRILLIPVTGGVVVRMMHGLLEIFEQIRQSLSSQSEGIDFMATIFPTVKAIQATITLGTGCSLGPEGPSVDIGKSCANGYAEMMEDNREREITRSWISCWDCFRF >OB03G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13467494:13476055:1 gene:OB03G29080 transcript:OB03G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARMFPRPNQPPDISQMVLEAQKRWLRPTEICEILSNYRSFSLSPEPPNRPESGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGSKQISRAKEEIMQLSGADSPSCSNSITSQNQMTPQIMDAAESPISGQISEYEGAEPDNCRASSRNHPFIEMQQPMDGIVMDDILYPSASAICNQGYHGDLLPGTSNLNSHSFTHSDIARMFDEPFSGYANGFTEPTLHSSFSMIEANNLEDSSLLETFTSEALYTNNLSQKEADALSFAGIQSSQVNGNKYTEGSTKHSLLKQLSVDLFKIESTGLKKHDSFSRWMSKELGEVVDLDIKSSSDAFWSSSEIVNAADGPSAPTNGQLDAYVVSPSLAQDQLFSILDISPNCSYIGSKTKVLVTGTFLAENVENCKWSCMFGDVEVPAEVLADGALRCYAPEHQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYTEASASHSQANVINEMHLQIRLEKLLSLGPNDNQLLVCGNEKHELINAINSLMLDEKWSDQESPSGLTDDLTTTNQSLKKLVKEKLHCWLICKISNSEKGPNVLGKEGQGVIHLAAALGYDWAIRPILVSGVNINFRDAHGWTALHWAASCGRERTIGVLIANGAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAESALTSHLSALSIKDSKDSSVGEACGLTIAEDFPQTSYAQLAVEDSHADPLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDEDCGLSDEHTLSLISLGNAKQGQHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRRNYKKVVWSVGIVEKVILRWRRKRPGLRAFRLEKQLESQSQIQPAKTEDEYDFLQDGRRQAEARLQRALERVRSMTQYPEAREQYHRLTTCVAEMQQSRVMQDEMLSEAAGADGSDFMLD >OB03G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13481769:13481969:1 gene:OB03G29090 transcript:OB03G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYISKKKRLITTRLRSITYDLHEFLPNLKISSTVCFHVQGRGASHHHLHVLSTRSMSDDYDVLIF >OB03G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13485691:13486662:-1 gene:OB03G29100 transcript:OB03G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPPCCDESSVKKGPWTREEDEKLVAYVEQHGHGSWRSLPKRAGLNRCCKSCRLRWINYLRPDIKRGNFTPEEEQAIITLHSVLGNKWSTIATRLPGRTDNEIKNYWNTRLKKRLIGAGIDPATHRARP >OB03G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13505058:13506693:1 gene:OB03G29110 transcript:OB03G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCFLRDYINVMGSDHNQEKTELLHTLINGRKLSDLPKINQPTLIIWGEQDQVFPMELAHRLQSHLKENSRLVVIKNAGHAVNLEKSKEVCRNIIEYLREPVSIAPNAALKVDTAELGNHHEEIA >OB03G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13508028:13509292:-1 gene:OB03G29120 transcript:OB03G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGRLPGGGAVRCRYCSASLPVMPGARAIQCAQCNGAGGGFFPHARGSKRAVLIGITYAGMRRGRGQLRGPVNDVKCMRYLLCERFGFPNDCVLILTDEEKDPSRLATKENIRMAMHWLVQGCSYGDSLVFHFSGLGAQIADEDGDEVDGYDEAICPMDSFQQGPILDDEINEAIVRPLVHGAKLHAVVDAEHSSTVLDLPFLCRLQLAVAGPPPAHRRLQGHHRRPGRALQRLQRRQEQDEPAA >OB03G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13521294:13522614:-1 gene:OB03G29130 transcript:OB03G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHECCHRTTRVDRRGGRDLREGGGAGLGADAASSVGSVRREAPPAGYPFAPGRKRALLVGVSYRGTSYELQGTVNDVDCMRRLLCDSFGFPGESILVLTEDLGDADPSRLPTRANLAAAMRWLVEGCDAGDSLVFHFSGHGVQKLDVTGDEVDGYNEALCPLDFERSGKILDDEINETIVRPLGPGVKLHAIVDTCHSGSILDLPFLCRLSRTGYWQWENYSRRPDLTKGTSGGIAISISGCSDDQKSADSSGFSSEQAAAIGAMTYSFIKAVESEPGTTYGRLLSAMRATIGEGQGGGGVGRLLPGRLGSFVRKMIPSGSVQEPQLCSSEVFDIYKKPFLL >OB03G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13525134:13526684:-1 gene:OB03G29140 transcript:OB03G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPASYPRFRGKKRALLVGISYAATKYELRGTVNDVNCMSFLLRERFGFPADSILVLTQEDGDTYRVPTRANLLLAMRWLVEGCSSGDSLVLHFSGHGLQKLDTNGDEVDGYDEALCPVDFEQAGVILDDEINETIVRPLGPGVKLHAIVDTCHSGTILDLSYLCRLSRTGYWQWENHSRRPELAKGTSGGLAISISGCSDSQNSSDTTALAGATSTGAMTYSFIKAVESEPGTTYGRLLSAMRATIRDNGGDLGIPGPLGAFFRRVITFSCAQEPQLCASEPFDIYRKPFLL >OB03G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13548690:13549316:-1 gene:OB03G29150 transcript:OB03G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIWPPKVRTADIWRRTRKVSRMLLPLNSLKLSAQSPPWRRKARPMAASARRSSRCRASPANTMGGNASIVLRTDSSSAGLGYSGSCSAFFDLQLSTAHFAGAAGFGAGAAGAFSGATVGDDVFAADGTPGFFDGSAAWTPVELVVESAIAVGVAAAAESNYRRGSSPLISFLSWVNRGGGARRRGVLLRRNGRGEGPLFIGGGRSR >OB03G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13548865:13552870:1 gene:OB03G29160 transcript:OB03G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:J3LPE0] MALSTTSSTGVHAAEPSKNPGVPSAAKTSSPTVAPEKAPAAPAPKPAAPAKWAVDSWRSKKALQLPEYPNPAELESVLSTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSDPFEVRDGVKLPSYRGDNINGDAFDEKSRVPDPQRMIRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQALTRQDSTTGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRKKRIRSSKLNNMLPLPRFGV >OB03G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13558555:13566061:1 gene:OB03G29170 transcript:OB03G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G78280) TAIR;Acc:AT1G78280] MYILCNEEPLWMSKCLSVGGLLVYRGSWKKTAFSRLNLCSENDEICQKPRHFDGFNSLHLYRRWYRCFTNLSTFSFDNGHVERKDDLSLDQFRSQYDRKCPVLLTKLAETWPARTKWTVQQLAHDYGEVPFRISQRSPKKIKMKLQDYVSYMQLQHDEDPLYIFDDKFGESAPALLEDYNVPHLFQEDFFDIMDYDQRPAYRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIDTPTSLQWWLDIYPNLAEHEKPLECTQLPGETIFVPSGWWHCVLNLEMTVAVTQNFVNQSNFEHVCLDMAPGYCHKGVCRAGLLAVPGKSIKDIEKRPSIMSRWNHSDMTRKEKRLKTPEPVRTSLNSNQCSAFEFSDVHESLGDQVFSYDVDFISQFLEKDKDHYSSVWSPTNSIGQREAREWLRRLWVLKPELRELIWKGACLAINADKWYSCLEEITACHSLPLPCEDEKLPVGTGSNPVFIVSNNVIKIYAEGGLGYSIHGLGTELEFYDLLRKLGSPLINHVPEIIASGFLVYEDGVYRTVPWDGKGIPDVLAKYYPLEVPCATGSFPLGLWSKQLFGLSNSTDVPDKPIWPYMVTRKCKGDIFARVRDTLSKTDVLNVASSLGVQMRNIHQLPLPHVEHVCKSGNGDIKGKENSIINVAYVPPEWKQVVSTLNKRRKNIKKHLSNWGSFIPQVLIEKAEEYLPGDMSVLIKFAKDGDGDSVYVEPSWIHSDIMDDNILIEGTTEPGTSADCITDEDLNKMDAIHIIDFSDLSIGDPLCDLIPLHLDVFRGDIELLRHFLRSYQLPFLRGESNNDIYKSIQNSKFSKASYRAMCYCILHEDNVLGAIFSLWKELGTATSWEDVEHLVWGELNQYQQSYVA >OB03G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13567002:13569360:-1 gene:OB03G29180 transcript:OB03G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:J3LPE2] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSVAKAAAATTA >OB03G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13575190:13580248:-1 gene:OB03G29190 transcript:OB03G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGGIRVIGSGNFGVAKLVRDVATKELFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTITRILSVQYSIPDYVRVSAECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGDAMKLAGAGQLGCLGSMDLDDIDDIDDIDIENSGDFVCAL >OB03G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13583156:13583922:1 gene:OB03G29200 transcript:OB03G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENRHDGWLDTSYLRAKRIKKNQRGKYLVSEGQEDQEKSAVQGGVVDAENNNQRSHT >OB03G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13588386:13592552:1 gene:OB03G29210 transcript:OB03G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome C oxidase 6B [Source:Projected from Arabidopsis thaliana (AT1G22450) TAIR;Acc:AT1G22450] MAAEPKAPSLAEEYSLPPQEAPVEKAVEDKPQEAESIPVTNDETPQPDETETAVEVNSETSEAADKSEAEETNPAAEETTETAEEETEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWHFNLFFLPSVLVERWNEQRENGTFPGPL >OB03G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13594345:13597197:1 gene:OB03G29220 transcript:OB03G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALQHMPKKEEPVSQHHRATWMAPTKSNGRERATPQQPTSPTPTLHRTGRHTASAAHNLNRTHSRHAALTRGDLPTPDPRARQFGPTRALGSTLAIRGGNDPGHGPRAPPASAAVRSRRRKHTRGRSEPSAQPRAGGYTNRGFLAINRRPPLGLAIFLRLLPPRAGARASTPPTPQPPIRSVRPERSGALREEEERGKMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB03G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13596057:13596756:-1 gene:OB03G29230 transcript:OB03G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGIVEGNLSPLTANPPGQLNVLWHDGHALGVNGAQVGVLEETNKVCLRSFLEGKDCMALETQISSNLEVLGDFPNKPLEGQLPDQKLSALLVLANFTGNSSRAVTVGLLNSSSGGSRLTCSLCVYAASWVPFLLWIYEQSAWYGP >OB03G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13603081:13605844:1 gene:OB03G29240 transcript:OB03G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICASGRTAPEVLAELKRRYENRPIVELEGAAQEELKITELRLAKLFASEAVAPPPVVGGPIQSEKAADRMRIIGAHLGALSQHSANKATELTGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASAPPSFNNQDFNGWATVGSSVTNNDGRSGQLMDIVDRVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >OB03G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13614287:13614844:1 gene:OB03G29250 transcript:OB03G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHTVVNVETPEPTTEKDPLPVQQRPETAEERVDRYTLRLPERLKREIDEAKLLRRSVSAVTAPATASSGRWASAASRTMSAARPSRRWSALLRALSGPRWSDMDSGRRVAPLQTHATGTSHGGEGDVEVVVVQGDAGTDMEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTAVPAAAAPQR >OB03G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13619237:13623411:1 gene:OB03G29260 transcript:OB03G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3LPF0] MARMLLHGVIDAKILEADLSVTADGQLRPSRKTQMKKRVFSWIKKNLPFCSSRQQVENAVGLGPTAGKLYATVDIDKARVARTGMVASTGTPRWEESFHIYCAHDASDVVFTVKAENIVGATLIGRAYLPVVEVLRRMGAPPSDLWLPLRDENRSPLGGGDQIRVQLRFTDVAADPDSRWGAGVGSAAYQGVPRTFFPQRRGCLVRLYQDAHVAEGFAPRIQLAGRRFYEPRRCWEDVFDAITNARRIVYIAGWSVNTDVALVRDPRRPSGTLGELLKRKADQGVTVLLLVWDDRTSVGLGPIRRDGLMATHDEDTARYFQPSKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGGGNPSANAPPGLVSFLGGIDLCDGRYDTQEHPLFRTLDTTHRGDFHQPNFPGASIDKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWRKQAGEGKDKLLVYLDRNWAAREAASGDPESWNVQVFRSIDGGAAAGFPESPAGAAAAGLSSGKGAVFERSIQDAYIHAIRRARDFIYIENQYFLGCSYAWRSRDDDGDVLKVEAINALHLIPRELSLKIVSKIEAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYNDVAVAIQAKGIRADPTDYLNFFCLGNRERFVPGEYTPPERPDPDSDYMRAQQARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGGYQANYLASRNRPARGQVHGFRLALWQEHLGRAAAAASAGGDLLRPSSLACVRLVNEAAQRHWDMFASDATPREDLPGHLMAYPVRLTGDVKGTLVGKTETFPDTKAKVLGAKSGVLPPILTT >OB03G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13620905:13622058:-1 gene:OB03G29270 transcript:OB03G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPRPALVDGGAGEVGLVEVAAVGGVERPEQRVLLRVVPPVAQVDAAEEAHEPRRRVRGRVAAAVDDHRLLVVREHGGRLDVLHVAPALVGVPRAEDAVHLRGLEVPRRVLVVRRHQPVAADRAEPHRRPVVPHQQQHRDALVGLPLEELAERPRRPPRVAHQRDVRVDGPPGDVHYPPGVGDRVEHVLPAPARLVEPAPGELDARREALGDMRVLVQPDEASSALREEGAGNALVRRAPDAGAPARIRVGGDVGEPELDAYLVAAAERAAVLVAEGEPQVRRRRAHPPEDLDDGEVRAADERGADDVLGLDGEDDVAGVVRAVDVERLLPPRSAGRRHHPRPGDARLVDVHRRVQLAGRRAEADGVLHLLRLARRRRRVRV >OB03G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13644731:13645675:-1 gene:OB03G29280 transcript:OB03G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVNPWCYWPNPPWTESSANNLHPSDVSHENTNSMAFPTYLNSDGYIYPGVAASMPSFAASVAERPASLSSRFVTTLAPSVGMSTADNLRKRPLVFFHNENNPFTVVPLLRKGVLDAVPELQGSNETNVTDVGAQNTGCMHENTEEIDAFLCSDSDEGFLKVQELNKIWKYPMQNDTMSVESVASAGTSQPAKKRRLSSGADRSVVDTASSARPDHSVDQKHLSHDDDAHSCCIGEVESEHQFSLREGEEAEGDDSPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >OB03G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13674077:13674485:-1 gene:OB03G29290 transcript:OB03G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHLLVVVLVVATILHAASSATVYDVLEQNNLPRGLIPQGVTSYVLHPDGHLQVTLPGDCNFVVTVAGAQYKFRFGSTFVGLVKPGSISEVNGVRVQVKWAWLGISQVDRAGDQLKFTVGSSTVSFPVGNFASSPV >OB03G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13679610:13679949:-1 gene:OB03G29300 transcript:OB03G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLLVVRLVVATILHGAFSATVYDVLEQNNLPRGLIPQGVTSYVLHPDGHLQVTLPGDCNFVVTVAGAQYKFRFGSTFVGLVKPGSISEVNGVRVQVKWALEGISQVDRCMV >OB03G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13692424:13694286:-1 gene:OB03G29310 transcript:OB03G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCRHRAAPASFSLLCEEDSESAFGCVDGDVEEMVPALGKMMMMSPDFSCTFGLQLGDDCDELVGSFMEKEVEQLVGTARGEYLKKLSNGGIEFACRVAAIDWIFKVQAQYNFGPLCAYLAVNYLDRFLSSVQFSVTNDMPWMQQLLIVACLSIAAKMEETTVPGTLDLQVCNPEYVFDAKTIHRMEIVILTTLKWRMQAVTPFSYIDHFLDKINEGEPLKYELVSRCTEIILGTIKVTEFLKFRPSEIATAVALSVVSDGRVLDLGSVLESCNIPVDKENVGRCHQAMQEMALVMLNSTESPSDVLDTSCFISKSDDNTTPGTSPPQVDNGNSDNNNNQACTPASKRTRLEAAPMS >OB03G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13696992:13700188:-1 gene:OB03G29320 transcript:OB03G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding QISAALSRCRNRGATGAKPARSGAAKMFDDQDLGFFANFLGIFIFVLVMAYHFVMADVKYEGN >OB03G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13709521:13714225:1 gene:OB03G29330 transcript:OB03G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISNALSLFRKCTGHRSSVNTSVHCSAKQARAMFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSTYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLAGVITDEELARYLHLKELDERPYMFQAVSAYDGRGIKSGIDWVVEQMERSKRTEVLRARAGITGQI >OB03G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13714958:13715134:1 gene:OB03G29340 transcript:OB03G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYSKHRKHSNYSEHFLAMVDLYMLPIFLLLTREKLLNILTKINKIVYRLHIPSKAES >OB03G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13716857:13720110:-1 gene:OB03G29350 transcript:OB03G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRRERRTRMTMTTHKSLRMPDLEKKDKAAPSCAPQTTNPHPGADVALRLVYEAYAILSDPSRRPPPSIPLGHVPVAPSQPAATAESPEFWTACPFCCFVHQYQRELIGRALKCPNESCRKGFVAIEISTPPTIVPGTEMYHCAWGFFPLGFPTSADLNGNWKPFYKMFPWNNAPARGGSSGRGGRGGSSAARQPQNGSARGGSSRGRVKKTTARKKVGAGLKRRSLGGGVESGIEPSMLGQDGWAEGEEAGDERAEEVRGININEEAQSTDGTTRGNVNTRGNVSGGVEDMGTFHLDVDPSEDILGNLQNLPFLRVDNLGRML >OB03G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13728958:13733933:-1 gene:OB03G29360 transcript:OB03G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LPG0] MALQMDTHALALLCLILLLHGGGGFSAISGGTSDGSERWGYVQVRPKAHLFWWYYRSPQRVSTPANPWPTILWLQGGPGASGVGLGNFLEVGPLDGGLKPRNSTWLQRADLIFVDNPVGTGYSYVEDDALLVTTDGEAAADLTALLRALVARELPALRDSPLFLVAESYGGKYAATLGVSLARAVRAGELHLTLGGVALGDSWISPEDFALSYGPLLLQVSRLDKNGADSASKKAQVVKQRIEAGQFRLAQYALGSMLTSIVASSGHVDVYNFMLDSGMDPVATPARSFPPAYSAYLDSKLAVGDSIRDIMNGVIKEKLKIIPKNVVWEEQSYTVYNALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSTAGAEAWVQKLKWAGLNNFLSLPRQPLHCGSSEVTKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMISTITQSSALSHP >OB03G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13745465:13756774:1 gene:OB03G29370 transcript:OB03G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSHACLLLLLLVIVLIILSPLHSQSAATITNGTADGSERWGYVQVRPKTHLFWWYYKSPQRVSSPANPWPTILWLEGGPGASGIGQGNFVEGVGPLDANLNPRNSTWLSMADLIFVDFPVGVGYSYAEDPSMMVTSDLEAVSDAMALLEALVQEIPTLPGGSPLFLVGVVIGDGWISPADFVVSKSVQFSPLFVEKSLQINLTVTVSHYLASKENITRKNSTAFNLQASNMYKFTSSRVTSINPSAKMIRFIKGNNISISQWIAHMLKQQTDAEQFTAALKTFIDIFDLISSSSGYVSIYNFMTDNSMDPFAPSGFAPRFLPSGKNNSLLVSSSNSSSTIIDDIMNGVIKQKLKIIPKDLVWQDASLAVFESLSNDFMRPAIEQVDELLSLGVNVTVYNGQRKPIHNCKPGYLTNGFVRSYKNLHFYWVLGAGHYVAVDQPCTAKYIIGIITRSP >OB03G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13759268:13762910:-1 gene:OB03G29380 transcript:OB03G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSILVLGGALQRWVHGLAGTFLKSPELKARISPWKKLHLLYGFFFVCKVVALVSRGLKTELCPLKQGPSIAADPCHPMCHSLAVVTVVLPSPARVGVMEYGWVIMCSGVVSYGYNSAPLIRVKSIRTVVPTVTEVEYGSDRGDYEYMRIANAKIMIILYIIVAEKTTGGPMGVIIGALDHHPNVETMRINVNLLRTATTCSAAPHPLKGFLQTTEAQ >OB03G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13770560:13770991:-1 gene:OB03G29390 transcript:OB03G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPHLIAVVVMAAGILLHAASATTVYDVLQQNNLPQGLVPQGVQSYTLGADGHLEATLPFLCDFFVPIAGRQLKFRFGTNFGGTVQPGAVHDVYGVSFQAEYAWIGIRQVDRDGDQLTFLAQNIQQSFPVSSFAVSPTCSS >OB03G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13778724:13779149:1 gene:OB03G29400 transcript:OB03G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQHLLAAVIAVAAIVYAASATTVYDVLKQNNLPQGLVPQGVQSYTLQPNGHLEATLPALCDFSVTVAGKQFKFRFATSFGGTVQAGSIHDVFGVSFQAEYAWIGIRQVDRNGDQLIFQAQNIKQSFPISGFAASPRCS >OB03G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13788533:13788958:1 gene:OB03G29410 transcript:OB03G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQHLLAAVIAVAAIVHAASATTVYDVLRQNNLPQGLVPQGVQSYTLQPNGHLEAMLPALCDFSVTVAGKQFKFRFATSFGGTVQAGSIHDVYGVSFQAEYAWIGIRQVDRNGDQLIFQAQNIKQAFPVSGFAASPRCS >OB03G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13790427:13790852:1 gene:OB03G29420 transcript:OB03G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQHLLAAVIAVAAIVYAASATTVYDVLKQNNLPQGLVPQGVQSYTLQPNGHLEATLPALCDFSVTVAGKQFKFRFATSFGGTVQAGSIHDVYGVSFQAEYAWIGIRQVDRNGDQLIFQAQNIKQSFPVSGFAASPRCT >OB03G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13795989:13796300:1 gene:OB03G29430 transcript:OB03G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRTGTTHLSLSAAGSGGGRYYASASDPKGGKKSKYKATFIHLFWMKILLPRVPLGLLGFFCRISPSILSLFFFILSLFFVCVESAAAATGGEIVMAHSPSYR >OB03G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13805568:13810517:-1 gene:OB03G29440 transcript:OB03G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LPG8] MDRPYPPPALLVLLSLLLCLCLLHGQRAASAAVVTSGTGDGSELWGYVQVRSKAHLFWWYYRSPRRASSPGKAWPTVLWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYAEDPSALVTTDWQAATDATELLRALAGEIPALPTSPLFLVAESYGGKYAATLGVSLAKAIRSGDLKLTLGGVALGDSWISPEDFTLAYTPLLLDVSRLDDNAGDEASKKAATVKEQIAAGQLADSQRSWADLLGFIDKKSASVDMYNFLLDSGMDPVSASSSSPSSPSPASNAQLTKYSTYLGTQAADSGSNTINGIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLNNFLSLPRQPLQCGSSRGTKAFVRSYKNLHFYWILGAGHFVPVDQPCIALSMISSITQSPAS >OB03G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13816364:13817602:-1 gene:OB03G29450 transcript:OB03G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPRTASRRAGRTILLSLLLCLLHGVRAASAAVVVTSRTGDGSELWVTSKSARRRTCSCGITGARGVRVAGEAMVDCPLAAGRLGSVRRQARQLLRGRPYGCQPEAARLDMAAEGRPHLR >OB03G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13821952:13823487:1 gene:OB03G29460 transcript:OB03G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3LPH0] MAAASYTGRRTCESCTTRAMAGCVVGEPASASAPGQRVTVLSIDGGGIRGLIPGTILAFLEARLQELDGPGARLADYFDCIAGTSTGGLITAMLAAPGADGRPLFAARDINRFYLDNGPRIFPQKRCGITAAVAALTRPRYNGKFLQSKIRRMLGETRVCDTLTNVVIPTFDVRLLQPTIFSTYDAKSMPLKNALLSDVCISTSAAPTYLPAHCFQTSDEATGKTREFNLIDGGVAANNPTMVAMTQITKEMIAKDKEELYPVKPANCGKFLVLSIGTGSTSDQGMYTARQCSRWGIVRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLHGAVATVDTATKENMRELVGIGERMLEQRVSRVNVETGRYVEVPGAGSNGDALRGFARQLSEERKARLGRRSAASGAESNLSCKC >OB03G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13826595:13832550:1 gene:OB03G29470 transcript:OB03G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G46280) TAIR;Acc:AT5G46280] MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIVGMDDLRNHSLDLARRMIRSPGEYMQPASDAVTEVARNLDPKFLKEGQRVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGGTLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISKRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDAEIDRQISEHVARMHRYCTDDGGARSLDKTGYAEEDDGDVNAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDASANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGASGGNADGHGSSGNDPMDVDVGNASNDQDVPAERIAAFEAILGQHVLANHIDQISIDEIEQTVNREAAAPYSRRQVEFILERMQDANRIMIRDGIVRII >OB03G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13844448:13847103:-1 gene:OB03G29480 transcript:OB03G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHHHLHRLLPFLHAVSHLASPRGPRGRAREWGRSGMGAMSAAGGSWAAEDDVPLKNAVEVKPHPFPHSDLLFIIIYSDRLEAHLRRCAIRYPVHHAAAGVPVPAIVARVAAASWADNRWEDVVGEWKYGGVVIYSTVHEHQELQGVDSRSNERVRRSGLVFLVTYRYITMVQANTVEAGLEG >OB03G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13846112:13846639:1 gene:OB03G29490 transcript:OB03G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRHGGAIGSAAFVAANATIHLLPRVCGEGVIPGLSQQSAPLKLLLWALVKPLRREDLRAKVVLITGASSGIGEK >OB03G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13850298:13850639:-1 gene:OB03G29500 transcript:OB03G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNTTSTYTYALILQALLFSQLLQASEGRPFPDDGSFISVVPIRRYLLSHGNGAVPNGIMVEGAVSPSSEIHGDNGPMVGVDGVRPTNPGHSPGIGHAVVNKNGPAGKKL >OB03G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13858864:13859181:1 gene:OB03G29510 transcript:OB03G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKSSSKCISKAFLFALIVLASRVMLSYGIPLEVHRRDLLSHAAADTTMKGMMEGKITPTEGEGFAGSTDDVRPTNPGHSPGIGHAFTNNKFGRKLLLAAEDV >OB03G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13872185:13872763:1 gene:OB03G29520 transcript:OB03G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMTSNAPLLFVLGLLAILSSDHIVCSQGARPAALMAAAARQRHLLSSTAAPHHSDDEAAASGGKGATMTRGVVSPDXXXXGGGGGGVVGAVEDARPTTPGHSPGAGHAFVNRNGVGRRLLL >OB03G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13877244:13881196:-1 gene:OB03G29530 transcript:OB03G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKGEDKPAAGEDWCYQFGNKDTLIVKPSKKSPLALRMVVFAMTMICGIFICTMCMKQLGSDSWSRIVKIEVAEQSCNKSAIAPSEVHFVHYPQPITYSRSECMCTPVRYFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNMTSIIKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADVADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAFEANILARYKPRLNTTSLIRSLKQADDYTRDAIENLKSINHITIYYEDLIQNRTKVYDVLDFLKVPKKKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >OB03G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13895426:13898659:-1 gene:OB03G29540 transcript:OB03G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) TAIR;Acc:AT2G26550] MPLAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVAEEMRFVAMRLRNPKRTTLEDEPGTGAEEVEEEEVGDGVGESASASEEEEDEDYDGDAVIEEEEEEEEGAGLEGEWMPSMEGFVKYLVDSKLVFDTVERIVAESTDVAYVHFRKSGLERSARIAKDLEWFREQGIAIPEPSTPGSTYATYLTELAESNPPAFLSHYYNIYFAHTTGGVAVGNKICKKIFEGRELEFYKWDTDVELLLKDARERLNELSKHWSRKDRNLCLKEAAKCFQYLGRIVRLIIL >OB03G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13903807:13907860:1 gene:OB03G29550 transcript:OB03G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVEKPDILFGEANKSKKGEDFTFVVPRCHRRPAPAEGDAEGTGAAAGASGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTRAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDSNEEEVERITASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVIDILPPEKLSPPLKKHGKGVIKALFHRRPSDELAEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPAGNMFKLHDCAVCQLEMKPGEGISVHGNVPRHSRVDPWGGPFLCSSCQLKKEAMEGKQHLTNSQSAVQPVLK >OB03G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13908204:13908583:-1 gene:OB03G29560 transcript:OB03G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVITLKIPSMKHRAIINLPPAEFKKWLMKFDINNDGRISGAELREAIKGFGCSAWWKSIVALHQADKDRNGYIDELEIENLVTFAQKVLGIKVTTWH >OB03G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13911736:13914611:-1 gene:OB03G29570 transcript:OB03G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNSNGHGIARIQNGEEPSSVPVENPDSPLETRKGLPHNLTENTEGSKAPQVHAVPSAQESDDPLKDKTDLPTSTSKAEVNNISENGSTNENAIPDELKPKQDKRDHEENIAATTNIKTETETRPESPYRALVDTAAPFESVREAVTKFGGIVDWKAYRSQTLERHRVMQLELDKAQQEIPQFKQDSEAAEMAKLQVVEELERTRRLVEELKHKLERAEIDMDQAKQDSELAQLRAQEMEQGIDDEASVIAQTQMTVAKERHQKAVEELKLVKDEMESTHERHTVLASERDIAAKRAEEAVSAAKETEKRVEELTLELIAIKESLESAHAAHHEAEEHRLGAALAKEQDCLSWEKELQQAQEELQQLNMQLVSKTDVQSKLDENMRMLQSLGTELAAYMGNKLNEEAGVVEDDGSDEAKEISRSIKRALASIRRELEGVRGNIEKAKDETNLIRAIAESLRSELDKEKASLVTLHQQEGMASITVSSLEADLNRTKEDIEMVHKKEVETREKMVELPKILQQAAQEADDAKVAAHSAQEELRKAKEDAEQTKAAAATVETRLHAVLKEIEASKASEKLALVAAQALQESEETSSVEDSPRTITLPISEYHSLSKRVYEAEELANERVVVALTQIELAKESETRTLERVHEAAKEMHQKKDALEIALERAERAKEGKLGAEQELRKWRAELEQRRKAAKHVANPWSASPVRLPEQKVSYQEDDEPKSPMSNNSMDDFVTVTDQKSRKKKTLFPQMSNLLSRKAQT >OB03G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13930539:13939317:1 gene:OB03G29580 transcript:OB03G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGMRPQVTPSRPAEPLASSGSTGAGAHVSAEKAQRNDLGPLPIVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEHAVPGRDLYAGMREPYKKEPFGKDLVASNKEGGQDTLWRSPISIQQDREQTDGRPLSAGRGTITQSSYHESMNGAASKDSWNTSRDSGMRAYGLNGAEPYGNGRAGETPGERYGDLSNNWYKGNSFQNSFVSKVQSFSGNKGVLNNEPPTKFGREKRLTGTPMKPLIEDGGFDSITAVNLSAIKKKKEATKPVDFHDPVRESFEAELDRILRLQEQERQRVIEEQARAREIVRKQEEERERLIREEEERQRLMEEEARHAAWLAEQERLEAAKKAEEQRIAREEEKKKATMEEERRREGARKKLLELEARIARRQAESNTRDGNIASCINDGLLPGALKDKDVLQSANTDDSHDFDRMGERINTSASSESSSNNRYNDAVPRVHTLRDGHSSFVDREHAHFSGRTSFQDQESAHYSPRRENFTARRGSYSKKDSYDGFATVTVRPSSRGRTNDSPWASEDYRHGRVPRWDAPRENDRFDKQTDFDTDFFSSDRFGDSAWLPSSSHESPNGLKGEKMFQSSEDSEFPFTRPRYSMRQPRVPPPPAVVRSMIGSSTQHANSSFMESGLRGSSSREEHSTVSEYARVYQEASHQHGTSVEGVALDEQQSGDRENTILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVMSDIDHAASTLDAANTSRINTSSTVSHLEDDEWPSGNNEDRQKQDEYDEESNSYQEDEINEGDDENLDLDDEFVPSSHVELEPVILGFDEGVQVEIPPNPELELISMKNTHSGVMEQQVGSGSVCPSDFVTEAEKALQNLTLDQINALTVETNGEPSNSLVASVPGSKLPQTPSTDPVAPPASVVNGRSEVPVNLQFGLFSGPSLIPTPVPAIQIGSIQMPINLHNQINPSLSQMHPSPAPLFQFGQLRYVRPIAQNVQPVSQPMPSIHSSMPAPYMLNQYASSGLPNEINQHIHQNIPSAKHPFLFQHSDSQNLNTPAINQMVDAEGFHSLLDRSSKPESHRNHDISLKRNYKPTSNNRESSQVNSDAKVVSGTKTPGAVPGGRGRKYGYAVKEPSTRSASSVVDHSNKDSRGFQRRTRRNIRRTECRVRENVDKHQIQDEPFSHSEQNEKPYPNGTSREISLRNANRREGEKSFRVNETSDLTAVTSSTCANYYSKTERSTHKAPSYERSHGGNKKSRAGAIPEEDVNASSQAGVARVVRQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRIAKAPRKQHQVSLHSTSSPNLNKGTVSLAEPVKNVSLDSLMAVEGRVIDPAETSVTLKGDKASMTPIGPPLVNTESHTNYYAKKPIQSHPSSDVVNSSAAVKLVAGLSADNNKTMPISSPFNMGAWDNSQLNQQVMPLTQTQLEEAMKPGKFEQASSGFSLEPINASSPTVASEKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVNRTITSGLGPPGSSRPDMKIDRTLAGDSNSTAILFDKEMGAAKESSPNSNDVEAEAEAEAAASAVAVAAISTDEIVGSGADAMTASASDNKSFGNKDLAGLTSGGAGQSSTDEPLSVALPADLSVDTPSMSLWHPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFTGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPSVVGVSQSDPSNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDGTAAPQFVHNIQVDNKASTSNRFQEPSASVVQADNSKSSPQFTNEIGLVEQPASSSSNGQTVQPSFARAGMISNEVPTSAKVMGRSNTPNVNPGVAAGVTSNSNGSQVASMPSKPHQSSSSSGQQYQHQANSQDRRPRVAQKTGANNEWHRRSGYQGRPQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >OB03G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13940335:13943712:-1 gene:OB03G29590 transcript:OB03G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPGLADITSAGSSNGWTDEKHMLYISSLEESFVTQLYDGKVNSKAVFCRSSSVWGHGMCNENRTDNIVAQEFWGLDEVDGAASRASQTKHIGSTSCYGHQEDSKSYFMGDDASTTEPRQERISYRAKRNSHGLCSASSFHWHGQSSSWITELSDQNFSDEETEIRREQTVACSNKRLKHAPPGTNMVMELVSFPLVASPGNANLEGYYSGSSSDLDIGLLYAETASPSWKSQGQRTWSV >OB03G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13959030:13965513:1 gene:OB03G29600 transcript:OB03G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Splicing factor, suppressor of white apricot (InterPro:IPR019147); /.../72 Blast hits to 5479 proteins in 321 species: Archae - 0; Bacteria - 89; Metazoa - 5155; Fungi - 712; Plants - 341; Viruses - 39; Other Eukaryotes - 1336 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G36980) TAIR;Acc:AT4G36980] MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQQKSEEEEELEEFVNFESYRDLIKHRRRGFSDEVGLQHVVQELEAKSILPFGIEKPQSSQPPTIKGTYSQVGYSYKGDGNEESEDLNTDDEDEEEEDDEDDKDFSSDDSSDERMEIIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSFGRASYRDPYREQRRSPSYESYSRGRRSRSRSRSHSPSYSRRHGHGTHGESNYRSKPKTPRVEYITEFGGSDDTRELKVAGISPPSSPIRVGIPNRSSGGEILEALHSDPASSLSLEQEKSTKNLKVPTSTSSALVKLSKCATGGPAKTVQIEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKRELERQRQEKLAEVGRYRGRSRSPSRSPPRRRHYSRSRSRSRSPRWYHSSRSHSRSPSRSPRYRSRSRH >OB03G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13995195:13997444:-1 gene:OB03G29610 transcript:OB03G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33990) TAIR;Acc:AT4G33990] MRAFAFAALPRGVEAVLVTSGQLRRLDPHLQAPPLLLANTLISAFSGASLPRLALPLLRHLLSCARPLRPDAFTFPPLVRASAGPASAAQLHACALRLGLVPTSVFVSGALVHAYLRFGSVREAYRAFDEMPDRDVAAWNAMVSGLCRNARAGEAVGLFGRMVGEGVAGDAVTVSSVLPMCALLGDRALALVMHLYAVKHGLDDELFVCNAMIDVYGKLGILEEARKVFDGMTSRDLVTWNSIISGHEQGGQVASAVEMFHGMRDSEVSPDVLTLVSLASAIAQCGDKCGGRSVHCYMIRRGWDVGDIIAGNAIVDMYAKLSEIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLSSDAIHAYNHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTRMHALSVKTGLNLDLYVGTCLIDLYAKCGKLDEAMLLFEQMARRSTGPWNAVIAGLGVHGHGAKALSLFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRDFFNMMQTSYGIMPVAKHYACMVDMLGRSGQLDDAFEFIQNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLTELDPENVGYYVLMSNMYAKAGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMDPHPQHEEIQRELHDLLAKMRSLGYVPDSSFVLQDVEDDEKEQILNSHSERLAIAFGIINTPPGTPLHIYKNLRVCGDCHNATKFISKITEREIIVRDSNRFHHFKDGYCSCGDFW >OB03G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:13999953:14000531:-1 gene:OB03G29620 transcript:OB03G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGGGGMFPAATRGRSLELPPYMREQPQPQPQERRAMDARTMQLFPGGVTSPSSSTQERGRPEVQKAATTAPLTIVYGGQVLVFEHYTAEAAERLIQRTQQLVAAAGGGNAVAVNPPEPMSTPVSRLSGSGGSSICMPIARKASLQRFLQKRKHK >OB03G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14002530:14003656:1 gene:OB03G29630 transcript:OB03G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQESDQRLNTEVDINENVAVEENLQHSSENIDGNENIDPLIFTFDPRAWDSLDNKERDILIEKGPMRDLNLEFPLDSLDRHFSYAYYSRKLSNGELFKSNDTKTFLAHDGMNNWKHLSVRLRQHENNVEHMRHMNTWYDLRLRLSKNKTIDDELQREIAKEKERWKQVLIRIVSSVKFLAKYNLAFQGSKEKLYEDSNSNFLGTIEMMGEFDPVMQEHIRRIQNSEIHHHYLGHNIQNELISLMAHAMKSVILRIIKDANHTPKVEEFFLGFLKVDDTSGLGLFNELMSALGFLDLNVADVRGQGYDNGSNMKGKHQGVQK >OB03G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14006903:14013508:1 gene:OB03G29640 transcript:OB03G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAEDLSPAVRKLGRHFRLTEVHIWDDWYADGADVSHRSWRSVDTDSAGCQTDKIQNKPAKQTDEGHSFVEDLELVNLMGSLGLPVSFRTSKEKKNTPNKVKKNGRKVSYEAENTLIYDDSRTCTGTKEIESISQLMVLVEQTNLCSSSRTAAGYNEICKGDVEEMDKDILCANEQEESGCGDLCSAKVLSGSKAENNCEHETSQFHANMSNPVKADSPVRQNQTAGVVVQLNKEMLGPNSVDNESSISSAEICLKGGLSTIKDQLSGETPSTSPDINGLDHETCLSSAEPCPIDNNPTQNSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPHGLEDFASHSSTYVPEGLNELGSQNKSIPAQEHDQAGGDKHLDGQGQDCYSELSNLSDILDEERIDQCMVTFTNEAYHTDSIQSDSSMSEISEMKLEVARIKKKKRVRRSKSYHLCQDLAGNISNDIAKYWTQRYSLFSLFDSGIKMDEEGWFSVTPELIAKHHASRVGASIVIDCFTGVGGNAIHFATKCKHVIAIDIDPQKIDCAQHNATVYGVNDHIDFITGDFIHMSPHLKGETAFMSPPWGGPDYAKVDVYDITMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDGSDASDTNPPNPEYHT >OB03G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14016836:14023576:1 gene:OB03G29650 transcript:OB03G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53710) TAIR;Acc:AT1G53710] MQSVTRLTLLLCAAWAAALLYGEMAAYWAARLSCSWPSSPTSSSTSPSDNAKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVILPFKPDVLLFLGDYFDGGPYMSNEEWHESLSRFNHIFSMNEHITNPNIPIYYLSGNHDIGYSAFHKIHPEVITRYEKEFGKRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIKTLSSGNKSNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSSAAMDQGITYQNYLSKETSDLLLSLLKPVVVLSGHDHDQCTIAHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLPANSTDIEHEVLTNLCFLPKQTHIYIWYIFQFVVTILLLILWPTNGLRSLPYVNAFVSFMRSVAAELFSRTKEKDDEEDGDYEMVWDAEGSMHLVKKAVAKTPGSSSESKATGRGSVVARATARRHQLEPDSSVLVEMSSEMTPEDGGKVPRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >OB03G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14028101:14032230:1 gene:OB03G29660 transcript:OB03G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKAELGMEDLDLELEAGAGSPSPSPSPLTASSKMQALDFEHIGSLAAVAESLSPGGKWRRALTSVRVVILQAKINVLLPFGPLAVMLHYLPGKHQGWVFLFSLIGITPLAERLGYATEQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHPSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEEVSEEEEDEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPNSSDNK >OB03G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14033250:14036156:1 gene:OB03G29670 transcript:OB03G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10580) TAIR;Acc:AT1G10580] MDLLQSSYTPDEEEGDEERPLSSPDASPLRLPSKSAAPSVDDTALALSSAAASTSRPLDPSLHLVPFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYASDPSGLHIVGDSHPPAAEPDTVYNLAPSEHKRRRLQSKADDADQEPLPPEAKNPASDEWILRNKQSPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVAKSTFHGKEERDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGSKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD >OB03G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14037296:14038777:1 gene:OB03G29680 transcript:OB03G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G20870) TAIR;Acc:AT5G20870] MGSRAAVQAVVWAVAVLFFRARPVEAGLAANWGTRALHPLPGDVTVRLLRDNGFDKAKLFEADPSALRALGHTGIQVMVGLPNELLASVSSTVAAAEQWVLQNVSAYISKYGVDIRSVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLGRQVHVTVPLNADVYESLDGRPSSGDFRPDISGLMVSLVRFLLDNGGFLTINIYPFLSLYADPNFPVDYAYFPAPGSPPSQASVQDGSVLYTNVFDANYDTLIAALDKHGLGAISVVVGEIGWPTDGDKNANVANAQRFNQGLFDRIIAGKGTPRRPQMPDVYVFALLDEDAKSIDPGNFERHWGVFNYDGSPKYNLRLAGGRNIAPARGVRYLSRQWCVLRPDASPANPAIPGAVGYACQYADCTSLGSGSSCGNLDVRSNISYAFNQFFQGANQMKNACNFNNLSVITTSDPSQGTCRFEIMIDTGRHDLTVASSAAAATAAALAAVLLLALTGILIL >OB03G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14039344:14044900:-1 gene:OB03G29690 transcript:OB03G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASLGWFRQASGMASVSFTGAVMLLMATAFLGVTADTTSDDVTALNTFYTTLNSPSPLTNWVAQNGDPCGQSWLGITCSGSRVITIKLPSMGLQGTLGYNMNILTALVELDVSNNNLGGSDIPYNLPPNLERLNLENNSFSGTLPYSISQMSSLKYLNIGHNQLSGINVMFNQLTNLTTLDLSDNKFSGTLPDSLSSVTSLTMLHLQNNQFTGTIDVLSDLPLTDLNVENNQLSGAIPDKLKGISNLQISGNSFSNSPAPSSPPSTASQSPPRQPSKGNPGNSNKNPSIASNGDDGSDGGDGGGGGRSSKVGGGAVAGIVISVVVLGAMVAFFLIKRKSMRDQRGGDPEKNEPLTLRPIASGKFNQLRAISIVSPTAKEGLQKTVSMNLKPPSKIDLHKSFDESDPTDKPVKAKKIDLSSIRATAYTVADLQMATESFSANNLIGEGSFGRVYRAEISDNKVLAVKKINLSALLSNPSDFFIHLVAKISKLNHPNLSELDGYCSEHGQYLLAYEFYMNGSLHDFLHLSDGYNKTLSWNNRVKIALGSARALEYMHETCSPSVIHKNFKSSNILLDNELNPHVSDCGFAELIPNQELQESDENSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWATPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVLSCDSNSRRYDDTGDYAF >OB03G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14081212:14082153:-1 gene:OB03G29700 transcript:OB03G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIICTLASDDASMAQGRRVEEEEEEDDEREWKCSSSHQEPGCGFVAGRDALLSPPGRPISTSRSDCAGAGGGVAPPQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPVPLGAQEEVEAHDERDKDRRRRRQHRRRSRHRRPRHRHRIDPSAPPSSQPRVSWQAADCCKFSLSRARARRIWA >OB03G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14090887:14092543:1 gene:OB03G29710 transcript:OB03G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3LPJ5] MADGDGPGSTKLIIGGLAVLLLVVMVIGTVAVVLMDHAADDGDLNKRGMSSTTRTVDLFCAPTDYHATCKDTLARDLQVWLSAVITFQGSCVDMFPKGPIKDQVRDTMEKAREISSNAIAIIQQGAAFAAMLDLHASESHPDGNEVNVDVDDSHHDENRHLEEFSSSSSSSPVPTWVGNEERKLLAAGEEFVSGLTPNVTVAKDGSGDFTNISAALDALPETYIGRYVIYVKQGVYEETVNITNRMANITMYGDGSKMSIITGSKSIADGTRMWKTATFAVDGDRFTAMRLGIRNTAGEEKQQALALRVKSDRSIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAVFQRCVLLVKPPLPGKPAVVTAHGRRDRQQTTGFVVQHCQVLADEQFSGNRSSSSSSPSPSPAPPLGYLASGGRGRSTRGR >OB03G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14092546:14092803:1 gene:OB03G29720 transcript:OB03G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3LPJ6] MESVLEGFVHSQGYMPWEGRDNLGEAFYGEYGNTGAGANSTGRQELHGFHVLSKDKAMQFTVGHFLHGADWIPESGTPVTLGFFG >OB03G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14095028:14096104:1 gene:OB03G29730 transcript:OB03G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFLLHLHWLAGLAAPAVAAGAIGVLLPLPTRQTGPRNTPAGTTFQWYFSRMVKLFFLFYRDKDDLLQGSGIRKHDVSRNFYIIIA >OB03G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14101512:14102228:-1 gene:OB03G29740 transcript:OB03G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPHLTMAVFDSSRDSWEGPVDLSRRPEDVLPDTPSQGGAGADDTVYFLSKSGDVVATNMQRSASKQYSSVVAPSDRDDDGHTVAYFLSHSGTVVACDTARRTFAELPRILPVYFEYSIDVVACNGAAYAVVLAEYLDTASLRVWEFAGGAWRQVGAMPPAMSHAFYGRKADINCVGHGDRLMVCVSSGDGEANGCFMCDVSSNQWEELPKCVNGDGDVNEFLAAFSFEPRLEIAV >OB03G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14115023:14125876:-1 gene:OB03G29750 transcript:OB03G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSILASSGADRNIDEGDRIRVCNFCFKQWEQEKIAALKQMMPALSPSLSEASLFSTKSTITINSVSTTAGSYSTGHYQHVAHASSISPPKISEDKASHNMLDTHVPDKSMSTVSIKDETSSVQYGYYTNRSDDEEEECAAYCSDRQVQHHQHDDHYYGPDEFDELESSYNPTAVEENVISKEVSPHAADQGFPSTLPATKVEDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVGDTEQCRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKIVDYLKPASNCFSTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMTVAKIVAQKPNLLLVEHSVSRYAQDLLLEKNISLVLNIKRPLLHRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSDNTTKRMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLKSPLTVALPDNRSTADNSISTVPGFIFNVPNSQQPTDGFDHLITGSVRSTGPDGIAVPPLSSECSTKIQTTYSHSSGTWSANGGTWNSKTIDELEKVTATTTSGPTCGIPMNHSHIYSTIEKNWYSGDYHGYGSTRPDGKTMMANLTNSNSSCHHGTSEASTNISNSANLKEPFDVSIDLANVENVTNNNVVMVQPVLSTVVQNQETNHGHESTSNKEEIMASDHQSILVSLSIRCVWKGTICQRSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVYCYTHQQGSLTISVKKLTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPHKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHHHSDKKAHGSSLNMECNNHIADLEGMLRKEKSEFEGYLNKVLKKETHKVQPDILEINRLRRQLLFHSYLWDQRLISAARSDRNRQELCSFKPTDKEIVQSIDIIAEENATENPQNEISTTETSSNDPKNVEYLQHSIDGGNSPGVDPCNCCPSHDQQIAISESDSIQRGNKTPLYSSVSVNVELAPLESDILARRTLSEGQFPSLLDVSNALDAKWTGENDPVTSSATLPDCVTSSEDSEEHVTDTTPSYASVLLNKLGDSAEDQSNWIGMPFLQFYRSLNKQWSRSYRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGVYDDEPTSIISYALASHEYHLQLSDELESDTADNSLSVIDSRSASLTESVEETTSELLRSFVSTDDSILYISGSKNPSPSDPLAYRKVSHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAREYFGYVSESIVTGSPTCIAKILGIYQVKSLKGGKEVRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNTVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKKRFRKAMSTYFLVVPDQWSPPAVVPSKQVAESNQDDD >OB03G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14131047:14131694:1 gene:OB03G29760 transcript:OB03G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDYDIINFLSKLKSSFVRRQWLQLITPLVNVNTRVLCAELGGEDRAVGRRLRRPRPRPHGGAAAAAERXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGGRAPAARRGGGVRLRAHGARDGGARHDGALRRPGQPRHLAQARHRPPHHRALRLRRRDAVQPPRARRRRRRRILRPRRRAPGGHRRLRQPGHPF >OB03G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14138973:14139654:1 gene:OB03G29770 transcript:OB03G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVKLGAWGGNHGGSEHDLTVAPQRLEGFSVRYGKVIDCISFSYLDKDKALHSVGPWGGAGGVSTETITFGPSEYVKEVHGSLGPIGDFTDVVTSLKFVTNHRTIGPFGHGTGTPFVIPVLNNASIVGFFARAGLYLESIGIYVHPF >OB03G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14139411:14139587:-1 gene:OB03G29780 transcript:OB03G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLSTGMTKGVPVPWPKGPMVRWLVTNLSEVTTSVKSPIGPSEPCTSFTYSDGPKVI >OB03G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14145713:14146222:-1 gene:OB03G29790 transcript:OB03G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMSMTYTREIKGLSPLITASKLADLPTSIMPPPPPTTTTLCVLAFLAVAVTGAAGRAPTPPRPPWRPPRSPAATPRSGASAPSTTSSGRAPTRRRRTWAARRASSCRPTWRTRRRYGPCSPSCSPTGTTRGARWCWTAASTSPAAAARRSAPWWAARGGSGGRGGTR >OB03G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14147884:14148093:-1 gene:OB03G29800 transcript:OB03G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGDSSGGSVCKASVTAHYENYDYRHENPLKIIIIMLHGVMVLVISTIISTLIAGAASTRVGSTVVE >OB03G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14156008:14169148:1 gene:OB03G29810 transcript:OB03G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRERASICEQKLPTMQPYPSPSDISSQGSCNRYPQNHIDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWQELICKTTKLIRRPHMIKYHLPGEQMNMLISITGDDDLRNMIDECIVLQRTKAWLTVYLFADNDDERHACSVLGSSSNTDKEAQFIALVNGLVRPDEELRIQSLRSASINDLGQLVLDINEERLPTNRTNKASRYLKSKLSQNTITVQPKTSREKLENIPPSSKTAFTNQGYKAPSNESNPPCTARKTNSAHLGSSVPSESTSIGTVEAGAHAVSRHHRGLQQTATNMSRKSNQATEDQVKGSPRKQRLIPVENRAEKVMSSNSNNKNPMAQIPVYQKSASLSGVSEKTVNQPINSDNNKMKLRTYSTQEEAKHSLSASHNKTEMSKHSHDFGTHLRCQDDMNKITNLHILEKPITTSSREKQQPAVTCTDILKRNHPPEPTRGETVRSCSSQSSDKTIELQKNILVRYSSERQQERPNSPKPDENLSTARSRSVGADRISPQIITPQQESKGIAAPLIRELEICETKDSEQALPANAVLGRELISNVQIINNVDLEDLREIGSGAFGTVLHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNILALYGVVNNGPGGTLATVTEFMINGSLKKVLHKDKCLDWHKRIMLAMDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMNGTKVSEKVDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNKLRPPVPTSCNLEWRKLMEQCWSTEPEQRPSFTEVAIRLRSMLDASQIVTP >OB03G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14172745:14174991:-1 gene:OB03G29820 transcript:OB03G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFDSKDFQGAKKFALKAQALFPGLEGIVQMITTLDIYLASEVLIAGEKDWYSILSVQTSADDETLKKQYRKLVLQLHPDKNKSVGAEGAFKMVQEAWTVLSDKAKRALYDQNRKLMAFEQKTSQSKKASAAPGASNGFYNFAANAAASKARANKQKAGPTTSAVRQRPPPPRQAPACAPPPAKPPTFWTSCNKCKMNYEYLKVYLNHNLLCPSCREPFLAKEVPMPPTESVHAVHDPNTSGANQNTNASRNFQWGPFSRTAGAASATASSAAAAQAANMVHQTYEKVRREREESQAAARREEALRRKYNPLKRQSNMSENVNLGTGGNSYGKRMRTTGKDAGIGSSSILSGPGANYFGVPGGNISFSTNSGGYNFQGVNGGSSWMPRPPTRISLFKTFAQFDIRGILMEKAKTDLKGKLKEMQSKTLQAAANGKKNNKQAVKQNGGEDETLASDDSTAHQDAHVDPETNVSVDSADADADDENGDPLSYNVPDPDFHDFDKDRTEESFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFKLKISFLTSRTNGEFGSLNWVSSGFTKTCGDFRICRYETCDVLNMFSHQIKWEKGPRGVIKIYPQKGNIWAVYRNWSSDWDEDTPDKVLHVYDVVEILDDYDEDHGISVIPLVKVTGFRTIFERNQDLNAIKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQEITETPEESDATSEC >OB03G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14188942:14194187:-1 gene:OB03G29830 transcript:OB03G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:J3LPK7] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQPHQIIAEYNNAIPEAEREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEGSTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSHETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESQKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNTRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTRGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVHGVSGFHIDPYQGDKASALLVEFFEKVQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >OB03G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14237999:14240685:1 gene:OB03G29840 transcript:OB03G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:J3LPK8] MAAAGGGGAPRALSQQEKDVQMMLAADVHLGTKNCDFQMERYVFKRRSDGIYIINLGKTWEKLYLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKDQEEEEAAVGPEYAAVEYTAAPTDNWGDQQWGGEVQPPAAPVAPAGEWGAAPAPVAAEGWDAAAAPPPSAAPPAPAAPGWEEGSVPAPTGW >OB03G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14244155:14259131:1 gene:OB03G29850 transcript:OB03G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGAIIMAILKTTIIMILITIIAWSSEADQASQTVLISALQLSLELELEVVALVLSAAAFFFEHIRKIGCMHSLERTTVLSTFFEDPNSLNKVPCPSIYDPAEKYISLIIPAYNEEHRLPEALSETLNYLKQRSAAEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVCALAKKTESSTATSASSSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLIVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS >OB03G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14259866:14260472:-1 gene:OB03G29860 transcript:OB03G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLERIAISSTFFEDPNSLNKVPCPSIYDPAEKYISSIIPAYNEEHRLPEALSETLDYQKQRSATDKSFTYEV >OB03G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14237999:14263180:1 gene:OB03G29870 transcript:OB03G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSSSLHSTMVLTPLLAGTLLVPSPINCKPHSASHAFSSTLTPGRIISQSRSLLLETSQLLHSVVLILPCAMSTLAFGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKDQEEEEAAVGPEYAAVEYTAAPTG >OB03G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14263378:14263632:1 gene:OB03G29880 transcript:OB03G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGHMVHILSFTRAWVHTTYSLNSTENQILRINLDNHYIQIHLQKFNFSGTEVGKYITTFLEGKWDVVRENGKVPFAIIGNL >OB03G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14268424:14268585:1 gene:OB03G29890 transcript:OB03G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPDRHHVRQMLCMLHAVGVNCYQHAWLAVMLGLRGRSKNHWERLMFDMVLKI >OB03G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14268740:14274698:1 gene:OB03G29900 transcript:OB03G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:J3LPL4] MAVALHPEMGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSNYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEIMNELDKSRCGVLIGSAMGGMKVFSDAIEALRISYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGETDVMLCGGSDAPIIPIGLGGFVACRALSQRNSDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADAGVAKEDINYVNAHATSTQMGDLKEFEALNRCFGHNPQLRVNSTKSMTGHLLGAAGGIEAVAAVQAIRTGWVHPNINLDNPEKNVDVNILVGSEKERCDVKVALSNSFGFGGHNSSVLFAPFK >OB03G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14284411:14286716:-1 gene:OB03G29910 transcript:OB03G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKLLVPTTTTTSSSSSKNNANSSKQEEPHLSGAYIRSLVKQLSSSSSTARSKDHTTITMGASAKPQPEDPLAATTPPPPQPQPQPQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQQQQQLLQLQQQQQQEVVAAHQLVVQEEAQHASATRASAAMGYASFSDYLYNSPLTHFSAPATCGYSSPLPYHTPAAAAAAAPMAGVGSGGHGLVDHLQLQVPLPAQPLGLNLSLHGFNSVVIADDIDGGGKTPPLGAEAADPSLHRVLDDEEMAALCSIGEQHDIEWSDTVNLVTSAWWSKLLDSVGGSDGAGAAPEGQATATAEDELTVTCTPDWLSDSLGHQITKERSSDVLGMHFSDQCYGYHSGSYGEDVSLPRMDLGEIEGWDAEWFS >OB03G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14319260:14319469:1 gene:OB03G29920 transcript:OB03G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGERAFCSMECRYHAIVSDEFQEDKDRKRRSSSAPRDVPTKVAAAEIADSPCSGGGQIFFTTGIVAA >OB03G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14329406:14331889:-1 gene:OB03G29930 transcript:OB03G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSLERASSFAMACSLLSRYVRQNGAAAGELGLGVRDEADAQKGKETMELFPQNAGFGSEAAVKEAPDAREQEKQQLTIFYGGKVLVFNDFPAEKAKDLMQMASKSASTTQNSALLPSSTTAATVTDSTKVSAVPAQANPLPVAQANAQKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDASLVKKEVQESQPWLGLGPQVGAPDLSLRQESSQ >OB03G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14355838:14356280:1 gene:OB03G29940 transcript:OB03G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAENGGSGGARWCAVTGGRGFMARHLVAALLRSGEWRVRVTALAPAVAMDAAEEDGILGAALRDGRAVYAAADVCDIGQLTQGYLRCSLIGPYQTANTRLKTDFDRARRIAVVR >OB03G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14360730:14372425:1 gene:OB03G29950 transcript:OB03G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMGFYEDSNGFNRLDSSLILKIKTMRSCTKPTKFPVLSHLKFDLPWQGFFQGVDAVFHTAAANPDINNFQLHYKVNVEGTKNVINACVKCNVKRLIHTSSSGVVFDGIHGLFDIDESVPYPDTFPDAYAQSKAEAEKLVTKANGKYELMTCSIRPGSIFGPGGVMVPYLRSYGRMMVIIGNGKNCDDFVYVENVVHGHICAEKTLSTQEGSRICGGKAYFITNMEPMNLWDFIFMFLEELGYKRKFKIRIPLAIIKPIAHIIEWSYNKVFHVYGMHQPKLLTPARLKYISLDRTFSCRKAVEQLGYKPLVSLKDGIKITINSAIGPNI >OB03G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14391857:14397473:1 gene:OB03G29960 transcript:OB03G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3LPM0] MEAAAPAGGGGGGGGRWCVVTGGRGFAARHLVAMLLRSGEWRVRVADLPPAIALDRDEEEGVLGAALREGRAAYASADLRDKGQVARAFDGAEVVFHMAAPDSSINNFHLHYSVNVDGTKNVIDACIHCKVKRLIYTSSPSVVFDGIHGIFDADESMPYPDKFNDSYSETKADAEKLVMRANGRDALLTCCIRPSSIFGPGDKLLVPSLAAAARAGKSKYIIGDGNNCYDFTYVENVAYGHVCAEKTLSSEDGAKRAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAHVVEWTYKTFSRYGMRVPQLTPSRIRLLSCNRTFSSSRAKDQLGYEPIVSLKDGLKRTIESYPHLQAQNQRSISKASIFLGNGNFAKTVLWEDKKQTMTVLLLLAVIYYHLFTCGYTFITAMSKLFSLTALFLFIHGILPSNLFGHKVEKLEPSNFHISQVEAHHIAHSVSSTWNSLVGVLKSLCRGNDWPLFLKVVSSLLVVSILSSMSSQSAFKIGISMVFLGFKAYEKWEDTIDNLVGDACSVVMHFGPSQKSSRQKHADN >OB03G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14398814:14405507:1 gene:OB03G29970 transcript:OB03G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLVLSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANIPTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRVFKN >OB03G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14407599:14407754:1 gene:OB03G29980 transcript:OB03G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFWLLVGFGSVCICLSYSKRWPLAASHFVTSGAMMQTRTMGGDVICHTS >OB03G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14414324:14416210:1 gene:OB03G29990 transcript:OB03G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSAYFAKMPWLAVPFSDSKALADLNERFKVMGIPHLVILDAEFGEVYTEDGVELVSEYGAEAYPFTAERINELKEQEKAAKENQTIHSVLGTPTRDYLISNGGDKVPISELEGKYVGLCFVVDGYGPVVQFTSLLAKIYEKLREVGEKFEVVAVSVDGDEESFSESFAAMPWLAIPHGDKVCEKLARYFELRGLPTLVLIGPDGKTLNNDIAEIIDEHGVDAWEGFPFTADKLGVLAEKAKARAEAQTLESLLVTDGLDFVLGKDGVKVPVSELKGKTVLLYFSAQWCGPCQAFLPKLVAEYTKIKEKHSDFEIVFLSSDQDQSSYDEFFSSMPWLALPLGDPREKQLGKTFKIRGIPSLVAIGPDGRTVTGDAKAPLTVHGADAFPFTGERLQELEKKMEETAKAWPEKVKHELHEEHELVLTRMATVYGCDGCGEMGGSWSYRCKECDLDLHTRCALEKKGDEEAAAGYVCEGDVCRKA >OB03G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14417357:14418300:1 gene:OB03G30000 transcript:OB03G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYRLQAYRSDKLIIETIHSQAFVSFQELEPALDTFSFSMIPLSLFNSEVYRVPSRRF >OB03G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14427919:14431106:1 gene:OB03G30010 transcript:OB03G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGWVKISSIEASTVAIYFSASWCPPCRRFTPKLIEAYNELVSQGKSFEVVFVSSDRELEAFNNYFAKMPWLAVPFSDSEGLAGLKKRFKVRGIPHLVILDAKSGEVYTKDGVELVDDYGIEAYPFTTEKINELKEQEKAAKDNQTIHSVLGTPTRDYLISNKGDKVPISDLEGKYVGLCFVVNGYGPVVQFTSLLTKIYEKLKEVGEKFEVVAVSLDGDEESFSESFAAMPWLAIPHGDKVCEKLARYFELRGLPTLVLIGPDGKTLNNDVAEIIDEHGTDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDEAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYEEFFSGMPWLALPLGDERKQQLGKIFKIRGIPSLVAIGPDGKTLTKDAKTPLMAHGADAFPFTEEKLQELEKKIEEMAKGWPEKLKHGLHEEHELVLTRCSTYGCDACSEAGNSWSYSCKECDFDLHPECALREEEKKGEESAEAAPAGYVCEGDVCRKV >OB03G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14436271:14437114:1 gene:OB03G30020 transcript:OB03G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRHHFLNYKELKRRVNAVVVSSSPDPDPAFLALLHAEVDKFNAFFLEREEDFVIRQRELQELIQNSAAAPAEMGRVRKEVVDLHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIAGVLQQPFYATELLSKLVRDCEAMMDAVFPLVPAADAAATREADRDALAAAEAQQSIFRNTVAALLTMQEVRSSSSTYGHFSLPPMTPLPDFDWLQQSVQPPPPSPLIPT >OB03G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14447122:14451207:1 gene:OB03G30030 transcript:OB03G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMAVFTALPSAPPASYVNVTRWYDHISALLRSSGVTAEGEGVKVESSACSVSPSADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRSVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDHFYTEPANEYIQSCDIVAFNKIWLPKPLEGLLTPLDGGVVTVETGANLNLEFLNLS >OB03G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14453668:14455375:-1 gene:OB03G30040 transcript:OB03G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGRAAAAAAAESRGLAAGNASTVAAVVVAAGKERSHQYQQVVPEDLAGDDRRLWSSSTPAPSHLFSIGTLGNDQLPEEEEEDLPEFSVEEVRKLQDALARLLLRARSKKSEAVAAVATAAGGAGADSGLPLDKFLNCPSSLELDRRAQRDHGGGLSPDTKMILTKAKDLLVDGNTTTTSNIKNKSFKFLLKKVCVCHGGCFVPAPSLKDPTESTMEKFLRTVLGRKFSARPSSSPAARAYFLEGKKARGDRRRRRHRGDKDDDDDEEENKGGESCKWDRTDSEYTRKMYREYTVQLVLGSWDKYSRNRKDNQCTIVNGSN >OB03G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14457588:14458534:1 gene:OB03G30050 transcript:OB03G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:J3LPM9] MSINFTEILCTGSPFDGIPATAGLQVLGHADADAEEDRAPKDVLIFTTQGSITEIIPFLKTWTHLPRAIGFMLLYTKLADVLSKEALFYPVILPFIAFFSVVSYLLYPMRDTIHPSALADRLFAGDGDDYSGSNDYGAPAAAAGSN >OB03G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14477358:14480624:1 gene:OB03G30060 transcript:OB03G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MAFLRAEDWIRSMAFGLDGSSSSSLHTTVVLVGAAFVLPALLVSLWLILQHLRSYSNPAEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGEWQVFSLLETKKREELSEQLLESQDKAQVHNRSRARNFFCDPNALGENLYTIIKFGLVQYMILKSLCAFLSFILELFGAYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGVGIAIICHIGILPKEGKVQNAIQDFLICIEMAIAAVAHAFVFSVEPYHHTPLPEHEEITCEESKLEVKVDSTNDNNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVGKIQDTFHHISLKPKGKKEPEVKVEEHITENTVDDEPVTVDAEVEVEKTAQDNSREGESLVVNAEAPIERTENESRK >OB03G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14479830:14488068:-1 gene:OB03G30070 transcript:OB03G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGGAPSPRPLAPMDRSLDVTSLLPSAPMAYAVVVDPAAGDSPDVPSYQPHVYGRLDPPALIPLHVREVDLAVDCAYAGSPAAEVTVRARWWVQCIARSRDCHCRLVVPMGDQGSILGAEVTVGKRSYKTHVIEVEDQGSVNIAKTESGGLLKSQFFSLTIPQVGGGEDIFATIRWTQKLLYDNGQFSVDIPFRFPQYVNPLPKVFMKKEKIQLTLNSGVSKEVLLKGSSHPLKERSRQGEKLSFFHEAVVENWSNKDFTFSYSVYSGDLSGGMLVQPSTLRDYDDRDMFFIFLLPGNNQKRKIFRRAAVFIIDTSGSMEGKPLQSVKNAMYTALSELVHGDYFNIITFNDELHSFSSCLEQVSDKTIENAKGWMDSNFIAEGGTDIMHPLSEAIALLSSSNDALPQIFLVTDGSVEDERNICLTVKEQLATRGSKSPRVSTFGLGSYCNHYFLRMLASIGRGHYDAAFDTGSIEGRMLQWFQKASNTTVTNISIDATKYIQEFEVDSECIQDISANYPVCVSGRYQGKLPEVLIAKGYLADRSEISIELRVQHIKDIPLDKVLAKQQMDLLTAKAWLYENDQLKRKVAKLSIQNSIPSEYTHTVLLQTILEKIDQAQQGKQKPKKNTSPNEQLATSLNGLSLGFGDVAATRENLSAGFGDTKPPERFEMFEKAVGCCSRLADCCCCMCFINACSKMNDRCAIALAQFCGALSCLACVECCSLCCGGSD >OB03G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14501430:14502014:1 gene:OB03G30080 transcript:OB03G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEEEEEAVVVVAAACECCGFTQECTAPYMAGVRARYGGRWICGLCRDAVGEELGRADPPITXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRNCSGALCLDVL >OB03G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14516799:14521338:-1 gene:OB03G30090 transcript:OB03G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRPKSPPAAPDPCGRHRLHLAVDALHREIGFLEDEINSIEGIHAASRCCREVDEFVGRTPDPFITISSEKRNHENSHHLLKKFRCLCRASACCLSYLSWICCCGAGACCCCSSSSSFHLKRPSCSGCSCC >OB03G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14531266:14536091:-1 gene:OB03G30100 transcript:OB03G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSKKKERSGWGSLFGGCLGGGGAAGSRKVRPGPPRKRAVPSSSSAAAQQQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGTVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMPKGSLENHLFKKFPGMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQNLVEHMRSWLKDPQKLTRVMDPALQGQYPAAAAHRVAMVAYRCLSGNPKNRPDMCQVVADLEPLLNVIGDGDIVVSAVDGEPALHVAAPKEEKERLAMAPKEEKERTTARRRQSKATRSPQKVARRRPGQSEEFWVWHMAGEVRA >OB03G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14553342:14553782:1 gene:OB03G30110 transcript:OB03G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPAVNVERLWSMVPADKAAEAGAGKAPVLDVSQFGYFKVLGKGLLPADRPIVVKAKLISKVAEKKIKAAGGAVLLTA >OB03G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14558194:14562791:1 gene:OB03G30120 transcript:OB03G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein associated factor 21kDa subunit [Source:Projected from Arabidopsis thaliana (AT1G54140) TAIR;Acc:AT1G54140] MGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPREVLLELAQSRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQYEETEDDNEGANLTPTATSNLTNPNPNNLQEQRVSEQQQLLHQQHAQRVSFQLNAVAAAAAKRRGTMDQLNMG >OB03G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14566232:14567814:-1 gene:OB03G30130 transcript:OB03G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMNYHEQERQGLAAATSSSNNGFDWFDESMSSFLAAGVDLGGGDAWWAVSPAAQQDDIGSVVAQTLSPPPAAPAATSPLAYASPGIASPAASSPSEWPTLLESLTRQPGGRAPPSVRLTVAGPEATSPAPFSASPPGYDFSPHLLRYAKSINLELDIGRAATLDAVQGFCTPGEALVVCLQFRLGHTATEERRDILRKVIELKPELVVLSELDSGGDGSASGEFAARLELLWRFLESTSAAFKGKDVDERRLLENEAGTSLATDVAGEGREGWRERMAAAGFEEASFGAEAVESAKSLLRKYDGGWDMATVVSAAGAGAVALRWKGQPVSFCSLWRPTP >OB03G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14576642:14578043:-1 gene:OB03G30140 transcript:OB03G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNNVCGIIAGLSQSSIQDKALIQPHHELIIRLRKEGNLIDYYMALKALQVPSSFARPINRSSIGCCSSRRSLRPKIASGGLAARIAGESSSSSPAVECRAGSNLHGCVDEGAVGSRQEQAAEIPIVLFPAVVFPGATVQLQAFEFRYRIMVHTLLEEGLTRFGVVYSGGGGDGVAAGEVGCVAHGVGCERRVGGRFFLTCVGGDRFRVVGAVRTKPYVVARIQPLTDALSGGGGDMLRRLAEGVEEHLRNVAMLSDKLGWSRPLRTTSRSSSSPSSPASLSFAVARELVEARQEQQALLRLDDAAARLAREGRYLERRSRYLAAVAAIKDALAHLYCNDK >OB03G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14582804:14589811:-1 gene:OB03G30150 transcript:OB03G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGRSSRSHLTFRPKKSATSGGKGLQLKKHIDTTLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVSVLYGTLMEFCTAATCPIMSAGPKYEYRWADGVKVKKPVQVSAPKYVEYLMDWVETQLDDEAIFPQKIGAPFPPNFGEVIRTIFKRLFRVYAHIYHSHFQMVVKLKEEAHLSTCFKHFVLFTWEFSLIDRAELAPLKDLIEPIILRY >OB03G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14594879:14597589:-1 gene:OB03G30160 transcript:OB03G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCAKEGLNRGAWTATEDDVLVSYIAEHGEGKWGALPNRAGLKRCGKSCRLRWLNYLRPGIKRGNISGDEEELILRLHTLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSKRATRRRITTPPPMPAATSSASRRRSPEPRAVVAAPQAVLLQQQQQELAGVDDDDLLPAVCIDLDLDDIELGLDGFLSPWNGGGHDAAGAGPTTPIGYDLAGGGEAVDLEALLLGQLEAGEDVDGDRHHQQQQQQEVPSSSPGNEDDYLELAPWL >OB03G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14603724:14605653:-1 gene:OB03G30170 transcript:OB03G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGATTLSGALKFREEKFRDIQKQRLNQPIDQQNENHSPENFYFVGSTPMTKTISPTPSSRRTPSSGSNAKNKETIDVDSNDARTEKRLNWTKEEDVIGVQTK >OB03G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14606526:14610623:1 gene:OB03G30180 transcript:OB03G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSRLLRKSSTEVCKAPPGDRAKQDLSELFTPLAHEEEREINNILCSSDQSKQIIVMHGPSSIEITKEIIRCLRPGCWLNDEVINLYLELLKERAERDSKKFLKCHFFNTFFYNKLACGEAGYDYQSVRRWTARLGYGLLECEKIFVPIHRGVHWCLAIINMKDKTFQYLDSLGCVDHGVLRILARYIMDELNDKSNIQVDTSSWLEISDYIPLQQNRWDCGMFMLKFIDFHSRGVGLYFNQENMEYFRKRTAKEILRLRVD >OB03G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14615110:14615292:1 gene:OB03G30190 transcript:OB03G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSQLSYHPLDQLQLYSSKRKNKKPILIVLHLYYSAPAASNLISLQCCQSVICVSLALV >OB03G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14621589:14621774:-1 gene:OB03G30200 transcript:OB03G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRGSSPVLYHCILPSKGLHILHGFVKHSWEMQLARGHWKIPLLSEKTHDKYKFCVHHVT >OB03G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14623012:14623362:-1 gene:OB03G30210 transcript:OB03G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTLSAAAAMAADGKVLQAFQTSFVQVQRLLDQNRALISEINQNHESKVPGDLSRNVGLIRELNDNIRRVVDLYADLSSLFAASSGGGPAASEGGSVGTVRHAGHKRVRSAHLD >OB03G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14631162:14634775:-1 gene:OB03G30220 transcript:OB03G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72640) TAIR;Acc:AT1G72640] MPAPSALPPRRCAFTTPQPSAPTPPLLLPSAARLSRRSRPRPRTTPPRQLVAAVRAKSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGVAKDEEDETSDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDKRSTEEAFGTYVECMVGNLEDKSFTKKALRGVRAVICPADDGFFSDLMDFKGVQHIVLLSQLSVYRGSGGLQAIMNSNLRKLAERDEEVVLASNIPSTIIRTGSMQSTPGGERGFDFTEGAAAKGRMSKEDAATICVEALEYIPQTAFIFEVANGDEKVTDWKAWFAERMNTATS >OB03G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14635217:14637979:1 gene:OB03G30230 transcript:OB03G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVVRGNSVVMIEALEPVAKSQ >OB03G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14637641:14637850:1 gene:OB03G30240 transcript:OB03G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRWMMCGIIYHSIDLFEETKGSIEPSENSVFPCMQGFFIQNAGLCVSALRCLCAVRSCRKCFLLNKF >OB03G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14641587:14641754:-1 gene:OB03G30250 transcript:OB03G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVTDVGEATSSYTGNLHAQRGEGKRVTNKARIHRYEGEEDLFIKLKLRYWKN >OB03G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14642132:14642287:-1 gene:OB03G30260 transcript:OB03G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAVAAHEDGDQEVLAVDFDAYSEHDASLIFFTRFVADKEAFILLKLFKIF >OB03G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14644683:14651388:1 gene:OB03G30270 transcript:OB03G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTSHQGPNDHRVQKEMQAEWARPGRVLNRNTNRGSYFRNSLPGVTKEFRVVKDNRQKVVGETIPESFHNEDPSDEQNTSNSGDKSSTEKLPAQRHLVTQSPSGRGAAQAGNGCNSAAQAHDKMVKPSNDQTVGQSDGMIATMVGSHAVLGKGNQNRVLAVPSGTNNFTGELCCSSSDPIHVPSPGSRSAGTFGAIKREVGVVGARQRPSDNATTNTSTSNSSVKMPVNTGAKDNASNGQQSRFSVVSLKNSRPTSSTHLSSRPPSSSQYHSKPNTPVGHPKVNPQLEWKPKSVSPRPVNHLDNVAHSSAASSVDGNQADVAGLSKKLSQVANTSEDEHVIIPAHLRVPDSEIKHLIFGNFECDVESKAFPLTPDASANREFNAHSRSTDDVPPTEQTDVVGSCGMLPTSDSVVSEHQHPLTEDVEVLIPGVIGEHKINEMISTQVSHSSPQPQHQDSSAVHDFKEYEPDSRYELSFITKSVDSEAAQIIPYPSEVTSLHAANFNQLSIPVSTPQPVPQMYQQHMHVPQYPNCLPYRHVFSPYYGPPMAVQNYSSNPAFSQLPNASNYLVMPNGTTQLAPNGMKYGQPHQCKQVFPGGPAGYGGFPNQNGYPVNTGVIGGTGTVEDANMGKYKDTNLYALNPQAEAGDVWIQAPSDIPVMPPTPFYNMMGQPVSPHTAYLPAHSGHAPFSPVQHPAHLQFPAMPHGIQPTTMTMVQNPQPMVHQPAGNISIDMAAMASGAQVGAFQQNQLSHLGWAPPNF >OB03G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14651990:14652172:1 gene:OB03G30280 transcript:OB03G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSQPIESLKRPQEAHPKPSFSELLLRNTASLISKFQQGGWAARLHRKATGPRLDVRLFIE >OB03G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14658109:14663613:1 gene:OB03G30290 transcript:OB03G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLSQEMENHPVQFMGKANYDFLSGNGYPIKQLVHRNSDGDSSPTKSGQSHQEASAASDSSLNGQHTSAQSVFVPSDINHNDSYEKGDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQISGAANSRMPLPVVPSAEEPIFVNAKQYHAILRRRQTRAKLEAQNKMVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLELQQQNPPPVSPQATTGGGRTSGGAMILGKNLCPENSTSRSPSTPTGSEISSISFGGSMLAHHQEHISFSSADHRPTMNQNHHVPIMR >OB03G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14665825:14665995:-1 gene:OB03G30300 transcript:OB03G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAEHEAAAIAAKIEAHRAAEAEANK >OB03G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14668802:14673508:1 gene:OB03G30310 transcript:OB03G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAVESWADGDEWEDEAAAASSSEDDHRERREHVAEVTIRITKRQLQELVDKRAAAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRPTARDPPPPPRRVRARFPRRPPPPPLTAANPACLLGQREREIEDRF >OB03G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14674985:14680183:1 gene:OB03G30320 transcript:OB03G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3LPQ6] MPPMAISTPLAVRASPTGLLSRRRGEAKSCVRLQGLQFAAPGISSRLDDRIHCHSSLRQNTIVASENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLATVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQSLDMVQQWTERDRFMSSSEAMDFGLLDALLETRY >OB03G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14684647:14687465:1 gene:OB03G30330 transcript:OB03G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAAGAAASSPAAMLVPATKITIPSSFAAEAAPPPFGKGRYKVWAFAAIALLALWSMSAASVSLRWSSGRFLLAAAASEDLDAPLRDDLDSLEMEEREKLVGRMWDMYTRTGDEVRLPRFWQEAFEAAYEELSGDDMQVRDAAISEIARMSAHRLELEQPVNEEENTENTRSNEHGSPKLKK >OB03G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14692263:14695250:-1 gene:OB03G30340 transcript:OB03G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 11 precursor [Source:Projected from Arabidopsis thaliana (AT1G55910) TAIR;Acc:AT1G55910] MGGGRGARGGGGSLHLLLLVVFVWLCATATTAWAHGGGGRGADSDADGGGEGEGKPDLRARGLVAAKLWCMLVVFAGTLAGGVSPYFMRWNEAFLVLGTQFAGGVFLGTAMMHFLSDANETFRDLLPDSGYPYAFMLACAGYVLTMFADCAISSVVARGAAAAATTAAAGGLEEGKLSSTNGNVSDPPAADAAARHGSSPDGHSVASMLRNASTLGDSVLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPFLSCFGYAFAFAVSSPVGVAIGIVIDATTQGPVADWIFSVSMGIATGIFIYVSINHLLNKGYRPQSPVAADTPAGRLLAVVLGVAVIAVVMIWDT >OB03G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14699383:14702394:1 gene:OB03G30350 transcript:OB03G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRLNRPTASDSDDAASDDWDNDGFVIPSLSVEESDLGDWEVARVSHPQPTPKATKDTEKIYLGPHGAPPSRAKKQEDIAVAATGYRDKSKVKEADQKLLGTGRDNNKGGNLHRYNNVGQHGKDPYKRST >OB03G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14710453:14712691:1 gene:OB03G30360 transcript:OB03G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKMSTIVMMVDLECDRCYKKIRRVLCKLQDKASIKAISYDEKNSTVTVAGPFDAEEVSDRLCSSAGKVITDIRVVNGSKTKPGAGAAKAHANKPAKDGGGGKPEITKTKHVKFEMADDIDGHDHHHHHENRKPKVVTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEPVRGAAAVLRRGARRRLQRHVTNQTLKAMVEHIFHIHLLL >OB03G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14718187:14719007:-1 gene:OB03G30370 transcript:OB03G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIEVRFGDGAARFGCPMAGFGACSRIRRGARIGGTVEEARQWRATACARDVACSNGGMGVMVKITSCLGRAVGTQSSFSC >OB03G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14718829:14719416:1 gene:OB03G30380 transcript:OB03G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLHATSRAHAVARHCRASSTVPPMRAPRRIREHAPKPAMGHPNLAAPSPNLTSIGAIAESDLYRRFNPSAAGLRAPPGAATSLRAPLPPPGSRRVAIGRSWTQGAGAVPRPCCRLREDRRRPDLALVPCHTHVVDATPPRLPPVGPSAPPRRARAEDREGPPAAVLAPSRLCRRPPPGRGGEIIRELSPSQI >OB03G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14721475:14722305:-1 gene:OB03G30390 transcript:OB03G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAIVAAAVLSTLLLPLFLSQRPRAAVVHLSLPPAALPASATALLEACSRLLDLLTRRNIILLCNAILLIVLRDAGLLACPAARRDGDHASRSAAAAASSPVAATSAAAPPHRRPPAAPPSDIVVWRPARVAVVDVLHVDAGNDDGDRLTRHRRPKGREPATAPYAAPPPAPEENQSYHCLGDHVSAGAIVVVEDANDSPVFDSDHHHSTGEDTHDDAEAHDQFDDDDKEARDHCGGGDDHDVDEMNRRFEEFIINTKRKMQLESLQLQLIMKV >OB03G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14734950:14735126:-1 gene:OB03G30400 transcript:OB03G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKHFPCIYTRNPIISQIIDGLITSVQKFTLVTYITNRIQCTQHVFYIYIYIYILQK >OB03G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14742410:14746668:1 gene:OB03G30410 transcript:OB03G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRALLAGLARARGSLVDIGRSASSSRPSYLASLSGHYKVPVENRWFSSTGLPPHMVVGMPALSPTMIEVGDVICEIETDKATLEFESLEEGYMAKILAPEGSKDVQVGQPIAVTVEELEDIKNIPADASFGAEQKEQSTASETQNVGTNASKESSVITRISPAAKLLIKEHHLDQSALKATGPRGTLLKGDVLAALKSGVSSSATKENNVPSAPSSQPSHDSRPQSVTAPQKHDTYEDIPNSQIRKVFFI >OB03G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14758285:14761148:1 gene:OB03G30420 transcript:OB03G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LPR6] MAATGLRFRPGTFLYPRRAKPHHHCSPQRVHDARGRRCLPMAKSTNGRPQISASFRDVAIDGKASGDGPPEQGGATVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEKFLKRCFYHSGQYNSEEGFSELDRKLKEKESGKVPNRLFYLSIPPNLFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRKLRLEDVVVGQYKGHAKGGKTYPAYVDDPTVPAGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRRSSGTELDKAANELVLRVQPDEAIYLKINNKVPGLGMRLDSSELNLLYSERYRGEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEGRRVAPELYPYGSRGPVGAHYLAANYNVRWGDISSDT >OB03G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14759471:14760274:-1 gene:OB03G30430 transcript:OB03G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRARICSRCNLAEQLRAKKMSNVGRPASTLGAEIFREYELHIPDVVPRPQWLEHKIGETKHRE >OB03G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14765030:14770686:1 gene:OB03G30440 transcript:OB03G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSGGVGEDRFKISEFKEEILQLGALACDGEETSRTELVEKLNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFLKVDYSTTDSANPDKINDFKEETLLLAGLAFHEEEEKSRTELLEKLSKSNKDTLVELCRSFDIPGSKANKKDELVIVMMEFLKEHYSGTDDTDPDKKTKKRRRKSEGTNLSGGKPLKKKKLDGTALEIHGEEEATGVKCEENITQYSECGLEDNKNELGNHEKGRFPKEKSNPEPSERISGHVSENFDGAALTEVQILSNEQSLSKTPSAGLVSTVGDRTDVKTSGKKNASITKKKTTPKTDRKEKSCGKQMYRGDVKPQKLAAVPNRDELRQAVFLILDSADFATMTFGDVVKEVDKYFGKDLFEKKPLIRSLIEEELFRLGEEAEKKELEEEEAAEAKARGEQASKEWTKVGVDSGIDKAEERKVAKDGKSKDAAKNEHCHSVEKGLEGGISVEVAAENINKSDAAEISQDRRCEHDRENENNGGDFTMDDNAVQDANSGDHVESSRDGKTERTKKINNGEAIDGSEDGKTEASNSGENADTRNDSNKNGDKSALDVDHRGAEESDGKKNGEHVACVEDDKAHEAGNTEGENVISHDTEDGKRKEAMENASTEQTLTGAGDDGKTGDAEHNTDTEADVDSCADGTAEKGKTNSDAVTL >OB03G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14771236:14771925:1 gene:OB03G30450 transcript:OB03G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKHYLHKFREIEGERAAASTGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGRRRWRRRRRVVVVGAGSARQSLTRHDTSLHAHRLVQ >OB03G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14778935:14782159:1 gene:OB03G30460 transcript:OB03G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSCSSWDSDDEYQKFIQKMNPPRVVIDNTSCANATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGLKIMDELVLDEIVQYIHKCLRADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVTDRKTGLAISDTVRLGRIKERLSFVFKGSNRSQDTKTTVTMGITHTDRRLHQMMLEDRDYERYDKDRTSVNPTPMVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMKYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTKDDKAVNTFYVRDAAGSSAVDLKTLEAIREEIGQTVLQVKGHPDHRKSTPQESPSRFLFSSLFRPRSLYSLGLIRS >OB03G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14783803:14783970:-1 gene:OB03G30470 transcript:OB03G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LINNRERTAKLHSNFSNLSIGENDTKKLARSVPAHSPGAAQRMTGSPVCHGRSKI >OB03G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14791898:14796227:-1 gene:OB03G30480 transcript:OB03G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 11 [Source:Projected from Arabidopsis thaliana (AT1G18580) TAIR;Acc:AT1G18580] MPPVRSAPGRRAPEFRRSSRRRLPGWIWWLVGIFLVVGLMLFVLHHKQREHFRPPAIDKGSEIEVAHHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAINQEEAHPIITQLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAVVQTAEFGQLAAESVPKNLHCLTVKLTEEWLQNPKLRSRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHFGAMSTWFLINDFKGCTVEVRCIDEFTWLDKAASPLVRQLSEMETQGFYYGDSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPNTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIDSAAVVHYNGNMKPWLKLAIRRYKYIWDRYVNFTHPYVRECLLH >OB03G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14814309:14815046:-1 gene:OB03G30490 transcript:OB03G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPAVAAGAVRAARAFATEMLPAAVTREAVGASMAWLLRHLWSWLLAARGVAVENLPVAAAVAKGAAWSAVEVSVPWLQMAAEFLRGFYLWLLAATAVAVENLPDMAKNAVEYAIEASQPWLGVAAELLYGLYGWLLSAIAVAVESLPGVAKNAVEASQPWFAVAAKLLQGLYGWLVTASAVAVEMLPDVAKNAAESAAETSQPWLAMASKFLQDLCGRLVTAGDKAVENLPGAATASMERGA >OB03G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14817948:14818247:1 gene:OB03G30500 transcript:OB03G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVTPATAATGPTVRSSQKYRSGFAANAARSIHSPPPPGAVIVAHGSITRAAMIRPAMATLAPNGISQPSTVSMNRKPRATALLVERMVASQAFLTAFLTF >OB03G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14825830:14827760:-1 gene:OB03G30510 transcript:OB03G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGSEIAGFAVGALLVCATVAAQRVDGLIATSQRTSLSMCKRCGDLRVVACSQCKGTGSVRKGGMFTSGMLDDIYESLGAETKTSNLVPCIKCQSKGRLLCPDCSKVR >OB03G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14845144:14845860:1 gene:OB03G30520 transcript:OB03G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRRQHGSSGELDVFGATRYFAGLATARRIAAVVREPEDMIIQVKATSTSSDDDGNTNTEEGHHAGHGQLAAAGVAKTNRSKLAAFLGFSLQVSPEATSFRKKSAPESSVTTPTYADHQPKLAATSSPAASSRHGDDDLGAAAMWEDRRLQGVRVVRCGGCDEERWVVRCGGGWGGGGPPPPPHHDSHEKAKAILDAAASRSRYGSQVQGDQEVVGDGSDWESDSSSDLFELDLDST >OB03G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14848456:14848878:-1 gene:OB03G30530 transcript:OB03G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNSNSCVRDEPGAELGVGEARRRQRRSRVRVGSSTCVHRHQATVTVDLGASEVDMVQRRAAAADLVRRRGAEDLAQSRAAAAARHRAASAWRRGRRIASGLLHGCTSASRRCTRSSPRLHELSLDLSSGPGSGARFA >OB03G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14863874:14866540:-1 gene:OB03G30540 transcript:OB03G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MRGAFAAPVVLLVAGLFGAATANVGDSCSTAADCGAGQWCFDCEPEFAGSSCVRSAAANPFQLANNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLKNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQNGKDWPLVSEMVAGNERLLVFTSIRSKQATEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKITACLQHSKSLTDMVNTCYGTSGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDIKACSGGSGVVCSS >OB03G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14869550:14870399:1 gene:OB03G30550 transcript:OB03G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMKSDDIWMTSNVDRKNNTDNSRQSKNNCAAIRATTSMAKSSIKDGSERKDNIKIVAGVVAQSKPGSLSQTALNLLFTKTLEYMKISRSELSPSSAPFHGVIPRQSATPLGQITLPVTFGAKDNYHTENICFEVADFETAYRTIIGRPTLAKFMAVSHYTYLMIKIPGPHGVIVLRSDIKQAFTCKADRCKVAQSVEIQTSLNDDVPAKKAVKITIEDKKADST >OB03G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14880515:14882837:1 gene:OB03G30560 transcript:OB03G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 17-1 [Source:Projected from Arabidopsis thaliana (AT1G20350) TAIR;Acc:AT1G20350] MTAVERDPCPDRILDDVGGAFAMGAVGGTAYHFIKGARNSPNGHRLSGGSQAARMSAPRTAGGFAAWGCLYSAFDCAFVYARRKEDPWNSILAGGATGAVLSLRHGMLASGKAALFGAAILALIEGSGIMITRTMATLPPLPPEDSHNHPAHAAFPWMQPPQPVAVEEVSPPESSAIGWIRGLFGRKEKPAAGDRKSDMLESFDTTSPPMPSFDYRDISDLQIWM >OB03G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14893132:14894763:1 gene:OB03G30570 transcript:OB03G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQYRCSLLALLLAVTCSSLAVAYDPLDPKGNITIKWDVISWTPDGYVAMVTMNNYQMYRQIMAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGALSSFQVSVGLAGTTNKTVKLPTNFTLAGPGPGYTCGPATIVPSTVYLTPDRRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCANTSGGHSAGTECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDDKTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPLAPPRSAGAAVAASAFLVALLLVA >OB03G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14894723:14898540:-1 gene:OB03G30580 transcript:OB03G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARLLLGAAAIALLAGVSSLLLVPFAEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNYQKYRHIQAPGWNLGWAWAKREIIWSMVGGQATEQGDCSAFKANIPHCCKRDPKVVDLVPGAPYNMQFGNCCKGGVLTSWVQDPVNAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRSPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNLPHLESVVNGPGKSSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKSLNPYGVINDTAMMWGIKYYNDLLMVAGPDGNVQSELLFRKDPSTFTFDKGWGFPRRIYFNGESCVMPSPDLYPWLPPSSTPRFRTVFLLMSFLVCGTLAFLHNHLVLDKNCGKC >OB03G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14898704:14899105:-1 gene:OB03G30590 transcript:OB03G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRNQNQNQKGSRQAAACNPPHALQFSPTAAHKPTPPMANGRSAFSLSPLPTPSSLSSRSLTDRAKAEQSQQQQLAPLQPLLSLSLSSLSSSCVQGSLTRSPRRAGVPLSLLSLVAQIQRPGPVPREHPRF >OB03G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14899872:14900102:1 gene:OB03G30600 transcript:OB03G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKICMSCAYVIQNIQDMHVLCTRQRGIGPRVRLSPISMKLYRPNRIPAYSFSFNISILSIIYNCTTSFFIWLYL >OB03G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14913561:14913998:1 gene:OB03G30610 transcript:OB03G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEFLTENPGEDHFSYFWPPLRLEMWLSSASCSILCVLLKIFTAPRRARRPPRSAEERRGGGPTARRASLKKAAAQLVGPPSPGGRRSRAAWPRAPAAGGKARWTTFFWASVFSLSLSEKAVLQDLDYGRVFVFLKGSLKKYYL >OB03G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14923242:14924960:1 gene:OB03G30620 transcript:OB03G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQENLHVLHTRLADARDARPVKKACGFLRWRSLSCTSVVVLSGEPAAAKAKVLTIIVFDNSTIRSDFAHISTVDIKLSRTRRSRSSSASSSAASAAAAPTPK >OB03G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14924852:14925814:-1 gene:OB03G30630 transcript:OB03G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSSSTRYSELGRVGRGYAWTAAPSGGALTTTAMNLLWERRLLMLPSSTRGGPGGGGGGGPPATTSIVFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDYFGVGAAAADAAELDAEELRERRVRDNLISTVLIL >OB03G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14925606:14925848:1 gene:OB03G30640 transcript:OB03G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAGGPPPPPPPGPPRVELGSISSRRSHNKFIAVVVNAPPEGAAVHAYPLPTLPNSEYLVEEELEVVIKQPGASADAG >OB03G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14926092:14930182:-1 gene:OB03G30650 transcript:OB03G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIVTSYEPKKNSEIRMFESSDEMATDLAEYISQVSEISVKERGYFAIALSGGPLVSFMRKLCEAPYNKTLDWSKWYIFWTDERAVAKNHVDSNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHIASLFPHHPALELKDDWITYITDSPEPPPERITFTLPVINSAANIAVVATGEDKAKAVYFAISDGAEGPDAPSVPARMVQPTDGKLVWFLDKASASFLEAKNDACQDPEY >OB03G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14952489:14953542:-1 gene:OB03G30660 transcript:OB03G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLHVASLDHVMDTVFGTRYDAASQDGAELEAMVKEGYDLLGMFNWGDHLPLLKWLDLQGVRRRCTTLVQRVDVFVRSIIDEHRHRKRSTGANGGADELPGDFVDVLLGLQGEEKLSESDMVAVLWEMIFRGTDTVAILLEWIMARMVLHPDVQAKAQAELDAVVGRGRAVSDTDVANLRYLQHIVKETLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVDLSERLNMSLEMETPLVCKAKPRW >OB03G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14957992:14959844:-1 gene:OB03G30670 transcript:OB03G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGGNEAPAPKPAAAPAPLPAPAAAPAPAAAVAASAPAEKASPAKADATKQIPAGIQGSRSNNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >OB03G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14958460:14959409:1 gene:OB03G30680 transcript:OB03G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTMGVPTSDNKDSGRPSSSGATGHDHSFSYHRTGSPGKSHHQVQHGPWKEPYREKVSSVLPISSVVVVVAPAALNSSWNLLGCISLCR >OB03G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14965019:14965174:1 gene:OB03G30690 transcript:OB03G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQFARLSLGYSWFQHAIQRVGLFGNIYIYAHFPLPETLSRLLYPSSLGT >OB03G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:14967912:14977819:-1 gene:OB03G30700 transcript:OB03G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPAAAAEAHDDGRGQRERFDLGVLVGDLALDEDVASDEDESLEGLRQELEDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQIHDCDNILSQMETLLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAECKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEADAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYTEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFTIGKEPLKSRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNLSLWPRFKMVFDLHLNSLRNANFKTLWEDDVHPHYVMRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAIEDLLVKLAKMFPKPKLQTVFLINNYDLTISILKEAGTEGGKAQLHFEEILKSNIAIYVEELLLEHFSDLIKFVKARPSEDTATSSDKASVSDVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGSALNKDLVSISSILYEIKKYSRTF >OB03G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15010110:15014711:1 gene:OB03G30710 transcript:OB03G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQEASDLDRQMGCMAGVFQIFDRRRLLTARQRGGHRSRGTPPPGHDLPNSNSNVSVQNPSASNITLDKTFSRSMTENSSLSMESSSRASSSSSSRSSFSSTDIINKPVQQQQLSYISEDRFVGKPPRSCSQSVKCGFRDIVKGSINREPHGLAIKTSAKESRKGLHKDSPRPLLISKSSDGTYVIGIDRSTGSVPANSHESSRPPRFSCDDRQLLRPVEVEAQDSKKPPAKLRELPRLSLDSRKESMNPRSRHHTNSGYVRADDNLLDALKHQESPSHQRASSVVAKLMGLEGNLDVHEPSRPPRPVHDTQNDRSSHYHRSSKSQDQSASLQSSHSPVLKTNPSPRVLTEAAPWRQNERAAAGHEAEVRPRSASIYADIQRRLRGLELSECNKELRALRILSTLHKKDSPGQSDSNPQLTAIQKEASEQIIDSEKFQSPIVIMKPARCITKPDASHTSVAPLSRPKGTRRPRQEETSFTRRNENSVSKRNHSPKGSAHSNGDEPVNSARSPRLSSPLSPRLAPKKADSERRSRPLVLPMSPGKKSKETASPRGRLRSRHSQAKSISDDDDVSQMSETKISLAKQIDIGVIDHPNPLNANSSYIHQRNLASAASCEEMPTVLPADKKMIHPQENIPSPVSVLDATFYHERSSPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNECNQIKPENMKALIQKLELLQMLSEEALKTDETFSSVAANKDHQYLHEILSASGLLHNKLNFQMMPHQLRPSSYPINPELFLILEQAKPDGEKLHRRLIFDLANELLAQQMDANHTANSSVPFFQSKKLSGWQLFKDLCAEIDRVQSESSMIRCSEEEDSRLADDAVQGMKEWKSLDSELQGIVLAIEKYIFKDLIDEIVSGEDKEKVHLTQWKLRRQLSFISI >OB03G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15023455:15028712:1 gene:OB03G30720 transcript:OB03G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASVCHRRVRPASAIVACPRPRHRLPTSADVAVCAATPHSRRSVSHASTAFALRCASRTSAQLYQHVSYWDTELFIITDRQQHALGNDSVLLVVARYVPGELEHLEEGIEEKGQTIQIRPGYSAASAWAEPRRRGFGQSSTYNHIAIHAVSMLTCGFIQLLFLLRSRTNVYFSSAMAVQAGNSVTPISPQVISGAFVQQYYHILHETPDQVHRFYQDASIVGRPNSTGVMEYVSTTADINRMILSMDFSNYLTEIETADAQLSHKDGVLIVVTGSLTSEGICRRFAQSFFLAPQESGGYVVLNDIFRFIVERPPVAINQITQENENNQNAASLAETDPNPAEDGMVSDPVAVENNFADGEVTNSTLDGTSVENNATVESPEQMSKEEEPRKTPVAAPTPTSQKDVTKKSYASIVKVMKEVPLTPVAKPKPAPKPVIKTAEASEKPSVKSTQTVEITPNDDNVAENNTSNDEQGYSVFVKSLPYNVTVQMVEEEFKKFGAIKPSGIQVRNNKIDRFCFGFIEFESQQSMKAAIEASPILMGGKEVFVEEKRTTTRVVNGVVITRGDNGNGGGGGRFQSGRGAFRGDNFRGRGGGYANNANYHGGDNFNRRSDLRNRTEFSGRGRGPPPGNGYQQNGFHPARPFQNGNGRFTRVNNSPRQTPVAA >OB03G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15037763:15038476:-1 gene:OB03G30730 transcript:OB03G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRASRARLASGDMSVPKRPPRESPRLSSISSDSPPTALPDPSAVALLSPPPNTPKKSLDAMGAQESNFSNPPRKKKSFTQMLFYSSCMLPTNPESRTENLNKTAQNTASSLFPHQPVLFCAQTGPNHTPSLQSLPPNQPAMNPRGKSRTKQTSTKRNRMADLLHDQWDSTTKASEADKKRRRKRRRRSKRASNQSIIQISAAWARDAIGGRTVAAERRRRRGRRVRLISLLFRH >OB03G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15048261:15050434:1 gene:OB03G30740 transcript:OB03G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSIGSQGSSSAKPQDQGPDGSAVCQSTEARSPSTSDDTNQNSGLPLAASTGKPLNGTATQPPPLRTLGSLTMRTSSTGDIGKIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >OB03G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15049912:15050608:-1 gene:OB03G30750 transcript:OB03G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIVLVNMLCLFSAILVNLLGPSSAARTETGCLSKVLQVTGYNRKPSIFLPLGPSTLEDTIGMSIMIFPMSPVLLVRIVREPRVRSGGGWVAVPFNGFPVDAASGSPLFWLVSSEVDGLLASVDWQTALPSGPEIKLRVLRFLMNRCKHIVDYPFMFHFNISFW >OB03G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15059341:15062940:1 gene:OB03G30760 transcript:OB03G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEHAPSHCLVALVQSPERRGAHEGARDGHAHGHEIRQQGACVAGCPFANLRMRIFPILKGKEMRVGTYLYCRVRTRCRVSSAKGPILTGISLPLQASTDPSARLRLHLFPSSQLSSAGVAGLALTVVSGAGVEAASPAPWASPAPCRHSRRGGEALLQLGLRCSQGPSSPPGASSRPCSSPTIGRRRRRPVYSESPSPISKSPSASGAPIFNRASRVAITDLEIAFGFGGPHIQPGELSAWSEQMARATKLSNEAMARLDWRSSPAFVNLITGLHVSAWDICSRRRVCGRVRDERNPRTQRCQLNDELNSDQPLPKGKEKRKRPYKQTPISRMNRTEPKKTGQRTWTKLLLVAVPTSIYLVAVRRGCEIDGNTGMMEARWCRGIIGLGIAPHSRSGVVDRLAHDLLFGGDGVAHLWDGLQHRVHLFDGTAQDLHELPGLPHAPPSARWLDLAGAVDAHGMHGVADGAVQPHVHHD >OB03G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15062689:15062925:-1 gene:OB03G30770 transcript:OB03G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGAIGNAVHSVRIHRSCQIKPPRRGRGMREAWELMEILCSAVEEVDAVLEAIPKMRDAVAAEEEIVSQAIDDAAP >OB03G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15063869:15064846:1 gene:OB03G30780 transcript:OB03G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVANHIAYSTRPHPIGHWQGINGPVSVVVSPESPHPLSHHTLHTHTQMAVPVPVAEQPGQPVQAAAVAAAAVAEGIRNIGARVAEVKWYAEAMRAALDAAAVLVDEDVSAAEILDADLWDALSHACRQAPIPEATAHAAAKLFARVSSGAPLLPGAIRAAGDFISTVFELCDQAPAAFATGLLSDAIRDLSVAFSLHGNVDVNFLVCAPHLHVRAGDSCDLTWLVWSNQTAWVTRFATEAGGRLSVVAWEAMDAAGLARSHCLVQSPERREHMRKLEMSLLVAIKYVDKAIVALDIVRDAVALKGQILHQVIGNGNAHVPPWP >OB03G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15067463:15068167:1 gene:OB03G30790 transcript:OB03G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIATAQEAAAALATVGDQLELARSIVREARATLDEAAELIHEDIHDTEIVVARAFTFIPKPTLNGRDLAASAKLVASVFSQAPVLPGATPPPMALAASVSAMPPPVTGPLSNNHDRARTLFARCIPYLGNGEDDMWLKFSDRRVDALEYGVEAETRLDGAIVHAQHTVLIHRFYQSESPSEEDRMREAWKLREILPSAIEEVDAALESITNMRNAVAAEEEIVRQAIDDAAP >OB03G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15070313:15071107:1 gene:OB03G30800 transcript:OB03G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEPVEEEAVPAEVAGAIATAQDAAAALVMIGFQLEVARWRVRVARKTLVEAAELVREDIHATKIVVAHAFTVVPTLNGRDPAATLAASAKLVASVFSEKPVLPGAIAAAMDLTAAVSAIPPPVTGPLCDVRDLLRAVSDEHDRARTLFADCISYLGLGQEYATWQEFSHRRRHALTRSVVVDMRLNGAIGNAVHSVRIHRSCQIKPPRRGRGMREAWELMEILCSAVEEVDAVLEAIPKMRDAVAAEEEIVSQAIDDAAP >OB03G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15080580:15083476:-1 gene:OB03G30810 transcript:OB03G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEICGSGHDPGIMARCTQCNAYQHCYCLPVMTYEVPDEWCCCECQTKSNMDPSPSQGGQTIILDNNLCNRVHQASPKIPNKFENAKVKYISCEEAALLNNKEKPPNGRLNFFVRQTNSQACPASTPNVKQSPCRRETRAFSQFPCKSPNVEQSTSRIDSQVPFRKRCAGASQNQADFAGICMKQKGESGIFIYSMFTSREIRGKLDIQVHNEQRENKVVSADKVTVTSQSQDDYRENSKSNSTDTDIGHGSEMNLDNNTSMLVVINSSVEYARRPPPEICWTGCFLAVDGSNCNLGDFKAYFPSKVSSEVLNIIKSLPIKLKLEILPRMDDWPKSFETTPPVYEDIGLFFFTEVGWNDKMQHHLMETSCNFVLRAHINNIKLLIYSSEVLPPDSQWIDGETYLWGVFVDLKSRRKTKRFGSISA >OB03G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15111788:15116287:-1 gene:OB03G30820 transcript:OB03G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT2G03510) TAIR;Acc:AT2G03510] MVNEINSLYLNFSYKQGQIVNRIRFRRSFFLVKGKDGIFDFLMVGAQIFGFVGISFSAPSSILHQVPEGHVGVYWRGGALLETITPPGFHLKLPWITQFEPIQVTLQTDQVRDIPCGTKGGVMISFDKIEVVNRLRKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPGTIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMFLAREKALTDANYYRITREAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRMLRNYLDTTSTQDHLEI >OB03G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15129034:15131103:-1 gene:OB03G30830 transcript:OB03G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAVLVAAVLLLSSTLAASDFCVCRSDQPTAVLQKAIDSSWGRGADCPPILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFDGAATLSSSDPSFSGCTFPSSASAAGTTGTTTTTGTFSPGVGTGTVTGTNGTTGMGSTLSPPGTGNFDGAAAGLLPRADLAIFLAVLFSFLALP >OB03G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15141353:15143803:1 gene:OB03G30840 transcript:OB03G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LPV8] MAPIFSILHALPFLVLLPIIAMSREILPLKSSLSVDDYQSDLLRSRDGTFSCGFRAVYDNAFAFSIWYTNTASETVVWTANRGRPVHARGSAVTLHKGGALVLTDYDGTVVWQSDNNSSDVQQARLLDTGNLVMTSSTGMIVWQSFDSPTDTLLPTQHIVATTKLVSANGFHDPGHYMFHFTDSSVLSLIYDDADVHDIYWPDPDDGLYQSKRNRYNSTRMGVLHGNGDFVSSDFADQQPFSASDRGSGIKRRLTLDPDGNLRLYSLDSSNGDWSVSWVAISQPCNIHGLCGPNGICHYSPAPTCSCPPGFEMNNPGNWTQGCKAIVDTSCSVAQVQSVRFVHLPDTDFWGSDQRHVDKISLHACRNICESDCNCRGFQYVIREGTCFPKSFLFNGRAYPSHRSARNMYLKIAGSTNISGMLVPQSNVLDPRKHSRSCHQMDAKTMVPFPDVHRTSQGETRWSYFYGFAAAIFTLEALFIATAWFFVSRWELGSLEIQAVEQGYMMMAISNFRRYNYKELAKATKKFRYELGRGGSGIVYRGALDDGRAVAVKMLENVRQCEEEFQAELRIIGNINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFDGNILLDWRRRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDKDLEPKIADFGLAKLLSRGGSNQKVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLAESSGEEVHVLLRKLVKLLASTLLNGDEPSWIEEFVDCRLSGQFNHMQVRTMIKLAVACLDDESSKRPTMESILQLLLLADESCSSNVLR >OB03G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15151146:15152630:1 gene:OB03G30850 transcript:OB03G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHSPHRAFITGRTWHPTRSYIVSISGGGRRGPAWQQTSWLLTFFFGMSLIVKFLLDTTEY >OB03G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15156115:15156870:1 gene:OB03G30860 transcript:OB03G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G39250) TAIR;Acc:AT5G39250] MENGLHDEVLKAVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPQKPQHLPVPKLTFEDLVFYIDMWLDGSLIFSRAVSGCILRAGLQGTPPCGIPDVLVAHLTAPDCILMMEVEPKLEITMEPAITVSVLAHRKDTTKMACVINKATFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGTNIIEVFGIELDFCDAARSDTEILWLLDMLDWK >OB03G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15166348:15167707:-1 gene:OB03G30870 transcript:OB03G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFVVAATVPAAKLCCPNHGGSRARHRKLLGLSAHARGRAPPVWTAAAASVTTAPREQAEIARSLNAWVEEHMLPLLTPVDAAWQPHDFLPCSGDAAFAEEVAELRAGAAGMPDEVMVCLVGNMVTEEALPTYQSMGNRSGGVGDDTGVSTLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVEITVHHLLRNGMEMLMPVSPYHNLIYGAFQERATFVSHGHTARLAGQHGDRTLAKICGVIAADERRHEAGYTMASARLFELDPDGMVRALADVMRGKVTMPGQLMSDGRDGSLFARFSAVAQRAGVYTASDYGDLVEHFVRRWRVGELAAGLSGEGRRAQEYLCGLAPKIRRMEELAQRRAARSEPAMARFSWIFDRPVMLG >OB03G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15194981:15195294:1 gene:OB03G30880 transcript:OB03G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSYSCLLLPAMAQLLLLLLVLLAFVSGTIGGREIGQGKCTNNPRQTFCSPGYN >OB03G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15199255:15203310:-1 gene:OB03G30890 transcript:OB03G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKELIKPNNMDDSGSKKVALLFFYNCSMSPLQCNSDAAEEETLADALLAIAAALSSLLSFATFPAISVAQTAPNNGSSTDLQALLCLKLHLSSTATAGSLASWRTRNDSSLDFCSWPGVSCSKTQPSRVAALDLESSGLDGRLPPCVANLTSLTRIHLPNNQLGGQIPPEIGQLTMLSYLNLSSNKFSGMILETLSSPNLRAVDLGRNSLQGDIPPNLSRCSGLEKLNLESNMITGGIPEGLGMLRNLSVLRLADNSLTGKIPLSLGSTNSLVYVQITNNSLTGPVPSALAECSLLQVLDLTKNNLSGEIPPALFNSTSLLRLSLGKNNFDGPIPAFSNVDPPLQYLRLSENNLGGTIPSSLENYSSLRWLLLQGNYFVGSIPVSLGSIPNLQVLDLSYNFELAGTVPATIFNISSLTYLNLAVNNFTGDIPSDAGHTLQSIRSLNFQENQLQGPIPPSLANATNLQYLNLGANAFSGTVPSFGSLANLTTLILASNQLEAGDWSFFSSLTNCTQLNILSLWKNKMQGNLPSSVGSLANSLEVLFMRENKISGTIPAEIGKLRNLRFLRMEHNLFEGNIPGSLGDLTKLGELSLSNNKLSGQIPMSIGKLHQLTELDLQENNLSGPIPRSIGDCKSLNTLNLSYNSLNGSIPKELFSLYSLTRGLDLSHNQLSGQILQEIGNLINVGYLNFSNNRLFGLIPNNLGSCVHLESLHMEGNFLDGRIPNSFINLKSISEIDLSRNNLSGEIPEFFQSFNSLKLLNLSFNNLEGQMPTGGIFQNSSEVFVQGNSMLCSNSPKLHLPLCSGSTSKHRRTSRDLKIVGLSVALALISLSCVILIVFKRRKRTKQSDHLSCKEWKKFSYSDLVKATNGFSSDNLVGSGAYGSVYKGVLESKPHTIAIKVFKLDQLGAPKSFLAECEAFRNTRHRNLVRVISACSTWDHNGNDFKALIVEYMANGNLEGLLYSKMGRTLSLGARISIAADIASALDYLHNRCTPPIVHCDLKPNNILLDDLMGGRLGDFGLSKFLHSYSSSSITSSTSLAGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMLTRKRPTDEMFSNGLSLHTYVGNAFPQQIGEVLDPDIVPNLEDEHMVKQLDCENDATEGALSCITQLVKLGLSCSVETPKDRPTMLDVYAEITRIKQAFLALHG >OB03G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15217221:15218046:-1 gene:OB03G30900 transcript:OB03G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAIVSPSSSSVHPRAPWSTIPSLQVSALLTLGLRGDARDMPGISCSKTQPSRVTALDLESSGLNGQLPPCVANLTSLTRIHLPNNQLGGQIPPEIGQLTMLSYLNLSSNKFSGMILENLSSPNLRTVPASDIPPSLMLRPEIPHANF >OB03G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15218189:15218760:1 gene:OB03G30910 transcript:OB03G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTSMSKETSREALQDGRGARTTSEKSTGNVAMLSRTPLNTATTNGCVDHRQRRYGSRGETQRQPEA >OB03G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15219701:15220834:1 gene:OB03G30920 transcript:OB03G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEEGLEVSAGAIVWSRLSYRNGREGCEAQERGERAATMARSCEEPRIRSAKRCLMTVRLDDASGGGASVASRYP >OB03G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15221284:15221686:-1 gene:OB03G30930 transcript:OB03G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVILKMNVQCSKCATRIRRAIKNMHGVEKVRASPETGLVIVTGTADALVLWWRLWLKIRRSANIVNDGTPEQIPPEGRMTHLAPMTTYAVSLQGCPELRA >OB03G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15223434:15229622:1 gene:OB03G30940 transcript:OB03G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MRARAVGLYKAISRILEDFDAIARTNPNATPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEETTKRENLLSGITNLPVPAQIEKLKARIDMIGSACETAEKVITECRKTYGLGARQGTNLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQYSSLPSHLVEVLPFGDGVYPKNTSTFVPNVVNAQGNPMQQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSTIPQLQDLQGQSQQKLQVPTQQQMQYNQALSQQYQNRQMQAARMQPGMSQSQLNQGNQLRSHIGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSQSLLPQMQTLNQYSLNAGHPQRSHPSQMLTDQMFGMGGTNTTGIMGMQQQQQQFNMQANAQNLQQGMTALQNQTQNPNFAQQRQQNQQ >OB03G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15235728:15236361:-1 gene:OB03G30950 transcript:OB03G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSPLRRWKPFLAAFSSVDAAIEAADPGLLSRAEFRRARERVVEMLSGAEDDAEAEELCLVLDEVMEESLLTLQMAPVTPERLASTDLAQVLGAMRKHESERIRSLATDIVRAWRATVNNDLVRMMAALERIPQSPKRIETGRPNLEAKVMQGSPATSIRRRPQRRRRPREVLQLQLLAVLESRRTTRAQQQSRRNRR >OB03G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15237225:15237467:1 gene:OB03G30960 transcript:OB03G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPGAGQYSCRSCYVDRAKVEEEEMKLEVEMAERMSAIEAYNKKKISKQRKENNDLKNMLIGTLVIILFLLLKVVDNMSPTC >OB03G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15249215:15249421:-1 gene:OB03G30970 transcript:OB03G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPARRSPGTLTGRPQAALGRGIGGGRAFGDVDGASGGAVAGAGAASALIDAILDFDKVKEMGCTSS >OB03G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15259017:15259306:1 gene:OB03G30980 transcript:OB03G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPTLAQLLLVLLVLLAFASGILGRGLGEDCTNNPYQSKCAPIKPDCCH >OB03G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15260087:15261205:-1 gene:OB03G30990 transcript:OB03G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLLQPGTCPPNITERLPYMDPEFNATGELTELSDVYSLGVIILRLLTGMAPLSLSKKVAEALESDSLHLLIDKSAGGWPYIEAKQLALLGVSCTEMMAEKRPGLFTKVWKVVEPLMRKSHAASWPYYPSGESCAPAPFICPIAMEIMRDPQVASDGFTYEAEAIRSWFDKGNNSPMTNLALPNLHLTPNRVLRSSIHEYLQQQKKQQQTDS >OB03G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15260087:15263469:-1 gene:OB03G31000 transcript:OB03G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYVSSRPSDILPKLRSLAGFCASQPIDLYEEVKFEPVLCDAIDIHHTFSESGILTGDIICYQKSPPQNWRIYYSVASFLQHVCDNKGTHVILNFSREDLEQATEHFSNASEVGNTEYGHTYRGMTHNTMVAIKLSSSQSLYLQEVNLVSALRQCRHPNIVAFIGVCSEASALVHEWLSNGNLEGRIVCANDSPPLSWHNRTQIIGDVCSALLFLHSNKPTALVHGDLRPCNILIDAS >OB03G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15274623:15276332:1 gene:OB03G31010 transcript:OB03G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASMARKIYLRQGIGVGGFQKIYGGRQRNGARPPHFCKSSGAISRNILQQLKNMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVAP >OB03G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15279110:15281888:1 gene:OB03G31020 transcript:OB03G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP [Source:Projected from Arabidopsis thaliana (AT2G31890) TAIR;Acc:AT2G31890] MEVALLLPPPAPPLARGGGGVGVANIASSVFRLPAAAVAALRKPSRLGPLACRCRATPQWQLDFLGAEAEAGGGTDAEADLDLALPAESNDWCVRARRSALRSIEARGLSPSLQKMVASPKKKKKNKAKKKASKNEKSNKQQKAAELKPPRDVDEEDEDEEEADDLESLLAAGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSGFSAAGAGPSNRTKEVSLNRSIVEARTAEEGVVLTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMETVSMTQTHRLAFARNRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYFPEMDRIAQVAITKVDNFNAQNVANIAGSFASMRHSAPDLFSALTQRATELVYTFKEQELAQFLWGCASLNECPYPLLDVLDTAGQDAPGFDCYLCDIVPGMRQSMAGEASSLKNSSNGYALNFTREQIGNIAWSYAVLGQMDRQFFSVIWKTLSQIEEQKISDQYREDMVFVSQVYLANQSLKLEYPHLDMCLRGDLEEKLTKAGRSKRFNQKITSSFQKEVGRLLRSTGHEWIREYTVDGYTVDAVLIDEKLAFEIDGPSHFSRNLGTPLGHTAFKQRYITAAGWHLVSLSHQEWEKLEGEFEQLEYLRRLLGFDAE >OB03G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15284118:15287383:-1 gene:OB03G31030 transcript:OB03G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine-ribohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) TAIR;Acc:AT1G05620] MATARAPEEEHQMRKKLVIDTDPGIDDAMAIFVALRSPELELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHVTVKKATKLRIASFVHGSDGLGNQNFPPPAGRPVDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADTVFTSGADILAVGINITHQVVLSDADREKLEQSDSKYARYLSKILGLYYDYHRDAYFTKGVYLHDPTALLAAVDPSLMTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITPWSGKPTVKVAVTVDAPAVVELIMQRLTTDD >OB03G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15292058:15292237:-1 gene:OB03G31040 transcript:OB03G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYLISKVLHVIISCWPQEDAHNTIWIQQDNTPSHVRVDDPQFAHAVAQTGFDFCLMY >OB03G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15296166:15299084:-1 gene:OB03G31050 transcript:OB03G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGGTVEDGRDGTAAVVRDKARACCWRKMTGLTGGPHLSVARRRRGRRSRLGASEVASGPWPSVGPEWEGGDPIGHPPFDRAHHLALRGPVELPKVDRPLVELPNVDRGLSNCQRLTGPLPSQSNDVVFDKHLWRACQTVLCSIGGLSNYGSSSDL >OB03G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15307897:15314923:1 gene:OB03G31060 transcript:OB03G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:J3LPY0] MSSFQGYNFLEPSTAMIVWLRDQFRELMFNWYSKSPSDFWIPFSACLTIGVVVLLSILYLFSLWRRMISLSWMKVIARSKRRNFERNHKVPTAEHAWSVESLFRAKGLKCCVCLESISPAQPLGQTVTSENIVHRCDVCGAAAHIICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEDAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKSGGILSSITHGANEFASTVRGHLRKRSKKQKQHNRVASDCNVGDSNDDSSCDTTANANQRDTDLKASGDNVQRSSENEHDSSESDCKEVISEPRRLQHDDTEGAKLKYVLDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQRPETGLLLFRKVPHFRVLVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDLEHAAVTILDRWKVAIEDKQGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDRTFMDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQVGLSRARRIAQGQSIKIQMFAPFPVQVDGEPWTQHPCILKISHHGQAFMLKRTIEESLGHAAAIVTDVLENAESSHLITASQKRAILQEMALRLS >OB03G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15322181:15326361:1 gene:OB03G31070 transcript:OB03G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSHNKNANQFDPLSYVNLSGLDADNQPSSFTEMNSRDAPSNSHVTDVGKENMFNNPEESKIASTGLKDGSPISPENFSFSSLPGSSCHLSTLDHGKRSLSDVRPFQVACKRPKQTDENTWLTSTFDTSFSDLAGETREPDHFCHNSGISACNTSSGIPYSNLEELIGEENLYLPDWVTTFPGYIEDFWPAAVADPADDISSPIHEHLPRKAVAIGPDHQADIPEWRPRLSMTVPGGSESCADLSYSSVSTSGSSPRDEDSENDKWIKHCVIPTPISCSVTWVGGYEKHCGCSDEGSIRCVRQHVMESRENLKRRFGEDIFRELGLSEMGEDIARRWTDEEESLFHRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRARQNRSEPLHVDSDDDEVPDEPSVTEEDEDSAVESPAHGYYMNNPMTPDSEESFPEKVADSLSELRDGPSQKNMESSTDNPVGDADIQDESCTSFEDHRNGAHESNGVQCAEFHMMVPNATLDHYGDQGACM >OB03G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15341194:15342471:-1 gene:OB03G31080 transcript:OB03G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVLVVSSSASATRHSCKVCGKGFACGRSLGGHMRSHSLTEMEGADADDGDGRIERRWMMQSGARCANAGAGASGGGGYGLRENPKKTRRLSGSGGHGGGDDDDEEDGGGDPCRYRGDLLSSSSCRTVLGRARGHAPAGGVYADDSENAGVDADDDGDRETLVMAAPRRRPRSMRVPAPARDRFDDNEQLFVAQCLLKLSRDDGGSSRRAKDEDEYLPHKYNKYDDDIISDDDDLELSLSSQHTDIKMNSNGNGKKKSVASRRLSGEKRGRYECHGCGRVFQSYQALGGHRASHKRINSNCSLLRVVPSDHKAAMVVADQPEPSIETNMSLSTTSTSVSPEADAGAGVFFAKTTKKPIKFVCPVCSKEFGSGQALGGHKRSHSIAGELCERGHADGGILRPEQPLLAERFLDLNLPAPGGDDG >OB03G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15357015:15363333:-1 gene:OB03G31090 transcript:OB03G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQTRPRCYIILSTGTIEHLSADEVVDLPRSRIASVASIDLPTMERATGGFSKRNIIGEGGFAIVYKGKLPQNHVLARDLHYQRKIAVKRLKPSAQSTKGLNDFTREVELMSRFRHGNLSRLLAYCTEGDERILVYEYMPKRSLDVYIFGTPKHRASLNWAKRLEIIHGMAKGVNYLHEGSREIVIHRDLKPSNVLLDDEFTPKIADFGATKTLVADDTGSQTIVFSPGYAAPEYIRGDVSLKCDVYSFGVVLLEIISGQKNSLRPSLLSKAWKLWDEHRIMDLVDSSMASRRCSEPDQELVLSRVRRCIQIGLLCVQDSPGDRPTMSQVLTMLQASGDSSWLNKPKPPAVFLEQNHS >OB03G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15368373:15369205:-1 gene:OB03G31100 transcript:OB03G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATFYLLLFHVFAFLSSPRTAGRDASITGSHDDREHAVAITGQLPAVPPAAPLASFALLFPSLQQIRGFSVVGGRMAATSTKGAGDRGGVVVWGIPWWEWGNPAAPSALRAALCRLYAEAYCGSFCSVGERRHRHVLVDDMAGGDEDVLQKCRVSDLD >OB03G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15382983:15386336:1 gene:OB03G31110 transcript:OB03G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:J3LPY5] MSGVQEQFEIKFRLPDGTDIGPKKYPAASSVATLKESIIAQWPKDKEKGPRTVNDIKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVHAPTSDKQSNKIAAKKPKDFRCGCSIM >OB03G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15400409:15401402:1 gene:OB03G31120 transcript:OB03G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSPLPKFGEWDVNDPASAEGFTVMFNKARDEKKTSGNSQGQDLPAKSEQPSGQGLYLAKPNSSEACWNVTGLHTPNRKTLLHFICRKKLIIQFT >OB03G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15406576:15411787:1 gene:OB03G31130 transcript:OB03G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B3 [Source:Projected from Arabidopsis thaliana (AT5G15450) TAIR;Acc:AT5G15450] MAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITIQTLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRLEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEDELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVGFTNSIIIMTSNVGSQYILNMDEEDGPTDSAYENIKKRVMDAARSVFRPEFMNRVDEYIVFKPLEREQINSIVKLQLLRVQKRIADRKIKLEVSPGAVEFLGNLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFRKVTEESAPAAEDEKFLPAV >OB03G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15420055:15420798:-1 gene:OB03G31140 transcript:OB03G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRMIAVFSCVSKWFDDIAKRVLWKEFCHARAPKMMQDLHSSGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHAPGHFVFRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKMLIEKQAKFHPKEVCPYCKAKLWNLLQADMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >OB03G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15430986:15438816:1 gene:OB03G31150 transcript:OB03G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G15400) TAIR;Acc:AT5G15400] MASPPPPAARPQRTPDEVEDIIMRKILLVSLAPPTAPNPAVAYLELTAAELLSESRPLLALRDAAERLLIDRLSLPDPPAGSPSPFAYLVSSFGRAADEARKISTIRDAALRARLAASIAHVRGLILSYARIVAGNPDTFPSPPNAPHPAAELLVFLLAEAADPLDPTPAPGAPPPPGFVDEFFGNADYETVEPAMGELYDRLKQSVDKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPNWIPKNQIMLIGEGRIMEISSVLGAFFHVSAIPDREFASKPDIGQHCFSEASSRRPADLISSFTTIKSVMNSLYDGLKDVLLALLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDKMESKKDKIDVKYLFCNDRVDFKNLTAINASSEEVSSWIESRGYEHAEDSASGEARFVESQEATSSGNNSRVSLPSKGGSLVDCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLSRFQDDLESNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGAFLQRALTFYRLMILWSVDLVGGFKMPLPSQCPKEFACIPEHFLDDVMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTSYIKNPYLRAKMVEVLNCWMPQRSGLTSTASLFEGHQLCLDYLVKNLLNLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGIYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANVVQWESRPPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQLFASAANILWKIGGDPQIIQEFMQLASKSKAAASEAMDAEAMLGDIPDEFLDPIQYTLMKDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTELKSRIEEFIRSQRSKKRSAADSEMGEPDAAADMVD >OB03G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15460178:15469085:-1 gene:OB03G31160 transcript:OB03G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDEVGEGRVIAQGYTVVDEERHAVDYRPSVWGDYFISNPTLSHNYEKSLEWMERRDQLINITREVFLDVTDPFAKMKLTDALQRLGVSYHFKEIIDSFLESLASVRFENDDFHAISLQFRLLRQERHYMPCDLFKEFIDGEGNLNATLCSDTRALLALYEAAHLGTPDEQILKEAQIQTTNLLREITADHIEKPLSNKVRHALETPTFRRMKRLEARLYIPLYQEDKEECNETILELAKLDFYLLQRLHQEEVKEICEWYHGLESPCELFYARHRPAESYFWALGVYYEPQHSEARKLLAKFIATITPYDDTFDNYGIWEELQPFADVMQRWDEKDAEKLEGYNKDYARFMFGTMNEIEDALPKGASSKNVNIIREIITEVCKGYVTEIGWRDSKYIPPLKEHLKITLVTCFYWAVNCTAFVVFQDGVTEEVMKWMSEFPQIVKDSCIVSRLMDDIVAHEFETERDNVATAVTCYMKEYNSTKEEACKALWNDVENAWKDMNQEYLKLTSIPSSLLILVINLARMMETMYKKIDGYTDSAILKEWISLLLAQRIPF >OB03G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15484393:15484695:1 gene:OB03G31170 transcript:OB03G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTEEESSNWQDNDLEKNRWTSIPNGIRCYVDASWVDNHAGLGVFFHMPSTHNALFIRAYSLRAQSTLQAELLALQLAIEIAHTLGLTNTIFLTDNEIIANT >OB03G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15503650:15504906:1 gene:OB03G31180 transcript:OB03G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEETSVGSLCLVVLDTLVVASVLRRALRGNETGARLPPGPWNLPVIGSLHHLLGGAPPHRALRRLSRRHGPLMLLRLGEVPTLVVSSPEAAMEVLKARDPVFASQPRSVTLDIISSGGKGIIPAPYGEHWRQVRKICVVELLSARQVQRLESIRQEEVKRLVDAIASTTTPASSTAAAMNLTRVLAALTNDVIARAVFGGRCRRQEEYLRVLGEVTTLVAGFNLVDLFPSSRLVRWASRAERHLRKSHARMAEIVDSIVQERMEETASGSGRDDNDLLDVLLRLHKEDEPNFPLTTEMIGALISM >OB03G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15507507:15508757:1 gene:OB03G31190 transcript:OB03G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTHGESLSQIREHDILASNGKQRVKMRTSMMRLMTVRWMNLTMKRTMPLLNQLVRKL >OB03G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15516795:15517374:1 gene:OB03G31200 transcript:OB03G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFIRNPRVMVRAKHEVRNKFGHGRSMLTGSDTSELNYLQMVMKESLRLHPAVALILRASQESCHVIGYDIPQGMQVFINAFAIARDPQHWDDAEQFKPERFEGVGADIRAAVAHLGFIPFGAGRRQCSGALLATTTIEIALANLLYYFDWTLPHGESLESLDMSEVLGISTHRHSDLYLHVAFSGSGVL >OB03G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15529263:15532374:1 gene:OB03G31210 transcript:OB03G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLKEAEEMEELERTAEALQSQAAAESSDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKDQEDKKRKMVAASSQVEPSPNIFGDLSFLRPPTEWTSSAWVIVTLWIVLIGTAIYLQR >OB03G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15572048:15572413:1 gene:OB03G31220 transcript:OB03G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHPGARQVGGGAARRRAAVPPGDQRRAAGGLRDELPPVPRRGGPRREDRLLRRRRLRAVVDQHPRAHLLQARRRRPLIIYPRLPPQLRYHLLSIHLNVYIFCLISSPISHISHTRLLATSY >OB03G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15597685:15613225:1 gene:OB03G31230 transcript:OB03G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKAEEETAAAEEWSNEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMISHYDRTTKKTLHFAINACLAPLYSVEGMHIITVEGVGDRQRGLHPVQECLANAHGSQCGFCTPGFVMSMYALLRSSKQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYTNSSPKSADGRPICPSTGKPCSCGDEKYMNASECSLLSPIKSYSPCSYNEIDGNAYSEKELIFPPELQLRKVMSLKLNGFNGIRWYRPLKLKEVLQLKACYPNAKLINGNSEVGVETKFKNAQYKVLISVTHVPELQTLQVKEDGIHIGSSVRLAQLQNFLRNVVLERNSHEISSCEAVLRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWIASGATFEIIDVNNNIRTIPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQSHRREDDIALVNAGMRVYIRKAEGDWIISDVSIIYGGVAAIPLRASRTENFLTGKKWEYGLLNETYDLLKEDIHLAENAPGGMVEFRNSLTLSFFFKFFLYVTHEMNIKGFWKDGLHAANLSAIQSYTRPVGVGTQCYELVRQGTAVGQPVVHTSAMLQVTGEAEYTDDTPTPSNTLHAALVLSTKAHARILSIDDSLAKSSPGFAGLFLSKDVPGANHTGPVVHDEEVFASDVVTCVGQIVGIVVADTHENAKAAANKVNIEYSELPAILSIEEAVKAGSFHPNTKRCLVKGNVEQCFMSGACDKIIEGEVRVGGQEHFYMEPQCTLVWPVDSGNEIHMISSTQAPQKHQKYVANVLGLPQSKVVCKTKRIGGGFGGKETRSAIFAAAASVAAYCLRRPVKIVLDRDIDMMTTGQRHSFLGKYKVGFTNDGKILALDLDIYNNGGHSHDLSLPVMERAMFHSDNVYDISNLRVSGQVCLTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQSEGSMLHYGQVLQNCTIRSVWDELKVSCNFMEARKAVTDFNSNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDIYGAAVLDACQQIMARMEPVASRGNHKSFAELAQACYMERIDLSAHGFYITPDVGFDWISGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGCSINPAIDIGQIEGGFIQGLGWAALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAISAARAEEGHFDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV >OB03G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15617331:15622691:1 gene:OB03G31240 transcript:OB03G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRGALSFLLLLLTAAASAATPVELTXXXXXXXXRLLPHAAPFAGEEGGPFCTRVRVRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQWHKMPKGGLWAQAISPYDTRILDLRMPSDPSRYIVVSTEEEFLLHRVVFLLLGMVLMVVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFAYSSLVGMTTYFLHYLSGLLRSVLVEIGIAEDMHNPLGVFLLVTVILAGAWFGYWGVRKLVLTEEGAVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALAFCTVVKAVSRIEGTSRFLLCLSRGISKGITIFPTSYEDFGEEYSSMNGSHEDGFTKLGGEYQRCTPKRNSLRSRKTLSQGHDTDSYYSTFHTTPERRKFSEEEYEAFTREETNKAMKQLISSPDFNRWALANVDRISVTPPRTRQNSSSQRRKRFFGLF >OB03G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15622681:15623384:-1 gene:OB03G31250 transcript:OB03G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTAQISYVSLPLIPRVHSSRPASKTLAAATPSIALLPPPPYRPAAAATRSSVALPPRSRTLSACLPPVLHPQPQPLPLLFALSTLAASCTPSRPAALLVTLVLALSLNIAVRYLLRWNRRAGNEASPSAAAEDLEKPPVTEAKPKSPPPVLLYSAADTKLVCATECAIYLAEFVDGDPVRDMPVCGHGFHARCTERCLAGGCRSSCPTCRAPAATPAGGAVASESDAAAS >OB03G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15633655:15634638:1 gene:OB03G31260 transcript:OB03G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPGEESRGGVAAGGRALRVRRPAPGAYFEREADTDSDDEYGEQNDDDAEKPNNQVAEPLDEPKNSIARKKRGRSKKMKAEDGNNGLSDGKNLDTNNGVITQPLLSSLSGFEPQKVLVVH >OB03G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15635557:15635936:-1 gene:OB03G31270 transcript:OB03G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEVQLTRIDTKIPIYNLSPMSNSHDQQVSGLQTSQIAHPTNVLQDAPSLQPLQLQHRTTLMQMGLPSAILLKEPST >OB03G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15637164:15637322:1 gene:OB03G31280 transcript:OB03G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGANPPRPWNSPIMGLTLIGLDLRIFPLVPLEMSLSRVSCNQTCNPRIFAC >OB03G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15640887:15645630:1 gene:OB03G31290 transcript:OB03G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGENALMCHQCQRNDKGRVIWCKSCKNKRFCEPCIKRWYPSLSKVDFAAKCPYCRKNCNCKACLRMIRVEKPPEKNISEENQRCYAFRIAYLLLPWLKELQQEQMKEKEFECKLQGVSVDEVKLEQADCDIDERVYCNRCKTSLVDFHRSCKACSYDLCLACCWELRKGEIPGGEDVKIVHWEDRGIKYVFGDIPKSKDEDGNKRVSSRRHMETPSAESFNNLDVAMDQNNPLLLWKANSDGSIPCPPKEIGGCGASSLELKCLLPENMLSELEYRGNKIIKRKSFAKAINTRSNHCPCFDHSSKIRTEAIRKAANRKGSSDNYLYCPDATDIREDDLLHFQMHWSKGEPVIVSDALQLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVTAVDCLDWNEVEINIHMFFMGYMRGRMHSRNHWPEMLKLKDWPPSSLFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGHYEELGRGDSVTKLHCDMSDAVNILMHTADVSYETEQLQKIAETKKKMREQDLHERGVLESDTMHRQSSLVESKGKAVDKSSKISCNMETKHISKQSTEGLDINALPSDDSGSDVGQSELAQCSKHNNEVKNSSSKMHGGAHCTLDNQGYIDRSGCLHKDSDCSDQQKTGGALWDIFRREDSEKLQDYLCKHASEFRHIHCDPVKQVYHPIHDQTFYLTAEHKRKLKKEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVSECVKLTGEFRRLPSHHRAKEDKLEIKKIALNALKEVVNFLDPLSEGSKNMDDQPNIEVADVNKRKRQYGKRGGDRMTGEDQPNDETIEERKPKRRGRPSKR >OB03G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15647999:15650365:1 gene:OB03G31300 transcript:OB03G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTNLMASLLLLAAVAFLVSPAPALSYVNHGAGGGGGGPRRRSPPVARVFLAEAARAPPPRRRHGSYTRTPRCSRAFAAELTDAELDGVVSKKRGFVRAFPGRTLRLMKTHTPAFLGLTGGAGFWRDAAGYGKGVVVGLLDTGVHATHPSFDDRGVQPPPARWKGSCEGSAAARCNNKLIGVKSFVGSDGDDEVGHGTHTASTAAGNFVGRGATDRGLGAGTAAGIAPGAHVAMYKVCSGSGCDDAAILAGFDAAIKDGVDVLSVSVGGWSSLPFDQDPIAIAGFSAVARGITVVCAAGNNGPEPSTVTNDAPWLLTVAAGSVDRSFSATVRLGNGELVDGQALAQEAAANSSSRTSSYPLLFSEKLPHCNELVGAVGSGVAGHLVVCQADALGEPVVSAMMVAGAGGVVLINRESDGYTTVLQDQGSGLVQVSVASGLSITAYAMSAGSGGAANASVVFSNTSLGVRPAPTVASFSSRGPSTVTPGVLKPDVLAPGLNILAAWPPHLLRGGGGGGLFKVISGTSMATPHVSGVAALVKSCHPDWSPAAIKLAILTTSDAADSAGGNPILDEQHERATAFLTGAGHVNPARAADPGLVYDLGVAEYTGYICALIGDGGLATIVRNASLACANLAGIPEAQLNYPIITVPLLPSTAPFTVTRTVTNVGPANSTYALKLEVPKSLTVHVSPEKLVFSGAGEKKGFSVTSPQGV >OB03G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15676316:15681203:1 gene:OB03G31310 transcript:OB03G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRKRSYSKNTDVIIIDTSTDGWKAVELLPSVIDDKETLGICKPVDPTKVKRKAGLVSAIRRDMENKEMEKEVISSVSYITSIGRCRDGLKTSSGKGVGHHMASKHADVTVIDTSTDGWKAVKLLHAIDNKKTLGICKPVDPTKVKRKAGPVSAIRRDMENKEKKEKEVISSVPYITNIGRCKDGFKIFSGKGVGRHMASKHADIIIDTSTDGWKTVKLPLHAIDDKTTSGICKPAVDPTKGNIYASSRDGMQELDAPIQSLKRSRCSKPKPESQSA >OB03G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15686439:15690412:1 gene:OB03G31320 transcript:OB03G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPPQGYPPQGYPQQGSPPQYAQPPPQQQHSSGPSFMEGCLAALCCCCLLEACF >OB03G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15687746:15693446:-1 gene:OB03G31330 transcript:OB03G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIDADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVKVVGEEDIGHARNLPIKEEKELGLIGRDYVDGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEDSGVLVRRTDKELLESLKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYIEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYMKRLRVVEIGQVALNNFAVR >OB03G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15711836:15731933:1 gene:OB03G31340 transcript:OB03G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MDKEASAVDSSSLLCQVEELQCERDEKQHLEVLIRRVEGLQYELDEKTDLVEVLTRRVEELQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELSEAYRVKSQFAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEQEEAILQKFANFEERTKEYQSSIDDQKRLNDALQMELMGLKEQTQSSSKVIEKFYEVRCRDSDCSLNISIEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKISKLQNNLRMGLEIEQHLQRNARILEKRQALYDEFIRNGLSALQKLYVQQRDEIMKILEVESSQLSTVVNVIQDRLGKILINPEIIENPVGEMQCCDSSCKDVHVSMDVSPSTSSKDDVPSDCTTFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDDHGAGDNMKIIPAHGRQGMFTSILNRASLKHWMRKENINIGHEYSDENDHTVAKDYSVDLARVKVENAALLESVATMERLTSSVHRLHIVLMKAYESVKSTASLESTYEALDSLITEANLMKTAVGVALPVSWLGDSSDAITSDALHDPSESPKSSKSEKQDPLSSASLEMVELLILAADILKESFLLNK >OB03G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15738400:15739687:1 gene:OB03G31350 transcript:OB03G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEFVRNDTKVIVANVQDNLGHTVASELGEKQRESKEKDLTSQMESLIKSISVCGQTFQIKEKESCTPPLPMLSCGTSSFKHVDDGPGPSAAGCGGGTGGRSPKLPGRKHGGGKANPYAGCGLDKFSTVLAELETRRNRILRRVGSDTGLVMVRFVQSNGAWAPVVVKLPDDEHRLRDASAARKARPPKRTTAAVEPASRPAAPSTTQPQASSDPASPSKVPPARRRRSAESSLSFSWGRMRRPACYWPAANGVVLGGPGGGARGWELAEREGQIANTLSD >OB03G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15752763:15759641:-1 gene:OB03G31360 transcript:OB03G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate orthophosphate dikinase [Source:Projected from Arabidopsis thaliana (AT4G15530) TAIR;Acc:AT4G15530] MAPAAHRDGAPEAEKRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALAWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEQFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPQDLDTMKECMPQPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVDEGLVDRRSAIKMVEPRHLDQLLHPQFENPASYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVLVGDKVLCEGEWMSLNGSTGEVILGKLPLSPPALSGDLGTFMAWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEQRQVALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVQVFPEIMVPLIGTPQELAQQVEVIREVAEKVFANAETTINYKIGSMIEVPRAALIADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKIATERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >OB03G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15786705:15788193:-1 gene:OB03G31370 transcript:OB03G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVDNDLRKVTRHVLTAWLAAECNITGSKALQNVAEQRQITKETKNQMALRGCNINKIGLSFPQLYSAMATIPADKCCSCPMSIEVMVEVLRYKVLIVLNGFKSQSSKGVPLARHNNPQQDMHNTDSSPQYIDK >OB03G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15787937:15788236:1 gene:OB03G31380 transcript:OB03G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGQEQHLSAGIVAIAEYNWGNDNPILLILHPLSAIWFLVSFVICLCSATFCRALDPVILHSAASQAVKTCLVTFLRSLSTVLTIAYISDEVHTIHFQ >OB03G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15789760:15793308:1 gene:OB03G31390 transcript:OB03G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLANNPHIKQQSLHRRVVFEKIDPKVQALTIYISYFVKTSHFEEYLNVQDAVILVLLRIVGNHRAKVFYPDSNSSEILWQYMQTPTAFLWESIVVYVAIHI >OB03G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15814948:15815286:-1 gene:OB03G31400 transcript:OB03G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAARLRHRPPTVVLRRHPLRHKQTTVSFGQWGFGALAGARRWSRRLRPLRAAGNRGEVAVTCGQWASGQARSRRSAGGATTAESRVPDCGANRPNPRIPSRRPTAACS >OB03G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15824414:15826318:-1 gene:OB03G31410 transcript:OB03G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSFHHHHHHHHQAASSSPDQPHKSRGSSSSSSSSSSHYTHNHSYYHYSHSHSHSHYNTNTNNNSTNTNADGDGDGSYYYHHHHDGSGGAGGGAGGGGGYYYAGERPAAYLEECGKGHRFYMDEDFSSSSSSRQFHSGTGAPQQQQQPSGAPTPPAPPPPPSTSSPAGGHGLFEAADFSFPQVDISLDFGSPAVSSSSGAGGAGAASSSSSGRWAAQLLMECARAVAARDSQRVQQLMWMLNELASPYGDGLFARLTTSGPRTLRTLAAASDRNASFDSTRRTALKFQELSPWAPFGHVAANGAILETFLEAAAAGASSSSSSSSAQPPRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIGQRLEKFARLMGVPFRFRAVHHAGDLADLDLAGLDLREGGATTALAVNCVNALRGVASGGARRRDAFVASLRRLEPRVVTVVEEEADLAASEADSSSESDTEATFMKVFGEGLRFFSEYMDSLEESFPKTSNERLALERAVGRAIVDLVSCPASESSERRENGASWARRMRSAGFTPAAFSEDVADDVRSLLRRYREGWSMRDASGTDADAAAAGAFLAWKEQPVVWASAWKP >OB03G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15843479:15844996:-1 gene:OB03G31420 transcript:OB03G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHPGAVRGEGRELPAGVRATGASGGDQGLQPAAQDRRGRLREHLFNKAYPVLPWDIRLEIALGAAEGLLYLHEGLEVQVWNWIQ >OB03G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15845346:15847928:1 gene:OB03G31430 transcript:OB03G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAITYAVVDAFTDEPFKGNTAAVCVLEDTGWEEEPLGEQWMQSLAAEFNTSITAFLVPAGAADGGAEKSDADAANPQFHIRWFTPVRESELCGHGTLAAAHYLISSGLVKSNAIDFLAKSGFLTAKKVVGLKESSTSISPPQEACSKFFIELDFPVLPVVKCTSLDMPSIPQTLNGASISNVLKTVSDSAADLIVELNSSEQVVNVQPNIAELVQCSGRGVAVTGPAPAGSRYDFFSRFFCPKYGLNEDPVCGSVHCALAPYWGKKLGKQLMTAFMASPRSGTLYLQWDEATQRVRIRGEAVTIMVGTILI >OB03G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15853681:15853935:-1 gene:OB03G31440 transcript:OB03G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGCRSPHHRAGAVRQLPGQDLQRHRHRRVVRRVAARRLPPARRRQAPPRAXXXXXAGPRTAWSGATRPATASSAATSRRRW >OB03G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15856397:15857840:-1 gene:OB03G31450 transcript:OB03G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRAAASLPQHRLSVVVLGLLLFRAAAKAQLSGDSYYDASCPAALLTIRTVVSAAVLLDPRMGASLLRLHFHDCFVQGCDASVLLDDTGNFTGEKGAGPNAGSLRGFEVVDNAKMLLETLCPQTVSCADILAVAARDAVVQSFYTRGPVMGGSAGEEGRDSPTASASLANSDLPSPSSTLATLLTAFSNKGLTTTDMVALSVDRQKTLLS >OB03G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15863659:15865611:1 gene:OB03G31460 transcript:OB03G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43980) TAIR;Acc:AT1G43980] MPRPPVPTVSTLSALLAGCVSVSAAAALHARLLKSSRLFRPVFLANCLAAAYSRLGAAPSAVAMLRHAPEANIFSRNILLSATLKSRDLLAARRLFDEMPDKDAVAYNSMMLGYIDGGRSVEALSLVPAMLEAGVRLSGFTFSIVLSAVRVGRHGLQVHAAAVRNHFTHQNSVVSNALINMYRRVGLMEHAVQVFWSMSGHDIVSWNSIMSVYRDDGQCNWVFDCFQLIRSHGFFVDDCSLSIVLSACIDADDFSKGDQLLAHCVKMGHLTNSLICSAAISLLCASDRLADAVWLFEGMATWDSETCNAMISGYARRGLMEQALGLFATALQNSVLPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDMYCKLASLKHAKKIFKRVSVKDLVLWNTMIIGLSHNGRGKEALQVFRQMLNYNIQPDRITLSGVLSACSLEGLVKELIKIVLFENKYHIVPGVEHHTCVVDMLSRAGLLREAVDFVESKMQKCIVDALSNILGASLVKKDFGMAEIIAEKLMKLKPQSSLPYVVLARSYGTRCKWESMARMWRSMEHQGTKEVEECSWISIKNQIHVFTSVQILHHGREATYSMLDLLSWDMMQHRYVPRHVEFMYTQEEPSNSEISGCHQLFCDYSS >OB03G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15867322:15869379:1 gene:OB03G31470 transcript:OB03G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MAVAIDVRSCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLYCHDAHHLFNEMPIKNCFSYNSVIAAHLNSGDRHAALNIFRSMPEKNTFSWNTIITGMVSTGDLDMARSLLIQMPIKDAVACNAVLHRYVRCGRVDEAFDLIRKVSLHCNGAEATSPWNDPFVLATVVGACADRMKYNFGRQAHARMVVSRIELDLVLSCALVDMYCKCGDLDSARCVLNGLTQVDEFSLSALIYGYASFGQLDEALQIFDKKEKPSIVLWNSLISGCAFACCGNDAFAFVVRMMRSNVSPDSSSYSSIFNVCGFSGMVNHGQQIHGYGLKSGAVNDLIVASALIDFYSKCGLWEEACRAFRELRFHDTIVLNSMITVYSNCGRIEEARRVFDMITNKSLISWNSMVVGLSQNGHATDALGLFCEMHRFGLRLDKVAIASALSASSSICSINFGEQIFSLATVLGLQADHVVASSLIDLYCKCGSLANGCRIFAEIDKLDEVLWNSMLSGYASNGYGHEALELLELMKTKGIKPSERTFIGVLSACCHSGLVREGLTWFQRMDADFSVSPSAEHYACVTDLLVRAGQLEEAVEFIEKMPFKADAISWTTVIGGCKALGNEAVMQKLVKKLMEMESSHPSLYVQLSSGLAAQGDWVKSAEMRSMMHERRIKKNPGFSWIDS >OB03G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15876957:15877329:1 gene:OB03G31480 transcript:OB03G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGSPRQKEMATLCLLHVCAEDSSAYRTMVAREGAIPPLVALSQSSARPKLRAKAEVLIALLRQTTSLRPRSSVGCVHTPVELSH >OB03G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15885743:15886573:-1 gene:OB03G31490 transcript:OB03G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDDDLAMLFELPDPADSFSSTLVSFALDDAVTASADFAHTQVTAGETTEMVEVEEEDEEEPLPDQNKLALPELQGGHGLSPRSKRLVSAVLADLAAGLNPTATTLRLRRAAFWGRVRVAILAVTIATVAAIDLILAIALFSHRRGRYHYDGVPPPT >OB03G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15899476:15902642:1 gene:OB03G31500 transcript:OB03G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKKRSSHGDNIVPNHGALPPLQSAVEEYEGRLGQEGLRRRREEINDGDRPENGLLRLKEVVEDEIRAVPPPPRGAPPPGKAAVESDKSLASQQLGGIGGSGSTTRGGGGKPKRGGAGKQPAASRHGYRGVHKRTYGRWASEIRDTKKGHRVWIGTYDTAEAAARAYDAEARRIHGCKAKTNFTAGERRPAPVAKACRSKRPKKGGSC >OB03G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15936959:15938687:1 gene:OB03G31510 transcript:OB03G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVDYITIVLKSSRATKRLVSDPDVKSEVLEVPKEADTSTVAYPLPPYRPFEPTKQFFFRQGTWTLPLALGRDPQRRPLGRFPDRVNRGIQARSNAKSQALRLLSPWIVVLEEVKKDREEVLAQAKAVDDKQCAEIQARKSTEASSWEVIDVLATHTFSIHLTLFSDSVSRSLGELTSDDGATQGPSKGRRGHSRQICFSPTVLAEVWENSQAMMAPLKALPKGVEATRDKFVSMAQAVFGVLDNLETGPSISSAEEALEKLRKVLMCMLEEARDSVKANICQAFAIMKSLYPRVDIVATIGGFAADCDSEKALELMNKAQEAVDGVVRTMGLQQ >OB03G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15948614:15954232:1 gene:OB03G31520 transcript:OB03G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKRNKGGRGGGGGGGGGGGEDQFDVGSDVDSVSSVSTALTDLQLAQATEQVNSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEVCLACHATGLLAITLGAGSSSREVMDETRPQLLRVLQTWPDVSKMISALDCLAIVTFVGATDLAETQLSMKTIWDVIHPKSGSNVGVVRKPKPPLLAAAVSAWGLLLTTIVPSRRNADSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEDAEVDTREASSSKNQLFLNMQALKAKISGLAYNLSMEAGGRGADKKNLNGQRDLFQQISDFIKTGECLEESLRISGKHAILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDTTENMTNTEKKIYRSGEEKGRALKLNKERRLAQMRKQNVSNEQ >OB03G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15958126:15958791:-1 gene:OB03G31530 transcript:OB03G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMRPSLGHAQCAYMARSSSSPRRRNAHMEGRERERGWFHGELVPSTRDRSFVREMEASSEKVSHFRSGAERRRKRWSSLRPIRRSAHHGRAPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAARVLPDRAASTGSVPSHHRLGVVLPVSAATAASSSGNRCLTASTRHSSSHVPLLDLCPAAVDWGGRKDGKAVNIKIW >OB03G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15958139:15958597:1 gene:OB03G31540 transcript:OB03G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALPSFLPPQSTAAGHRSSRGTWLELWRVEAVKQRLPELEAAVAAETGRTTPNRWWLGTDPVEAARSGNTRAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGARPWWAERRMGRSEDHLLRLLSAPLRK >OB03G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:15960920:15967979:1 gene:OB03G31550 transcript:OB03G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPAAMGSGKELANPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDAEANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSAKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYTQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >OB03G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16006879:16007636:1 gene:OB03G31560 transcript:OB03G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPDAQRPGTYVCMYACPLFQSLLYEAAGRTINPVHGAVGLLWTGNWHLCQAAVDTVLRGGSIGPLPELAGAGGDLYGAARRNGGWSTFSTAKRVRKAEVPAAPSCDLGLCLSPGSPPAAGERRAALRRPGTPSMSSDESVTTTGGERDPVLLNLFV >OB03G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16017160:16017743:-1 gene:OB03G31570 transcript:OB03G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIKWWRRSSGAVVQHRSSGVELEQNGSCGVYMVMMAAIGRWQRRISQLGQDSAGPARVHKKTMLIT >OB03G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16028880:16029842:-1 gene:OB03G31580 transcript:OB03G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLKQYEKEHMKMAMLKQEETFKQQVQELHRLYRVQKLMMTTAGSATAMPAAIRCTPEDEHHAEEENEAGSSQAYAAYAASERQAAAAATAVVDESELELTLAIGTTTTKKEAPSSSVDSRTSNSSSSTESGSPQFGATTMAPHRPSRLRSSSSSVKVVGAGTTTTQQRLDMEQDALKHPPWLHQCLNLAR >OB03G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16042230:16044365:1 gene:OB03G31590 transcript:OB03G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 4 [Source:Projected from Arabidopsis thaliana (AT3G54540) TAIR;Acc:AT3G54540] MGRKDTSSSSSAAGAGSKKEKPMSVSAMLASMDAPASKAKPSKAAASKPKPSKAPASSYMGDIDLPPSDDEEDDADLAAVVSKPKARATVDLNAIAPSQKDAKKKDKREAMAAAQAEAAKQEALRDDRDAFSVVIGARVPGSSGASEGDAAADDNIRDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRSIDVLLVEQEIVGDDRSALEAVVAADEELAALRAEQAKLESSNDADDNDRLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAARKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDLKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIDVGFSYPNRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRIHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALEEFTGGVVLVSHDSRLISRVCDDEQKSEIWVVEDGTVNKFNGTFEDYKDELLEEIKKEVEE >OB03G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16052519:16054720:1 gene:OB03G31600 transcript:OB03G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13230) TAIR;Acc:AT5G13230] MYGKVGPFVSAHRLFDRMPERNMVSFVTLVQSHSQRGEFEAAVALFRRMRWEGHEVNQFVLTIMLKLATAMDAPGLAGGVHACACKLGHDHNAFVGSGLIDAYSLCGLVSDAEHVFNGIVHKDVVVWTVMLSCYSENDYPENAFWVFSKMRMLGCKPNPFALTSMLKAAVCLPSVVLGKTIHGCAVKTLHDTNPHVGGSLLDMYAKCGDVKDARLAFEMIPYDDVVLLSFMISRYAQSNQNGQAFEIFFRMMRSSVLPNEYSLSSVLQACTNMVQLDLGKEIHNHAIKVGHESDLFVGNALMDLYAKCNDMESSLKVFSSLRDANEVSWNTIVVGFSQSGFGEDALSVFCEMRAAQMPCTQVTYSSVLRACASTASIKHAGQIHCLIEKSTFNNDTVIGNSLIDAYAKCGYMRDARMVFQNLKECDVVSWNAIISGYALHGQATDALELFDRMNRSNIEANDITFVALLSVCSSTGLVNQGFSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGYLNDALKFIGDIPSAPSAMVWRALLSSCIVHKKLALGRFSAEKILELEPLDETTYVLLSNMYAAAGSLDQVALFRKSMRNFGVRKTPGLSWVEIKGEVHAFSVGSVDHPDMRVINAMLEWLNVKTSREGYIPEINVVLHDVDEEQKARMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTLISKIVKREIIVRDINRFHHFEEGKCSCGDYW >OB03G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16059771:16061747:1 gene:OB03G31610 transcript:OB03G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWHAKRNKASPIWLACAVVARMGLQVIKYESVIHEFDPYFNFHVTQIPPWPCDWRYCVSWLDIDSWNHLVEAKGTGAGLMAAAILAMAFLFQKTALHKCNMAGKPSVATRVLDSMTDNLRPTRAEATDVANG >OB03G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16062770:16063120:1 gene:OB03G31620 transcript:OB03G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATDVYTSVVHPCARKFPNFPAIWFTVLHFIRTLHSLVEWISCSQAACQVTPQPLVKYDVNTFSILINLINIYTTLKSITISTLEISLCSYPRFKLWCTGVSLMTYHSSFQSQRS >OB03G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16067966:16080313:1 gene:OB03G31630 transcript:OB03G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIRFLDDDVDETMHSGADVEAFTAALNREVEGSGSASTSAAASSSSSSQPLDRGAGLVPQESNSIINHNHEKWQDSVKNKIGNEDSHQQEQKHSHHTNEQLSRPEVVSGDADNKHLQSNSQKEDQPKVKQEPGNSSQQTIACQKQPLQQITSQQTPTTSQTNSAPAVGKPPVVTFHMLIPILRRYLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQVQAAHGQRNPQTNPSNYSLLSQVSNQQSVANSSISGAEQKSYPSAHSIPGNQATDSPRPPPFRPSLSGQMQSNMGYPSSESNLQKVNESGNMSDVKGGQMLRRPPNIHSVPVQATQHHVQRPQASLPVLGTNNIHARPFPRPVGGPVVPLRPQIAESSQRGQSVQGVAGSMAARPTLQTNLSPWQQANKEQKTKSFTPTEHMNKGPGVPENQTSTSSTSKSLTTTNSTQPYRSHGTQVEPNMQIQPATQTPPPAATSKTPQKKTSAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARKVVQLEEEKLILQKGPLTKRLAEIMRKCNLKSMGSDVDRCLSMCVEERLRGFISNAIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWEKKLAEDAERIRKQNDGDDNAVADSEKDKNENRSTSKHAKTYKAEDDKMRTTAANAAARVAAGGDDMFSRWQLIAEQNKQSKGGDGSSSSMPGNMLPHKSSPRPGKGSREQQEFEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSTESSPK >OB03G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16077115:16080930:-1 gene:OB03G31640 transcript:OB03G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALVSAGAVALLVVLLAAPARASNEEGDALYALRTRLLDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLDGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLSSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDICGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDFGC >OB03G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16086037:16087902:-1 gene:OB03G31650 transcript:OB03G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRSAIPQILPAREPQTEEQQRRGGGGGGGRRERAREYDRLSQAPTMGGGNGQKAKMARERNLEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >OB03G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16108783:16111624:1 gene:OB03G31660 transcript:OB03G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G67370) TAIR;Acc:AT5G67370] MLLRLRVVAVHPAAPLLGRPGDHAAPLLGGAARRRRRSSITVTMALKEEPEGSRSGFAGGGPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPVSFFLRLGGLWLITFTVLAAPIAAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAGPVEDFLHSLNAPTSAASSISKPSLRREELLRLPVEVIQDDDLAAAAGGAADGRPVYCRDRYYRALAGGQYCKWDDLLN >OB03G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16114543:16119392:-1 gene:OB03G31670 transcript:OB03G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPIKAEIIRLYDDKFIRPCRYAEWVSNIVSVLKKNGKLRVCVDFRDLNKATPKDEYPMPVADQLVDAASGHKMISLMDGNVGYNQIFMAEEDIHKTAFRCPGAIGLFEWVVMTFGLKSAGATYQRAMNYIFHDLIGSLVEIYIDDVVVKSGMMDEHLGNLRRVLERTSPYGLKMNPTKCVIAVSAGEFLGFMVHEKGIKSAKAVKGQVIADFIDEHHKEADYVEIVPWTVFFDGSVCRHGCGIGLMIISPRGACFEFAYTIKPYRTNNQTEYEALIKGLELLKEIGAEAVEVMGDSQLVIKQLSGEYECRDNVLKTYHEAAKQLLGDFKQITLTHIPREQNAEANSLAQGASGYPPMNSEAEVEITMIDGVLLRCLDKEEAKVVMWEVHDGICGTHQSAYKMKWLLQRVGVLLADYAGGLLYILQKLSRLSKVPPYQLVYGHEAVLPWENNIGSRRLTLQDQLTADEYYNLMMDESEEVAQSRLRALEKIKENKARVSRHYNKKVKSKTFEEGELVWKLVLPIGSRDNVFGRMQLRSYSGRRRPLSTSKSFLIDQESLESMAQPSINKAINRDAITEEVVAIFTDSNVIPERYYRPDEVHAGIVVGYDEEEEAYELPVVDMARLLDPELAEAEIAKLGSACRNWGFFQLVNHGVDEQVVNDMKDSATKFFSLPLESKKPVEVRENSIEGFGHHYSRAGKLDWAQSVILVTQPIEDRNPELWPTNPATFRDALHKFSVETTSLTMRIVGFMATELGIDPEALARAFRGRRQNMSIHHYPPCRHPDKVIGIPPHTDGLGLTLLLQADDTPGLQIRKDGRWFPVPPRQGSFVVNVANILEVLTNGVYRSVEHRVLVDARKSRTTVVMFHDAHLDGMVEPIPEVLEHDGAEARYRSVGKLEYMKGHFAVRSDGTRFLDSLKI >OB03G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16141430:16141903:1 gene:OB03G31680 transcript:OB03G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPVDGAVWGALLGACMIHKNVGMGEEAFERVINFEPTNGYYVLMVNIYTDTGQIDGVAKAKRIYELVVKLERMVKEKTGGGGVVEKNGDTTTAAGTAVSFVGFHWWTRRKWSSRC >OB03G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16143561:16143704:1 gene:OB03G31690 transcript:OB03G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVAVGGDAYTGDNDGSDREQLAAVDSSCGVSDDNDGGDEENSVAF >OB03G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16148132:16149015:-1 gene:OB03G31700 transcript:OB03G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDAAPQCRPGSRAATDSSASVSAEEFEFCVLPSGGLALSGGEEDAMCFADEVFSDGKLLPLRLSSANSVDAAALRLIRSDSLDGATTASARFEQPSSAAKDTVALDKKLPLGFLGTGLVCNCSPDAVEPVGSAEVAAAARRRRRMAEEKNTRKVKNGQSTVRRSRILEWLEDLSISKDKNAA >OB03G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16170375:16171838:1 gene:OB03G31710 transcript:OB03G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSRVSVKAAAAPGHGGFAKIRPQVLSAGVRSEGFGRGARRSGAVRASLFSPKPAAPKDARPAKVQEMYVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKVYSGSLDKRLGITAGICVLVQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >OB03G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16205758:16205940:-1 gene:OB03G31720 transcript:OB03G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRGLELDVISDGFAAVCDEETGMKYVNEPQGAAESVADVRCPWPGTGRHLRWVCRRL >OB03G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16206000:16206182:-1 gene:OB03G31730 transcript:OB03G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRGLELDVISDGFAAVCDEETGMKYVNEPQGAAESVADVRCPWPGTGRHLRWVCRRL >OB03G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16206242:16206424:-1 gene:OB03G31740 transcript:OB03G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRGLELDVISDGFAAVCDEETGMKYVNEPQGAAESVADVRCPWPGTGRHLRWVCRRL >OB03G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16206484:16206666:-1 gene:OB03G31750 transcript:OB03G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRGLELDVISDGFAAVCDEETGMKYVNEPQGAAESVADVRCPWPGTGRHLRWVCRRL >OB03G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16206726:16206908:-1 gene:OB03G31760 transcript:OB03G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRGLELDVISDGFAAVCDEETGMKYVNEPQGAAESVADVRCPWPGTGRHLRWVCRRL >OB03G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16244182:16248718:1 gene:OB03G31770 transcript:OB03G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37470) TAIR;Acc:AT4G37470] MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFSRYATLEGYALDLLAILQELAVASCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEELDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLQKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQRDIAV >OB03G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16262182:16262823:1 gene:OB03G31780 transcript:OB03G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMQALLEPTVLSLGLPTAPAIKKEDYLAICLAALAGTRAGKALVPVGVRDHPQQAKWCPAPAPAEELRFRCTVCGKAFASYQALGGHKSSHRKPPTGDHYYAATAAQQASAGDSEDSASSATGPHRCTICRRSFATGQALGGHKRCHYWDGTSVPVSVSASASASGTSSAVRNFDLNLMPLPESAAGMKRWAEEEEVQSPLPVKKLRLSH >OB03G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16265575:16266171:1 gene:OB03G31790 transcript:OB03G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTTSMTHDDYVSLCLMALAQASVGGQWVQQQQQIQMVSPACDELRFRCSVCGKAFPSHQALGGHKASHRRPTAALALPVHRIDAPSADDTASSTTTTTTSGGSRHRCSVCHRTFATGQALGGHKRCHYWDGLSVVSVTASASGSGSSSMRNFDLNLKPVPETVAAGVRSWGEEEEVQSPLPFKKRRISIPSLELNL >OB03G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16281366:16281821:1 gene:OB03G31800 transcript:OB03G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDDTGEAQPARRGREAARHGRERRERCGQRRETGPTGRAHLSVSRGGMRWLGLQARGIGPAWLGLVWCARERERGGGSELGQEKSWAGLEKREGGKEGAGETGRAEGVGRWRAGCEDWEKEEWTKRGDGDFERGLGPLGTLRIEHLFA >OB03G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16306096:16306947:-1 gene:OB03G31810 transcript:OB03G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSSRMFHHTVGVLLAILVVATAATSKTDGLCDKSDKAALLAIKSALGNPPALSVWNSSTPCCSWDGVSCNATTARVTDLTIFALNISAPVPAAIANLTKLQTLNLAYNQLYGPIPSFLGPRALPDLTFLRLDGNRLTGTIPPTATVFNLLLTGPLPATFGSAVFGDVDIANNQLTGDASMLFGAKKTLNALRLSRNRFTFDLGRVELPEQLDILVIDHNMVYGSIPAAAAAPGRKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCS >OB03G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16392035:16411742:-1 gene:OB03G31820 transcript:OB03G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPAVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTIEESLSSLKPLSSQATKLDLSIEVKKILHLTLTMYQIPNVENLIPNLGSEVISAVLKYVSASTNCMSHNWNQDLANCFAKDNVDSQGTSGSLLMEASNELFDIWKNVNFIVDTSAFDYNGLAFRLEELPTTKDIFALFDNHFPYYRNCSLLDLENPFQSAVVTIVSNLPSEELSTDGVKFLSSASIELAELLKVINMCGPIEDPSPVVTTRRICKFGDLEGLLSYNSTVGLITCSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIETIVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFNLLSKGFFCRPQEVAMITELHLKVGSSANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSLSSYNDLDSIMTKNGGSPLGHAIFHSAAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILAAGGDAHLSSGNVLVSDSMDVENVIADSNNTADAQVIDNLLGKLVADKYFDGVALCSTSVVQLTTALRILAFISEDKAVASSLFEEGAINVLYVVLMNGKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTIVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPTFAASFGVVCHLVTSALACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLSAIRSLSTGTVLGCQVEKHVNWYLHPEHVAILLVRLMPQLDRLACVIDNFATSALMVIQDMLRIFIVRVASKKMECAVVLLRPIFIWLNNKVDETSLLEGEIFKVHQLLQFIAKLSEHPNGKVLLRKMGVTRILRKFLQDCSNMCHMENNMISEKGVYRNDLLMLRWKIPLLRSIASVFSTPRPSSKEPTTVEEVWNESACVEDCSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSHSCSDAVASIFSQIQTSNKDKQEKSESDTCYGAPKVDNWCGFSPLLNCWKSLLQYICAIRPTDYLVEIVYALTLGAIALSQSGENLEGTVILRYLFGHPFDPSSSETSDDVTILLKTFEESICQGFDNWLPYVGKPLLHQVRSSVRLLCSIIENSGPFTASARMSLEESVIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFSNAWKAFGDSAEPFGCQASEFGKKMIWELPDCSLDKQLMPGQSARRKLALGDSASRRVRDNQAHEPTGQFSRGLNTTNASIGHTRRDNFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVSSTPRGTLSGRPPSIHVDEFMARQRERQNPVTAPSGDATQVRSKAALDDNASINLEKPRKAKADLDDDQEINIIFDEESGSEDKLPFPQPDDSLQSPPVIVGENSPGPVVDEIENQLNERNLFSGTVVSECDEACETGISSRTAICHEANIPSGRKFSVSSPEKIVFHDRADESPFISPVTGSKVTPGHRTHAAQATLQQLPPSVYRKRSPENLTESSVSSGSHGHDRTLPSNQPPLPPMPPPVSSASLQNPDSIQRQSSYISRDGPPHFPPSYPMQSFDASMHSFVGHQVHTDNVLPCTSDLSSNTLPSVDAKFLWNALPVNRIPMEHLSPGSSTRPVSPLPLRPVSATQHTAMSSGPPGALYNQVSGVLQSSPPASLISDATLGTNPASLGALSSNSLPSLASQFLIGRPSTPTFFGTPLQIQLSSGLAQNVSNPQASISSMQSRPPPPPPQQPHPSQTFQQHGSLQLPHQEQPMPYPLNTIQAQVPLQFPNQLHVPQLQLFHQIQQESVLQPTGHVSEQSLPLNQSAQQQTDSGMNLNHFFSSPEAIQNLLSDRDKLCQLLEQNPKLMQMLQDRIGQL >OB03G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16426362:16426888:-1 gene:OB03G31830 transcript:OB03G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKVPGVLQRGLCTAYSLASALYIAVPRPTTLSRSWIVFLLDQARTSVAITFGSVACYILLSLGNKGFEIATFPR >OB03G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16438518:16439376:-1 gene:OB03G31840 transcript:OB03G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGSGGVARVSGDDSFRGGAVVRVACHEDDRRRRLGLGQRCYGLGRAAAWSVGPVGGVVGRGQHSGRRQQRCSGLGWRESRMRIPTKRGPHFGHFEFRVMSFGLTGAPATFQAAMNETLTPVLRKCALVFFYGILMYSPNLEAHVKDNHWKVKLSKCSLALHELNYLAHTIGAKGGVY >OB03G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16440731:16440910:-1 gene:OB03G31850 transcript:OB03G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITYLHIFPSWLSTRLCLYLCMTHGVQRVQFICFLSASRILNLFSKSAYFYVIFTTGT >OB03G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16441290:16441601:-1 gene:OB03G31860 transcript:OB03G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSCGDKRRRSRTFIGAAQQFLPRKSLVKRLVSFFYSRVCSSGFLRCNLPGLSSLFALPWTPGVGAVSPVTDARSSRQRRGDIVLWDSVNPTRLTISLRQSL >OB03G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16441312:16441494:1 gene:OB03G31870 transcript:OB03G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVGLTLSQRTISPRLCRELLASVTGDTAPTPGVHGKANSELRPGRLQRRNPEEHTLE >OB03G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16455501:16456520:1 gene:OB03G31880 transcript:OB03G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARQVGQHTLTPITSIPGQPPVYWAAFHGQAPPANTHTLTPISLLHPFQANHLCTGPPEALFGKARNDLCSGRNDLARFQIWSSPEALFVSAGPDWKQARFGLAPHVPGLDQFPTSDASAPIRTQGSGVRLAARRRRGGVRLRTRRWRGSAPTSMVTATSSHSTSALSAAFSPVHIVFFLSLPCSRVCIGARLRGGGALGAEGEGEGEEEEEEEEEHALALLTAAYHLPPLRERVLQAACSSTSLAHQTLEEQQGPEELLQTVAGHCNSGTEKSNYSEEVEEEERALTPWKAKAAALFRQQQQHKTTNSSFFCCCMLLAGNDHANTAYFCPFFTLSL >OB03G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16483189:16484372:1 gene:OB03G31890 transcript:OB03G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFVARPSPPALLALCGALLAASLLPAGASSSGERLEVGDMVMMDRFRAWRAEHNRSYASAEEALQRVEGDRQNAEFIDATNLRGGITYQLAENEFADLTQEEFLATYTGYYADDFGANDHSVITTSAGDIEGAVDADPGFSCSMDVPASVDWRAQGAVVPPKSQSSTCSSCWAFVTAATIESLNKIKTGKLVSLSEQQLVDCDSYDGGCNMGSYGRAYKWVIENGGLTTEADYPYTARRGPCNRAKSAHHAAKITGFQKVPPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYSGPCGTRLAHAVTVVGYGADAASGANYWIIKNSWGQSWGERGYIRMRRDVGGPGMCGLTLDVAYPTL >OB03G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16593919:16596756:1 gene:OB03G31900 transcript:OB03G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3LQ64] MGDKLHRREGNSPDHQLRPLNDRSVIKEVGVQRQPGGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEASTKAINLEEENKLKK >OB03G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16595066:16595446:-1 gene:OB03G31910 transcript:OB03G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFQGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQKGGFFAFHLSCAGKAQSQSQGTVKLHRVFLSRCR >OB03G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16597799:16598029:-1 gene:OB03G31920 transcript:OB03G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRPPSGGARAISEIPLWKSSNSNLVSDLRAKGQSQVDSFY >OB03G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16659128:16663638:-1 gene:OB03G31930 transcript:OB03G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT1G69440) TAIR;Acc:AT1G69440] MEGEREGFTAKNEGDAGGDGGGPGTGNNGGRGGGANGRRRWKGGGGYRQHPIIHAYPALLPLPINGATGHPHINGALSLPLPLPPPVLLYLQQPPLPPPPLLPLLPKAAATTCYGKPPKAAFAAPKGSMWRHRPSKKPPPHAITAALLPLPRDAKALQQKTCFGNERKTSEMEGNHVHTHEKFAAASQDSAIARRPDMGGVEGAEIPVSANHFLVQFDPGQKIFHYNVDISPHPSKEIARMIKRKLVEENSSVLSGAQPAFDGRKNLYSSVRFQKDRIQFFVSLPVAPARYSVIKEDTGHKLDRQKLKTFKVNVRLVSKFSGEDLIKYLNEDKDGIPLPQDYLHALDVILREGAMESSILVGRSLYARSMGEAREIGGGAVGLRGFFQSLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQNKTRALAEEERREVEKALKNIRVFVCHRESNQRYHVHSLTKETTQNLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQVGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGVVEAAFRARSNAYADQFNLQVSKDMTELSGRVLLPPKLKLGSGGRIKDITPDRFDRQWSLLDSHVAEASNIKSWALISFGGTPEQHFFIPKFVNQLSNRCEQLGILLNKKTVISPLFERIQLLNNVGILEDKLKKIQEAASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLRKLTSQFLTNLALKINAKLGGCNIALYSSLPCQIPRIFSEEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEYLDIMAGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCLRYPGYKPLITFIVVQKRHHTRLFHRGRNGSSPPYSDQNIPPGTVVDTVITHPREFDFYLCSHWGNKGTSRPTHYHVLWDENNFRSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTIYRVTPLQTVPLPKLRDNVKSLMFYC >OB03G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16659879:16660247:1 gene:OB03G31940 transcript:OB03G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGSFPTSFRNSSSSSPAIISRYSMISFRCVCDLILEMYLFAEGQFMLATTATTDGLESSRGCGWVTSAPMNITGSSSEKILGIWHGKLLYKAILQPPSFALIFKAKFVKNWEVSFLKLE >OB03G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16667634:16671375:1 gene:OB03G31950 transcript:OB03G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGPVLRTPTPPREPVENVIVEDVEDDDDLMDEGEHNPTHRHRRAQTRRSALAPQPHDPTAARAVAPSACTFVPSPSGFESEWVICKGVSGLKMTDHPATQRGHGMGRFVTKLARLSFAADYPYEPEYTTIHPLSGEFPHRVRLELHRIPDSLPNMEVEGAGGSHNHAWGCVQHDGNAQGQA >OB03G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16689710:16693195:1 gene:OB03G31960 transcript:OB03G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVPASAQQRSETRRGERWLRVDPTVAVCGQRGEGDGNRRVDDGDCLARCGREREWESDSELSQEKSWAGPEKREVGKEGAGETGRVEGVGRQPAGRKEREKEAWAEGGAALRGSLLGQGWQEAARGARERETGKRGKALGGGDRDGAAHGQAGGGNVRHCMGDQGEIAHSREEGSGEVGSSGSGSAEGVGMLVGKGVQEPADDVNGKY >OB03G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16717492:16727998:-1 gene:OB03G31970 transcript:OB03G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G18660) TAIR;Acc:AT1G18660] MEKDRRGIHVAIMRKRKAAAAQKLLGTAAQTPHASRPPPPPSSKIQSPAGCCPHPRATALAVPLMASPGASSFAAALVAEDFPLVEREEEMGMAPDKYREVFELAQRGAHAFRNGRFDEAISFYSKAQTLRSGDPTILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAINSNSPRPYLLKAYALILLEQYHEAREAILAGLEVDPLSHVLQSCLSDLQRNTNIAAGARHTRLVRTDDFECMLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEYETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNRRMGMVAIDSATGTVADCGCEVEIMECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPEGSQERKDLMERANAASELAKTYVRRARELARPARRTRQLDLESMPGPQDPENFSFWLVNLINLRPSDRLDLLRLRDTRERISRTLRLLGDAEQICRVQ >OB03G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16734888:16735473:-1 gene:OB03G31980 transcript:OB03G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVEGEGSGQSGGVRGGGGWKGGKWQGEAAAALARRGGAGGRRIPSSSVGILMWDQNYLKVDSISFPTSTHGPEKSF >OB03G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16756667:16761686:1 gene:OB03G31990 transcript:OB03G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRAAQTPSLPGSQRHRRQFPRLALRILFGRPYRDLKVFQVAKLDLVQISICDYLKVAMAGAARKKINLIPSRRSARLKNIHVYDEDSEKKYPTLEAVKSEVIDLEEIASPSTPGFNGDSVGDKDDYQDFQNVSLKDLRAQCKAKDRKAPKVNSEGPDFRNQRQCGKRNLEDEVPNEEVDLDKPIIAFRQKRHKISPTKSNRTMGKPTYTDTAKLQDTTLKREIMAENLFSLEVKLHDSTSTAETIERRVPNVEHSVAAGNTEEIVGDNIFYAEMENTIISTDSAMSGRNPDLLCEIKTEDENNYSDEQIGGSSSGKDSFQHSSAELHGERIVYNGCKQSGVIPQLTELKAVPNDSCELANSVEAYCFDEIMLQNKRNDGLSSLDITDDVSNNHKPSGNATNSDGEKSSVVNHYLVCSANQSCADIDEYWYPGVLHGSTLETTKTVESCTDQCNTEVGSPSMVIQSDLCRTAGRNFTLLAEDVQMKEDGKLDSLVQSSVGTKDILLHMDFGHATNDCTFAFNKTLDSVQTANSTAQDGWLESIVYDALSNRAQRKSTETESPVGASSAAIISSPFVTEDTDRDLTGSKAPYCGQLLIPCVTEWLSKDTDQLKTTVDDVICKTNSGQGSREQFGLQPHLLQSFSDLNKACVTSESSDPEQTQEIPVGSLYSTVASLDADGQSNNSQPFTEEGAIEEHGPKKLLSKRKIMSPTSQEKLCNALTGIDLCRVQKLKKKILLEDCDKIRKPNSRSSRSPTSKGILKATESPPSQETTCTCMKASSVLLDTGKAVEFSQRQMHDVENIASKLIRSLKQMRSIVDESLLSEAHSLLPNFNTAEIRAASEDALEVERTTRKWLTIMNKDCSRFCKILTLAGKKAVSHSEVPRKRKKITFADETGGKLCHVKVFNDGQTSCF >OB03G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16772852:16773448:1 gene:OB03G32000 transcript:OB03G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGIWIDAHRASAGERTSRSSQVGRIQSVKPENVGKIFGVMLLREPDEDEMEKLAYNTDAALLAKINDAKATLAIICACCSVVAPGGGGGGRRHQQLCSHLPSVLAGVDRYPPSMGSFGFHVQPQPQPQYRPGSPLASPTPMQHAFAPHGLMDFAERHHMLDENIGRYYYPSGIKDNSTTARLDDKTSCPPDGHVTI >OB03G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16781050:16781241:-1 gene:OB03G32010 transcript:OB03G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYKVKCTTIYQQDLVQLTYQPSCQQQKRTLVYLTEHSLFDTSITTPIYINMTNEYRARMHKLR >OB03G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16786550:16786864:1 gene:OB03G32020 transcript:OB03G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSDTVTELVVSSHRACVSSLHCICKKCSDDVEFPATAAARQVTVVEAVQVGVFQNVMMLLQVGCREATKEKATVLLKLMIKYESRGNCIDAMDFRGLKRVS >OB03G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16796331:16796668:1 gene:OB03G32030 transcript:OB03G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMNFRVRDRLRTGLRWSYLFLKYQRTDKSTLTQASSHQIYLTFPAESTFTEDDVTNYFGQYGPVRDVRN >OB03G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16796692:16798721:1 gene:OB03G32040 transcript:OB03G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYSEKSTCIDRTCVNNIRSMVSYCPPSLVGFDQGLYKAEDDASRLMRKQLAEKPERPLEVQRRCTAVGSLESLPCRHSLPSSIA >OB03G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16810801:16815884:1 gene:OB03G32050 transcript:OB03G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT3G06170) TAIR;Acc:AT3G06170] MWCASCLASACAGCTCNLCASAASAISRRSARLAYCGLFAASLVLSFLTRQFATPLLKQIPWINTFDYVQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDQRDAWHHGGWIAKIVVWAVLTVLMFCVPNIVITIYEILSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGLLFMWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASIISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGTKNPLLGDDNVEAGNGNGKELDARPVSYSYTFFHLIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRICTEWATAGLYIWTLVAPLLFPDRDFS >OB03G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16831880:16841050:1 gene:OB03G32060 transcript:OB03G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) TAIR;Acc:AT1G03750] MKLSSAARFPPRQRRRAMSLHSLKETLLSSSSQPQSQPRSPPPHPPLPTRRPPKTSLSQQLLRLEAASSVPPPPLPHTSPSSNVGEQPPLPDEEDEGPRIRRRAPLPPAAALGSCGPYEPLVLSPPGERPVVQVPPSINCRLLVHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVTGKDNDDSEQLVEGRKIGPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVEAQGLEIVITSFDTFRIHDKILCGISWDLVVVDEAHRLKNEKSKLYTACLGISTPKRFGLTGTIMQNKIMELFNLFDWVIPGCLGDREHFRVYYDEPLKHGQRFSAPERFVQAADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQILINKDLPCSCGSPLTQVECCKRTEPHGIIWSYLHRENPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNPKDEIEKQKKDAELAAAVFDTDIKLIGGGAKSENFMGLSDAENCGKMHALERLLSLWTLQGDKILVFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLIDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGACSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGMGNTAETTGIREIVDTNIFSMQDQMKSSIAAVDNENENLDHCGVVYAHRNEDVVNMRTNEASNCAKDKSVPSHVEEPRIKSEAIYTVRTKSYSRVQKKKEFSRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYKNRK >OB03G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16842942:16843157:-1 gene:OB03G32070 transcript:OB03G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPQLPSASLRTANAVLPLCSSRPAARKFESRCCCSCSSSSSRPLLCSVLAAPCLNLDYCFRSCIFDIF >OB03G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16845329:16849491:-1 gene:OB03G32080 transcript:OB03G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT2G33800) TAIR;Acc:AT2G33800] MAATSSTATAMAMATTSSTAPIATAPFSSLPLRLNLRPKPLLCTSRRLLLLPVRRSSSSSWDQPVSEEGEEEDSEEPGAATGEDDGEEEGDEKPRPEPVAASGFEFAAPPEGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGETVMGNNVFEVKVVDPIDMDREQRPTDDFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVAAERGLPMEELWK >OB03G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16850063:16850386:-1 gene:OB03G32090 transcript:OB03G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMVVLKEELLEVEEGTTVRDVLAELDDGNTLVGFALGAGARVPEAVVYGELNGVGLLEDDAMEDHAAEARKALGVGVEEDKLTQERGTWRRASAPLRQLLRIYI >OB03G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16866513:16868332:1 gene:OB03G32100 transcript:OB03G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKDADGGSTGEASGAYKRAQSMPTTPTTPVTPTTTPRGSNVWRSVFHPGSNLATKGLGANLFDRPQPNSPTVYDWLYSDETRSSHR >OB03G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16919301:16921562:1 gene:OB03G32110 transcript:OB03G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPHHRHSRRWTEPHFNLGNNLLTETLALALVGEKLSKAEYKPPYSTSNTQEGRIMNAIGYKDRLREATKEKATVLLKLMIKYESRGNCIDAMDFRGLKRVS >OB03G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16921385:16921636:-1 gene:OB03G32120 transcript:OB03G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHVYIWTYSKTYSHNYNLVFASIQETLLSPLKSMASIQFPLDSYLIINLSSTVAFSFVASLHPTCSSIITFWKTPTWTASTT >OB03G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16924908:16926801:1 gene:OB03G32130 transcript:OB03G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCMATSTSCSLFISVLLACCFLLVPLSHARLLADKNTLIGSKAFSIKGGIGGGGGQGFGVSISHGGHDTSIGIGGGFGGGAGTTRGGGASVGGGAGAGVGIDVGHGGVDVGIGGGGGAAANGGGVHVGGGGGGGVGVHIGRGGSPMDTVYTGEYVFETKRLNDYLVTEILKNENGNGKVIRKWPQKRSQIVKVEGVACHLNARQPWEHVLLAMRRSLAEPNQDRRATSQLIPGALLRHHRAPLYHTVALRDGNVARDLKPIGFLLY >OB03G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16930424:16933618:1 gene:OB03G32140 transcript:OB03G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVRPSWSRPGRTASTCLPSRASRSPGYGRGPYRSGPHTCRHLEALLAADAWVDCPTVLVSDVIEPLGLQHAKDLEKVVYIVFSTRKHQAGAQALLSILLVISAKVKYHQSTSLFNLTVTLNIDGGKYTIEMVRRGPRSYTFRMNNPKIEAEIHSLRLGGLLMQLALSFLNLLRIGDCTE >OB03G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16935188:16935475:1 gene:OB03G32150 transcript:OB03G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHGMNISYWEEHGTTISVDVDFSALVNRAVGLGLDRLLVLDVSAIHQLKFLCFKLPMATFLIFFLCKNCISISERLSVSLCKPLYPFQVFLRE >OB03G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16946590:16946886:-1 gene:OB03G32160 transcript:OB03G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHTDWLGHRLGWGTPLIVLCRSKQKEGRGHPTFISQLHFPFAIRTFYVKAVAISFSSPNSVRPLCTASNPTLHAASRIYLNLNSLSIVPILLLTKVDL >OB03G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16948581:16969695:1 gene:OB03G32170 transcript:OB03G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAINKVLSALEETQLGYVDDDDDHEKTNKDDQFSSLCYYATTTSSTCVMTLEDKDVSSEHPKSLKTSFRVSSCGVHLPGKPAQVHTFTALHPHFLHVLHMYPWIDVDGGVDPSNCLEESEDFIQGLFLRGSSTRKPAQVHTFTAGSSANRLLAVPPNSDELLWVLWEFCAISRSDSGRQALLTLGFFPEAVSVLLSSLSSYNNLYSIMTKNGGSPLGHAIFHSAAEILEVLVADSTASSLKSWIGFAINLHTALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLCYSAILAAGGDAHLSLGNVLVSDSMDVENVIADSNNTADGQVIDNILGKLVADKYFDGVALCNTCVVQLTTALRILAFISEDKGNLFPDEGIWLWKVEVPSLSAIRSLSTDTVLGCQVKKHVNWYLHSEHVAKLLVRLMPQLDRPARAIDNFATSSLKTLFRAFCCEIHLPGKLAQLEHPLKGDRVVTTREISKLPGAVPFNCVHLQLHRGTPWRVSWPLRKTKACRRRTEAATVPPSRETLVSTPVGHREGPPSMRSLSWESRVANSIGLVSKWMCPEGWLLVPEYVGSPAVVVLVKNSSQPKSCDVTTPTIDTTIAHIKMKMIKNKVDLHRQDQQPKESLYLATEDHHPYVAGEVVDERQNGVRHNRMLEKIASKRPETTGEFFELADRMAQREDAWAWNPSGSATFSLAMAASVPREDRWSKRQKKKSSALPQHNGKAIGGRDKFADKEQSADKWCSVDNTSSHSLAECRSVTNLAERVRQYEWEEGCRGPLGHKPRPPPQKPNKRSLNVSPVWRTIPMFISHFLRYKPSTFQDLTISHHCLLLPQAPAILSPAFKTLALLSRSHFPHEITKSIAATLNAPSNPSALRYSHSHVVEPSSAAMASTNSSPDTPYEILEDQPGFHPLLYTKSGSPLLCLGRSGSRRSFRYTTIARPYSITPTLATGAPTTNFKNIAEHLADGNHVPLGQYLLGAVYHMLYQVSSKLSIGQPVGHVAGPWWFLQLWLRLYFSKVLDLSAFDQRSFPSLSFIESEPAETQRCMSYGEIVSSFLGHRMLAVRLAHIFKLCYLGQEPNACTWFAYPDSRDYEAPNILRLDEILAHKCSLENLAFALTPCLLSVSFHSGRSAQPSYEFYHPSLAARQLGCGQLPANLFFLGKIEIRRKVTLALEFDRISKMAEVIPFGSMTSFGFGVTILDAYTGWWEELHQHLFSAAVGFFCNRIDSDNEYSYFEPTHAVHVISQSGRRIEYSTSMLSAIGHVFGTTKSAEKADRAPKKKLKITTEAIPLETTSADMDAAIDAAAGDDSDIDVREKSPVKSSDDAISVPTDASDPTPTTGAVPIPPNILRLDEILAHKCSLENLAFALTPCLLSVSFHSGRSAQPSYEFYHPSLAARQLGCGQLPANLFFLGKIEIRRKVTLALEFDRISKMAEVIPFGSMTSFGFGVTILDAYTGWWEELHQHLFSAAVGFFCNRIDSDNEYSYFEPTHAVHVISQSGRRIEYSTSMLSAIGHVFGTTKSAEKADRAPKKKLKITTEAIPLETTSADMDAAIDAAAGDDSDIDVREKSPVKSSDDAISVPTDASDPTPTTGAVPIPPSPTPAPSPAGPALKSRKKHKMVVHRPSRRITSSTQLAGALDTAAPPVDADPAPAPVTEEALLASTPPRPTNVAPMAQLTPMNSLICWLTSEHSFKIARLDYPIDTLINDVGPIRCRIEEIQDQLPNDLVDSIAPTGYIESHQIPVLQAQQRISDHASQAVAQAQV >OB03G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16982277:16984092:1 gene:OB03G32180 transcript:OB03G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICKNLALTEAGFIREVMHAEWLANSVVVPKANGKLRMCIDYTDLNKCSTSITLSSQIGRNVEAYVDDPVVNTRNRETLLLDLAETFDSLRTTRMKLNLEKKQIRKERRKAEWMYVPSGVIKSPLRRFYLHIVARSGLPDLAQPRRGWDGVSPQIAAQQQDAIRDQRYRSILDAQHLTDYFHAGLRVLVGVLLDVCVHVVVDPGVKGDEVARPLVARLEDATPNRRQILQPAEYEESWKRKYENGGTSPAKANGTMKTAFSGNDGARQASPR >OB03G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:16994599:16994835:1 gene:OB03G32190 transcript:OB03G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAGVAGDTKVYTGKLTLYVLLPCGVAATGGLIIGYDIGISVWIGLIKWYVTC >OB03G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17011603:17012332:1 gene:OB03G32200 transcript:OB03G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFLEKFFPSVLHHEQTAQGTSQYCKFNSQPLTAFTSSLYLAALIASLFVASFTLAMGRKWSMFGGGVSFLAGATLNGAAQNIAMLVAGRVLLGIGVAFAGLSIPIYLSELAPPRLRGMLNIVLQLMITVGIFSANLVNFGAAKIKGGWGWRLSLGLAAVPACAITVGSIFLPDSPNSLINSGRHEEARRVLCLLVDLSVSRYLGKDITTVILSVIHL >OB03G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17033308:17033505:1 gene:OB03G32210 transcript:OB03G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILFSMFYSILVGEEPDSVFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTWATFLFNFYSRVSI >OB03G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17035372:17039742:-1 gene:OB03G32220 transcript:OB03G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LQ96] MMTWPWRALSLAALLLLLPSPAEPFDTVTTGAPLAGNRTLVSAKGTFALGFFTPDAAAGRTYLGIWYSNIPTMTVVWVANRASPVLGSAVLKIDANGSLVVVNGQGGVVWASPVTPAAVLRAGNATAQLLDNGNFVLRFAGAGVVWQSFDYPTDTLLPGMKLGIDFRTGLDRYISSWRASDDPSPGEYSFRIDPRGSPEFFLYRWSTRTYGSGPWNGYQFSGVPNLRTNTFLSYQYVSTADEAYYRYVLDDGAAVLTRFVMNSSGQMQRLMWIDTRRSWSVFSSYPMDECEAYRACGAYGVCNVEQSPMCGCAAGFEPRYPRAWALRDGSGGCERRTALNCTGGDGFAVARNMKLPESANATVDMALGLDECRQSCLSNCACRAYASANVSSPGGKGCFMWTGDLLDMRQFDSGGQDLFVRLAASDLPTNSVSDNSQTAKLVEIIVPSVVALLLLLVGLVICVIKLKKSRKAIPSALNNGQVTPFGQRSHIAGSLDNGQNTPFGQRNHIAASNDAQNNSSLRPTAGQGNHQDLDLPSFDVETILYATNNFSADNKLGQGGFGPVYMGRLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLIYEYMHNRSLNTFLFNEEKQSMLNWAKRFSIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTTAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYHNELDLNLLRYAWRLWKEGRTLEFLDQSIAGTSSNVTEVVRCIQIGLLCVQEQPRHRPTMSAVTMMLGSESPVLLEPCEPAFSTGRSLISDDTAEASRSNSARSWTVTVVEGR >OB03G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17059647:17060111:1 gene:OB03G32230 transcript:OB03G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGRAT >OB03G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17061955:17062404:1 gene:OB03G32240 transcript:OB03G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIRDPRSRLDQNPAERGQPLEATGWETKRRLRLFLSSSRHRPRSERACRQTTAIPFFSELERCHLIHGKRGKLSLRVEALKEKDRETRRHRNHGNPGGRKTMYGIRDPRSRLDQNPAERGQPLEATGWETKRRLRLFLSSSRHKKRD >OB03G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17072193:17072381:-1 gene:OB03G32250 transcript:OB03G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAVDVSRCGAVTGGRGRVVNAVGCGGVEVAHAVVNILEEEQPQAQHPVSPSHSSRSSDSE >OB03G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17080331:17080975:-1 gene:OB03G32260 transcript:OB03G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPTTPPSAATTVKDDHQFTVLVDGVETAIHEGVLRCNGGTLTVISPGVLRVDRLQHVVVRGGGSGGHVSFTRCGFAAAEDCGAASFHRCDAVRADGAREVSVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAWRCAVAR >OB03G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17085214:17085591:-1 gene:OB03G32270 transcript:OB03G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSHGTRRYRMVHWPSCLQEGKNDKESRRGCSTPVRGIMLCEVVAMMSELDTNRDGFVDLGEFVAFHGCACRDAEQEAELRITFDVYTSTETGTSRRPSSERSGWKDVDSSPLTIPSPGRHDVV >OB03G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17093486:17094376:1 gene:OB03G32280 transcript:OB03G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFKQDGAVQAKNMAERCFLRGDVAGAKQWCQNAQRLDPDLPGVAQAAAAYAVHCAAARKVLGPDGCGPDWDAVLGPPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPEAAAAPCTRPKRAEDLYRTKPATASARKPPEPPKPTRQQQPPPPPPKQTAPRRPQVVQMRRSAQPVPAQTTRSGQKPQRKTTAPPPPVARPPSPDRGKCQYCGARTVIRGAVGAKSFRCMSCHRSPMDDKPRCSDDEYDDEYDDHDYYDDGPW >OB03G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17097707:17103429:-1 gene:OB03G32290 transcript:OB03G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTVRPWADLQHDLLVAIMSRVGVPDLLSGGATRTCSAWRAGRLAAGDGAEEAAGRGRVPLQADLCSVLEIASRADGRMEALLLPEFADEEHLLFLAKRNPNLHYFSLPTTCITYDQFCKAIDKLQSLKGMAVDESLINHDVLSHVHQCCPDFLELKVFALYVDEEMASIICNSLPRLRKLEIPNSDMSCAVIIKFLDCLEELEYLDISGYETSAISSAVLRKASRLNIFIWNSKFELGEFMDCSNYGEHCINPQEPCSCVMDHRVMDWLAGPSEPS >OB03G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17116829:17117029:-1 gene:OB03G32300 transcript:OB03G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTPRETLAASRSGSSAASTRTLAYPSAAGAGVTMRIPTTYSCTALPLPHSELPCAPVPSLPPTV >OB03G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17116914:17125033:1 gene:OB03G32310 transcript:OB03G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTPAPAAEGYAKVRVLAADDPDLDAAKVSLGVLGVISQVTLALQPLFKRSVTFAEREDDDLAEQVATFGYQHEFADIAWYPGLGRALYRVDDRLPINASGEGVLDFIGFRATSTLVIRANRLAEELLERAGNGSGKCVTSRVTHAALASAGYGLMRRSGGLFGGYPVVGRQNRMQASGGCITGPEDLLLTACPWDPRVRGSSFFHQTTFSLPVSRARAFVDEVRRLRDLNPRALCGVELYNGILMRYVKASTAHLGKPAAGAGAGDADMVDFDMTYYRSRDPGRARLFEDVLEEIEQMGLFKYGGLPHWGKNRNLAFVGAAGKYPRLREFLRVKDAFDPDGLFSSDWSDMMLGIGGRAPTTDAPGCALEGMCVCSRDAHCAPDQGYVCRPGKVYKDARVCTKL >OB03G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17125680:17128501:-1 gene:OB03G32320 transcript:OB03G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:J3LQA6] MRSSSPNPVPQSHAGSQTKSQRRPIPTRRNLSQSLSYPPRYEPPLTVHSPFPPFAMASPFSPATAPAASPALFSASTSRPLSRTTTSAAAAAVSARVPSRRGSRRGRFAVCNVAAPSATEQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPEDPEVMGPEVRERVLEGDNLPVVTAKITMVDLPLGATEDRVCGTIDIEKALTDGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRESYLEEQEKLQQQISSARSNLGAVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFT >OB03G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17138138:17140334:1 gene:OB03G32330 transcript:OB03G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LQA7] MAAWEHLLPRRRWFLLSSLLLAAAAAAPVVHGYGGGGGLTVGFYKESCPQAEAIVREGGAAAGHDDPTTTAPLLRLHFHDCFVRGCEASVLINSTKTNTAEKDAKPNHTLDAFGVIDAAKEKLERKCPAVVSCADILAIAARDAVSLATKAVRQGRWSKDGNLYEVETGRRDGRVSSAKEAVTYLPDSFDGIRRLITRFASKGLSLKDLAVLSGAHALGNTHCPSIAKRLRNFTAHQNTDPTLDASYAAALRRRCRSARDNTTQLEMVPGSSTTFDAAYYRLVAERRGMFHSDEALLRNDVTRGLVHQYMASEEAFLRDFGASMVNMGRVGVLTGGQGEIRRRCAIVN >OB03G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17142433:17145354:1 gene:OB03G32340 transcript:OB03G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAVQAFVYRISTADEWAQLQRTGGTLGGDLDRSTGCIHLSDLSQVKMTLKNFFLGRNDLYLLQVDTSKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDAVIKAEKIVLVNHDFTCSVLDGAEP >OB03G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17148586:17148966:-1 gene:OB03G32350 transcript:OB03G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSGEPFFGEVDADHRAVVPLARVQGADRSADLDKEVSHLMKRLTRKAYLSPLLFAAGEAVAVGGAQRLHGMAQCTKDLSGGDCKMCLESAIGQLAARGCAKEGGKVLGGSCSLRYGFYPFSDS >OB03G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17159289:17159513:-1 gene:OB03G32360 transcript:OB03G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLRFLIWSELCRLKNICCFEQTEFVRMVVQLNATIVPFGVIGENDLIVVNNIILHSCSADKTSKCFHIITMH >OB03G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17207146:17208532:1 gene:OB03G32370 transcript:OB03G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTVSPGLSFSVVSLLFKSKRKPEKLYVLHSAKTFRVEYLAKLLFIIFYLQPVVSLSVFTGLQTEGFSKMFSYPALLRLKWSSRIFKITEIKCSTKFQTKIALKISLLPCVGHGCVLTLGSLPSRGRARGEETAAWRAAGSELPGRRLSFGDRPPPRPLVAQPSLPAAADVGAGGATVLAGSALPAVVLRPLAEDAVVLFFAMADDRGVSIDAVAAATAFFACAQIRDLALGREAHHRFADRKVAMDVVAWNALVDMRMPVEMNVVSWNTMISASARAGELDEALALFQEMQAASMRPDDATFVAVLGACAQLGALDTGIEPYGCVVDMLARSGRLDEAVELVAAMPMQPDTLIWGSLLAACRAHGDVGAGDATAHGRRRRGRWRLRAHVERVRVQGPARRGRASEEANEKKRHRQGPRLQPHRDRRRRSRIQSNPSKFHR >OB03G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17214131:17214307:1 gene:OB03G32380 transcript:OB03G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKFCLFHTTAPEICKINLLRYHNPKYALIPAWSSWVAPQPEITQANNEVIVECIS >OB03G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17221602:17222036:1 gene:OB03G32390 transcript:OB03G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIQITTISSKIQTVDEGKIPYLRTEGRKHPYHLLLNLNLPPAAGPECMNAIPSLPVIQKNHLLHCPPPNCHDCQHRKALDLQVLRPHQQVCHHSDHEPDESVQEPNRKALGAKLHPRTLVEEHELEGMTSARRERTLTGWAH >OB03G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17231513:17231677:-1 gene:OB03G32400 transcript:OB03G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHYVSWRLLFLMDHTVEFNERYCTCIQAMIILLSFQLSFFSNQNTCHSHIPNHF >OB03G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17236948:17239887:-1 gene:OB03G32410 transcript:OB03G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLPGWRRELGGGGGEATDSSSGGCSGDWAAAVADGAAQKRRRRRRRGLGGRGRRQTASAAGAGGRGSGGSDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDADEESDSDDAQRKDEESDADEESDSDDDSDEDEVPVEPVPQTRNIPPSDGAEHKDEEADSGENGEPPLAGDPNGKRKAPPPQVSESPPQKRMVLQASHEHNSVTTDTEKQFMDKCASYFYLGKEVSDLNEEYPGLFKQPFLELGDDQASALDVRVKKVSLAELRLSLQRRNLEQKVLGHCLRVVSWPLADRSRPNDSLVQVREQYPFPSNRLAILLPVYPVMALGHDK >OB03G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17237557:17238528:1 gene:OB03G32420 transcript:OB03G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQHHPLLRRRFTDLRWRRLPLTIRVAGQRRFPVFTGISLLILMLGTIGWRYVPGLRHWLHWHFVFIGIIIGIRLFVGIRLFVLTLGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRLFVGIIGIRPAASPPPRSRRRRRLPPPSAAQSPSAPSPSLLRGSVRHRRRPVPAAASAAAVCRFSTAAAQFPSPTR >OB03G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17240144:17245345:1 gene:OB03G32430 transcript:OB03G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMAALLCLRRRAALTGVAPRLATATRQGALDCRNGDGDSPWVQLPPFTPLDATTAAARAISSRGTGGEGVAGAGATAISWVRRCCPDLPTSLVHKLFRLRKVKKNFVTAEQHRLRRVSAKDQLMPGDIIFLPVHPKESSIAEKTKKFDNRNEIDFLRSLEIYKDEAIIVINKPPGMPVQGGIGIKNSIDVLASIFEENSSEAPRLVHRLDRDCSGVLVLGRNQRSTTMLHATFREKTADALADGTQHVLQRKYLALVIGTPRHPKGLLSAPLAKVLLQDGKSERLTVRASSNAASVQDALTEYRVIEYCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWMPLPLPRTINEELLRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDISVAVHRLQSDVDPDFSDLEKLNFVAPLPLHMRLSWEILKSVET >OB03G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17256382:17257398:-1 gene:OB03G32440 transcript:OB03G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHPLLFLMLLAAAFAASFASAGAGDLVAELQSLRSRSPSGVIHLTDTSVTRFLSAPAPRPYSVLVFFDAASLHSKTDLHLPQLRREFALLSASFLAHNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPEHGRLAGSEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLVSRNQTIVLVIFFLVSIPFLIKRIMEGETVFHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAMVTHLLVRAENLQIQRFTMLAVMAIGWWAVKKVILLDNWKTGYSIHTFWPSSWR >OB03G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17261493:17265923:1 gene:OB03G32450 transcript:OB03G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSINGLRCKQLKLVILASFMVFLLWKWERGTYYTTEILRPDLLVLTHPANSKFVDQHTSSEEDFPNADTLTQSVVKVEQQASNAPPPLSTVRHSADAADEREPPSSGKKDCNYRNGKWVSDNRRPLYSGFGCKQWLSGSWACRLTQRKDFAYEKYRWQPEGCEMPVFEASQFLTRMQDKTIAYVGDSLGRQMFQSMMCMATEGKERPDVEDVGTEYGFLLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPLDPATSYAMHLDRPPAFLKNNLHRFHVLVLNTGHHWNRGKLRANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVIKWLDSQLPHHPQLKVFYRSISPRHFFNGDWNTGGSCDNTSPLAKGSGIFQNHSDDADAEDAVMGTRVKLLDITALSRLRDECHISRYSIKATQEVQDCLHWCLPGLPDTWNEILAAQL >OB03G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17271179:17276679:1 gene:OB03G32460 transcript:OB03G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYFNKTGWPAKSPKTDDERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYILASTTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFGTADAVFDCIGDPPEVRFDLEFCANLLQTQFVS >OB03G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17280114:17303300:1 gene:OB03G32470 transcript:OB03G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3LQC1] MGMARRSASRLLSSFRPFSLLLQPLDDAPSLAAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLSQARSKLRHHNAAVQVPIGLEEEFEGLVDLVELKAYKFEGGSGQNVVASDIPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIQANELKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVENYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDGKFEFDNMIIGQAVPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLASIYAFRQCYASARPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTSLRSMTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKASKGTE >OB03G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17304915:17308578:1 gene:OB03G32480 transcript:OB03G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55330) TAIR;Acc:AT3G55330] MATTRLLSPHALPLPSRTAADALRPAATPSRRPLAVRAVALPTPGCRPRKGPHDAEESSRRTMLLAAGATVFLSWPNPAAFAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTNKQDIRDLGPPDQVAEALIRKVLAAPTQKTKLIEAKENNVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWDKMKDRLHTVVDSFKIEARI >OB03G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17312421:17316335:-1 gene:OB03G32490 transcript:OB03G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDWSKGGLIGCWIYCDTREIVCPLGYMCGRYNLGVVWMLPGSGIGLCADWSIFAEPDPAFFLPDFGHGKIAAAAGNGPPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECYVPMSVISSWKKIKAMGVTNQLLVNALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALVSNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSVVRLKRPDFDHAMISDDEHSPLSQVSSDSPMADHSPDHHQEDHHGKKSWARGRGGRTPHATGAPHPAASAGHFDALMRSPRYAPQGPRMPDGTRGFTMGRGRPSPAAAVLRSSPARAVAAPGPAAVMI >OB03G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17318390:17321206:1 gene:OB03G32500 transcript:OB03G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Methyltransferase FkbM (InterPro:IPR006342); Has 1073 Blast hits to 1073 proteins in 243 species: Archae - 45; Bacteria - 509; Metazoa - 0; Fungi - 4; Plants - 60; Viruses - 4; Other Eukaryotes - 451 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G26680) TAIR;Acc:AT2G26680] MPAPPASSWRRHAAAAASSSTPKTLLLLLPVLLILLVLSRAPNLTLSPTAASFRRLPSQPRPFDCYASPQASPVFASLVEGVPHPFFYSLADMGALPDRPHKNIARLLKGKRFRKPDISETIQRLLGGDVGGGSPGGGGDVGANVGMASFAAAVMGFRVVAFEPVFENLQRICDGVYLNRVQDRVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVLVIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAQEIRAFLSSVGYNHCTRHGTDAHCRKD >OB03G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17322160:17325435:-1 gene:OB03G32510 transcript:OB03G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSYLAVGGWFIQVIFDKYLSYQLQSWAADCGISHEMDRLRVALLRTQSVLHGAEVTPSLSYSSLPWMRELRDVMYDAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMPSRFRNQGAQDSALEPHWDRSTKVKNQMVNLLERLEQVASGVTEALSLPRKPRHSRYSIMTSSVPHGEIFGRESETQQLISTLLSSQDGDNPVLVASVVGVGGIGKTALAQHVYNNTRVAEYFDIRMWICVTNVFDELRITREMLEAVSSSRFRHDGIKNFNKLQVALRARLVSKRFLLVLDDVWNNDKINLAIEHENWQKLLAPLKAAANGSKILLTTRSSMAAEMLQSVYITNLEGLSEKDCWYLIKTTVFGGTNHTINSQLENIGSNIAKTLSGLPLAAKVVGKQLKHKQTTDEWKQVLQRNAVWEEIMPIFQQSYENLPVHLQQCVAYCSIFPKDWEFEAEQLILMWMAQGYVYPDGCSRMEDIGKQYVDELCSRSFFAIHKKEFVSYYVMPPVIQKLAKSVSAETCFRIGGDEQRMIPSSIRHLSIHLDSLSALDETVPYRNLRTLIFFTSRTVTPINISIPQVVLDNLRNLRVLDLSPCKIDRLPESIRQCVHLRYLNISSTAIKTLPEYLGRLYHLQVLNLSGCRVEKMPSSINNLVSFRHLTAANQIISTITDIGSLRYLQRLPIFKVTSEETNSIIQLGYLQELCGSLHIRNLENIGTPNEAKEAMLCKKEKLSTLQLMWAPARDEVNSNKEAEVLEYLQPHPNLKRLDIMGWMGVKAPNWLESKWLINLELIFLSGCNAWEQLPPLSQLPSVRTIWLQRLKMIRHIGLEVYGNGSPQVAFQSLEELVLDGMQELNEWSWTGQGMKNLRNVVIKDCQKLKELPPLPPSLAELTIAKKGFWVPYHHDVKMAQLTTASTTVSSLCIFNCPKLLARFSSPVTNGVVIASFQSLRSLIVDHTTILTCCLLRERLEHIESLDIQDCSEITSFTTDNEDIFQHLRSLQTLCISSCNSLQSLPSSLSSLESLDKLILWNCPELESLPEEQLPLSLRKLEIALCNPMLKDRLRKECGVDWPKIAHIPRVEIDGEILQ >OB03G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17326715:17331344:-1 gene:OB03G32520 transcript:OB03G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMAEFRSGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >OB03G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17354381:17361329:1 gene:OB03G32530 transcript:OB03G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17940) TAIR;Acc:AT3G17940] MEQLVGVHHHHHHHHHSSSSSSLSPRTPTHPHPHLLRLPSKPDHHPHTHPHAAVSKVLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPSSRSRAKLLLPMHHQPSPPVSSSSSPASPELFELDGGGIRAWISNVGATVTSLLVPDKNGVLGDVVLGFDSLDPYLNGTSPYFGCIVGRVSNRIKDGKFTLNNMQYSLAINNPPNTLHGGFKGFDKIIWEVAEYIKGENPSITFKYYSKDGDEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHSSGDVLAHTVQIWGSQITPVDETSIPTGEMMPVSGTPFDFLREATIGSRIDQVPGGYDHNFVLDSGEVKSGLRHAAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSMTVHPGEKYSHTMLFEFSTK >OB03G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17363470:17363820:-1 gene:OB03G32540 transcript:OB03G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPVEVGARGTIGSLVCREIEYFRRVDQVGVVSHGHGKIRSSSSSNSSKQAAGASVMGSPRSKVRSPWKKGGAGGGAYFLPSICSSAEVAEAAGAARVRYRHLGQDDGDSLPQ >OB03G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17367473:17368091:-1 gene:OB03G32550 transcript:OB03G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >OB03G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17373591:17375129:1 gene:OB03G32560 transcript:OB03G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRGGGEGEAAPHHREPGQRRPMCGVCTKPLRLCLCGRLRRPPLDTTVGVTVLQHVMEASHPLNSTRVARLGLRNLAVAQVSDVNHRASFHLRTLDGAAASGRGHAAAAGNRGRSDVPGEIHVPEDGRGGVAGPEPCEGEILDSAIRPAGISGELDGEISCAGCDFVMKGINASGDLDMKDANLGGSSDSGTERLDLVDVPDRIGCDLDGEVCGVQSDLSGNEGFSFQNMKGNGHCSDSERLGSSANQIGTYSVNAINGEIHHSMDKGMAHKLNGDFPRQLVENVLEFQVAAVQNCNGVCREDAFIGAAIRQGWTMKNMDKCSISYTEKELKIDIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKLTGEVSVFEEFSITIPPKSALLFPCQRAISIDASDCQVQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESGGVSLYSEVRHEPRAGCLSTIESIAVAMRKLGEDKKGLDDLLCVFESMIADQRRCKDENWKQKLEAKT >OB03G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17375897:17384255:-1 gene:OB03G32570 transcript:OB03G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 18 [Source:Projected from Arabidopsis thaliana (AT3G20500) TAIR;Acc:AT3G20500] MEERAGARRRSRSPPMALLLLLLLSACSSAASGAPVLGEDYVRPPPAPRRCGVHRKALLSLFPWSKKKASSSASDPQQVHISLAGAKHMRVTFITDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYQCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCEHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKENILFFKSGFQSYNARWKMPYEESGSTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKIDRKRTPWVIILLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDIVIAGHVHAYERAKRVYKGGIDPCGAVHITIGDGGNREGLAHRYRNPKPTWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDAWINSLAGSGCIQDGSHEYRKILMSP >OB03G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17390210:17394509:-1 gene:OB03G32580 transcript:OB03G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSLPFGLSVGRKQASGGYRYTEQYEWQHLALRQREQATREQPHIGSAMKYPLHAYHSYPFGNKIGGYHGQGHACPRHSSKQGEYNLSPGLAQTSCQWTEGEPSLDHLCDSAKRADGYMRATERQGEFFSANQGSGSKNTNYQEGRHLPAEANFPSVPFPMIDKAGHRSYMENVHTYDKRDGYCSKDPMFNISDHTSVGRTCHRVEVGRAHTRKGFDEFATHHEQFHQSPRDNFRDHMGSSRSCRSTHKCKMSRKQCAKQDLQKKNSNSTFVGRHGRNSNRKRHGDHLDGQRVKRNMPSEDLSKELCYPKMKDWQSYSHVDERHSGSDALRNDNQEGQTKKIKKGGQIGEKGNYHPKKGIITTAVCSGSESNENSGSDGIKSKEVFDSKLHQASVTHVEKGVLMKESENTSPSELLRECLIIWRRLKKDNCAEAENIIQTNTNGSVKTSKISFSGRLGNQRPTNSGIDDENSSTSRSAYVSSESDDESNSPSDNSKRCRGVMSSCELQKCSKERAGRESEQPFQSLRGNNYMKSPKYIIADASHPESSVCQKVSQHGEITDHLDANSKNELIVGYGTEKTLVADCAQLGERITSLSAIPELLDKKVVALCSMHDDSLKVNVSECSNQDSEISQFSATKLDKGTTDTLLEKPVTLSMGSDCRDIQWDGKDYNILRIKQEHSQHADSEHDMHHKESEEGPSQALKVASNKQIPHQFVSDPENPCTTRQADWNSFSSIPDLNCLPSTNADEERRPFEKVTFQVDGEGTDTQNDIKSLSASSCEPTLQKEQFKQREANELTQGICERECANRFHSPNSHSGPSQQSIVEENSMSIDAFKIVLCEFIKNIMKPLWEDGLLSREVHKIIARKAVDKVITVLAAKVPLTEIATCRFLLDESQSLEKLVQGYLDVYVGREVLKKKHAQ >OB03G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17431151:17435456:-1 gene:OB03G32590 transcript:OB03G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTMAPMSMPALAVILFALPVLVALLRRRRPRSRDDGGRPGLPPGPVGLPVIGNMHQMLVNKPVFRWVHRLLEEAGGEIVCVRLGPVHVVAVTSPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSVSISPHGEQWRKMRRVLXXXXXXXXXXXXVRYVLARCGRDGADAVDVRHVARHFCGNVIRRLAIGRRHFREPPPADGGPGRDEVEHVDALFGTLNYLDAFCVSDYFPALVGLDLDGHEKVIKNVMRTLNRLHDPVVEERVEEWRRLRKAGERRDAADFLDVLASLVDAAGRPLLTVEEIKAQTIDIMIATVDNPSNAVEWALAEMMNKPEVMRKAMEELDAVVGRDRLVQERDVRDLNYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYSVPRGSQVILSRVGLGRNPRVWDNPLEFRPERHLSPPPSTEAAALTEPELRFISFSTGRRGCPGVSLGTLITVMLFARLLQGFEWSKPRGVESVALREAAASLVLAQPLLLHAKPRLAARLYGAMAM >OB03G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17447660:17453067:1 gene:OB03G32600 transcript:OB03G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGSAVETLCGQAYGAHKYDMLGIYMQRSVILLTAVGVPLAAGYALSRPILLHLGQSPAIADAAQLFMYGLIPQIFAYVIYVFSKELLIFLGESPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAAALALHLVLGWLAVYRLRMGLLGASLVLSLSWWAAVAAQFAYIVAGERCRRTWTGLSWRAFSGLPGFLRLSASSAVMLCLETWYYQVVVLVAGLLPDPQLALDALTVCLTLFGWMFMVSIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAMSLAITAAMAAMFLVFRGYVSYIFTRGEAVARAVSDLAPLLAATIVLNGIQPVLSGVAVGCGWQRFVAFVNVGCYYIVGIPVGVLLGFRFHLGAKGIWGGMLGGTCMQALLLSWTIFRADWNKEVDETKKRLNKWEDKKQEAAPL >OB03G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17472900:17481500:-1 gene:OB03G32610 transcript:OB03G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LQD5] MAAGEASPSSSHELSRRLEGILRDGEAPWARRVCKAAVVEVRLLMPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVLYAFSRPILVLLGESPEIAGAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYVSAAALLLHVALCWLAIYRLGMGLLGASLALSVSWWVIVAAQFVYIVTSRRCRLTWTGFSTLAFSGLPEFFKLSLASAVMLCLETWYFQILVLLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTALSFVITVAMAVVFLIFRDYISYIFTDGETVSRAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKTVAYINVGCYYFVGVPLGFLLGFKFHLGAKGIWSGMLGGTCMQTLILFWITFRTDWNKEVEQAKKRLNQWDDKKQPLLASTDE >OB03G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17488658:17495030:-1 gene:OB03G32620 transcript:OB03G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LQD6] MDLKLFEYEDEVFHFELDTSAPTKDEIQSRLCSNELQSPEMASKPLEIDMDADSTVQFDAFDTRSSTGNSIPPLETSMVSQTVQDEAKKKWQEKQVLGGRVYEEDREVLAAKCGYWVAALRREKRSCYGIYGYGAWHGERGGDAVRASLRRAQVRHAGRLHARGAPPERAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHVALSWLAVYKLGLGLLGASLILSLSWWVIVAAQFAYIVVSDRCARTWAGFSCRAFSGLPEFLKLSAASAVMLCLETWYFQVTVLVAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGNPRAAAFSVKVVTSLSLMVAAIIAAVVMCLRDYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCLLGFHFDLGAMGIYGGMILGLFVQTLILVYVTFRTDWNKEVGEAKKRLNKWGDIAKPLLANED >OB03G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17500408:17500911:-1 gene:OB03G32630 transcript:OB03G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTRIMCGQLGTLELAAASLGNVGIQVFAYGLMAGRAES >OB03G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17508127:17513880:-1 gene:OB03G32640 transcript:OB03G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LQD8] MGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVLYAFSRPILVLLGESPEIASAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYQLGLGLLGASLMLSISWWVIVAAQFVYIVTSRRCRLTWTGFSTLAFSGLPEFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFVLSVLIALGILLCRDYISYIFTDGEDVAAAVSKLTPLLGLTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAALDSMGLFERLRFKLAVGQSQLPESAKSRYLVSIGYLEWYDRRYTDADLDLGLGHLQDQLEQRGGRSHEKTEQVGRQDTSVVRIRTKTKGGKGVMVTLRAYMARTNGIRWEVDLYVVKK >OB03G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17510443:17510829:1 gene:OB03G32650 transcript:OB03G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPSQCKCPAPLIFSRGRGTRTTSDRDILLIATYQTEREASAISGSLRRPAMSTRIWKYQVSRQSMTAEARDSLKNSGKPENASVEKPVQVSRHRRLVTMYTNCAATMTHQLMLSMSDAPRRPRPSW >OB03G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17513621:17513824:-1 gene:OB03G32660 transcript:OB03G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMVLNYSSSLSIKPNPSAAARHLQPAIFTNFYCYYH >OB03G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17534921:17535241:1 gene:OB03G32670 transcript:OB03G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTPRTRVKLTLSFMNNPQGDYGKHGSNTSSYSSPLRSIFLLHVLIHAHMAEIYVGEGVAQEREKTKQWRLEGKGESVFSPLGFRWGKVCYVYWSSVQDSSAHL >OB03G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17538545:17538706:-1 gene:OB03G32680 transcript:OB03G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSSQQQQPDANFQDDPTQTWYPSLVGSSSHPSTLSFSSVCPHQRASDNP >OB03G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17539622:17539846:-1 gene:OB03G32690 transcript:OB03G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTTEVERYMYNVCNKFCQYYMVTSNSLFRQDWSEWMAGIDPNVPSRSVKLPVVSSYWNGYNITVVCENILIK >OB03G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17541694:17549890:-1 gene:OB03G32700 transcript:OB03G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LQE4] MYMINYLMSMSTQIFSGHLGNLELAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTLTGVPLAVVYAFSEPLLVFMGQSPAIARASAIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISAATLALHVLLSWLVVYKVGLGLVGASLVLSVSWWVIVAAQFAYIVASPTCRHTWTGFTSQAFAGLWDFLKLSAASAVMLCLESWYLQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTGSCTIVSAILAAVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIIGVPLGVLLGFVFNLGVKGIWGGMLGGTCMQTAILVWVTLRTDWKKEVEEAQKRLNKWDDKKEPLLAGMRDNN >OB03G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17561767:17562439:-1 gene:OB03G32710 transcript:OB03G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKVNLQHTTDDATRQAIYKKRCKDLMKKVRELSSLHGVDACIVVYSEDKPQVPELWPSMAATSRIIERFKSLPLADQHKNMTNLENFLKQCIAELQEKVDKLEKKNEQRETMLLLHKALAGRLPSFVGLTTEQLTRLNSMVHAKLTSVEERLKVLRAGSLSLQGCGMAHT >OB03G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17573060:17573632:-1 gene:OB03G32720 transcript:OB03G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRGLLELMAAVDAGLVAVDDDGGARSAGRRRASYGRRRRQISAPEAVVVDTTPPETDDASFEFSAAVSYSSASPASMVFSDGQLRAHQFPAPVVRPSSPASGPQVASPALSSSTGTGGKGGPAGSKKSVSFRDGGAGRAAASMAGEQQQRTKGGGLLGCMGSRCTCGSSRNEVVEPGKNANRAAVAV >OB03G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17580062:17583533:1 gene:OB03G32730 transcript:OB03G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAAGAARKPRSPLKFLLCFSPPRNAVGSSGGHGRSSKGKMSSVDLRGLAIAFETVAQAAYRCTSAAYENVVLRRNYATVVSAKAEAARTGAAGHDQIQSDIFGREAEAEQILEKVRFSDDPHYRLAIGVLPVVGAEGVGKTALARFVFHHDVVRAEFAVRMWVHVSGEVQLKEELLVQMIHGVAGGGDGRKVEDIRELLRQELAGKRFLLVLDDVSDVGDVQWKDLTRLLQPAARRSLIMVTTQSDNAANGIGTMPALPLKSLASKDYQKMFRHLAFGSTDESEDYTPLGDEWDDVEAADDDEEEAQSPMETVASELAKRMGGLPLPATAIARSLCLRREEDHWKAVLDDKLWEQSRRDAGAGVSPALWLSYQHLDPRLKQCFAYSAVFPRSHVFTKDELVQMWVAQGMIYPDDAAASPDDIGGKFFDDLVERCFFQPIGSSSRYVVHNSMKKLAQAVSANQFFAVTENSGEVPPEVRHLTIVTNNLTKLKGDLALRTSHSSGADQHFLRRVRTIMFFADFSNSDEFIQLLAEIFTVARSMRVLGLTYANIAFLPAEIGLLRRLRYLNLSRNRIADLPESVCDLYLLQVLDVSSSSPYLRPPNGISNLIHLRHLHGSEHFLSDITKIQNLSHLQELEVYNVSSISRIDALQGMTQLRGALCLGHLHQVDVGEVSKGILKGMQHLKRLELSWSSCDGQSREVSTDEGLLECLQPHENLKDLRITGYAATKCPPWMLKTPCSLSNATSVILTDCTNLKSLPPLHILPCLEILEMRRMHSVNKVATVPQRPDRIMFPKLKRLVIEDALDCTEWLTDSSKPRNTVFPSLCEIQIRNCPKLRKLPDLPLTLTTMFIEDVGLEALPRIQDWNSSPPSSSDAIATSKEGRWTSRLTTLEIHQCHSLKSLGSGLLQQQQLLRSLELLSIKNCDSVTCDLTDGFQDLTALTDLSLYDCPNLLVDKFHTSLRKLQINECFIAQGAWVDDYPFLFSVWTLQITSCCHVSTHQERRIEPLDWLNCLFNVCSLHLENTLLLKLSMFDRLHSLETLEIDGSRSFFGDLEEGFEWLEKLQTLSIKNCNELRMLPANLCTLPVLEELCIENCPALEALPASGLPSSLKRLSISKCSSRLTQRCLDGELGGSKVTKVGVVYVDGQCISFQQK >OB03G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17599720:17599989:-1 gene:OB03G32740 transcript:OB03G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDAAPTWAEQWGSGGDGSSKKGGAGSGSGEKKTTVAGNVKAAASESLVKAKAAAMVGAQKVKSGTSSGIKWVKEQYQKRASK >OB03G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17600327:17600545:-1 gene:OB03G32750 transcript:OB03G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESRDSWLCSLTAHRLRASDEVVTRGILPFLRHETKLKQSISFHIYILLLTSRSKGNFLFFYLASISCVYKRSP >OB03G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17608339:17616033:-1 gene:OB03G32760 transcript:OB03G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LQF0] MADAVLLGGGSREEIVLVDIVDDGDGHQDVPAVRRQDSLYVDATRAGGANHRGGQESWARTMRLAFQCVGILYGDIGTSPLFVYSSTFKDGVRHRDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELVSGKHNAGKPQATLRRARWMKELLETNRAVKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVATLVFLFAIQRFGTDKIGYLFAPIILLWLLLIGGVGIYNTIKFDTGVLRAFNLKYIIDYFRRNKKDGWISLGGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLREHPEHIANTFYRSTPNVMFWPTFILAVAASIIGSQAMISCAFATISHLQTLDCFPRVKILHTSRQYSGQLYIPEVNFLLCVGACLVTICFKTTVIIGEAHAICVVLVMIITTLLLTIVMLLVWKVSIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVAMSVVLMIVMAVWHYVHVKRYEFELEHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDRSERFIFRHVDKEEYKVFQCVARYGYRDPVEEAKDFVDALVENLQYYIRDVNFYAMGGEQMFRTTSYASSVADSSASYEKHSGHAVYAEEMLTPAESFSEHTKQLSGRSKHFKQFQVENMNMEKIEKIQQEQQAILREMENGVVYIFGESDMVARPHSSLLNKIIVNYVYSFLRKNCRNGEKMLSIPRSQVLKVGIAYEI >OB03G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17616271:17616462:-1 gene:OB03G32770 transcript:OB03G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSETTYLQQDKGGFQVSDECRSRTDVKARFVCFDLNLEPHVIIPDKVAEEALEHVERPQLLLP >OB03G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17625765:17631169:-1 gene:OB03G32780 transcript:OB03G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LQF2] MAAQAGGSRGSSRLEIFAAARGGSTGXXXXXXXEAPPLDVLRQDSLYRDATRPAHGHHGQESWMRTLRLGFQCVGILHADLGTSPLYVYQNTFKYGIKHPDDILGVLSLIIYSFLLFTMVKIVFIALRANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVTGYNDHAKPSATLRRAQWMKSLLEKKAAKIAIFFLTIFATALALSDCVLNPSISVLSAVNGLKLRAHHLTTGEVVWITVGILVVFFAVQSFGTDKIGYTFAPVVVVWLLLISGIGIYDTVKYDVGVLRAFNPKYIIDYFRRNKKEGWVQLGEILLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYVGQAAYLRKHMEKEFIQNAFFNSVPRPLFWPIFVLAILTSVIGCQAMVSCAFATMSHLQTLSCFPRIKILHTSRRYSGQLYSPEVNFVLCLLSCVITLSFRTTGFIVKAHEICVILVMVITTILMTVVMLLVWKVNIWWIVAFFVVFMSTETVYLSAVLYKFAHGPYMPLAMSAVLMAIMATWHYVHVKRYKFELEHTVAPGKAKQLLERRDLKRVPGVGLFYTELVQGIPPIFPHLIGKIPTVHAVIVFVSVKHLPVPHVDVSERFLFRQVEPRECMVFRCVARYGYRDTLEMADGFVGTLVEYLQYYVRDLNLYSTAAPEALPRMGSCPSVRAESFSSWDRRPSGHGIYAEEMLTPIQSFSELTMHPVGMSSRLPQFQTTKMSLQEMLKIEEDQKLIQREVDNGVVYILGETEVVAKPHSNLLKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >OB03G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17711825:17716262:-1 gene:OB03G32790 transcript:OB03G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LQF3] MDPSVEKDRKQMDHELVDVESGRPDDDAATVERQDSLFREVVRAEHGGHWDEEGGWGRTARLAFQCVGILYGDIGTSPLYVYSSTFEHGVGHPDDVVGVLSLIIYSFLLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSNNSGGKPSGTLRRAQWLKQLLETSMSAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREKAPHLTTDQIVWITVAILVVLFAIQRYGTDKVGYTFAPIILLWLLLIGATGLYNLIKHDIGVLKAFNPKYIIDYFRRNKKEGWVSLGAILLCFTGSEALFANLGYFSIRSIQLSFSFGLLPSVLLAYTGQAAFLSKNPENVANAFFAATPISLFWPTFIMAIAASIIGSQAMISCAFATVSHLQSLSCFPRVKILHTSKRFPGQLYIPGVNFLLCVAACIVTVSFKTTVIIGKAHELCVILVMIITTLLMTIVMLLVWKTSILWIALFFVTFASTEAVYLSSVLYKFTHGPYVPVAMSAVLMAVMAVWHYVHVGRYRYELEHTASADEVRQLLERRRGDLRRVPGVALFYTELVQGIPPIFRHLVEKIPAIHSVLVFVSVKHLPVPHVGSSERFIFRQVEAKRKEEEEYRVFRCVARYGYRDALEEEAGDFVATLVQNLQRYIRDASLYCTPEDGEPHGCISCRSSLGHSFVSHETKPSGRHAVHAEDMLTPIESFSEIATAHSNCGSSHLPHLKACKMNMEELLKIEQEQLFIEKEMEKGVVYILGETEVVARPHSSLLKKMVVNHMYSFLRKNFVQGQKMLFIPHRQLLKVGISYEI >OB03G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17725654:17730969:-1 gene:OB03G32800 transcript:OB03G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LQF4] MSFNKSRGAAALGGGDELVLRGTISKKWTFLLCLGSFCIGLIFTNRMWTMPEPKEIIRRSALEVNKMNLVSGDCAQSSIAEQKDIIGEVPRTQDAIQALDKTISNLEMELASAKASQEESELNGAPQSESTGKRRYFMVIGINTAFSSRKRRDSVRATWMPQGERRRKLEEEKGIIIRFVIGHSASSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSFFVTALSTWDAEYYIKVDDDVHVNIATLGNILAKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQAGNVCAASFDWSCSGICKSADRMKEVHQRCGENGSAIWNAKF >OB03G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17751405:17755041:-1 gene:OB03G32810 transcript:OB03G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPHYWQDMTMMLDQKRWKKILEKKQQQA >OB03G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17773062:17779866:-1 gene:OB03G32820 transcript:OB03G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G49350) TAIR;Acc:AT1G49350] MAETTTTSSALRRMESVRRHILPASKPVLHQNPLSAMRLDPSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYISGGVARNIAECIYKLETRLFMISVVGNDMAGDFLLKYWRSAGLSTDGILQVDDVTTPIVSNVFDGNGELIVGVASVGAVENFLSPSWIYQFCLHISTAPLLMLDANLSPESLEAACKIAHESGVPVFFEPVSLVKSSRIAPIAEYITYTSPNEIELVAMANSLSAPKKYSFVKMEQFKNKTKAVDYLFKMLKPAMFFLLEKGIKFLLVTLGSNGVFVCCKECTSLMKVQRKYEMMSFSTPVREKLEQCFPSNMLINLPREGSSRTYVFHLPAVSASVVSLTGAGDCFVGGVISALCGGLDMVQSVAVGIATAKASVESEANIPDKFSVATIADDAKRTLLSAKTMWCQ >OB03G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17780979:17782402:-1 gene:OB03G32830 transcript:OB03G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASLFPALVAAHFPGAVYARQSLKFAAPVYVGKEVLVQVQVLHIRASATKYIVKFGTKCFTNGSENSPAVDGEALTVLPSLRLRDNN >OB03G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17807827:17810438:1 gene:OB03G32840 transcript:OB03G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIKPAPASSGSEEEKEEVVPAGSGERHEEQEGEAAALPVVLKKGPWTTAEDAMLVQHVRQHGEGNWNAVQRVTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLHLAFAKRCRYDDFSSPQQSAGSNVLSLDAADAASAAYASARPPPLDLAGQLAMASRPVQFTPFSAPSSPWGKPFPRTAHFFQFPHSSPVSPTTPTGPVHPLTPELSLGYALHGGDRTRLPPVSPSPGARLELPSSQLRPATAIPPSTASIGAAIATAAATGCLAGGSLHDHPNAASLEAMLQELHDAIKIEPPAPPANRATGEGGGGGDPCGVSGDNKPEVELKDDIETLFDLIIPVTFPAPPEPAAATANHSGSVSQHSSDDQDLHSNAHVALHLPILTGSGGGGGGGGGTGSSEHDWSLDDDGACQWNNMSGGIC >OB03G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17820287:17820391:1 gene:OB03G32850 transcript:OB03G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSLFSRIHTSLISVWRAIFRAQVEVRPHWENGAP >OB03G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17828944:17829862:-1 gene:OB03G32860 transcript:OB03G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGCGGPPRDDPESGFAPDDPDLLRNRPAPDRLLVVSAGVAANLVFAFLIVYAQALTVGVPVQAQLPGVLVPEVLPGSAAARAGLLPGDVILSVPSLAPDPSVPVLVDLIKASPNKNVSVTVSRTGPGAGDRRAIDLTVVPDTSVDGTGRIGVQLSPNFRVTRVHPNNLAEATVLALREFTALSGTVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREIEQRIMSSGILVVLMVGMFLIVRDTLNLDFIKDIL >OB03G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17850605:17854781:1 gene:OB03G32870 transcript:OB03G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVPAPSGASPSNEQSSALRDVRTLQRTMTRIQRTLATTDEHDTRDASERLRLWELQQFAYDAQDAIDEYKFELLRRRMDDPNSHGDGSGSRKRKHKGDKKEPEPEPEEVSVPDELAVRVRKILERFKEITKAWDDLRLDDTDTVMQDEEHSILPLPTTPHVDVPTIFGRDEDKEKIIKMLLSVDCANGGDVSVLPVVGMGGVGKTALVQLVYNDRRVLNRFDLLGWVHVSENFDPKIIMSKIIMSFTKKPCQTTEMDQLQYMLMEQVVGRKLLLVLDDVWNERKDLWDALLSAMSPAQSSIILVTTRNTNVSTMVQTMHPYTMSCLPFEESWRLFKQMAFLHQDEGMKTNFEDIGRKIVQKCAGLPLAVKAIGSALRFEENEEKWNYILESEQWELPRAEDTVMPALKLSYDQMPIHLKRCFVFFTLFPKGHIFLKENVIYLWMSLGILRRTGQRNLDNVARCFDDLIQRTMVEKIIFDGGHGCFSMHDLVHDLALFVSCEDIFRVDTQYMHSLNEASGSLRYFSLVVSSSDHTNLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRRFSKLFSHHIDLTFPNDLWSSFRHLRALDLSRSSMKILPDSIGELKLLRYLSIFQTRISKLPESICTLYNLKILDARTNFLDELPQGIQKLINLQHLSLDLWSPLCMPTGMGNLTKLQTLTRYSVGSGNWHCNISELHYLVNIQGELTITGLGRVDKVDDAQTANLISKEHVQILRLDWSDGFYSRECDHNSSHADVKATPELAEEVFESLKPHRNLEELEVDEYVGHKYPSWFGDSAYSQLAKVTLWKQGCKFLPTLGQLPRLRKLEVIRMEEVERIGQEFHGKNSTNRFPALEELEFEKMPKWVEWSGVADADFPSLRQLKIKDCNELRILPQKLSPSLKNLVINKCERLARLPTVANLTSLLLKGNFSEEIHSGLDFPVLKVLKEWANWQQSLIDKEDKLQEFDNVSYDPEVLHALSDDSEDDFEPFDEDEDDNIYDEMLDVGQSSRTAINGDDESDDYV >OB03G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17857614:17862998:-1 gene:OB03G32880 transcript:OB03G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLGGASSGGSPSPAAAVTRPISTGFREERDTFGPIRVPDDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPKIGKAIIQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKIVHPNDHVNRSQSSNDTFPTVMHIAAATEINSKFVPSLQQLHKSLYSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTIGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVSGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKEAALSLGVLTETEFHELVVPEKMIGPSD >OB03G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17863941:17865026:-1 gene:OB03G32890 transcript:OB03G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWWRIKLKEELEKQHDLKETYKARLESTQAYLRFCLEVAHEHGFLHLASSSNDDDESSSPPHDAGDEPATAAADDDNGDEEDGAEAPICDPHFAATRDLAVQHVWSVSPDEFFLADPSHGEAFFAQELDALSRQRDLHEETPMVVHRNLKPNNELLDGDLRVRVANFGHARFLPDGGTKVLT >OB03G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17872727:17876739:1 gene:OB03G32900 transcript:OB03G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVASGIIKWTANKLSSLLSPPVVSASSDEQSTSFRDLRELQRTMARVQRTLNAMDEHNIRDEAERLRLWELQQFAYDAQDAVDEYRYELLRRRMEDQTNQGQSSRSRKRKHKGDKKEPEPSPIKVPVPDDLAARVRKILERFNEITKAWDHFRLSESDAPIREDDYDVKILTAPYVGDFNIVGREEDKESIIEQLLSDEAGQANVSVLSIVGMGGLGKTTVAQMVYNDERVSKYFKLKGWVDVSEDHFDVKEIARKIVMSFTRNPCDTEDMDNLKDMIMAQVQHTEFFLVLDNVWNVKKELWDDLLALMVGAQSGMILVTTRDETISTMVGTMPSYDLSFLSSEESWQLFKRMAFGCTDQHMGQQFEGFGRKIVEKCGGLPLAIKVIGSSLHGETNEETWKDVSEDDQWKLPVGEDRVLPALKLSYDRMPTQLKRCFVFLSLLPKGYYFWKEDLINLWMCLGLLKQYSTRRHENIGTMYFDDLIQRTMVQRAESGEKPECFVTHDLIHDLANFVSGGDFLRLNTQYLHETNGNFRYLSVVISSSDHTDVALNSVRMPSRGIRILKFVNARDNRSCSSKLFSHSINIKIPTETWQNLKQLRALDFSHSALTQVPDSIRELKLLRYLSLFQTRINTIPESISDLYNLRVLDARTDSLREIPRGIQKLVNLRHLNLDLWSPLCMPRGIGALKGLQTLPRFSIGSEGWHCNVTELHHLVNIHGELCITGLRRVTNVNDAQTANLVSKNHLQILRLDWSDGVCPNNCSHPSSSNDVATPEQEEEIFESLRPHKNIEELEVINYSGYRYPSWFGASTFMDLAKIILCQHSCKFLPPLGELPRLRILSMEYMTGVEHVGQEFRGSITTRAFPALEELEFKEILKWVEWSQIGQDDFPSLRLLKIKENHELRYLPHTLSSSLTKLVIKDCSKLASLPAIPNLTALVLKGKINEQLLNDLHFPCLRSLKVLLSRSIEHILLDSRNHPLLEVLVISVCPCLHSIMGLSNLGSLKFLNINRCPYLQLPSDKPLSPHLQRLTITKCPLLAHWLEIQNSRLQCQLDGSKDAWYEEQQALYELNDASEDEQREEFGLLSEDENGEEDDEQDHEQSEDQETHYGNNDSSEDE >OB03G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17885486:17890605:-1 gene:OB03G32910 transcript:OB03G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAVVSVVVLAAASCSPAAAAVPAVYVLGDSLADVGNNNHLLTLLKADFPHNGIDYPGGKATGRFSNGKNFPDFLAENLGLATSPPYLAISSSSNANYVNGVNFASGGAGVLNSTNKILRSYGPAVSFCGGWGDAGGLGMWRRGGADGGLRYGGAGGGGGGIRRSGRRQPRAASEGTALGTNFGDRGGPGGDKLRRRWREDQCISFDKQIEYYSKVYASLVQSLGEAQAANHLAKSLFAITVGSNDIIGYARSCTIWERGSCCSWGRGRWGAARRCVS >OB03G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17899679:17904858:1 gene:OB03G32920 transcript:OB03G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGYSSVLSSRSSHQQVRQCWQRHVRSGAVASLAYSARSGAATAVATCEVRGGGGGVSLDGIGLLRWGWRRLQIRRGRGAKPSFCLYDVFRSVGGEGIEGENATKRGLNVEMRCHLMVGLNELTGGSTVSYPWVSLVMGQITHGPNGSKSMGYKGFSPWAMKEGCTGRSQPGRQQRLSSLIFFDSSALSPPPPLLLDYKETSLHTMERAISTSGVLVDSLTVDLSLQRSHKKRARIWEHIETELIDGKEKAICKFCKLKLSSEPGQGTNHLNRHIGMYCPSIPTEERDKVLLHWKSHSSDGDQPIFDAKSCSNRQVLY >OB03G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17908640:17915067:-1 gene:OB03G32930 transcript:OB03G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSRRCRISSGVCVAVALILAFLACCVACASGAVPALYVLGDSQADVGNNNYLPESLLRANFPHNGVDYPGGKATGRFSNGYNFVDLVASSLGLDSPPPYLSIRNSSNSTIYLKGINFASGGAGVSDLTNKGQCISFDEQIERDYSKVHAALVKRLGKRNASTHLGESLFVVAIGGNDIIMQVLFSPVTELLSTQKQFISTLADTLKRQLQSLYDLGMRRLFIVGAAPLGCCPLLREQSPTKACQAEANSMAATYNDAAAELLRGMAKRHRDMSYAFFDTSTALLQAIHDPKARGYTEVKAACCGLGVNNAMFLCAPDSKYCSNRTTHMFWDLVHPTEMTSKKLMNVAFNGSAPLVSPINVKELTQC >OB03G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17918601:17926900:1 gene:OB03G32940 transcript:OB03G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKKMELADDSFAHAAACRPPAQRHAHQVCLCARLRYKQRTDRHPTLYLRRVVVASCADGPEPGMSSSRRWASASGTELPAAALSPIRPSARRSPVVSRPEPPAAAAAIARTVWPSSSSSSSKTSKRKASPSPLSSSVQPSISSSSSSSLAEAAASSVPTLADHLLISKDSLDALPALSRQRSCTELPRFADADAEARQVDKSTGKAGRHAIGRSMRFLPSTRPAGVTLTPGRVAPSDLRRLAGALDDAGADVASSGSECSDASRGTPRTKLSKPHSPLLPRTNSTRQLLGSSNTQWALSPGRRSGSPLRTLAAVPELRGKKSLIGLGWGHLFSRRKASGTEAAATLSSPASRSGGREIGHQMKMAHCRLLQWWFANAKAEAVSNNKLSITEVDFMGTWARISELQGKVARRRVLLEKEKLKIKLNSILSSQMVILESWGLLESKYAVALASIIGCTQAAVCKLPLTNGAKVSLPSMAIILQQALDLTTTTMTTLRPFTPLGHDSTLLIAELVVVAREEHALLQECLELLGRVSALQVEEESLRCH >OB03G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17946394:17950626:1 gene:OB03G32950 transcript:OB03G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGAIPFHSPAPAVASSPAADGSQHGDREKAVSAEIERVNRLPSNSSYAIHRLKVLNKLRHLMSIKRTTSQDEELELLFASLSI >OB03G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17958660:17961052:1 gene:OB03G32960 transcript:OB03G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRPVLFPDHHGPAKVNLWQDPLNPGNWKEEHFVLASLAMWGGVIYGVSRLFSGKKKDTTTEAVPSPAQ >OB03G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17965992:17969524:1 gene:OB03G32970 transcript:OB03G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKHTDKAKAKLTLLKSKVICCKLYISESQNAKVVDAISHIVQKDPEVVLLSKFEDDHYNRVRYTLASYIVNDSSTGEVKLSPMRRVLLEMTETAFSTINLEMHTGTHPRIGVVDDMSFHPLNQATMEDAAQLAKMVASDIGNGLQVPVFLYGAAHPTGKSATAVRRGLGYYQANYMGIQWTGQVLPDILPMKPDEGPDHVSRERGAMMIGAAPLPLSYNVPVLSKDIPTVRRITRRVTGRGGGLPTVQALALSHGDDCTEIACFMDPDHVSADQVQRQVEQIAAEQGLEVDKGYFTDFTKDRMLEKYFEIVLAID >OB03G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17969007:17969207:-1 gene:OB03G32980 transcript:OB03G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEYKVLVDNNTWFPSPLQLSAMANPVHHRRMKHTNIDIHFIHKKWCWDRFTCFTYRLLISSRTS >OB03G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17970455:17972017:-1 gene:OB03G32990 transcript:OB03G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSDIAPDRYTFPALLSGIAREGGSGCTQELGGALHAHVIKAGLEKDPFVGASLVSMYAARGTVKDAKVAFDQVGSLDPIVWSSMISAYANCEEEEAALLAFFNMLCQDIKPTQFVYSSVFSVCGRMSKLEMGKQVHGHSLKSNTEEDAAMLNALLSMYSDCGCINDAQKVFSSNDNVNVVSYNSMISALGQHGYPKEAVEHFRQMKLAGLMPDEVTLLSLLSAFNHAGLVHEGLQIFNSMVDIEGIKPTYQHYACIVDMLARSGLIGEAIKTINGMPFEAEAPLWRIVLGACNKHLDIDTGKHIAEMLFEMEPYEATNYILLSNLYARLGKWTEAEKVRNLMDGRGVDKDDAFSWIEMGQRTHIFGVDDRSHPISREIYRNLDSLISNIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGSTIRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNRGSCSCGDYW >OB03G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17976478:17980779:-1 gene:OB03G33000 transcript:OB03G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3LQH4] MAGRSRYDNPFEESGGDEVNPFSEKTSKGGSAGQSSYSGGAFYTTQSRPSPPSTRLSPLPPEPADFYNDFATPVDIPMDTSKDMKTREKDLLAKEAELNRREKEIKRREEAAARAGIVLEEKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIVCVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKTVIVGVFYFLGFAMFCLESLLSMWVIQRVYLYFRGSGKEAEMKREAARSAARAAF >OB03G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17981633:17991186:-1 gene:OB03G33010 transcript:OB03G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3LQH5] MARSWGHSDNPFEEVDVDHQVNPFSHPRPTPLPHEPPVTFYNDPGASVDIPLDRKQDLKKKERELLAKEAELNKREQELKRREEALARAGVFVEPKNWPPFFPIIHVDISNDIPLHLQRVQYVAFASLLGLVICLFWNLICVSAIAILWSDPRAWFLAAIYFITGCPGAYFSWYRPLYRAMRKESAFRYGWFFLFYFFHIAFCIYAAISPTIFFVGQSLTGIFQAINVIGHNGTVGILYFLGFAMFVLEALLSIWVMQRVYWYFRGKGREAQMRPDAAAGGSRF >OB03G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17989029:17989438:1 gene:OB03G33020 transcript:OB03G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRPGRHTCYLGFDITVMWLDSTRINDSTAATCNQVGDNKQSKELHQAVGVDATNIGMVVDADSKNFFCYQGNSAILPESQQQTRQLGVDPAWGLWELQPPYTLDAP >OB03G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:17995106:17995843:-1 gene:OB03G33030 transcript:OB03G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIANDAQRRATFRKRLKGLSKKASELATLCSVDACLVVYGEGEAEAAEVWPSAPEARGVLERFKAMPQLDRYKKMTDLEGLLRQRVEKLQEQLHRARIDADQSETKLLLAEALQALRGGGGGGCGLERLTIEQLTSVGWMAGARLRTVSDRLETLRGQAALVPSVPTTTTTQAPDHLLGMVVSPHAITGYTAMAEDGGLGGTAAPSGDMDMVVQASSSGTAGFSWASQGFFSFPSM >OB03G33040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18020298:18021335:1 gene:OB03G33040 transcript:OB03G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKDAARAGAVAKEVAPPLPPEKELALPVPVVVVVEEEVKEVLSETAVPVSRPRPPEPEEEVVKRRLERKGEVEEEEGSESASVSSATAEKAKAMAKGGVEQGLEQKVVDGAEKVRATRTPEKRRPKEAGNGRTRSPSPASAQRRQGAGEHAALPPXXXXXXXXXXXXXXXXXXXXXXXXXXSAARRAAESAVRRTHSAREADMMLPHSSRTPAAKRSLNATVNGNANAHVGGAPKRDPGERSGRRPDSPTSKRVPPASPAANGVSQRPQHSLNGGGVTRKTARENTTLEQTKPQCGSAGGRTPPEDPRESPDESTLEHAADGGGLVQNPSVAMECFIFL >OB03G33050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18048999:18052720:1 gene:OB03G33050 transcript:OB03G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVAFDQTAWSAMWNSIYFVVLGFLRFESPSTTFSELKSTFWPMLTAGWKLWPFAHLVTYGLIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTANASNDNSR >OB03G33060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18050874:18067299:-1 gene:OB03G33060 transcript:OB03G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MLLRAFAHRVRRPASRRYAVFLVPTVVLVGQQARVVEAHTDLVVKQFYGDMGVDFWDAATWRSALEDAEVLVMTPQILLDNLRHSFFRLQDIVLLIFDECHHAKGNSPYACIFKEFYHPQLISSPADPLPRIFGMSASLIYSKDLDRHHYSKQISEIEDLMNSKVYTVDSESALSEYIPFASTKIVQYDDSNISSELHDNILSCLYILTKKHIEALKGKLHDSSLENAKQRISRLSQTFIYCVDNLGVWLAAKAAEVQSYQENSVSFWGEILDKNIESFVSNYSEEVHRELSCFLKKGHIGDNFPTDVQDGILTSKVHCLIESLLKYRHMQELRCIVFVERVITSIVLEPLLSSIHQMSGWIVKHMAGNRSGLQSQSRKNHTEIVESFRQGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMQKSDYLLLLRRGDTEARTKAEKFLASGQIMREESLRLGSISCQPLENTLCEQTYYRVESTGAIVTLNSSVPLIYFFCSKLPSDEYFKPFPRFYIDKASGTCTLYLPKSSPVQTVNVEGEVSILKETVCLKACQELHAIGALTDFLLPELGGVPCDEEADIVVEKYQHEQPAYFPEEFVDNWCSFSHQGIYYCYKISLEGCLETDSPTDILLAVKCDMGSDFTSISFQMPGGQDNACVTMNYAGIIHLNQEQVIIARRFQTTILSLLISDDHLVVSNAIKYSHEMQVSIGVVYLLLPLVSGKIDWCSMKVSASPVYEANGKHMRHCHSCEDVDLLQTNDGPFCRCILKNSIVVTPHNNRFYAVTGFLDLNANSLMPRHDGSYVTYKDHFKTRHGLTLTCENQPLLAARKLVKVRNFLHKCYSKKEKEPGDTYGVQLPPELCSIIMSPVSANTLYSFSHVPSIMFRIQSMLLSVKLKVQLAPTMQQFDIPILKILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLEHEGILTKMKKNVISNAALCQLACNNNLVGYIHAEEFNPKDWVIPGLDCDESGNSKISFLAPNGLYSQRKISIKSKRIADSVEALIGAYLSTAGEKAAFLMMKSLGMNIEFYTEIPVERKLSIKAEEFINVRTLEVMLGYKFNDPSLLLEALTHGSYQTSGPTACYQRLEFLGDAVLDHLFTVYYYSKYPECTPELLTDLRSASVNNNCYAHAAVKSGLNKYILHSSSELHRKMSYYLENFGQSFTGPSHGWEAGIGLPKVLGDVIESIAGAIYVDSRSNKDVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRGAYKITYEETHVDGVSSVIARVKAGGTTYSATKSGPTKLVAKKLASKAVLKDLKAGLEDPKGAAVQ >OB03G33070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18093255:18093647:1 gene:OB03G33070 transcript:OB03G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHWKLQQQRLATMFLGPPGTSTTAAAAAQANDGGVSGTAQGHAAVGGAFLHMAGSVPSIEAVPSATSWFMDSCSYGLPSPPPPAAAAVATTSSNLNSGRSSGGGGDDNATSNCGSVIPAWGDISTFAMLP >OB03G33080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18101689:18102505:1 gene:OB03G33080 transcript:OB03G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAPIRSGLGEKKMEDLDIAMHAWNLFVEDTESYYGVNLNVLTKAYCTEHGKYYRKSSIWNNLHPNQVIGQTVVIKEIDCLLATVDEIREVRAQVTMPIKIDMARLAALAGWFCSFSMRDTHYFLLTLSRMVSKTQQLKKSS >OB03G33090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18108590:18109174:-1 gene:OB03G33090 transcript:OB03G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEGYSEKLQFPRQYFIYPLLFQEYIYVFAHDYGLNGSELVEIFGSNNKKFSSLLVKRLMIRMYQQKFWINLVNYPNQDRLLDYNNYFYSEFYSQILSEGFAIVVEIPLSLRELSCPEEKEIPKFQNLRSIHSIFPFLEDKFLHLHYLSHIEIPYPIHLDILLQLLQYRIQDVPSLHLLRFFLNYYSNWNSFI >OB03G33100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18110685:18110906:1 gene:OB03G33100 transcript:OB03G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELVSFLYLQFRTKVDGPPPLSVEEEASSLVSHPSTCHNICALFHGIAHSTIGPHISLLDSEILFPQPGLNN >OB03G33110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18110268:18116336:-1 gene:OB03G33110 transcript:OB03G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucanase 113 [Source:Projected from Arabidopsis thaliana (AT2G35610) TAIR;Acc:AT2G35610] MAPWCPAESTKPVFVGIYGAVIGGFAVSALFFLLSSFSSISAPPLPLPPATAAWGAANLSASGAAQPETMYNRPIWKPPPRRERMPPPRAFRLTREMVGARARGGVIVVTFGNHAFLDFILTWVRHLTDLGVVNLLVGAMDTKLVRELYLRGVPVFDMGSRMATEDAGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLSSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRSTEPAKRLAKEWKDLVLSDDKLWDQNAFNDLVHKNFGHPVVGEDGLVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVESHFALVNYQLKQIRTALAIASVLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVFEVNVMLKDLSEDEFGPLIDFREYSFLENPSLPKQVKESFREVELCNEHSTRCSATNRTNKGAPVLLPRNSTEQTILNIFKPYKDIKILQFSSMVDAFRGFSDAAVETKFRNRVKRYVGLWCCVQFREIGHIYYDMYWDEKPGWKPLPPQTKEEDHPPWS >OB03G33120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18124784:18127250:1 gene:OB03G33120 transcript:OB03G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGEPRQMTLQERGSADPPIYTIRILVPQHAMTLIFSFTNGVDWDGPYTLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDANIVITSCEMGSYYEEGGDRCKLDIVSGCMDPNSHLFDPLATVDDGSCPLESDSEE >OB03G33130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18126024:18126398:-1 gene:OB03G33130 transcript:OB03G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMACHVTLTCMRNSIRSGCISLFGPFADFPWIFMVLCLASPGLIWWQPLIDMNDIFPVRRQQNRFKRAECMEILELFRLAVLNFGDSCVYFQYEVTQYFLLLVSSGSMPSFLQPADTDHICIG >OB03G33140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18126266:18128078:-1 gene:OB03G33140 transcript:OB03G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINIGRSDIFEVLNIILVQYLPLSMYNLVAQALIVLCHFKGLFCWMSIYKLHGIFLYRYEDEPPEPEIEEGAEEELENNNEDAPDDVLGAEAEDKEQDKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVNELIVEDSWKRQIFRGFSWFYAWLPLA >OB03G33150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18134385:18146761:-1 gene:OB03G33150 transcript:OB03G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVEQVAPEQVISTRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTQVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVISDTVKTPLEVYEELQCQGYLVDYERVPITDEKAPKEGDFDNLVHRISQVGIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRTSSIGKVFHSGNDVDDYMPSSEEAILRGEYSVIRSLVRVLEGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQADEMKREASLSFFVEYLERYYFLICFAVYVHSVCSAQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLAKIVESADGRPHEMDIVAAMRNGEVLGRQTVLKSDHCPGCQNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRVSTSKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYSGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTIALNVAAACKDTAFVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIRLPTCEYNHEDSNELGYSSGEETTDHNGHLNSSPPRPHTVTEQHSRFGIDDILVLRKITRLFDNGTECRQILDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFNGFCGQGEAKMSFKAWIHQRPEIQSMKWSIRLRPGRFFTVHDESKASQQSSQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSSINISGAPHVFKVNGYPVYSMATPTVDGAKEVLSYLSSKDTGTNTAQKVVVTDVREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVESIETRLKEDILYEVKQQGGRLLLHQEEFNSSTCQSSIVGFWEHIGSEDVMTPAEVYSLLKDQGYCIDYKRIPLTREREALAADVDAIKSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFVMEQTAETHFVSTSLTKNLSIKTSAEIAFRQGDYRDILNLTRVLVHGPKCKEEVDTVIDRCVGAGHLREDIIHYRKALQDCSPDDDEAWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMDARPELGHLCDNLKLDK >OB03G33160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18154096:18161272:-1 gene:OB03G33160 transcript:OB03G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:J3LQJ0] MADSGASGLEERLSGLSAGGGEEQQPGGGEEQQLSKKGGKREEKRKKQEEERRLKEEEKKNKAAAAAAASGKPQKESAADDEDMDPTQYYENRLKTLDSLKAAGVNPYPHKFSANISIADYIEKYKSLNVGEKRVDVTECLAGRIMTKRAQSSKLLFYDFYGGGVKIQIFADARTSELEETEFIKYHSSLKRGDIVGVCGYPGKSNRGELSVFPKKLVVLSPCLHMMPRQKSEGSAVPTPWAPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEAMLSGMVKELTGGYKIKYHANGVDNPPIEIDFTPPFRKIDMIKELEAMAKLNIPKDLSSDEANKYLIDACAQYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >OB03G33170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18161666:18170074:1 gene:OB03G33170 transcript:OB03G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGGSSGKSGTGKMMSLQEFVSSMAPLIDLEKAAEMSAGXATSPKSLERRGCVMANLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGESPPMSTKDAGKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTVIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVADHMLYDLEEVKRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVHASEIGIITPYAAQVTCLKMMRNKDTKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDRFLKRLVEYFEENGEYLSASEYQS >OB03G33180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18172914:18175817:1 gene:OB03G33180 transcript:OB03G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT3G02870) TAIR;Acc:AT3G02870] MAEEQFLAVAVDAAKSAGEIIRKGFYQTKNVEHKGHVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTADPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTVGVVYNPIMNELFTAVRGKGALLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALIIQEAGGLVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >OB03G33190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18176834:18179004:1 gene:OB03G33190 transcript:OB03G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASLVGSGLSSQLRLARSSRAGRLHRSCCPLSSLHPPWPGQGAPRLVRSSGGRPWESAVAASANAPRQQQQQQLGEHEAAAAMEPERSSPREVRAEMARCFDLVRRLGRGAVYLGSSRVPATHPHYLQAAELAREIASLLDCTTWSGAGPGLMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAMRNCPTDRTAVIALPGGIGTMDELFEMMTLIQLERIGSTLPVPFLLLNYDSYYSKLLEFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAHFYNVPVAERNYCVSPRLNQQRTSHTAS >OB03G33200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18177852:18184751:-1 gene:OB03G33200 transcript:OB03G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G20150) TAIR;Acc:AT3G20150] MEMLRRSLKRQASRSLSAFAVPPRRGAAAAGDQENLHPNLAAASPPVSPAAKSSSTPGVSPRSKPLPSSAAPSVAPAKAAAAEEEQASAPADDAPAVKVVVRVRPTVSRPVDGKDLFFVRKTSPCSVAGGXRLFAVDGFLDDRASQADAFDLVGVPMIESALAGFNSSLVCYGQSGTGKTYTMWGALAAMVDSSSDHADRGVVPRVFQNLFAKIQGRQESSPEKQTSYQCRCSFLEVYNEQINDLLDPSQRNLQIRENAGNGILVENLTDEYVSTVEDINQILIKGLSNRKVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSNGFSSSRTSRITFVDLAGPDTDEVDGGSKCCTREERHVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRTGTLSTLRFGERAKLMPNKAVVNEISEDDVNGLSDQIRQLKDELIRTKSGDTGTCKNGYFSAQNARESLHNLRVSLNRSLILPHIEIDSEEEMDVDEEDVEELRDQIRKLHSSSEDTFEDFMDAESGNDSPCSKGNPKPSEEDDQPVIDDYEGPIQEDQDLLSDRKSLLSVSASPHLSPMQDPTLCSSPKIHSKARKSITSPGLSPSKLSVSDCPGDGNVEVSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIMEYHEQNQAPRKSFVGLSFDHFALNPHQSVAKVSSGILASPVNQGATSSALCSSCKKVMDIDGNQKESINTEKQIVVATGAISNESANASVKEDNDSTTASKREVELEALCEEQAAKIKELSNLVDQYKKGSEDAQNSDGVEPTTELADDAKVGDSKMSLNVNDREELLSEIQRLKDQLKQQADRYTNDSLLEQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELSNEKKCTAELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKEMSAAMQQENDKLKKQLEKMKKKHEMEIETMKHFLADSRLPESALGGFYRQESEDVPEYNHAAAASACDDDQSWRAAFTSAYE >OB03G33210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18188176:18190967:-1 gene:OB03G33210 transcript:OB03G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLRRRHPLRPSLASGLLLPSAPLGPLLGDHHPCPIPLPSGFLLADRRCQPLLVRHLRLRSDEAEDGTEDGRGQADQYNLAAGKVQIDQGFSGQDASRDCEDVHESIGSELN >OB03G33220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18198483:18200054:1 gene:OB03G33220 transcript:OB03G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQSAATPTPTAAHPMTTAHPMTTAQIETAIAALHDKKQRLREAYDILVLSSPIPLPFRWSDLDAYLSFLHSSIDARFSQMRALEASRPAPAAVDPHGVESGEDAEMEDVRLHEVKDEDMPAPAKMASPSTVRVKEEAPEVSSAPPCGVSGLAAACASASMDAPRLADAAGKRDCVVQDGFAWHGAAQPPPIPGCPVLQKQHIGSGSARQKGSHPDPAVLRKRQHTANAMNAGGSVSRQHMHMGKPRYAGNLRPQQQQRVVDVANANPTCPLPPPVVAGSSLPLGHSLPPPEQSVCAFVSNPMNRSPPPIIGFSTPSPARVGVANITNTLPRPPPCGMANPPNSGDLPAERNHHPIAKNHSAPVPAPPTVASQQSESSATAIDKNQNSGDACAQPQPVAAGAGPNQNSGNQKQGRRKGGAKRRGGRGHGSKSNHIGNTNLSNMSKVIGKGNGNGNGNHLRFNQNSNNAGQLCYRCGCSGHWSRICRTPEHLVILYQQQFYQQQWHLQHMWEQGRVRDHRWVH >OB03G33230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18202897:18203448:1 gene:OB03G33230 transcript:OB03G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRRTAHPGPSDKLRGAQANGSGTGVTLRPSVPGDAAGEAQTNEPRRFVRAWSEPDDLRILECLAAHVKKHRAPPARCQLLGLFAGRGLDKEEFTVTEIYEKVRRLRNQYEKMLSPAARAKATGGSSSPRPYGARASRRRRRRRRAPTRCAVSTPTSSTRWSGSAQESAKAGLAMLSRGD >OB03G33240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18204431:18204799:-1 gene:OB03G33240 transcript:OB03G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPGRMSGIDAKHRWNTTYLLLHRAKGYEDLISIFINSMHLRMRDADEDDDENLSDRILNSLVQPME >OB03G33250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18211714:18212299:1 gene:OB03G33250 transcript:OB03G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNDGAVMFSSIALLQRRFRELERIREEREERLIQMLAPRSVAAAAPAXXXXXAASAPRDAAPVKWIFHPELLYPCRPLRDMAAAATLLPAVPAATSDCEFKTFQLRSDTLAVDLWPSKAYKRVSSEVDVDTSLHL >OB03G33260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18212238:18223617:-1 gene:OB03G33260 transcript:OB03G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGGWPRVLQILQLLREQGIVLAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDHQDDRERTQAYELEILEANARKVGKVRFKPISSECVGVDSKGVNRMVSKKIIKRKKQNPGDSSDSDNEEEEECADISENGCPAELLHYSDDNSAQLEDAGNSTATRPC >OB03G33270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18227497:18227709:1 gene:OB03G33270 transcript:OB03G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVLSTYIGSTHCVLCLNITVCGFEFYGLLYSDKNLWTFLCLHIILGLFPKNISLVTEFQCLIGVKAFN >OB03G33280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18228450:18228773:1 gene:OB03G33280 transcript:OB03G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELNKLLSIISSTLLSVLSSRFVFLIHSTFGSNSVSNGNLLLFSCKCRHKLQLQHTIGMVRQIIVTDVHEQFRLRQLMKRCPGTTFVKLKKHLPQVAGNKNTLTGKIN >OB03G33290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18230052:18230411:1 gene:OB03G33290 transcript:OB03G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLTTADILKDIISLVFKKVVVEPTFCPMYVQLCSDPNEKLPSFPQEEPDIKEIKFKRVLIKNCQEAFEGAEGLRAEIAKFTGWLFPRE >OB03G33300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18233635:18238756:-1 gene:OB03G33300 transcript:OB03G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAALEGSEPVDLAKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >OB03G33310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18241543:18245134:1 gene:OB03G33310 transcript:OB03G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAYIATRHAYLHAGVHCKIVKHMRILLLVSVLGAMHYSHKNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >OB03G33320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18261385:18262504:1 gene:OB03G33320 transcript:OB03G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIIRERTAAGTGDGGDGDGDGEEDLLGVLLRLQKNGGVQFPLSTDMIATIIMEIFSAGSETASTTLEWAMSELVKNPPVMEKAQVELRKMFKGQDRLTGDDMGKLSYLHLVIRETLRLHAPAPFLLPRECREPCNIKGYDIHEGTRVLVNAWAIARDPIYWEDAEVFKSERFMTNLVDFIGNNFQYIPFGAGRRICPGITLGLTSMELMLASLLYHFDWGLPGGKRSEEMDITEVLGITVRKKAKLMLHATPRIPYLH >OB03G33330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18265904:18266363:-1 gene:OB03G33330 transcript:OB03G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNAVRENLYKLVVQYQDVEGVATSQGVNGASSSATNTAPSDEVFDIFDQYMSGRVVSSSQLRTELDLYLDEQPLPRTQDFDIISWWKFGGIRYPTLRKIARDIMAIPITTVASESTFSIAGRVISPCRSLLTSQLVEALMCVQAWGRADMIGT >OB03G33340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18278882:18280947:-1 gene:OB03G33340 transcript:OB03G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARPHRLSSTSLVAGAEADRLVSLLLNPPCLRAIMEIVLPLLGCVLVAALPLIFLLFKRCCCSSTTDADKRLRLPPGPWGGGAGGSLHHVLLSRHGDLPHRALRELAGRYGPLMMLRFGAVPTLVVSSAEAAREVLKTHDEAFSSRHLTPTLAVFTRGGRDMARRVQSLRLVRAVADECAAGCAGGGGVGGAVVPIGEMVSRMVNDSVVRSAVGGRCARRDEFLHELEVVVKLTGGFNLADLYPSSPLARWLSGALQETERCNRSIRAIMDDIIRERAAAGTEDGGDGDGEEDLLGVLLRLQKNGGVQCPLSTDMIATIIMEIFSAGSETTSTTLDWALSELVKNQPVMEKAQAKLRKMFKGQDRLTEDGISKLSYLHLVIKETLRLHATGPFLLPRECHEPCNIKSYDIPEGTRVPVNAWAIARDAMYWEDAEIFKPERFTINLVDFKGNNFEYIPFGAGRRICLGITLGLTSMELVLASLL >OB03G33350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18281619:18284556:1 gene:OB03G33350 transcript:OB03G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSLAEAVPPPQDAAAAAEVEDDDDWDADGFVIPNLTTQEDHVTENSFPKSKDPEPLKEKEEKIYLGPHGAPPSQARQQELNPVGRKQRFRNKLKEADSKLTGNAQENKLESLRELMGTRTDSKGISKSSPRDWLDPHCHESEFDRKPH >OB03G33360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18284062:18285106:-1 gene:OB03G33360 transcript:OB03G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMEENEPGKQTSYPDPLCSSGTCDHLLSPPFHKPASEPAMAKVAPSSFLAGVGGGALPLRNGTALFRSRGAITVRRPRISANLGGDGEVKPAEKKKFITREEEPEQYWQTAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >OB03G33370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18288630:18293672:-1 gene:OB03G33370 transcript:OB03G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3LQL1] MGLALMQAVRTLVLRHLHLGARPPCYGLGPRLRREMSASAGQDGGPRAGSESEDAVRARVVELVKKFDKVTETADFQKDLSLDSLDQVELVMAFENEFSVEIPDDKADKLSCCADVAKYIISESESNKADKLS >OB03G33380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18295334:18299738:-1 gene:OB03G33380 transcript:OB03G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSADESDVSDSDIGVYEKKTYNQLKAGKLKVKHGESTFRCPFCLGKKKQDYNSKDLLQHASGVGAASKRKAKVKATHLALARYLKVDVASLLESPLQLATVENKPTENDEKYVWPWMGILVNLPAELKGRGFVGESEERLRARFSRFRPLQVTLLWDTKDQVDYAIMKFAKNWIGLKDALAFEKHFNVENWNKTNCRRDDLYGWVARTDDYNSLGPTGKYLRKNGELKAVRDLEHEGEQKKGKRIDYYAHQIEEKNKHLEKLKFMNNQNAMKLDRMMEDKDQLVEEHNQNIIKLQQDSRKSSWRIINENLRLSKELQIKKDEIDRRCKELDYLATKTNIDRVKLMAEKEKNVKDNDLLNLANLKQKKADDMLLRLVEKHKKETEDALRKQVELEKELDSRQKLELEIEQLRGRLEVMKQMGYEEDVTLKSELDELREKLENKDDDMESMESLNQTLIIKERRTNDELKEAKKELIIGLQQMAGVRSIIGVKRMGELDQKAFHNVCKKKMPQDELELAFLISQWEHELRKPEWHPFKVIETDGKTKEIIKEDDEKLQALRVQYGDEAYNIVVKALLELNEYNPSGRYPEPELWNFRENRKAPIPEVAKYLVKQWKINKKRNT >OB03G33390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18308649:18308819:1 gene:OB03G33390 transcript:OB03G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRFAGTADVIRPRVTDPTAMQRIRQNLNLSKKSGAKLASSWVTDSVLTAIKIMVCI >OB03G33400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18309696:18310316:1 gene:OB03G33400 transcript:OB03G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHTMQIEFHDLKHCNPGCSVRVRSGGVSQVAFILAAIAVVSLVSPSSVQHTMSAQLHAVGSFVMSKKALFVLSNAIFLFLAADYYRCFFSLSSPSTAEFTAREQTGVLDKQDHLNNVRAPDDEMLPGEQMAREAMAMPSQPEVFMLDGGEVNSVIQEKVVIEEPTCGAAAQELEKLGIDELNKKFDEFIKSRRNKWEEEAGLQ >OB03G33410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18311870:18320154:-1 gene:OB03G33410 transcript:OB03G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDPAPPMEETAVANGGAATDVATPDKDNGNKEKAGKGNDVVVVNKNAEEEEQNKGSANGTKGPLDGDVEMAEAETAQEDDVGSAKQLDTEDVKMDGEQVADANEGNNTKDAEVEDVKMAEVGNVDSKDTVEKEDKDENTDTDKNELEDAKEGGTEKQEELKEQDKSDSAEHEEKKAEEAGGAEKQGEEEAEEKGSADDDKEEGDKNTNDENLKKDGGKDEENKDEAEEEEVSETEKKVEESKEETPKNKKARSARDRSQGKDKKLDGSKSREAKSLLNTASPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHSVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPVPKANIRKEDIVGKLLDFIAEPHAISDSGHSDDQGSNSRKRKRGTPKSSNKKFDDDITPSKKRSKALEYDTDEDEDDADPMKSDSEEDDYDSEKEKAKKKSSDAKDIANKKKAATGSSHKTGPPRTISKSPSKTSSSKVSKEKESPENSAKVFSRKKKSVTPKRPSSSEKEIKDKKSSGKKVTKGKGESAEAGLPSKDELRKTITEILKKVDFNTATFSDILKKLEDRYKIDLTPRKSAIKIMIQEELTRLSKEDDDEDEDGNEDADKEKTQHQGKEVVA >OB03G33420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18329147:18333109:-1 gene:OB03G33420 transcript:OB03G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPRSLPPHRRDPRLATLRRCLDLGTAALRAGHPALDVVELVVREMEDCPHFNAGVGSVLTTDGTVEMEACVMDGNTMRCGAVSGLSTVVNAVSLARLVMEKTPHIYLAFHGAEAFAREQGVETRDPSHFITEENKERLRQAREANRVQIDYTEPIKGQEAQAPPEDDNSRIGTVGCVAIDSAGNLATATSTGGLVNKMVGRIGDTPVIGAGTYANRLCAVSATGKGEAIIRHTVARDVAAVMEHAGLSLQDAAARVVAGVPPGNVGLVAVSAAGEVSMVYNTTGMFRACATEGGRSEVGIWPDDDDDGAR >OB03G33430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18341481:18343589:1 gene:OB03G33430 transcript:OB03G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAIPAPMRNPANRSNNSELFLNPPIPMNYCDLQSAVSKKDDHVALNICSKLYHPQSYEDNGDATTDWCQTSAGGDRQESSINSDITLSYIDKMLIQDTSLQAMEEPFYELLGQKYPVLPQQQPLYGWYHLQNLSANIDKSNGKSCNICSITRTTNISSSMNCSDNFQGFKYPWSLATLTREAEQFYHDSNRMDVGLKVDEFSISEKPRQDNCSLQIDARYIRKHPLYEVGNRNNYPCIEDLDLLEGRSNKQYAIYSDESIRDEMFDKVLLSSNNKSLDEGISLSKAMTSNTTKSSQIGQTSACRKTRGKRTQKRDVVDLRTLLIHCAQAVAASNHSLASDILKIIRHHASPTGDDTQRVALCLANSLDARLSGTGSQTYHKFITKRRNAVDILKVFHLCIATCPFLRASYYFSNRTILEASKGKSQVHIIDFGICFGFQWPSLLEQLANVEDGSRKVRITGIELLEPGFRPHTASKNIGLRLADYASMFNIPFEYQHISSNKWETISLEDFNIEKDEVLIINCIYRMKNLGDETISVNSARDKVLNTIRMMKPKIFIHGVLNGSYGVPFFLTRFKEVMYHFTSLFDMLDKTIPRDNETRTIIERELFQYMILNVIACEGSERTERPENYKKWKVRNLKAGLVQIPLNLAISKETQDMVRKGFHKDFLVDEEDQWLVLGWKGRIIYAISTWKPSDSDHGD >OB03G33440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18346967:18347236:-1 gene:OB03G33440 transcript:OB03G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSLNRVTSGLISVAFLSLSKGNTIGRSFFLYAGIAILAWVFFFTYLPETCGCMLEQMGDLFGIPNMAGDDYRSPAPEKEKNNVDMA >OB03G33450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18357232:18360415:1 gene:OB03G33450 transcript:OB03G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCSDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWFMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEDTGLAVTDTGRLERIRDKLSYLLRGGNVSRGAAMAVSTGASTTHTDRRLHQMMLDDGDHEQLHRHPPNQSQRPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTEADRLRVIQCLEAAIERRASEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSAGGTVDQKTIDSIRQAIGQNIQVKNQPEPSDQQKKESPTWFLFANLFRPRSLYSFGMFMR >OB03G33460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18361414:18367862:-1 gene:OB03G33460 transcript:OB03G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nop14-like protein (InterPr /.../07276); Has 69842 Blast hits to 35213 proteins in 1572 species: Archae - 363; Bacteria - 20593; Metazoa - 20851; Fungi - 8010; Plants - 2912; Viruses - 517; Other Eukaryotes - 16596 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G69070) TAIR;Acc:AT1G69070] MAKTKPMAAAAAAEKRQDKKKGKGKKKGRNGPAAVAMKARGAATAASNNPFEAIWSRRKFDVLGKKRKGEEQRVGRARSEAIHKRENTLLKEFEQSGKSSVFHDRRIGERDETLPEFDKAILRQQREHMAKLKRQSKYNLSDDEEDVVDAHRPHPLSGKDDFDEEVHLDDDSDEEGQMILSKNRISLQSGVVLSETDLSEETNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQALLSLTRSARMDANKSSSSAVQKDSSGLTAKEIFNKDKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEEERQKRMLGTADSDEDDDDDDEKGDRSRKLDSSKPISGDDLGDSFSLDEPARKEKGWVDEIYEREGKEIGEDAVGSDDEESDDDDGDDDDAEDDAGDEEDSGDEEDLSDNDFGNMSARDWEQSDDDEVAVEDEMEGLKEEKKISGKVVKKDQQTLKKVSNAKPQVKDDNLPFVIEAPSNLKDLCFLLDGRSETEIVEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTHLCEDIKVPGKSCWPSLKTSLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDAAVGSFLCSMVLVATKESKKFCPEAIVFLQSLLVTSLGGNLGPHLRKQINDQFLELKTMKPWLHIHEKVHEVNTVNILEVMSMDSDDPYLASDKFKAGLLLAVAECLRGFVIIHEELCSFPEIFLPISCLMKEIMDKSDLPGLVQDIFHEVIELIKNRSDEVHASREPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKKGAVRELRKDNYFLSAVKEKDRMKQEQERAEKYGKAMAFLQEQENAFKSGQLGKGRKRRR >OB03G33470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18372349:18374021:-1 gene:OB03G33470 transcript:OB03G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRCYQQLDAMRSLCESARPEVKVEVCVVEAAGRERAPAVVEAARRHGASLLVLGXXXXXXXXXXXXXXXXRRRGGMRRTTVEYCIEHAPAPCVALGVRRRSSGGYLVSSKRHKDFWLLA >OB03G33480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18388879:18391432:1 gene:OB03G33480 transcript:OB03G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTVLADSGADREAPAANELRCCWNKVLGEEMGSVLCCLVDDGRRDGCFCLPWPLFNAGHSTGFIGHQRGDSRVAPEQGRISLTAPTQQESMDTFRCPPMPLHYDDPQFSHQTEHQPLVGHDKASTTSDESGSLEESKNVDSISNSIAVKDNESSVKYHSGGLDIAEAQVNDPVDFEDECPICLEEYHYENPKITLQCNHNYHLGCIYEWMERSQTCPVCAKVMLFQEDARS >OB03G33490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18394071:18396168:-1 gene:OB03G33490 transcript:OB03G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:J3LQM3] MGAYKYISELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >OB03G33500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18402185:18405128:-1 gene:OB03G33500 transcript:OB03G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38900) TAIR;Acc:AT5G38900] MGLKLLVLKGFMASNTGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQSKDKFDFEVHWHPFFLNPDAPKEGIKKSDFYRMKFGPIQFEHATSRMTEIFRGLGMEYDMSGLTGDTMDSHRLITLAQHQGYDKQNALVEELFQSYFCQGKFIGDRQVLLDAARKVGIEGAEEFLQDPNRGVDEVKEELSKYSSGISGVPNFVINGKYQLSGGQPPNTFIRAFDVAAKDGAQ >OB03G33510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18407577:18415283:1 gene:OB03G33510 transcript:OB03G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G02300) TAIR;Acc:AT3G02300] MDVDEMLGGLRATGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGREHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVMALETEFVKSVSCGAHCTAALAEPRENDATISRSRLWVWGQNQGSDYPRLFWGAFAPNTVVRQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNVYARFLDEAPELVKIIRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALDRIVIRDVSCGGVHSCAVTDDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDGRIYGWGYNCYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDAASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >OB03G33520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18415940:18418691:-1 gene:OB03G33520 transcript:OB03G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPSASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPAKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAKTAVSHGLWLNIPDYDAPTQMVKPRERNTRYVDAVMTVPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQKATIPKECDTVQKCYLSLAEQVKAELGKVDPYFVKLADAMVTWIEAWDELNPSAAAVENGKAK >OB03G33530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18436379:18440740:-1 gene:OB03G33530 transcript:OB03G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1 [Source:Projected from Arabidopsis thaliana (AT1G13690) TAIR;Acc:AT1G13690] MSQQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQAAEKLHREKLAAEKEGEKEEDTDANADPMAAAEAQALKQSS >OB03G33540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18446988:18456201:1 gene:OB03G33540 transcript:OB03G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGFEGLVRDGSFNWGLPRPPGASPADDADDPASSSSSSFSSGKQATVPGLSPKANVIVSSCSRILGVSTDDLQNDFDMQASDSIKQPRNYARNLLEYCCFRALAQASQVVGYLADKSFHRLTFDMMLAWEVPSSSSQLTVKVEVDSTVSLEAFSRIAPAIPTIADVVTFSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAMNKMKGQSESSLLSAQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDVKQSVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELSGHSRRDYWLAIISEILYVHRFIRKFGINGVDKEEILLKAILNIMRLQAIEELAIPVSSRFESLLMFNLCDKLPGGDVILETLAGTISSRSSARVNRPGTSSGRHSMSRFAVLSNLGVVSRNNNDERLFVGEIVVGEMSALQKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPISELWRFLVLLASWDEPIKSMVFCLLSSYIIIRGWLVYFLVLVLLFSATFMFLTRLTSQGKPMIEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKTRALLLAFPSQATDRAILSLVAMALSLAFVPTRLLVLMMFLEVFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >OB03G33550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18461740:18463023:1 gene:OB03G33550 transcript:OB03G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITEQLADGKTILLGQYLHEESIRAIGLQPVQLPLTVLQGVRADRDPEMLPLQYLPPARAASRWNILHRPSQLWPWCAISSGIHQEILRPTEPPEETKEEQKGHVGSQEEKGQNYCSSPADLASSAELDAAIDAAAKKDSDTEVMQKSPTAPLANMAPAQSQVADPILAAEVTTASANSDSTSTTVGAGTKHKPCAAPMDTDVPPSPGDLAPEPVNAFEEVTTSATTTSATISADPSSPTQPADASPTTLVM >OB03G33560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18465223:18465862:1 gene:OB03G33560 transcript:OB03G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPPLSGAVISSTPVHRDVFHVGFSLSQRAAGGLILIMEWRFFLDLF >OB03G33570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18475321:18477272:1 gene:OB03G33570 transcript:OB03G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQGVASLLALLTTVTLLVRVLESIPTVCFGTNAQVLPPSADVVQLYQSNLIDGMRIYGPDAGTLHALRGTNIAVIVDAADVLSLASDDANASSSARAWVLTNVQPYPDVNIRYIAVGNEVTGNDTQKILPAMQKVKDALSAASLGHIKVSTAVRMSVVAGSPQPSGGAFGDPSVMGPIVKFLAANGSPLLANVYTYYAFKNDHNVDLSFALLEPSSSTKIDDDGHTYTNLLDAMVDSIYSAMEKEGVSTVPVVLSETGWPSDGGRGASKDNARIYNQNLVSHVGKGTPKRPVALEAYIFAMFDENLKEGEAIERHFGLFNPDKSPVYSMNFLATDPVSAGSTPQSSSAGWATRPVCYAMLIVGLSLVLLFSPVRGTGAK >OB03G33580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18513214:18513432:-1 gene:OB03G33580 transcript:OB03G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGWRAETVWKRRWSRQRGQLVAAVVVSDRSTLGGGDVRRRPEAWLVAAGAVACGGPGGGGARLGYIGGCE >OB03G33590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18526580:18531163:1 gene:OB03G33590 transcript:OB03G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAIGLAVAAAVVVVASSLLLRWNEVRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMEPELNRRALASEGRGFVPGYPQSMLDILGRNNIAAVQGPLHRAMRGAMLSLVRPAMIRSSLLPKIDAFMRSHLAGWSAGVVDIQAKTKEMALLSALRQIAGVSAGPLSDALKAELYTLVLGTISLPINLPGTNYYQGFKARKKFVAMLEQMIAERRSSGQVHDDMLDALLTGVEGTREKLSDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIRKGKAPEDAIDWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWQEEGTNTILKFPRVEAPNGLHIRVEDY >OB03G33600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18527447:18527848:-1 gene:OB03G33600 transcript:OB03G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGEQSRYLLGLGLDVDDAGGPAGEVGAHEGVDLGEEGGADHGGADEGEHGAAHGAVERALHGGDVVPAEDVEHRLRVARDEAAAFAGQRPTVQLRLHAHHRRAPQDVRPEQAPVPAPNPTTSITHLTCCYY >OB03G33610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18529773:18530198:-1 gene:OB03G33610 transcript:OB03G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYKSQTAVLILSSGQQHQNCTLIFYLTMNSYLNSAKCSRISNLPLGYVARWELPLGGTSVLCWYLLPAICKEKDQHRVFFVSKKSQICSEQWRIFFFNKTNKPVLSHKIVKKSCNFHCADFLPRAHPTTSSEKHEVWM >OB03G33620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18534631:18539267:1 gene:OB03G33620 transcript:OB03G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69200) TAIR;Acc:AT1G69200] MASLLLPPRFPCSLPCTRCSTIRSQLHYKPSILGNIMAKPKTKMRSLNRNLSSMAKKSSQDVAEGSSNEESDDDTSTTKKRAPKRGRRKTTTEASEGETQEGQVDAEGESPEGSKKMKRRGRKKAATNASSSDEKDKAKESKKRGRRKVKTVEELSDNEGEDQSEVLVLSNDSQQQISANDLESKIASLLVEDTDEIDRLVPLVCCFGPAKYSFIPSGRPANRLINHEIHEGMKDMFWSPDEFVRAPGGSSSNVALALAATGGRVEFMGKLGDDEYGQSILYHLNINGVQTRSVKMDPSALTAMSLMKVTGRGSLKMSCAKPCAEDSFVQSDINPTVLKEAKMFYYNSSALLEPTTQSSLLKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFDTKDNDKSKFTHYSPEVVMKLWHENLQVLFVTNGTSKIHYYTKEHNGWVRGTEDAPITPFTSDMSQSGDAIVAALMKMLTINPHLVTDKVYLHDAIKHAITCGVIDQWLLARERGFLPREQADPASEQYGVRFVTEKEYRTIPDSIQSEDSSQSELLYVE >OB03G33630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18545753:18548918:-1 gene:OB03G33630 transcript:OB03G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSYLDATFLPLLLAATMANPLHACLLLALIFLALAFFHPGGIAWALSSSGGHGGAAIPGPPGVLLAFAGANPHRALARLAAAIGEETVRRVSLAMARDGVVGVRRMLHLASLDNVMATVFGVGLGELGVGAVSELEEMVKEGYELLGTFSWGDHVPLLRLLDVHGVRRRSRALASRVRVFVTKIIDDHRRRGAKNGGGDDDDDEDRDFTDVLLGLEGEERLEEEDMVAVLWEMIFRGTDTVAILLEWVLARMALHPDVQTKAQAEIDAAAADGGASSASGLRYLRGVVKECLRMHPPGPLLSWARLATRDAHLDGGRALVPAGTTAVVNMWAIARDGGLWRDPGVFRPERFLDEAADVGVSGGCDLRLAPFGAGSRACPGRAMAMAAVHLWLAQLLRSFRWVPSGVGVDMAERLNMSLEMEKPLRCVALPRTSST >OB03G33640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18558360:18559417:1 gene:OB03G33640 transcript:OB03G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLALSLATTSAFIFFALVSSGSRDSRRLLRRSVSLTTSSTLVSAARSSAYRADHCRATPAPTALFAPRVLGKASMLDEIINYVNASYVLSMSRLGGATNALLMADMSLKVTLSANMSSEPWRGYGESGNNYNDYDGNGGSDNSCQPSPPTSSTTFIFCPIFHMYDGPNYDPSLIKVTFGGSSTGTDDLRVIHDYLSLTRVQLIIGEDSPISC >OB03G33650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18559398:18559589:-1 gene:OB03G33650 transcript:OB03G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEARNPLFDSLPHSSRCFSFCYFESCCFSFSHANSRYSFLFLIKRMASSSGNPSYLSMILGN >OB03G33660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18570273:18571019:-1 gene:OB03G33660 transcript:OB03G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVRTSKDSPFARPLPRFPVQRVPLHSASTFAALGRESGMSHVPEWLPAFPEPHTYVRTELWSDGVAKAGGDELEPARQRRKAEKSLLSLQRRLALAGGDGFRPAMLVDGAVKANELDVVQSDANPFLERALPYGEKVVSEVAMPGVGKSFSVIEAFAPAFEESKDGELDEGMDQDQNQSQKRVVPRERPPVYFRIGIDKKSMVMALNSRALSDQKDLWFLKEEKEQRAELILREAMENPHELAQL >OB03G33670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18577100:18580937:-1 gene:OB03G33670 transcript:OB03G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAEALAAPTRVATTIHAILYSIFIFDMGDNLANSLCREEILMAAADPPLVRIWKEWAPQVLVLLSFTLQVVLLILAELRRSVNSGVLRAFVWSAYMLADTTAIYVLSHMSVASRSAKHTLVAFWAPFLLLHLGGQDNITAYAIEDNHLWLRHLQTLAVQYGERVWALRCAGSTQSSTNYRSIEKHQYRAHLPYTAVTTTTDVMDTEAFLLMAHELFDVPKDLLLEKISYEGLRTDLTREEAYKVAEMQLSLMHDVFYTKIQLMHTWYGFCIRIVSLLATAIALLLFHLSGALMDSYTTFDVALTYVLLIGAIVVEFTSVLLVKKLRRNNGYEWSPDHIINSQGQRRLYEDLDWTLEETILVWHLATGVYLHWYREEQQKATRRQQDDDEHHSSAEDLACSLRSLGDAFNSRSTTIAFATTTPVSGRRDSTMLLTGAQLGAKLINEDQSVGSIGHKLEMIVQEWARMLFYMGSRCSAYSHAKHLNNGGDLITVTALLQKYIAGEFGLETVVVLMSVHITNVSGCDIYARKRSISVNGRIVTRLWEEQSGRSKMSDDDVEYKVTHGEETPCEMESHWASQVACARVCVRQRNWVRKINMKSLQYSTTVPCST >OB03G33680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18582344:18582667:-1 gene:OB03G33680 transcript:OB03G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLMTMTEDRGILNPGMPFSTNFSNYLSLIVLLIQICSFKKCIVITSKNLSIFNFCIFLLQLLFVSGGGGPGGVGLGLNTELDVNITSYSDETFDCFIFKKVEMKS >OB03G33690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18593458:18596726:1 gene:OB03G33690 transcript:OB03G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWHISIIVLVLLSRESNANPAAPQHSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVTLDATTDIANHTEFADRKRTYEVERQNVTGWFVVDFTLGELKSLRVKQRYSFRDQQYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDRFVETLLKYGYRGEYMSQDWLKQPLFIQSFAPSSLVYMSKMTNSPKIFLIDDTTVRTQDTNQSYDDITSNGYLAFIRKYVVGIGPWKDTIVPPLDNYLGPPTDLVARAHALNLQVHPYTFRNENVYLHLDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTAPYPKNQKTAEALLQKITYMIKDSGY >OB03G33700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18598040:18603246:1 gene:OB03G33700 transcript:OB03G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55840) TAIR;Acc:AT5G55840] MSSSSSLASSSYRRRIVQFKDAVARPAYWSRLPARARPPAHTRIGAAVLPAATSAHGVESSIITVLAMQKWETLSEMAYKPGKLDKAHGKHALKILSSIMQRSGLDRITHIYCMAVHILIQAQMPLQAMSVLRHLAMTGLSCSAIFSSLLRTISRSDSTNLFSIDLLVNAYVKEGKVLDSVVAIFYMDDCGFKASSVQCNNILNALVREGESEYIWLFLKESLDRKFPLDVTTCNIVLNSLCTQGKLRKAESLLQKMKDCCLPNAVTYNTILNWYVKKGRCKAALRILDDIEKNGIEADLYTYNIMIAKLCKIKRSARAYLLLKRMREVNLTPDECSYNTLINGFFGEGKVNLAIYIFNQMLRQSLKPSVATYTSLIDGYCQDGRTDEALRVLFEMQITGVRPSELTYSALLNGYCKYSKLGSALDLITYLKLRNISINRTMYTILIDGFCQLGDVSKAKQILKSMLVDGIDPDVITYSALINGMCKRGMIHETKEILSRMQKSGVLPNNVLYTTLVSYCCKAGYVKDALKYFVDIYRSGLVANSVIHNALLCAFYREGMITEAEQFKQYMSRMKISFDAASFNCMIDSYWNRGNVLEAFSVYDNMVRHGLPPNICTYESFLRGLCQRGHLVQAKEFMVYLLEKPCAIDEKTLNALLLGICKHGTLDEALDLCEKMVTRNFLPDTYTYTILLNGFCKRGKIVPALILLRIMLEKGVVPDKIAYTCLLNGLISEGQVKAASYVFQEIICKEGLYADCIAYNSMMNGYLKGGQINEIERLMHDMHEKEVYPSEASYNILMHGYIKKGKLSKTLYMYRDMVKEGIKPDNVTYRLLIHALSEHGLIDIAVKFLEKMVFEGIFPDKLAFDILIKAFSEKSKMSNALHLFSYMKRLHMSPSSKTYVAMINGLIRKKWLQHSYEILHEMVESGLQPKHTHYIALINAKCRVGDIDGAFELKEEMKALGVVPSEVAESSIVRGLCRCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKELKIDDAFHLKKLMELCGLKVDVVTYNVLITSLCNNKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTVQDGEKLLKDIEDRGIVPVCKHPESLGRRMENTIKSYLPWKELSLDKWNVLFCLQLIQWSLRKMTECNGAPNADGGLSAALQQHPTATYPGGQYGKVDYVEKLNRGIQ >OB03G33710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18608577:18608732:1 gene:OB03G33710 transcript:OB03G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEDTCDDALRPARSAPSPVRAELRAGVRRAMKHTSIALALVHMLITTGA >OB03G33720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18611562:18613338:1 gene:OB03G33720 transcript:OB03G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCPNLQFDEAQWIIRIRRILDEEEVEVHDSQPISIFDVPKPLLRTKPEAYTPQLVALGPYHHRREELRDMEMYKLSAARRAQALLPAGMNFQHLVAVFSTLQYQIRAHYHRHLGIGNDALAWMMAIDVSFLLEFLQTFCPSDGQRAVPQRIPSRMSHVVDPCRRTSSHGMVLHDVVMLENQVPLFLLLRAMEMRSSSRAAAESALGSMVSGFFQEVSSLVGAGSPCTDMSRYAHLLDFLYSNTAPPCHVEEGFDDATEEQEQQEQEEDGDGSHRCRRRQMKSTLVSVTELLVKRGGELLSLLIGFSARILLRLAVSIPCVSAIARHVEQLNSPRQPPARGGDPGFEGSGDKSRSPLVEEIAVPSVAELAYSGVRFVPASGGVSAVEFCADAATLRLPVIRVDANTEVVLRNMVAYEASAGRGALVLARYVELMNGIVDIDEDARVLRESGVILNHLKSDGEVAELWNGMARSVRLTRVAALDAVIDDLNRHHDGCWKVRISRFLRQKVVGSRELVVCVAMVVLVVFMSLQAFCVARRCMPPSYGMARTKGDSDHLTG >OB03G33730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18621470:18622861:1 gene:OB03G33730 transcript:OB03G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-2 [Source:Projected from Arabidopsis thaliana (AT2G29900) TAIR;Acc:AT2G29900] MADAAAAAPVPGEAASSSATVLDSLGEDITRIVTPVSTCMLLVVLLVSLLSSPSSPSPLSAAFTAAGGGGTGTGNGAGGDDITTALVTAVTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSSLSVLLLLGGHVALLLLSRLRFPLDAVSFALLLPNAAAALALAALSPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMAAYDVAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGQNWRLWRDRQSGGELDANSTVEVIGEMLGTNLGANSAGNLGISATRSDEQVNLAADARNLRPGETSVANLSSDSSSSSAPVEVLPALPETRVSVAEMRVPLIQPQPVRSRDEDDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLEAFLMQCSTNLLMF >OB03G33740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18627729:18628775:1 gene:OB03G33740 transcript:OB03G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEGKPWCKLCNRSFPSYNSLGGHMNLHSTRRKKKKKKPRPMSPRESPITGASGRYGFRERQQRQVAVCLSDSTSSDDEPWTLAPKTECQLCFRVFHSFHGLSMHMKAHAHHHGRKMVMLEHKASRKLCAWSSADGDNDFTAVCYAPAKKPRSRRIRMDMFPAPVMMTHGAEAVDAARVLLMLSEDADKYSASNNDLEVNGVLEYSLQKTEIGLSCYRPGVLEGSELMKQEISSSDDEETKFGSLSDVLKATATHDCRLCGKVFATGFALGGHMSSHSASAHENAATFHKTAVHPKRKQLEVDNELHELNLPALGNWDCSSTRTESELNPWLVAGSLQSERMMGVV >OB03G33750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18631179:18632562:-1 gene:OB03G33750 transcript:OB03G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LQP9] MAVIALKCPDVEVVVVDISAPRIDGWNSDSLPIYEPGLDDVVKQCRGRNLFFSTDVERHVAEAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGIRYQILSNPEFLAEGTAVQDLFSPDRVLIGGRGTPPREDAQGRRISSVNAISALCEATGADVAEVANSIGKDSRIGPRFLAASVGFGGGGFQKDILNLVYICECYGLPEVATYWRQVIRINDYQKSRFVNRVVASMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLAMNKFDWDHPRHLQPMSPSAAKHVAVSWDAYEAARGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDMLRRIGFVVYSIGKPLDRWLKDMPAVA >OB03G33760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18645334:18646560:1 gene:OB03G33760 transcript:OB03G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVHELHRLYRVQKQLMSDLHYRSPPELTCRRRQRRKQQPRRRALNLQLPADEYVVVTDVPGEATPPSAGEDELALTLAVGGSASRRSSRRRESSPFMSNCSAGSLTTTTTSSSSTDSDGSLRQPPCPRAMAFDLHDGTAPAPATQPPWLLKRLSLRMA >OB03G33770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18648303:18651108:-1 gene:OB03G33770 transcript:OB03G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLHETLGNANPHYTRMAREKIAAREAAGKAMEARKAAMVEASWCRILHAARIQNKDAEELMEKAKVRATEAFEEARVIGVMMYDRPDCSNQQYEVESLSQTGGQSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSDSSNKEEFKELLWKISQNPDATEIELNSEDEQHQGNGNNEERKKIKFNREILRASMFPSQFDDTNVQQSHDLVNIMLERLKALHEDELASLAVIVATSGLNAALQSDTGKYQRTGSVNSGLSTTQRTHSRRYSTAASFVDILEPKKEVTSELPSLEKFLVKHLSKLEKEVQEAREAGKKASSVNSCAQGGQSQVTGMNLKGPDSASDLGSILVKHVSKLEKEILEAKKNNTRIQPLEESCKNAEVHVKEDASKESESYKAQSESLCNSDFMTSFGSRTPDEKSKHVQGCSQQDKENKTLFSHQLPPSGAKVKYGGKRLTRIEAAKLEALNSFCTKDGNTFDVGLDKIFIKPIHRLEREKKKALEHGQSNLQKDPQKNDRTTTVTGSLDEILVKHVSRLEREKIEYEKRNALGEGLSNVPHGQRKHGINTTGSESLDQVLVKHVSRLEREKLEYRKRDALGERTSEQNHQERHSNTAIASDSLDQILVKHVSRLEKEKMEHEKSGDMIFLKKSDPKCTDGAGLSDILVKRPTKLEQAKLASSVTEEALTSSFNPVEERRRAREKELMDAWGGVGLGNSMKPNLSKIEKDKVAWRKAEEEQKKMCATSTREEL >OB03G33780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18657172:18663503:-1 gene:OB03G33780 transcript:OB03G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MGTLKRHGCRRTWSLLFFAILMLHSLAIYLFTRGFLLTRTELDVHSHQDDHTGIGPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQEKQPWMDKLKVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIAEDNIMHQFIKNGKRVVMMGDDTWIQLYPDHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLRKTDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEDVIDMLKSLSTPGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNDVLSVLGKSSCNVDLHGKEVCVSTMQQLDFAVTVAALLGIPFPFGSIGRVNQELYALSAGTWDYRNDCTKQNDLVAWMQRYAEALCVNCWQVKRYIDLYSASSVIGFRVEDLNHVADLYSKAQANWSSVLRSTCPSETGSQDELKESVNKEHTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSLMILSVSTQACMLMKLNTFNQTSDKEIASSSFTPKIFFAFALVAIRAASFLSNSYILAEGRVGHFLLATSCIANVWHSATKGTFVIEEFAFLLLNILIRFGIEFGMSKQISGAIVSNDHPVSIICGLFGSSFCSDLMEIFPIISLTLLVCIILKCLSFAVSQRFLKYFVMSGSILSYTFIAIHWASESSLFSHAIAIREIGISLAPRLVYAIGGLSLVISLLYRLFGSADRLKVNERITSLSTAMLCSWSPTILLLLGRQGPFVALICMTIAWCIIKLQQKHQREFKLDREIYVADYISVTQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFQIIRQGLLLSIDTFGVSHILPVFSLPFIAICWHNSASKNNKVKDAAFNSLIQVLLIYGLITSTTTTLTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLVVMASLYYS >OB03G33790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18665524:18665676:1 gene:OB03G33790 transcript:OB03G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMSNADFSPNPTPPPLTTVHCSKNKKIKTKRFLLAVTIHRLKLNICIK >OB03G33800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18685035:18687378:1 gene:OB03G33800 transcript:OB03G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTSLASSSTVAVTRAAVEVRMVLTMMRLVVAVAVVVVAAVAEEEVEVRATYIVHMAKSAMPAEYGDDHGEWYGASLRSVSGRGKMLYAYDTVLHGFSARLTEREARDMVGMDGVLAVNPEERYDLHTTRTPEFLGIAGNDGLFPQSGTAGDVVVGVLDTGVWPESRSYDDAGIGEVPAGWKGECMAGTGFNSSACNRKLVGARFFTRGYEAAMGPMDTTRESRSPRDDDGHGTHTSSTAARAAVSDASLFGFASGTARGMAPKARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNYPGVSLYAGKPLPATPLPIVYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMPAELAFSSAGEKKSYTVSFTARSQPSGTAGFGRLVWSDGGKHTVASPIAFTWT >OB03G33810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18702140:18702342:1 gene:OB03G33810 transcript:OB03G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKPFDPWPVFFRREWKRCWPFLTGFAVTGAIITKMTAGFTEEDLKNSKFVQAHKKH >OB03G33820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18708613:18710991:-1 gene:OB03G33820 transcript:OB03G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLGSVRNAYTMGKVRMVATEFEIAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCTDPETLKGRSEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELSHGEKSKVGPPPGHRAKVAALEKAVNEKVAWSGTILEDHN >OB03G33830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18709789:18710544:1 gene:OB03G33830 transcript:OB03G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKASPLAKISKHDMPNDLCKALTAPLKGLRVSAQLQNEAILPIHLPLPNAACTSKHACSAEQSYLKCTTERANRALGSMGTEPWGVAPDELAIAAGMHLAPTDSQLNVPHLRSHLPKDKAAWLGAASSIAVLDKAASNLKLGGHHAHLAHGVRVPDRPKKPLPTRGRQVLLQYVLCRRGLLYTAILRNNSTLANSKKKLTMFYSKQHS >OB03G33840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18738742:18740655:-1 gene:OB03G33840 transcript:OB03G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRASAPATRDGPSDADDRHHPVLRRSSLFSAYCDDDGLEDSAFEPLIIVSVSGGDDDGRLSPGGTAPPPFSWRRLWLFTGPGFLMSIAFLDPGNLEGDLQAGAAAGDTLLWLLLWTTAMGLLVQLLSARLGVATGKHLAELCRDEYPCWARRALWLMAEVAMVSADIQEVIGSAVAIKILTHGLLPLWAGVVITGLDCFIFLSLENYGVRKLEALFAVLIATMAWSFAWMFIDTKPNGKDLIIGIIVPKLSSRTIRQAVGLVGCVITPHNVFLHSALVQSRKIDPGNECQVGEALRYYTVESTVALVVPFMINLFVTAVFAKGFHGTEEAGSIGLENAGHYLQEKFGGEFFPVLYIWGVGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRGFAIVPTIAVALWFNTSDSALDVLNEWLNVLQSIQIPFALIPLVTLVSKKQVMGVFTIGPRTQIATWIIASVPIIVNGYLLIDFFSSEMRGVLSGAVICVLVLGYTSFLLYLILRGVKLQG >OB03G33850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18745937:18746334:-1 gene:OB03G33850 transcript:OB03G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSLRRRAALLLLLILLLAVAASRLQPCDAASGFCASKCGVRCGRGSAACLRTCGLCCEECNCVPTGTGGGQRDECPCYRDMLTAGPRKRPKCP >OB03G33860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18747450:18749339:1 gene:OB03G33860 transcript:OB03G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSFLLDCKLTFGHGFFFGEMIIVLLVGLCYLQNSSSATLPRQPAMSQNAQFTTGLTNFSPFRTPRGSMDSEIPPQPQAEQSTPRSPTGLHTQTSINIESEEEEWDDRTNRRTRDEDERLMSAWLNNSNDPIHGNNKKNDQCWGDIIDVYNSTTKKSRRRIGKQAKVHWWRVFATPHL >OB03G33870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18755396:18756892:-1 gene:OB03G33870 transcript:OB03G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSFAWMFIKTKPNGKDIIIGVLVPRLSSREISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHFLQEKFGGGFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITIALYFNASDSALDVLNEWLNVLQSIQIPFALIPLVTLVSKEQVMGVFKIGPRTQVICLTYTAYSISSDYHE >OB03G33880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18757863:18758267:-1 gene:OB03G33880 transcript:OB03G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAGAFEPLIIVSVSGGDDDGRLSPGGTAPPPFSWRRLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGNTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEITMVSADI >OB03G33890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18758979:18764665:1 gene:OB03G33890 transcript:OB03G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLDLSEEDSEGQKRDISEDDHHNINLGSPVTIFRLSEEDMLQDSEKNDEELPCETVEEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPLGNATGGALNVGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFPSGDDPVV >OB03G33900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18768907:18770788:1 gene:OB03G33900 transcript:OB03G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAKRRPAAGSAPTGEGGATGHMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSSNAINRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFMRSSQEDDKYPSLSLEFIGSYLAELSLLEYGCVQFLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRRGSSLVAIRDKYKQHRFKGVSALLPPVEIPASYFQDRNE >OB03G33910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18774604:18777921:-1 gene:OB03G33910 transcript:OB03G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGVTKKEIGGNHDVLRFGVNDSVKGDLAPLHPVQASVHKEAKFWADKKRFGADAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSDSFRAPDMHHGMEVRLGLSKGPICPSFN >OB03G33920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18781571:18782239:-1 gene:OB03G33920 transcript:OB03G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDEASEKNRGVSSESERPGRGGGVVTVSQWLELRLGVNGGGVETVSVTGDSSAASSDGGEADVDPPLTTQQLSSPSTAAATPNKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTSXXXXXXXXXXXXXXXXXXXXQPQPPPITQDATAPRFHDGGAAVITPWTPLPLAYDEALSSASSWPGSFRFRTQPEPPPSSEQQPQPPSEQSKKIDLSLKL >OB03G33930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18788351:18790876:-1 gene:OB03G33930 transcript:OB03G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPNPGDLTANDLNAAVYRYLIESGFVHTAYNFKHEARLAEYEFEGKKIPMGTLIRVVWEGLRHIELKANSEVTDDENFHHFSSLDLLTKDVAELKREITGRAKPDSVETTKEKKNETAKTVKSHYSVETTKKKKNETVKTVKAPYSVETIKGKKNKTVKTVEAHCSDYVDDTMVYRIQPSRKAKDYSVRLTEESSSNSDEISRERKRRRLLKAKTTAVHKR >OB03G33940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18795294:18795851:-1 gene:OB03G33940 transcript:OB03G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFKFLVLNLELILRIYFRSLIICIKVLFTNYFSFANISITPYAFGLLLFGHVRLDQSTDPSTGLYIHCPSYHRSVTSSHGIYHRSIATDRELGAPRHDQVHIQWRRRGASCFRRPPRPAAASSPCSSPLAGWTSPPPTPAACCSTARRCRRRRRWRRCLKRGAWAASTVAGCSPAGSASRGGC >OB03G33950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18796385:18800130:-1 gene:OB03G33950 transcript:OB03G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFQSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGDSLSALSAVPAAFTDRDMAGLYGNVGGASSSSSPAAENSSASAPGGPRRKRARNNGAGERGHQQQATDHHRQLPPALLAKAASRKSCGWEKLPKMSSRLITKMKQAVMSRAI >OB03G33960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18808854:18814062:-1 gene:OB03G33960 transcript:OB03G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMKLGSKPDLFQTEGGNIRFVATELATDIVISVGDVKFYLHKFPLLSKSSRLQRLVASSNEESNNELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIIVLQSTKSLVPWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEMDVYKRVIMTIKAKERTPAIVIGEALRAYAYRRLLGSLEDTVSNGVDCTKRRAVLETILFLLPTEKGSVSCGFLLKLLRAACLLEAGESCHDILIKRIGAQLHCASVSDLLIPRNTSGTTLYNVDLIIAIVEEFVSRHSDTGNMNFEDDEEIVEVENHASVSSTSKLAVANLIDGYLAEIAKDTNLPLSKFITLAEMVSPAARKMHDGLYRAIDMYLKEHPSLSKSEKKQLCGLMDCKKLSQDACMHAVQNERLPLRVVVQVLFFEQIRASVASGRADAAMELTSAVHSLLPRENGNSYGSSRSAATTTTEEDCTGVPTSSDVNSLRSLRLANNSGGSERSSGSSDVNNKSSDDKSSSKSKGSLMPKKILSKLWSGKTNASENSSSDTSERPGSLNPEEGKSTTSRNTRRSVT >OB03G33970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18832932:18834322:1 gene:OB03G33970 transcript:OB03G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLPLYLLLLTLLAVVPFLCLTRSSRRRGSGGSRLPSSPPRTHAVLLRTHDLALRRGPSRRRARPSCGHAGQPRRRVRALQLATGGERSVGSAPSSSSAHAASGRSVPCGRRRPPCRGGVDPATVRRGEPDIENLGVRRGLRCARHHREQVQEPRRVSADDERRMKLLPAKCLPDLFPSLCAAMLLSGMPRWMKQEHQQMMDFIDTIFPEHHEKKAEKGRKDKKRKKGPTKRHISKTSQKEIRPFLTDYMRLKVHISVISFKIRKTNPALSLEIFGGLFPKANKTRNAISYFRPKSPSTSST >OB03G33980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18840652:18841335:-1 gene:OB03G33980 transcript:OB03G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAVEKVLDGAAAATMSSPASDAEGWSKRKRSRRHRAAAPTEEYLALSLLMLARGHRDDRPAVPAARQEEEEKQQHRCSVCGRAFSSYQALGGHKTSHRPRTPTKTAVLVPADDEPAALAATRTTASPSPAASSSNSGSGGGSKAHECSVCNKTFPTGQALGGHKRCHYEGPIGSSAGRGFDLNLPAALPADVITDRRLPLPAAEEEEEVLSPLAFKKPRLMIPA >OB03G33990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18846951:18847259:1 gene:OB03G33990 transcript:OB03G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPTGPAPAARASPSPTASSSTRRSRSRRPSRTLPSASTRPRPT >OB03G34000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18848547:18854383:1 gene:OB03G34000 transcript:OB03G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKKQYLSSYENLTVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLNAEPEFLEKHIPTQQVTVGQFKLPKFKISFGFEASDLLKGLGLHLPFSSEADLTEMVDSPEGQNLFVSSVFHKSFVEVNEEGTEAAAASAAVITLRSAPIPVDFIADHPFLFVIREDMTGVALFVGHVAAEVAGHVNSWVERVTSGLIKEILPPGSVDSTTRLVLGNALYFKGVWKTRFDASKTKDG >OB03G34010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18857231:18863186:1 gene:OB03G34010 transcript:OB03G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYVLLVVLVVICSTPTPPPCLAAGATDAELTTWKCNNRTSYAPNDTYQSNVRALIASLAANASRSVGFANGSFGGGGGRDTAWGVALCRGDTTGTACASCLSLSTGVAFGQCLGARDVSAFYDRCLLRFSDEDFLSVPDNTQVQLSGSSKDSVTGGSREVERFGSLVAYLLGALSDLAAFNTTSRYAVGVVSSDEGFLATTKEVVHGINGMVQCTPEQASAACRGCLQGLMYGLAAAASSNGSIGGQINAVWCRLRYEVGQFYDGSPMLRLAAPPPTPSPSSTDKAANEEDDQVGTSLLFDLATLRCATSDFAEQNKLGHGGFGAVYKGLLPDGREIAVKRLDKASRQEHPEEPLKSEPLDWHTRYRIIYGVARGLLYLHEDSQAKIIHRDLKASNILLDGDMTPKISDFGLARLFDSDKMTTITSQVVGTLGYMAPEYAVFGHLSVKLDVYSFGVLILEIITGRRNTDVFESVQEEPSTLLSYVWDHWSKGTPSEAMDEWLQRQAPVIEVLKCLHLGLLCIQENPVDRPTMLKVLIMLHGDASSFESLSRPAFIYPSSGIDSSRSCSRDLGGQQVVTTGLGSINSISVSEFHPR >OB03G34020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18876283:18876630:-1 gene:OB03G34020 transcript:OB03G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASGSSSVSLDKVALRLQEVPTRHGEELGEVAKMSASQALAITKSLYPRVELNTVTEGLATNYEPDDVLRLVNEAQVAVESIDGVRFHKSQSNPGRRRTREDQNRSQRKTRR >OB03G34030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18877692:18882349:1 gene:OB03G34030 transcript:OB03G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04420) TAIR;Acc:AT1G04420] MQQMAISAFTANPSSSYGNTLSGLCSRWPEIWRRRQVSSGIREQAQSQLQYRKLGDSDLVISEVTLGTMTFGQQNTEKEAHDILSYSFDQGVNILDTAEIYPVPTSKETQGSTDLYIGRWMQSKPREKIILATKVAGYSERSPYLRDNGKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYSYNPTKWRPSIPFEDQLRAFQELIDEGKVRYIGVSNETSYGVMEFINAAKVHGLPKIVSIQNSYSLLVRCDFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDANPDISKKSRLNLFPGYMQRYNASLAKVATDEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTIDQLKENIDAFTSAPRPLAPEVLDGIESLFKRYKDPTIL >OB03G34040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18882001:18883071:-1 gene:OB03G34040 transcript:OB03G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIALLRLLQLLLLFLLVCYCSCYVLILHLGSCSTPLLPILPVSTRTTTSTAICNLEIDLNYVVDQNRRPQNDDNSSDKTSAMSTTDEILQEKKCIRLRLWQVFFANPYEWWDNRQSKPHFKCADFKHKDTGEKLWLLPDDPPWIRRQLELHDQEIAKNGHRDGRRTLKNDTWESRDFDSSPSQDLYSSDDDGLLHSSGV >OB03G34050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18894347:18896336:1 gene:OB03G34050 transcript:OB03G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNLTALQELDLRNNHLEGELPATISSLQSLSYLALGTNRLIGALPPDFGRLQPLAVIGLANNNFSGTLPPAFCSFSSLQILDLSDNNLFGELPNCWWDLKSLQYMGLSRNRFSGNLPDTRNHTSLKSLHLANNLFSGGFPSALKKFGRLVTLDLGENKYYGTIPSWLGVRNPMLQFLRLRSNMFRGNISCQLAQLFKLQLLDLANNKIIGSIPRGFANLTSMMEPKTEIFMILPENSTNYPYFDRISANWKGQDNVFQRTVTLLTGIDLSCNFLSGGIPKGLPNLQGLLLLNLSRNHLSGGIPTDIGNLKFVESLDFSWNQLSGSIPSSFSNLMSLRGLNLSHNLLSGKIPTGHQLQTFEDPSIYDHNAGLCGFPLSIACSDDPSPVPAFDKQDTQWLPYWEIGGFIFGFWLCIGVLFFSERCRTMIFYHVDRMQIMVMQKIAA >OB03G34060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18907563:18908659:-1 gene:OB03G34060 transcript:OB03G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTDRRPPHAATPAAAVCAVTAAARSLSGRRLVRATASSPICPARGFGGHTVVVELLAATTSSPRRRRHAHPRFHTPTATASSPIRRQRPQHPRPPHRFGGDGLSTLGSAPRRRRTPRPGLSSRSSLPTPSLRAAAAAVYVVSLNPVLSPVVFVSGGVEGRERGKIKENVERMGKKKGREADMWDPLTREESELSCMQTVEGATPSEAFGRAGCAGGEIRRSERR >OB03G34070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18916931:18917221:-1 gene:OB03G34070 transcript:OB03G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRTGETRADALVRTCPEDYMAPGGDEEEGIRSGRVSRGSGRRMAAPPQSLVGGERGRRPAATMLHHNNDDDDDDEEEEEQREKPRLGPKQSSP >OB03G34080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18917566:18918636:1 gene:OB03G34080 transcript:OB03G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLQPDQREDAVNSLAYEAEARLRGAPPPVYGCVAYISILQLRIKQVREQIVEARKELAAYIGPTAFAPLVAAAPHPHQHYLPSAAAEAHGQYHLPGTMGVPIAGGSFTHQVQHQMAGLQVQHPQHHHHLLHHQQQMVNAQHMASAVEVARDPNMERILRARQVAYAQANATTVAVEAPSGSLNADAFHGGSFLLHHQQQSSAQTEPAMALPFRVEPPPPQPSSGHSHDEVSQQQHHHHPHHHRHHHHTDGTDERFFRLL >OB03G34090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18919474:18919668:1 gene:OB03G34090 transcript:OB03G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSFLEFSLCFYRVYNIKNQLLSACWVVSIICKSLFLPKQMHVSNIFSFSTILFDLRTVYIKT >OB03G34100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18920246:18920566:1 gene:OB03G34100 transcript:OB03G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKKRIDVQPSDENLPLACGYLSLSLPPVCVCVCVGAGFKLQQCASDSLLHTSEILTWAYEYSWHHAYDITMQLLNPQSISFTDLSYSWNCALSGFFMSCFSSPE >OB03G34110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18925413:18927311:1 gene:OB03G34110 transcript:OB03G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRPKRPIIGEETEENEFRVFKRVCVLQCNAVSLPSWTHIASKDWKKPKNIVLEHDNLFESTAALQPGKQLPNASTEQDEVTGLLYQRVRLSPAPKICMDSYSTGFEAKILQTGMVSFLLSNFKVGWTGMSFIDTLSPMSRFARSTSIFGGMCSAGQRYTKLVLIFCKKPPTFFD >OB03G34120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18926763:18933089:-1 gene:OB03G34120 transcript:OB03G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHRDETSGNILNLVMVQADEGTMLKVNLPVEFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPTLKLLSKNETMPVCKILASKPVE >OB03G34130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18940839:18941258:1 gene:OB03G34130 transcript:OB03G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDDHDHAVAGGGVVGAGTAVGGEGAAAEGADSATAVGTPGRRWTELVRRRWGHLLWWPGLRESWCGSRAICCGGGRPRSRLPGRIWYARGQRRRGQRACEGGRGKTSIVGLFMAFTGLQAHQRYGNKTKKIRLKAL >OB03G34140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18942214:18943608:1 gene:OB03G34140 transcript:OB03G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPRQFLPDDLIVDEIVARGCSPATIVRCAAVSKPLRRRILRPAFLTRRLPALGLPRSDGGGAADLFVPSLLLGVYHRARDDPCSPLAFVPAAGAASIAASSLDLVPPPAAATPVSDDHAGGGGGGVCVFGSYQPLSSRRSLVVLRRRCKIVSHQGRRHRHNGAARNGGELTVCNPASGERWVLPPHEVFDQTLVLVDVNHHDGGVGGSLSSSFKLLAAHLPESSPRSLTVQVFSSDERQWGPPLACAISRPCDLIDPAKPVVLRGAVHWLCRTRSCYRILKYRHRRRGGEHHQPPNTSLMKLPLPCESGVHDMCLALSPSSHASSSSSSSSPSLSVVVLQQDHIAVWVRSAAAATSSSGGSWEQRHVIREDGVAGARPPMDLPWRDGWVRRITGLEWFCEGSGALFLEHRDDDAPFLVLDLHGRGEVRIANALQVVSSRQLEFCPYEVDLISYMTFFMKRF >OB03G34150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18949223:18955719:1 gene:OB03G34150 transcript:OB03G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MSAAAGTPPDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIEHLRAQCAKLTDNDISHFQKVAENKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLVFVHPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITNVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGITTCQEMLQKAAFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRETDDRSLLFEKLDNLAENLADDMQKECLKGKTITLKLKTAAFEVRTRAITAQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSHLHDEKDDSSTQTQKTLDRFFRTADNSNDNGANGLSINNTTGGDNYDTNVISKVNYLEHDARTDDTMDDQALFSCEKNLFVPEGRNSDNYSNDISSRNPVICDGVGQKESDDDSSSKSTHTVKFDDHLTSSNATTSSSKPDHLFWINGYICSHCGFELPPGFEEERQEHSDFHLAEMLQQEEAGNSTGPLLKERLAERPCSTTPTPKKKLKSSKDGKHIPIDAFFHKCDKNL >OB03G34160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18956492:18961465:1 gene:OB03G34160 transcript:OB03G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LQU0] MQHSVFEVCNIKLCVWQENLQVAALPSKATLEFEDGVSLRSAYVVPEDVQAAGFQIDADELASIVESRDTKKLAVHGQLGGIAHKLATSLTNGIVTDKDLLNQRQDIYGVNKFAETETRSFWEFVWEALQDTTLIILTACAVVSLVVGITTEGWPQGAHDGIGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDVVHLGVGDQIPADGLFISGFSVLVDESSLTGESEPVFVNEDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLMSVLTDGGDDETPLQIRLNGVANTIGKIGLFFSLLTFIVLSQGIFGQKYLDGLLLSWSGDDVLKILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMNKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTMQVNNLQTPSSMLSNIPEVAVETLLESIFNNTSGEVVINQDGKYQILGTPTETALLELALLLGRGCGEKQQEPKIVKVEPFNSTKKMMSTILELPAGGYRAHCKGASEIVLAACDKFIDERGCISPLDDTTSSKLNDIIKTFSSEALRTLCLAYREMDGFSTQEQIPLQGYTCIGIVGIKDPVRPGVSQSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKNAEELLDLIPKMQVLARSSPLDKHTLVKHLRTTFSEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLSAVQLLWVNMIMDTLGALALATEPPNDNLMKKAPVGRTGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYNADIVLNTIIFNTFVFCQVFNEISSREMEDMNVLRGMADNSIFLGVLTGTIFFQFILVQFLGDFAYTAPLNQQQWLISVLFGFLGMPIAAAIKLIPVEPHEKVDTRRMP >OB03G34170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18965037:18970682:-1 gene:OB03G34170 transcript:OB03G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64960) TAIR;Acc:AT1G64960] MLLLRCFVSPLFLKAEEGRKLLALVLGVSEVLAREGLELIRAQVGMTGVRRAALVVYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVHARSKELAKAARKLLCAFVDKRTVAGVEKIVFQLAEPVLFRSLQVANSNVRHNTLHLLLDLFPLEDPDVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAVEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSCNEVRLSTLNGLIYLLENPQSHEILKVLLPRLSDMVSDPTLSVRSAAVDLLLAIRDIRSFQYNKVVGLGTLLSSLSDDHPRVAQKITKLLIPSYFPTKLSLQDACARCIALIKRSPTAGARFCEFALSEGSPPRFLVELIKVCITLALSPTGMNSEQTDGLVIASANLIKSLSDDQSSLASLREFFTKAKLKLLLKTVVSEGAQSALLSMAPVVSPDDLSALHDECMNIIMNAVGISKQEGCQEAVLAAHKLVFSSGWSDEMFEALTNMLQSKASCFAEIYGIELPMHPVASLKRKKGKSLKKTPAKSGNDIGKGSCNSFTLDIEDFGVVAGASWQINDILKDEEKRVAFLQSSYSDVAFSSLKVISQVYIEQCLHFDSFDAAPLLAYLSLAAHGALQEVDQTDNSFSESVTVNHSLDHLLNCFDKLLNESVTGSTNSSKLKQNKKSARQKHHRRGVPEGNAVRGIVNVCMLGTAILKFIVDTTTIKLISDNKVGCLNFASSFTKYASSAIKMHQEQSSSFKGDDLKEILMLIRSSFTYAAKLLHLVLANSTESQSPPEEAFFLANNLLDLVPSVDSASGSKFALSLISIVKQWLPVLILGIGCRWLIGPQAEANMRDMGESDLPLWMTVLAENELLDAEEPRQDDQTEQASKNSQPSKKLAEMMVILLKKGSPRILDSVAGVFLSNLKLALQRSEYGVVLGLTRFVCVRLLGSDSSASEKLHLAHDSLRENFFEIDKHAGDDLVDEQSGQRLESAKALIRSILSDI >OB03G34180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18993224:18993451:1 gene:OB03G34180 transcript:OB03G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAGAAAHRWMPPGSSSLILLLSQLAAASKGAAAESYILAASVESEHDEDDDLLPVVVVVVLAGVVDWLSIRL >OB03G34190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:18993250:18995155:-1 gene:OB03G34190 transcript:OB03G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDEYSAGCSFSLMCQEDGTDLDDDGFAGDGRGDLLLIYNAAAGEDGDDEVEEYMDHLVSKESGFCSSSSCFSDAGGGELAPPGAVEWFLRARRATVKWILETRGCFGFCHRTAYLAIAYFDRFCARRCIDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRAGDDDGYEFSCVSIRRMELLVLSTLDWRMGAVTPFEYLPCLSSRLRRGNGGGGSGAGALVSVKAAALIFSAVEAASVLDHRPSTVAAAAVLAATHGALTRETLDPKMSSLSPSFLLDKEDVYACYSLMLSQSTTPASTTTTTTGKRSSSSSCSDSTDAASIYDSAAAPFEAAASCDSKRMRLELPGGIHR >OB03G34200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19015373:19016047:1 gene:OB03G34200 transcript:OB03G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHAMLVLLAWHPDLVVWTLTLQAATVGVWKYRSRPWAPAPHPCVRVSMAEAPDRDELDEEFDSTPPRGCRRWCGCEEDAEVEEIRRPEVEKKERGGADGWGLRRFPHGHVTVARHADTETDTNISDTETNTNYLEYE >OB03G34210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19018491:19019811:-1 gene:OB03G34210 transcript:OB03G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGVIAEAAWSSLDMSSQAAEESEMMAQLLGTCFPSSNGEDDHQELAWSGDASSAYYLHCNASSSAYSSTSSNSAGSFTLIAPSEYEGYYLSESNGALGIQEQGAAQFMDVILNRNDDLGFEDLADSSVNLLDSIGASNKRKIQEQGRLDDQTKSRKPTKKAGSKRAKKAMQCEGEDGSIAVTNGQSLSCCTSENDSIVSQESPVAAKPNSKAQSGHRSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >OB03G34220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19023520:19027425:-1 gene:OB03G34220 transcript:OB03G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTAALGGGAPARLGLAPKNGVFGSNLKQCSGFMLKATTKVGSSSVRVRASVASSPQKQHSPHTLGVKSGEEVRIAVLGASGYTGAEIVRLLANHPQFSIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKVSNIIVDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSDAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTANDLYQHLKSTYEGEEFVKLLNGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >OB03G34230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19037701:19038377:1 gene:OB03G34230 transcript:OB03G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFAVDGDAADLVLCKLYRSPRAPRVEEATATASSSGSKRKSADDLTDAPVERSRPHWMKMNDHAADMVPAGADGDFHAKEEDRRIVPAPEEEALVQTRDGPRSDNDVIMALAMGATVDDLLGPQQAGEPSVSSYSSPCCPEPEPCPISSNAGGRPPFAAQAAPACGGDMSVATWAAPPAGEFSWEKELEWIQELLLGSPRVLARALL >OB03G34240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19043699:19045461:-1 gene:OB03G34240 transcript:OB03G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTMDQAFVQAPEHRPKASVAEADGIPVIDISPLFSAAAGDGGRAGVDALAAEGGRGSRDWGFFVVVRHGVPADTVARAAEAQRAFFALPPELRAAVARSEAAPMGYYASEHTKNVRDWKEVFDLVPRQPPPPTAVADGELVFDNKWPDDLPGFREALEEYGEAVEELAFKLLELIARSLGLQPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDHVGGLDVRRRSDGEWVRVTPVPDSFIINVGDIIQVWSNDRYESAEHRVAVNVEKERFSIPFFFNPASYTMVEPLEELVGEESPARYNPYSWGDFFRTRKNSNFKKLDVDNVQIAHFRKT >OB03G34250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19051914:19054065:-1 gene:OB03G34250 transcript:OB03G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEHVGSLLELMASMHQPIQSATLRYHPMPCPHCCFVRFRRFPLGLIPFSLQQIYLPSENGLLALDADLSPSTICIGGNLINDRIRLCIPLLTAPITLDRIARASNADTLPIMSSEEGTPPQYVMLDDNCEGKPKENQRSTTRCQCPARIRLLNNTEGKWYVKFFVNEHNHKLLKVVGEKRHIFSHKSIDPAVKDMIRHLRKNNVSLTKVNCILGSLHGSMDVVLFTKKSVRTICVEIAQENLHDDIRKTMELFQDLSVSDPDFKFCVQLDNENKIKSLMWPSGRSHRMYSHFGDMVTFDTTYQTNFYDMPFGMFLGINNPFQSVLFAGVLLGKDTDSFKWAFSEFAKMMGGPPLGTILTDQDLAMVAAIREVYPGTVHRWCKWHVLKDTRDEIGHVYVNNKNFRK >OB03G34260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19055156:19055467:-1 gene:OB03G34260 transcript:OB03G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQAAMGELGGRQVDELTSPAIPSLSYSTMTACLPHFRKLLADLASRSALDVDSVSLITCVLADNLSALASTLRWSLEARHPGGGNNISLCSSMKLSGGVS >OB03G34270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19058717:19058944:1 gene:OB03G34270 transcript:OB03G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDEHVTCSATRPRCRPPTDSSTTSQDTYLVDQILVLVVYTYMAQRNSIWLCMHACVRTPTPIEAGVAAGPLHASGR >OB03G34280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19069635:19074302:1 gene:OB03G34280 transcript:OB03G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGERARMAAFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDSPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFKSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDKLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >OB03G34290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19072347:19072794:-1 gene:OB03G34290 transcript:OB03G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDFLNFLSHLLLFGSFNFCNLSHRVYSDTRAIYLDFVCVHSCVCNQNFSILNSLWLPKANFLVKNEPFIQKGVLEGSSRFLQNL >OB03G34300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19077436:19080490:1 gene:OB03G34300 transcript:OB03G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSRMKRSCACCQGYLEHLDGKMSCFLRRMTADSRHCMILPDRFVNHFGGDISGTIKLQSPNGILYVVEVIECKNKTVLKCGWQAFVDAHHIQENDSLLFRHIENSRFEVLILDSNDCEKVFSCSGIKNTCSIQGKNVDPASISGSSCDDTAESSESEGFARYQNGSFSHRRKTAKLASSSSSPEDSGKPFVKLFLVKFCTGEDSSSDSGHEYVDSGDLETSQEPYVLCRRSHLSKVQEEKVDALIEEIHPEITVFVAIMRKSNVQLPAPCLVISSGYAAVHFPHTSGTVTLQSPCRSKKWHVMFHKRRGSRLNILRGHWADFVKDNRVQEQDICVFVPMKGSSSFTFMVHLLRAAATYPKGGTVIDRIGSSLGIKDLKSASDISIKEEPIEEENVFSKSNRDGVSDESQESEDSEGPADPPYILPCKNRLSRLQKKIVQERVRSIQSRVPIYVAIMKKSNVGLIASRSQLELGARYAAAVDLPGMGRRAVVLQRSGQRWATVMQIRSGSRRLLLGGWHSFVRDNRLRVGDICLLELKKDERKLTMAVHICRKEQFF >OB03G34310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19087772:19088812:1 gene:OB03G34310 transcript:OB03G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEVRSIQSKVPVYVAIMKKTNVGLTSSRCQLELGARYAAAVRLPDSRQSVVLQRRGERWPAAMEAKSASGRRFLVGGWHRFARDNRLRVGDVCLFELRRKQQQGKLTMAVHVIFAASTSSRCLID >OB03G34320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19091170:19091667:-1 gene:OB03G34320 transcript:OB03G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTSTTTTTAACTRTVTTGQSSTTATAIVTVATASRRLPTTTMAITMAATAGGAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVIPPTRGSGHHHGGHGGRRGLLALNDEDMCSGGCCPGHHHDDAADGHHHGHMGPHGDSHVPAPFKIAT >OB03G34330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19100839:19103908:1 gene:OB03G34330 transcript:OB03G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSKMKRSFDCCKRYVDHLNGKMKCFHIQMRANSGHSIIIPNKFLEQFGGKISTTIELKSPKGIVYVVKVLILDSDGCEKAFPRAGTRKTCRAPERNADPIDISGSTHDGTMESSESEECTESSSSEHESSHELDDPQTTLAPILSYGTSLSEAQEEEVAMLIRDIQPEIPVYVAVMKHSNVNSPHASLVIAKLYASTYFPNTSQTITLQRQGKNKKWRPRYYIRKDRPGHILYGRWINFVRDNHIKEGDICIFHAMKFTREEFGATVHLLRARKSHSFGEFCTNPKIVDSKYVGTRPKMTGSSVKEEPYDGQCNKGQGKRQGPQNFDDSRGSSKPYILSHRDSLTDEQVGKVEEVAHSIQPGVPVYVSIMKRSSVGTDGLYTLKLGKQFSTRHLPPQGDHQVLTLLMEGEGHAWRVRMCSRSGDAQTLTTGWREFVRDKHLHLPADEQREQAHHDRPRHSSR >OB03G34340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19105627:19105977:-1 gene:OB03G34340 transcript:OB03G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTCLASETAADTNGGDCGGRQPEMAEKIDGHRRWWWSIHDPLMYGPCSSYRQHLRTDAMLPPCCRDIHNALVASRWKVGSDQHQMCNLLAAASLQLIRHSSIVFFCQATTIQCT >OB03G34350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19105489:19113602:-1 gene:OB03G34350 transcript:OB03G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGAGGGPAGKEAVPAAGAGAGALLELTPHKLAVCHLVQVFAPPPQAGVSAPALPFPFESVAHHNRLGLFLFALTRSCNDFREPPLEELLRQLKAVDALVNGWLCEQLTSTLSALNSPDDLFNFFDKLRGVLSAPEGANVEDEFLDPNSQLGIFIRCCILSFNTMTFEGVCHLLANLVEYYSSTDDSYDLAEDEEFNSEIEMSNFMDANIHARDRIFDKYSQGYAPESHFGESSSSLVNVPASLHGFEEANIFKPDDNPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMSQLQTLAPELHRVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGFFSRTVSPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSTVGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGLSAANDNGSFSTSWLSNLSAASNSWCSSSTKSRKLLMNDFDNFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGSAPMVRMNSLVYATCFADAASSSDLSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPSSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFGVLSSSVSGVDIELKTEARLRHARTLLAAKQFNQAANVANSLFSTCYKYNMQVENASVLLLLAEIHKNSDNAVVGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLICQSLPMIHGHGGLELRARAHIVLAKCYLSDPKFSVSEDPSSVLDPLNQATEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPYNEEDSLAC >OB03G34360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19116320:19124179:1 gene:OB03G34360 transcript:OB03G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITVSSFGASSLHHHQFVELVCKGKMRADFEHSMSVSRMIGRRVQDDARSNPSRQAISSASKASWNIIPNKFLNQFGGKISRTIELESPKGNVYVVKVTKHMNKTVLQCGREAFADAHQIEESDSLLFRHIENSRFEVTFLDSDGCEKVFSCAGKKMASSAREINVDHIDISSSSQDDSTQSSGGERRRDNLRGKTAKLAASSSSGESGEEATESSTSEHELFDDLVDPQTPAVPGYILSRGTSLSAAQEEKIDMLVEDIRPEVPLYVTTIKHSNVNSHHPTLVIAKNYASEHFRRTSQTITLKCQGKNKKWHPRFYIRKDQVGYILQGHWIDFVRNHLEEGDICVFHLRKFTGRKFRATVHLLCETKSHSLGTLRASPKRIDSRDCRMRPRVTGARRASSKHYVLSDRASLTAEQMTKVEEIVHSIQSDVPIYVSIMSKINVGTDGLYIIAICRNYASKYLPREHQMVTLRRGGKSWQVWFRVNKHDLRMLSRGWKKFAGDNGLQVVDACLFELLSVDENSYTMNVHIIRK >OB03G34370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19131547:19134611:1 gene:OB03G34370 transcript:OB03G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNTRSTTQRNEHYHCNGIDGQEKYFFKVMIYDFRQTMIIPDKFAHHFKGVTAKIVKLESRSGYTFDVQITKKLNRLVLGSGWESFASAHDLKMGDFLVFKYNGNLLLQVLIFDPSGCEKAAPCSMKNAIGHFGQRWGEPIDTSSFQDHQQKPPQSGNEHWMQKDRSCKGNKIGNVRSSSTPSKYSGCILPRGICLPEFQEKKMKEMIQAIQSKTPMYGSVMTKSSVFGSHCALEISRRYDAYLPYSGQELVLRHHEKSWEVRFCRYKNKSIKFTQGWKRFVQENNLKIGDLCLFQTLKKNYSMNVHIIRTIRSSSTLSNVSGCVLPRGTCLPKTQEKKMKEKIQAIHSKTPMFGAVMKKCSVSCALEISKQYDDAYLPYNAQELMLRHCRQSWKIRFRRYGNNTRKFSQGWKKFVLDNNLQMGDLCLFEILTKINYTINVHIIRKC >OB03G34380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19136444:19138462:1 gene:OB03G34380 transcript:OB03G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGARCKEEHAHFNRNHVDGPDMNFFKVMIGHFRERMTIPDEFQQYFRGKIPRTIKLRSRSGCTFDAEVTKNLSKLSLQSGWKAFATAHDLQMGDFLVFTYEEGISELKFLIFGPSGCEKVPSCSLHDHSPSNSQTQWGSSKQENNIANIEDAALQGDDFQVHPLPGCIIPKRTRLTDAQKQQLENKVQAIHSEIPIYGCILRKTSIQGKPQTVDICPEYADVYLPSNKRLNIRLQRHGKNWDVQCRTNKIGSKRLSKGWICFARDNNLHVGDICLFELLNNKECTTMNVHVIPEK >OB03G34390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19141580:19143674:1 gene:OB03G34390 transcript:OB03G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSLQRGAYFDCNRTNGEDKHFFKIMVGDFAESMTIPNEFLRNFGGKIPKSVKLETRNGLTFDVQVAKDLGRVFLQSGWTSYVSAHDLKTGDFLVFKYSGHSQLKTLIFDPNGCEKVCSYLVKKNAAHSQQNENKKRKQRENSNKLSRSPMKPSEDDLVPGCILPRRTHLDRLQRKILTEKVKALHSETPIYGYVMNNSSIRGIPCTVVISANYGDLYLPFEDGTVVLQHNGKCWNVRCCVTTQNSKRLLAGWKKFAGDNKLHPGDICLFQLLENEKKYVMNVHIIRKKMKN >OB03G34400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19151978:19153403:1 gene:OB03G34400 transcript:OB03G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKMGKNCSVCKEWQEHCYWSHMADDSKHFLKHMAGDFTESMTVPARFADNFNGHISEEVNLRPPSGKTWSIGVASSGAGEVVLQSGWKEFVDGNSVQEGDCLLFRYSGVSSFDVLIFDPSGCEKASPHFAESHGGAGRNQDECEAKEDDDDEDVVKGVVEGGYYFGRNGRVGEYNLREEDKEEISRFPVPLHPGNPVFVHVIHAAHIRTSRYSILASDSP >OB03G34410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19154171:19154389:-1 gene:OB03G34410 transcript:OB03G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHEHDAPLGPPARPLQHHLLQRPQIFSGELRRHALTKNQIPFLTIPANKRNENCNIMTCNPDSCYCKGLQ >OB03G34420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19175682:19177354:1 gene:OB03G34420 transcript:OB03G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAPDLSLHISPPSPPDMAGSSDGGELMEQLAEPKLSLGFGTTAYNDGGCNNLQQQQRLLHQPSQIQRFKKSASGSSLPLCGGGNSGGGAARSAGNGGGGGGGKRSSRAPRMRWTTALHAHFVQAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTTDRTCAEGHAQMRDMGFLRRGGGEVDAFDVLGNASSIAITNIRLVHST >OB03G34430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19181828:19182160:1 gene:OB03G34430 transcript:OB03G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLCCLLIVSDALYMCMSVHVSIYTILLHVIPLSISVRMHVLCIISLSDVHCRHIFVPAALLVVYKFFPATFSPFTPTLSHSYLKRCSTYGARTVFPPSQNIRGSYIFL >OB03G34440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19184573:19184985:1 gene:OB03G34440 transcript:OB03G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGWRGGQAVQQDDAAVIHSCSLGMIKDKGQQRRLPAAAGGHDDETARMMRSSSVSGDGVVGVDGDERRRSAHEAAAAGAIKGAKPGDQPREAGVAKQQQQQQQKQLGPTSATAQR >OB03G34450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19190748:19191152:1 gene:OB03G34450 transcript:OB03G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTSSVGAWFCQISSGLRRGVRAEIDDQSSKKNAAWTKKEMDKEEAKAAVARTSPSSSSSCCGRAGPAMPEATVYLLLDRFAPS >OB03G34460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19190832:19191344:-1 gene:OB03G34460 transcript:OB03G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRGVEKETKSIRQDRDDEPYTTYSIFTNTISTYIERHVDALLLPLPSITRSLLAAASPARALAGGEPVEQQVDGGLRHGRAGAAAARGRRRRRGPCDGRLGFLFIHLLLGPGGVLLRRLVVNLGADAPTQARRDLAEPGADRARRALQRRRRHDGDATAMRSQAARQ >OB03G34470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19197706:19199464:1 gene:OB03G34470 transcript:OB03G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFAFATRAIADVDLNKCEPWDLPNDKRPLYATLYTYKNVKIMNEWIRSSNIHMDGWEAGKASMGEKEWYFFSMRDRKYPTGIRTNRATESGYWKTTGKDKEIFHGGMLVGMKKTLVFYRGRAPKGAKTSWVMHEYRLQTKFPYKPSKDEWVVCRVFKKCQLLKIRPPMAHDDDGAEHHLVDDGSPCGGGGGHAGGSSSLGDLGELDVSSILGGFASSANAAPLCHGGGGGGESFGAHRLDVGAQARDVGVSLANVGADALFGGALSAKVDMECGEQAAHLDMDDATWRAF >OB03G34480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19223188:19236842:-1 gene:OB03G34480 transcript:OB03G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAQAVAASGARGEEVSFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSTKGEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPTGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSNTQGGQEKSVTTQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERMKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGIVEELEKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSVDTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPASALNAKSRSGGNDPDDDLSLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFSVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLDKIAHSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHEASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVIKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYANEPIMSVAEMKDVLANF >OB03G34490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19244176:19244346:-1 gene:OB03G34490 transcript:OB03G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCITEHQLSILISTINRFFRLTIANNSQSFMCYYWRQDLLSVDGKTSSHVTGRPPP >OB03G34500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19247616:19248095:1 gene:OB03G34500 transcript:OB03G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGGWRGRAVGIHFVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGWLAAEADEDDVGLLEEADLLLLLPDDRRADCRVSAYRRHCSVADSGGSLTLSLRPDGDWFGIPA >OB03G34510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19249375:19251559:1 gene:OB03G34510 transcript:OB03G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLYPQQQDLSAVMNLLNIKQHAARTLLIHHRWKMHCIYDHLDRKGRGRMLTEACIVLPENNSMSAAGSRTHPTRVTCNVCFEDLPITEASTMDCGHCFCNDCWTEHFFASINSGCKQIRCMEVNCKAICDEDMVRRLVDQKYPAASKRFSRLLLESYLEDNDSVKWCPSAPHCGRAIQVAAAGDERHSEVACPCGVAFCFSCTAPAHSPCPCPMWEKWEAKSHGDADSVKWILANTKSCPRCSKPIEKNGGCNLVQCKCGQCLCWLCGAPTGKEHTWERISGHSCNRYKEEEEEEAGDKPLSRSYAFAYYMFDGGGGEFEAHPAERASLAVARNLFEDQQQQLEHHVEHLSRMLAADVPEEVAVAKQDAVNLAKIVEAICGKMYRCIQDELLPLLVQPMSIPAYRPDGPDKAEEFVRA >OB03G34520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19257055:19260648:1 gene:OB03G34520 transcript:OB03G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDVEECFYGYDDDDEEGAGEDEEDWGGEGLLVEEEAASPERPVDCWAITKESLPAAQQQDLSMVMNLLYIKQHQARSLLIHHRWKVDSVLDHLGNKGRDSLLGEAGVVLQQEANSGTAPAAMASPQHPRGSSSVTTCYVCFEEASPDAVSTMDCGHCFCNDCWTEHFFASVNGGQKQIRCMEVGCAAICDEAVVQRLLGGKYPDAARRLDHFLLESYVEDNGAVRWCPSAPHCGRAIRVDGGDRCCDMSCPCGVSFCFSCGAAPPHSPCPCAMWAKWDAKCHGEFMNLDWIRANTKNCPRCFNPIEKNGGGKPATCRCGQHLCWLCGGATGSAHSVHSIEGHSCNRFVEEEQKEVDDARRKVLRYTHYYDRFKVHGDSRRSELEELGPAVEERVRRLESSAELKPAMDTARALGDAHRALLGSRHVLSRSYAFAYHMFGGEERTWPEQKAAAAQAQALFEDHQEMAERHVEKLSQLLATDSPGTGGGRRAPCGGRSRRPSPSPPSSRNTAARCTSAFRTSCCPCSSTRRPSRPTAPPALSRPTTSRLVSDNLFFYRISVNSNVSK >OB03G34530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19265899:19266159:1 gene:OB03G34530 transcript:OB03G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYILWFYRFNMYYILIFLADQCVNNPCNMLVLVLLSVCRLWQRSTCKKVPDLLSRKKLDFFYIGWNWNVYSNLQASLVCTHYAF >OB03G34540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19270794:19270958:-1 gene:OB03G34540 transcript:OB03G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPHHFKINFYDIAKYELVSFDTSPDETPPPDSGRTTEFVIAINDLEKFPQL >OB03G34550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19271667:19273837:1 gene:OB03G34550 transcript:OB03G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) TAIR;Acc:AT1G47510] MVSVDEDGTHEGIKIIRIQKACEFTTNSVLCVCIITWNMNGKMSVDDVTKLVSSNRKFDLLVVGLQEVPKCDVAQVLQETMVETHILLCQKAMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGISMVFISCHLAAHEHKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLEGISSIPARKLIEENRQSKLSGKDQLLQEAEKGEIFNGYCEGTLLFKPTYKYNIGSSNYDTSHKIRVPSWTDRILFKVDHSSGLGAILSSYEALDCIRSSDHKPVRAHLCLKVHDDSA >OB03G34560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19278360:19284945:1 gene:OB03G34560 transcript:OB03G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKIFKGSVNRVSRGHYNGNSHEGYSAWQTKSYEHENDHEDIDHAIALSLSEEDQRKGKAVDEPGIDHRLHEDEQLARALQESLNDEPPHQNVPVKDVRSESTPATFLPPYIFPSTGFRVCAGCKTPIGQGRFLSCMDSVWHPKCFRCFACDRPISEYEFAVHEDNPYHRSCYKELFHPKCDVCKNFIPTNKNGLIEYRAHPFWMQKYCPAHENDGTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTAIMDTNECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLSETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILFGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIASSSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >OB03G34570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19288779:19294962:-1 gene:OB03G34570 transcript:OB03G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LQY1] MRQPLETAARQCSSHGQVFALSLLSPHTARDRRTELRERLERRMGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVEMLGVYIQRSWLICIATAAALAPTYVFTAGILRGLRQPGDIADVAGRYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTFISGAALALHVVLNYVFLTRLGHGLVAAALVGNLTWWLIILAQFAYLVSGCFPDAWKGFSMLAFKNLTAFVKLSLASAVMLCLELWYYTAVLILVGLLKNAKLQVDVMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFAVAMAVSTSAFIGAIFMAVFFIWRKQLPRFFSDDADVLREAAKLGFLLAGTIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLNAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMLAEERIREWGGRNDDALPSTTSTADNENNVDR >OB03G34580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19313111:19319630:1 gene:OB03G34580 transcript:OB03G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVRQLCGVLLKCCDLDLKQPRGLEDPEVLARETVFSVSEVEALYELFKRISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OB03G34590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19320872:19321060:1 gene:OB03G34590 transcript:OB03G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYNADGSVDRLILHRRPFPPLTMLTVAIAPALELSCLVITTAMTRAPLLPCHNCCCFSSN >OB03G34600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19326253:19326525:-1 gene:OB03G34600 transcript:OB03G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVMGIMVLILLLATAPAYCPGGGGGGVAATRPLHDDDKEAAAALLIGHQQQHGGRRLVAAAPDVERESKMDGSTHSNHSNNPNIHH >OB03G34610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19334080:19340547:1 gene:OB03G34610 transcript:OB03G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IND1(iron-sulfur protein required for NADH dehydrogenase)-like [Source:Projected from Arabidopsis thaliana (AT4G19540) TAIR;Acc:AT4G19540] MLRIASRTGLLGRRRCYSTAAKGGPSIAGVSDIIAVASGKGGVGKSTTAVNIAVALAKKFQLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPAKNHGVQCMSIGFLVDKDAPIVWRGPMVMSALEKMTKGVAWGNLDILVVDMPPGTGDAQLSISQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFRCPRCGEKSYIFGEGGAQRTAEEMDMKLVGEIPLEIDIRTGSDEGKPIVISSPDSASAQAYIQVAEKVIQRLKELAEERRMGPEILL >OB03G34620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19371337:19378281:1 gene:OB03G34620 transcript:OB03G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMPRLEMAASGRVQHIEKFSHYVARQMGFEDINECPQLCKLANNYLKRTKNCMDDIDDFFANMPDSEALYVKFIEELDKCILGYFAFHWDHATALISQALTVDSGSKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPTAAMNGDAADEEPHCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVRQTVAMARDVHRRRYRMGPGYKVDPDTGAITEDYWEALAADDDDDEEGGGGSPPPTRKPYRIEVVGVVCDAYLAVARGIRRAIVMGRAVRVRSQLMSHKRFAAAFRGYADLVDGARLYSTNSMGAARLIARKDGLAGSLLVEPREFGCLDVVGGLNENATSVHDLYRGGATACGSRSIWDDMIAAPERAAIQRELREAFRCMDHTADDTTTTNGA >OB03G34630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19380516:19384459:-1 gene:OB03G34630 transcript:OB03G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3-interacting protein 3 [Source:Projected from Arabidopsis thaliana (AT1G08780) TAIR;Acc:AT1G08780] MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELDDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEEEKKSIVSQMAELKKILYGKFKDAINLEED >OB03G34640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19385642:19414338:1 gene:OB03G34640 transcript:OB03G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGWRTGVHGLWEXXXXLRLRAAGVSLVGALLPRCPALSRLYLRMESDVDATMLACLAFSCPSLETLEISMSDNAFNRMTGEELSRFVSEKHSLSVLKIGGCSNLGFLNLNSSSLSILWLSDLCSLSKLVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMNISSAQLSNEAVFALEGANLRGLCMLSLILGSKITDAAVASIIRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGSIRFCPKLPTSKKQPINQKLIVKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPNLKNVHASGCHDMLIGAIRNQVLNEFAAAESHLPCKRLADGSKRVRLPQFSQQEPSEDKKGIGLRQNQCTVHLD >OB03G34650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19387778:19393186:-1 gene:OB03G34650 transcript:OB03G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRELLARCMTCPLCNRLLRDATTVSECLHTFCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNIQDVRSKIFPFKRKKIRAEEVAAPILLPSKRKERSISSLVVDAPIVTPTGLTGRRTRAVTRKAAALRLVTDDPVKKETDNGEKHAQNSSLSANSGKVPQTRRQMLANAETSNHSSNKDTEGDRKDLADKADELWRPLNCLVEAANRTKSFRSSSQSPIVKREQLSDSLGSTSVNKTKSREHLQKSKLEDDKKDVPLLKRKNQRTGRRRELHAPSDKKPDVAAMQNEKKFSSIWFSLVASFEQEGEPPLPQIPSQYLRIKDGNIPASSIQKYLMQKLGLPSEAEVEINCCGQPVNPTQPLCNLVELWLRGRSTQMTQTMIGSPAKEFVMVLTYGRPKAIAP >OB03G34660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19415242:19417173:-1 gene:OB03G34660 transcript:OB03G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LQZ0] MAGLGFDETELRLGLPGGGEAARSSGKRGFAETVDLKLKLQLASAPAAPADERDDEEEKEQAAHDAAPPPAADGKTTMKRSPSQSSVVTAQPDPEKPRAPKAQAVGWPPVRSFRKNVLADKADKAAAAAMVKVSMDGAPYLRKIDLRMYRSYKDLSMALHNMFTIGTYMHLIDLMLLCSSNDGKLKDVDGPEFVSTYEDKDGDWMLVGDVPWDMFVDSCKRLRIMKGSEAIGLAPRAMEKRKSRN >OB03G34670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19427943:19429203:1 gene:OB03G34670 transcript:OB03G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LQZ1] MEAAVGYADNLKATELRLGLPGTADDDHRLPLASTPRGKKRAAEDDAASSADEQDAVEAAPPVAKAQVVGWPPVRSYRKSCFQAAASKSKAAVSCNNKDEPTTKNAAQAPAAAAANGSLVKVSMDGAPYLRKIDLRMYKGYRELREALEAMFVCFSGGAADSANPSEFAVTYQDKDGDLMLVGDVPFEMFTSTCKKLRIMKRSEATGLGSPRQMKI >OB03G34680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19429354:19435113:-1 gene:OB03G34680 transcript:OB03G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGSHLSATAAGTRGPTGRKQCEVKEKKQTNSRAIRFTLEVQRRKNLTMVLLAAAAAVGPFKTLNPTLHPSSGRRLRLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAVARGRLAVWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQLNFIESFQQVQLYEPEAGQDTLGGKHGNYVGSTSDSTDGKSRDHVNSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSRQDGERKPVAFMYADDFMTPNTNTEAHL >OB03G34690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19435615:19437991:-1 gene:OB03G34690 transcript:OB03G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKCLFGLGVPELVVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKEPEDGGDQPPPPTQTAVSDDGEEKEELEASSSKEST >OB03G34700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19443139:19444397:-1 gene:OB03G34700 transcript:OB03G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKNGSPLLGKYELGRLLGRGTFAKVYHARSLAGGEHVAVKLGSLLAGQPERELAFHAPPALNAFDIISMSPGLDLSGLFGDSKRRREKRFMTTASPEQTVERLGQAGPKLGYFMVGKKGVDRLPLGGLSGLVAMSMEMSEVSPSMMLIELKLEGGDGDEEFGWEELRTELGDDMVMAWHGCDGKDVKDQGILL >OB03G34710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19464234:19466045:-1 gene:OB03G34710 transcript:OB03G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42920) TAIR;Acc:AT2G42920] MAPLQARACSSPASSAPLLPSSPSISSFLASHPVLTLLHTQCASMAHLHQLHAALVKSGLARAPIAASRAVAFCAGDGRDTAYAARLVRHHPRPNAFMWNTAIRALADGPGPDAAVALFVDMLGSPTQPERRTFPSLFAAYARLGRAGDGAGLHGMVLKLGLGGDAYVRNSMIAMYASCGAADEALALLGRCEEFDAVACNSAIVALARAGRVDEARAVFDGMPSRTVTTWSAMVSAYSRASRCHDAVELFSEMQAEGVEPNANVLVSVLGCCSSLGALEQGAWVHAYIDKRGVAVNALVVTALVDMYCKCGAIRRAREVFDAARSRGLAKLSSWNSMMLGHAVHGQWREAATLFSELEPHSLRPDSVTFIAILMAYGHSGKPEEAESAFASMASEHGVAPGIEHYGCLVDALAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASAAPRGAAAVVRGRMREEGVGKAPGCSMIEVDGVVHEFVS >OB03G34720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19466758:19470274:-1 gene:OB03G34720 transcript:OB03G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ggamma-subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G63420) TAIR;Acc:AT3G63420] MQAGGGGGGDAGDTRGRHRIQAELKKLEQEARFLEEELEELEKTDKVSAALQELMVTTESKADPLLPNYWACMSILGQMV >OB03G34730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19483900:19489654:-1 gene:OB03G34730 transcript:OB03G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILAQAEVAPLTSGRLSHSSGPLTGGGSLPDSPPVSPEIDDAKYCRAASTPKPQMYRGANKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATGSSFPPTSLRGAATLKARALKEVWNIAAVIPVEKGTMGGGHHHKQNAQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRTGLVMLKMKSRHVAGTITKKKKNVVIDVCKDVVAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLCIASERKRAM >OB03G34740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19519080:19519241:1 gene:OB03G34740 transcript:OB03G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding INGSTKKACSIWLCHVSFMEHLNIYVGLEMVIKAIRNNHQYPVQLMDICDIIS >OB03G34750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19524768:19524956:1 gene:OB03G34750 transcript:OB03G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQRCPSQVSAPASCPWGSRLLDPSSASLHDSECGSTSTVLTAATTTYCWSLATDNAAIA >OB03G34760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19529242:19532952:-1 gene:OB03G34760 transcript:OB03G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHRVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIIIGYCEGGDMAEAIKRASGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQSIRLGDFGLAKILTSNDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLRHPHLQPYVLQVHLKSSPARNIIPSRQSPIDKVKKMTFPTESMCRSKGRRNSLGNERTVTFSKPSPERNFISSIQSIKDYTTTQSVKDLSIDDSLVEEVSSKTLTTRTSIIVKTPKSTPTKTITSQLEPAKASYNRVYHSELLPRTPVNRSARVARRASLPLPAFETPKRIGILDHLESPDVSVNSPRIDRIAEFPLASYEEPLFTIQKHSSADGLCHTTPPPCIDHSITKDKCMVEAFHIDGENGSDSSGRNATAASSRGSSDSRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >OB03G34770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19553725:19554888:-1 gene:OB03G34770 transcript:OB03G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSKHQRTTRTLISLFLIGLLASPCLPAQPLPSPAPSPAPAPPALPLSPFNERLEAAYVAFQAWKHAITEDPKNLTKDWCGPFVCNYTGVFCAAAPDDPHTLTVAGVDLNHGDIAGCLPDHLGLLADVALLHLNSNRFRGMLPPSMQHMRLLFELDVSNNLLSGAFPAFLTSLPKLKFLDLRFNGFDGELPDAVFGRQLGLDALFANNNRFNVSLSSKSLTNSTASVIVLANTRLAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLKVLDLSHNDLAGELPDSIGDMESLEVLNVGYNMLAGEVPEAICELPRLRNLTIAGNYFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPPHCAADGCIVSPP >OB03G34780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19553999:19554610:1 gene:OB03G34780 transcript:OB03G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSGSSPARSLWLRSSTLSFLSLPISGGMQELMLVLRRMSSTSVSAMSPMDGGRQPARRVLASTMTDAVELVRLLDDSDTLKRLLLANSASRPSCRPNTASGSSPSKPLNRRSRNLSLGSDVRKAGKAPERRLLLTSSSNSSRMCCIDGGSMPRNRLELRWRSATSARSPRWSGRHPAMSPWLRSTPATVRVCGSSGAAAQ >OB03G34790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19572380:19572944:1 gene:OB03G34790 transcript:OB03G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDDDDHGGRQAGIGIRTHEQERKGKERERQEEAASTGAFNSPFTIATYTSLPLPPRSSSLISLSLPPSPLLHHRDPAYPRRTAGAPPCNASGACLSSNKRSFLSIFTPSSWCAWSAAAALSVLAAFFFFYPSRPLPRRATDIPSLTPPERRRAAATELALRRVGDPSGLE >OB03G34800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19573382:19576084:1 gene:OB03G34800 transcript:OB03G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crinkly4 [Source:Projected from Arabidopsis thaliana (AT3G59420) TAIR;Acc:AT3G59420] MDNVLVIALCCLVLLPSWADGLGSMASIAVSYGEDGPVFCGLNSDGSHLVTCFGADASVVYGAPSRIPFIGLTAGDGFSCGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTTVKGFHSVNADTSVIDCWGYNMTATHTVAGAVSAISAGSVFNCGLFARNRTVFCWGDESVSGVIGLAPRSVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQMSTSNSIDEGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTQAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICVSDSCSHGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDALESSPCNATADRVCQFDCLKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIVFAIILVFSVTVMACLYVRYKLRHCQCSKNELRLAKNTTYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDLNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISAILDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNHSCSENDLVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >OB03G34810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19579764:19586575:-1 gene:OB03G34810 transcript:OB03G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSPTNTRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQANRKIAEVFPEQVLMDLDDDLPAETASVETDMDNPDMAPYFLSFINGSDSRKHAKDNQDNERLQKELASLSEENQDLKSRISSLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLHNLKSEILHTQEKFNRLKEEMQSGFQPLTTGDEHSALVEKANQEMHLELNRLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKLRLLALEVSKAKDTETEKVVLEKELEKIQKQNTSLNDQIHSSSSVIIRLQDEIITMKNAQQKLEEDVCRHVDEKKTLQNELYHLKEDRSDLEKKHFSMKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHASTDVLHIESMKRLERMSEKNAFLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSAQAVLVAQIEAISQTMADLFEKNVFLENSLSDANAELESLRGKLEDLKESSEALHNQNSALQHEKSTLAYQVDRISHTLLNLEAQYTELERRHSDLQEEKNSVLDEVIKLQEQIRLERKEHNDLEHSRKFQFDALCKKINLVSQEGRNREEQLEEEEQNIVKAQIEIFIWKQCLEDIAEANSDFSAQLQMKQEICQVLEEKMEYLSDNNQKLTKWIGSVQKLLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNILKQDQQAKSEELLHLQRENKELVNITNEFWEEMETRNRKVDELRAEAKFLVGQLAELQDSRRSLQSEIVKLIQENSLLSNELYDSREKERVFEDDISILISEVISKDILAVVFRSLHEERTLQLESLHSDFAQLQAAGSGLYQDIKMMNMKFEHLEKESNECNKELSRTISICNSTSTENAIGRGDPAQRDTNLPNSERSQQEYHVNLEMGHIEVDMAGLEKSNEMLQEEVHKLQSEMKVLRSKENSVIDIKSCDEDIKRLLANMQMAIMNAALFKEKVLELIITCESFEISTMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLGMEENALSTQVLKTNMRSSDDQNAARTVKDMELQKLHGTIKALQKVVTDTAVLLEQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGYKVGSLGQANKTVAQIDEKMLESHGTIGASSSHMRNDLRPPQSESFERDNCKKHPSELIFVKELSIDKQELPRSVTMEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFIMQLMDSNSKLSKKAEEFTSADGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVHEALLKYEEQTSIRTSKTMHRRSKVQLVDFLYGRRQDSRKQQRCSPCGCLKSKTIDD >OB03G34820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19600483:19604914:1 gene:OB03G34820 transcript:OB03G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVVKGCLISYESLSPQIGTQRSSGGNKILYRGSFFTFPSPEARNEKIKKGFHKFSGNGYDFGISADTVNMETYTTDEALEHMGFGKFQVLVLVYAGMGWAVEAMEIMLLSFVGPLVREEWNVSAENESLLSSVVFAGMLIGASGWGFVSDKYGRRTGLLFSIMFTSGMGLLSALSPNYPCLLALRFLVGIGVGGGHVFTSWFLEFVPAQNRGTWMIVFSFFWTIGTVLEASLAWVVISVLSWRWLLALTALPCFVLIPFFGTTPESPRYLCAQNRTSDATLVLERIAFTNQSTLPPGVLTYHQEIKVDHSGLTSEKEDLLPVSEKECTFDNDVSSKNGGGISSLLRLLSRKLLRSTLLLWFAFFANSFAYYGIVLLTTQLSDANRSCTSGQTNVGQQENVNLYKDTFITSLAEVPGLILSAIIVDWFGRKASMWFMLFMCCVFIGPLVLQQNELLTTVLLFGARAVVMGSFTVLSLYAPEVYPTSVRSTGAGIATAIGRIGGVVCPLVAVGLLRSCHQMEAILVFELVLLFAGVACFLFPIETKGRGMD >OB03G34830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19606025:19615868:-1 gene:OB03G34830 transcript:OB03G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDWCGCQMFADRVSVSGQMRLIDGGNAFCSRSIGRWDQQQHHTTKTPKNVMVPLSLIQERKWFSQGDSPCERDKILHLQNSPVFDFIKTLSPIATSKRLYTAPNAQLFKSSDLAHTSSIFTSPQVTDSRKGSKISIGNTSARLSQEGLCSCCHTTQIGTSCYIKQSEVMTIPSENCSTDCSLSQAYHDSPGNASILRNNLPQRIQLSSNTLGNDKREDDISGKTDHAKLPFFDHSGLDKLEQSTSGINIQKRDLTKKHNDELACNWDYLNIQCGSSVVPTPNLRLDTSAQLVETPRNDNVMHSKSLLPITQTNLENAGRKLFHGSADCYEQSLVDNVSSNYVSGIPPCHSLSQLVQDHQFIDTLEVPSDCMAMHHSAVTHYLRGSCNRNLFNEKVRDPIMSVHRVSTPCSPPRTTRWRSYSDDNYLNPVVSPVCPLPGLDLHFDALSQMPKDMVLGDSKATENPFKKKKSAVNSTLLTSEHNSEMNSVVPGNQPVSNTNEMNTSTQNNYSSQATTTTNAGDSGQENPKRKSYCECFAAKVHCSGSCSCRGCFNDDSHEETILSTRSRIESRNPLAFAPKVIRSCGSGLAFGSGVGCSMSCRCESCKNSFGIRKGIQLLDNEETERVDPKKKAPLKEELPWIIKLNAFGETYRVLPNDILCTTPSIESHRALVLPPSECSKTLLSSTTFSQLYSPSKTDVLPSPYRSYTQMILENDTSADMQQGDSSCTAGFKVVSPNKKRVSPMRSRSDRQLVLNSIPSFPSLTGDACQQLLKPSKAEVGDRLFVS >OB03G34840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19623816:19628625:1 gene:OB03G34840 transcript:OB03G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGIPEGKEKLKKSGSMGSSDAYVRADKIDLTSLDIQLEKQLAKTWGKANPKAQGPKEDWEIDPAKLEIRYFITQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGTRTNLPARACCVVVEYLAGGSLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >OB03G34850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19635449:19636362:-1 gene:OB03G34850 transcript:OB03G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRASSPRPWADLDTDCLVHVFRRLDLDDLASAAPLLALHCPDLAGLRIASGSIKPEDAAAMAASLPRLRSLCLDRCYLPRQELLAILAGCAELREFTARGCVGFDEKDEEVLRRGAGMERFDVGGSRLLDEHPDVEPTNDDDFYCYSDDSYVDVI >OB03G34860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19646536:19646979:-1 gene:OB03G34860 transcript:OB03G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSTSEEVGVGGHVGPTSWRSDMTTSGRPSRRRWLEEGEGYNGTGVCEGMREEEGRDGGGGRRARSPAAHARWVGFGVGSEIYVVRSRPNDPMGAKEQLRWDTWTARPRLVRDQRVDVSRDQNAINKKRRGVFAKSNDVDTLKSR >OB03G34870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19647161:19647418:1 gene:OB03G34870 transcript:OB03G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLPSSPLPPSMGFPSLAVRPLHLTLLLATLCQICTVTSGVCGNRVEAGESDDLAMTTMTALTHRNSCDKGFWFAFTLFSFVL >OB03G34880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19673565:19676917:1 gene:OB03G34880 transcript:OB03G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAMPRMPFMAAPQPVVPTAPVNPIPPVYRGHMPAGAAVGLTEPYAHYLGVNHLQPPSQHYLQGVGYYPLEAKARIVPTPNGSMPAPSENVPNGGSG >OB03G34890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19673827:19674018:-1 gene:OB03G34890 transcript:OB03G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRYTGGMGFTGAVGTTGCGAAMNGIRGIAAAAPIPTPIRGRYWCTPGNITGGAIPLPIHII >OB03G34900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19676136:19676764:-1 gene:OB03G34900 transcript:OB03G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLDNGIRRDNLVFQSCRLLEVERGRKIVERRKMMGGAAIEEEDEDTKDR >OB03G34910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19688502:19699721:-1 gene:OB03G34910 transcript:OB03G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med23 (InterPro:IPR021629); Has 187 Blast hits to 184 proteins in 67 species: Archae - 0; Bacteria - 0; Metazoa - 135; Fungi - 0; Plants - 43; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G23230) TAIR;Acc:AT1G23230] MDGAHGQRQQPLSPAISASAALPQQQRQMQLHHQHHHPARSAIADLFTLYLGMKSKQRVEDPTRETSNKLQKRVTALNRDLPPRDEQFISDFEQLHVQFTDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDNLLPSLLNVVSSMEAPMGQGVSVTTGAGGPATSSSSAIAVPNAPSFHPSNPTSPLSTMNTIGSPTQSGIDQPIGANVSPIKGAEFSSPGQLGLAARGDQSRRGAEISYLHHLSCRIILAGLESDLKPGTNAVIFQHMVNWLVNWDQRPHGVDPADVLQTLRLERPLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEELANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFMSLDERAMGMFWVLSFTMAQPACEAVMNWFTSAGVADLIQGPNLQPNERMTMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILNKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKVKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEDVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCNSRSPEHWLKNQPPKRVELQKALGNHLSWKERYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAVYSTFLAFHPLRFTFVRDILAYFYGHLPTKLIVRILNVLGVSTKTPFSESFAQYLASSNSSICPPPEYFANLLLGLVNNVIPPLSCKSKSNPSDASGSTTRTTYNKPHTSSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLSVPASQIVSSLVQIIAHVQAMLIQSNSGHGMSGGLGQNSGVPISSGGGVEPVGARPNTTANGINTTNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHTILYGEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQAPHVEASEISDIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKLVQNPS >OB03G34920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19701088:19706775:-1 gene:OB03G34920 transcript:OB03G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVLEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGISTMAPAPSPMPASSGSSYNAPSTSVSTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >OB03G34930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19712370:19717112:1 gene:OB03G34930 transcript:OB03G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recA DNA recombination family protein [Source:Projected from Arabidopsis thaliana (AT1G79050) TAIR;Acc:AT1G79050] MNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDMRLGQGREKALQYLRESPTICDEIEKAVRAMIPEGTRHESLLAFGQSSLTEEEQVYDE >OB03G34940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19717196:19728304:-1 gene:OB03G34940 transcript:OB03G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT5G44560) TAIR;Acc:AT5G44560] MAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIVNLQGTRAQIRGVATHTQAMYAGTSISAGMKGASKAMAAMNKQMEPAKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKRAESNQARNAAPPRKNVEPESSAEVDDLERRLASLRRI >OB03G34950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19747407:19748174:-1 gene:OB03G34950 transcript:OB03G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTGSSHSEDIAASDLDDAVAELDDDATAASDLDDVDVELTDDEAAASDLDDYAYNFDDADTDLDDTDFDVDDFDPMDMYNMDDFVAESMLLDEYSEKIIDRLKEKIASGPSRRRRQIGTRRYIPRNREAGNDDLVANYFSESPIYTDEMFRRRFRMRKSLFLRIVSALSEWSPYFTNRVDATGRAGHSPLQKCTAAIRMLAYGTPADQLDEVLKIGPSTSLECLGKFAKGVIEIFGDEYLRAPRIDELESML >OB03G34960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19748431:19748592:-1 gene:OB03G34960 transcript:OB03G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRTASLLWDHADYRHQLLYILNKFIDVRIHIFWMQMYEDSCYVKTDFLHTELV >OB03G34970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19748370:19753079:-1 gene:OB03G34970 transcript:OB03G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGAIVTETHLAGAHQQSLIITLVYSGHRPSVSKSGNEDATNNTHETRSYGREWMEWECGAPSDPVTPGVVLVPVHGGGVPFIQLQRRCPLRLAPSAATVLTAGSCLPLRYCHGDALLLTAAASASCLHCCHGATLLLAAAASCPLVSTIGVLFAPVNCNDSSPLLAETATPPRRHVNQNPISVDSDDDTDVVRTKSKLNWLQVEDVRLVSAWLNNSMDPINGNDKKAEKYWGDVAKEYNKTTEQKRWRNSKQAKERWHKINAWLDLFQGCWLKAKRTYTSGYSDQMWIDIAQKFYAEENPKLGHFVLTEVWKICRDQPKWIAYNDALKRFRKRKASDNREPREEASANTDFEEPPRPIGQKAAKKAAQESKGKSKVTTDIDDIEKLEQVQADIQTRRIKMMEMQDKLSARQVKSSKLSQVAARENRLAAKDNKEAKMHEKECKMFDTYSRLLTQDTTSMTAEIIAEHAAAIRCLRKILFPDSI >OB03G34980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19757984:19758583:-1 gene:OB03G34980 transcript:OB03G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPAAAAITHVLHNKQEFPLATSVDDLLVLSIGTGAASSSSSAGAGWNTPMPTRSPSPRELARVAAEGVADMVDESVAMAFGHTSGSNYVRIQASKTATTALHADTAAAAGAMLSQRNVESVLFRGRRMSERTNAEKVDAVAAELVKEHERRRRSPLPNVVIKQVGTPRLSSATTASSATTTRTASTLASPASYGSRQ >OB03G34990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19763568:19771093:-1 gene:OB03G34990 transcript:OB03G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58230) TAIR;Acc:AT5G58230] MPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPAPTKPVQSVMAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPSDDPAKAP >OB03G35000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19773969:19777672:-1 gene:OB03G35000 transcript:OB03G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function KxDL (InterPro:IPR019371); Has 135 Blast hits to 135 proteins in 54 species: Archae - 0; Bacteria - 0; Metazoa - 106; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G29130) TAIR;Acc:AT3G29130] MDKLPPVVSPETAAAAEVAVQFRSLVDNEDIGTIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFASKARLLKSMKADLDHIFLKLRSMKSRLAATYPDAFPDGAMAKTMDQRPDLESLMD >OB03G35010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19777692:19778969:-1 gene:OB03G35010 transcript:OB03G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSSPPSPSLVVRSPRQTLSLLRNRHTGRESQSLPPTSTSLAGGPKPSEVYGFVGSITTVIATTVYLVWAYMPEHCLRSLGITYYPSRYWALAAPSFVIVATVLCMVVYMGFNFLATPPPASFNTIFDEYSRERTMVDPADAHATKEEIERPIEPISDISVDQINSLMFGAPLTRANNSEL >OB03G35020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19787344:19795043:-1 gene:OB03G35020 transcript:OB03G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRLVGREANKTNNKDVMHDGCATEYKYPRVDQGSLDTYLLDSNLIHGPKTDTSPNNNLNAKLFLGDTEDHNPSNSLLPLMRSTLTINLLKESVILNLTIWVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAAARPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRADVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSPMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKADAPSATRTLDLASTLEVGSGGTTRASSDTSGTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKHPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSTDSSLKAMWQHSDSIMCCSLKVSAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCSEFPKIMQQGLAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >OB03G35030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19830969:19834287:-1 gene:OB03G35030 transcript:OB03G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRASRPKPRSSRGGGGDEDPFFESEPKRRRGGGRDEDIESEDSDLEGVGAAAAGGVDEDGEEEETAGEKKMRIAKELLKRVTDAAKRREEDEEEDEDEEAGGRRVAEILLKKQLEESGRKRMELAARVLQPDPEDGFKIIVKHRQPVTAVALSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLVSHHAKPPLSTKRSKQVLTLAVSSDGRYLASGGLDRHIHLWDVRSVTSIYGMFGHGSTYRHLVATEDQYRVLLSDQTHLSYSLVHLTVK >OB03G35040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19837218:19838192:1 gene:OB03G35040 transcript:OB03G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKHAISTTKQSHNSQMHHTLNLFAQSFSSNTGISTTSGFNHTPHPCAVRGELHHVNASTYDVRPATLHGRRGRLGVAVLHLAGAGLRGERLGRVVGRVQADEEVVHEQRRRPYPEPHERLGVDGAQQEDVDGDGRRRQPRHQRHPPQLRRPRLRHERPQARRRRQPRRHRHRVRHPPAPVQAPVRGRRHRRRQDGGDARRELVPVGRGGLPRDVVAADEERHGDEHEDEERGDGEEVGEDVEVGEEGDDGGGDEHHDGGVHRRARPGVHLGQPRRHHVRAGDVGEVARLADGADEQHGGHPLERAEGDDVLGPVHAAVGEGD >OB03G35050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19837425:19841975:-1 gene:OB03G35050 transcript:OB03G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDLNWWDLAWFGIGAVIGAGIFVLTGQEAKNAVGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCISGAAVARAWTSYFATLLNRHPNDFRIHAASLSADYSRLDPIAVVVIALVCAFAVLSTKGSSRFNYVLSIVHVAVILFIIVAGLTKADAANMRDFMPYGPRGVFAASAVLFFAYVGFDAVSTMAEETRNPARDIPIGLVGAMTLTTALYCVLAVTLCLMQPYGSIDPDAPFSVAFADRGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAKVHPRTGTPVNATVVMLVATAIVAFFTDLNILSNLLSISTLFIFMLVAVALLVRRYYVSGETTAADRNKLAACIAAILATSVATATYWGLNRGGWVPYAVTVPAWLAATACLWAFVPQARAPKLWGVPLVPWLPSASIAINIFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAAEASAGEVEDGDAKPTATPM >OB03G35060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19846086:19851119:-1 gene:OB03G35060 transcript:OB03G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKLGSKPDAFRRQGQAWFCTTGLPSDITVEVGDMSFHLHKFPLLSKSAFLERLIEETSDQEECVIRLNDIPGGAKSFELVARFCYGVKIELSSENVVYLRCASEHLQMTEEITEDNLIAQSEIFLNQVVIRSWKDSLKALETCEDLLPNAEDLQIVKRCIESLASKATTDPNLFGWPIREHSLMQSPGGSVLWNGISTGARPRNFSSNWWYEDASSLSFPMYKRLISTMESRGIRPEIIAGSLTYYAKKYIPGLNRRHSMGAVSLTATLSEVEQKNLLEEIDRLLPIQKGLASTRVLLGLLRTAMILKVSSTCISNLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGATSPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLSELEKECSNMRQEIQKLGRGKTGWIASRVPKKFNLKLKSQMCSAQEGSVSEQQKSMSAKLDKLQAKVSKQKKQLSGNA >OB03G35070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19859816:19860115:-1 gene:OB03G35070 transcript:OB03G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYTKQCFFLTFVKANLLIIVRTILRGTTVAVQDDLRAPINEFLTPFLFICNIWPMLDVASCKPKLLCSSSALLQMLHLNSKFHSAAVCMYLCIHDCN >OB03G35080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19870149:19873291:1 gene:OB03G35080 transcript:OB03G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNRMGSDGNFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKDKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKNKSKEQKKHKHRHKDRSKAKEKEKEKEKEKKKDKSVHHDSGGDRSKKHHEKKRKHEGVEDLASGHNHKKIQKRKNQ >OB03G35090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19884472:19886886:-1 gene:OB03G35090 transcript:OB03G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEAQSRLLLLALLLLSPATASSSSAAGVSLPGCPDKCGNVSIPYPFGIGDRCAASGLGSLFNLTCDDTGGAPPVPLVGDPGLQAEIIEFSLERGELRVYAGLSYVCYASATSQSSNFTFVFSLVDTPFRVSPSRNQLTVVGCSTLGLVVGTGGGAGRSEDDVYATGCYSYCARLNSTNADGAPCDGTGCCQVPISPDIPFLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRSDLAGGLAYYNETVGTVPVVLDWAVRDGWCPATAEEKARRKYACKSANSECVNSTNGMGYSCNCSHGYQGNPYLQDGCQDINECALRKQDARYEEMYPCRDGVCINTPGSYRCKCRVGTKKDGTNFGCQQVLPMAAKVVVGLSGCAILAMALSCLLVIKLQRRKHILEKQQYFNQNGGLRLFEEMVSRQVDTVRVLTEDELKKATNNFSDDRVIGCGGHGTVYRGTLDDHREVAIKRSKAAIDGDDGGCEEEFVNEII >OB03G35100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19888471:19894634:-1 gene:OB03G35100 transcript:OB03G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPAGDAASSSSVIFLGTGCSGALPDTRCLIRPTAPPCAVCSLGVSLPPERNPNYRCNTSLLIDYCGDDGTHNYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKDCSNDLRRVPVFLTQFTMDSVAARFPYLVKNEVEEGDEVSQLDWTIIEGDVDKPFVSSGLEFVSLPVMHGVDYVCLGFLFGRKARVAYLSDVSSFLPQTEYAISKSGAGQLDLLILEANTLHGEGDDRSTHLTLSQTLAAVKRIRPKRALLIGMRHDFEHYTENKNLAKWSSSEGIPVQLAHDGLRVFIDL >OB03G35110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19911111:19913614:-1 gene:OB03G35110 transcript:OB03G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPQEQSEKGNVTNQREDLILLLANMHAHFSPKSSSETMLDDRAADELLAKTFENYLTWCKLLGEKKQHMVDLHNISFLKILAK >OB03G35120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19917589:19922163:-1 gene:OB03G35120 transcript:OB03G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDPGLQAVVLNISLERGELRLNGFVSYVCYTSNASSYASLPGGIYVSVTQLRVSPSRNQLTVIGCNALGLMGGAHVARPDDGGGDEYATGCYTHCAGLNSTDPDGSPCAGSGCCQVPISPNLARVGTAFPRNWTNSAWSFNPCFYAVIAEAGWYSFRRRHLAGVLGFVNETNLRDIPVVLDWAVRDGGCPVTAEEKARRAYACKSANSECVNSTNSVGYSCYCSHGYQGNPYLEDGCQDVDECALRRQSRYYEDMYPCKHGICINTPGSYRCRCKAGTKPDGTNFGCQQVLPMAAKVIIGLSVCSIFIMALSCLLVIQFQRRKHIIEKEEYFRRNGGLRLYDEMMSRQVDTVRVLTVDEIKKATDNFSDDRVLGRGGHGTVYRGTLDDLREVAIKRSKAAVDDDGGDGGGCKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGAGDGSRRRTRTPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDDGLDAKVADFGASALRSMGEGEFIEFVQGTLGYLDPESFVNRELTDKSDVYSFGVVLVELVTRRKAVYDDGSGEKRSLSSTFLAASGRGELWRVFDREIMDGVDDDAVAVAVDVLREVAGLAAQCLSPRG >OB03G35130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19925702:19928949:-1 gene:OB03G35130 transcript:OB03G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPPSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSQALSLPPERNPNYRCNTSLLIDYCQDDGSHNYILIDVGKTFREQVLRWFSQHKIPYVHSIILTHEHADAVLGLDDVWVVQPSGCRNGFSQVPIFLTKFTMDSVAARFPYLMKNKLEEDDEISQIIQLDWKIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRKARIAYLSDVSRILPRTEHAISKSGAGQLDLLVLETNTLHGEGDPGSCHLTLTQTLNAVKRISPKRALLIGMNHEFEHHKENQTLAEWSSREGIPVQLAHDGLRVFIDL >OB03G35140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19930940:19934975:-1 gene:OB03G35140 transcript:OB03G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine-delta-aminotransferase [Source:Projected from Arabidopsis thaliana (AT5G46180) TAIR;Acc:AT5G46180] MRMERERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALTEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKNIPKNEALIVSCCGCFHGRTLGAISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKEHGDKICGFLFEPIQGEAGVIIPPDGYLKTVRDLCSRHNILMIADEIQTGVARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKIVRDEGLVERAAKLGEEFRGQLQKVQQRFPQVIREVRGKGLLNAVDLSNEALSPASAYDICIKLKERGVLAKPTHNTIIRLAPPLSISPEDLAEASKAFSDVLEHDLPQMQKQIKKTESAAEKQVCDRCGRDLYG >OB03G35150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19939849:19940646:-1 gene:OB03G35150 transcript:OB03G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKKKQSEVVLLNCKWSMFGNRVRIALKRKGVAYEERPENLAAKSALLLSSNPVHGKIPVLIVDGRAVCESLVILEFIDEAFAGAGESXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRPAGRPAGANKQHMCSACIRHRGCMRACILFPRSFSHLIIRVVSLFLVL >OB03G35160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19945133:19945339:1 gene:OB03G35160 transcript:OB03G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGALVNWSCTANSPGLHACRDATLGQYFTNTKLISSYLGSYYYYPKFYRPIMKPVSPALCRFPPF >OB03G35170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19947723:19953657:1 gene:OB03G35170 transcript:OB03G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAPAAAGDKGGAAAVDPSLPRFKCQECHRALVVVGVESFTDKLPAHAVSGMNASSFQGGVMGASRMDNSYVVLSKQNRSHGHGIPPRPPSAAVPRTEPNRPTRAMEGSYIVLPPAAASIYKISGSEGGSAQLSPTSMNSSSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRVLSDKMDKEIEDVNTDIKAYEACLQRLEEETYNILSEADFQKEKQKIEEEERKLKSAIEEAEKKYSEICSEMKGLETKSKQFEELEERYCHDLNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGLIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQHFTPKFQYRIKIHPMGSYPKVTDIDDNTYELFGPVNLFWSSRFDKAMTWFLTCLQEFAEFAISLDKENNVPPEKSLNLPYKIEGDKVGSHTIFLSFNKLDNWTKALKYTLCNLKWVLYWFIGNTSFALPSGSLAQSSKR >OB03G35180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19962103:19965629:1 gene:OB03G35180 transcript:OB03G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQCDEENQRAASSHSSAPSSSWSGHGEESSTAPLLSYKMVDDKIESVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGKKMYSLTWALQYVNLFMINTGFIILAGQALKAIYVLFRDDGLLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTLFSLIYIVIAFVLSLRDGITTPAKDYTIPGSHSDKIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTIGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTINTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKQHKLPTLQKCWHWLNVVGFSCLSIAAAVAALRLITVDSSTYHLFADL >OB03G35190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19968936:19969796:-1 gene:OB03G35190 transcript:OB03G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQEKVASASAAALAVSLPILYASLLHLPPAALARDTTFWFLLSNSIIAVIAAADFAADASSRRRHDEMPSLLAAVVPAAPPAVDQLPAAAAPVVAVARDDEISRDTPPTPVVATQSPDDVPAVATSLVAPSSDDPPAVNTSSDVTVEGERPGRQPQDAAKTTVTDNGGHGEASQGDDEDEAAAREATTEKKEEETLLVVVSPSSSSEHLAIVTYDAADDDDSWSFGVEDEGTAVPWGGPPAPTGSGSKQYWKLSDEELNRKVEEFITRFNREMRLQVLQGAGV >OB03G35200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19989305:19992222:1 gene:OB03G35200 transcript:OB03G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAASESHRFQLFYFIAITVLAPVLQPCESIELRRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDRPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCMEELVDGQCMNEAAHFDMSGTAFGAMAKPGQADQLRGAGLLQIQYTRVECDWSGVGLTFVVDSGSNPNYLALLVEYEDSDSDLAAVDIMQIGAGAPGSWIPMQQSWGAVWRLNSGSPLQGPFSVRLTFSSGQMFVASNAIPAGWSPGMAYLPGGVAVGARRRSGGRRGSEAVGMLAGLCHLLLLLLFMVFEL >OB03G35210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19995626:19998941:1 gene:OB03G35210 transcript:OB03G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:J3LR45] MAAATSLHVAAAPVATPRVGFSSSSAAGYRSASAARSVRVAAAAGSGAARAGRRVVARAAVAAKADSPASAASSKSDGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >OB03G35220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:19999126:20005996:-1 gene:OB03G35220 transcript:OB03G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPRHADHLAPGSPSPAASPSELGDDETWSRAPSAPELEANKGDLAEIRSDNVPDSIPQKQKTSKAERRAIQEAQRAAKAAAKEAGLSGKSAGTASGANPAMSKQAKSSKASQKKDVPQAASSVASEKKVTERPPERDRKKDVPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFKEAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKANLQSDIDRFINEKIVVADKVIVSHAITKVRDDDVLLTYGSSSVVEMILDYAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTSVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNMADNENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWI >OB03G35230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20039390:20041944:1 gene:OB03G35230 transcript:OB03G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPSYLHIQRQRQHGRCSRASPNSVRFSARAVSSVPQAAAASAPAFLPVPFVPGADEPSPSGKPAIGAVPKTQRKEEKKLSFFQRAAAMALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGETPPARALPLPVSGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLRQERALGRPMFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNDRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFAPDGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKRATDSSEMVWVDVPDCFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNESDDRLESVLTEIRLNTRTGESTRRAILPPSNQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLVTGELTKFDYGPGRFGGEPCFVPMDPSTAPPRGEDDGYILSFVHNERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFITAGELSSQA >OB03G35240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20040859:20041959:-1 gene:OB03G35240 transcript:OB03G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGLGGELAGGDEGAVEAVGDAGRQLDGGLQAHVGGIDDEELGRAGALVVDEGEDVAVVLAAGRGGGRVHGHEAGLAAEAAGAVVELGELAGDEVDLGEAGHLGPRLGDGEVGAVVGLVEDGVGGGHARPDHHHLVGLRLLPRVPEVEAEAVRHVHPHHLRRVRGALRQHAEPRRLLLVEHHGRAATQHLLELEHHLVIGHDDVVLGDGEVVDHGRLVERNLDVGRLGAVGREVEVLEVRLLDHVVAERVELAGGRVELGVRDHGAAELTVEVVAADGLEVAVGGDAHLVWEVILRHGEEPVVKIDKSGVGHAGAV >OB03G35250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20055659:20056061:1 gene:OB03G35250 transcript:OB03G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSYVKMSMFGTVATYSNDSEIIAAVVVQIPKKRPWGGSILGHKTYKRDRLAADRQLNQDYFVERPLYNEEHFRRRFCMRRELFLQIVDAITAKNKFFQPMTMPIMY >OB03G35260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20074130:20077935:1 gene:OB03G35260 transcript:OB03G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLPCCTRLAPPCPGRGSDAGKAAASGRRPLAGALARSRFRCCAGATRPRNASSFQKKESFLDLHPEVTLLRGEHGDEDVASRKEALNGSPLEGLGVPSDQDGYDGAKIKVIGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVHPQNRLQIGQELTRGLGAGGNPDIGMNAAKESVESIQDALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDVLRQGIRGISDIVTVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGADMTLLEVNAAAEIIYDLVDPNANLIFGAVIDPSLNGQVSITLIATGFKRQDEPEGRTSKGDQQMQGDNGRRPSSAEGSMVEIPEFLRRRGPSRFPRI >OB03G35270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20080475:20081585:-1 gene:OB03G35270 transcript:OB03G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPARALPLHLVARLRLDLGLAPDFPRSLLPNYPDYFALSRDGALLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVPFPLSFPRGFELDKKVRKWLDDWQRLPYISPYEDGSHLTPRSDITEKRTVAGLHEVLSLTVGKKMEKEMLVKLGEALRLPPGFRKVMARHPGIFYLSHKLRTQTVVLRESFRRHLLVDKHPMMGIRYQYLHLMHMGQEEAGKRKGKDRKTSRGEQMIGEEFGADGENDEDEEGYDDDDEEEEEEEEEELEGDEEDMDSGVASGDEDSDDDDVDDVDIGVRVANGLQDDLGGSLSLHDYTFT >OB03G35280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20082434:20084543:1 gene:OB03G35280 transcript:OB03G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFQEDLKNTSRKIFDPQDRVLVRLNRSFVVSCIVSIAVDPVFFYVPQVTANGGNLCVGIGRDLAISASVVRTVFDLFFLARIALQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFVADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLFIVFLQYVPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGAFWYLLSIERVSDCWKKACSEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPKADGDMPFNYGIYTPAVKSEVIKSDDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVGNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRTGFFNRSLLEESDFCGEELLTWALDPKAGVSLPSSTRTVMALSEVEAFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRKAAELRRREEEDEGAARSYGFRTTMLVSRFAANAMRGVHRQRSRRAGELLMPVPKPSEPDFGADD >OB03G35290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20085803:20091008:-1 gene:OB03G35290 transcript:OB03G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSAAADAVVALFSLTMAVAAPLFDSQVVLPRRLYPAPLVDIHRWFVAEFGHYLVADPPPFYRGLVWLDLAFLWPVCVANLYGVLARRRWAAATSIMAGTYMSCFSSQSTRRVQSILVCIDDLSHYTWVMVLDSKGEAVDAIKRTQAAAEAKCGHKLRVLRIDNGGEFTAAEFASYCADEAHVKRSPVQFATPLSHDEESIDAYHDGEPLRYRTMEDLLGDQPVPVLVPHDLEEQLHLACDDGEPRSFTEAERHAAWRAAMKAELDAVKKNCTWELADLPHGHRAISLKWVFKLKRDEAGAIVKHKARLVARGFVQQEGIDFDDAFAPVARMESEGWRVHHMDVKSAFLNGDLKEEVYVHQLPGFAISGKEGKVLRLHNVLYGLWQAPRAWNAKLDSTLKRMGFEPSPHEAAIYRRGNGGNTLLDTEVAAFKEDMKATFQMSDLGPLSFYLGIEVHQDDSGITLRQPAYAKRVVELAGLNDCNPALTPMEERLKLSRDSTSEEQPTTEHQQAVKRIIRYVAGTLDHGLYYPRCPGKSQFIGYTDSDHAGDIDSSKSTSGILFFLGKCLVSWQSVKQQESLDLPQAALELGDFQPSGPFAQVEFLFPMCMSVCMFLYTFCIIAQLIVYKGECKVVNLISKGGNYGKGDAYEDHNGIPPPWAVQGTKPSDGIIFEVMGYMASSAAGNITTASIIGGYVYHGSIDPCFYGSYYPFSLTVSLLSTMLKRVTNALQGCNESKNTLGSIFSFGQDNKKDAFILMSQGVYRVVEPSFCEYACLSIASTRVMPSSGN >OB03G35300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20097598:20107160:1 gene:OB03G35300 transcript:OB03G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3LR54] MEDDEYEEGMEMGGHHHPHHGSGGYGADEYGDHGSGGYGADEYGGGEEMEDDEAEGDGPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKKHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLVNARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTNDNKTGKDQKRR >OB03G35310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20105639:20124194:-1 gene:OB03G35310 transcript:OB03G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LR55] MAAGAMGPRPAPGYTVVSAVIEKKEDGPGCRCGHTLTAVPAVGEDGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNIQSGRTLGRYAYNDERARQTASESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSTSTSKHSSLIKPDTALSNNMTPSPGVRLHHRAVVVAAETGGVLGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMSLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAANDRGSLAWI >OB03G35320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20124019:20124324:1 gene:OB03G35320 transcript:OB03G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGCKEEFEGWEKCVEGAEKAGDDVVERCYEATAALHRCMEAHAEYYEPILRAERTMAADLEAAAKASDAASPAPPPPTEEGAAGEKKAEVPEKQDVTA >OB03G35330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20125169:20128416:-1 gene:OB03G35330 transcript:OB03G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEELDANERRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNIRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMEDQNTPDLDTKIYGRDELLVRLQGEAEYIVDQKEALRAREDRKSSPTDSVSIRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLVISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRSVLEQIYKITLPKPKEYEQPSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPSDTDSETYLEETTGLEGSDTEVAATTVHCASDEQDEEIGQADANIGHLLSDLESFNLGSGVSKKSTKKKEPSYKHHKKPQRKKDRSWRVGNDGADGSGVVRVFQKPAVNLATVTC >OB03G35340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20143179:20147926:-1 gene:OB03G35340 transcript:OB03G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKQSHEGFGHAAAAAAATDGAGPQQQPWWAGSQLLYGEASPEEALRDGGQFQVVPGGRAALDPAPAPEPEKTAVTALTKRGGAPEVLKFSVFSGNLEPGDTGEKTREHSATIAMQSPLPEYNGRFELGLGQSMVSSNYPCIDQCYGLLTTYAMKSMSGGRMLLPLNAPADAPIYVNAKQYEGILRRRRARAKAERENRLIKGRKPYLHESRHRHAMRRARGSGGRFLNTKKEGGAGGKTMPAGGLVAPDVVHPGTRGRASSLSGSDVSSPAGGGTYDHDVVVVDADHYNSIDHHLRTPFFTPLPILMDGGGGGNHASAHAAASFRWATAAGDGCCELLKA >OB03G35350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20155038:20155211:1 gene:OB03G35350 transcript:OB03G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWSSLWCSRCRTTRQVSSQAILLEYLQAKCLSKQKIRHLVLNLHSNIVVNWMTKPFD >OB03G35360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20165555:20165785:-1 gene:OB03G35360 transcript:OB03G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTRSAAPSSSSRPALLRLSGARHRPHLHPRPRLHPWGVLRLLLRRQPGHTRDHSAFGIPAVVVAFNIEDSV >OB03G35370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20172741:20173628:-1 gene:OB03G35370 transcript:OB03G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAGRKYAARSTPTFVSNSSFTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSNLVCLGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >OB03G35380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20179905:20180987:-1 gene:OB03G35380 transcript:OB03G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTTYASPFVLSVLLLVSIPVIFLLAPRLLPPKTLPAIPDADESEDLALFRRAILSSSSATPTPSSTASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHRHLFNLYVHVDPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPSNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHGRHRSFIEIMDNASTLHDRYYARGDDAMLPEVPYDQFRAGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFSPECLEPLMEIADSVILRD >OB03G35390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20188409:20193762:-1 gene:OB03G35390 transcript:OB03G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWFKSLVGIRKQEKAQSAEKQEKAQNAKSSETRTPAAQLLYKRKHSLDAERATIVELTVQSEPLTDDTNTKTVSNSISSDITLLGAHVSQTEERNTKEDLAAIVIQSAFRAFLARRALRALKGIVILQALVRGYIIRKQTAETLQCMQALLRAQARVRARQVCVSLENQIARKKSPEQDDHEDHVREIEEGWCGIIGSVEEVQAKALKRQEAAAKRERAMAYALTHQRQAGSRQQKPTTPQGLELDNDNWGSNWLERWMAVRPWENRLLDSNAKETLPLCDDKQDMETKSQITPKGKVQVSNTLSNGLIKKKGINHKKSYSDVTCTSFGRSANIPSTSMGSCKQKSKLSDEAFDEVSSQPTDLPSLSMRNPKDRHAQANTPVNKRLSLPTNVGGGAAKGTTNSSSMSRSTSAKSDPKPRATVSNQARKQVKVQA >OB03G35400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20196387:20199831:1 gene:OB03G35400 transcript:OB03G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNPPDKGGDPXXFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHADPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVSQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPAKPASQLTEMEIDECEETTMHDVSNIEEEMRRKAQAAQEAYDEDDEMPGGAQRVQCAQQ >OB03G35410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20199053:20199401:-1 gene:OB03G35410 transcript:OB03G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFLDGILCLLLCALHSLGTSRHLIVLVIRLLSSLGFPAHLFLDVADIVHGSLLAFIYLHFCQLGCRLRWKHCLKGLALFRRQRIRELHREMDVELPLHERPLVHWHPLIVYCLEL >OB03G35420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20217143:20219011:-1 gene:OB03G35420 transcript:OB03G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSFPDAPGLLLLPAPDAPPRAAVSGGEDVGENKENASPEVAPPRAKRMRACSPD >OB03G35430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20224874:20229204:1 gene:OB03G35430 transcript:OB03G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-nucleotidases;magnesium ion binding [Source:Projected from Arabidopsis thaliana (AT2G38680) TAIR;Acc:AT2G38680] MRPMASPSLLSPSASSLLLLRRLLLSRRRRRSSTPHSPPTPPLRRRLPLIAASMSYSSSSSSSAATRDSGSVVADADGLARKVAAISAAGPAKLQVIADFDGTLTRYWYDGARGQSSHGLLRQGNEEFDARREELFEHYHPIEICPDIPLPEKAKLMEEWWEKTHALLIEGGLTYEAIRKSVADAKITFRDGVVELFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMIFNEEGRLVSFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGSTDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNSNIEKSLKDYSTAFDIVYLNDAPMLGVVELASELCP >OB03G35440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20230740:20239574:-1 gene:OB03G35440 transcript:OB03G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPAAASHRESAAFQPWELAFSVFTAANRGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPSGSPELAPSLHLTLGMVELRAFQEASDASQRSAMATPLSPSSGDSVPVGKDEVSVIRAGLRKVKILTDLVSTRRSKKTSQDDESSEDKCYVNSDGAEYPCDIESLDDDLDDTAQQDEVGDSTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEHWVYYSHSKSDAGYHVEEKPSSTVEETMLPTVKRSILPWRKRKLNLRSLKAKGEPLLKKAYGDEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSVNGMFSEFGDDNFVVGNWELKEIVSRDGHMKLSSQVFFASIDQRSERVAGGSACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCENVTYQERFPDKHFDLETVLQAKIRPLTVSSSKSFVGFFLPEGADDMSGFDFLDDAMSFDSIWDEISKAAEYSSSDNPNLYIVSWNDHFFVLKVERDAYYIIDTLGERLYEGCNQAYILKFDNDTTIHKLPEKTSSSPNSSGPLKDSSRSSSAEDSEDGTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHQRLQIELHYTASSRKENTSAPQILTIEAPFEFSWPEPTPAMEIALAPAVAVV >OB03G35450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20239566:20239988:1 gene:OB03G35450 transcript:OB03G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHHPRNHPTRTELPTKVSSFDPPTPQINPQRRKNQTQPKCRAKIHLFPLQTPSPSQSTAIPDPNWTSQTPFLQEQASKTPSLRTNTRSQRPRIAQAKKQQKKSLQFVRSATQKDEILRVGRAWILTRREGSRVGWWWRWW >OB03G35460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20267870:20270064:-1 gene:OB03G35460 transcript:OB03G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVIAMVNKTGVRKAQPPMQLGRITRSKKNCTTRINDEINLVETSVQAIEEDVEGQLNERDQHIADASHQNGCQNEGDDHILNELNHEGHNQNGTAMNELKFQTDVTSPPVKKACVAMMKKAVRQQRYKLKKKYFDACPLHLVPKTSPVTSMSNDQWDKLVDYWKSEEKMVISEKNKTNRSKVQFHQTTGSRSYEMHIVNLANKYQNEPPNALDLFKELHYSKTKGFTPIVQSIIAQVEDKIHAPLDDGEESKDVTDAVFEVLGQKNKKNGFLANVGMKTFLRADNAESQRELQAELVAEQQTSNDLRELVKTQQQQMDEMMKKFQESETARARQDEELKNKQAETDALIKGLMSMIPASLPTR >OB03G35470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20294911:20304144:1 gene:OB03G35470 transcript:OB03G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPAPDHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLVQSLPAEDHLQENLKMHNISFRENYSEYGSSSRYGRVPMMFSKNDTEHMLHVRPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGPHESSKKLDEAIAAPGPQKVQRLY >OB03G35480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20310204:20311358:-1 gene:OB03G35480 transcript:OB03G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLCATELFSPRRVGSYEHIRAEEVRALVRDLFGRAGHAVAVRERLADATLRNTLRMTVGDKWSGFYGSAEGQQFRRTLDEAFEVSAVVSNVGRRMCPASNLAMKVVALGMASALQGFEWRLPHRGGGGREHGGAGRAVDAPQGVAGRRGGAQAAGASLRRRRMNVGD >OB03G35490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20324179:20330103:1 gene:OB03G35490 transcript:OB03G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPDLHSSRLPTALSQIHNRIDPCVFKPLLGYLTRSRSMDAETAAPALAARPQVSKYYSKKRASSNGRNGMDDANHDDSKNDQPPGSPLSRQSLSSSATHTYHAGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRNFFSSVPPPRTGPELDERFVMSSNHATRILRRRVAEEELAGEWMLQDSFWLVKPCLYDFSASSHPXXAPPPPPPASERPKAPVASSFLLDTLKCDGAGWGVRRRVRYIGRHHDATKEVDAASDGYDTEVSVQEEQQRRLRQRRAQEDNKSTSNGKRMREEGECSKNRSRDARKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSRGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRQQAGVSDPYWMPPPGWKPGDDVSSVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLGKDTKSEVERSYTSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKRGKLKKEVLSLKDKYKLVLEKNDKLEEQMTSLSSSFISLKEQLLLTKSGDNLNMEKERVEVTVGKQETVVPGKPPYVDGGRQISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPPHMASGTSMAISGGGSSDCPAAAGPDELPRSSSCPSALPQRRAGGPPAVVAGSS >OB03G35500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20333233:20333657:1 gene:OB03G35500 transcript:OB03G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHAGHRVNLIDSPGHIDFCSEVSSAARLSDSAHPRRRRRGRPHPDPRRAAPGLPRAPPPLPRPQQARPPH >OB03G35510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20334039:20337131:1 gene:OB03G35510 transcript:OB03G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVPPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHAGHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAYTRLHRIISDVNSIHSALRSHSYFSLLSSLDDHPSSSSSSSPDELPEDVDQDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPNINANALLKGLWGPRYFHKKKKMIMGKKGIEGGDAQPMFVEFVLKPLWQAYQGVLSENGELVKKVIANFNLNVPQRELQNKDPKVVVQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVAPEDAAGCPDVVADAESVRSCVEACDARSDAPVVVYVSKMFAVPYKMLPFKGVDGVLLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSTLYDPMKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVGAGNVVAIQGLGHHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGTLVKGLKLLNRADPFVEYTVSQRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGLALMDSLKTPREFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTAKRNGVLDPHLSQDDGDSAATLRQRLISAIDSELEAFSGQVDKEKLDRYRNTWLGYLRRICSLGPWQVGPNLLLLPDVKSSDSVITTQDGREGILVRGITHVSERLGFVCGSDAEDKNDLDDSEPSADIPESLHLESVALRNCVVSGFQLATNAGPLCDEPMWGLVFVVEPYIFSDHSDVANHSEQYNIFSGQVITAVKEACREAVVQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIPEDPFFMPKTQEEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >OB03G35520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20339053:20342609:-1 gene:OB03G35520 transcript:OB03G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVIIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSIKDAWQLPDNTNGNLQGNSESGELAEAEELVKEKVSKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATSLAIVGGAFLANYLSEKLVGLIGGVLFLLFAAATFFGVF >OB03G35530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20360795:20364220:1 gene:OB03G35530 transcript:OB03G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHGCDPDSAAAAGVSPCSCCSTPCAVATWRRSVKRKLGEEKGGGEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRALLARRGRHLARLRSRLREYRHTCLRLGIPLPEGDEAEELGQDDGFVLEGEDGDGGGYYPELRCYDGEYYYEDGQEDEGEDDAAVMDLERRIYLLEHGHQNHGVEQCLEEEEGAPLCTDEALADSSEHELNGAYADVVLPEGTVEERNHSCDDDELPESPAARNGSEEGGSDSDGVGSGSDRVYTIDKVHQGATPTARVLENYQDAAIELDINKLRMRLEALEADRESMRKALMAMHSEKAQLVLLREIAQQLTKDATPANTGFGVVPTVHHFPGKQDEGFRDQRFRENRKMAIAERHSMVALCKWIVALFRSQKRKPSQSRYIFGLSGNNVGLLLLLDKCPQIQKTFTRRK >OB03G35540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20365865:20368648:-1 gene:OB03G35540 transcript:OB03G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MKFGTIYEEYLREEQNKYLAKCSHVEYKRLKKVLKRCRVDRALQEHCTNVDQQEEGGNESPDICKCNSCTSCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMLQEGRVLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFKNFSCDLTEARPLMTMAISETMKYEYNLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRAVGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >OB03G35550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20376642:20378588:1 gene:OB03G35550 transcript:OB03G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit C, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3LR79] MLSAATTTIPRLRWPAPRRGQSARNQWLLLRRRSLSSSPSSAPCVTPAAAAGSGALEPPDLPRLADSARISLSPEEAEEFAPKIRQVVDWFGQLQAVDLESVEPSLRAGTAGGSSLREDRPETFDNRDAIIEAVPSYDDPYIKVPRVLNKE >OB03G35560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20379723:20390469:-1 gene:OB03G35560 transcript:OB03G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) TAIR;Acc:AT3G58460] MRPNVFTEAGISTRLNQWWSSIPFVTSGVILICGVIYLVCLLTGYDSFEEICFLPSKVASHFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLVAYNPLYHVPFIVDECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTYSNTSSTPSALINGNFLRNISSWMPSRQTTTTTTQEQDPRFPGRARTLGSAGTEPTAREASANLHASLLDNTTPSDPLTSSQNPAANTIRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGAVKFLQSFLKNLRTEEELLLGEGPKDGLIDDYSIPD >OB03G35570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20390281:20395894:1 gene:OB03G35570 transcript:OB03G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILGGSATRAREETSRCCFGGTGRGGLGIIEGNSGTLQTSSPTLIRSATVSLSVPKESAEHVKAPAAEPEIHRESINSASGCCMMVFVILIMSLSKVEVNLIRLLQAAPRQQNQAKLVHYVTTARELLEQLGTEATPEGISSVSKAKLSEYSEKIEALAARLAAPEIEALAARLAASEPENEMPIDENREEESSYEGEKPGNPVSLSSGLRRRPIAHMDVGPSSHERKDRDIRAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQQTEKILGSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLILLMTCMFIMVVLLIRVT >OB03G35580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20404059:20408341:-1 gene:OB03G35580 transcript:OB03G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGGVVGEITQASGGGGGSIAGSATTPAASPYQMAVEIDEQIYSPAFGNIAVPDSHGCCSGFTSSVTKVVFTVHILAFIALAIFLGIQASSRQNPTYKPFANFVPLASSVIVSIIVGCFWVILAVINPPKAIKTSLWAAPVLALACDVVILLVGNGAALGIGVLIVVVAIAMALYSCWATGVRLQHATAVLATSLSAAHLPPSASCLVVFVILAAFGYMSFWTVAISCIAAAEGHFMNFKVAYVAALLVSMSWTMQVLRYFVYVAVAKLAHARFVYGVSMPGGTIEAIRGTMMGPSFGDICMGAVVVPVIAAVRSFARAINAVTEGNDEFCQGCCLAISDKLMGRVNRWGFVHVGVWGKAFCVASRNVWSLFVLRGISKLVDSDLTGSFCFLSAVTGGALASLVAGSWALAMDKEHKELALPVSIYSFLIGYYMCRMIIAWPQACVATYHVAYAENPQNPNLGTLIPDHLRELQALAAD >OB03G35590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20412869:20415998:-1 gene:OB03G35590 transcript:OB03G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03680) TAIR;Acc:AT3G03680] MVEEGARRRVVVEVCNARNLMPKDGQGTATAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMCAETLELNLYNDKKAIAAAAGGGGGRRGGTFLGKVKVAGASFAKAGDEVLVYYPLEKRSVFSQIKGEIGLKIWFVDEPPPPPPAAPADGKADAAAEKKEAAEGGKEEKEKAPAAAAAAEEKKPEAAAPAEEKKAEEAKKEEKKPEADKKQEKNDKKKSPEKGKKDGEKPKEDGKAKEENKKEVAPVPPSPSKAPPPSPSKMEVAAAGVAGDLEIRPQSAAERSMAASAGNASYDLVDRVPYLFVRLLKAKHHGGGDKQPLDAQQLSIGTHAVKTRAATAAGEWDQVFAFHKDSLTATSLEVTVHESAKKPAAEGEPTPPDANLGYVSFDLHEVPKRSPPDSALAPQWYTLEGHSSDGTAACDVMLAVWVGTQVDEAFQEAWQSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPAPPDGKAKPMGPAFPELYVKAQLGAQVFKTCRVALGSAATGTSNPSWNEDLLFVAAEPFDPFLTVVVEDVFSGQTVGQARVPLSTVHRRSGDGFEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGVRGATNLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYRNADASGDAGKLPKDARIGKLRIRLSTLDTNRVYANNFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVLYAVPFKLLLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSNSDRVL >OB03G35600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20422386:20435095:1 gene:OB03G35600 transcript:OB03G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulator NOT2/NOT3/NOT5 family protein [Source:Projected from Arabidopsis thaliana (AT5G18230) TAIR;Acc:AT5G18230] MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVNASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEIEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATASAAPSLSTSQDQAEETASQESNPESAPQTPPSKGGNLGPSVQIVPTTISTTTTAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPVVTSTPANSSSALKDDDNLSFPPRRSSPAVTEIGLGRGIARGLTSQALGSSAISIGPVPGNGSVSALTDLSKRNILNTDERINGGGLSQQLVSPLSNKVQSQQALRTTDTISSDSSTTNESTVLGGRIFPPAGSGVQWRPQNTAGLQNQSEAAQFRGRPEISADQREKYLQRLQQVQQQGSLLNISHITGINQKQFPSGQPNPLLQQFNSQGSSISSQVSIGLGQVQGSDAGHTKSEEQQQSFAEDVSLESVATTGANKHNSEDDTKILFPNSSASITEGTQLSRDPDLSAGQTLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVTSASTGHDQHYNLQMLEAAYHRLPQPKDSERVKNYIPKHPAVTPTSFPQIQAPVVSNPAFWERMGSDSLSTDMLFFAFYYQQNTYQQFLTARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTDDGSGWCQRIKNDFTFEYNFLEDELSVQTN >OB03G35610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20435394:20440397:-1 gene:OB03G35610 transcript:OB03G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGEAGRRRGWGGGFPSLMRRKQVDSNRVRDEGQGLPQLAKELNVPTLVAIGVGSTIGAGVYVLVGTVAREHAGPALTISFLIAGIASALSAFCYAELASRCPSAGSAYHYTYICIGEGIAWLIGWALVLEYTIGGSAVARGISPNIALFFGGPDSLPSILSRHQLPWFDVIVDPCAAALVFIVTVLLCVGIKESSAVQAVITVLNACVMLFIIVAGSYIGFQIGWVGYKVTDGYFPYGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCFLYMMVSVVIVGLVPYFAMDTDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFADVNKRTQVPVKSTVVTGVCAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLQESLRLGQEYDEERVNSTFGDERSKTYQIKDVIVAESMEDPLIEKKLYTSKMDETKRRKVAAFSIGFVCVGVLVLTSAASSTWLSFVPICIGCIVGAVLLVAGLGLLCLIDQDDGRHSFGHSGGFTCPFVPLLPVMCILVNTYLLINLGGGTWMRVGIWLLIGVLVYIFYGRTHSSLRNVVYVPVAQADEIYRSSSGYVS >OB03G35620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20443421:20449138:-1 gene:OB03G35620 transcript:OB03G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] MATAAEASSSSEEDTIGSSAGSAPSTGLPHGAAAPGDAHRSYRRTAPPLLLLASLAALLIISTGDDTPAFDSAAVERPLKDVSLENLEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKMNVSQSMPEKFHWKIEICFHGNASMGLCQCEGGEWQHLQNGVWNAVKSPYGNKYVDVKVADKTSTRFSLSIQEEFQKWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIVLTGAGFGYWMVRKFILSKDGSVDAGIAQFVKWAMRVVAICFIMQSTLDPLLALFGLAASWWICSVFTAYKAPKSMPLKQKQSKASTQPIYTKGSPNPRQIQFLSPSKNTGRTTSNSSATQYGWSNLANGGLVTPTLSKRVVPNYEDEDHYSTFHNIQPRKYSKEEWDYFTQKSTRKALMECTATPEFAQWVADNAHRLRVEQQDDASEDEIIESSSNSSEETAQEADAGLFRWYQS >OB03G35630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20450364:20452701:-1 gene:OB03G35630 transcript:OB03G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKPAQDDDASYMSSILASRIIWAMQATRSYLRWSDDLHKIFVEAVAFQGGPYEAKPTAVKETMQTMGVTGITTQNIKSHLQKYRESFNSGAGSLQDHDVQGTISPSKEALDLTSEMVRDNDAILAEMEMVNNMLMDDNIEVVETNISVDDMQDLMNEIMLIEHNDTGIISESALDEYMDDLAGYAFDLMDSTT >OB03G35640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20453365:20458076:-1 gene:OB03G35640 transcript:OB03G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NYC1-like [Source:Projected from Arabidopsis thaliana (AT5G04900) TAIR;Acc:AT5G04900] MVPPYNILITGSTKGIGYALAKEFLKAGDNVIICSRSAERVESVVTDLKKEFGEQHVWGIVCDVREGKDVKALVDFARDKIKYIDIWINNAGSNAYSFKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPNVVADYLVPNIRAIPTNQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKYVAED >OB03G35650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20459587:20462400:-1 gene:OB03G35650 transcript:OB03G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPFPVAALDAAFRKEEAEGQGTAPGKESSAAAAAPKTLTESGSPSTTPTRAEQQVAAGKKRKRGPTDEGSPSREEGAGDDDDEPPVPPVVSVPQAVQRQVAVPPRRQSALQLLVNECRVLLDGSESAPPPTTVSRILALFNGIGPDDIRLDTEFDASEVTRAAARSPTPVIGGKYMYECEKFTVAIFYLPPGTVMPLHDHPGMTVFSKLLAGSAHVQSFDWVSPAVHGNGANRPVRSSTTRLAKRVLDHDVAAGCGTWVLYPSSGGNLHRFIAGGDEPCAFLDVLTPPYSLGPRRQCTFYRDYPFDLHPNHHAFGRNLSDEEKSQFSWLRPMGAGMLPDLNIIPLTYSGPEIL >OB03G35660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20474129:20475390:1 gene:OB03G35660 transcript:OB03G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDQVSSGAVEANRRREAKGRRRSGGGGGGGETEPLLQRLFEGCQHVFRGPGTVPEPADVVLIRGILDKIGPGDVNLSAEQNFFKATDAAALQRPLTITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAEPAVFSGAGGGAGSSSSPDDRLRLAEVVRDGGFSAPSDTLVLYPAAGGNMHRFTAATPCALLDVLGPPYSKDRDCTYYQDFPYSHCPSDELHQHRHGGCCMDHDERRLGWLKETAMPEDLEMYEMPYRGPPIL >OB03G35670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20478322:20483591:-1 gene:OB03G35670 transcript:OB03G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAAAEEVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRQKKRVLEAKERAELFERANGESSHVLRIFDDEAQAMQSARASSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIEKRHRVDKWIAYAGMIITVVVMFVFWRWTH >OB03G35680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20484982:20488901:1 gene:OB03G35680 transcript:OB03G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03773) TAIR;Acc:AT3G03773] MPSLAFFSFLYCIPATDKLWIDLCVMAQHDAFSMVCSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPQGLFSFSAVANGEPFNFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEDKHPYIKVDWNKWCDEDEESDAPADSDDDFDEGNDREESDGDDDDDDGMLYLPDLEKLRGK >OB03G35690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20491067:20491372:1 gene:OB03G35690 transcript:OB03G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGGEKEEHKKAEEHKKAEESSKKKDGEHKEGVMEKIKDKITGDHAGDGGESKDKKEKKDKKKKKKEKKKDGDGEHDGHSSSSSDSD >OB03G35700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20496318:20498121:1 gene:OB03G35700 transcript:OB03G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPARGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLAAVTVEVAYLAPAAPEGPGGAAGRGEDAFLLHSVVAPGAATGANAKAMTVKNRTAAEVAAAAGKSKVVRMLEKAGGMGRKEIAEKASPALVGKAGSLDRRRRGRKGSSGAIRFGGGKEGFESAAVSVGWSH >OB03G35710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20502951:20509084:1 gene:OB03G35710 transcript:OB03G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGVAGSEQGTPESEMGDGDNDSVDYAAEMEVDASGNGAAADAAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSIMSRQFVCAKEGFRTYRGKNEVSPVAGGSGEDSARGRRTRAVTRVGCKAMIRVKKQDNGRWAVTKLETEHNHPLVPPNQAHCLRPHKPLSECGKQRAFGVPRNGGMLLAIEPPPTPVSPPVLQISVPQGAPSYTRDCIGDHVRVILDYVKRMQAEDPAFFYAMQFIDGHPVGNIFWADARARMAYKHFGDAVFLDDYCKRSKFQLPLVAFTGVNHHCQPVLFGCAIIGDNSEASFAWLFETLLLAMSGQHPDSLTTEHDSDIQSAAFKVLPRTRHRFCRWHILNEAHDRLSHLSNAFPSLHEELVNCINMSETIDEFEANYMALITKVGLGNSEWLYSMYNCRQHWVPVYLRDTFFGDESSKEECASRSSFFDGYISSKTDSQSFIQQYEKALDCCYEKEVKEEFETKYSLPEIKTSSPIEKRGADLYTRSMFLKFQQELVDASVSSLEIIKEDGKSRIYKVTKSAGSEKSHTVKFNSLENSATCSCQMFEHLGIVCRHILTVFGTHCVSSLPSQYIVKRWTKYAMERNPDKKMDEVSKVNEPKEEQKSGVEDGEQSQTWRYNSLCREALRYAEEGASSVEVYIVAMQALQEATNKVNMAKRGIGQVSPNAPLAVMPIAAQPPTEGFRKAQEIGFNQRKKRRRNSNNKVTENSSNQLMYLQQPVNFLFVAPGTSSGPQGPNQIVAAVPVSSSVPHGQTSRANHSTDGNTTPCSVAAQKHSDLPSRNASAPSFGNVVQEGEIKSSGFSSQIKESHELSQGNGSKGNSVASSTPSPQLVTVPVGLCLPSMDSSRTSADAMNSTNSGSMNSNGNASFGLCQSQSTHSQTRTLADNADPRPFPEGSSIRAAAIAAGARIASPSDAASIIKAAQSKGAIHIRPVEGVSNYLKPLAPQPLSSLPPGNIPSSVHASSSQAQPGRCSFGDSAAAKDAIFGSTDSSDEDEYDEDDDTDDNDEDEGITGDEVEQE >OB03G35720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20511525:20515288:1 gene:OB03G35720 transcript:OB03G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LR96] MHVQANPLIKTLALSPRRRLARCSAAKRSYNVTLLPGDGIGPEVVAVAKDVLSLAGALEGVEFRFQEKLMGGAALDAYGVPLPEETLTAAQASDAVLLGAIGGYKWDNNEKHLKPETGLLQIRAGLGVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGTNNKGENTGFNTEVYSASEVDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTSLASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSTAMLLRYGLGEENAAKRVEAAVTETLNNGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQSAVAVNS >OB03G35730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20525563:20530226:1 gene:OB03G35730 transcript:OB03G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAALRTWRRLPPTLELASGSKCQRQGLSTFPATPSHTTTKSLTRQPCLALSQNATLEKVATDLRASIWKQMSEAGIKYIPSNTFSYYDQFFAPPAMLGAVPERYSWTGGEIGFSTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPNTKFSYASHKAVSEYKEAKALGVETVPVLVGPVSYLLLSKPAKGVDKSFSLLSLLSSILPVYKEVVAELKAAGSSWIQFDEPTLVLDLDAHQLAAFSAAYAELESALSGLNVLIETYFADIPAESYKTLTSLSGVTAYGFDLVRGTKTLDLVKSEGFPSGKYLFAGVVDGRNIWADDLVASLSTLQSLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFVANAAAQASRRSSPRVTNQEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVDAAKLIRTQLASAK >OB03G35740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20529028:20531194:-1 gene:OB03G35740 transcript:OB03G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVYSFQQRSVHLGAEAKHLRPAEAKEGFRKEELKVLYNTSRKLKVTGERQADGGQRARFLKMFPVPRSCNAGAIRAVMDKEEALLYVILPKGSSSSSSSPPSNSSMDKQKDDQNVSSEPQGGAAMADGSSSSSSSSGVLYSAQEDEEMGRMDDEKVVEREEQIATQDVPGTTHGDDVNGGNRRLLHLGVLAGLETAVRVHPKDVGVEHGKHLVDAVGDLLGGGDSGGVDVVHTRANASSVLDALTEDGQELLVGPGVLDGDHIGVHVDDGVDDVVEVRVAHVSVDLEGKLRPVRSDAYERSIPRYVSVLRR >OB03G35750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20535368:20536417:-1 gene:OB03G35750 transcript:OB03G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAGRTFEEYDAAVEWSRGAEADAVRISLPGFKREEIRVLVDNHGHLRTRGERPVAGTRWSRFQKDFQLPADCNVDGIRAKFENETLTITLPKKIASPTQPLTPSPSPPLPPPPQPEPRRPPAAPLPGVAKPPSPPSQRPPTGAERRSSLPRRPSISEPALPAPAPPPVPAPAPERVSRKRSDLGTLMKPKEEDVVEETTKLLPPPAAAAAAEEEEERMQREARGKMNEDRKMQEEKAKDAVAGVPDMAQLSRPASASRRQLVNVAVAVVVLLGITLYVWNALRNAAIGGAAGDHHGHGHGHASYSDEM >OB03G35760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20537622:20540017:-1 gene:OB03G35760 transcript:OB03G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17840) TAIR;Acc:AT5G17840] MGFASARPASRAISAKIRTGAIHDLQRNKSNLESLFCYDKSAPEEDIGTPAGLDLEKKNVGKNPPCISCETKGAVLCATCVGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSKCGGRGHT >OB03G35770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20548836:20549363:1 gene:OB03G35770 transcript:OB03G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGGGGVVVELRGFVRDICFLLLALCSLLAILVTGTVTVWVAASFVSLYAAYVLLVWTSHCCSEPGKPPQAELTAPLLLDDDDGVTSLPSYSKTSTPSRTRAYLHWLLSAIRMPLYLPRRLTIPDIAAHRWSRPCAVASLALAPVLLAATWTSSYRHGLALLLGGVFLGLLLGALAA >OB03G35780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20557604:20559439:1 gene:OB03G35780 transcript:OB03G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRRRGYSAAVPSACFFLLLLLLLLVLSDSRLLPTRRGHGGVLEGVVLRGSGSGSGSSSSGEEQRSCQELQSIAGGEARCLYLQTHPPCAPAGYVDYLRLFYCGFAHAPAAGYAAAVLWLVVLFYLLGDTASEYFCASLEGLSAALRLPPAIAGVTLLSLGNGAPDVFASVVSFAAGDGGGVGLNSALGGALFVSTVVAGGGAPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGGCGWTGCTAWASSQSTSPSSPSASSTASASGHTSLASNHQTPPSDRAVQLTYHCSRSAINGGHSCSTYSTYLASGSNLVRSSDRSRPDFFCLCT >OB03G35790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20569459:20571814:1 gene:OB03G35790 transcript:OB03G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSDRRLAVVGGGGGWRPAADGLGVNWGTMASHPLPPKSVVRMLQDNGINKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDSDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYEAGHGDTIKATVPLNADVYNSPEDRQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTSSPVVDNGIQYTNVFDANFDTLVSALAGAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNTGTPLRPGQYVEAYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLSGQGRHGARGVSYLPRAWCVLNPGATPEALSRIGDNVNYACTFADCTSLGYGSTCNGMDAAGNASYAFNAYFQVQNQVEESCDFQGLAVQTQQDPSTNACNFTIQIEPSAAGRPVPATATGVVLAVLAVMATF >OB03G35800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20577812:20580487:-1 gene:OB03G35800 transcript:OB03G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LRA4] MAGDGGMEEQWKEEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRDTEVTPSVALRSVIHEWRARNEERGLDRACASLVGVGRLPLHAAGAGGEEEEREEDALRALVYVSQICQRSAASKDLVRRRGVLRAVAEMLKSGSRRLRLKSLQVLRVLVEDNDDNKEELGQGDTIRTIIKFLSNDHVQERELAVSLLHELSEHEPTCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANVKQMADNGRLQPLLTRLLHGEPETQVAMADYLGELALANDDKATVAQQAGPLLVGMLGTGAVQAREATLKALREISSTDASAKLLLQRAGVLPPLVNDVLFSTGHLPMKLKELAANILANLVASGADFRSIPLDVDDDDGRGRRRTMLSENVVHSLLHLISNTGPAIGCKLLSVLAGLTSSPATVADVVAGVKSSGATISLIQFIEAAHRDIRVESLKLLRNLAPYMGAELADGLGGSLTSLLRAISSDGGGVTEEQAAAVGLLGDLPEGDTSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRHVTPLTEGVVKVMYRVTCALEEDAEYVEFAREVGLAPLFVELLHTNGMDTVQLYSAMALEKLSLQSSRLTAIPPPPSPPTGFACACFGRKPASAAAAAPGGRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSARSATSTGSPTFPPPSNPNAPSERGGLAAIANAIFFASSVSLTFDTWIDDSFGLFAQTACLDCIFV >OB03G35810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20585549:20586943:1 gene:OB03G35810 transcript:OB03G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAENYVLSTVHAGDAISISFSTWQPCHPQAALAKPGGGGRIATEMMGRPELELFASSGSGSGGGVLGKLTGRPHGGDAMSCKAGSIFIVEG >OB03G35820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20586222:20586458:-1 gene:OB03G35820 transcript:OB03G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAALSQRPSRTPRRRRCRCRCLQKVPIPAGPSFQLQCGLQQQAGQLVRLMVNRVVRVRESQGFRLLVYKNLLPKISL >OB03G35830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20588772:20589581:-1 gene:OB03G35830 transcript:OB03G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQPTTTTGAGGRNGHGDKEEAEQTPPPPEEATTVGGGDGVQFVMPEDGYEWKKYGQKFIKNIQKNRSYFRCREQRCGAKKKVEWHPHDPSLNLRVVYDGAHQHGSPSAAGEEGGGGATANQYDLSTQYFGGGPRSH >OB03G35840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20608251:20610063:-1 gene:OB03G35840 transcript:OB03G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNTILSVVVTLLAFLMFLFIIYRNSTSMSKKRGQHCQLPPGPATLPVIGNMHQMIWNKPAVFRWIHHLLREMDTDILRLRLGAVNVVVVACPEMACEVARKKDAVFASRPVTFASGSFSFGYMASSLSPHGEQWRKMRRVISSEIVSPASERQLRHLREAESDHLVRYICSKTRSADDIASSSNSIDVRHVAQHFCGNIRALMFGKRCFAEPPPADILAGGGPGTDEEEHVGALFALLNFVYGFCVSDYFPSLVGLDLDGHEKVVKGVMRTLNRLHDPIIEERIRERSIPSKDERKKEVRDFLDVLVSLEDGEGQPLLSFEEIRAQTAEIMFATVDNPSNAVEWALAEMVNKPRVMQKAVDELDAVVGQDRLVQECDISRLNYLKSCIREAFRLHPYHAFTPPRVAMADTSVAGYAIPKHSQMLLCRIGLGRNKNIWIERDEFRPERHLSGDGDVGVALGEPDLRFVSFGAGRRGCPGTSLGTSITMMLFARLLQGFTWAKPPGMEDCRVMLHESRFNLALAEPLTLHATPRLAAHLYKAITN >OB03G35850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20615465:20619128:-1 gene:OB03G35850 transcript:OB03G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRLNIVHSSCHHGNGEGSSSEQSLPQVEPPQPYEDEVIHVEQQDDEPLNMSEVKKRKGTTLRYVWDLPPGRHVVVKCNKLGQPIGEEGGLLGQFLGTLARNGAYCPLDKMTWRNIKADEGDLTILQFVQTKFLYHPSCVQWILKSIRRDWRRYKAVLKKKYFNPKKKRSALYKLCPDDVEKDQWIPLIKYWKSEKGKALSEKNKRSRSMLQNPHSAGTKSYARWSEDMRQDDPNKKQPHRAKVYLATHKKKDKDKNQHVVYARTSHHLKNINITTVNDSSSDEETHVRGEVWELKKLVKTLGQHIDELENKGTSNGNSEPPMATSQRTFDDGIEEGVVRTNRKRVQCSTPDQDDSMSNQRIISMNKRRCEEQRNMQHDNILDLCGKKHQEADNTIGSPHQDDFSSQPHLVHDLRREMNKKKHRNLEKFAKTTEKQEMKKKTAHHMAQNRVHSSSMKVGTTIILITAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEKLVRPVKHCKTIGDAHYEGISIAWPSFCVQKINS >OB03G35860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20626222:20626641:1 gene:OB03G35860 transcript:OB03G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCSRYLEGFETKHNQPTRNDDSDESVACSDDECTPYLFPHVGKPLSKPRSYIIRGMTKMQAHRYVLFNYPDINSYLRTHADEIRRTYRQGRVTPKIIERIQNEKFHE >OB03G35870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20633907:20641006:-1 gene:OB03G35870 transcript:OB03G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVTMAWPVLLATAMAVLAAWSLIRLVSLKWNSSSQQPRKADESCRLPPGSRGLPLLGESLEFFTSTPSLELPAFFKRRLDRYGPVFRTNMVGQDLIVSLDRELNNFVFQQEERLFQIWYPDSFMRIIGADSIITTLGSPHKYIRNLVLRIFGPENLRQTMLREVQRTAQASLLSWIDRPSIEFKEAISSMIFSVTAKKLISYDSVTSDGNMWKQYDAFIRGLLAFPLCIPGTAFYKCMQGRKIVMKMLKELLDKRKKATGRQGSNIDFIDVMIEELKEEKPTISEKAALNLIFLLLFASFETTASAITAVLTLLTDNPEALQELTEEHENIQKRRGDPNSEITWEEYKSMKFTSHVIHEALRLANIAPMVFRKATEDVHIKGFSIPKGSKLMICPSSVHLNPVVYKDPNIFNPWRWKDTAEPAGGASKDFMAFGGGLRLCVGADFAKLQMAIFLHCLVTKYRWKAIKGGTMVLAPGLRFPEGFHIQLLPKA >OB03G35880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20657121:20661943:-1 gene:OB03G35880 transcript:OB03G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIALTAGITIAVLATACLLLLRLPSMIRQRNTNTIVVSRPWKAEARRLPGSMCLPILGETLQFFARSPSLQLPGFFKRRLDRYGPVFRTSIVGEELIVSLDPELNNLVFQQEEKLFQIWYPDSFMRIIGADSIVTALGSSHKHIRSLVLRLFGPENLRRAMLHELHETAQASLLSWLHQPAVQLKEAVCSMIFSVTAKKLISYDSPASDGKMWKQYDAFVRGLVAFPLYIPGTAFYKCMQGRRSVMNMLRICSTRGRRKRAVRRTTTSWMSSSRS >OB03G35890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20665484:20672397:-1 gene:OB03G35890 transcript:OB03G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVAAAGGGATPSSLPCNIALTAGLAVLAAAWLLASIRRRNTNAIAGGRGAGGRLPPGSRGLPFLGETLQFFAQSPSLELHGFFKRRMDRYGPVFRTNIVGEDLIVSLDPEVNNFVFQQEGRLFQLWYPYSVMRIFGIPTIATTLGPLHRLMRSLVLRFLGPEALRQSVLHEVQKTAEAALLSWLDQPSIECKEALSSMIFSVTAKKIISYDSETSDGMMWKHYDTFFGNLITFPLCIPGTAFHKCMQGRKNVMKMLKELFDERRGKTARRPAESIDFIDVLLEELKQEKPALSESTALELIFLLLFASFETIASATTAVLTFLTDNPEALQELTEEHDYIQKRRADRSSKITWEEYKSMKFTSHGMFRTATEDVHIKGFAIPKGSKIMVCSASVHLNPAVYKDPNIFNPWRWKDTAEPAGGGSKDFMAFGGGVRLCVGSDFAKLHMAVFLHCLVTKYRWKAIKGGKMTLSPGLRFPEGFRIQLLPKA >OB03G35900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20687238:20687696:1 gene:OB03G35900 transcript:OB03G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14890) TAIR;Acc:AT4G14890] MSQLPTVPYPKKTARRTIQQPLARXXXXXXXXXXXXXXXXXXXXXXXPRAYRVTIEHGGESRVVEVGEDETILSRALDEGIDVPHDCKLGVCMTCPARLVAGEVDQSDGMLSDDVVAQGYALLCASYPRSDCTIRVIPEDELLQVQLATADD >OB03G35910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20689839:20690498:-1 gene:OB03G35910 transcript:OB03G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETDDVRRLAAFYEEVLGFERIASPNYPAFQARGTDVFEKTQPDGTTRQVFFFDPDEFESLIEIENTLMWLSSVFGT >OB03G35920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20691573:20696176:-1 gene:OB03G35920 transcript:OB03G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYKYKSAKETFSVPVPHSFVSVSELKQLILTSDKYGRGRTRGRGPREDIVISNSQTGEEFLDGSALIPQNSTVLIRRTAGQLSEKIVLFSSRKVTEDGAVASNKSEITESTSKSISSTEVQDEDAAIASIIDAAELKWEDKPSKRVQTGGRFTSGHYGHGPVEGETPPPGYVCRSCGVPGHFIQHCPQERKTPPSGYICYSCRIPGHFIHHCPTIGDPKFDDYKKSHSLWPEVSASPVDGIPSALAPAASVSVVDDLPAELHCRLCNKVMTDAVLTSKCCFDSFCDKCIRDYIITQSKCICGVKVLADDLVPNQTLRSTISNMLATRASSITSGTGKNRSSSGSNLDNNSANRTPPVALEMQSKDHHISSAAPDAGLQVPTEDNMSHLEHKLTTGVDLEVKDEGNSAGISVEKAVQTADARLKDGSESTSKVTAISGTLEPKASKTDQSKKKRKKADSTKIGHPNNGNYNTPFDPAYYNPYACGYPWVSEPYMHGSLGMPYGDPSLGMPYGDPSLGMPYGGYPMGPYGINSISNMPLPFPSAMQGNLVNTRSWETQPMLQRASGDAARSRLAAKPKEPANQSRSSERDQHLRYSHGTDSRNNGSRSSSDRRSSHRRSRDRAEDHRSSDYAEDHRSKKRTRASSPTDGDRHSRARSRHSSRSRTHEDSSDDERNFKRRWGDRR >OB03G35930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20711679:20715332:1 gene:OB03G35930 transcript:OB03G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSRPQFVSVYVLHKNDLSSATSTLLCFAGNSLFILQHIWLGKFLLMAMLLGTISVSVNGKKKKDIPVQHRGDAVSSLVYEANARVRDPIYGCVGAISSLQQQVEALQAQLALAQAEMVRLRMSNDYISRRLRPMLLLICLVLFLGLVANL >OB03G35940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20714824:20718647:-1 gene:OB03G35940 transcript:OB03G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVGYGRSGKMPNPIPAHEYRLVPELLSRQPIPEGRALGYPLDTRPPASDFPEAANPKREGAKEREAEPSGAARLRESAAEKDRENGELRERVALLDRALQETNARLRAALEDNVGGRLSPALSRLSFSVSRWIKLNKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSPSPQETVDITTCDQSVSSKASSCADGDSVAHTTTNQLSGSMDVGSTVQEVLVGTVTKPPIQKYSLSSHITPRLTPEATPKIMSTSASPRRMSTTATPKLMSGATSPSKTRIEGYMSMTPWYPSSKQSSAANSPPRGRSNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREDTLKKAEEIFGPDNKDLYLSFQGLLNRSLP >OB03G35950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20720121:20727525:-1 gene:OB03G35950 transcript:OB03G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSKIMFRTWKGDKDFEPQPENAADTAVTTATSGLSDSKATVEGSPYHPLSAKESFKAAVMYLFRKWYFRAVSFWRNIKQLSDNTFQLMFRSNWNDFLLTIKGIQLPSMDHLVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKLRHGVRTINITISARNPCFGNRWQQLLINSLVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGTMNEGLGAAREADALLVPDEANRNQQEGQPIENAELAANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENDQVT >OB03G35960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20727580:20727789:-1 gene:OB03G35960 transcript:OB03G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVWPIPQERRVADDDTERRDGVEREGGKAKLKTYEFKSSPNSPNNILVSPEPDCEERRREFFRSRGEEVE >OB03G35970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20747558:20747914:-1 gene:OB03G35970 transcript:OB03G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRVSRVGDSSLSSPPPAPGKRRGEGKKKEAAAVPPEGHVPVDVVGEEEEDAGAPERFLVRAELLGRPALAELLGRAAQEYGYDHRGPLRIPCSPAAFRRALASSLAGDHDDDG >OB03G35980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20754382:20754869:1 gene:OB03G35980 transcript:OB03G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARMTYPSSLSYKFAATRPRALPASHPAAAVAASPPCRDDDGYKVARGGYVPMVAGGGGDGERVLVPVRLLGDPSIAELLDVAAERYGFGQPGVLRVPCDAGHLRRVVVVVAAERRKGGGRPA >OB03G35990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20770217:20771521:-1 gene:OB03G35990 transcript:OB03G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVGYMARAADGGGRAAELVTRDFLGGCVAADDARDAAAATARHDAVSGKVSLQKQVCPATPRDLNLFPVPGAAASAAKPCTSTAAAAPSAGGTTTYHSVCTIEKVKTALERFERGKHGHHQHQQQHSAGASPSSSSVTTSSVKRRGAAGGSGMVAAACPRCFLYVLISRSDPRCPRCESHVPPPPSPAPKKKPRIDLNVGFLGT >OB03G36000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20794610:20798537:1 gene:OB03G36000 transcript:OB03G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3LRC4] MREILHIQGGQCGNQIGAKFWEVICGEHGVDPTGSYTGTSPQQLERINVYFNEASGGRHVPRAVLMDLEPGTMDSLRSGPIGAIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLAMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEFDDDDDAAVADDA >OB03G36010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20807315:20810734:1 gene:OB03G36010 transcript:OB03G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALKGLRSENSDQLSDYVEDSKGTLRHCNAMRPARDSQAGGSSALCRRRPPSPLTKKLDLDGRGYVSPIREVEQLPEPPRPSFSGSSRRSEFSILAIEERSEAGDDSIGAIEERIEVGDDPSNPKAKTKKRSWRKLIPRKLQKGRKGKEADSPPGSFRTEGNRTDPTASGNAQATDNSEPRHGMRTQADAVAQSVDSSGSFRRNGDGMDAEVDSNARRIAIDAPADDLAGGDGSLGGIRFPVVVAVAVTLVGLVAGKLAAVAFTVLCAVFFNSVQRPPGYDGNGGDRSRARQAMTATVVIGGAFHGIFRKKLFSVDHTSRTNNSLPSRSPTTAVATRLTLGDSSSNSTPFPETNRSCTARFHGRPPAYGSHSHRIISRSDRLVRQAQDAAARPWDSSSDGCAVDGQDRGAQGCTLRPGRGEQKPGRAIIPKPAAGRAAAAPIPELALALLH >OB03G36020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20809644:20810045:1 gene:OB03G36020 transcript:OB03G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQADAVAQSVDSSGSFRRNGDGMDAEVDSNARRIAIDAPADDLAGGDGSLGGIRFPVVVAVAVTLVGLVAGKLAAVAFTVLCAVFFNSVQRPPGYDGNGGDRSRARQAMTATVVIGGAFHGGLGTKEIILL >OB03G36030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20818620:20819262:-1 gene:OB03G36030 transcript:OB03G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSILLPLFLLVAVADAATFTITNRCQYTVWPAAVPSGGGAKLDPGQTWTIDVPAGTTGGRGGPRCAAAITPQCPGELRAAGGCNSACTVFREDKYCCTGSAANSCGPTSYSEFFKRLCPDAYSYPKDDPSSTYTCPGGTNYHVIFCP >OB03G36040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20820786:20823174:-1 gene:OB03G36040 transcript:OB03G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVVVVMLAAPAADAVTFTVVNKCGYTVWPAALPSGDGTQLDPGQSWAVYVPAGTKGARACTTMPLPSSAPSPKHLQERAQIIALEFEAIIAVAVAPL >OB03G36050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20824915:20825620:-1 gene:OB03G36050 transcript:OB03G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAISSVLRLLPLLLLAASADAATFTVTNKCPDTVWAAAVPSGGGKQLDPGQQWVIDVPAGTTGGRVWARTGCSFDGGGRGQCQTGDCGGVLQCTAYGQPPNTLAEFALNQFNNLDFFDISLIDGYNVGMDLLPDGDGAGCTKGGPRCEADVAAQCPGELRAPGGCNNACTVFKQDQYCCTGSSADNCGPTDYSRFFKGLCPDAYSYPKDDQTSTFTCPGGTNYQVVFCP >OB03G36060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20855835:20859709:1 gene:OB03G36060 transcript:OB03G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSNKTKLSWSKTFVRKWFNIKTKAKDFHSDCACEEVGVQWRTSFSERDVCKAKKSRTERLPRKNADRDSRVGNGFDRAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNLDLQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDADTSMRYGGSSDDENIDEESPSGIYFSPMPCGYGAPLCYDDNKRQFINNSRYCLVASKQMVGVFLMVWVRNDIRDHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILKKTRFPHVHGAGDERSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFSGWKEGRIYFPPTYKYSYNSDRYAGDDMRPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPNQTQFSTFTRSTSLMGVDELSYPTYPRSYTDINFY >OB03G36070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20864783:20867001:-1 gene:OB03G36070 transcript:OB03G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDSAARSHGGLLPRSRVPPATYHPRASINFGANFVSPHSQHRAPQRRVHTVAMATRARAMTLVLLAAVLFAAAAAAASREDRRGETSLGRCLQRCEEDRPRYERARCVQECKEQQQQQEQERRREHGRHDDDRNGRDRRGGRSSSEEEEDERQQGRRGRPYVFGRRSFRQVVSSDQGSVRLLPPFHQASRLLRGIKNYRVAVLEANPRSFVVPSHTDAHCVCYVAQGEGVVAMIENGERRSYAIRQGDIFVAPAGTINYLANTDGRRKLIVTKILHTISVPGKIQFFFGAGGRNPESFLSSFSKRVQRAAFKISDERLEKLLGKQDKGVIIRASEEQVRELRRHASEGGHGSHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVALVNITAGSMNAPFYNTRSVKVAYVLDGEGEAEIVCPHLSRGGEESEGRRGKGKWREEEEEEGQQQEEEEQVGKGYETIRGRLSRGWVIVAPAGHPIVVSSSRDSTLQIVCFDVHAENNERMYLAGTNSVLKKLDAQAKELAFATSAREVDELLNAQQESAFVAGPEESGRRGEQEDEGRHRGRGGEAVETFLRMAAGAV >OB03G36080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20889123:20889703:1 gene:OB03G36080 transcript:OB03G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRGASFTATGLLALAVVSAAIAASSAQPQQQPPQPPGSTAGSASSPADDSTAPPSSGTTTAAAPEPAGTDPAAMPAGGGSKSVPTMPYSAATGGHGDGGDAIAAAVLISSLLACAYMI >OB03G36090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20891699:20891923:1 gene:OB03G36090 transcript:OB03G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPATVTNTVSITRAFPSQSNTSPSKSNTNTTSRFSFFFLLAAQLAVYQSMSRSIVYGETNPILSSRHSWQEAGT >OB03G36100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20902140:20902472:1 gene:OB03G36100 transcript:OB03G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSPEPVSATPAALAPAPVPDTPAMAPSAMPAEPETSEAPGVPVDASPATVAAPGQAVPGDSGSSTGSQVGSKMPELLHSAGVRSSRKTAAGTVLITLFLAYVSAMYG >OB03G36110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20902953:20906361:-1 gene:OB03G36110 transcript:OB03G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLANT HOMOLOGOUS TO PARAFIBROMIN [Source:Projected from Arabidopsis thaliana (AT3G22590) TAIR;Acc:AT3G22590] MDPLAVLRDYAARGDLDKIIFSGDEVLFGSEYTFPANAPTAFTNKQSGRPYPLSAAVFLAQHNDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRHGHHSLPTDPLLPSALPSFAPEPQPTLPEEPEGDKASGAYIRSLERTLKDRNALLDARGRDFLAVLQNATRREEERRRNKDSVTTSARHAPSAAAVAMAKPKVERSFGEGFVPIILVPSASQTLVTIYNVREFLEDGVFVPSEERMRAMKGSGKPDCVMVQKKLIRGERTGAGGGATTFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLEEFMRAHT >OB03G36120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20906612:20907079:1 gene:OB03G36120 transcript:OB03G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVTLREFTEADAEALFAWASDPRVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLEAVADVGNPASQRVLEKAGFAREGVLRRYVLLKGTPRDMVMFSRVRHERKPAEAHGPSDDV >OB03G36130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20908971:20910601:1 gene:OB03G36130 transcript:OB03G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDLAVQQRQDDAPPAVTLRPLGLADADDFMAWASDERVMRFLKRPLCATREQAVAQIGDTVLGHPWFRAICVAGRPVGQVSVWPYADEGGHRANLGYALAHGLWGRGIAAAAIIQVVARVFDELPGLERLEAVTDVENVRSQRVLEKAGFRREGVLRSYIVRRSGEVMDAAIYSFLASDRPAHERERPLFNHSGANFVSWEIVFGGLPGLERLEAVTDVENVRSQRVLEKAGFRREGVLRSYIVRRSGEVMDAAIYSFLASDRPAHERERPL >OB03G36140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20912602:20915731:-1 gene:OB03G36140 transcript:OB03G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRRAVGAKQGGGGGAAMAQPEPPLPPATTAQSPRSKSFSSSSSSSSPKWRRASLCSSGAAPPAVCPSRRPFMALAQAGFLAGAAAEGPSSSMSPTSILETKQFCCSAAMPPFLSERSLRKAHMEMAVPEPASVGLADVLREHRDTKVGAGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAALSPARRFLPEVVSSPSARVFAGGAVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKMDGVAGAGAGDGDAVRTNGFLNSCYACNKRLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >OB03G36150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20945710:20946066:1 gene:OB03G36150 transcript:OB03G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVEEAVRAHMAFCRMLRSNPAVRVADGMRQMLRRRSGDMSKPDTSKKYSTVQVVDESSVSLY >OB03G36160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20949849:20957824:1 gene:OB03G36160 transcript:OB03G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56210) TAIR;Acc:AT3G56210] MQRRLSQLALRRLLSPPSPVAAARWRLGPMAAEAFSSRGVAVLPCCGDGSGVAAGGWNGGLGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFIGTAGLVGYQFFPYMGDNLLQQSISLLHVKDPLFKRMGASRLARFAVDDERIMKVVEMGGAQELLNVLEGAKDDKTRKQVLKALVALSKSEEAAGFLDKVGAYGIVSSTPNSPDPDYAEIETYKTSILKAFDELKS >OB03G36170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20954349:20955206:-1 gene:OB03G36170 transcript:OB03G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPFVVISENGNHTHIRKELVSNKASSSYEEYLQYPSKFLLSFLLKCPVICASFQCRASEPSEAPGRHSSRPPP >OB03G36180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20961639:20968688:1 gene:OB03G36180 transcript:OB03G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMHEIIRCVFARLPQIGNGDGGDGSVKPEMGGMDKNHPFGIGQMENGNGSYASEAVASDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVDQIGVDEDLPLFALKLINSAIELGGSSIRKHPRLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNVTSRPELLPVELDEYTPFWTVKCENFLDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVIGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHIKSQMGWRTVVLLLSITARHPDASEVGFEAIMYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSASSLARWSQETKGTGEEADKGSEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAIKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILVAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHTTAGSPRGPNGVESRD >OB03G36190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20964121:20972259:-1 gene:OB03G36190 transcript:OB03G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARDTESCLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTILFDASSTITLSPVNFQGMPKYENCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGTNNSTEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRVLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRVSEADAAARIKEAAERLHAVEKEKEDLLSLVGILQSQVQREQSSTKLVCEERTESCSGADDSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >OB03G36200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20981955:20989483:1 gene:OB03G36200 transcript:OB03G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGSVGALLGQEEEGEAMNTMADAMVQVAAREYRRGNWTLPETMLLIEAKKRVHDGRRPAADQGLARWRWVEDYCWRRGCQRSQNQCNDRWDNLMRDYKKVRAYELSGAGAGGGTESYWVMGRGERKERGLPANLLREIYEAMDEVVERRMSSGGGGAVFLTASSSGSGLADVPMAMQASPLAQLLPRPLAETTNCSSGSPERKRRRPSQGKQPPSSSAAAASASGSLHAVPNGVSIDCLAPSAALLAWHHEEEEEALAGRDAGGRVRQNRVN >OB03G36210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20993365:20998164:1 gene:OB03G36210 transcript:OB03G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNSSTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQPAQNPQAGMVLSQRPNERLVSSASCCS >OB03G36220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:20996004:21000494:-1 gene:OB03G36220 transcript:OB03G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMGYNQAQELMRDYLLADPVVPYTSVLVGIVLCKMAYDLTRLLSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVMSTDLFSDRLKGPITFRNSVISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFIAWLVARILLFMYVFYHIYLHYSQVVQMHAFGYYLTFIVPSVLFVMNTMWFMKILKGVKKTLGKWS >OB03G36230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21009331:21016917:-1 gene:OB03G36230 transcript:OB03G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGEDDTNWYHAIDLFDKCYLRDIKYNMIPGGTWQYHMISAYINMYQSRFFYDHFSSAPAAGGGGAGEKPQLKLDGLVPGGRHIGRDALVAVLGYLYTGRLRSASPEAAVCLDNGVDGCTHDACRPAIDFIVESTYAASGFQISELVSLFQRRLSDFVFKALAEDILPILIVAATCQLPDLLNQCIQRVANSNVDNRYLEKQLPDDIYAKVKEVRVPDESHTAILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLKLDSANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKAERCKERSKAYLCIGILQQEIKRKLFILQDQMSSEESIVTPLLYENFHMRLLNLENRVAFARIFFPSEAKLVMRIARADSTEEFAGLTSASFSKLKEVDLNETPTMQNKRLRERLDALTKTVELGRRYFPHCSDVLDKFLNEESTDLFFLESGTAEDQQTKRMRFSELREDVRKAFSKDKAAGAAISSSTSASSSPRTEGKVRPGNRKGKFSR >OB03G36240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21020094:21021366:-1 gene:OB03G36240 transcript:OB03G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRQTRLLVFFALLIFSSVAAAVTTSDAPSDADHQTAPPAAARHAACGGPAVGGRCHSVARALRLKLIAIPTILAASVVGVCLPLFSRSVPALRPDGNLFVVVKAFASGVILGTGYMHVLPDSFSDLSSPCLPAKPWAEFPFTAFVAMLAAVFTLMVDSLMLTLHTRAGAGRANGAAVAHHGHDGSPVVVHGHCHAPGQPDVAALSTTEAADKGGDFEAGNTNTQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNACTIRPLVAAMCFHQMFEGMGLGGCILQAEYGARMRSALVFFFSTTTPFGIALGLALTRVYRDDSPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPRLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >OB03G36250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21023428:21027256:-1 gene:OB03G36250 transcript:OB03G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTRTVLVLLSVAVLFLVAGFPLLADAQAAAVPAAADQAVVGVCLPLFARSVPALRPDRNLFVVVKAFASGVILATGYMHVLEMGIVVHSVVIGLGMGASQNACTIRPLVAAMCFHQMFEGMGLGGCILQAEYGARMRSALVFFFSTTTPFGIALGLALTRVYRDDSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPRLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >OB03G36260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21026864:21027262:1 gene:OB03G36260 transcript:OB03G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDAKALTTTKRLRSGRSAGTERAKSGRQTPTTLDTRMAGMAISFSRSAXXXXXXXXXXXXXXXXXXXXRLGPVVARAGAVRLVQPGAARLIRRRRYCRGLRVGEEGEAGDEEEHRDREKDQYCPRRRRHC >OB03G36270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21030983:21032363:-1 gene:OB03G36270 transcript:OB03G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLEKYVQRTGHKMHISFTDGKRRPLDPTQASKLASECGIHIRNHLRVATHWKMYKTNDYKKAIPAAITSIAEKFDMNANDEVARATCTNIIKDGIRQQRYRLKSKYFNNVPISEVLSKGPPPRVSPEDWAKLVEKWTDPKHKETCEKNKINREQVKFHQTTGSRSYVCAIHSMKVNNNDQEPDAIDFFKESHFSKKKGSMSDDAQEAYNAMVSKREQNQEEGGHAKLDEEIVAEVLSERNTSSTFLVNMGFPNKKSGNTTSSMQVQELRDQLRVEKEDNARKQHQLTEQLESQQQEITELKRKHQEEMDNLKKSQDEKMDGLRKKQDEMEAMFRFFIRQQ >OB03G36280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21040778:21046024:-1 gene:OB03G36280 transcript:OB03G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology (PH) domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11790) TAIR;Acc:AT4G11790] MATRGAKRAATSDPANPELPSKRVMDGPSFDVHRAESSHQHMMAGPATLDPQRAETASKHVRALNTQFASWVQSQLQNHPAELWEDGMKDYLSHASEIMDKFKDVVNWLRQNKTGSAALLSPSTPNDDKTTSPATVDSKFMVQPSSDNGQKVPVTVVSSPAFQNSSSPNLFSSSNEKTPVFTGIFGGNKNMPGDSNKPTFQFGANNGFSTPSTPPIFSTSGAQSFSMPTQTLFSVNQQPVVSGIKNTAEASADADEDAEPEQPSSPSVKKAEEQGIIVVHEAKCKVYVKHDDASKGWKDIGVGQLNIRCKEGAERASKESSPTIVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSSESVNATVVARTYLFRLKNEDEATKLSAAIKENAPSE >OB03G36290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21047022:21049294:1 gene:OB03G36290 transcript:OB03G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKGEQEGAVKINIDNQSAIQLSKRFHFNQECIEEGRVDVVSIGTAERAAGGYHDEGASWMSWDCPIGLSPRHNYKVQDQIRFGVNERGVRGEIPNTRSHREELKGMKHTLSGDVLTEILRRLVHPVAFARRGATSSTSDACCTWTSFPHSLVGIFINLHAMYDTKLFTHPSMIGSSDLALHALHY >OB03G36300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21051584:21052738:1 gene:OB03G36300 transcript:OB03G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G79120) TAIR;Acc:AT1G79120] MMAIRLAEHISLISRALPLIQLKVMSTYSVAHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSRKTNPLLVRDLEKEVGFVQKWNFVSLIERHPNIFCVSGGSASREPISVMLTEKARKISSEETQARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVCNVKGLDHLCLESWDSSLAVTAREEKMNFDGFQKDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMERRLTSDKLEVFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSELIEKCPLLKFHDRFATLIGRTCSDSNDLLTA >OB03G36310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21056903:21057094:1 gene:OB03G36310 transcript:OB03G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSCTPVFASLSLSLSSITCSINNFCVWLGPAFLSWQLPQNHKSYHCFRTAWFCCPFLWTLP >OB03G36320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21058585:21058830:-1 gene:OB03G36320 transcript:OB03G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGAKETGTNLSGWEILLAERAKDAAAGGGGGFQSINRQQTKEYKALQSPHRRSLHDAACLHACTSHLLLLVIGFLFLQD >OB03G36330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21072657:21074282:1 gene:OB03G36330 transcript:OB03G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLPPIQPSAAAAAFGDSMFSPRQACFGAGEVAAGATGALMPGVCQEQQLVQGYQMAYVGGGGGVRQQQQQLAPAPPAVVAPAGAVEVLRQYSRMCAADAAESGVTFGGGQEMAAAAPRKRKRAELPEVVLGAAGDTTVLAQARQQLVDVDRLVLHHTAKMWAELAEQRRRHARQMVAAVEAAAAKRLRAKDEEIERVGRLNWALEERLKGILTWYW >OB03G36340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21076966:21079494:1 gene:OB03G36340 transcript:OB03G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEDDAAWERAIAAAVKSAPFSAPKTLTLDGASCGATLRGLDLGNNRFAEVDELAPLAPLGIESLDLYQCPVTKVKGYREKVFALIPSLKYLDGMDAEGNDRLESDEEEDDEEEDDEEGAEGEGEGDEEEEGGEEGEGDEDDEEEESEGDEEDDDEGDEEAEDEEDEADDNQTDKDAEDESKVVNGGSAQSNKRKRDNEDDANGDN >OB03G36350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21080751:21085808:-1 gene:OB03G36350 transcript:OB03G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G60990) TAIR;Acc:AT5G60990] MGWKEPTTIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKLDKPQPLFACVLSPTRELAIQIGQQFQALGSAISLSCTVLVGGVDRVEQALSLARRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKSIDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLKQEFYFVPADYKDCYLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEQFLGSEIPDRKVDNIEIMILRERISDSKRIALTKGFTLASDNLNIDSCPCSCTMKESGGHKKKRRRNEDDDDDEERNAPVSRKSKSFNNSRRR >OB03G36360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21088805:21089008:1 gene:OB03G36360 transcript:OB03G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVIRRDVAPAANHGETERQCWRHVLPFNVYIQRYFGPTNRRRSTSVEFRSIFWYHQKFMVWIEFFLF >OB03G36370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21089896:21090849:-1 gene:OB03G36370 transcript:OB03G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGAICSSRLAAPVFNHHGRRLRFPSISPAPLLRISHHHLRQRALSPIVAPAMATASNGNATAAAEHEPPHKVARVAPLLKVKKLSEKAVLPSRGSAHAAGYDLSSAAEAVVPARGKAMVPTDLSIAIPEGTYARIAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDADFAVKPGDRIAQMIIEVIATPEVAEVEDLDATVRGEGGFGSTGMMGDVVMKHLYPLYASDAFVV >OB03G36380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21092562:21100306:-1 gene:OB03G36380 transcript:OB03G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein beta 1 [Source:Projected from Arabidopsis thaliana (AT4G34460) TAIR;Acc:AT4G34460] MGQRGPSHPHQTPQPAIKTNPTPVGPPSHVGPTCHPRSSSATSRPDTPSFLLLLLLNPTRPQQLAHHFSPISRRFLAAAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNSLRERLRQRRQMLLDTDVERYSKTQGRTPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNTNTFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRRIV >OB03G36390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21103768:21105358:-1 gene:OB03G36390 transcript:OB03G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARKPLLVLAFLLCCFVGVSTAQSYIGVNYGEVADNLPAPEETVKLLKSTTISKVRLYGVDPAIMRALAGTGISIVVGVANGEIPSLAADPAAASRWLAANVLPFVPASTISVVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGDGAAGIKFSTVNTMAVLAQSDPPSTGAFHPDVASQLQQILGFLSKTSAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDAGSKIKYTNMFDAQLDAVKSALGRVGYGDVEIVVAETGWPTKGDAGEAGATADNARAYVSNLVAHLRSGAGTPLMPGKSVDTYLFALYDEDLKPGPMSERSFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKSLTAGTFSKCLVT >OB03G36400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21110821:21111150:1 gene:OB03G36400 transcript:OB03G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGDMSEEACDPSNLAAQLTRYCRSDAPTSQCCEPVVASVDLAGGDPSCLCRVLAEPQLAVAGTNATGLLAMYTACGGLRTVGADIADGCNHLRARPATPAAVITAP >OB03G36410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21114912:21116642:1 gene:OB03G36410 transcript:OB03G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKRDIIGAVSWGLLCCFLIISSYMTLYFRHFWLSAVIISLGILLPAGLYILRQRKLAKKRERRLLLPLSM >OB03G36420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21118499:21118687:-1 gene:OB03G36420 transcript:OB03G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILISCTLTKIHIFRKSSTQGPPFGGGIKNATQEADQSCSSNLHDHKFTDTLMLRNKLQL >OB03G36430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21119531:21121235:-1 gene:OB03G36430 transcript:OB03G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAFDAHCLVYDPTASSRYEVFSIPCFHRRCSACYSSPEPGTADSRRAGCDEPLNELSEWPPLVWALDVYSSSTGRWEERTFHRQGEAAGTIAGMRFDLSGHKCKSVYWRGALYVHYKTCFIMRMSLSDGKYQVIKTPPVVRVNRFPHFSLGASQKGVYLAQVTQPRWLQVWVLDESSDRMEWALKHEKNLNLVLPRQTHYRRWILQDVTGKDKIRQHKERGDEESPEWSSDDDTIDLRRVVQVPHHGYRGNIDVLGFHPHKEIVFLCDALQTGLAYHINTSKIDNLGKLHLAGSYDEVLSNKRFSGAFFPYTPCWLSW >OB03G36440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21131218:21131343:-1 gene:OB03G36440 transcript:OB03G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPDDVLAEVLRRLEPRSLVASRCVCKPWRDLIDARRRQPXXX >OB03G36450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21133442:21133705:1 gene:OB03G36450 transcript:OB03G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPPCPGTIPTQAPPSPNLRELLSSFHPGLQIFPSLDPRVGRGCEGVDANGNGGGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >OB03G36460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21133765:21133953:1 gene:OB03G36460 transcript:OB03G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSPFFARCGARRHDCHEGSWMQLLRVMNCYLLGTLSLARFNSPLCYNGWKCCIIKALSYI >OB03G36470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21135755:21139404:-1 gene:OB03G36470 transcript:OB03G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 11 [Source:Projected from Arabidopsis thaliana (AT1G65410) TAIR;Acc:AT1G65410] MASPPIPAALCHPHPRAAGPRLLLRSSGDPCPRCTLPFRLGGVSLKKGHSCAGAVSATRSPGLGSAENLRESPNLSRSWGVNGQIDGDHDVLIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGKKRHGLVSDEDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLGAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVQQFASGSLDGPIRYF >OB03G36480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21140001:21142523:1 gene:OB03G36480 transcript:OB03G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) TAIR;Acc:AT5G22130] MRWSCVFFFCADLLVGLFIDTILKLRGIPERTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMNGRVLQAAFWYGLIVHFRIYPIIYAIPFVIVLGKGYAAPAGRPILTQWTAKQHLQSNKPSPCVEGAMPLLASLWNFLRSLITRNTIYFGLLSGSMFFLWTGVFFYLYGWEFLNEALLYHLSRTDPRHNFSIYFYHIYLHHQQGFSSIHKLTSFLPQLIVQLALILRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTTMNLKWKGLSCVLVWMGSQLHWLMWAYLLEFKGRNIFIQLWAAGLVFLAANVFVMLMVIKHHRFTPFFSSPSVKSASKINSKKE >OB03G36490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21147894:21149398:-1 gene:OB03G36490 transcript:OB03G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIMGSRSSFTLINVKIYILNKWDVGGQKTVRSYWRNYFEQTDRITLTVQTLGDLTIVVLNSTIS >OB03G36500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21152557:21163366:1 gene:OB03G36500 transcript:OB03G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNLFLQWAVSTLQHQHPAPAAVGESRGEAAAAATSFFSLQALCDAAEVVVPVQERTATALPSTGGSARRSAAASSAAYAQLEHVITERKRREKINQRFIELSAVVPTLKKVVQNKSHQFHTMKCFRFDPLVSLSDQMDKATILSDAASYIRELQGKLKAMEPEATGPPPLKGGPLRGCHRAAVARQQEAVPRRAGGGRHLPGAGAGDRGAAVLAEKERDCEDPLQEREGDDREGARRGRGAPPQNHQRQCHAISGWYHDDHHHHCKGMLYVMILDIRDFENEFERAYSGTKIGLIT >OB03G36510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21167511:21167675:-1 gene:OB03G36510 transcript:OB03G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTSKYTFISCSYIHTYIHTYVYTSRVHASSNVQCMFNDLNISGKKVGKANTTCI >OB03G36520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21176946:21177316:1 gene:OB03G36520 transcript:OB03G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGKGKAAMVADVMAEFAAICDRLLLPARAHAATTSSFRIRNISDNRC >OB03G36530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21197949:21202324:1 gene:OB03G36530 transcript:OB03G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLFMEWAMETLQHLHSPPEPPAPGGYSGGVGDAFPSLQALRDLASQNGMAPPEPAAHEGHRASNSWSSGDTDSGGGGTGPSSAAPYAQEHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDAVRYVKELQEKLSELEDQNGRSVESSLPEIEAKISHGNVMVRIHCESGKGLVVRLLAAVEGLHLAITHTNVMPFPACTAIITIMAKECKSSKIRKVDDGFSVTAENIVGKLNTVLQQNSRNNNNTTAEETRSCQQQETAAS >OB03G36540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21209557:21214314:-1 gene:OB03G36540 transcript:OB03G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3LRH8] MVDTEGSQLLVADHGGAASVKAEDGSEWLFTSKRTDEAHPFTMHVNFDKFSEGILVGDELVIDGGMATFEVTEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGISEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVELCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALAVLRAASERMESWSREESMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTNYGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSDSMEDNFKRTIKLMKSKGSVKSGDTVLVVADSDLNRPCAATSVFQSIQVRIVD >OB03G36550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21216268:21219206:-1 gene:OB03G36550 transcript:OB03G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATARSPSPAGPGARPGCAAVRGSADSRPASPCDSPLRSSAVCRNAASPRASWCAEKENSDQRGDAAAARTPKPAARPVVGGGAKNFMAPTISAASKASPRKKVLGERNEQQLHPVASSSPVKPMGAPPASEAAGAPRRLRLSFDGAAPPASTPVAVTGSRHSVGGDERKMVENPPCKIHHHAAVSDDAASLETEAEAEPYQAGAAAAYDPKTNYLSPRPRFLHYKPNPRIEQYRQGSTGVRRLEDGFASESSEETITTTSTTSEEEEELTEEEQGQAQLLYELGDDTAVPAADACALPLDPASDSPRARVLTPDSATRSRVDAPETESSARSVQAPPKKRSSLRLLVAPLALVLFMSAALICVPPPLDSPVMPRTSLSKVSDFLSVQELHPVEFAAWLKQWSSSSLNLVTSYWESLVWSQEQEFFGPHFAANLSAAAASADEGVDIYCNFVEARPVLMEDIGVSAFEQDLQIQEAVSASESELISEINYGEHDANPGTSVIEEGNENVDGFLADLEMPKEDAGMSEEVPGSNGEEMASFSQNLEPSEPTTGEAEPLENIESNEPSLEQGVQTDNSEGDRADGGEEHPPAYHGANSEFGMWPGYLDKISKPAAIGVALAAIVVPAALAFLLMRKRQTQVCASADAPPAEQAEQIVEALSGSGSSEGHLRVKGSQHQTPVADEPERFGGSGASMYSSSLSSGHGRRRNVKEDETMSTDPVSRRDSAAYSTSSYGSFTTYEKIPAKKRNKEDEAMTPVRRSSRLRNQVKSPEA >OB03G36560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21228144:21228671:-1 gene:OB03G36560 transcript:OB03G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSLLLLAILLLLASSVVHARAAAFTEEKGVAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPISPQELQKKRGGDARRKKKKLAGHGDRAAAAAGGGRGATPDSYDDHSNYKPLSWRCKCGGLILNP >OB03G36570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21233143:21235611:1 gene:OB03G36570 transcript:OB03G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGRVVGDGAGDDNIILNPEFDDGLDGWAGSGCKIELHDSLDDGKVLPASGKHFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLAGAGVPLSPCEVRATVAVQNSDGRQQYISVAKSQASDKEWVQLQGKFLLNSTVAKAAIYIEGPPAGVDLLLDCLVVKHAQKATPAPVPDFENLEYGANILQNSDLDDGLNGWFGLGSCALSVHDGAPRVLPPMAQESLALDGEPLNGKHIHVTSRAQTWMGPAQIITDKLTLYATYQVSGWVRVGGQAAGAAQNINVAVAVDSQWINGGQVLARDERWYEIGGSFRVESKPASRVMLYVQGPDPGVDLMVAGLQVFPVDRRARVKHLRKLTDKVRKRDVVVKVTASGGGGGGGVKAAAEGVEVRVRQVSNSFPLGACIMRTNMDNEDYVEFFTKNFNWAVFGNELKWYWTEPQKGQLNYADADDLLKLCSDHGMCVRGHCIFWEVDNAVQQWVKTLSTDELSAAVKSRINGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDIRAAMFRTASDLDPDALLFVNDYNVESMCDIRATPEAYIQQIVGLQEQGAPVGGVGLQGHVSNPVGPVVRAVLDRLAVLGLPLWFTELDVSSANEHVRADDLEVMLREAYAHPAVDGVVLWGFWELFMSRDDAHLVDAEGDVNEAGRRLLQLKREWLTRAHGHADDNGEFRFRGHHGAYHVDVVTPTGKISQDFTVDKDDSPLVLNITV >OB03G36580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21239057:21245270:-1 gene:OB03G36580 transcript:OB03G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDPLLAPDSKAATSSLCLTLDNPASTSASPAPAASPAALEPSRQSFHERETDAIKAKIMSHPLYPALLRAFIDCQKVGAPPEVVGRLSALAGELDSRSEDRHQGPSSDPELNEFMETYIDVLVSYRQELTRPIQEADQFFRNMEAQIDSFTLDDNGSEGANSSEDEQEAAGDMAGLPEITSPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAAMEYRSSLQPGASYGGGAGGGASTSSSSAAVRGMEGQHQHFTGGGSAYPRGGP >OB03G36590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21260390:21260653:-1 gene:OB03G36590 transcript:OB03G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERHIRFTRRSRGRRTVPGGSAGAXXXXXXXXXXXXXXXXXXXXAGRRRSGCAPTPRGGRTPPTWTCRTPRTSPAGTSSSPIGSLRF >OB03G36600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21262021:21262362:1 gene:OB03G36600 transcript:OB03G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTIFIPSYVNLDHHVGNLRFLWLANHHCQEPQFQQVKGLCSMYGKFCLIGHINAPALIVLDFVWQQADCYIRSWLFSSTADDVLNFAIEMEQIMCDLWIAIYALFQVKK >OB03G36610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21265306:21270463:-1 gene:OB03G36610 transcript:OB03G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHLLLANGGAKDTSTAPFCSARDFTYAASPPPALRPASGRQSNHGGGGGGGQSSSPLPSEAILKAKIMSHPLYPSLLRAFVDCRKVGAPLDVAGRLSSLTDDLQSNSGDWRRPAELQPAADHELDQFMETYCYMLTRYGQELGRPIQEAEEFFRGIEAQIESLALDDVSCEGDASSEDENAALPENGGGGGGAAFGDTEVTTSHLLNKYSGYMSSLLSEISRKKKNSTGHLPGKARQKLLHWWHLHYRWPYPSEMEKAALAESTGLDKKQITNWFINQRKRHWKPTPAAAAAMDVFLHPPYGASSSSSAALRPVEGGGGSWQRCFEFDGGCAYPRGGP >OB03G36620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21283438:21283623:1 gene:OB03G36620 transcript:OB03G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYEVKCGTCGKSTWAGCGRHVASVHRQIPDGQHCACRAWPGVGDKPAAAGEASSSTCAIL >OB03G36630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21284158:21290849:-1 gene:OB03G36630 transcript:OB03G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINIGLCVLFLSLYSILRKQPQNVKVYFGRRIAEENNRLREAFILERFVPSTSWIIRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAACLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVVLYAITGVACFLLYLEYKHIARLRLLHVSRAVTNPNHFTVLVRGIPKSTKESFSCTVESFFIKYHASSYLSHQIIYKVGKVQKIVSGAKKAYRKFKHFKGTTVGQRSRPVTYRCGLCGASSNSFQLLPVEPEQEGEKPDANDSDLNLPDEDCGAAFVFFKTRYAALIVSKILQTSNPMKWVTSLAPERDDVYWSNLWLPYRQLWLRRIATLLCSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLKGLLKKTYITQLVTGYLPSVILQIFLYTVPPTMMHFSTLEGPVSHSERKRSACIKVLFFTIWNVFFVNVLSGSAISQVNALSSPKDIPMQLARAVPVQATFFTTYVLTSGWASLSSEVMQLFGLIWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHNTTIFSIVLTQIICLGVFGLKKSPVAAGFTVPLIILTLLFNQYCSNRLLPLFKTLPAQDLIDMDREDEQSGRMEDIHHCLHSAYCQFADTDDIPLKGIHVDRDEDGSGSSGESSCKDDSTQPTTATDISHPTLEGLPVSRLRHAVRSLGFIVRLQKRGLSSSKPAGPSSDVNPQTA >OB03G36640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21309993:21316120:1 gene:OB03G36640 transcript:OB03G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAPFFEHIVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWICFPVAYPISKLLDYVLGQGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFVIDINAKLDRDLMQKVLDKGHSRVPIYYEKKTNIIGLILVKNLLSINPHDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTNPNYTAEPPANDGGTLEVAISIDDKRGEKVVKNLPPLRRWKSYPNSQNSNRGSRSRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVQVEEQ >OB03G36650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21332774:21335394:1 gene:OB03G36650 transcript:OB03G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFSAAAMELGEVLGLQGLAVPSTKESDMSLIKRAGSFTQAAAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSANRMSWRPLYQGFPNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLPSSVGQGSFKKAKVNEMKPGSVSYWTDSLNRTMVGKEKGSKAAEENNAPLLNLTSQQPTLSLLSQLKQQNKGEKFSPTVDSESVSSNTILKPWESSNQQSSKNISFTKMHDRGCLQSVLQSFSLPKDEKMEFQKSKDSSAMPIPTFYSSPEGPRVSCHTSNMAQMQEDSISSSWEMPQGGPLGEILTNSKNIDDLAMKPEARPYGWLLNLEDHAM >OB03G36660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21336394:21341316:-1 gene:OB03G36660 transcript:OB03G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNGKLAMAGVVYVYEKEKKNGTYTWHACSLEEFAHVKQETKLCTVLEVSQSNARAHGKVGRVEIWFSLLFTTGHRCRSKGMKTKSFTFVMINACKIGRRGKLWSKSPTVRAMQKTMNEYIEIARSKVNVLQTILEQRGAQARQRVVARENKVAQVVKLAEECGVDPRTTPELFRGVVEVIKNEKVMDLFIRTVPEGRVIIIKSHAEGLTAAVEDEEKAISDDDFEDLVVACLEDDDEGWVYDAPQSCRQAEDRFKRSMPTVSVMFNKVLQCMVKLAVDLIKPQDKHFEVLHRKVGSGRFHPWFKDCIGAIDGTHVPCVVPSTKFVQHLSRKGITTQNVMSACDFDMRFTFVLAGWPGSVHDMRVFEDDMSTYRHVFPHPPAGKYYLVDSGYTNRPGYLAPYKGTKYHLQEYGDSPSPEGKEETFNYEHSYLRNVIERSFGVLKMKWWILCNIPSYSPRKQNQIIVACCALHNFMRVSGLRDKDFARCDRDPDFVPEEAAAHQPDAEEVPDECLNMNEFRDSIALAMLAG >OB03G36670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21346612:21346806:1 gene:OB03G36670 transcript:OB03G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGAVVRPEEDPFLAAFLACTERGRKKAPHKLLGLGLGLGLSCKGPGDVVQSVVRLAKMPHSLNDD >OB03G36680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21355602:21356524:-1 gene:OB03G36680 transcript:OB03G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLRWDNLGVVGCFPGVGREFRRLRRSTGYSGECDPRAMEFGHNDDGGDDERAARWKADMDVDDSSRQLSPVSVLELHSDDSSPVHCRWEDEKPSTSGSSPPSSEDFIGATSPCFTYDVHGKICAMEVEEDEEEMARAGKSIEEQISSWEKIAEDISSIPRMMEQDFSQSIQQWSELKLEAMEIGTRIETLIFDEIRRETVCDMLASHCTLATTTSC >OB03G36690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21357830:21358054:-1 gene:OB03G36690 transcript:OB03G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGKTDSHFSIEKMGSFFVSTKKESLENAPNPFVGPCIVSDPNPPGAPPLEASEVGEPYDGQLSPAVRRGLT >OB03G36700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21363384:21363605:1 gene:OB03G36700 transcript:OB03G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAATTAEITNPLLDLLPVVFSNFLASIVDSSLHPSTVSATSSLHRFTTGTACSLHRFVSATSVLPSPARGR >OB03G36710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21376035:21376292:-1 gene:OB03G36710 transcript:OB03G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIAAAFFASLERCSCVNVRTQHDELDDELRDSEARLIMFEVDGSGNAVAVAGDGAGAGGRRSGRGSWKSGTGKKSGGCGMGCYGD >OB03G36720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21382428:21382822:1 gene:OB03G36720 transcript:OB03G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKVVVAICLVALLLPIRTSHAGRVGPVEPIKATTKVVEGRNRVQEEDCSVRRVLAAHLDYIYTQGTHN >OB03G36730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21384352:21386458:1 gene:OB03G36730 transcript:OB03G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNARKKLGCKKSQPSDREWDRAILIGRWRKRLKGKVFFLLWSLSPRKSWGKNQRGQRTQESEPEEEKRRDHRIRMRLSFPLVAGAVVIGVISGNVIFGPPLQKYWAEKQQQQEAAKEGQTGTT >OB03G36740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21391556:21392071:1 gene:OB03G36740 transcript:OB03G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTAPVAVLVLLSLGLAAAACLAARDVAELMYSAQTVVVKKQPPRGGKPSPQQPGANPGVGGVGGAGGGIPPIPGPLSPPMPPIPGMLPKPGMGGGWGAGYGTPSGGYSRGGVVVPTVVCSEKGPCYKKKVTCPKKCFSSYSSSGKGYGGGGGGGSCTIDCKTKCAAYC >OB03G36750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21401488:21402789:1 gene:OB03G36750 transcript:OB03G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSSSLTNLLNSANTSGNPQNPISQQHHFPSPQYPMNYPPTQFPPNFHPQYSHMFNPFGAQSSYPQFPFTPGSYQGPPYLGNTGQGSGQASPVGSMAFFQGSRGTNSRADENSPVGSASPVSLGQQIACDPIDTTDWSERSESSPEESEKKEGRVHWSEEDNLRLVSAWLKNSNDPIIGVDRRGDRYWNDVAAEYNLHTVKERRRKASQCKNHWNKTIPFITKFNGCYDKAKREHGSGESDDQVMDRARQDYKGLVKTKRPFALEYWWRAVKDQPKWSKAYPIEEMMNKRSKLNASGAYTSSNQDSEDADPAARCRPPGRNAAKAKQKSKGKLVHSEDSISNENVNLFNELQLRKTIAAEKMAEATLVKAEAAKVKAEAENKMAEAEKEKAMLQKMDKYMALLDKDTTGYDEVAKTRHEQILVYLAKELFS >OB03G36760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21405210:21406270:1 gene:OB03G36760 transcript:OB03G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKRRGRKSRVASATMRALRGARDLYVRGAKGFGEFIVAANPRAGVGRPTSRAFGVRELNSEQELWELVRATQARTVRWVWARACAAGGGREGGRGGRGRGTGAAWHGTPPLGRIDEDGALV >OB03G36770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21420620:21422254:1 gene:OB03G36770 transcript:OB03G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14480) TAIR;Acc:AT4G14480] MQQLLSLLRPRSAMAGAAAAAGGGGGGDRAAARYPLDAGSYRLLCKIGSGVSAVVYKAVCLPLGSAVVAIKAIDLERTRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMGAGSLHSILSHGFPDGLPEQCIAVVLKETLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETAPATSSAFSGPIHAPSAVVSSSCFNDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRLEDAESSARKKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFKGCRSSDYLVRNVLAAVPSVEERCKDSNQLCGCARGARCLSPCRHANSVVKNRRISGWNFNEESFELDPTEKTEPQPFFLYHDDDNDRLEHDQATSSTRGDGSNSPPSPPPRRQADNAEKRQEGEEALTAAVVPHLVTILESLEMQRTVVMQVLEGGGGGDGDGDGETAAGEEMLLGYVRQLEQRVQELSTEVEEEMARNAQLQELLHERECGNHTDSSQT >OB03G36780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21429686:21430384:1 gene:OB03G36780 transcript:OB03G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSGSNSDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHVHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQPDPNANFANANANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGGHGHGFHGGHMGHAHGVPRQQAPLGQQQQADIYLKALLVMVGILVIASLLAF >OB03G36790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21440455:21441477:1 gene:OB03G36790 transcript:OB03G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LRK3] MSRTPLIVLLLVCAAAAAALPEDTVRASFPSLAFRVYPFDESRVSGLISTSIRGALDRPLNYARSYLASTLPSCVRRVVYLDSDVVLTDDIAALAATPLPGETAVAAPEYCGANFTAYFTPGFWGSPALSETFAGRRACYFNTGVMVLDLSRWRRAGYTAQIEEWMELQKRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGRPCPLDAVWSKYDLLRPAAGIESS >OB03G36800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21450381:21454194:1 gene:OB03G36800 transcript:OB03G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAALQPSFSSAMAMKNLKFPKTALLPCFGSIARPQDVQDRNANFNCSRPKAASVTDHSTAEPAKPRQSKHTVDPAAPEFLPLPSFEECFPRSTKESREIVHEESGHVLKVPFRRIHLTGDEKHFDTYDTSGPQNISPRTGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIEGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYTARK >OB03G36810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21457129:21457386:1 gene:OB03G36810 transcript:OB03G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFLLLYIITEKNLPVAAAFCIQDFVFARDEKQREAGRVVQVIPTDTNNYLYPSDALYMLVRGCLAVIINGKLRLLIKHIHNLG >OB03G36820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21459960:21466634:1 gene:OB03G36820 transcript:OB03G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIATRLGFECTINFGGMPPQMEFAMASTDGDVARLKKVVDTMNKDDLESLASVRIQAYGPLFEAAGSGKIDICKYLVEELGFDVNAEATRDSGMTPLFCAVLDGQEIAVRYFLDKGADPNKTDAAGYGPLHEAAKNGHDEIARLLLSKGASVDVLSSEGTPLYVAATNGKSSIVQILLEHHADPNKISADLGTPLAAVLSAAPETLDESECLKCMKLLVKAGADLNCAIPDTPYAIATRKGLTECIAYLSEVSRVANTPTDHDKVSDRDRKANLILHGGNTFAEDDYEGATIFYTEAIKLDPEDGTLYSNRSLCHLKSGRAQEALLDATDCIRLKPECTKGYYRKGSALMSLKDYKEACSAFLDGVKLDPEDDGIQSAFWVAAKAMKDEYISRNACGQVD >OB03G36830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21471570:21477113:1 gene:OB03G36830 transcript:OB03G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPPPEAVSKADFLSWLTFRMFGSDGSRESAFLRAVAEGNVRRLKKMVNRMDEKGRAKLADMNIDGMGLLQVAASMGKIEVCRYFVEELGFDVNAGCLCGGLAALGFAALSGEVDAVRYLLDRGADPNKIDDTGSVALHCAVKNGYEEVVRLLLSSGARVDIAVAHGTPLHIAVSYGKTGVVKILLDHHADPNSTSGVLGTPILTALHSTTHGLDESDSLECVKLLVKAGADVNYACPSTPLLVATTIGLTDCIKYLLKVRADPNIPDKQSGHTPIEIAASFGRRDHVKILFPFTSPVKAVTNWTIEGIMSHGKSKCSMPKFEPCSKVNDRKTELKSLGEKAVKRKDYLGASKIYTEALKLDYFDATLYSNRSLCFLQIGEAQKALLDAKLCVNL >OB03G36840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21479088:21488531:1 gene:OB03G36840 transcript:OB03G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSFGFRMQLALRRGLSYYNDPAPQSDFFEAATKGDVRRLRELASGEDAEGKARLAADACCLGLGPLQAAARLGEVDACKCMVEELGFDIDAGSELGITALASAALDGRLSLARYLLDHGADPNKKDNAGSVPLHCAAKNGHDEVARLLLSRGASIDIAYFHGTPLHIAAAYGKAGVMKVLLEHHADPNKVSEVLGTPLVATLHATSQGLAESISLECVKLLVEAGADVNYSDRDTPLVVAIDIGLTDCIKYLLKAGADPNIPTCHCGALPIQQAASCGRRKVVELLFPFTSPIRAVSNWTVEGIIAHAKSKHARSKCSKPKDKHDDQSKKAQLKLQGEKAVKRKDYHGASVFYSKAIELDRTMQHSIRIGVFAIFK >OB03G36850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21490537:21492737:-1 gene:OB03G36850 transcript:OB03G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 11 [Source:Projected from Arabidopsis thaliana (AT1G75430) TAIR;Acc:AT1G75430] MVSALRGSRYLLPAQELLREAVSMGEVDPAIASARRGDDEGPMREGGDRARAEASLPYDGKSGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSRHFGNLRRAILRKLRLHAAAAARRSALLRLAQDGDEENDDDGGDADADGEDDDDDEDVVNRLVRRTKHAAAARGGQAGRPLRGLPEDSVAVLRAWLFDHFLHPYPNDHEKLMLAVTTGLSRTQISNWFINARVRLWKPMVEEMYNDEFSDDSREDDVGGASSSS >OB03G36860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21496313:21499960:-1 gene:OB03G36860 transcript:OB03G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSAREPNMVSSYTEQRSFGPAKDMRNEMLMHLMDGSHSAGADLIHNDTHNSAQIEFGLLNNHNSMSVAPTPGQGLSLSLNTHILAPSYPYWSAKQELLTPHSYQGDDNRMKNMQSEASQAIRHSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEADGGSKGEGVSSNPQESTANAAPELSAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSLSQTCQPSQLGESKANIGMMNLGGAPASFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVVHHSDENARFMAYHLSGIGRYGNSNVSLTLGLQHAENRLSVQNTHQPGFTGAGEEEIYNSTASIGVAAAASSDYESTNQIDQRQRFEPSPLMHDFVA >OB03G36870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21505640:21506731:-1 gene:OB03G36870 transcript:OB03G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAAGEVPPPRGPRAVKVKAPGVSIWCEKKPVCVLAGWAGLHLYLPRSIRKNVDLLRQHRRIFSEWLNFLIVVNEDSTSIGGSFWVYFLAYIYSCL >OB03G36880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21528896:21554261:1 gene:OB03G36880 transcript:OB03G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRILHASVRFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSYSKGKKISKPGKPRSTGKGKWLITSSMARLLSISVTDLLIKVQSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLLNSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFRKKHTNPEGCSGSENGGDVPDASAMNQTSKSKSILPSLKKQILAFPNKISFSVPKLDVKFTHLGEGLSVDNNIMGIQFTSAKSLPQDDVDEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPIDPFLPIRAEIDAKLGGTQCNLMLSRLMPWIHLHYLKNKGMKISKENSHRGISQKKDTKLIMWTCTVSAPEMSIMLYNLNGLVLYHVCSQSSHLYANNIASKGIQIHTELGELQLHMQDEYKEFLKGNIFGVDTYSGSLMHIARVSLDWGYRGPEIQDMVETSRLTLVFSIDISGICVKFGFKHLESAVLNLMTFRTLFKSLGSRGSSKEKTLEHREKRRKKGVEILKLSIQKFSITYCGDANVVNMPVADPKRVNYGSQGGQVLVTVSADGTPRLANVTSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSNIKVTLLDMQNAKIVRRSGGLSDVPACSLFRATDINFRWEPDAHLAILETFIRIKKCLHNNKPIDTEFGNISENEPDSVSTSSGKPQKSDKRGSIFAVDVELLRVSAELADGVEANMHIQSIFTENIKIGVLSEGLSLSLNGARVMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMTRALKLISAAKKNMMFPDGKENPRKVKSGSTNFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNHCSSKGKLLNDGIEVDMHDTAALQRLYDEIHKQAFQSYYTACQKMESAEGSGACSEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMIEFIKGLDPVCQEKDIPFSRLYGSDIAVLVGSLVIQLRDYTSPLFSATTGQCQGRVVLAQQATCFQPQIQQDVYVGRWHKVTMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKNSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDISAKEFKMYISSLASMMKNCSLKVPSGEPIPFIYAPLFSLNVVIDWQCESGSPLNHYLHALPVEGETRKKVYDPFRSTYLSLRWNFSLRPLQVQRDNDALSSNYGNSSMPCGFISDSRSKLADVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDPANGLTFKMSRLKYELCYSRGKQKYTFDCKRESLDLVYRGLDLYKPEVYITREINLSSAETVSNLKTTTQLGKVVYDRGSTGNFQDKHEDGFLLSCDYFTIRRHARKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPSKPSPSRQYFQRKMIEQRQISEGSKLTQDTTSSIHVGSPSGQHVEALGSTSPLHSKANFSADIAGKHGLFDDSDKGGNLQFMVNVITPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSVQIPELQPEMTWQRTDYAVLLEDVQAHVAPTDVDPGAGLQWLPEIPGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELLFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLHYSSDDEDVEEEADEMVPDGVEEVELAKINLEQKERERKLLLDDIRSLMGTGDNHTSSFLSVERDDCLWMINSGKSLLVERLKREFLNLKKSRKSASSKLRKALQNAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISDMGFDFDRDYKDIGVGRFTTKCFEVRNCIANAKCNTLLSAWNTPPEKGFMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGGDNSQVPKLQSKSNAVYGSHPELRRTSSFEMNLEESAVDNITNNDVLSLVNSNVPSRDTNNFMAENSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFQRVEFTGTWRRLFSRVKKHIIWSVLKSMAGMQVKKFKAHNRETHDGIVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGDGAGDGFVTSIRGLFNSQRRKAKAFVLRTMRGDGDNECHDEWSDSDGEYPFGRQLTITKKLLRRHTKKHRHRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >OB03G36890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21555280:21558363:-1 gene:OB03G36890 transcript:OB03G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKV >OB03G36900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21561900:21562130:-1 gene:OB03G36900 transcript:OB03G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPHSLRRPRVGGRKEGRKPGSRGGAGAPPPPWIPELPPPNARRPVRGGGGGGREARGWPAPPPRAPESSSPPPP >OB03G36910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21561998:21566347:1 gene:OB03G36910 transcript:OB03G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYEHTIKFWEAKSGRCYRTLQYADSHINRLEITPDKRFLAAAGNPHIRLFDINSNSTHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNHGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLIGHQRWVWDCVFSVDGAYLITASSDSTARLWTMSTGEAIRVYSAHHKPVVCCALHDGAESAPS >OB03G36920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21566649:21574629:-1 gene:OB03G36920 transcript:OB03G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A regulatory B subunit family protein [Source:Projected from Arabidopsis thaliana (AT3G54930) TAIR;Acc:AT3G54930] MLRLVAALFDFSDSLKHPREKEAKRQALLELVDYVQAPSPAANANAPVRLPENVQEALVAAISVNIFRPLPPALHESAAAIDPGAAPDDEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETERHNGIGELLEILGSIINGFALPMKEEHKLFLSRALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVINCQKEVLFLGELEEVLEATQPVEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRSVIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSELFEECQQQYIEKQARAKEMEEQRESAWRQLEAVVAAKASGDDMVLVN >OB03G36930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21576870:21581343:-1 gene:OB03G36930 transcript:OB03G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQICGLIKETRFAVLLPMNTCSGSVVFVALCEPGGTSCYLQMGLLKWLLAKSMDTKIMLERHTQRHRGFGFVTFADPEAVDSAIKEMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGEYRGGRGDGPPPGNCFECGRAGHWARDCPNPGGGRSARVSSKFSSGGRGERLSGSDRFGDRYMDDHYDGGRYGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGGSYDRDGLRGGMSGAYDRDGPRGSGADHYGGGGPARYDGGSYRDRPGPYDRPSRGGRFDDRFQ >OB03G36940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21583062:21584957:-1 gene:OB03G36940 transcript:OB03G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGGSGGGGEGLAATMRFGAHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAGMNLAGYLMIYLAVSGHTARPPVWLMCVYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGVEDDGASLVLLMAWLPAAISLLFIPTIRIMPRSPAAAGAGPAARRRERKAFFYFLYASIVLAVYLLVMNVVELEVLGFPKPAYYVTATVLLLLIFFPLVIVVKQELSTYLNPSPATTPATRSAVTVITVDEKKEKPQAAEDDGDDKAAPSPTCLQDVFRPPARGEDYTILQALFSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPQRSISTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLALTVVLVLACAGHLLIALGVSNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTIYNFGAVASPVSSYILNVRVTGRLYDREAERQLAAGAVAVAGAARKGGRDLTCVGVGCFRVSFLIIAAVTLLGAAVSLLLAWRTRNFYRGDLYGKFREVAAATGEGAQQAKKVDDDDAAGETSRVAVAGDTDGGARGVDGDKV >OB03G36950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21596906:21600024:-1 gene:OB03G36950 transcript:OB03G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLALVDARVLPTPRLKYHDSGREKLCNPSVGQWNMINKRMVNGGTINHWACLTFASRLHPNDIRMFCDHLVGMCNNIGMQMNMRPCVDIMKGQQHNIEAAIRNIHRKSAEELDKQGLTGQQLQLLIIVLPDFNSQYGRIKRLCETELGVITQCCSPKNVQKGGMQYLENLALKMNVKVGGRNTVLEDALHRKIPLLTDLPTIVFGADVTHPSPGDDASPSIAAVVASMDWPEVTKYKCLVSAQEHRQEIIANLYTEVKDPVKGIVGGGMVRELLRSFYAATGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQVGYLPPVTFVVVQKRHHTRLFPENHRDLVDRSGNILPGTVVDTKICHPSEFDFYLCSHPGIKRTSRPTHYHVLFDENGFKADTLQTLTYILCYTYARCTRAVSIVPPAYYAHLGAYRARYYMEDDYSDQDSSSSAATRARERPIKSLPEVKENVKQFMFYC >OB03G36960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21605846:21613709:-1 gene:OB03G36960 transcript:OB03G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYHYEVAITPESRSRERNRTIIKELVRLHQQYLDGRSPVYDGRKSLFTAGPLPFTSREFVVKIANPVRANQGEKEFKVTIKDAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPTARYTSISRSFFSQSFGHGGEIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMNFALEYLNIRDASRRLYDQDRLKLKKALKGVQVVATHRRDMSIHYKITGLTSAPLNELMFDKDGTRVSVVQYFKRQYNHSLKYINWPCLQAGSDSRPTYLPMEVCSILEGQRYSKKLNERQVTSILKLACERPAQRESSILEIYLFYIFSHIANRNSYGNDCYAEEFGIKVLNQLSLVDARVLPAPRLKYHDSGREKVCIPSIGQWNMTNKTMLNGGSINYWACLSFASRVHLDEVWMFCNNLFRVCNNIGMQINGRPCVDIGQARQDNLDAALRSIHRQSTELLSQQGVIGQQLELLIIVLPDVNASVFYGRIKRLCETELGVITQCCLPKNVQKGGQQYLQNLALKINVKVGGRNTVLEDALYSRIPLLTDFPTIIFGADVTHPPAGEDSSPSIFLVVASIDWPEVSKYKCLVSSQSHRMEIIADLFTKVKDSQKGVVYGGMIRELLVSFYKANGSRKPGRIIFYRDGVSEGQFSQVLLYEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHRARDQMDRSGNILPVPPAYYAHLAAFRARHYLEEGHSDQGSSSAAGARLHDAVAPVAVKPLPKVCMTELALRSLISSLEMALDQSGKLFIATLIRI >OB03G36970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21635286:21636575:1 gene:OB03G36970 transcript:OB03G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVQSSRIVKPLYDGGEAPPAAAEWMPLSVFDTVTYDENIAVIYAFKPPNPPTAALEVGLARAMAVYREWAGRLGVGPDGRRSVLLNDAGARARMRPPAPRGYFGNLVLWAFPRCDAGDLVSRTVKHAAELIHRAVAGVDDAYLRSFVDFASSGAVESEGLVPTADTNQVVLCPNLEVDSWLGISFYDLDFGGGCPFYFMPSYLPMEGTLFLVPSFLGDGSIEAYVPLFENHLEAFKKICYNIA >OB03G36980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21638805:21639327:1 gene:OB03G36980 transcript:OB03G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILILSPFWGAPTATSSAAPLHGEGVGGERQEVHALAVRVVRHGNHEDGALAKFMVMVMVTAESVRLKLVGRAVVDRWEQESYLGSDEARGTCPAGARCMSLMVIEWEEGRPVG >OB03G36990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21642010:21646919:1 gene:OB03G36990 transcript:OB03G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWVMVVAVAVAVAVSSSPATCADDQEQQALVPGVMIFGDSVVDAGNNNRLPTLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVDSLGLAAYPPAYLGQEARSDNRTLLHGANFASGASGYLDATAALYGAISLSRQLDYFREYKAKVAAGAGREKAAALTSESIYVVSAGTSDYVQNYYVNPVLAATYTSDQFADVLMQPYTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFGGGGGCVERLNNDSRIFNTKLEAASDAIRRQHSDLKLLVFDIYNPLSDLVSNPTSAGFFESRRACCGTGTIETSVLCHQGAPGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >OB03G37000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21648602:21656211:-1 gene:OB03G37000 transcript:OB03G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligase 7 [Source:Projected from Arabidopsis thaliana (AT3G53090) TAIR;Acc:AT3G53090] MMLRPFLFFITQPSSWYKGQQDKALNSISACFKIILDSINSMDASKNLCSFAVGTPEERSIWLYQAKRLISLCSFILARCDHSCCKDGKMVQITSTAMRLAVSLTDCRTWKKFLSLDTKAADASVDNLIDFIGASQSGTYSCLRRYITHLGSLGSLDKKNSSISADDHFLVTASAVTIALRPFHSIRAGRGSDLNGASKEYFTLILTIPDLCKRLPSLLLPAIKHINILQPSLDILLISKDKIFEEIAKLEKSEVSSGGSSIIPYCGWALGNIVTLATEHDDLSNLGCFVQGLDCCLYVDAVNCVSQSLLKFFEESKEVLHCFSDSADRSFIKENDTSDSCNRTLFMDLLKPIYQQWHLRKLLALTKGDALCKRQNNHDPVTQTHSRSLNLLDVVCFYYHMLRIFSLLNPSIGSLPILNMLSFTPGFLVDLWGTLEISIFGQDIHKSQEPKYDKESAASSSGEQVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDSSLNSEHSVEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKITSSLNTFVYSTYIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPVSLWLAPARHGRIPIAAAARAHEAAFATLPGNHFSGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTVTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLSDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >OB03G37010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21660827:21666990:-1 gene:OB03G37010 transcript:OB03G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPTSPEAEARAVGGGAGKEDEAAASPTTLTADHASLGPVSSPEECARGVDGEAAREDGAASSPWLPASPDTDTTDYARLDLDEVGEAEQEGHASLPCEEKEAKELREHEEDCDADDEAEEEEEDDEEAPTHLPLAPSSESELPDDTSTFDPSFTISLIRKLVPSGPDVDKEFIVNQGQAEEKDTSSSDGGKPTQPDDNDLWDEEGGKLWDLSAIESQAKLMVNNLVLEVLLANLHVRQSLRAKEICIGILGNLACHKSLADAITSHNGLIATVVNQLFLNDSACLTETFRLLSTIFQSNASMSWAEALLPDEILSRIIWIIGNTVNSTLFHKTLEFLSALVDDQDVITILIEPLIKVGLVDCSISLLLTELEKSMDGNNLNRSDSLDSIFRLIEELSAIDNCSKIMSSNDQLIKALNSVVKLPDKFEVEDYCASVLIILANVLSDGEHLTPILSDDLPLLEGLFDILPLVSDDNEARNAFWCILTRLLEQVHTQESVVNSSKVEQFVSIFLAKFTPIKDDIETHGIQTEADSSVEGVSLKNGLCTSLRAMCNITKRWIADKSSLGKEDASLTDSSIKSARELLNFCRSAMGTTDL >OB03G37020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21668011:21671371:1 gene:OB03G37020 transcript:OB03G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGPQPGGDAGAAPPPPPQKRSNMDYSLAALKLFGCQLAGATEAPSSESDGASQAQMLYGIRFQRVWLQGVVVLADYRVGAGLLLLDDGSCVAEITLTPKEAEGQPWQEGMYVMVLGSYSGKDSLPKANRPVIKVLVYFAHSLCVPTFSILTCIFLSIQTLEVLCLLFLYSGISVIQCFKVQT >OB03G37030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21675487:21682588:1 gene:OB03G37030 transcript:OB03G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium (Mg) transporter 10 [Source:Projected from Arabidopsis thaliana (AT5G22830) TAIR;Acc:AT5G22830] MSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLEQRLMHIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDRLSDDMQCSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKTRKIL >OB03G37040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21691281:21692954:1 gene:OB03G37040 transcript:OB03G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MMPESSKNGDLRLYRALDFPLKWKMDKVLLKKPLVDSVIVKFQGPYWLLGTDLSSNGAKQNKELSIWYSNSPLGPWIPHKQNLIHTTGNRLGARNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEVLKPNEYKEVEVPFVINKQLKGQNAWNGARSHHLDVQQLPSGQLWIGVMDGDRVPSGDAFHRLTTGYMLYGVVLILVLLLGGLIGAINCALPLRWCLPHTEKRSGLFNVEQRFFLYHKLCSLISNLSKLGSLIGGRINYRTWKGKVYVVVVVLILVVVTCVGTHYIYGGNGAEEPYPVKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQAELNSVVPVRIRVEDKNSLNNRFNLDSEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGRYNMVLTGAAFMDHGVAFKRYWSKEAEVGRQIVDSFFNCEDVLLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEMYGNLTDKRFFNSRGDSWDV >OB03G37050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21693282:21695304:-1 gene:OB03G37050 transcript:OB03G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQQPVMLGIGCSNALARARRVQCLAAASHSHMPCLPVLLRVSGGGGGRRQGSRRFPSQKIGLSRRIRRKDLRVVVAEASAAAAGASPASSPGGVSVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWLARGAALSASIAFMTITGASHPPAASLPLLFIDGPKFHNLQLWYALFPGAAGCIILCLIQELVIYLKKNCKF >OB03G37060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21699803:21701973:1 gene:OB03G37060 transcript:OB03G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32550) TAIR;Acc:AT1G32550] MAACPAATTARILLPRPGPARGTATKARASGLRQVEGPVSERAYASSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDISLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKGYALLCVGFPTSDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >OB03G37070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21708748:21711399:1 gene:OB03G37070 transcript:OB03G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G38020) TAIR;Acc:AT4G38020] MLLAQAPPPSPPLPSAASSPPRRCYWRTLCPSCVPSHRAAVSTAAAAKAAAPSRGGQYHKQVASVANPLVKHCVKLRLSAAYRRSCRRILLVGLVPILEMCRFELSSIDNLLLLDGLELPDELYEFSGNVVHVSAAVMKKISGMQSVDSTEAIAIIHMPKYFCDLDSDQGGAVLDELFCSANRILVLDGIQDPGNLGTLIRSACAFRWDGVFLLPACCDPFNEKALRAARGASLQLPVVSGNWSDLRALMAKYDIKMLAGHPESSSNGSERTHVLSKELADSLRSESVCLVLGSEGNGLSVETLQACELVNIPMEGTFESLNVSVAGGIFLFMLQTKQQKMAEI >OB03G37080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21714140:21714313:-1 gene:OB03G37080 transcript:OB03G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSRTCFFFLRTSPELACDCISELETCEIQRYKHMTSSVMLSTDPFLTYTCTNCIK >OB03G37090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21733066:21737127:1 gene:OB03G37090 transcript:OB03G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAASAVDAAAVEAKAAATATGGGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGDADAAALDGFVISGSCSDAHADDPWILALVDLIRRQHAAGKRILGVCFGHQILCRALGGKTGRAKKGWDIGVNCIHPTAAAARLFAPLPLPVHLPIIEFHRDEVWELPPQAEVLARSEKTGVEMFRLGDRVMGVQGHPEYSKDILMSIADRLLRNNLILDCQVDSAKASFDVRQPDKELWKKVCRGFLKGRLQSQQQKQQKASAQLVLSDSLYL >OB03G37100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21745252:21748546:-1 gene:OB03G37100 transcript:OB03G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGRSRPAPRAAPVRNPPQPARQAPPPAPTQSGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASVTPMGNAAASDSCSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGGATA >OB03G37110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21749537:21753593:-1 gene:OB03G37110 transcript:OB03G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G71840) TAIR;Acc:AT1G71840] MSISGEVPGEGSDGEEVFINEEDIINEIPIDEEDLPDRDEEDDDDGDGMDLQEDDSQYAFRAHTDEIYSAACSPTDASLVATGGKDDRGFLWKIGSAEDVLELAGHTDTVCTVAFSSDGNLLASGSFDGCINVWNTATRALQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRLWDPRTAQSRHVIRGHGYHTDGVTCLSITLDSQTIVSGSKDNSVHVVNINSGQVVGSLDGHSGSIECVGISPSYNWVATGSMDQKLIIWDLARQSIRCTCNHDEGVTSLAWLGSSRFVASGCIDGMVRVWDSLSGECVRAFAGHGDVVQSLAVSADGNSVVSVSTDGSALVFDISMFK >OB03G37120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21754477:21765326:1 gene:OB03G37120 transcript:OB03G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32820) TAIR;Acc:AT4G32820] MFSIAAINESDTGGKWEPLAPTKEAQESALSQKYHEGLLRLQEKNYVKACELLEDVLKDPLISQIQADNVGSDQHLLQLRFLTLKNLASVFLQQGPEFYDSALRCYLQAVELDANDSVVWNHLGTLSCSMGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLITIRDEVACLSVAKLILRSWPSHHHALHVKKVIEDAEPVPFAPRGIDILEPKHAKLKFCNKRNLGDDEMHHETAMKKSRQNAKLQLTEAKWMSLLDGILSYLSSNDTKANDDHGANTESQCSDTKRSVNGFSYNMMDVSLSMDTSKTMESAGGNGHDVYHDGESVLPQDCRTPVKEKDANSDKEHPHERRSTRLERLRSRKSGKDEHESNGKDISHAITQFLDSFILKCTSTAEKIDCSGNGDTSNPEALIYTPDCEASDVKQFLCKISKNCGPLHIGYMLLEEIAKTNIPFQDYFVKFIELDKVTRGWAQDRSAHCSLFLAELYYDQALCSGSPLTSSELSDSSYHLCKVIESVALELPFRTSGGAAKSTDLDLNMENHRKEGCSSDKTKKDTSNFPTVYSGKSVPSNILCNETFECDSSSNINCVFWARFFWLSGCLSLSSDCKEKAYKEFNIALSLLRSSKEAKSNREFVFLPHNKFAKLLTADRILREINLIKLESLLWHNDENINKITHTEFMELLPPLLLSTKDVYVGGAYGPPRESEKVISLELGALDVLISACENAKPMNIQAYLDSHRRKMQVLTVAAGMVGSVNTNDGKKSSDVEFMEAMNRNRLESVVEAVKDVSRNASKAKAFLDQCDSPDGQDGFSSLVSIVGDFQSLLLTIICAAVKMILSRKHSCTGTHQADQLESSCLVDAAIAFCKLQHLDPMISIKIQVDLIVAVHDLLAEYGLCCAGRDGEGEEGTFLKFAIKHLMALDVKLKSQLNPNGMEEDAAEDDRPDDAMTDETSVRDDKHNSEDEEESELEEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYELLVNSPLDNFLDGPDSCEKILCEIYETNGSKEAILNVLFPGESGYEVFKKLSNASSEPYSDVYGNLYHYIAQVEDISASDKYTGFVLKKEGGEFVQQSANLFKYDLLYNPLRFESWQKLANLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLALAKNASDKAQMHEMLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCRNSMKHFEKAFELKSEWLYAFYLGKLCEKLRHSPAKAFSYYNKAVMLNPTAVDPVYRMHASRMKLLYTQGKQNLDAIQVVADYTYKQSTKEHVLSMLQSINIVQNSSSDHNDKCALDSNVEHKFVDPNLLDKVWHILYDDCMCALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRDPEIRRASGDASVEHLLEKMFGVFMDHANLWADISTIREVNSPDLSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMETTGQPAPLSNGLLLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIRNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQAPVEGLQQAPDAVENLELSIPRKLLLWVYSLVHGRYSNISAVVKYCDEMKSRSKRGAPTATTSQQTVQPASQSTVSPQVGSKEKSTHIEPSEAHEAPTGPAVVGAPPQQEVAIASTPQLPTEAQKTGTASASQLTRSSSSRAMDTPQDSSRGNDGTA >OB03G37130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21767285:21767572:1 gene:OB03G37130 transcript:OB03G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMEFAENLILRMMEDPEKRDAAQREHVYRMKERCARTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISQAPGRRDPYDDVLHRHSNPPSSSSS >OB03G37140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21773148:21786522:-1 gene:OB03G37140 transcript:OB03G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDPTLAWIDGDVVSIKDNDVHVQTSNGKKITTNKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDIQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCDNLEKALITRVIVTPEEIITRTLDPASALVSRDALAKTIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLDNYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQICTYIARKQFVLLRRSATQLQSFVRGTLARKSYECMRREASAVKIQKNIRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPLIKETPVLVEDTEKINSLTAELEQLKALLQTERQATEAAKKEYAEAEQRNEELTKKFEGAEKKIEQLQDSVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDVTPISLNLKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMAGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFNFLH >OB03G37150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21802713:21807316:1 gene:OB03G37150 transcript:OB03G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLQKERERARPLPRSSAGAGGHGDNVALMGQSGAPSHSGTGGRYTPKLPENGHIHSSHPQNGALEHNKASKSLTNDAWRTWSLDKGGFSDFQAAEIHSTNSRKSFGHDGIDIVLAQDDVHRPSNGVAVHDYGINDVDSERVDIHARLQNLELDLTTALHTLRSRFDKVISDMSEGDGANVPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGRMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMEKSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVSNNGHENNLLTVS >OB03G37160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21808356:21813758:-1 gene:OB03G37160 transcript:OB03G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRDDEEAAHKLKSMDVDKLENGANGADTPPRPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTMTAGAEGLHPAECGVGEACEKATSGQFAVLFISFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMFLACVLFFLGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVAGPDEVRSAGGGGPAPANPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGKSFQIPAASFTVFAMLAQTLWIPIYDRLLAAAPPQGHRQGRGAHAPAAARHRHRALHGGHGDVGHRRGPEAAHRADAADAGDDHHRRRHLRHVQPVDGAAAHGAGPLRGVQPHQPDRVLLQGDPGAHAERRRRAGLLQPRARQLPQRLPRHHRAPDHRLRQQLAGAGPQQGEARPLLLDDRRHWHLQHRLLHDLRQVVQVQGSSQLRSESLFIWVVN >OB03G37170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21844343:21849078:-1 gene:OB03G37170 transcript:OB03G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCRRVPHASAFISLLCLLAPLCRALSHTDTSPYDTAPLYYPTQIDVDGGERCGVQRCERGRDGVEFLQVSAIIGAVNSKPRADVLVLAEVIEEGALGPVTDVGEFSNTVPLIEVSSSSGSGSGSAAFPGLSSRMTTPGGSSHVARFAMGVAGSAYPTHPVDMVPVRTLQDIHDRQPSVIPRNFAMHSPSSGSQHDGFSYWNMGRFRRNTTAPSFPPSGVAPGNFGKKRNADSNNFLPLKFRKMSGAI >OB03G37180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21851269:21852406:1 gene:OB03G37180 transcript:OB03G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAQSWGGGPSRRDTDDDGEGAGAVRVVAWRRRSARRLRPELATATMGAGAAPGAGVAHCLARFSSENFLLGGVAHLSNSSSFFFRHHFPCLSTGLHRSKTAQHAHPGVGDPEAVHQLEDVARPPGCLGEQLLLHLPIQQRQWRPLHAPNQGTQQRLLLGRSDALGSTAIGYILDFSFASRYACFSYGLLDAMFQSLDEHAALFLIR >OB03G37190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21854170:21858300:1 gene:OB03G37190 transcript:OB03G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTHERQGCLTYDYVTDEAADILVEPDLDMVSALAALAASRPAAAVGVSHRDALQACAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMGASVDLSAAVGSYGTGAGVQESMAVSPEAPAVEESLIAEGHKDEKEVSQAPEIYNDNQPNVADAQNVDDEAPVNPEEFSAEVEQEKFEGDVEEQERNADQQFTSRRPYQNQRGGGGRGGGRRSYQNGGRGGRGGRSMGGGGGGYQNGRGGGGGGYQNGRGGGGGYYYEPGYYQQRGYNNRGRGGRSGGGGNSYYNNQGGGSQGGGHGHPGRVELGANA >OB03G37200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21863683:21868977:1 gene:OB03G37200 transcript:OB03G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACACCVAPGAEAEPRRKEARRAHHEAKKPAAAAATTTTTRQRHGQEPGGREEMPKPRARARAKAKPNPTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAVDFDREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHPARAPNVPLGDVVRARLKQFSLMNRFKKKAMRVIAEHLSVEEVEVIKEMFAVMDTDKNGKVTLQELKAGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRPELADALADDSGHADDAVLDHILQEVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLMKDGSLTMAR >OB03G37210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21876629:21877685:1 gene:OB03G37210 transcript:OB03G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGEDNKRHLLNDLHILDLERMMWEEVKTEKCGPPPRYDHSAAVYADQYLLIFGGSFHSTCFSDLYLLDLQTRHVRPALPHHLQRCRLLISTSFTNPAASMRCGPRWCPRPRTAARTASRFNYLFDLPFDCTANTIPLISSLHHLLLGFQHSFH >OB03G37220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21883443:21884518:1 gene:OB03G37220 transcript:OB03G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYECIDHVHYGDNLILALFLNKSSMIICETNNVGILPYPTFQSDHYKDGMKNMKVTADFICSRPAYLFQVPWPPAVLLDTC >OB03G37230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21886323:21887677:1 gene:OB03G37230 transcript:OB03G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3LRP7] MASLFHVVFFCFFLFLLGVAAQREVLLSVLTTKDGSPADGLFVASLQGAGDDGIHMAMHYHDLSFAGFANRSHHWHVFRGDEGILPDASPLPFRNTYCDLIGGLGNLPELPLGRAPTLRTIQALAYYDADTAGEEETAAVRRAVAALSVVLTQSARLKPVMETVARAWEGEAEACVAPEQLPYIEHWDTMSFELVRRRRTGAWDGPFTELLRRSADVRIVEEEALAIAGVPANRSFTQLRVKTGWISPHVYVPYLYLILSVFVFVFDNIRIRPDPEKKI >OB03G37240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21886497:21887002:-1 gene:OB03G37240 transcript:OB03G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSTMRTSALLLSSSVNGPSHAPSPPPDQLERHGIPVLDVRQLLRRHAGLCLALPRARHGLHDRLQPRALGEHHRQRRHRPPDRGRLLLAGGVRVVVGERLDGSQRGRPPQGQLRQVAEAADEVAVGVPEREGTGVGEDALVATEDVPVVAPVGEASEGQVVVV >OB03G37250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21898331:21929508:1 gene:OB03G37250 transcript:OB03G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADRVTIGVCVMEKKVFCSPMEQILERLRAFGEFEIVIFGDKVILEDPIEIWPKCDCLIAFYSSGFPLKKAEAYACLRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQCTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDADDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLNWIRVPKRNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDESKARLHEIIISNARAKNTNESVEFPWMVDGAGLPANASELLPKMAKLTKEVTAQVKLLAEDEDEKLALTSSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEQLFKVAQVSRLIICNFI >OB03G37260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21912195:21913387:-1 gene:OB03G37260 transcript:OB03G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRQRRCIFLDYTSLFSGNCVLLRQFSLNVVLAPRPSQRASLLVSFDIGVRFMVVICLACAVLATPLRAFFLSCPQIYPPSNKGHKFILAATDYFTKWVEAIPLKKVTSADVINFVKEHIIYRFGIPQTITTDQGSIFVSEEFQQFIAGMGIKLLNSSPYYAQSNGQAEASNKSLIKLIKRKIAKHPRRWHTSLADSL >OB03G37270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21938069:21944997:1 gene:OB03G37270 transcript:OB03G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3LRQ1] MAEKEGGLDAVLKESVDLENIPIEEVFQNLRCSRHGLTSEEAKLRLELFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGITQDQVILMAARASRIENQDAIDTAIVGMLADPQEARAGIQEVHFLPFNPTDKRTALTYIDNDGKMYRVSKGAPEQILNLAHNKTQIERRVHAVIDKFADRGLRSLAVAYQEVPDRRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESAAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVVFFWVAYKTNFFPRIFHVESLEKTAHDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFLVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDVKLFPEKTGYSELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >OB03G37280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21947415:21951696:-1 gene:OB03G37280 transcript:OB03G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVFFPRSVATRRGKRTYAYPRELRHWHVGPTAGPAATATEYERAVFRALSALIGEERDEQLAIDRHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAERAARKGLAINKNDCWSQHNLCHVFQQECRFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTWKVLEIYDHNIMTELEKTDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALKNETIWHVEWLLDLLVLWALSSMGEITRADSLLESLRSRVSSMDTKRQQVMQKAIQLAEGVHEYGKGDHKKVFDILGPDFDALSHKMIGASDEQVDVFNEVWYTVLINSGESSKAIEVLDKQIAKREGAPFLWRLLEKAYTLDGRAADASVASEKADALQAAYSQ >OB03G37290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21952384:21954885:1 gene:OB03G37290 transcript:OB03G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGKLREEIQRLGQKQPDGSCKVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVTYDGELLLQGVHDNVEITLLPPPAVAAA >OB03G37300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21969189:21969719:-1 gene:OB03G37300 transcript:OB03G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCADGVSVVSNYYPPCPEPDLTVGTSRHSDPAFLTVLLQDGMGGLQALLELEDEGDGRRRWVDVPPVAGALVVNVGDLLQLVSNGRLRSVEHRVVANRSREAARVSVAAFCNVDLGCETSRSDRLYGPIAELTSDSGDPPLYRSITVAEFLAHYDGKGLDGRPALHHFRLPATLP >OB03G37310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21974184:21974510:-1 gene:OB03G37310 transcript:OB03G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRLGTTKQWTTKSQHNLHLTQHSPPSAFRPPPWLLPTHHRLSHAAPAAWCLSCTGRPPPMPFAPGRLLPTAHPPSSPFTLWHQPPPHRLLLTSPVTTLAGRRLFR >OB03G37320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21975935:21980327:-1 gene:OB03G37320 transcript:OB03G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKADTQCNGGKGGGPLAAMMWYFNRNYLCIHYAIRDSMTNEGHFSVMGYNPPGLRKLGPDIEKDHDNFFKDFSNTIKLQNGFQRADDDWTGGFFLVLSLKRGLLGASNPFSCYPGHSGSTKLMGSLLVRIKPKLAFTETLGPFSSSLLAAASCRPGVHGDYCCGLLSYNQVNLVVRSRFKYSVLDSYNFEKTTNLNELLTVQWAREIAWVLEIL >OB03G37330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:21981318:21983513:-1 gene:OB03G37330 transcript:OB03G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNNFGELSGMFCGLSYDGYAEHTSQSDYFRFADPQPAIVPQMDAGTSSNASSAVSRVTVNSGADNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKFPPSPDHQMVAWPLDSPSESSTSSCPQSFSSSVTSSNTGGVVDGRQRHNVGRSEHRSFSGHPSQPLVSTSSDVCNTAEVLEDPLITSGRIPEYLFESFPTWDFRRGVEEARKFLPGSDKLVIDLEAGGIAKRQEARKAVSLNGSKSEVLKVKKNKQIEDLDLIEGRNIKQSAFCSDEPDWIEMFDDLLRQTEKKATDLMNIMKTEASKNSQVTQTKGASGTRSRGRKPTKKDVVDLRTILIHCAQAVAADDRRTASELLKQVRQHAKPNGDGAQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAEKIGVPFEYQGIASKWETIRVEDLNIKKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQCPLNQDIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNNN >OB03G37340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22008250:22010490:-1 gene:OB03G37340 transcript:OB03G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGVFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLAVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >OB03G37350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22022587:22023189:-1 gene:OB03G37350 transcript:OB03G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLQPILAAAAPARRSPSFTPSVRSRPSARARARSLAAWTPPPPPRPGAKTKELDAALHAIGFEIEEVSPAEVTGRLLVTPTCCQVSQSTSRRRRSWRVILPPPSPSPAPVTESCSPAAAPRAQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPVHVGRTTQVPVPLRARLIHHP >OB03G37360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22030448:22033387:1 gene:OB03G37360 transcript:OB03G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSEKDKKCSAAEQRALAPREVMMRDDMLVKLEEEKSAIAGKLKWKSEQFRHLEEALKKVQDEFRSARKEWGSDRAMLVDQIGTLEVNLDSKTRMAEEFRSRLEMCSQALAHEEGRRKRLEAEMSELKHMCGNVVSDYEEARSMIESLTAKRDGEIASLRSSLAEKVTLLKEMEYAKARLEQENEDMRTSLKEYQEAQIGAADAAVSLKGLREKFRVLEQTHRSCTEKLRDKEAECKLQMEKLGSDLDACLSQLGSKDMLIGQLQNELLGSYSSLDMQMLQNWEASIALTVVESKLYDSCSYFTAMEVDMQNSRAQLEHNFAAARKQLEENDCAIAQSQAEWAEQVEEIATLHQRIEQLEHIEKEHEEMQRQLDTYKVDSASRHVHCLKGEASEEENSLNEKLQKALGDLDEAYSALYEKKSELSQKEINLHKQKEAMEHLEELKLSMENELKGYRDENNILKRDLIAKTEIEESLREEKEKLLGALNEANFALSEKKCELKQSEIILHQQKQTLEHLEELRINMETEINGYIHENRVLKRDLDDTHIAKLEAEKTYSEEKEKLLCALDEAKCCLSNKKNELDQVTNNLHRQMKAVEQLEESRVDMEVKLRHYMDENCVLKSDLVAALDAKVDAEESLREEKDKLCNIIDERYRNIEELQQYIAALEQENLDKKLDVAALIKSDVDRSIQEVNRKYSEIVEVFDKKLLELETRVGFFEQEYTCREQELMEMFDQEEADWYTLIAEKENTISDIQVIVESAQGDIKSLLEAAVEKLAEVQIEVQQLYGFAENLNSLNLIQEHDNLFKGMLIVECERELEALRVNLALEKEQSSNLKNALEQHKARTTTEMLEKEKKHLEVTNKMKSFEERKEILEEHVVELKSRTKDMCNAFVQERKYLFGELTGLVDTIGAAVHVDEDLMTSLTKLMHKVNNEEAFRNSSSKEMLTSENINAKNSAPLVRNKSVHLPDKRLPLKEHNY >OB03G37370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22036407:22036736:-1 gene:OB03G37370 transcript:OB03G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHSAQELAGGSHSHPCFYDRRRQSCCCCRRRRDDHCAPLPPPPPPMRPLPSMSKNLRPAGVQEADPAVSSAKAVFSDSEKAMEKDTSQDVMVAMELDNRRACWEFE >OB03G37380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22057130:22063625:1 gene:OB03G37380 transcript:OB03G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRSHAPPLLLASCSSSCCRCKLLFLFFFFFVVVFTAPARALPLCTDSRAPVALNGTTLSFCGGGGGNGSSCCGAAADAALRKQFEAMNVSDAACAGVVKSLLCAKCNPYSAELFKSTSKIRTVPVLCNGSTSASSSQSKDSTQDYCKLVWETCKNVTILNSPFQPPLQGGAGLPSSSSKLTDVWQSENDFCTSFGGTSDNQSVCLNGNEVSFSTTEPSPNPKGICLERIGNGSYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGTLQLDEASPFLDLTDEVHFDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSPKCAGRCSCNSDVNCDPSKLGSDNGARPCQYQVVVSEYSAKVSSSNVSEATSANPSEVRRIFTMGLPYTAHHGGQILFGPKDGYMYLMMGDGGNKGDPFNFSQNKKSLLGKIMRLDVDGVQTQSQITNQSLWGNYSIPKDNPFSEDSDLQQEIWALGLRNPWRCSFDSERPSYFYCADVGQDLYEEVDLISKGGNYGWRAYEGPYIYHPEWTPGGNTSLNSINAIFPVMGYSHSDINKNIGSASITGGFVYRGSSDPCLYGRYMYADLYASAMWTGTETPVSSGNYTSTLLPFSCSKNSPIPCESASGSPQPSLGYIFSFGEDSNKDVFLLASKGVYRVVRPSLCGYACPTEKPATNTGSTSPSGSSSLASGKRVGKLAAVMTFVLYALCF >OB03G37390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22067640:22068312:1 gene:OB03G37390 transcript:OB03G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCLLALYHPRNSYILHLDAQAPDSDRADLATFVAAHPVLSAAANVRVILKANLVTYRGPTMVTTTLHAAAAFLWGPLAGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSDIGWKAYVLLTEEQCHIHHSRFVLS >OB03G37400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22070814:22071703:1 gene:OB03G37400 transcript:OB03G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPKLPLQTLPAGRHLLEAAPAEQSCVRNPKWQQRLDVFSPYKFDNSFFLALAYGDGVLTSDMALVRDNRTLPIVREYVRRQPDRFSSGRIARSMIKLSYAP >OB03G37410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22079962:22080326:-1 gene:OB03G37410 transcript:OB03G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVGGSSWCRTGAGGDRQLVAVLLVCVVLVSSASTAVGARPGPAAAGGMGNNAPGPDVVTRPRGDVAGATTTVPPVATTTSAADPYQDSKRKVPNGPDPIHNSAD >OB03G37420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22086287:22087831:-1 gene:OB03G37420 transcript:OB03G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSSFRLLDLVRPFTPLLPEVREPGRRVPFRQKLACTGASLFAFLACSQLPLYGLHRAAAAAGADPFYWVRAILASNRGTVMELGITPIVTAGTVVQLLVGSNVVRVDSASCEDRALLTAAQKLLSVVITAGEATAYVLSGAYGSVGDLGAGNAILIVLQLMFGGMIVILLDELLQKGYGFGSGISLFTAANTCESIVTRALSPATVNRGRGAEFVGAITAAAHLLATRADKLSAVREAFFRGGLPDLCGLAATCVVFLAAVYLQGMRVTLPVRPRNAPRGHHGGAYSVRLLYTSGMPVVLLSSAVSSLSLVSQALYRRFGGNFLVDLLGRWTDAAAGHSVPVGGIAYYMTTPPSMASAVANPLHAALYVVFVLAACGMLSQAWVLVSGLSARDVARQLREQQMVMPGMREASMQRELERYIPAAAALGGVCIGALTVAADMMGAVASGAGMLLAVSTVYQFYESFEKDRDH >OB03G37430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22090956:22091627:1 gene:OB03G37430 transcript:OB03G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVGPTKTFIFLFLLIHRPLSPLSPGLSLSTLSISPLLSRLSPAPGAAAPLPSAAGGRRGDASPRWPAFGAWARRRLPSAANGRHGVPSAAGGSSSAARIEPVAAERARRRISAAIPTERSSEEAPVTEMVARGSPAGEVEGTAMPAAKHVPALSPHLARSERGQLLRTAYCRFFPKVLTRTRLLQRAQGARLGHDFVQLESNLGRGWLGLLAISLHNVCSN >OB03G37440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22092010:22096079:-1 gene:OB03G37440 transcript:OB03G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSCPEGLYLRDVINHLNMVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQQPSSGQFYQGTNGNQKQQSRLKEGARLPLPRETSYSSSPPSVIVREAKLPRRSPSIPSLEEDDSPVQCRVTSLENMSPESEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHDSVVREITEYRQSHPRRSAELQGIAREAMSQCHTPLSIASTRGKSESLESLIRADNNAFNSFRILEEDDIIVPTCPKLRPANVLMQLITCGSLSVKDHENIGLVEGYKPKFPNLKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPADRYSALKRSSSYNAERSNETLDCARPDEDTVNASRTRCLPRTPILSSFLHPKSEALKSPMSDCRRSSSAGPDCSLASSGDGSKRFTDASVAPGSRIESFRKEEKLVKIEES >OB03G37450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22105088:22107563:-1 gene:OB03G37450 transcript:OB03G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LRR9] MWFLNRVARQRNRGSIDWPYPFVEDELFVLTLSTGLEGYHVQVDGKHVTSFPYRVGYNLEDAAILSVNGDVDIQSIVAGSLPMAHPSNAERNLDLLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSSTMARFFVALNGRKKVNEDLKKEADFFGDIVIVPFADSYDLVVLKTVAICEYATRVVSAKYIMKCDDDTFVRLDSVMADVKKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPRDAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKPVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNAR >OB03G37460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22115843:22117698:-1 gene:OB03G37460 transcript:OB03G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDPVTTQWCGRGYETMPMGILTGGGLIPDSVASYTFDEATGAFEIRLSGTCYVWFGSHLVYYEKTVRGRLTYGAIADLSGIQAKKLFLWVSVTGIVAHPDQGTVEFQVGFISEALPASQFDKVPVCGAGAQLRGAAGVIRELGLLPVAEVLEWDCASAVTTQSHAFV >OB03G37470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22119273:22121192:-1 gene:OB03G37470 transcript:OB03G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLAIVLLAVVAGAAAAEAQLASSGGGAAGRGGAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXERLLQRVRLPPRLLRGRHPRPHLLRRHHGPLRDPGQEVLPLGLHRHHRRPPRRRHRRVRGGLRLRGAPGLRLRRPPRLRRRRRRRRPAPPPRPAAPRRRGIARLQKSIELLIRPETPTNC >OB03G37480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22126635:22131536:-1 gene:OB03G37480 transcript:OB03G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDDDAEQVTVSGSIEEAWYDSFAMSESDGEDDFHSVQDDAFSLNGYENDAALSTRDANGGSFNGSAHSSEQHYRKPRSSELSRGNLENGVRSSVSHEDVASVSADDSAHGGGRILDDCGLLPHNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKRSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRYVQLPDIQISSKLPPLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSDAYSKELPPSFIENIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYKPLMTHGA >OB03G37490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22143079:22145977:1 gene:OB03G37490 transcript:OB03G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEVLVGGDDADGDGDPQEGQGESEGFDPDPEEGCLDEERKRELNDKLDTLNKKKHDLVQMLKQILNAEEEIRMRAMQASLRATVPQPSENVADGSSVSRLMPRMTVDVNFSDVAGESEAGSNQGTPGLPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPATFSTASPSRFAATGHQGHATGHPSISLPGINFVASSPSPAASGGSSSVFRDYRPPNST >OB03G37500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22148959:22150384:-1 gene:OB03G37500 transcript:OB03G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAINPAWRSKHSRETCASLFPSLLPLLTNAASPSPPPPPPPAAPGGAAAPAPESPPPPRGNATLSEILPRYGLPPGVFPSSVTAFSLAANGSLAVDLPGPCYVHYEYLTYFDPRVTGVLRYGSLSDLSGVKVRRFLVWFDVVRVKVDLPPPPRFVYLDIGWITRKLPADEFESPHECEPSQKCRLSSALATAAAWFQV >OB03G37510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22153813:22157044:1 gene:OB03G37510 transcript:OB03G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPYPSQGHMNPMLEFARKLASKGVAVTVVLAGLSTQWKARAIGPCVPLPAAGDGATTGGFTYGANLLDPEDACIQWLDAKPPSSVAYVSFGSFASDRSLDEFVEFVRAGAGADAGEKWKALVREGSEAAASEM >OB03G37520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22157399:22157790:-1 gene:OB03G37520 transcript:OB03G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWEKGLQPNMREIESAQELAESLLNAGDTSTSSPPAVVTVAPYIPSFQEQQTFLCFFFPFDCSTSREEPGGVVPASELREAQVNVLQPPWSMSSHSSGST >OB03G37530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22159702:22160394:-1 gene:OB03G37530 transcript:OB03G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFKTLAAGVVFIVVLLLQRAPVLVRATDPDPLQDFCVADLGSEVTVNGHACKPASAAGDEFLFSNKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGVVLRGELLVGIIGSLDSGNRLYSKVVRAGETFVIPRGLMHFQFNVGKTEATMIVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >OB03G37540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22168298:22168990:-1 gene:OB03G37540 transcript:OB03G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLKTLAAGLVVFVVLLLQQAPVLVLATDADPLQDFCVADLGSEVTVNGHACKPASAAGDEFLFSNKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGVVLRGELLVGIIGSLDSGNRLYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >OB03G37550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22175410:22180059:1 gene:OB03G37550 transcript:OB03G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFAPREQYDGVDFCITSPPPWLTTVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHFGTRLPAGMLGASYAYIYPAVAIILSPRFAIIIDPFERFVYTMRSLQGALIIAGVFQAVIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYRERSIVTQFSCRADRSGLIGGAPWVRFPYPFQWGYPIFFVDDCFVMIAASFVSLIESTGTLIAVTRYAGATFCPPSVFARGVGWQGISTILNGMCGALTGTVASVENSGLLALTRVGSRRVIKISALFMIFFSLFGKFGAIIASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGLVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >OB03G37560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22180061:22180918:-1 gene:OB03G37560 transcript:OB03G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEARKREGPIGGRAVKGADETAASAFQAGAKPDSKGKGKVAASTIEPRAPAEAATVSSPGGTPEEERKGKVKGKASGDQSTAPATSGAPREPTEAASASSGGRGKPAIHRIRRKGGTSNSPDGKSVQPASINNSPDGTSAQQSPAADFSSSRRSGGALGKTGETKPPGLVGEKPPAMDSKAITARLITVTMGSARLPSIRSPRQQHAGGQPGGVWVPKAVAPAPSRHFQSFRKNS >OB03G37570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22185599:22186166:-1 gene:OB03G37570 transcript:OB03G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSFAGLKKEGFRLGRAVMPKFYLEDISAEVFEAATATRQQHRERNAGGGWASRPAAQGGALIGRGEQAGASAQQRTADAGGAPAGGAWWRRGGVEAAQGGGGEEVRWGHRASTRGESRSSGVPTGSAGQRKGGGEVARRWAA >OB03G37580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22189885:22190579:-1 gene:OB03G37580 transcript:OB03G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G26360) TAIR;Acc:AT3G26360] MVHVKDGNLERALAIMARKMRSSGIERLIQRRSRTHHHVKDSEKRVLARKALMQRVRSQELGRKLRDILIKKISQESIRFHAHQGWRS >OB03G37590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22192852:22193196:1 gene:OB03G37590 transcript:OB03G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARAPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMARDQVYHGKVKAVIDSLRAAGVKLL >OB03G37600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22196740:22203974:1 gene:OB03G37600 transcript:OB03G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTPAADMQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQKKKVVEDENPEDFIDPDTPHGQKKFLASQMAKQYNPTAVEKSWYPWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDAIIRWRRMSGYNALWVPGVDHAGIATQVVVEKKIMRERNLTRHDIGREGFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVQLYKQGLIYRDYRLVNWDCTLRTAISDVEVDHMDIKEETMLKVPGYNATVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDDRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFTSRVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEILPQQYEQDWYRWLANIRDWCVSRQLWWGHRVPAWYVILEDDQEKVLGSDNTRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLEGLLKRLEEGNLDPNELNIARDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVATMPPICRWILSVLSKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAACRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVTEYPSLVKEWTDDKIENEIDIVLDTVNKLRSLKPTSDTNERRPAFALCRGQEIAATVQCYQSLVVSLSSISSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQNALSQKMEAAGYKEKAPQNVQEEDMKKLTGFLEQLEIISEAEKKLDAKTGNN >OB03G37610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22209177:22211653:1 gene:OB03G37610 transcript:OB03G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEVGGHGFDRREVDNAVSGMGFFASPPCPHAPAFFYVPRAAASAAASTERDVTFACNGDYGAVAGTPAPPTKRAREEGRLRFPGADGRAKKRKVDVDPVVDNCAPGPICQNQTNGDTDASRILEPFRRRRERKRPAAAVQRRPSPERRAATTERHPSADRRPSAERRAAAINRRATAVLSAVSQPSTAMPPPSAVMTPSGNVDVYDGSTS >OB03G37620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22216585:22217407:-1 gene:OB03G37620 transcript:OB03G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGVKLPDPCDGSWAGVRCNDAGRVASINASRGGLVARLSGADLSKLAFLSDLDLSFNGLNGDLPVLPAPPLPRLVSLDLRSNSFFDNPRGFFAGFPALETFAVDDNDMVFPTIPDDVLACPNLRSFSANNAGIFGPFPHYFGNTTLFPALESLSLAGNRLTGGIRDGFGKNSGIKYLDVGGQHDDADGGGRRTLDGRVDLFIPGMENLVEVRLDHNAFTGPVPNAAGLVNLRVFDASYNDLCGVPVFANAGAVAANFAGNPNIGNLNSRQ >OB03G37630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22220446:22223833:1 gene:OB03G37630 transcript:OB03G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAFLYLAGFLSDSSGGSVLTETEKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSDGFSNEYIVITVLVAAEGVHKLPGINSSGDLKTALQKLGAIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >OB03G37640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22224018:22226346:-1 gene:OB03G37640 transcript:OB03G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3LRT8] MECVLGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYFVNILLAGYDSDVGASLYYIDYIATFHKIGKGAFGYGSYFCLSLMDKLYHPDMSVEEAVDLVDKCIKEIRLRLVVAPQNFIIKIVDKDGAREYARRAYTDSPSEAAPVTA >OB03G37650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22227847:22237055:-1 gene:OB03G37650 transcript:OB03G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel D [Source:Projected from Arabidopsis thaliana (AT5G26240) TAIR;Acc:AT5G26240] MLKWLFSLLIGVGTGMAAIFINLAVENFSGWKYAATFAIIQHSYFVGFLVYIIFNLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVQSAMNWCKSGKCGHFGSGGFIIWEISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHRKGKRVKIFEACLISLITSTISFVLPLLRKCSPCPQLETNSGIECPRPPGTDGNFVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFIPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNLSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGYPVVDHGQNGESLVIGLILRSHLLVLLQSKVDFQNGPFPCGPGIMNRHNTSDFVKPASSKGKSIDDIQLTEDELGKYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRQDLLLEENGNNVTTELQSTSVRGQLNGKMHSGSTHLGHPLLDKIMIEE >OB03G37660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22242969:22247239:-1 gene:OB03G37660 transcript:OB03G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVGHPFLEKNASIAIPKANNCGIGSATRRFRCKTMQYLHRSKDSITKAINNKGFIGAHLDRDSEEKQANVALDLSDGVEGYGSPREVLEKVDRVLHDAYAVEAEVGVDVRDGARADAAAAGGWTIS >OB03G37670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22244302:22247826:1 gene:OB03G37670 transcript:OB03G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTSGGGRVRARAVSYIDADLSLDGISVVEDAIYLLEDLARGSIPFDTVAEVEGHVRLFFLRIPVKGRISCVVHINPHNQTIVHQDCYPE >OB03G37680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22255072:22255446:1 gene:OB03G37680 transcript:OB03G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNGGFSPVGARWVDDMGTGAGRGGATQIHDAAAGGDGVIPILLVRPPANELLHYPLPNLEGGTMLKAPRSLTGLLGLAEETSGSAELPMRPSWRANTTRHRRAPRHVLTLCVVIPFRQHCP >OB03G37690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22260009:22261317:-1 gene:OB03G37690 transcript:OB03G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPDPGGGRPPWLLYRHARRQRALRSRLREVGSPRPRLHARSALGREARWRRPIGLSLPLSPRRLSEREAGQSEAGGLTAPARGSSTRLDPSSPPPCCCCYWLALANERG >OB03G37700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22261204:22265579:1 gene:OB03G37700 transcript:OB03G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLAEPRAQGALPSGVPIQQPWWTTSAGIGAVSPAVVAPASGAGISLSSNPVGGGGAQGRDGGDDATGESSEDSRRSAEPKDGSTSQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHYQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELERKLVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNETGAPSEKAEPNKGEQNTGHHRVPPDLQLLHKEA >OB03G37710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22265841:22269706:1 gene:OB03G37710 transcript:OB03G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSDWLQGYQGSNLQRPRMTVWEKRNVKCVVRRLTKGERNEDSVTPAKGLMTKSDGRGWSYEMSDSHLDAYHRSYLLTTTQVQKGKQQVELGTLKQRAPHRAWSIDPRWIPRLRAAGLLPLSRMVEATHDHKKIRDRWRPETHTFHLPVGEMTITLEDVSYLLGLPCSGAPVVPKDIGSAWHTEILDRFGAAHLALLGDYQYKPFISSPNRLCYVPRTVHYVMGALRSRMVPVLATVPLPLWVDVLYYCNYGHMSGLRLDAQSLT >OB03G37720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22280366:22284662:-1 gene:OB03G37720 transcript:OB03G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVSFALRLGGNIMGMATSRVEMLLRVPGEITKFETTLGDIRCYLADADSRREMTVRRWVRELKDVMYDADDILDLCQHVVEDDGEDDAIATPSCWNVSKFCFCNPVASHKIGMKIKVLNQRLDILSKRTSLLNLTPSVVVYAGPSSSLGDWRRTGPSIEQTSIVGEKIEQDTRKLVDLLVNRVNAPARSSNENVTVVAITGVGGIGKTPLATMVFKDNELENHFQKKIWMSVNRDVNEIDLLQHAITNFGGNYDTCKGDIVLLENALEKAVEGKRFLLVMDDVWSDRVWNNLLRVPLSSGASGSQVLLTTRKEGVARGMKAQHLHPVDKLDRFDGWSLLKKQAFWVTTDESEISALEDIGMKIVDRCDGLPLAIKLIGGLLRQRNNTRNSWLHIYNHNAWSMNTTDCLDRAVFLSYEELPPHLKQCFLYCSLFPKDELIRRGDIVQMWIAEGFVQEEVSSLLLEDLGFEYFNELASRNLLQQKREFYDQSACTMHDVVRCFAQSVGKEEGILLTEGQNTRIPTSRTLKLRQLSVSKKEVDWVALKKQVSLRALMLNKNSMVDSNDFLNSLSSLRVLNLQNIVDLVELPQSICHLKHLRYLAVAGTSISTIPRNIGDLKFLQVIDLADCAKICELPQSILKLQKLRFLNLRRTMITSIPQGFGRLEDLVMMGGFPTYSSDDGTNGWCSLEELGTLSKLKILEITSLEKASSGSMAAKANLSSKPLLTELYLMCTSRLRNDGELESNISKKEQEKIKEVLGNLCPPESTELLTIGGYFGLELPQWMEMMSTFINLTRLELTNYACCVKLPSGMGELPFLDHLWIENAPAIEHIGHELLLPPLHGSTVAFPKLKTLGFKKMWKWETWEWKDQVRAMPVLERFSISNCKLKYIPHGLACQARALKSLYLESVRHLVSVENFPSLVDLQLIENPKVEKISNNPSLKNIYIWECPRLSVLEELPSLNSIYWWDLTAETLPEYFGVPMLKKLFVHCNQGLLRLLSLQDTTSEWGKIQHVSQVKAYGCTLQIDLSGYTLPTGLSGYNFLREVIDLSGYVSYTKEPYSFEVRTYGTSEQAQRYMVSIAAIVHISLHVIPLCLRMFLILLNQSCSIYTKSEFIVSSC >OB03G37730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22295575:22297223:1 gene:OB03G37730 transcript:OB03G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3LRU7] MDANYEKVVECGSGDGAGCRGPVRTICVFCGSRRGNRPSFSAAALDLGKQLVERKLDLVYGGGSGGLMGLVSKTVHDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLSLFDKAVEEGFIDAAARNIFVLAGAAGELLDKLTEAAAAAAEGGGGDQLDGVAAATAAGLKRKRS >OB03G37740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22300006:22305974:-1 gene:OB03G37740 transcript:OB03G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 9 [Source:Projected from Arabidopsis thaliana (AT2G40090) TAIR;Acc:AT2G40090] MSMENGRRIAQSRQTEKFRWSRGKSIKICTHLPPRLLRDTATAATVAFDYQYSLWGLEPGTPAWLSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSYEQVCQVFGKDMGESPETVFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGLIVNTLHYIFPTFDYRWLVDEIRESAPKELDFLNEAKNSEKCLQNFRRLSPHIAESIYVPKVYWTLTSSRILTMEFMDAKEVTDVNGIKQLGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRPLPQDSRKTFGWRRPQLILLDHGLYKELDYTTRISYASLWKALVFADAKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPSVDHLVLDGNNTDRSEVQMYASLYFSQISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSLESFVIIGRISSEAVLEAKRRSNRSFLNKLIIWLEEILLEARFFSLKLVLCFMQLKKLLAS >OB03G37750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22307162:22310217:1 gene:OB03G37750 transcript:OB03G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:J3LRU9] MGRLFVMHLEGKVYSCKHCHTHLGLTTDIISKSFHCKHGKAYLFNKVVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYWVTHDAHVGGSDVDDV >OB03G37760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22316561:22319165:1 gene:OB03G37760 transcript:OB03G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEISGPIRSLLACSFDPTSPDERHADLRARRRRRRRGRCDQEECGAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAALRLLLSLPSVDVNRRCGSDGTTALHCAASGGSPSSVEAVKLLLAAGADAGATDASGCRPADVISVPPKMFDAKIALQDLLGCPKTGHGVLRVVTRAANPMLSPVSSPTAEDARSPSAAVMMTTKFADLPRVVTSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDIDSQLINDLCYSRLGSSTGNHTSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGAMFSPSHKAAILNQFQQQQQALLSPINTVFSPKAVDNQQLPSHSSLLQASLGLSSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGIVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPSVSNGEVSSLNTQLDGHDQAAVLGALLEQMQLDQHIGSLAT >OB03G37770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22321838:22324563:-1 gene:OB03G37770 transcript:OB03G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT4G22330) TAIR;Acc:AT4G22330] MADSMVSSFWGPVTSTIELCEENYAHSSYIAEFYNTISNVPCVLLALVGLFNALRQRFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFVRFQVVFKLHYIGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLSLATLCWLFDRMFCKKLSHWYVNPQGHAWWHILMGFNSYFANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPPKRE >OB03G37780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22336435:22337606:-1 gene:OB03G37780 transcript:OB03G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:J3LRV2] MQASTRATYSPLLPTCHRSRHLYMPTWPPLLVSPLHLDHRLFACVFFFFSLVAGCEAGAGGGIGAMADRDRAGQYYQQQRGQVGEAVKGILPDKAPSASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLLFSPVLVPAALLIGLAVAGFLTSGALGLDDFNIK >OB03G37790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22339786:22343434:-1 gene:OB03G37790 transcript:OB03G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27640) TAIR;Acc:AT3G27640] MATRPRSSPXXXXXXXARGRGGARVPRAAAARLPYLADLSSDPGGRGSGVIAVEHDGDRAVPFAISFCKAKQISHLLAVADEEGYVGLYDTRRRLPSSSSSLEKSAETKTVDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFALWDLRCDPKTPKGHREACLMSSVIVKQAHSPTQGNRTRCRAKAVSTSITSVLYLKDDISIATSGAADNIIKIWDTRNIKLSLINRSSQAQPLEGVKHGISCLSQDSYGAYIAASCMDHRIYLYSVLHMDKGPIKVYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPESGPIILEGHEGEVTSVDWCASEIGKIATTSDDSKVRVWNTERRVSPKISSPTVIRKRITAPNTACRSASHELATTSRDVPCTSTDGELPTGARSPLQPRVLEFGTPESAKKRAFGLFQEDSLDMRKSPGAQMNSPSSVLSPPPSLKRRTIRDYFASSTS >OB03G37800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22344595:22350302:1 gene:OB03G37800 transcript:OB03G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRSKAVKYLQISLATFRKICILKGVFPRDPKKKVEGNHKTYYHMKDIAFLVHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKVTWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSESAGLIEDKEDENNKESSKTDESEIRLAQLQHQLPTNEPGALMHLVQESTATDAEDADAKECKSLFRNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYFVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQNQVLPLPSIGDEDMENSLVEAIIDRSESNEVADKKRKLEMLEKQYHDELKMECEGMTFSNRTTDNPPDVMDKNDGKQAEKDAADISKALMSRKQRGLLQAIEIHQERKRDKVSLLKKRKKNADSSASAKGR >OB03G37810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22351167:22354832:1 gene:OB03G37810 transcript:OB03G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3LRV5] MPFSALCLDPAASLSFLPAAARPPAPCAVAPRSXXXXXXXXXXXLESLSQVAGVLGTQWGDEGKGKLVDILARRFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQTVDGLREVELGNSLIGTTKRGIGPCYSNKVTRNGLRVSDLRHMDTFGAKLNTLLTDAALRFEGFEYSTKTLKEEVEKYEKFAERLGPYITDTVHFMNQSILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRNIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEVKLGTSYCTEDGNSIESFPADLDLLEKIKVKYEVLPGWEDDISSVRSYSDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYR >OB03G37820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22355352:22359182:-1 gene:OB03G37820 transcript:OB03G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03150) TAIR;Acc:AT1G03150] MTTIRRFCCDDLLRFSSVNLDHLTETFNMSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >OB03G37830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22363158:22363505:1 gene:OB03G37830 transcript:OB03G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDHGIYSRERLLIPISNPEILLGSTCYIEMDYNARREVAVFYPEGRPSGKAESLTSAAAAERRSRRILESVKRSLHTDDGTAAYYLSVTEGDPRAAMMEYSEDLRWEQQQTGH >OB03G37840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22364610:22369453:1 gene:OB03G37840 transcript:OB03G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LRV8] MLGGLKDKLTGKNANKIKGTAVLMSRKILDPRDFTASLFDNIQELVGNSITCQLVSATVTDPNNGGRGIVGSEAKMEQGLSNLPSLTHAESNFKVRFDWDMDKHGVPGAIIVKNHHSTKFFLKTIILNDVPGCGTIVFVANSWIYPLEKYHYNRIFFANNSYLPSQMPKALSPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRSPTKKDPNSESRLSLLDQIYLPSDERFARLKMSDFAGHSLKAIVQGILPAIRTYVDLTPGEFDSFEDIMKLYKGGLKLPNIPALEELRKNFPVQLIKDLLPVGGSYLHKLPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDNNRLYILDHHDNFMPFLIEINSLDGIFTYATRTLLFLRGDDTLKPLAIELSLPHIEGNLTTAKSKVYTPASSGTESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVAHPLYKILQPHYRDTMTINALARQTLINAGGIFEQTVFPGKHALAMSSAVYRSWNFTEQGLPDDLIKRGIAIKDPSSPSKVQLLIKDYPYATDGLEVWQAIEQWVTEYCAIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQTVPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRPMPDPGSKEYTELEQNPEKFFIRTITSQFQTILGISLIEVLSQHSSDEIYLGQRDTPEWTSDLKALEAFKRFSRKLVEIESKVLSMNKDPRLKNRVGPANFPYTLLFPNTSDNKGAAAGITARGIPNSISI >OB03G37850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22370014:22370238:1 gene:OB03G37850 transcript:OB03G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGRPGGEAEEGVVLNLRKRRGGGSRRGLRAHAPTQGRRRRRRRHHRGILGEADRRSNWGGILSLVSYAKPQ >OB03G37860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22370899:22371204:-1 gene:OB03G37860 transcript:OB03G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSPRAVAVAACVVVLILLSSAVEPLQATAAAPKTKPFPCSKCDHACKKSCKGNGRDTSCSAPCGDPSNKAGCKSCLKAYYVKCLNYCGQGCRAVCIN >OB03G37870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22379626:22379904:-1 gene:OB03G37870 transcript:OB03G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRAAVAVAALCAVLLMSSAAEQAAAQVPCSKCDEACKESCKGYGRDGSCSAPCGDPSNKAGCESCLDAYYAKCRNYCGQSCSVTCTSG >OB03G37880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22383769:22383978:1 gene:OB03G37880 transcript:OB03G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFIPYVLLFKHISVCLLTCHNTICLLRATPTALYPNLLFLFFWQIVKKLHPTVCYSGFLNFSNLSYPL >OB03G37890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22388787:22394058:1 gene:OB03G37890 transcript:OB03G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LRW3] MLGGLIDSLTGSSKQSRLKGTVVLMRKNVLDLNDFGATVIDGLGEFVGKGVTCQLISSTAVDQNNGNRGKVGAEANLEQWPTSSLPSLTTGESRFGVTFDWEVEKLGVPGAVIVKNHHRNEFYLKTITLDSVPGRAGAVVFVANSWVYPAAKYRYDRVFFANDAYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEPDSGNPRPILGGSPDRPYPRRGRPGRKPTTKDADSESRLPLVQQIYVPRDERFGHLKMADFLGYSIRAIAEGVVPAIRTYVDTTPGEFDSFQDIVNLYEGCLRLPDVPALQELRKRFPLQLIKDLLPASGDYILKLPMPHIIKQDKQAWRTDEEFAREVLAGLNPMMITRLTEFPPKSTLDPSKFGDHTSTITAAHIENSLEGLTVQQALDSNRLYILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRGDGTLAPLAIELSEPLIQGGVTAAKSTVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQALPNDLIKRGVAVEDATSPYKVRLLIEDYPYAVDGLEIWHAIEQWVGEYLAIYYPDDGVLRGDAELQAWWTEVREVGHGDLRDAAWWPRMQSVSELRDACATIIWTASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYAELGRDPERAFIRTVTSQLQTIIGISLIEVLSKHSSDEVYLGQRDTPAWTSDPKALEAFRRFSSRLVEIEGRVVGKNGDPGLKNRNGPAKLPYMLLYPNTSDVSGAAAGITAKGIPNSISI >OB03G37900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22409449:22416290:1 gene:OB03G37900 transcript:OB03G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LRW4] MQVQGFFDRLTGRNKEAWKEGRIRGTVVLVKKDVLDLGDFHANLLDGIHNILGHREGVSFRLVSATARDPSNGGRGKLGKPAHLEELVVTLKSKAAGESVFRVAFEWDESQGIPGAVVVTNSNRSEFFLKTLTLEGVPGKGTVVFVANSWIYPADNYNYERVFFANDTYLPSKMPAPLIPYRQEELNILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSEQARPVLGGSQELPYPRRGRTGRAPTETDPNTESRLPLLNLNIYVPRDERFGHLKMSDFLGYSLKAIIEGVLPIIRTYVDTTPKEFDSFEDIMQLYEGGLKVTNASALAEIKRTIPIDLIKSLLPVAGDQLLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVMIERLTDFPAKSTLDPNVYGDHTSKITEAHIKHNMEGLTVQNALKNNRLFILDHHDHFMPFLDKINKLDGNFIYATRTLLLLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPADTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINAGGIFEKTVFPGKYALEMSSVVYKNWKFTDQALPVDLVKRGVAVPDPTSPYNVRLLIKDYPYAVDGLVIWWAIEQWVGEYLAIYYPNDGVLRGDEELQAWWKEVREVAHGDLKDRDWWPKMDTVQELTRTCTTIIWTASALHAAVNFGQYPYAGFLPNRPTVSRRPMPEPGTEEYAKLQRGGDEADLVFIHTITSQFQSILGVSLIEILSKHSSDEVYLGQRDTPEWTSDARALDAFKRFGSRLVEIENRITAMNVDPALKNRNGPVKMPYMLLYPNTSDVTGEKGEGLTAMGIPNSISI >OB03G37910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22421565:22421789:1 gene:OB03G37910 transcript:OB03G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding FASCWRSKSVIGNTSLFSKAFGGGFVQLSIYMVHARAATGCGGWSLDQGQPRSGVSSWGVFMVLWMFTSFGGFD >OB03G37920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22421526:22428379:1 gene:OB03G37920 transcript:OB03G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRGIESLATGDGRHHLSRTLGPVLLISMGYIDLGKWVVTIDAGARFGYDLVILVMLFNFSAILCQYLSICISMVTRKNLAEICREEYSPSICVVLGIQAVLSLLTAELTMLSGIAVGFNLVFEYDDPIAGLCFASVVVNLLPYTMAYLGKRMAGTLNACIAGFALLCFVLGLLVSQPKIPVDTNAIFPKLSGESAYSLMALLGGNIIAHNFYVHSSVVQAQRQSTTLSLGALFHDHFFSILFIFTGVFLVNYVLMGSAAVESNNTLVAFQDAVDLMNKMFMNPVAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGVNLPHSAHHLLLKFVAMVPTMYYAKIAGSEGIYQLLIICPVVQAMFLPSSVIPVFRVSSSRVIMGRYRISLYVEILAFLAFLLMLFTNIIFAAEILFGDSTWTNNLKGNTESPVVVPHAILVLISCATIAYTLFLAVTPLKSASNEPETQELSEHSQREDPDTTHHREELSLENAEQEEVHSASTINTIPSVPSESCQTSVLEHDDYSDINVESDHGAQQLTDFVPTIPEVSPSIKHEEPKSAHAVDWTEPVAKACTATVVEQNTAENIKMKSMISQDVKEEAEDSMNCDAEASYNAEFRKSAGNKAPPSASPGPSSLTLSKGRDSDAGYRSGNHPRLPGFGRAVRRQLAAILDEFWGHLFDYHGKLTQNANAEGFNLLLGPYSKTVRTDNQAIKASKSSFMKDAIRGSATIQKAWDSYDKEASSPGFNFGLQMGPIGSSNWSESMHPSNADIPRSTSSLFGQNTQFYLNYNVPSYPDNQSYQPATIHGYHLATSLKGMNASQSSHSSITLDPRRLPKSSDSAVSSYADSVKCTRNQDVIGSLGTTSLQNTATNRLNTMTVERYYYNPTSVNEIEGVGSSAYSKKYHSSPDISALIAAGRNYLPNEVNLRGDAGNRSYLGNLACERSPCVNMGTRSTAQLAVSEHSQPNFHRHTSSMQSSMNPRTESLWTQQPFEQLLGVSRPELHKGEGNTNQRSSGVTKDDFSPTEYEAKLLQSLRFCIMKLLKLEGSGWLFEQNSGCDENLVDQVATAERISQNITENQLFSDLQIQSSDENLQPLRRNNNRDADGMRLLHKCGDDCVWQAPLLVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPRKPVKGCVCLQKVARPISGTFTTAAMILEVIKDVEQAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNK >OB03G37930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22432782:22442047:1 gene:OB03G37930 transcript:OB03G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLRRLISPGSQAFVYPALSPATQSSLRALLLSAASAPGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASPSSPSALQESALNILARLASQLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFSAEEWKRRHAALVTIAQIAEGCAKVMIKNLEQVVGMVLNSFQDPHPRVRWAAINAIGQLSTDLGPELQNKLHHVVLPALASSMDDFQNPRVQAHAASAILNFSENCRPDILTPYLDGIVGKLLLLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKSQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICASMLESLNESIQLSGTLLEEGQVRSIVDGIKEVITASVLRRTERTERAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTPMLAKDKTVEERRIAICIFDDVAEHCREAAVRYYDAYLPSLLEACTSENPDIRQAAVYGIGICAEFGGSAFRPHTGEALSRLHNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDGIDASQVVPAWLSCLPLKNDLIEAKIVHEQLCMMLEKSDRELLGQNNQYLPKIISIFAEILCAGKDLATEQTFSKMVNLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLSS >OB03G37940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22443971:22444210:1 gene:OB03G37940 transcript:OB03G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILRHDAATNRSVSPEFLAFCSTRRDCRSLPLAKLLNSTDLDGSVGYFLWQKVISLFTDCRYFLVVSSFMMCATLLV >OB03G37950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22448227:22451719:1 gene:OB03G37950 transcript:OB03G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32150) TAIR;Acc:AT2G32150] MAAPAATSSPFDCVLLDLDDTLYPGSAGIGLATKRNIDEFLMARCGATAERAAALRVELFRSYGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIAEDPQLAGLLRSIPQRKVLFTNSDRAHMRRALQRLGVDEGCFDAVVCFETMNPHLFGEQAPCDCSGVDRSAVILKPSPDAIVAALRVAGTNPHRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGSLRRAIPEIWGGIAVAGEQLDHGAEKGMRPDLSSIIQPTSVLA >OB03G37960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22454953:22457508:1 gene:OB03G37960 transcript:OB03G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLNYLSLEYDSVWDTKPSWCQPWTILLSGAVAIAGSWLPIHSVVITTGVSFVICAWWYIFLYSYPKAYNEMIAERRRKVASGAEDTYGMEKIQ >OB03G37970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22457985:22460351:1 gene:OB03G37970 transcript:OB03G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAARTKPPGPPRRRAPGAQPVAESKPAKARPPVTLLLKSLRSFKFRLAGGPPLAPTPKAFKSYAETCASILRLCSADAASSSSNPSTFSPSTLPLVVLSVHAHALVSGLAADGSVASHLLTAYAAFARAADRDRAFLDCVSSGAASSFSYDFMVREYVKAGDIASARRLFDGMPERSVVSYTTMVDALMKRGAVRDAVELYRQCPLCSVPFFTAMIAGFVLNEQYKDALGVFHEMLSCGVNPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLFEKNLGLRNSLITLYLRKGEPGAARRMFDEMEMRDVVSWTALLDVYAELGDLEGARQVLDTMPERNEVSWGTLIARHEQKGNAKEAVSLYIQMLADGCRPNISCFSSVLGACASLQDLSSGASIHAQTLKMAFSSNVFVSSALIVMYCKCKQLPDAQRIFNSLPRKNTVCWNSLISGYSYNAKMVEAEKLFTKLPARNAASWNSIISGYAQNRQFVDALKSFHAMLASGHTPGEITFSSVLLACANLCSLENGKMAHAKIIKLGVEESVFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEEFILLFEDMISAGITPNEHTFLAILFACSHRGLLEHAMHYFKMMQACGISPKAKHYTCMVDVLARAGRLAEAEDLLLSLESKSEANSWAALLSACNIYRNKEIGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQTRGQYQAFFSWETKHPLLLDVYEMLDLLTWELTA >OB03G37980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22462752:22462923:1 gene:OB03G37980 transcript:OB03G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >OB03G37990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22465004:22465773:-1 gene:OB03G37990 transcript:OB03G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVALLRRARWALVYELGDTLLILLGRRPLTLLHVYHHAVVIVMCYLWLATRQSLMPIALATNATVHVVMYGYYLCCSLGLRWPPRWKRAVTELQIAQFLFSFAASVVMLWRHFAAGGCEGMGGWLFNAVFNASLLALFLDFHGAAYAAAKGKKKSRSEVVKGD >OB03G38000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22469575:22470030:-1 gene:OB03G38000 transcript:OB03G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSHKKKRPSGPSSSINAKAQSSDKKPKIPKPTEAAAAVEEEEEPKKKATNEIDEIFQATKSSGKKRKAQEGSVGGKKPKERPEGAKKGKKGRKERGADDDGDDDEGEEKLPRRRTADGLAIYSAVELGFGKADAGGTPLCPFDCDCCF >OB03G38010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22472605:22475323:-1 gene:OB03G38010 transcript:OB03G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVLPPPVDGEEAERLRAENAILRARLADDLSLLRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEIVRDESMHQQTELSPGNMTELDVGDIPYAEGGGKNGSWVLVACDNPGTNMEEISGIDNENYVLVHDDDVIESMATFIARCILEDPKSKSISPVELQKAVAMTLSNLNDKWKWTSIWEAGKVIYILATWGITIVGLYRSRHVLKIAAKGAIVSAKFVMKAL >OB03G38020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22476527:22480237:-1 gene:OB03G38020 transcript:OB03G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEQLDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLSHQVTVGSTVSINLPVVNDVFSRNRAIIIPHTCPLARIRPLTGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHQLELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHISLVASVVKADSLREFRTPDFHPAASDDNFYLKVQVKDTGCGISPQELPHVFTKFAQSQHGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDADFVGSVPNAPNEEKGQASLKYRYQRSI >OB03G38030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22486839:22492681:1 gene:OB03G38030 transcript:OB03G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGTGTFKGVDGSVYKGSWRMNKKHGIGTMVYSNSDIYEGLWNEGLPDGLGTYTWADGNIYTGRWKSGKMSGRGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGVFYEPGSKLPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRSFLHRPRRISNGTTPIFYDNSGNHLRQDVSSKSADDQCLQDDEVDKDLVYGREYVQGVLVNEQPRNKDSRMSDIAQENMWEKQARGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKGGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWRDALFRQIALDCMFLESQSIIDYSMLLGIHFRAPNHLRSITSYHALESSGISPQTDYSVALHHEEKISSKGFLLVAANEPGPTVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVHEDVNVEVVENVDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFVSFLEKVFPERD >OB03G38040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22492933:22498571:-1 gene:OB03G38040 transcript:OB03G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein-protein interaction regulator family protein [Source:Projected from Arabidopsis thaliana (AT1G15200) TAIR;Acc:AT1G15200] MAAATEKTAEDIRRELQELQRQHREISERLRDPRGLRRGAPPAAAGPGGPRPLRAFPRPAVDLTEQSAPKRRILSAVVKVEDTEAKEDVKKDAEAEGPEGVSAAVQGGERRDPGFRRDGNQRLPRRELDMPLPEPLPREFPKDEDPSLVKRNRRMLGKLLVGTLEKFQQEDKKLSNTEAYMRRSETQRKADQKAREESERLRQQEREQAVEKRKRDMMLRARVAAKAEEKRLELLYMQWAEHHKRLSNFLRTNAEPPIYYMPAKPIIDDPAIAEENKEKAFEEWKSVRRAELTQFQKQVEEQYMSNVERQLERMQNARNARRGNGPANMQEMDKELDTHRAEHGPKTRRVPEDGNDDEEDVEDMAAEDELMDDEVLGVNEPINEDPTKLSEEAADGAPVTEEAQ >OB03G38050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22505369:22506594:-1 gene:OB03G38050 transcript:OB03G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELHRIAFDGLGVSFSEFLRTASADWVIVDVFHHWGSAAAVEHKVPCAMLLLSSAHMISSISERRPESAESPAAAGEGRPAAAPTFEAARRKLIRKKDSSGMSLAERFFLTLSRSNLVVVRSCAELEPETVPLLSTVRGKPVAFLGLMPPSPDGRRGGVSHEGGEDDPVRWLDAQPAESVVYVALGSEAPLLVEKVHELALGLELAGTRFLWALRKPAGVSDADLLPAGFRERTGGRGLVATRWVPQLSILAHAAVGAFLTHCGWSSTIEGLMFGRPLIMLPISGDQGPNARLIQAKKAGLQVPRNDGDGSFDREGVAAVVRAVAVAEESRRVFRANAKKLQEIVADMACHDGYIDGFIQQLKSYKD >OB03G38060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22506464:22507211:1 gene:OB03G38060 transcript:OB03G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSALAVRKNSEKETPSPSKAIRWSSTMSGLSWGTSLVDSAPSGSPSTRGSGSATKETTGASAGRTGGRRDRFRGVDTNDTRWPRDARRWARSRHGSRCPKASHGQITTCIIPAARAEERPE >OB03G38070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22509409:22510457:1 gene:OB03G38070 transcript:OB03G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLDQEKKTWEKSRMKVTSQTGQTVPCPLNPPLRCIRSLPHRALCHSPTTAVPLFLTNPSCISRHLDEYERTPFEVTTPRHPAPSPSSFASSSAVVRPTESEPRNVGGEERGCSGRDPFGFTTCCRR >OB03G38080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22515159:22516553:1 gene:OB03G38080 transcript:OB03G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LRY2] MENGSSPLHVVIFPWLAFGHLLPFLDLAERLAARGHRVSFVSTPRNLARLRPVRPALRGLVDLVALPLPRVHGLPDGAEATSDVPFEKFELHRKAFDGLAAPFSAFLDAACAGDKRPDWVIPDFMHYWVAAAAQKRGVPCAVLIPCSADVMALYGQPTETSTEQPEAIARSMAAEAPSFEAERNTEEYGTAGASGVSIMTRFSLTLKWSKLVALRSCPELEPGVFTTLTRVYSKPVVPFGLLPPRRDGAHGVRKNGEDDGAIIRWLDEQPAKSVVYVALGSEAPVSADLLRELAHGLELAGTRFLWALRRPAGVNDGDSILPNGFLERTGERGLVTTGWVPQVSILAHAAVCAFLTHCGWGSVVEGLQFGHPLIMLPIIGDQGPNARFLEGRKVGVAVPRNHADGSFDRSGVAGAVRAVAVEEEGKAFAANARKLQEIVADRERDERCTDGFIHHLTSWNELEA >OB03G38090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22523255:22524582:1 gene:OB03G38090 transcript:OB03G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LRY3] MESASSPLHVVIFPWLAFGHLRPCLHLAERLAARGHRVSFVSTPRNLPXXXXXXXXXEATSDVPSEKFELHRKAFDGLAAPFSAFLDAACAGGKRPDWVFPDCMHHWVAAAAQERGVPCAMLIPCSANVLALPDPPPESSMDHSEAIDQSMVEAQWNTEDYKTVGASGVSLNARLILTLKNSELVALRSCLELEPDAFTILTRIYSKPVVPFGLLPTRPDDGVRKDGEDDETIMRWLDEQPTKSVVYVALGSEAPMSADLLRELAHGLDLAGTRFVWALRKPAGVDHGDSILPEGFVERTGERGLVITRWAPQVSILAHAAVCAFLTHCGWGSAVEGLQFGHPLIMLPIASDQGPNAQFLEGRKVGVAVPRNHADGSFDRSGVAGAVRAVVVEEEGKTFAANARKLQEIVADREHDERCIDGFIHQLTSWNEPKHNSSDQN >OB03G38100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22524051:22524527:-1 gene:OB03G38100 transcript:OB03G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSMHLSSCSLSATISCSLRALAANVFPSSSTTTARTAPATPLRSNDPSAWFLGTATPTFLPSRNCAFGPWSLAIGSMISGCPNWSPSTAEPHPQCVKNAHTAACASMLTWGAHRVMTSPRSPVRSTNPSGRMLSPWSTPAGFLRAQTKRVPARSSP >OB03G38110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22527255:22528314:-1 gene:OB03G38110 transcript:OB03G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFHPPPRHQIKAPKPTWIILKVTPPPRDGAKKLAVAPAPAYSPLLLSPPVWQRAQDAKNSNADGGDPLPASPRIGCMGQVKGRPRRCSGARGGGRLAALGDSAHGGGKRLVEQLTLGLFRRWRGRGRTSSRACSKVRDARSCSSAMCTLDPPLPVVKRPAVNENDNSPTADRRSPGVGANSTRWICSNLSHPSNPVSEINLSLI >OB03G38120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22535422:22539903:1 gene:OB03G38120 transcript:OB03G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNIIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWYLLEKPEMDVSQIQFNYWIFFFNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADNAPDRATKDKKISNIYKPDNFTDANDETTVGSLASEGGVDEEAPLIPSSRLSYVTRTQTSSFNSR >OB03G38130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22542608:22543924:1 gene:OB03G38130 transcript:OB03G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETVIKHLNKEQEADQSNFRDPSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEEGVYEDSD >OB03G38140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22544233:22545252:-1 gene:OB03G38140 transcript:OB03G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKIVALAAAMAAAVALSFFFRFRCTHLPTPFMANAGRQLIVVSNQQEVGEEMDLADGNVQLLCPGDGXXXXXXXXXXXXXXXXXXXXPALTLPAVHGDEVFEVLCSYRGANRCWAHGVRVFGNPGHDNLFCSEHAGGCEVRFRKDGGVEKQYGSTSTPPIFMGFVPDFDNARDGGCASASCVGRTVNRVIGQESCCDDSCGGWEKASPKK >OB03G38150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22545593:22549835:-1 gene:OB03G38150 transcript:OB03G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGRGPSIWDDFAHTPGNVAGNQNGDVATDQYHRYKEDVNLMKSLNFDAYRFSISWSRIFPDGEGRVNQEGVAYYNNLINYLLLKGITPYVNLYHYDLPLALEKKYGGWLSTKMADLFTEYADFCFKTFGDRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQRGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLIQQTPTSYSADWQVSYVFAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLSQLKKAIDEGANVAGYFAWSLLDNFEWLSGYNSKFGIVYVDFKTLERHPKASAYWFRDMLKQ >OB03G38160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22553110:22557857:-1 gene:OB03G38160 transcript:OB03G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAAHSLPGAVRRRLLLLVVVALAVLGGGGDRVRAADDAGGLSRAAFPKGFVFGTATSAFQVEGMAASAGRGPSIWDPFVHTPGNIAGNANADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGRINTEGVAYYNNLIDYVLKQGLIPYVNLNHYDLPLALQKKYEGWLSSKIVGVFADYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDAGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKYQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTASYMSDQPAPQQAPTSYSSDWHVSFIFQRNGIPIGQQANSNWLYIVPTGMYGCVNYLKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKDMLQASGPTTKSGSVTSGAQVGSATSGSHPVGSATSISHRLLLPLLVSLHFLLPAIFMFPSL >OB03G38170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22559435:22564176:-1 gene:OB03G38170 transcript:OB03G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSVLIIASLLPFSASDRQGDALYDMKLKLNATGNQLSDWNQNQVNPCTWNSVICDNNYNVVQVTLASMGFTGVLSPRIGELEFLNVLSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASLGQLSKLQIMILSQNNLNGTIPDTVARISTLTDIRLAYNKLSGSIPGPLFQVARYNFSGNNLTCGTNFLHACASSVSFQGSSHGSKIGIVIGAVVGAIVVLIIGAVFIIFNGRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFSEKNVLGQGGFGKVYKGSLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIFNQEAVELSAGR >OB03G38180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22571946:22577490:-1 gene:OB03G38180 transcript:OB03G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQKQQGGGVVRVDQASPASSFRELDDAFLQTQTKIWLGEVLHLRFDEDAIVADLLADGELLFQVSKVVWKRLLRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARMMRLSVPDFDVVTHTIAMPNYIVGGIRRSLEQPQCSSSGSSGYSPRANSKALHQQRVFGEQNDQQCDTHYDSDEAESKLSALEPEDSVSEYNISALLKSGNVPKEEREGYSDSGHDMHEEKLLSESVGSIDFDNVDSDSVGSTPSIRKNESYCCIESPTDQCSRTRTIRCSLSSEESDSISSRLEVDSSKDNFTVAKRIHGEHAEPVQGNGKRSANHPEKEIDALQKVTFDQQCDLLACDGESVCSNCDEPYLHSTPRDNTGVKLPAVSEDDGACRGLEPEFSYGNETDISQKEDKQVESEYIAENDNSAQRNENDVPKSGKGVLKSVAGGITLVGAVFFIVHLRRSKDRSLTGVIPPVSEKSVQGNSRANNVEKTKAGTVYPGEWLKV >OB03G38190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22585580:22590982:-1 gene:OB03G38190 transcript:OB03G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPKKTYGPQADIWSLGCTVLEMLTRQIPYPNVEWTNAFFMIGKGEGPQIPSYLSKDAQDFISQCVQVDPEKRPSASQLLSHPFVNRPLRASFESSSPPAISSY >OB03G38200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22619133:22622419:1 gene:OB03G38200 transcript:OB03G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRDIEAAGAGGSDDSPAAKRGKPEAAGARPSLTRTEAAAAASVCVLRAAPRREFEQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVVPTILKRKRIYE >OB03G38210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22622880:22623266:-1 gene:OB03G38210 transcript:OB03G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASSTQQSRRPESGTSEARQMAEQDVGRSGCFTLGAGKEMDELRPRAERGREEVAMGTDTMERVAISALPTRRVRCVRFSPELFLASSRIGGEADKVGGGRVPACWAGRLSWASQGKAQTGQVAAQ >OB03G38220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22622976:22624688:1 gene:OB03G38220 transcript:OB03G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSARGRSSSISFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERMKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGRRASVAKKKSPAAQEE >OB03G38230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22625994:22630813:1 gene:OB03G38230 transcript:OB03G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding FALLPLSRPVRCHGARLALALPAVRGLGRGARLVAPSARGAKRPLLVLTPARVVVVVAARPRACSGRGATGRWRVGVSSFSFLPSFFAGNKEKEDREKAERLKEEFLAAIKPLDRGADATPEDKERVEKIVQQLEEVNPVKEPLKSDLLNGKWELLYTTSESILQPKRPKFLRPFGTIYQSINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVKFDYFKIFSLIPIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMVDPTYRVPLS >OB03G38240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22631494:22636215:-1 gene:OB03G38240 transcript:OB03G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MASWEEQLRDELAGRGLAVASVPGKGRGLFATRSFFPGEIVICQEPYASTPNKISVGSNCDNCFASRNLRKCSVCRVAWYCGSSCQKEEWKLHQLECQAIAALTEDRKKMLTPTIRLMVRLVLRTKLQNDKVIPSSGTDNCNLVDALESHISEVDKNQLVLYAQMATLVQLIIPSIVLDLKEITHTFSKFACNAHTISDPELRPLGTGLYPVLSIINHSCVPNAVLIFEGRTAYVRALQPISKNEEVSISYIETATTTMKRQDDLKHYYFTCKCPRCVKDSEEDALLEGYRCKDHKCDGFLLPNSENKAYTCQKCSTSRDGIELQKMANDVLLLSDKASSLKSSGIGNSEAGYLYKTIEELVQKLYHPLSTTLLHTRETLLKIYMELQDWQTALMYCRLTIPVYERVYPPFHPMIGLQFYTCGKLEWLLEYTEDALKSLTRAADILRITHGTKSEFMKELLGKLEEMAPPANYANISGHQIIGCNKQRNPRTQSIDTGSVSIRCTIKPYYVASATAAKSLVMQDHNHKKRLFHNSLAMKLGEKGRRTGKEKDRCARIAPFPGLTHNHQRFPSSLPTTMRYRRIQVPDHDRTRQACFRCSSPPESGLL >OB03G38250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22637193:22642582:1 gene:OB03G38250 transcript:OB03G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDNFLQPPAAAAGKSLPVPAAGDATGNLTSSSSSTSSLTLSPPDFLRQVHAAFKRHRPVGSMQSNQPRATRVLVSRAEVPSKAGANPPAAQNPEGKIMQQRRGLLGASRLRNAAPDQIKAVSDGLVASSQDELLLTMPSTLGTITDTRDQNGGHQQKSDADLLVDRKRSSMEVSSSQMASTNALVGEDLKKNLFYLASDSQLTSQRDNFPDAQVADKQGRSHKEIGIASAAVEMDIKYDAANLSRRIDEACDQNHGEPLTRCSAMGSSVTAVSLYSGSTIQSKHAVQIDQYASPAQMPECGIESSGVPGHGSQKLHGVAMNQTNCNTNNQQVDSLANGGMDKPVSGDKVCLPSQGLSGNDQSLSTKDDGAPRRGKVEKERRKKNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQDGSLSPRDGRIKDDHYIYMVLEYGEIDLAHMVAQEWKERSTSNMKIDENWLRFYWQQMLKAVNTIHEERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFADYKNFWAKFKVVTDRNHKIKYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDFPSIDQDPCRSLMERVRVHWANPKLHKFIEELEKDESYPTTQM >OB03G38260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22649838:22650651:-1 gene:OB03G38260 transcript:OB03G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNGLPIPNMYTSSSSDLKIWTGHGLMGKVNIETPTTKVKSETPMSNNVNGDNVIHNAEALSAMTKGIERAHDRFRSSSFLGLLRRLAVGQPNAMPPLSGPIN >OB03G38270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22657890:22666730:1 gene:OB03G38270 transcript:OB03G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRMSTSEMVGPGDTAAAVAVSTERLRLRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENLSEAHLLWKRSRPPKFPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYIGGYWESREKGSWEGCPDIFGQVPNDLMITD >OB03G38280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22687599:22691913:-1 gene:OB03G38280 transcript:OB03G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAGGGGGCEGEEAEAVVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVSAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSVRRVASGGTCWAPSAATTPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPREKFWTRFPPEGSKVTPPHSSPDFRWKDYCPMVFRHLRKLFSVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFEDEIDETTTLKDLDLNFVFRLQRSWFRDLHAQLRRDCEFLESEGIMDYSFLVGVHFCDELSASKTGLSTFTASPKFSMKRESLQGGGGMPELCFSDHDFDRIPDCRKPLVRLGAHMPARAEQASRRSEFDPFLLSGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEAG >OB03G38290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22725845:22727164:1 gene:OB03G38290 transcript:OB03G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPAMPAFEPSGWLRPAEKAYKPEVAVDDRPAQLDIWNAIQADVDKVAAGDKKAAKPYVHPLVRRSSSLMSQKSLEICTESLGSETGSGDFTASLDDMASLFGTPVAPASKLADAEESFWQQGAARGGCEQEEAWGRKELVAVNYHCSGGTRSPPRSFPPPLPSTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPWPRPPPTPTTSCTTRPAPPPPPTPSSSSSSPPAAETSRSFSRACASAGSCGRSPPPLHPRALLHCHLLSSPPPAPPHPRFAS >OB03G38300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22738562:22740176:-1 gene:OB03G38300 transcript:OB03G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANGAFVLFPIVEALGMCCTALHCARSANLVAINLHWAKQRSLAPQESGRAGREVVSYKSPWPNIGIHMFIFVLFRQKRRQAVSPPPSRDRFSTRKFAEDNDLSLPVAAVYFNAQLETTALDAANIY >OB03G38310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22741140:22742309:1 gene:OB03G38310 transcript:OB03G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSMHDEDIITIDTSTPSQVQPIDPITHARARQLNYQFSIACRNKYLVVGLIMHPSRFTIASGVGVTIAKEKDGGDHREIRLEWREEKGIWRRMGERGWRELVPRLHHMHDPWGAHRCQLIPDSSSKFCYA >OB03G38320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22762321:22763094:1 gene:OB03G38320 transcript:OB03G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVCEEDGSSSLSVDGKQHSNPADAGGGGEHKGAHNHSNGKKPAKPRRAAATPKPPRRLANAHPVPDKESRAKARERARERTREKNRMRWVTLASAISVEAATAAAAAAEDKSPTSPNNLNHSSSTNLVSTELEEGSSSTLHNGGGGGSGSRMQEISVASEPSDVIMAFANGAYGDSSNYYLQQQQQQQDQWELGGVVFANSRHYC >OB03G38330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22784290:22791678:-1 gene:OB03G38330 transcript:OB03G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRAQTWAGGGKTAQAAAAHAAVFCFTLLLALKVDGRTAYSWWIIFIPLWLFHGIVARGRFSLPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVKHLPAVDLKIVFLPLLAFEVIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSVAIRYRDWESGLLLPSLEDHEQESLCGLPDIGGHVMKIPLVVFQVLLCMRLEGTPPSARYIPIFALFSPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTVSSKVRDCFAFLHRGSRLLGWWSIDEGSKEEQARLFYTESTGYNTFCGYPPEVVRKMPKRDLAEEVWRLQAALGEQSEITKCTKQEFERLQNEKVLCRICYEGEICMVLLPCRHRTLCKTCSDKCKKCPICRMPIEERMPVYDV >OB03G38340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22795495:22795689:-1 gene:OB03G38340 transcript:OB03G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAIGAGETMSRCLNRGHLLRRSTALWMLYNHGQLAHALWFLESSLELSHEDAYVTLFRLYE >OB03G38350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22798855:22801522:1 gene:OB03G38350 transcript:OB03G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVVSAIPFIPFAIRAFGDRHVRNSGLELGLWVSLAYISQALGLISSDAGRASFITAFTVIVVPLIDGFFGASVPKLTWFGAIVSLLGIGLLESGGSPPCVGDVLNFLAAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFVFKDSYVDVNDSSFESWTFGMVLDTASSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDDTAWLGAALVLCGSLTVQLFGSAPEKSKKVKTPRRNTTLETPLKQQDYLSLSAIPVDSRKNIGNRLERKDKTL >OB03G38360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22802362:22811200:-1 gene:OB03G38360 transcript:OB03G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFDAPVDFINEDHRAGNELTRTNVTLSVRDHGLQNGDAKPFAVNTDTLVRHQLQGASLHNDLTAEDSITRLMDPETKELYFRSRSQEEEILLLRKQIADASLKELQLLSEKHILERKLSDLRMAVDEKQEDAITGALKQLSQKKSHLEDNMRLANDLKVEEEELYFFTSSLLSMLGEYNVRPPQLNASAITTGTKRLYQQMQWKIKYLNDSLGDITQPGHIYNNSNHQQATPLRHEPSSSYNMDATRNNFHQYAQDPNDRSTGQMYHGSNFHQDIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGSTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGDLVTEFANSGSKITCDQEMQSTIDMCISNGRAQFNVLVLQGHSPDEWEQSILTLKKNTYHIRVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGMTEPNNEDSDVRLRDLIVLVLRTFQFKALDAKRKGKV >OB03G38370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22818114:22818284:-1 gene:OB03G38370 transcript:OB03G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPTFCNAELKNSYTVLKSTAKNNGHGPLLKSNQDTQWCVCACFFFFPTCMQELQ >OB03G38380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22828782:22830638:1 gene:OB03G38380 transcript:OB03G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQDAGGSGGGGGANMGSCKDKVMAGAAGEEEDVDEMLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVTHLATDTVHYNPSDLSSWVESMLSELNAPPPPLPPAPPAARLTSTSSTVTGGGFFELQAAGDSSSSTYALRPIPPPVVATADLSADSARDSKRMRTGGGSTSSSSSSSSSLGGGASRGSVVEAAPPATQGTAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHNIRVDFQYRGLVAATLADLEPFMLQPEGEADANDEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIITVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >OB03G38390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22845791:22846673:1 gene:OB03G38390 transcript:OB03G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLLSSVFASALRAGGGRVTRLSFFRPCLSCGEWPGRDSVSQELAVKKS >OB03G38400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22848843:22857989:-1 gene:OB03G38400 transcript:OB03G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-III [Source:Projected from Arabidopsis thaliana (AT3G17970) TAIR;Acc:AT3G17970] MASSTATNLWVLLGLGIAGVLLAAKRLRRPARPDSGAFITRLELLPPPQPPPPQARHPLTGLCFAIADAFHVSGYITSFGSLEWAKTHDAETQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGIPTNPAAPDRVPGGCSSGSAVAVAAGMVDFALGVDAIGGVRIPGSYCGVLAFRPSHAVVPNSGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRLFYIADDCFEMSKVPARRLTQVITKSVEKVFGRQVLGHVNLENHLASRIPCLRNYSNGQKHGDSKFSSLLALSRAMQFLHKHEFKDQHMEWINSVKPAVDASIVGNLSDDLESTINSSQDARKEVRAALSALLKDDGILVIPTVLGCPPKLHARELSSLDYNVQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDVLAKSNVSSKQAMSEEAAEAAKEKGNIAFKEKQWQKAINCYTEAIKLNNKVATYYSNRAAAFLELASFRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >OB03G38410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22860499:22860801:1 gene:OB03G38410 transcript:OB03G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHFFILLFLFVLVSNLETKIPLATIFTIRPALSLSSLFLLPSSVGCISFSVFLSGWLSSTLVVALTLDLSLSLLHKGMCMHECLSRASIAGAPAITPTSTG >OB03G38420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22869698:22871068:1 gene:OB03G38420 transcript:OB03G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGCSRLLVGIGVFLLCAAAVAPPAAALNIGLQSAGDGVSAQLCSRTCESDHCTTPPLLRYGKYCGILYSGCPGEPPCDPLDACCMHHDNCVQAKNDYLSTACNEQLLECLARLRQGSSTFEGNKCMIDEVIDVISLVIEAAVVAGRLLHKP >OB03G38430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22872404:22875577:-1 gene:OB03G38430 transcript:OB03G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytanoyl-CoA dioxygenase (PhyH) family protein [Source:Projected from Arabidopsis thaliana (AT2G01490) TAIR;Acc:AT2G01490] MPPAGSLTDEQLRFFDTNGYLVLESFSSAEDVKGMRDRMAELVDGFDGASANVFSTKDHRQVKNEFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFAFGANVSSVFSSLCYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTEPPSCTGLWLALEDATKVNGCLWAIPGSHKNGLKRRMIRDEHDTHFDHPSPNYDPKEFVPLEVKSGDLVVIHGDLIHQSFENLSPVSRHALSLHVIDTEGCEWSKQNWLQRKTPPQPLYGN >OB03G38440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22876367:22877239:1 gene:OB03G38440 transcript:OB03G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLDVVTGKRRALPPVPSLPLVEGVEGGPAGLHVHHGSTISRIDGLIHKAVPASTPNGGLLVHHRNQWVTARPGDRVWKSVKPTSIPDVVDVAIHRGQLYANTRYGMVYAFPELRGLGSASPEIIPSVTRRPNAYVERSFLVESPPGSSGDRRGLMQVELLRSVAAAGGEEGFVVRVLDECGETWEEADDIGDVAVLVDATGAVAASTRECHGLRPSTVYFAVDLAVRLQARGGGQAQEDRGRRVDPQDGWVQAALLLVRAGLHTTVTSHPCIGSTTVIVLR >OB03G38450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22878703:22882657:1 gene:OB03G38450 transcript:OB03G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAVVTVGYQLVFFIVTALLRFDKVTDFAGSTNFIILAILTLALKGAWHFRQIVLTVLVVIWGLRLGLFLLMRILQWGEDKRFDDMRNNLGKLAVFWIFQAVWVWTVSLPVTVVNASDRNPSIEARDIIGWIMWLIGAGAEAIADQQKLTFKNSLSNRGKWCDVGLWSYSRHPNYFGEIFLWWGIFVASTPILSGAEWLVILGPIFLTLLLLFVSGIPLLEVSADKRFGQNEEYRMYKNTTSPLIPLPPAVYGALPAWFKMGFLFELPLYNRAPQRDPIS >OB03G38460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22882517:22882950:-1 gene:OB03G38460 transcript:OB03G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LS20] MRVGGGDVPRPGDLVVIDLQGRVAGGETFVDTFGDGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPALGFGEDGADFGAGAQVPTGATLEYVVEVDKVSIAPA >OB03G38470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22883133:22883420:-1 gene:OB03G38470 transcript:OB03G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXXXXXXXXXXXXQPPPQAKPRRAPAADSTDWVASSLTRRFGIGAGLAWVGFLAFGVVSEQLKTRFEVAQQLANTKSVSSILIHQLSPPPLIHL >OB03G38480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22892414:22894168:-1 gene:OB03G38480 transcript:OB03G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKAAAAHEKETRAEQRRKAGLDDRPEDDAVDKKEADAAAKYDAFDMRVDRHWTQKSLDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMASIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDAKTIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMMKESEKMSRLQKILTDLGDKPAIVFCNTKKSADARAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPGSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYATH >OB03G38490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22909255:22913004:-1 gene:OB03G38490 transcript:OB03G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEARAPMAAGAVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRSHGQGAGTEPLRRVLCDAGDMCEDCASSAVSSSGKALLSWMRRSELGERDLACACCGVALESGFISPAFLFPTPVACDVDCGHKRDAAIASLNGDVVFVSEKGPVIELFDEKPLVEEDPIAAMAGLAAQCAEIVGNVLQLVPLQPTDSSTGNTRSMPSRSLGEGNEAIDHVTLKQHDGVKNMDNTNDEKSTVASDDDKVGDMVSRMIDEEITSLVLSQACVEDGFNGEIDGETEEGLVDQQFCEEDSGLNDTNQEILIQSETSENEQGYVKQELFSMLTNPREHEFAIESLEEIIETVHQVDLNNGWNSMPMEAAGHTSGTSTGNTGEEWVQQAELSQQSDSMPIYSREHADEEIEEGKISPTEIKQRLDPVTIDSCKEVHVISIDSTRENQIEQPEVKHALTSMTVGAVDYVSDLFDANISAGDDPADPTEVAPPSLHHLSDGPSTSLNELCPDYNDIESERALDIPTHIEDIDGLQELPDHKAMTSDTKSVDSCIAAMSVDLESIELVSVDQLKYALVSAHKSLSTLYAEFENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKRDKEKQDLERELELYRRKVHLFEAKERRKMSRHKGDDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNSSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEDRLFDLEDEDSDGVKMDKQFSEENHLIGASNGFSDDDSSFKLHDKRKGVNYRGKKLLPLFDDTTVENGNDLTRQDPEADRSTDVMLEPANEQDKLAIAHEIDQVHERLHALEADREFIKQCVKSLKKGNKGFDLLQEILQHLRDLRRIEQRTRNSEELSPHYLHPYTD >OB03G38500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22922855:22923598:-1 gene:OB03G38500 transcript:OB03G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPYSRSQLSEKLRRLKNKFRGMSARVGRGLDPARLAPHDRDVLHLCSRLWDTANAATSPFAAGDASSGNKRRRAAPRAGQLTLPDPSGDSNSHDYNGVSSGTPGAFEDGHGEEMMYLEQESAHFGYHGDTATVDDGSLHGIVKEQPDALFALPNIGDVAVPIENGNGKAVVPHSNEHLMANAVLDMFEECLREVKSNGVFCSTNVNGSAEGSELAKRWRAQRIDELDVLSRRLRLLVEDAAAAGR >OB03G38510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22926392:22932546:1 gene:OB03G38510 transcript:OB03G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT1G48570) TAIR;Acc:AT1G48570] MPPTLPPPTQQRQGLRGGHPWPEWRDFLEKLRAKGYFEQPTLASRADAAEGDVAAAAAAAEEEPVASANTYPFKDLNRVKNACLKFGRERFDILSSLPKQDIKAIVECGCPNIFRKPVNSAKRLREFLQVDEGDACSACKLRGSCDKAYVIPNAEDEARTVDVVRILLNYAIDPTCLSGENSVNGGVQESARKLLSELTMLSDTTIDPSIPKPVFQTSSKKVSLTKIFDKGTNSVVNSWISAGKGTETTATEMKMGDWLCPNCNFLNFARNRHCLECKADGPKKLQAATTEMKEGDWICPQCHFMNFARNRKCFKCEESRPKRQLNPGEWECPSCSFLNFRRNKACLKCQHERPENDTEDSQLGYNRLRNTRGADRSRSFDFVDQEDDGSDPDDGEHKRAVSRATSTHRRSTGKSKNFDFRPEEDNIREDDNEDILPYEGKRRHVVSKRATPAQRRFTAARSR >OB03G38520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22930038:22933123:-1 gene:OB03G38520 transcript:OB03G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSMQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFVTLLVGGLQHPVIAAALGAFYTVARFFYFKGYSTGIPENRLKIGGLNFFAVFGLIICTASFGINLIIRESL >OB03G38530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22937448:22938107:-1 gene:OB03G38530 transcript:OB03G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAVAFLSAVSCFLLSGVGVADAATYYVGDSLGWSLGSGSWPSGKKFHAGDVLVFRYLPWMHNVVAVDEDGYADCNPPPSSRYYTSGSDSVKLARGDNFFVCTRYGHCNLGMKMVITAV >OB03G38540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22943965:22946838:1 gene:OB03G38540 transcript:OB03G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFLSSLIDRLSTAALSGITSLWGVKEQVDSLIHELQAVECFLKDVDLREIRRRSTSNNWFWLHSLRDAAYDAEDLIESVELHEGRYYTLNPLLQPLNSYRFAKEINEIKSRFQSIIDSWAKNASMLRELRDISNSSISATVASAADSLWRRSSYNVGDDIVVGREDEVRIIVDRLLHFTSHREVVGIVGMGGIGKTTLASLVYNKVSAIQTGSTSLHPDSPQRTGSQSSLERYFDACAWVPVGQNAEAFGLLRITSIQIGAKLNMRDIAAAKNAMFQFLQHKRYLIVLDDIWTTETWFELSEVFPKNTNGSKILLTTRSKEIAVSADPSSLPYELNPLSEERSFQLFISKVFGLDHVDTTSCPPQLRDVGHQLSKKCGGLPLALVVLGGLLSGKEKLVIVWRSILKSMKWNDSEAGNQCLEILALSYNCLTYHMKLCFMYLGVFEEGTEISVSKLTRLWIGDDFIPQQDGKTKEETATDYLHELIQRCLVQPLQSAHRQCFKRVRIHGMLSELARLEARESRFFYCGRGDAIPRQERKYYRRLALHTKLDDFCELSNSEKLRSLIIFPGVVESRVVTVGHQAFRPFSNMFCQIFFLFHHWIPHNFLEHKTNMQYIRVLELEGQQILAHNLKSVQSNLNHLRYMSLRNTNLGEFPFPESNFPLLQTVDIRGTSINRLPVIFETLDSLRHIYLNWTVSLNISRLTNLQTLHGAVIHDTQAERDLMALTNLRKLHFKTSYGNVCRPEFSDGFDLDQLIAGLDVVNENPAIAESLIQMGNLNSIFIMMPFSSFRGITSDIIQAVTNHEQLQKLNLQGLARHLLLEDLHFSCIKSITLSGSWMASSPMESLGSLATLCELKLKDDALRCSVVSCSQNSFPELRYLKISRLGKLRVFDVGNGSFRNLTRFAIHECAEFCSTLEVMEHATRLQVLKIKAMPPVLPDVAGFCHSRNINLIS >OB03G38550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22948032:22950397:-1 gene:OB03G38550 transcript:OB03G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAGVLALVLLCVLLHGELAESAVYTVGDRGGWSFRSFTTGGWPRGKRFRAGDVLVFKYSPSAHNVVAVNAAGYNSCSAPRGARVFKSGNDRVTLARGTNYFICSFPGHCQSGMKIAITAA >OB03G38560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22963442:22964841:1 gene:OB03G38560 transcript:OB03G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTVRLKSMLGLAVTRLGVLRGHRQVRCAQARGDVEQLLRLGHPDRALLRAEQVIREQNTLDVFLMLEAYCNLITERAALVDTHRECPEELREAAAGLIYVAARCGDLPELQEVKRLMAAKFGREFVSAAAELRSGCGINAKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVHVVHEPSSYDDSSLSQRRKRHGDERHRVHQPQVDLEEEGSSRYRNVEAAAQAAFESAAPAAAAAKAAMELSRGESRGSGDDDSRSPGGVHAHRTDEETLAAGEVLGDGKKSGRIGRVSLARNYSSEIEVSADDELVRHEKAAENESKSKSKQPGLTRSMPVSVRTKRGS >OB03G38570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22965699:22968723:-1 gene:OB03G38570 transcript:OB03G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis Hop2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) TAIR;Acc:AT1G13330] MPPKSDCVEGIVLTFVNEQNRPLNSQNAADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANTKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRSGVILVKPEDKKIIEENFTEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYNDMLTSLSKRRKVSR >OB03G38580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22971230:22975199:-1 gene:OB03G38580 transcript:OB03G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEASRSHRSPRKHRRPPGDDDDAEGASSPKRHKRDHHRGEVPGDVAGVATIAAEPRKAEGQDGEILDQATAAVGVRRGLEINAGEAELASGAVLPAPEHHDNSDADLNIHVNELHASQLATNLSQEHDLKDNEEIANNHELSRVFVGAKFYNVGKSPLRKISISLGISDNKKVTSASGPLEGIPMFPESRKPDIVAQQPIGQKLPQSRKPDIVGQQPIGRKLVKESSMLIKKNTPRDNWEDEEGYYIYHSGEVLQGRYEIIAGSGKGVFSTVVHAKDLKAHKDGHGEVAIKIICNNIEKYRSGKREISILEKLATADREDKQHCVRIISSFMHQNHLCIVLESLHMNLREVIKKFGRGIGIKLTAVRAYAEQIFMALKHLRNCGVLHSDIKPDNILVNKDSNSLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDIWSAGCCLSELYTGKVLFDGSTNNDMLRLHMELKGPFPKKMLQKGAFTTQHFDKNLNFIARLKDPITKTVRNRLLSNIKPKGVGSAILSRPGDDPKLLSSFKDLLEKMFALDPKKRITVSEALRHPFLTGK >OB03G38590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22977427:22979932:1 gene:OB03G38590 transcript:OB03G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G09080) TAIR;Acc:AT1G09080] MARSCHSALAIALLALLCSGYLWGLADGAKGGKKTKGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVSKDGKPYVEVRVKGGERKVFSPEEISAMILTKMKETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDKKGAEMMNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDRRVMDHFIRLVKRRHGRDIGNDGRALGKLRRECERAKRALSSQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLSGIAPAPRGVPQIEVTFEVDENGILHVTAADKAAGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAARDGMAGKISDDDKERMEAALTEALEWLEDNDGGARTAAEKDDYEEKLKEVEQVCGPIIKQVYERSGGGAADDDDVNEL >OB03G38600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22982295:22984112:-1 gene:OB03G38600 transcript:OB03G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAAGGGVDWEGEPLGFEVSTTPMPELPDPEKPDFWEGSQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQTQEFPEESESSGSDVFEGNPTEVAPALE >OB03G38610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22984180:22984374:-1 gene:OB03G38610 transcript:OB03G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNIFWESQNREAASATRKRRRKRKKKKKKRKISYLISLLPAIRDPEKRRQPGGARGSSLSSPPP >OB03G38620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22985681:22988028:-1 gene:OB03G38620 transcript:OB03G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT4G39670) TAIR;Acc:AT4G39670] MEADGGELRLGPFGDTCALVSVLFSCLGIAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDCVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQGCSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLARNISLDW >OB03G38630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:22998054:23001847:1 gene:OB03G38630 transcript:OB03G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALAELPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >OB03G38640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23004518:23015858:1 gene:OB03G38640 transcript:OB03G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product [Source:Projected from Arabidopsis thaliana (AT3G18480) TAIR;Acc:AT3G18480] MSSPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKETEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATNSSDYMDSSDILESSLNAKEKIISELNAELRNIESTLSSERETHVNELKKLTGLLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSDLLEEAEKKIAELTAKAEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQEIGSNEVSEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDIESGSSDVETKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYTIGLHLLVFTLLYRMSALSYLSITPAHDEIILDAGNQTLPRAL >OB03G38650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23029677:23030394:1 gene:OB03G38650 transcript:OB03G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXIAAAAASAVPDLEAEESGIVGDIDHDIGEDDEDLLYRVPVFDPMLAELYNPVADDGEQQIKKKPARLGPFSEPSPEFASGSVEADGLSGFDVPDMELASFAADMESLLMGVDDGFDDLGFLDEEKPQVKMDLDTDFNSISPAPPERDDRKRKRPEMILKLDYEGVIDSWFRDGASPWFHGERPRFDAGEPWSDFPAGSRGGLGA >OB03G38660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23033471:23033689:1 gene:OB03G38660 transcript:OB03G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVARMCTFFISVRKVLLITKVVDFDRHVCKGLYMYTDIYSVYCLRLRACLVELWQLQFLTEPSEVGRNYA >OB03G38670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23035449:23069697:-1 gene:OB03G38670 transcript:OB03G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDIGCCSCFGFLRKPRVSVSRPQDVDGILSEDLLNHKSIEDPDGSFYTGDDPDRSFYDRDDLDRSFCNGDDPDRSFNDGDDPDHLYGSDDGQPRKRSEDIILARAQNGFACRESLVKETKKVFRSEDENGRKMVNQYVHLGKLGAGSYGKVVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEDKMICGDGLGEATSKSYLRDIISGLMYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQVFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYYMISGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVAEHPWVAGDQGPVVEYFCRCGFGRRKRADLPGDFAGSEEAPPKAKGKGEYAAGEENGGVREVVLKFEEEEVVALERKEVAFRLIVHMLGGEGGLEAEKVAKVRNSAARQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDADSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEFPIGRMPLSPSRREFCHLHPENFLLLVADDELSFKAIEFGLSVFFRPGQVFTEIVGSPYYIAPEVLQKRYGPEADVWTTGVILYVLLSGVPPFWPGCKGVRESWSFYKPFSLYDMVDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTMPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQAPAKPVSTSLNTMESISAIALQAVAGPYMWNSQWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSSNEKAAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWLEDRICDIGEGADIRESVTSVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQILWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSTMGGINTGMPPLGTQSAQPHQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQATALLLDHMVSESRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADPGSKLQGREGEVNMLDQIHPVWGSVDNYATAREKRKQLLLMLSQNEADRLEVWAQPINTKDASTFRGKISSDKWIDHARTAFAVDPRIALSMILRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGKLVEGYLLGAARRSNIFAHILIWHLQGECVPEESGKEAVVPKATAFHSLLPAVREKIIDSFTPEARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGNPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNHFLRLCVKGYLAGRRHMNGIITTVQLMVDSGLPCFSRGDPIGNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >OB03G38680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23060376:23061384:1 gene:OB03G38680 transcript:OB03G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSTRALSFVQPNSIISQLNLTTASNKAPGLLPVMSACEQVLTTRGFKGISRTGSSWPPRETVIWLIPLSSVQNSSSHIFSLRHDERMHDLVASINQARVCWSISLEALLSASMAETLLTSTTTAAWQQSNLVFILVFSCAPCSLQSRFLIFKNSESDRTCLAAEFLTLATFSASRPPSPPNICTISLKATSFRSRATTSSSSNFSTTSLTPPFSSPAAYSPFPLALGGASSEPANSRNSRAATGEASAGRSVG >OB03G38690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23074841:23075617:-1 gene:OB03G38690 transcript:OB03G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSYLRALAATPIIPVAVEKGWSESSSPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVKEYILGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLASAMALCYKQKNFATAEHFARMLLENSPNEGQAKKARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDISCPYCGSRFVPSIEWQLCTICELAVVGADASGLVCSPTQLR >OB03G38700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23074611:23079554:-1 gene:OB03G38700 transcript:OB03G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLTFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDTSALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELLAPAVKYVVWSSDMESVALLSKHAVLIANKKLVHRCTLHETIRVKSGAWDENDVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNIGIVEYAYQRTKNFDRLAFLYLITGFMDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYITAATHGLTEIADRLAEELGENVPSLPEGKSRSLLIPPAPLMASGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDMVDVNEAMANGGDGFDAEEGEEANEEDGEEGGWDLEDLELPPEAETPKNTGNTRSTVFVGCLVDNWA >OB03G38710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23084895:23091534:-1 gene:OB03G38710 transcript:OB03G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3LS45] MLTKFETKSNRVKGLTFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDTSALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGVQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSSQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKTPLPIATDAIYYAGTGNLLCKAEDRVTILDLQQRLVLGELQAPAVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENDVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVTGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNIGIVEYAYQRTKNFDRLAFLYLITGFMDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYITAATHGLTEIADRLAEELGENVPSLPEGKSQSLLIPPAPLMASGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDMVDVNEAMANGGDGFDAEEGEEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSTVFVTPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGVKNFAPLKPLFLDLHMGSHSYLRALAATPIIPVAVEKGWSESSSPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVKEYILGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLASAMALCYKQKNFATAEHFARMLLENSPNEGQAKKARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDISCPYCGSRFVPSVEGQLCTICELAVVGADASGLLCSPTQLR >OB03G38720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23096621:23099062:-1 gene:OB03G38720 transcript:OB03G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIKCVIVHVLLLLGDGDTDEVPGFIVACLDVAGALAWPHSETRRLLPVHVRVHAVFAGCMWSGRFSWTVQYSTAGFGCVGSGSAADKEYRRGRWANWVERTAVGIKQWWIIALFRQAEDVPKPRWCDAPRHVSAKYLDGWGLKLNPNNYGNHPTSSQTPTCLEEGTAKALSHRI >OB03G38730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23099873:23100061:1 gene:OB03G38730 transcript:OB03G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVYEGKQRHWNISSTKFRPPTEGRNFTGISSIPVKIILKQGSSSSSSMIIMQQEHHEATEML >OB03G38740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23103426:23105322:1 gene:OB03G38740 transcript:OB03G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3LS48] MAFWQARDFLFCGVCGTLLTFDSLHYASCPLCGFKRKTKEIQGKETRYAVTGEDIRRDLKLDPFVVLESTLKDETAVKRATINRLCPECGYHELEYYTKQLRSADEGQTVFFECRDCRHKFNENQ >OB03G38750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23107296:23121404:-1 gene:OB03G38750 transcript:OB03G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPATAAAGSPASSSRSDKKKPKSETPRRRDKLFGSASGSAAAGSKGGAGASASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAASLGNSNLSRGQAQADPSAASAGGGGRKAGSSWADSISSSRGKGKAAEHPVRGAATTNMEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILPQPGADDTTTRSSKSDVRKSYSQEQHNLKWKRSQEIKPVKLLSPLDTDAKKEAVSPTRERFSSWRPLPSPVAKPPKDPTPIKEESPNKKTDTPPAVSSRVELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDIAKVSNSSINEESDGPSPKLSDWSRRGSTDMLDCLQEVDSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIAELADIARCIANTPGDEERALTHLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEQMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNREIPWPHVPGEMSSEARDLISKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEIESGSNVNYSFSNFSFKNLSQLASINYDLLSKGLKDDPPTKSET >OB03G38760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23138178:23140689:1 gene:OB03G38760 transcript:OB03G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGPAGCRLVFVGHSLGAGVAAVAAGGGDDFLPRTPAPLQHIFGSIFCLPCLLCFICMRDTFVSEGKLKDASKLYAPGRVFHIVERENCRCGRLPPQVRTAVPAEGRFEHVVLSCNATSDHGIIWIEKEAQRALDLMDQEEESTLAPSHQKMLRVQETKSLAEHQLNAIEHLVFVQEAHDGNSSSSSSSTTSPFSSPRSTTASESEWDGLVETFLGKHEEDGDDR >OB03G38770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23143704:23145443:1 gene:OB03G38770 transcript:OB03G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLRYEQVPARALSLLGSLSKFAAEEAEEEEDGEEEKKAAGVIDKERVRILQERRCDSKGRGAVYDTVLGICCHFCRQKKLCGEEGCKRCGEGDLNQPCIGKTDCSSCHSSYGILCRGCLKVRYGEDMDEVRKNKNWMCPHCIEEKGIKQFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >OB03G38780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23146727:23149645:1 gene:OB03G38780 transcript:OB03G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70650) TAIR;Acc:AT1G70650] MRHRLGPAAAGLRRFSHLRPPDPRLAFLRSEFDGRESDQQQPQARELTGEEPRGGGERARTPASVDIAHPWPEWVALMEILLRRGHLDPSVFAGGAAGSPSKDSNVIRTACLRFGRERPELIRHISRWDIQVALRCGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNIRGGCERAYVKARKEEIGRTVDVMRILLTYGLDNITGNVENRACLNKTVKESIKNLLNEIVEFDSMGPGSSTEKAAHRMPKGQSSIPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFEERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRLLNPGEWDCVSCNYVNFKRNAFCLKCGWKRPKSLNSQDSIESRRELEHKKHTDISFVQDGVQSRRLQVVQNKAPLSDEDSDFWSSEEEGAYHSDESMPTIQKDYKFLESFPIIGGRTAMSQDPLEREKWKEEMSRRNKGLLTKASDESNRPSGPVRIPRSIELIESGDDDDDDDISSWFSGGTSNINMKKA >OB03G38790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23153517:23158857:1 gene:OB03G38790 transcript:OB03G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAGVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMTCVNQETGMVEPSVFPMLGNWQREHTMQDILISLKKEMSAPQNRRLHQPHDGNEDQRVEQKGMSLRCVIM >OB03G38800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23167476:23171764:-1 gene:OB03G38800 transcript:OB03G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSCTRSKAHLLVSAGWQRQSIQQGTFPEALPLPVVPEGTLGNLTALHTLSLRLNALSGALPGDLASASALRNVFLNGNKFSGEFPQALLALPGLIRLALGGNDLSGALPPALGNLTRLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRSMPRSAFLGTGLCGGPLGPCPGEASPSPAPGAGGQPASPTPANNGGKGGGNDGGSAKKSKKLSGGAIAGIAIGSVVGAALLLFLLVCLCCRSGRTKTRSVEMPPPSSTPAVVTAGRKPPEMTSAAAVAPMATVGHPHAPLGQSTSGKKLIFFGSAAAVSPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAARGVEYIHSTSSSASHGNIKSSNVLLTKSYQARVSDNGLSALVGPSSAPSRATGYRAPEVTDPRRVPQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQHVEEQMVQLLQLAIDCVASVPDARPSMPHVVLRIEEIRKSSERVEGRDHQQQASNLEQGDDQTSKPESAEGTNPFAP >OB03G38810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23181715:23188464:1 gene:OB03G38810 transcript:OB03G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADKVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSGVIRERVAADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESVTDKIFSNTKTITEYLIAEDLPDVDISVVGVTSFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPKFTFCYDALHGVAGNYAKRIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFASGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVHQHWGTYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYENDSSKTGRDSQDALAPLVDVALKLSKMQEYTGRSAPTVIT >OB03G38820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23187447:23188040:-1 gene:OB03G38820 transcript:OB03G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVGADRPVYSCILESFSATSTWIRSKGILRIPSSLGRIILILLDVDTNSGTDRTSSRETEYLSGTISEEVADTLMLGDRAINRILILELISSNHIRNI >OB03G38830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23190125:23194389:-1 gene:OB03G38830 transcript:OB03G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:J3LS57] MSLLTDLVNIDLSESTDKIIAEYVWVGGTGMDMRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILHPQAIFRDPFRKGNNILVMCDCYAPNGEPIPSNKRYNAAKIFSHPDVKAEEPWFGIEQEYTLLQKHINWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLFAGINISGINAEVMPGQWEFQIGPVAGVSAGDHVWVARYILERITEIAGVIVSFDPKPIPGDWNGAGAHTNYSTKSMRSDGGYEAIEKAIGKLGMRHREHIAAYGDGNDRRLTGRHETADINNFVWGVATRGASVRVGRDTERDGKGYFEDRRPASNMDPYVVTAIIAETTILWEPSHSHSNGK >OB03G38840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23202998:23204668:1 gene:OB03G38840 transcript:OB03G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01570) TAIR;Acc:AT4G01570] MSTRGLPSNIRFYNICIHAFGKWRRLDMSLKLFAAMKAASPPLLPDICTYNSLIRALVVGSRLADTLVVYDEMKSSGIEPDVFTYRAIVDGCCKSFRMDDALRLFQEMRGSFGVKGDVVVYNSLLDGLFKSKKLDEACGFFETMVADGIQCSASTHNTLIDGLFKNGRAEAACRLFYDLRRKGQLFDGIAYSIMVREFCKEGKGDQVAEAVELVKEMEERGFAVDLVTITSLLIGFNKSRRWDLEEQIVKFIRDSSILPDAIRWKSNMMSALRGPQDREKDGTSLFPFDGNMDDVMSLVNPVVCTDANEETPKDEPKDDWSLSPHLDHLAKNADRLDNSAIFTMHRGRRVQGMGAKTFDADMVNTYMSIFLSKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDIATYNLIIQGLGQMGKAEVARLIIGELSKKGVYMDIVMYNTLINQLGKVGKVDEANSLLEQIIGRGIKPDVVTFNTLININAKAGRLKEADKYLRRMIAEGIAPNYATETILVFLDKEIERKRQQPR >OB03G38850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23213766:23219054:1 gene:OB03G38850 transcript:OB03G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-O-methylthreonine resistant 1 [Source:Projected from Arabidopsis thaliana (AT3G10050) TAIR;Acc:AT3G10050] MGGDAGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNMWIKREDLQPVFSFKLRGAYNMMAKLSRQQLEKGVICSSAGNHAQGVALSAQRLDCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVISGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHDQRVMLENVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGPMNITEFKYRYDCNTKDALVLYSVGIYTDDELTAMVGRMESSNLKTVDLTDNDLAKDHLRYFIGGRTEVREELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGEAGANVLVGIQVPSEDFDEFKSRADNLGYEYMSELNNEIYHLLLRDPKM >OB03G38860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23219922:23225753:1 gene:OB03G38860 transcript:OB03G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1C [Source:Projected from Arabidopsis thaliana (AT1G14830) TAIR;Acc:AT1G14830] MATMGSLIGLVNRIQRACTVLGDHGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDGGQEYAEFLHAPRKRFSDFAAVRKEIADETDRITGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIVAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVEMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >OB03G38870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23226614:23227592:-1 gene:OB03G38870 transcript:OB03G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAHCAAAAAATLPASLPLRHRALPRPAHARRRAAISIIDAPPRTSRHRGAVACGARRRVRHEEDDEDEDEEEYGHNEEMAELERYSEGARDQALLVKAMVDDEVEVVLVFKGFSSRLSGRTAPDPAMSVLPERAIIQSVDVVKGPFDPTNIEYVEKDLPWDDFKSRLH >OB03G38880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23229023:23233284:-1 gene:OB03G38880 transcript:OB03G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMSSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLQQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPNPPADLRSNYLMNTSIARLEKADAILLVGTQPRVEAAIVNARIRKTVRATQAKVAYIGPPTDFNYDHEHLGTGPQTLVEIAEGRHSFCSTLQSSKNPVIIAGAGLFEREDQDALFSTIESVAKKFNVVRPDWNGLNVLLLHAAQAAALDLGLVANPTESIKSAKFLYLMGADDVSLDKVPDDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLSGVRDRISMVAPNLVHVDEREPCTISSEVKPPVKQQVSSTPFKTVVENFYMTDAITRASKIMAQCSATLLKK >OB03G38890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23235958:23238982:1 gene:OB03G38890 transcript:OB03G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRFTQMNLSLHVPSRAPLFQEAAARRQCPPPSTSMATPASRSNQFRLADFERVAVLGRGNGGTVYKVRHRETCALYALKVQHSGEPAAAEADILSRMASPFVVRCHSVLPTASGDVALLLELADGGSVDAVVGRRGAFPEAAVAEVAAQALSALADLHARRCHQLVSIGVYLLRLLLVREGGIVLRSGVLAEVNVNAFYNCVNGCCYVYCSSERAILFAFVAGNCIGNCSETKTGLMDWVRGNSVGEI >OB03G38900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23240886:23241044:1 gene:OB03G38900 transcript:OB03G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLQPCTRHGHTLGPCISAPLVHQEQVQGEVLLVPGWKVLRTYVTFQDKYQG >OB03G38910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23241259:23244173:1 gene:OB03G38910 transcript:OB03G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIRERRVPRLHISLDLPSCAGAFRYPNPPVAAPAASTSSAAARVGDQQLRSSDLDRVAVLGRGNSATVYKVANRRTSAVKIADFGIAKVLAHAGDHCTAYEGTAAYMSPERFDSERHTDPFAADVWSLGVTILELLMGRYPLLPAGQKPTWAALMCAICFGEMTSLPDDAASPELRAFLAACLHKDYTKRASVAHLLAHQFVAVTTNYALAIWIFGIHFELKGLGNGIMWSSLFSFTLEGRLLKNNVKIADFGIAKVLAHAGDHCTAYEGTAAYMSPERFDSERHTDPFAADVWSLGVTILELLMGRYPLLPAGQKPTWAALMCAICFGEMTSLPDDAASPELRAFLAACLHKDYTKRASVAHLLAHQFVAGRDVVASKLALRRLVTGA >OB03G38920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23260366:23263236:1 gene:OB03G38920 transcript:OB03G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRNIKLTDNLKEHIEDKVGRAVAKHSHLVREVDVRLSARGGELSRGPKTSRCEITLFTKRHGVVRAEEDSESSYGSIDLSSGIIKRKLRKIKEKETDVRHLKGTKEWRPATPLDVGDLSDVEEEADAVADADDEEQIDLEEAIGAEDEETTVTKVVRTKVFEMPPLSVEEAIEQLENVDHNFYAFREEKTGEMNIVYRRDAGGYGLIIPKGDGHVQKTTIPKQQQQQHSLAG >OB03G38930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23267786:23271968:-1 gene:OB03G38930 transcript:OB03G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTVKVANISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGAETAVLLSGATIVDLSVIITPVPNYQLPPDARKQSLGEKSSSTESAVRKAEDVVSSMLAKGFVLSKDALNSARSFDERHNILSNATATVASLDRQYGLSEKISLGRAIVGSKVKEVDERYQVSELTKSALAAAEQKASIASSAIMSNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >OB03G38940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23274172:23279724:1 gene:OB03G38940 transcript:OB03G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIETVASHAMVKLRTFASLVVMGLALERAKLLARSVLGKPTAEEREKLSVISRRIDAAKAKIKTLSQSEESLTIVSPAHHPSSCTKQEDFRPLFHDKYDHHSGRPSIATISVNGGFNREYGLEGTLELFQFFSEENYDYTSNEGRLKVRNNLAEIKDDTYVGSLLDKSNFPTPRNISISGLDMKSEELPPPPPSLISKHLAKKPEI >OB03G38950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23280972:23281211:-1 gene:OB03G38950 transcript:OB03G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHVRRVHHRQQHAARHVLDERRFITDDGGGAADQPPGLSAVPRVGRRARRRVDTVREEDDGDAPRLQAASSISVELN >OB03G38960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23290289:23296965:1 gene:OB03G38960 transcript:OB03G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12790) TAIR;Acc:AT4G12790] MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSMDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFFPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >OB03G38970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23299264:23299569:1 gene:OB03G38970 transcript:OB03G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIKKGHDQVAAGAALMMLVLLVAAAFHFHPLHARPVAAPLPPPSTSHDAANSTGSPAAAGAGAGGGGAAGGGGLPKRSASPSGCTNYGPGGGTICPPR >OB03G38980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23304064:23304540:1 gene:OB03G38980 transcript:OB03G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGASASITVGKSTRLLLVLALVAAAAAAPRVVGADNVDGGDQGAARAAGRALLQAGAGTWGAARWAAGAARICLSPRLDRYSTCACAVSDPGSSTAFGNLARRPSQHQKPMHVCANDTYIHSFIRIDIVMRIYTVAFVFMWRLCDSAHLNSKEIV >OB03G38990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23309022:23314551:1 gene:OB03G38990 transcript:OB03G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Membrane protein,Tapt1/CMV receptor (InterPro:IPR008010); Has 447 Blast hits to 428 proteins in 176 species: Archae - 0; Bacteria - 0; Metazoa - 190; Fungi - 133; Plants - 49; Viruses - 0; Other Eukaryotes - 75 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT1G67960) TAIR;Acc:AT1G67960] MPLRSANRQLSFDLLGEDLAADDVDDLSPRSLPETTSDGQRRRKRRGGGGGARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVETVFESSEAERSAASCVTYVGVGVELRQRSVSGSGRLVSREDATSSCGSSARESAAAAAAVPEATSAAWRPEANGGGKKLEKEDSLDWERYMKENGNVLGEVVRLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIAITIWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDSATFELMRFILDEAIAVVAFVVHSFVLLAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLVNALLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLASWYVNLRLKNKQHVD >OB03G39000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23314745:23324376:-1 gene:OB03G39000 transcript:OB03G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT4G36630) TAIR;Acc:AT4G36630] MVHSAYDAVELVSGVPGDIVAVAAYAGRLLVAGKDGSLRIYSSPAHADGEIPRDGPYALERNQPSLWRRGTPLAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVAIHRPYAVARLPRHVEIRSLRAPNALVQTVVLRDVQKLVETENCILAVLARSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSSLRAAKESSIHIRYGHFLFDNGSYEEAMEQFADSHVDITYVLSLYPSLILPQTHIIGEHDRLHDLPELARESSDLTDEMEPYSLQLHESDDKSPLEIKKMSHNALIALVKYLQKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLQERNDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGKTDFNKNFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWHKSLKEEGNWTEKTYSPTRKKLISTLENNSGYNTEILLKRLPQDALFEERAILYGKMNQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKATKVKGGRMGKKVVEIEGADDLRFSPSGTDSGRSDGDDVNDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSVKRR >OB03G39010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23328610:23330718:-1 gene:OB03G39010 transcript:OB03G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLLKFLSSIGKLVVCSLIWAGWSHHWVSKRKYHFIIPADANWDRQISADVILGRNDHLLHGLIHSNGFGHLVMLRGRNGGSAALSGRDIMDIWDRLCSSLRARAVSVVDLSQKRSLDLRLLLSVAHGETWFTRWGFSLARGCFSVSTLTYAASVEALAALPVDYLRSRHVRRVVNIYRRLSNKPLVTVREFLHCLLDWKHLEPPLSLPPVKTCTRLQFMLPKPSVIKRHRQQPCQRFEDVVDLLHCRWSKKRLLNAAEVVVDRLLEHGNGAEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNYIVRRVPDAETRVLHFSLEEYEESTPAPLDVEVECTPSPPVVRWPSILEVERDLRAVYRAMVEACSEAAQSVLDCKHWVKWWGLGDESDDQLRFFVEWQPKPWEAAELTRPMPPGEIVVVPLHASMGELLVESEHALRDTYCFFEEFQAEVLHGIAGEKWDPVMLGGAESGDTISVYGNGADMETGLRCQGGLDLWEVRCVCGARDDDGERMVACDACDVWHHTRCVGIADSEQVPPLFLCVLCGGALMAAGQINS >OB03G39020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23345249:23348847:-1 gene:OB03G39020 transcript:OB03G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAGLSSLQYLLGHHNGFTGLAPGFFKGLTELTAVSLDNNPFHPWPLPADLAECTSLTNFSANTANVTGAIPDFFGTALPSLQRLSLAFNMMSGPVPPSLAGAPLQSLWLNNQLGGNQFNGSISFVSNMTSLQQLWLHSNDFSGPLPDFAGLTSLSDLQLRDNQLTGPVPDSLLNLKSLTKVSLTNNLLQGPMPKFASTVKADVLPETERFCLTTPGAPCDPRVSLLLEVAAGFQYPAKLADNWKGNDPCDGYIGVGCDAGNITVLNFARMGLSGSISPAVGKITTLQKLILSNNNITGTVPKEVAALPALTEVDMSNNNIYGKLPTFAAKNVRVKTDGNPNIGKDAPAPSGSGGSSSNNGPADGGNGSAESNGSSSSSSARIIAGSVVGAIAGVGLLAALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDDVLKITVAGGNVNGGAATSETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKDTFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >OB03G39030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23354591:23356784:1 gene:OB03G39030 transcript:OB03G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT1G14730) TAIR;Acc:AT1G14730] MLVWLLRFRGGINIQSDDPEQIFNVHPFVMCWGFILLVGEAILAYTTIPMDHRTQKMVHMLVHLVALILGIFGVYAAFKFHDAAVAPDLVSLHSWLGILAIALFALQWLFGFFAFWLPGAHERARAILVLAVCAAETGLVQKSAAAASAGEGKLINATGVFILLYGVAVATAVALRKAFFL >OB03G39040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23360686:23367001:-1 gene:OB03G39040 transcript:OB03G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G06160) TAIR;Acc:AT5G06160] MASTVLEATRAKHEDMERLERLAVEIYEDKDNARKDEISNHLSSPVQAEIFPKFYDRLKEIRDYHRRNPSARFVSATDDFEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGTFSHVEKITQSLKTSRQYREYLEHILEYLTSFLYRTEPLQDIEKIFAKLENEFEEQWTNGEVPGWENKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKGSHSSASNATSNGSTLKDNLKKEIALMEVKTRRLCELLDEVIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >OB03G39050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23372954:23379415:1 gene:OB03G39050 transcript:OB03G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTAFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPVQDEYAPVIYSQETISYIEGLDVMSGEVGLPLHRRFLGLQARSCYCGSSELCWVLRLQEDRENILRARATGKAVLTRPFRLMSDHLGVVLTFPVYLVDLPNDTKVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEIPLGSPSPSHTSKLEFGDPFRKHNMTCRYRNKLHVPWSAITTPSGVFVICMLVGYILFAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSAKSREKEIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKNEPVINGMNGHKDDASAIYASASHNTLSGYEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFMPFMQADSSTSRNYGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAINDSKTVLLHPLPSSFKGLSALLVDKRSVRATVTKYHLQRLGIASEVVGTIEPAFGVLSGRNGRSLTSMVKKQPCMLLIESDSWGPQMDVSLHATLQEMKQNDHIRVPPKVFLLSAAESDKVKTIYAVDSVITKPLKASALAACLFQALGITQPSNEKRDDAGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQMPHKFDLCLMDIQMPEMDGFEATRQIRAMEGNEQASDSDDSSSENAAKTDKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKQLFQAVQKFLGPTTSS >OB03G39060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23381167:23381433:-1 gene:OB03G39060 transcript:OB03G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQINIKNLKTNSKNEALKIQISSYAYMKLLNSGWLESPCWASAVFTVSMSDPNDASAVGQRRNKLPQRNKFTGNPSIFLTLNSKYIL >OB03G39070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23387541:23390725:1 gene:OB03G39070 transcript:OB03G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATALLSPAAAAPSRRVAALGATSLPFPRRRGVALGSVKGLGRQQLTCRRRSSVVRASWSPSEPESLPSSSSIAPLQMESPVGQFLSQILATHPHLLPAAAEQQLEQLQTDRDAAKEDGGDKPTPSDGDIVLYRRIAEVKEKERKRALEEILYALVVQKFVEAGVSLVPALSHSINSSGRVDQWAESVEGKLEKLHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDKRFQLEKSMKTLPWGSEGDMLNQVMTTDSRPSAQTSTSHPEMASWTSPNFSAGGPSQSVKPCRLRSYVMSFDSDTLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVVN >OB03G39080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23392565:23396470:-1 gene:OB03G39080 transcript:OB03G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLPKIQPAASPAATAKARDDPRRPSSLRLGVSSGRTKAMKQKFVAKNDVSVVEGENAFDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGVNFEVYRNDDITVEEIKKISPRGILISPGPGTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSFVHYDEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEIIAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCFP >OB03G39090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23405558:23407683:1 gene:OB03G39090 transcript:OB03G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OB03G39100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23408631:23410988:-1 gene:OB03G39100 transcript:OB03G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASSEDNLLRDNLVDLNDDYIENQMAFDVNDELVNMNNNSSKENLENSEPFVGMEFESEEAAKVFYMAYASRVGFSVRISKSRCSRNDESIIMRRFVCSKEGFHHKKQNDTGKRKRKRAILREGCHAMIEVSQKYYGRWVVIKLIKEHNHAVAAPSIVRYIVPEEYAQLEPFAGMEFPSHEAAQTFYYAYASRMGFDVRIRLSRRSPKDSTFVMRRFVCTREGATPCEENENKRKRNRGVPREGCQAMFEIVKKDHDKWVVSKLFLAHTHELVNVPNKVHYIQSNSEVVVLAKTSVLRDNSIAPTLNPLPADLGKNFENQATNDQEIIERCRNAFGLDDTQKLLGYFKRLNAENPTFSYAFQVDKNDCLTHAFWADAKARTSYYYFGDAVTLETSFVENEDLLPLVMFSGVNHHLQCQMFGCALLIDFTEASYIWLFQNWIAAMGSHHPTSLTTVYNEAMGSAIAKVFPQTHHLYFTADILKRSKDILADIYFRCASFERELYACVNEPKTIEMFELSWRKVLDKYDLDDNLWLQSLYRIRQKWVPVYFKDVFTAEFSASQRPESLRNIFEKYFNRRTALPVFISLFEHLMAGWSEREAVEDLASSFTRPVLRTPSNMMKQVSEIYTTTVFNILEEEFIASLGYYISGLDNDGLIAVYSVTKEDTEATCRVRYDTSGNIAKCSCCKFESCGILCRHILRVFLALDVRTIADVYILKRWTKEAKNGFVLDECLRYSELHRDALRYAREGSTSGEVFTFAQQTLQVAFAEVVQMKQESFSQCTMR >OB03G39110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23411813:23414509:1 gene:OB03G39110 transcript:OB03G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRPENSKLRAIIGVDATAQQNPLANRSICRHKKQDLIRSKPYESRLRGQRAFLVEPHSTLDWCWGSILRGTASDVLRGAMLNWIGPYVHSAHVVIVHNRLIRHWRIKFLEELMKPATLGDRMGNALVFSFGAAAGNYVVAF >OB03G39120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23429769:23433028:-1 gene:OB03G39120 transcript:OB03G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLIGVAAAMVGASYAAVPLYRRVCQATGYGGTVQRRESVEDKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEKIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >OB03G39130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23439008:23439997:1 gene:OB03G39130 transcript:OB03G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSTAGFLAILLAAASLALHAGMSPSPPRGNPCPTNALADLKVCADVLVLLKLKINVPASQRCCPLLGSLVNLDVAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAAGANCS >OB03G39140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23444527:23447538:-1 gene:OB03G39140 transcript:OB03G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNERVSSATNLLEELRAMRAERSKQLTDIRSEIDKIATEISGRSYGYDNSPRAGEFDDHDLTIRRLNEYRARLSSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSFSDSTLASLSQVVAMLTSEKTKRVAMLREAVSPLLELWDLMDSPEEERRSFRRVPAVLRPGREEALSSGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDTSTVPEKSIALIDSGLVNPSELMASIDEQIAKAKEEQQSRKEIMDKINKWLMACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIIAKIPAMIDNLMSRTLVWESERNKPFLYDGSRLVAVLEEHKQARLRQEEERRRLREQKKLRTLFSEKETMPHLKRPGSSFGRSAEPCSMSRKRVDAAPPSVRSSSASSGSSGGNGADLFRPRSSAAGPGAGAGXXXXXXRSATSPCPRPAACRRPCCHEARRS >OB03G39150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23447885:23449275:-1 gene:OB03G39150 transcript:OB03G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVELLYGVPLLPLQASGAAEAAGCGALLAELKQLWGEIGKSREERERTVRELELECMRVYRRKVDEATTERALLHQSLAAGEAEIAALTAALGADSSPQLKVNTH >OB03G39160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23453725:23455988:-1 gene:OB03G39160 transcript:OB03G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTHSRATPLRLRVRRRQSNAERSNRNNSSASAPGDGDADGFLWSQISAVLGADVAAGTLRCGCMRITPKSINLSTIQIEDSVVSVCPSLLLVWRDLSGTVLANKMVPGGAFDAGLYLGNVQKDCVFASGTKVEEIHTGCLMLVYPMGGVAEEAICASTLVGTKETSEHLGPEQMARGVGLVFR >OB03G39170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23456545:23456790:-1 gene:OB03G39170 transcript:OB03G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEWVEAECAICLSEMADGGECVRVLPTCWHCFGGTYGECVLPRAVAGGRSLAHQPTRSLLPPLLPCLQLMLTATFGLCL >OB03G39180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23457783:23466281:-1 gene:OB03G39180 transcript:OB03G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINDPDDVVTEFIEGLVETYPGLQYLDGFPQVGDVREGNWFWFCWGPFRDEPPLECVQIKVVLRADVVRGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTVLVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQMIDVVVEHVLKQILSQETQYLPITRGSNVVLLINGLGATPVMELMIAARKAVPELQLEYGIAVDRVYTGTFMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPLPPSPSVKDDEILAESQELSKQGCILEAAIEAGAKEIINIKDSLNEWDSKAGDGDCGTTMYRGATTILEDMKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASLTAVSKYGGASAGYRTMLDALIPACTVLRESLKAGEDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAMAAAAWYRAAAHAVKNKVHGSDS >OB03G39190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23475209:23478393:-1 gene:OB03G39190 transcript:OB03G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLNEHSGRYDHLARRLNDIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKVLADNPGLPCFCFGHSTGGGIILKAVLDPEVETCVKGIVLTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTASHRNGPPVSRDPEALKAKYSDQLVFTGAIRVRTGYEILLLTSYLQQHLHRITVPMLVLHGADDMVTDPEGSQKLYQEASSSDKSLNLYNGLLHDLLIEPEKEKIMGDIVDWLSPRI >OB03G39200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23482822:23489971:-1 gene:OB03G39200 transcript:OB03G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLRKNRFAKAEAALRGELNGRGDSNNGPTVQRRVPEPKEEDEQEGSEVGSNAGPRGAASVRSADSSREFIVKEIDVGALPNGSDGRKGLGIGLAQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRQRRGTVVADKRDRGVGTEQPGPVLEQKVSFGRGKGKVDVPGRNEINEPGHSRDKNLVPEKEELLNGCTVKTVLPFPAENPSSSYHTAHHDGNERKDVKKSIDADCVGKAAKGQLDEGNRQYYLGKSQENADQVADRCFDLQLIGDNQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDQHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDMIAQYDGQLLDSEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADMGSEVRESLIGGSSEGDIEYFNESNLSISGKRSSQQETEKKRVNAKGAKQEQLSYVDNQKGILPPGAAYADAGFSFPPPLHSGKNVESDVKPLWSKKVDMYSINDPDDCQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTINARHHKLDDAQEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESSRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >OB03G39210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23492325:23493230:1 gene:OB03G39210 transcript:OB03G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRPRSIGARGLRFFPPLCLGRRGAAPVHLARRRPPTRRPYPRHRPATPAHVAFVLRDRFSTCPGTRIYQCCSNINMSHNDSKRALVPMEVDPVMNTHVSQVHQVRKDGGPDFSIKATTVAFSAGAHYRLPSESSVCKYVQSYSSWLYERTEGALHEEQKTQFF >OB03G39220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23492834:23497526:-1 gene:OB03G39220 transcript:OB03G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:J3LS96] MSASRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTTGPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKSFNVIAFSENAAEMLTTVSHAVPSVDDPPKLRIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEVTKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKIIEDETLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGAEQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVREKSILRMQTILSDMLLRESSPLSIVSGTPNIMDLVKCDGAALLYGEKVWRLQNAPTESQIRDIASWLSDVHRDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDGIKSTRAASLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDSNGLVNGWNQKVAELTGLRVDEAIGRHILTLVEESSVPVVQRMLYLALQSKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEVINKMLLGEVFDSSNASCLVKSKDAFVSLCILINSALAGDETEKAPFSFFDRNGKYIECLLSVNRKVNADGIITGVFCFIQVPSHELQHALHVQQASQQTALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVNVADSCHRQLNKILSDLDQDNITNKSSCLDLEMVEFVLQDVFVAAVSQVLITCQGKGIRVSCNLPERYMKQTVYGDGIRLQQILSDFLFVSVKFSPVGGSVEVSCSLTKNSIGENLHLIDLELRIKHQGKGVPADLLSQMYEDDDKDQSDEGLSLAVSRNLLRLMNGDVRHMREAGMSTFILTVELASAPAK >OB03G39230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23508713:23509510:1 gene:OB03G39230 transcript:OB03G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDKSQRKGHAFLPYYFHDSSSIGFQASLSPWSIGKQLDQAKSKHYRVMLGYSLRPKIQP >OB03G39240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23510361:23512363:-1 gene:OB03G39240 transcript:OB03G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEELHGAPAASPAVPPPRAPGPPRGPNAPRAGGAPAKALPIDVPAVPLSELNRLTGNFADRSLVGEGSYGRLYRATLSTGEAAAVKMFDNGGSGQSEAEFCAQLSVVSRLKCDHFTQLLGYCLELNNRIVIYEFATRGSLYDILHGKKGVKGAEPGPVLTWGQRARIAYGAARGLEYLHERAQPAIIHRDVRSSNVLVFDGFDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNEEYPPKAAAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >OB03G39250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23514595:23518767:-1 gene:OB03G39250 transcript:OB03G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTIVRAGAEEEEESTLEQGLLASPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNSSAASNVTAWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMAILTMSASVPTFMPPPCEGSFCPEANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFAIPTIFMGLAIISFFAGTSLYRFQKPGGSPITRVCQVVVASLRKWNIHVPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATITDLDVKADSFTNPWRVCTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVPMARRFTGNPRGFTELQRMGIGLVISILSMAAAAVLEIKRLEIARAEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGSLEFFYDQSPDAMRSLCSALQLLTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNEGHLDYFFWLLAGLSFLNFVIYIFCANKYKSKKAA >OB03G39260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23518583:23518765:-1 gene:OB03G39260 transcript:OB03G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTTAQNRVPLQSRTPRGGTEPQRGLRRRAKKKKKNSGCSCRPPHWFSPTHIQIRKLPPDP >OB03G39270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23529277:23535359:1 gene:OB03G39270 transcript:OB03G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3LSA1] MVLSHGVSGSDESIHSTFASRYVRSSLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTQKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKRGLEKTGRFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDVEKVLHELDALPARVALNGDALAAAASEREMEKQREVISLWKRAVLSKKKTNGVC >OB03G39280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23549249:23551022:1 gene:OB03G39280 transcript:OB03G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRAHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSSRRGGGGGAAAGGVKNGSPRRPTRPDMNSSSMASLLDKYRRECGAAGLFAISSSHHNSKEDYCSSTNEDTSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNNRDDDQAEGQVQLIDFMEVGATSRQ >OB03G39290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23564427:23587720:1 gene:OB03G39290 transcript:OB03G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) TAIR;Acc:AT1G64790] MVIQTLGESAFMKPFAASLVQSMEKNMKVTSPLACFKLLRWSCYLLRWSQFATLSKGGFSRLANAQAVLCQVLMDGSFRQRRTCKQLFTRLFSESIGAYNMYIDEVRDSRILVRDSPAFLNLILDFTITSPSLYAEYKPMFLDLYVKTVLGSKDRPSQASSEAFKPLFSDMGHEDFKNTVVPSCIRMLKRNPEIVLQSIGYLLYTVRLDLSKYCMEFMPVVLHQARHSVEERRIIALSSIGTLSGKSSDPDTLLSMFNAIKAILGGSEGKLSLPYQRIGMINALEQLSRSPPKQISKLAPTLSSFLITCYKDDGIEEVKLAVLSALGSWASVSTETVQPDVIPFIAAGLKEKDTLRKGHLKLIRAICKNSDSLTKVTSLLDQLIQLSKTGFTKATQRLDGIYALFSISRLAAIDTKADAAVLKEKLWILIAQNEPSFISVQLFSRLTDEDCLTIVDLLQSLLVEHLSRVEEFFSVQSLLQVLIYLVCHPSWEVRKMSFDATKKILSSSSGLAEELLFLFTNWLSLVGERMSILKQSDTDNTADSQLPLTPSTEVLVKCLLLIAPYAVDHSPISYSQLLLCSHHPCISSSDRSSGVWKRLQRRLKQQKIFFIELISPNISVICKVSVSQIAVSTYIMLILFATYNFPCTSYKKELLSSDGLFSSNKQIQCAALHSLSTLMTITPNDAFLEFEKHFTGLPDLTLHDGFSENDIKIFYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDVNMILREVVTQDSVRSGAPAKTDKRESSSIGKRETGKSTKKTAPADKAKTAKEEARDLLMKEEASVREKIGHVQKNLSLMLDTLGELAIANPIFTHGQLPSLVNYVEPLLSSPIVSDSAFRAMLNLARCTAPPLCNWAPEIAAAIRVIAVDDFEIVMDLMPVTVEEDSKKKSSSGLFEQIVTGLTVACKGGPLPADSFTFVFPVLYHVLSTVPAYHPAVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAIKCIPSHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDIFTDYSGVFDALSHKNYNVRAAAAEALAAALDENPDKMQDTLSTLFSLYIRDLGPGVEFGDTHWLGRQGIALALHSLADVLGSKDLPVVMTFLISRALADPSVDVRGRMINVGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQDEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFRISSLKKYGVAATLRQGLEDRVSAKSREGALLGFECLCEKLGKLFEPYIIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQEVGSVIKNPEISALVPILLAALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPKSLGGVFQNYLQVVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAIDDEDVDIQNSARKAAETVVLVIDEEGIETLISELLKGVNDSQASMRRGSTYLIGFLFKNSKLYLADEAPDIMSTLVTLLSDTDKATVSAALEAFSRVVGSVPKEQLPAHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTVIIAKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDSVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGTLCQYMEENETSDLVQTLLSMGTLPDWCTRHGALLTFCSISMHCSSKLCRSPSFPSIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQSEASTLQLIQLLVLALRDDSSEVRRRSLSCLKAAAKINNSALATHLSILGPAIAEALKDTNTPVRVAAERCALHVFQLTKGADNVTVAQKHLNMTGLEVRKIAKLPEESDGSESSDDDRRT >OB03G39300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23587476:23588622:-1 gene:OB03G39300 transcript:OB03G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRGIGAGTFCCGAVGCAPRDQGMACLKNVELDLSAMPTLEQAHTVIRHYGPTLFFHPKEVYLPSSVSWYFKNGAALCKKGESAAMELDGEGSNLPCGECNDGEYWIGLPDGKRGESIIYGDIDSAELYAHVKPAMGGTCTDVAMWVFCPFNGPARFKLGPITIPLGKTGQHIGDWEHFTLRVSNFTGELMAVYFSQHSGGRGGSAALGIGIRNDAARSELSVDSSAKYRIVAAEYLGEGAVDEPRWLNFMREWGPTVVYKSRQRMERMASGMHRRLRSPAERMLNKLPNELSWEEGPTGPKEKNNWEGDERW >OB03G39310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23592334:23592960:-1 gene:OB03G39310 transcript:OB03G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium load-activated calcium channel [Source:UniProtKB/TrEMBL;Acc:J3LSA5] MASALSSLRYGDTRTLVAFSGAPAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGSSSSSSSGGAASGSSQPAGSSSSSSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRTVAKLPFAPVPLVQRMSHRGIPGNDPTDCSMVFLYFLCSISIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >OB03G39320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23596123:23596652:-1 gene:OB03G39320 transcript:OB03G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMQLGVVPFENGQYVRLLNRGRAGGYLFADESGKGLSTDTRREMINTVWRVQILETEAVHVVLRGAYGRHLAATPMNAGFGHIGELATQCVFETMEDIHLMWRTIPGSRAGDIVLLNDTSSLRALRANGLYRPWNTGVTLQAIDRTNARLSLMMEWGVQVIPEVQRPPFQLRPAA >OB03G39330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23599315:23599826:1 gene:OB03G39330 transcript:OB03G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3LSA7] MSSSQGGGGRGKARTAKAVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELGRLMGNVTIAAGGVLPNINPVLLPKKAGGKGDIGSASQEF >OB03G39340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23600760:23601329:-1 gene:OB03G39340 transcript:OB03G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGARRRRTLPRAGAPPHHARHPPRPDRRGRAGALPRQARPHAALLQDGLLRVLRRLGREPQQAGRQAARRVCLRARSRRRRRRQPPAEEKWRRVPLPWELFQPAMRIMAHCLLGPTNSDELKAQAARAAECLYWRAAETMDARALLATRSLVRLSQMTEEPIPEPSFSGGMENMAELEAMRANILSTKN >OB03G39350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23605480:23610841:1 gene:OB03G39350 transcript:OB03G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFFNGKSEKRTPEIYLGIRNFIMLKFHAKPQLQLESKDLAELSIGEVDAQREVLKFLDHWGLINFHPFLPAGQEESKPEEAHSNSHCEEKTSQLEQLFKFESVQSYMIPLPKKGEVETPAPLPSLLPDPSLVEDVVSAAEPSVEYHCNSCSVDCSKKRYHCRTQADFDLCSDCYNEGKFDIGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDEDVNHNIQEGTEQASTEKGAVDIPEKMEVEDKAEGKDIAGDKPAEKTEDNCVEAQTEDGNAIENKDTDNSGGTDSVKSPKTNEQEKSSDTDPILKDNSVGVDTSQENASSFAIDTLKSAFESVGYFPEHEGSFADAGNPVMALAAFLAGLVEDDTATNSCRSSLKAVSEVSPALQLATRHCFILEDPPNDVKDMSGSASTTNTDVDQTIDVDKTQNSLDSEVEGMNEKEEAVPPAKEKRKSPISLKDLHDADKKDECDEDPPVDPKLKDGKESGGPVSLHKGVPNRKKGNTMEANNPEMVKDKSSFEVNPADDPSSEGKVEMNKTDNAVANASTVQEQKQSQTLENGSMEEPKSKEDVAADGENGSKVTAKLTDSITRLKRAAATAISAAAVKAKLLADHEEEQIRQLAALMIDKLYRKVEAKVSFLTEVEHLVQRTREFTEKTRKKLLMERNAIIAARMGSLPSRPNQPGAPGNRLPAGYGGPVVRPPPNAMSRPSS >OB03G39360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23615761:23621070:1 gene:OB03G39360 transcript:OB03G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 1 [Source:Projected from Arabidopsis thaliana (AT5G66750) TAIR;Acc:AT5G66750] MMEGMVVAVANGMKVEAVPATDAVAVATVNADSPTSVLEDEISEGKNGDVSDHVEAIKQEEELADVLVEEKGDDFVDASSALPAELAPNNGDLPPLTVPVKMAKKEEQLLEPVKEEKADDCVDAISSLPIDLEAKNGDASLITDAMKEEEDKLHEERVKVEEEEEARKREEAARVAFDPNACFNKLDELLSQTQLYSEFLLEKMETIADVEGVETQAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLHGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAELRRKFMPKSTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEKQQEESEEKRRVNVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDKYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSTGLYPPVEKLMEQCGKFQLLNRLLNLLLSRKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQSRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNVLNEGELLALLRDEQGAEDRMIQTDISDEDLVKVMDRSDLTGPPADADAAPLLPLKGPGWEVVVPTKSGGGMLTALTS >OB03G39370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23624885:23627724:1 gene:OB03G39370 transcript:OB03G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRLLLLLLSWGFLQLINLPFSAPQSFIGINYGDVADNLPPPTATARLLQSTTISKVRLYGTDPAMISAFAGTGISLLLGAANGDIPNLASSPAAAAAWVAAPLPSTSSPAVSAVSVGNEVLFADTSLASQLVPALQNIYAALPPNSSVKVSTVNAMDVLASSDPPSSGVFKPELAAALDPLLAFLSKTGSPFLINPYPYFAYQSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAQVDAVRAALDAKGYKDVEIVVAETGWPHSGGTDEAGATAENARAFVAGLVSHLRSMAGTPRVPGESVDTYLFAMYDEDLKPGKPSEKSFGLFQTTTLAEMYPTGLMRNGTAGIGPAMAPAPAPTLPVRPSPALASPPPATIPGQQPQVTPVQPGSAAAGGPSALCAPGTATTTSRGAAAGCSSHSAAESSRTISVLHILACVWLMYLLI >OB03G39380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23627599:23633509:-1 gene:OB03G39380 transcript:OB03G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:J3LSB2] MEKALVERLEAAVARLEAAVASGASLSAAPRDLLGDGLEAAASDPAIVAYDEFVAGAVGRLTAAGEKIGGKVLDVTKVLAEAFDVAKDRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKELYMPGLRDFVKKYYPLGPSWGPVGGAPVSQPKAAAPAPKAPGAKAPPPPALPSAPLFSTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAATPEKTPRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGVVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSKGSLGASITSAKSSEINVMVPSGTTDGDWVEHALPQQYIHSFKDGQFVTSPVSHSGA >OB03G39390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23645299:23646801:1 gene:OB03G39390 transcript:OB03G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQAPSSHGQIPAALSYGGAAWPGGEHFFADAFGASAGDAVFSDLAAAADFDSDGWMESLIGDAPFQDSDLERLIFTTPPPPVPSPPPAHAAARPEPAPALLPLPTASTPVACSSPSSADASCSAPILQSLLSCSRAAATDPGLAAAELASVRAAATDAGDPSERLAFYFADALTRRLECGTGAPPSVAADARFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPTRIRITGIPSPFLGPQPAASLAATSTRLRDFAKLLGVDFEFVPLLQPVHELDQSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSLFESLDVAMTRDSPERVRVERWMFGERIQRAVGPEEGADRTERMAGSCEWQTLMEWSGFEPVRLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >OB03G39400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23651407:23652216:1 gene:OB03G39400 transcript:OB03G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSSSTGLGFALGRLGGRSGGVAPPGSSSSSVVSARARXXPPRPAAPTPGAEQGVAMEQGAKQQHQSPPPSQQQQQARRDVDGGTGDRQEGRGSRDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEVHPSHPDYDTSQGSEVKEKEKARHLKDDKHAT >OB03G39410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23652460:23652618:1 gene:OB03G39410 transcript:OB03G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDVSNQTTGCLFSLSSRKKAMHTSTVIVPDELLIAEPAPRVRVLVWQISP >OB03G39420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23655848:23659397:1 gene:OB03G39420 transcript:OB03G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSTLSSPAPHAAAAAAASASASSPPLSFPNADLVLRLHLDPCPDDGADFDAGGEDQRGSEAPSLDLHVSSASLLRSRYFGALLSDRWSPAPSSAAGGHLSLAVAAAYSCAHPFHAHVEVVRLLHTLDFAGAIHSPADALDILPVALQLLFDACVEACTRFLEAVPWSAEEEARVLELAPLLPAVEAADLLVRITPPPAASSSAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLQSAVLNVRHLLWLIERMVEMRVADNAVKLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFAHSVVNGETLVPRQVRTKLVKSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLVAAFKTWFRRAGRAPQGAEN >OB03G39430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23666119:23666713:-1 gene:OB03G39430 transcript:OB03G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDHTEDEEQLEEEEEEEERRRYGGGGGGRGSRFRSRRQKRRAGGSHHQLLLMDCVGSGKEGASSEETVPLPEYERLSHTAQQKQPQTPTATPKQAERKKPPKEPPSPPRSQQPKPAAWRLIEYVRSRNKSGGGGAAPGCSSSDGDSKSSDGEKEPEDGAGGEDGNKDKKDKKKKRSRSSWLPAPERRWPVQGFY >OB03G39440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23683050:23685851:1 gene:OB03G39440 transcript:OB03G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:J3LSB8] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGGYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSIVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGDEGDEY >OB03G39450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23691103:23691348:-1 gene:OB03G39450 transcript:OB03G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLRARHRHRYGQGRAGRRREEEKEMPAFLSSPLSPLAVSFLLSFRERENKKQELSTVPPPPPRTPPTVESAAIAIAKP >OB03G39460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23691315:23695708:1 gene:OB03G39460 transcript:OB03G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFRKKHASRFNSDDTEQQEEKIQELRDAIGPLSSSSEKYCTEACLRRYLEARNWNVDKSRKMLEESLKWRTAYRPEAIRWLEISLESETGKMYRASFVDREGRTVVIMRPAKQNTTSHEGQVRFLVYTLENAILSLPEDQDKMVWLIDFTGWTLANAVPIKTARECASVLQNHYPERLAVAILFNPPKVFEAFWKVTKHFLDPKSIQKVNFVYLKNDESMKIMHKYIDPEVLPVEFGGKSNVVYNHEEYSKLMVQDDIKMASFWASDTKTDHVNKVINEHSVPEVTPQSSLVAAKAS >OB03G39470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23696328:23700502:-1 gene:OB03G39470 transcript:OB03G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAGFGSGGGLWALLLLVALAFSAAGFGLPGSIPAWLGAGLAPTFQLLDISNCAVTGEIPASAIAGLSNLTVLNLAGNLLSGQLPGSALAGLPRLSRLNLSGNAFSGELPKAVWSLPELSVLDVSQTNLTGTLPDTGLALPSNVQVVDLSGNLFYGGVPGPFGQLFGRTALANISGNYFDGKLGVSKGDVGNFSFELNCFVDVASQRSQADCQQFYAARGLIYMSPAPAPTLQPALPASPGRKKRRKNLKYILIGAIGGGVLLLAVIAAILYCLVCSGSRTNRSRNDQRESGERNTQLGASGTGGGAVAAGMQSSTSPANLAKVGDSFGYDQLVEATASFDDDRLIKHGHSGDLYIGVLHDGTSVVVKKITSSMSRNAYMAELDLFAKGLHERLVPIMGHCLDKEDKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGAPSASCSYDVYCFGKVLLELVTGRLGTSASNDAATNEWLDSTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLFKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >OB03G39480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23721084:23722960:-1 gene:OB03G39480 transcript:OB03G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVLLIFKYSPWLRIYRCWFPVMLCPFLQNTHISFTLLVALVYFRLTMESSKTQSQESASSVPKNPAMASCRKKKSDDATFLEDLKDHIDEFIHASMDEHKTCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSR >OB03G39490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23723244:23724779:1 gene:OB03G39490 transcript:OB03G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAVPSWVVLDRLVHLHKVDDEEEQDWATIECSETKAYVTVENGDESPDGTSPFRLSPFDGLELLVRVAEPPYPSALSIRLVDDPDKDDRRGFLSNVLLAGGGFLVLGSCLPDTRGSNSYIVLDAPSGSLAMISTLSLRFRPSVAYTPLPLRRPDGGYVLVLIAMDMMNSRPADGSGYLPEVICLMPSWLPFDHPWQLKTPLFPPEKPRLFGAHEIFSFQGHALWVDLGRGILSCDCEDILLSSNGDVQFRYIDLPMGCKVDFDPSYHRALPSEYRAIRGMGNSIRFVSIEGYTTMHRRDMVLCMWTLIVPSSSGWRKVGEISVGELWEQKGFKTAGLPTHVPPTRPMLSSHEDGVVYFLLGDFYEDEDKDEKYIHMFSINLLTRKFVSSWRIPSSCCPQSNLELLMESDILKDIGSHRLLPIAVPHHGEAKRMKWDHHETVMGLTSKEDLGRAEKTSGMV >OB03G39500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23726288:23729537:-1 gene:OB03G39500 transcript:OB03G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLVNRIDNLKEDNGIGVMWRDRLMLYQHPCFKTASELIGIILKLVLHSHTLPSVELESFISAFGRVLSWKSQACKSKRLKEMMEYKVYNKWGELKLHKQYEEDSGFSRLDMSRCALQHVVRAGKKHNIQKSARMEVNKRQQWKCRTNLLVCRGNNGVQEVKRKLLSLDIPHQEHMLAACMQKAAGRLEKCSSVDLLKNYPHELFKNKSAGFSISLVIENIEDVQERAKLHFLDNQT >OB03G39510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23730693:23731157:1 gene:OB03G39510 transcript:OB03G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDSPRYALRATLAGHRREVSAVKFSLDGRLLASGSADKLLRVWSTSDLSPFTELAGHGEVVSDSDLAFSPDDRLIASASGDRTVRIWDLGDGGEPHLMKTLIEYTNYAFCVALSPHGNMLASGSFDVTERVWEVRSGRCLRVLPGFRPVR >OB03G39520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23737256:23739290:1 gene:OB03G39520 transcript:OB03G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGSYDGLCRIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLVVVADLLPPPPETFIVVKMFNFMQFTGLDSYKRLVPRVHKESTAAADVCPSTSALSPIQDLTRASMLGLRQTMLLACLEGSTLNHRNN >OB03G39530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23740812:23741076:-1 gene:OB03G39530 transcript:OB03G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRIPIYSCCVYMIPLHNGQHQLTRVIMYQPFSKSYMIFVLSFLRLANQSDPPKRNTPNSTASNDLLLRADLPVPGDFRFCLLCLK >OB03G39540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23758880:23759116:-1 gene:OB03G39540 transcript:OB03G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGRGGARGRRRFFGGRGGWHAWCWQTVSVPVSTLGMNGGSSGGDTRYERRQQWWRPPTKPLARTILSAKERELGE >OB03G39550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23767357:23767668:1 gene:OB03G39550 transcript:OB03G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L6 family protein [Source:Projected from Arabidopsis thaliana (AT2G18400) TAIR;Acc:AT2G18400] MEAKIFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >OB03G39560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23772927:23782220:1 gene:OB03G39560 transcript:OB03G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast FIP1 [V] [Source:Projected from Arabidopsis thaliana (AT5G58040) TAIR;Acc:AT5G58040] MGGGDPVVGVDPTGDWADEEDGGGRAAPPKREAAAKPPPSAEEADPLMGGVAGDSGAAIPGLSSSGAAGTAAAGSEEWDSDSEDDIQIVLNETDGHRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGPDGERKEGGEPGKAVAPPSGRIGYSGGGQGFHPQHHSMFKYVRPGATPGAPLGGAPNTTGQFRPPGPSGPFPGRGRGDWRPGSGRGMNKGFHPGYGMPPWGGSGRGFGGGLDFTLPPHKTIFDIDVDTTFEEKPWKHPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQEYDPDLPPELAAATGHHDISADNRNKTENGHTDFSAQGRAPTSLRPPVMTGRPIQVETGFGERLPSIDTRLPRMRESDSVIEIVCQGQSDDPIDESDQTEKDSQGGYKRAHGTEGGRPYTSEMNSSHSSALGKEEHKSRLPVSSEGDMLATDVNGRSSPSYNRTRGSPHRVGSSKGNSWGLSSAQEIETSNEVLPRQTTSSKRNRDSQGENNPEEGSESKDGPEGSPTAADEAADKLSTEQFDGNDGSLALVDSAEVDGDDAISDPHGVSEITDGDNLVHSDKKRKLISRAEQPTGPNSNEQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRVNDVRRYHDGEERNSHRKDEYIRDAKQDVEKSHLASRSREDTHHPYANRDRDIRGRSYDRVRETEVWQRREDSVHNRRAKEEDVRLEHSAEVGARNRNKMRPIDRNDRDDDLHARKRLDDGDWRGSRQRERGDVVLNRREHIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRARDDPNRRKRERDDGIDQKRRDDNGRMREKADDRYHTKHKEDNWRQREREDRHRPKHENTVTLQRDEGRGSGRGGRILDDKLASGSKKQDESRSSGLSKETQDRSRQNEPLRRDQGAEENNIQNRGRADVHPRDDNPNNSERNTRQDKLNNTHDNNRLSSSSGARQASRDRYRESTRKGRNSDINEQDLPKSSKRRREDHESHRGGKVDVKGVSEQDNSTDHAVSSKKGQNPQRDAFAKQVEDLMSDDENNEDSRRGRSKLERWTSHKEIDYSSIDNENAPAFSSIKSDVQAPTADELGKSEMAAVAVNSENKSSGDTGQTSDKTTEERDRHLDTVERLKRRSERFKLPMPGEKDAPHSKKADTEAQPPQIESVAADLEVKPERPARKRRWTGS >OB03G39570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23783933:23784247:1 gene:OB03G39570 transcript:OB03G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASMLFLSYHQLHRPAVAAPAPPSRVKEEVESNGAAAAGGRVRVSLSSALSLLARRSTEAKTPEAARKTGEARRGVGEGDAEAATLESRFEEALRLSCWSS >OB03G39580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23785323:23789832:1 gene:OB03G39580 transcript:OB03G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT4G31770) TAIR;Acc:AT4G31770] MKIAVEGCMHGELDKVYDTLRELEQADGVKIDLLLCCGDFQAVRNNNDLQCVNVPQKYRHMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYKQQHYHLGHYERPPYNESTIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIQEKRFFEEEVKRRTLGSEPAAKLLNKLKPPYWFSAHLHCKFPAVIQHGEDGPTTKFLALDKCLPWRGFLQVIDIPSGPGPHEIRYDEEWLAITRKFNSVFPLTRMPFIMRDEQVDTQDDLQWIRDKLNARGAKPIDFVQPASQASNPSIAAALLCYTVHCRNPQTEYFLQLLDLPYLLDSSNSYGVDRNEPSSSQTGPPLDNDDIELPDDEDDAAVDDD >OB03G39590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23791938:23792657:-1 gene:OB03G39590 transcript:OB03G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANDEWKEDILHEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAHEAFQIDGHELTEEQREILGKIRKKKALLIQEHRMKKRTAESSPIVPRKFDKDRKFTTDRMGRQLSSMGFDPTAALDRARSQSRGRKRERSLSRAGIDGDDMDIDGQQSKKLRAMSRPRSRSKSRAPEEVVPGEGFRDSAQKKKAIKKAKDSVKNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSSR >OB03G39600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23792723:23793964:-1 gene:OB03G39600 transcript:OB03G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKRINVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNIYEKLSTVIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDCLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSYFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTSGILDRPFEDRNIIEMCSITALVHLRAVVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEKLSEEDMKLVMEMKAEATKTIAHGGEPNEEGVLLTMSTLTEEGVIAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDDKERPPCIPQAVLELEQMLLLQRKRRNWKEN >OB03G39610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23795480:23795768:-1 gene:OB03G39610 transcript:OB03G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAHRLVKGARGEHVPVGAEGDAEGVVGVSGQRLHEAGLAAVAEVPDADGAVVGGEAMRRPSGEKARSDTPSPCPASSATGERSEVLQTRSSLSADA >OB03G39620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23795667:23798921:1 gene:OB03G39620 transcript:OB03G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49660) TAIR;Acc:AT3G49660] MKTLTGHTNYAFCVAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTAVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQTRKILQKLEGHTDTVIAVSCHPNENMIASGGLDSDKTVKVWAQKEEDQMEI >OB03G39630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23821833:23822388:1 gene:OB03G39630 transcript:OB03G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWLICLLGKITIHHIVMCLEIEEQNKQAMQVPEPSHYGPSSQQRCKLRWPHKTAGMGKLLWQPKIDG >OB03G39640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23823470:23826928:1 gene:OB03G39640 transcript:OB03G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTNGCKVHFSERGIGEVTKLQVKLISPLDYTNFTGVIELKESIFGFEGEIQGKSRREPTNPGMATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAAGEVDQHHTAAAAMVQQQQQQYAAAADNRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAPGGGRALLPGAPRQAELRRHGRRRRRRQGPRGLLPAGLAEPRTHPGRAEAPREAQPALHRPLQDRPWPQEDGQGVGARRRDQVREAAAGSGEGAGG >OB03G39650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23833498:23836486:1 gene:OB03G39650 transcript:OB03G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:J3LSD9] MRECISIHIGQPGIQVGNACWELYCLEHGIQADGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGGYRQLFHPEQLISARRMQPTTLPVVTTPVIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSIVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGDEGDEY >OB03G39660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23835520:23836143:-1 gene:OB03G39660 transcript:OB03G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGIASSSSWPLTHSSTSRTRAPLVLVALIAVLTLVELSADLLIVLLERGQVLASLGELALLHALTHVPVDEGALGVHEVELVVNAGEDLDDAGGVGDHAHGPLHLGQVAAGDDAGRLVVDAALEPGRAPVDELDGALGLDGGDSGVHVLGDHITTVHEAACHVLAVARVALGHHGGWLEGAVGDFSDGELLMVGLLGRDHWGVR >OB03G39670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23845412:23851496:1 gene:OB03G39670 transcript:OB03G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:J3LSE1] MVSGGRVGGGEGEAEPEVSAAVAVEEEVAEAPAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREAHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDSHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILAKELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQEEYKRRPSYSSCEG >OB03G39680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23853640:23860391:-1 gene:OB03G39680 transcript:OB03G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGFCALFLSLYSVLRKQPHNYGVYFGRRLAEEKFRQQVDYFSFERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKEKNHQYMPTESLNVFTIANIREGSRMLWVHCVALYVITVSACILLYYEYKYISRKRLAHITGSPPNPGHFSVLVRSIPKLDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVENAEKVYRKFVRVKLSTFGQSRRSNLSRCGLCGVRASSFQQYRNKFINSNKPDLSDPEVIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDLAPEPRDVYWSNLWIPYRQIWLRKIATLAASIAFMFVFIVPVAFVQSMMQPDQIQQIFPSLTGMLKKPLFVKIVTGYLPSVVLLLSLYTVSPLMMFFSSIEGSISRSSRKRSACLKILFFTIWNVFFVNVLSGSVLNQLNVFTRPRDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKCIFCYRDDPEYGYSFPYHTEVPKVLLFNLLGFAFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHTALVFALLLTQTIALGVFTIKHAPVAAGFTILLLIGTFLFNEYCRHRFSSIFNSCSAQDLIELDRDDELSGRMEEIHQHLLDAYSQGSYNTDISRSSRNAGGPIEMVMDDPAKDAQDSNQELCDTVKEVTGSIQEHAEEK >OB03G39690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23865983:23866517:1 gene:OB03G39690 transcript:OB03G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSRGVAPNPNQAQNRGLEMSGETGSCDGEASSKAHLSSLLPTPNHAVAKAPEPRTQGNLDRLCLYLDPVFCQCGRGFR >OB03G39700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23867596:23868798:1 gene:OB03G39700 transcript:OB03G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKGLKLKKALQISLLVLVSVWLIYQVKHSYEKKAYHENEANGLHKDDQNQGEIRLGRKDLPTKMEADSSTLDERIEDEENEEMEQEMKHDENDEDPIDEPDLDKDDDLPEPGEHSADKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSSEQDELLHRAQEKILYVDDASTAVPHDNQEAERREEEARKAREMTFRGDDVSSSVDHDAQVTKPLPEEQLNSMDRIFEGTTNLSNGITFRVPGVNELNASRELAASSHPNTATVSESNTDTAPIKLNSNHTGSDQTNLTSLKGQQEQQTNSTAVLNNQVQLFTDLTSAAELNSPPNGTSTGAEKATSLDRDHDGNTGTDKAEEGDTRKDLDLSTKIMNKAISEDEVVLE >OB03G39710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23869998:23875420:-1 gene:OB03G39710 transcript:OB03G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein [Source:Projected from Arabidopsis thaliana (AT2G36630) TAIR;Acc:AT2G36630] MLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPYKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFIGTSSRSFYKGILMWREETRILMETREREEQSKSACAASDVVIDPSYQEPLLSQPQPKEKSALETMLFNLRWKNILVLLAVWSCFLVLQVVKNNSQSCSTVYWVINILQVPVAVGVFLWKAIQLCRESRARRMNGNWESVCEASVEWSPAQLIFCAFCGLVGGTVGGLLGSGGGFVLGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFALYLICISILAGFWGQFLVRKLVVVLKRASLIVFILSSVIFASALTMGIVGTEKSISMINNHEYMGFLDFCK >OB03G39720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23894716:23895325:-1 gene:OB03G39720 transcript:OB03G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYSSSLLLLLLSSPGLHSTEGRMLHHRQIKDPPEVEQAAGKGWAATSAALIGSRPPRCEGKCAPCGRCEAVPGPPAPRGGRAAGRLRVFFFFRAGGDRVDESSTNYKPLNWRCRCAGGDRRSRALDP >OB03G39730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23904188:23907848:-1 gene:OB03G39730 transcript:OB03G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATPSRGSAHAAAAEVEPDGSREGAGEAPRRPAAFYSSVFAQVGQPLDEMPAPGETAAGKRASGQGDLALPCFTSSLRRSCLMGLAVLLWLQIEEVGWERLVSGKGDGGVSCLIFRVLDDQGRNHLLEITLPMNYPTCRPSLVADVPYLPEIQWSKGSRLKDVLCQFEEHLKMLQDYWSIMDDIDKVLWVVDPAKPTYAMCHRRIALGDDCYVLLHVDARKPRSLPECRFLGTDGKLDKLIINWRKNRRKWSADKKFHENLSTVLDFALPPPPSVNIKDDDQVDCGICYAKHLPIDDELGTHSGGTADYTCENPSCSRVFHSVCLRDWLRAITTTRQSFDVLFGDCPYCSEPVAVKVTDR >OB03G39740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23895854:23904208:-1 gene:OB03G39740 transcript:OB03G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10040) TAIR;Acc:AT1G10040] MEKRDAEKKSPRLLRRLGAACLPRPGCFTVSAADEGPSGYGAGEGGGGARRPAPAHLVVTMIPTEHLGNFQTEESAAWQHSLISFGFEKYFCENWRYAAKHFIKKHPEDVVVHCSGCNVAARTFDGVDVMGRRLAEEVLSVVESRPELRKISFVAHSLGGLIARYAIALLYESATQTDSHEGHEKHVTDVSSNQPIDRGKIAGLDPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRLSWIAGRSGKHLFLKDTEDGKPPLLLQMVTDYGGLHFISVIVGWRTSSIRHQHELPKSSYNLTLECPLHQPQNFISDARYPHVVYVEEPKAQDIDFSDSMIYQAKATSEMEEVMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTLLELFWEKYSRSRGVGCMHPINFRPARQLIARITAIDFFSAHAVVPSFQIDLKQLYYLPSCPLQRSFTPGRICNGHGAGPVDHPYFGIKASSMSSALLLLHLLSFLSLKLQ >OB03G39750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23909924:23919553:-1 gene:OB03G39750 transcript:OB03G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTTISSSSITIRGVLPSAPWLRPRPPPRPRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRAGNNGGNPVLQLANGSLLDACGASGKAKEPSASSSFAADVEAIKAKIISHPHYSSLLAAYLDCQKVGAPPEVSARLTAGGGGAAGGGAGPGAATAHGARRPRRRDGAGAGPVHGGVPRDAGEVQGGADEAAAGGDGVPEEGGDAAQHALHLRPIAPQYPFLRLF >OB03G39760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23919510:23919869:1 gene:OB03G39760 transcript:OB03G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLLLMVVVTVAMAARSGPEVVRDLLHERGRETNTSRERERELPSRSPVKYWCSDGALRPLSPELPAQKLDAVRAEVKFLDEEKEEKGFWETPHTRLQLEKNRRKRGECVPACRRLP >OB03G39770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23936713:23939153:1 gene:OB03G39770 transcript:OB03G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSWQQQQQERQQWADEITTVSEGPGDAADGDPLLRRIQSLTIAPPLLSGQSAASSEAESSLTDILVRKQPSSSSATSGNLNPNVMFELFSMYREWQEEKAKKISQTQEEIENKIETADALAVKLLQRFNYSVASMRSTSHNLAEVRPLQVEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVQPFTTTRKVEPTDSETLDPKTES >OB03G39780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23939124:23943325:-1 gene:OB03G39780 transcript:OB03G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCILKRSSLTVEEYCKLLVDCKEELSRPLQEAEEFIRTVESELNTINSGPPLATLISEDKTGLGSSDDEQEDGSGMEVEAAEDEAVGVDPRSDDRELKRHLLKKYSGYLGSLRKELSKKKKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPSEEMQFAVMEAYHHYHHYQQNANSDAAAATAALYVDARLIGAAAPAAAVYARPDHGVWHAS >OB03G39790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23970450:23970602:-1 gene:OB03G39790 transcript:OB03G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSIPRFHPLASDRSPYFSLEKSQLTPTELSSPVVKPIEVLLLLVNKS >OB03G39800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23970802:23973017:-1 gene:OB03G39800 transcript:OB03G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQVVAEEKPEQLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDAVSNPSGIIQMGLAENQLSFDLLEEWLEKNPDALGLRREGGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYRVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSANGFRVTRAALDDAYRRAQKRRARGRGXXXXXXSTPLGTAVPRADLEMIVEFIAARGIHLVSDEIYAGTAFAEPGFVSALEVVAERGGAGADDVRDRVHVVYSLSKDLGLPGFRVGAIYSSNAAVVSAATKMSSFGLVSSQTQHLLAALLGDKGFTRSYVAENKRRIKERHDQLVDGLGEIGIACLPSDAGLFCWVDMSHLMRSRSFAGEMDLWKKVVFEVGLNISPGSSCHCREPGWPGWFRVCFANMSAKTLDVAMQRLRSFVESTTGSDAALCGAAAAPLRSISCPLAIKWALRLTPSTADRKAER >OB03G39810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23992519:23992980:1 gene:OB03G39810 transcript:OB03G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAAVPLPNTGMLLYSGNHWIGKTGEGRLCFFALREQLVLAKWVLESPGKWVERPAVDLRVLMEPATVGDLSLMKLSAKISDQLRGCKLVSFGGFCEGTGALFFVMADWVVALDLTTRKFVRLWRNTDELRPLGDVFPVEMMVWPPARLNDL >OB03G39820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:23997442:24005432:-1 gene:OB03G39820 transcript:OB03G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT3G49725) TAIR;Acc:AT3G49725] MANHPKSLVLKMWFQYIFLEVKLFCTFESLILPNNKMIFSWGDKFFGPGTVDNVKCYLRASESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGLSGEAEVVSARGRGSGGRGSMSGAGETELQLQRRRIQERRIKLLAQIEDVRRTRAIQRSNRKRHGDSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDNRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIEEHRSTVLQVLQQIGVPQNKINSMIEVWNKIDIVDNNDNDVSDGIEDEIFLTEGEEEEELFSENGVPAEESSFESLDDGTDSEYLSEENLDNNGEVSYKESPLEPTEMKAMNSEQSSSKDCFGELRGPEATSTDGCSSTQPMSTCHVKTSAVTGTGLQELLSLIDEKLTKRQTIVERNYGPFDRKWRPSCSMDGEKAAEQ >OB03G39830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24009497:24014013:1 gene:OB03G39830 transcript:OB03G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEDDRAGGGGGGGRKEQERRPSKAWGILLFGLIGATTATFAVAQVRRSVDWVYSQLNKIQTTSWRNASNSSNRGSFSEDARRRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYEAWRENGPPGGYNYVPRDDWYWQSDTSHSEHKNRRTYTPAGPRAYSMSHHYTVLGLDRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEEKFKEVVKSYEAIKLERKNDER >OB03G39840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24018229:24020066:1 gene:OB03G39840 transcript:OB03G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLSAPLRRRGLCTAAPDPALASSAELAYRLLRRHHADPKRLTAALSGSGLDPSSPRLLDAVLRRCGAASALALHFFHWCSPSLPAPGPLPSSLALLAKSFSRASSAPSPSLLASLPAQLLSPSLLCPVLRRLPPPRLLPFAHALLSARPDHDHPSLFLSLLESLTKIGHVTTAEQLVEELQPRLPLSLRHYTALLYGWCRLGKLDEAKHVLARMKAAEVAPDVVVFNTLLTGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDAVTYGTLVTAFCKAGSISRGYEFLDAMAREGLRVDAGVYVGFFVAHEKKEQLEECLELMERMRECRCPPDLSIYNVVIRLACKLGEMKQAVALWNEMESGELSPGVDTFAIMVNGLVGQSALVEACGYFKEMVGRGLFVAPQYGVLKDLLNALVRDQKLELAKDVWECIMSKGCELNVGAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVKDLEEKPKAKAKRKEGQKRSRWRQAGQSRSSRHADKTDLLDDFDDE >OB03G39850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24020701:24021126:1 gene:OB03G39850 transcript:OB03G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFHSPNIYFVTLYFIFLYFNSFFITPLYFNYFKSITTDPHVSLLYPFFLLSPSSSFSPSNEISTAAAVSGRGGRRPTTAASSYTGRAAALLGSSACTRPRWTSPRRRKTSAAASSRCCCSARRSLGCRITNSFFLATTT >OB03G39860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24024695:24026127:1 gene:OB03G39860 transcript:OB03G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPXXXXXXKRGRRGVETRQWRAGSVLRVGRVATGNDLAVRDAGASQRHLSILFLPPPAARWAVSDVGSSNGTLLNGVPLVPTVPTLLSDGDAIKIGESSVLAVSIAPDSDPNPGPRRSSRQSAAVVVEQEKPPPVTRRGGRKNAAAAAGPPDAGKNAAAAAEPPDAEKEEPEPEEAPVVTRRGARKKAAELPKEEKQEKGKDKGQEKVDDDEEEEVVVGTRRGGRKKAAEPHKAEEEHEKGKDEEQEEKNEGEEKEVEVAVVTRRGGRRKAAPEAVLPPPPPRARSTRAAARRGKAADTNLDDGESEMAGKGRGRATRSSSRKARSTVPEDSDGGERQEGAVSDAEEQVADQPRAVAATDGEEQYDKVEAMDGEVGQNAKTSEAPVGRGGRARRAPKGKKAKAQRAASANGAEEEDGGKSSSLETMTLREWFGRMNVYLPRMINEAAEEALSALRERHRRIDEYISTLED >OB03G39870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24030116:24032349:-1 gene:OB03G39870 transcript:OB03G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding [Source:Projected from Arabidopsis thaliana (AT3G12210) TAIR;Acc:AT3G12210] MAETVDLASSDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRHDLPPLLRRRDPDPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASRKAFAALPDLRKAITELTALKGIGPATASAILAAYAPDAAPFMSDEAMVATLGNAKEYTLKQYLAFAEKLQTKSKELSSRGEIFTPSDVERALWCSAIASKSLKAPPGDDLKNKSKTHGKRKR >OB03G39880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24033353:24043027:1 gene:OB03G39880 transcript:OB03G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34910) TAIR;Acc:AT4G34910] MAKQRSSRKHRKAAEQEVENDDEVTVAAEKEEDGEPAAASHDDEEADGAVEEDVEREEGAGGGEEEATDAATGGGEEGKEEEEREVRFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSSEGRIRKSAPNAFILVPTRELCQQVHNEAASLLEFCTSKLRVVQVNASMSDKDIKVALSGPPNILVTTPACVAACISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDLKALVPHIPRSCQSILMSATSSADIEKLTKLLLHNPFILTLTEVGHAKEDVVPKNVQQFWIPCDAKDKMLYILALLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKVEMQANKGNKKDSKVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPEENDIFEDIENMLKDVEKGDTRCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNAVKLSRAAMGIDKPRRRKRMGFKGGSGKTSDPLKTFSAEGKSRRRGRNERDGEQDRRKRKKVEG >OB03G39890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24043129:24044881:-1 gene:OB03G39890 transcript:OB03G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGQQSDQCPGPNSSKEFLGVNWDSIVMHQKMGYNSGPFGFQGYPLELEDREGLYRSPNGAFCQNIQMSDDHSSGLKKRKGVDDCIRLLNTSASSRIQNVGDQPTEVSSQQERVSMEEDNQKSCSKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDPGSSALVAHFNQGIMQPEMMCNISDPVDVLQGTTIHDISTVNQIPAMWEGLQNIPHMNFNPGAAADSSTNNAGSMKIEK >OB03G39900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24056380:24058580:-1 gene:OB03G39900 transcript:OB03G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 3 [Source:Projected from Arabidopsis thaliana (AT1G17870) TAIR;Acc:AT1G17870] MASSSSPAASLFCSSSAATSRSTRSFRLFSKNQCRPPLRPPLGRGSGLLLVRCSLQQQEEKADEASSTPVAPGKQKAAPTELHLAGREDEGDGGGEKSKEEQQEVDWKTDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDANPLAGLLRGLARDQLAREKERLELAEKTFRALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKSDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIVSGFFLKPGATLDDYVSDVLPLFGGFISILGVSEIATRLTAARHGVKLSPSFLVPSNWTGCLGVMNNYESLLPNRKALFDIPVARTASAYLTSLALAVSAFISDGSFNGGENALFIRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPCGRLEGGRIAQALFGRGTAAVLSFATSVLLGVGAISGSVLCLAWGLFATFVRGGEEIPAQDEITPLGDDRYAWGFVLAVVCLLTLFPNGGGTYSSDFLGTPFFRGGI >OB03G39910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24060774:24064196:-1 gene:OB03G39910 transcript:OB03G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXAEDPVLVGVTDEGVPLEGVIQFDKPGDAAGESKLVSYAKLGLLAGGGVLCLLVFSAIGRFSHGLPALDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGKAVIVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTGVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFELLTSLVRRW >OB03G39920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24069078:24072116:-1 gene:OB03G39920 transcript:OB03G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSLPRVSLPPVARPAAAPRRGAPLPGRRQGYLRLRGSPAVVVPAAAAGSPSVPSPYPEAGSGVGDALGGVAIYSAATGEPVLFSDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLEYLYADPERKAYDLLGLYFGIGRTFFNPASAKVFSRFDSLKEAVKNYTVEATPDDRASVLQQGGMFVFKGKELIYARKDEGTGDHAPLDDVLNICCKVPVA >OB03G39930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24073317:24074279:-1 gene:OB03G39930 transcript:OB03G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEEGGAKLIAIGVGTPDKARILADRLPFPIDNLYADPDRKAYDVLGMYHGVGRTLFSPASAKIYSRLDYIKKATKNYTLEGTPANLTGVLQQGGMLVFRGKQLLYSWKDEGTGDHAPLDDVLNVCCKAPAA >OB03G39940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24098817:24101272:1 gene:OB03G39940 transcript:OB03G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPAYFGSSTLGWGSFQLGYSGSADPEPGRCRRTDGKKWRCSRDAVADQKYWAPAAASANQPSTPAAHGGGAASGLAVNHHQQQMKNYTANTANPCSLQYSRELVNKHSESEQGQDSDTLSMLTSMNTRNTSSLFPFSKQQHNPFEVSGSRSEFGLVSPDSLMSSPHSSLENVNLLTSQSLNEHQSSVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLCREYSPIGLGAAANRDEVNQGEANWMPMFRDSLMGGPLGEVLTKNNNVEARNCLSASLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLENHSVYDGITNLRDDLGSIVVNHPSIRLV >OB03G39950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24115775:24117575:1 gene:OB03G39950 transcript:OB03G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPPIQITDLQPAVSQSKLAFLASAESPPVLSILPKSCNEERGLLIVGPEGDFTEKEVNVLKSAGAVPVGLGPCRLRVETATVALLSALMLWSDAHRQEILKCS >OB03G39960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24121510:24121925:1 gene:OB03G39960 transcript:OB03G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKN >OB03G39970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24132049:24132682:1 gene:OB03G39970 transcript:OB03G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAGPPRRDARVHRELGGARLRRREDDEQGPPQAAVRHRVRAHRHAVRRLPRHRRVPGPPRQPGVLHPAQGRAHGRQQRRAAEGFPVPRRRRGAAGLPCQPRRTCRLPHSVGSSLTRTVVAMFPAGREGGGARRRPAGVAVGFHQDAAGARAVAAEGGAEAAEGRGRRDVLPRHR >OB03G39980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24133304:24137773:-1 gene:OB03G39980 transcript:OB03G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFFADEDDDEEELPDAVRNGEEERVMFPIEEVAADRARHEAEDFEVRHDWEKRVRHGVGDDEARPQVDNDEGRHEWEERLRHELDDGQVMHKERDDHELELETVRHDEKEEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDESDGPFSCFDMSNAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKQDAELLSTPQIVDARAMLMQCLQHQSKLETEQLYHRKDDFGMHSEEDTSKDIPEVFPSMLQQTASVIPITDFETEKHPIQVTEVAVVDKSVIKEQLTKDSKTPNVLQESFDDDIDDWFDEEAELAGHTTIPIGDEEDVSFSDLEDDDEK >OB03G39990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24141891:24143036:-1 gene:OB03G39990 transcript:OB03G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHYDRAADDYRNTPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLASTLEKEAGYEEGFDLFGAPYDFRYGLAGPGHPSRVGSAFLQRLRKLVESACAANGGRPAILVAHSLGGLYALQLLARSPPAWRAEHVRRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRSAESNLWLLPAPRVFGNTTLVESRYHNRSYSAKNMTQFLRDIGFAEGVEPYRERIRPLVEALPEPGVPVTCLVGTGVDTVESLVYGEEGFEAGPEKVVYGDGDGTVNLASLVGPIKAWSGSPEQVVEVVELPKVSHSGILNDKNALDQILRVIDAINLNATSPSIHRSSQDVLYK >OB03G40000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24160160:24167032:1 gene:OB03G40000 transcript:OB03G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARRGAWAWQCGLSSASGPRRLVATCCLLLIQLVAPPVSCSASSVITRLPGFHGRLPFYLETGYVGVEEETGVELFYYFVESERSPDVDPLILWLSGGPRCSGFCGLAYEVGPINFVVETYNGSLPQLVYNPYAWTQMASILFLDTPVGSGFSYARDIKGYDVGDISSSLQVVTFLKKWLNDHPRYRSHNFYVGGSSYAGKVIPIIMQYISEEIEQRQRPLISLKLQGYIVGNPVTGSKFDGNFRPKYSHGLGIISDQLYEAAIRNCKGDYVDPKDELCANVLNVINNLMSEVDFGNVLEDRCVYNTPKPSNGDSGRRILVEDHIRLSEPADRPTINCWTYRFYLSYIWMNNNTTRDALKIRKGTIGEWLRCNKKAFPYTMDVPSSLEYFLNLTTRGYRLLVISGDHDLEIPFLSTQAWIRSFNLPIVDDWRAWHVDGQAAGFTITYASNLTFATVKGGGHTAIANKPRQALAMGQRWLDNSPL >OB03G40010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24168711:24170510:-1 gene:OB03G40010 transcript:OB03G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEGYIKELIHINMLQLVERNSFGRIKSFRMHDIVRQLAVDLCRSDCFGIAYNDEDKHGESIQGRDGRRMLLLISSLHRLRSMIALDKSSSRILSMIMDNSRYMSVLELSGLPIDKVPDAIGDLFNLRHLGLRDSKASTAWEIDTSRHAAWYHSNTSGLESLVLYIATLVPVGRRSAAIALSVAEPDRNKVGQSIIYPGSEDHGRMTIGSLLPTRWFPNLRELHLRGMPNLKQVEIHHGAMTSMQELVLVHFSGMVKVPSGIEYAVPALKWLAGLPGDHPGLS >OB03G40020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24174590:24174799:1 gene:OB03G40020 transcript:OB03G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNYILIIYIYIYKISTISSIEIGLLPRMHEHFASERLFSLSLCRLLFFVSVVSLVWKGLIWVQGCGG >OB03G40030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24177758:24180190:1 gene:OB03G40030 transcript:OB03G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASTLFLDTPVGSGFSYARDPNGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIAQYISEGIEERKQPIINLKGYMVGNPITDLKVDSSYRVPYAHGVGIISDQLYEAAVANCNGDYVNPTNEMCSNVLNAIDNLLSEVDDGNILDDKCAGRLTPKPTDGVSGSRTLLGEHSRLSELPAQPSINCYSYCFYLSDIWMNDKTTRDALKIRMGTIGVWVRCNEDVFPYAKDVPSSIEYHFNLTTRGYRALVFSGDHDLTVPFLSTQELIRSLNFTIVDDWRAWHLGGQAAGFTIMYDNNLTFATLKGGGHVAIGYRPEQGFAMGQRWLDNKPL >OB03G40040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24184280:24184734:1 gene:OB03G40040 transcript:OB03G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLLIVAACCLIFVVPSLSGEAKKVVTHLPGFDGPLPFYLETGYVSVEEDNGVELFYHFVKSERNPATDPVILWLSGGPGCSGFSGLVYEVGRLC >OB03G40050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24189169:24189688:-1 gene:OB03G40050 transcript:OB03G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKADLETLYGCEGDAAEHAGDAGHADDAAAAAGHHDTCAMLDPDEDGAHVDRHDSVEVSERSSELSGRCAREPMTLAFLTMPLRWPCHATARSMTAETLITSSRRQRYHT >OB03G40060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24189462:24194753:1 gene:OB03G40060 transcript:OB03G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAQRPLSSLDLSLTSTLSWRSTCAPSSSGSSMAHVSWCPAAAAASSAWPASPACSAASPSHPYNVSKSALVGMVSVVAAAVVVSRHLRVFYLLCEYCGFYLLNEDLNLNFHSFTVGLAFWGFGHTRPLNLWKYAFNKKEVGHQYLHILLVRSQHSPLNYVVVPYNGSLPQLVSNPYSWTKMANFLYLDSPVGSGFSYARDPKGYDVGDYSSSSQVVTFLTKWFNDHPQYLSNPFYVGGSSYAGKVSPIIANYILEEIEERHHPLINLKGYIVGNPITGSNIEENFRVPYAHGVGIISDQQYEIAVESCKGDYVTSTNKICAEVMNVIQKLISEVSLGHIMEDKCVHISPKPINDEHIQLRRPPPRHGTNCFTYKYYLSYFWANYKGSRDALGIREGTVNEWVRCNFNLPYVSDVPSNIDYHHNLTSKGYRALVYSGDHDLLVPFLSTHSWIRSFNYPIVDDWRAWHLDGQAAGFTIKYNNLTFATLKGAGHTAVEYEPKRGFAMAQRWIDNMSL >OB03G40070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24195660:24195818:-1 gene:OB03G40070 transcript:OB03G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTSTKIVNQTSLSTKDLLRLFPHRPYRVFFFLFKHFVHVLNFFLHQHKA >OB03G40080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24199286:24222092:-1 gene:OB03G40080 transcript:OB03G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-type calcium-transporting ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G10130) TAIR;Acc:AT1G10130] MEDAYAKSVAEVLAAFGVDRTKGLSDGQVEQHARLYGKNELPQEESTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRTIEMLSNQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFQDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGGLQLDFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMGRCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYDDEANLTFIGLVGMLDPPREEVRNAIQSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSRVEPSHKKMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRKVNEAVVSGWLFFRYLIIGAYVGLATIVGFVWWFVYSEDGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVSPLSWAEWKVVLYLSFPVILIDEVLKFFSRSSRGRRFPLRLRRREILPKESRDN >OB03G40090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24227108:24229728:1 gene:OB03G40090 transcript:OB03G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEELLWRASFAPRVGGYPYRRVPRVAFMFLTRGPLPLAPLWERFFRGHEGRYSVYVHALPSYRANFTSDSVFYRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFNFNTTYRYLQNSSQSFVMAFDDPGPYGRGRYNWNMTPEVELEQWRKGSQWFEVDRELAIAIVKDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSSLEPLLELAPTVLGFG >OB03G40100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24230286:24232294:1 gene:OB03G40100 transcript:OB03G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: photosynthesis; LOCATED IN: photosystem I, chloroplast, thylakoid membrane; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Photosystem I reaction centre subunit N (InterPro:IPR008796); /.../4 Blast hits to 34 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G49975) TAIR;Acc:AT1G49975] MAQPSGKPVDTVRRPCTAASASRRSAAKNLQPLATLPRKNGITRRGLLTLLASTAAIPEASESRKALLQEYLNKSKENKEKNDKERLDDYYKRNYKDYFGLIEGPARQKTEEELTESEKRILEWLDKNK >OB03G40110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24233970:24240760:-1 gene:OB03G40110 transcript:OB03G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFVMDAGMAKVTGKKFGGARVQGANQGKRIRIRSEEDAVLGDVRLNAGCSRWTRSRCPLPCGINPLNQKKIVLPCVEKDLPKNCKCLRSHRGSLDRSPRCAVLAVDADEPVIWFCRVGRAGMD >OB03G40120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24240077:24248826:1 gene:OB03G40120 transcript:OB03G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metalloendopeptidases;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G42620) TAIR;Acc:AT5G42620] MEDRASPGGGVCGRVLRLASVQILLVLFLTQGASSNSHDGKSVPSQGLEAGDKDTYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENVGQLRGRHLLGLSSWHSSQRNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSIPGTPVCDPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQVLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNLWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPHTGGPVQFPGFNGELICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCRCFPGFHGHDCSRRSCPAKCTGHGICKANGICECENGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLMTITSPGVSSL >OB03G40130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24249362:24253184:1 gene:OB03G40130 transcript:OB03G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASLRQRCALGRAMAVVAVGLLVIVGTYLLSAGDGDTEEFFSVRRIRSSDAAAGGDDLEAALRGAADANRTLIVTVLNRAYAAEDGGLLDLFLRSLREGEGTAQLIGHILLVATDRPAFLRCRRLGGVRCYQLPPAAADGADDLSSEQLYMSDGFIRMMWRRIRLLGDVLKLGYSFIFTDLDVMWLRNPLPRLEYRPEEEDLLISSDQFNGRADDYAGNELNTGFFFAASNTALFDEWHAAREAPASAGMKEQDKILMQRYFGVRKLLQPIQQFFV >OB03G40140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24252962:24259186:-1 gene:OB03G40140 transcript:OB03G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPAASPRRRGRRAPSSARCGSPXSVRCDSSAASSSSAAQSLDAEFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKENGNQYSWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDRIWLTNEIIHNPTVNKRLEDMGVQNIPVDAGIKDFDVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEANYVCDYVLGGRLDGSSSTKEEFLEKFKNAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRKYGVENVNEHFIAFNTICDATQERQDAMYQLVKEKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >OB03G40150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24268785:24271049:-1 gene:OB03G40150 transcript:OB03G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQDNYNWKGFGHKEEALAAMNRDYGSELVNEMRKNARREYVAMDGLVRLVLADAHGFCIGVERAVQLAYDARMEFPGRRLWLTNQIIHNPTVGERLHEMGIKTIPVVSGVKDLGVVEDGDVVIFPAFGFTVEEMATLNRKNVQMVDATCPLVKRVVDMIKRHIKSNHTTIIHGKYAHEETVATATFADRYIIVKDIDEAKYVCDYILEGQLDGSSSTKGEFLKKFRKALSRI >OB03G40160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24294051:24303042:1 gene:OB03G40160 transcript:OB03G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPSQPGQTTTFVSQPTKSCAAIRPATASSMSHSQGFHQGSSGVFGFSSDAFDRPGSSQDQQHEQQQHVAQQSRRDKLRVQGFDPAAAAAAGPAARGGVLPIEGDEHAEPAAMYDHAEAAAGASNMLSEMFNFQSQPPTGPSATELLASQMNANYRFGFRQGPGGVAGLAGGEGGWFGTGAAGRTGLVLGGASLGSLGETSSPKQQGGMAGLATDPAPAMPPSQQQPSRSSTSPPPSDAQSAIQHHEAFQAFGGAGAPFAGGAAGVVEGQGLSLSLSPSLQQLEMAKQAEEQLRVRDGVLYFNRQQQQQQQQAAASVQQLPMALHGQVGAMGQQLHVGYGPTGVPGVLRNSKYTRAAQELLEEFCSVCRGQIKGGRGAASAPNPKASKGGGASSSGAAQSPTSASKEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKELEGSSGAGAGGPESGNDPSGADDTHSPTTTGAQFSQQQQHRHQLEHGAS >OB03G40170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24296733:24297212:-1 gene:OB03G40170 transcript:OB03G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRQCSSARARARALWSSMEFSPASRRHWCRERERTYGWRKCSKSQERRMLTERSGRPRWGRQASCSMMPMWWKARCWRRLWSMARSRGVSPLVRPELVPASFSPRSSQVCRSCAAIASLRHRKCRDMAFCASAVYGVAAPNPITESKKFTTICIWSQ >OB03G40180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24310858:24312481:-1 gene:OB03G40180 transcript:OB03G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFVLTVAALGAAALLFTTDIRKSGATFRRNARQIRAWLEEESASAASRSAKDAPPKKLNGEIPKEKPKEDGH >OB03G40190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24335310:24337484:-1 gene:OB03G40190 transcript:OB03G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGNDNQVVQGNEIVPSNEEAQADEVHGDELVPAEELTQGDEVQGNQLVSAEMSTPPTGTRRRRKKSLVWEHFTIEDVAGGATRACCKLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKNQEHKLALTPAGGTDNDGEGTAERPSKRRYRYTGYANAAFDQDRSCSYLAKMIILHDYPLHIVQQQAFTTFIDSLQPRFRVVDVETMEGEVYAVYQKEMENLMQAFNTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKIHRRMLNFMMVSSPHSENALSEAISTSLSDWNMKDKLFTITLDNDCSSHDIYSANLRDHLSNKNNLMLKGQLFVVRCYAHILNAVAQDIIASIHGVIYNIRESIKFIKASPSREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFSTLETSDDNYNEAPSPEDWKKVEAACNYLKLLYDSAHSIMAAANPTSNIFFHEAWKLQLELANATGHEDPVFSSIAKDMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIYGVEAAKYVKVVDDAVHELYKEYVAQPLPLTPAYVEQGEGNNAAANEDSNQAAAPSTGDGLVDFDMYLSEIATSQPTKSELEQYLDESLTPRIQEFDILNWWKLNTLKFPTLSKMARDILAIPMSMVSSGSSIFSAGTGSRMLDDYRSSLRPEIVEALVCAKDWLQYTPATPETPSTALVKMDAAP >OB03G40200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24341835:24345071:-1 gene:OB03G40200 transcript:OB03G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQGMMGGYASPTTVTTDLIQQYLDENKQLILAILDNQNNGKVEDCARNQAKLQHNLMYLAAIADSQPPQTAAMSQYPSSLMMQSGPRYMPQQSVQMMAPQSLMTVRSSMMYA >OB03G40210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24364509:24364718:1 gene:OB03G40210 transcript:OB03G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLKMLLVLALLASASDGSDASRALRGEAIGRSGGEATRALVVVSKAKAGHSGCTNDPNTHGPRCRP >OB03G40220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24367995:24371500:-1 gene:OB03G40220 transcript:OB03G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCCCDYETVDSINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPEPFKKPYNGLSPDNMICQEGKPQATVDRTLDTKVFKGWVETDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSVYKENCPKYPSEELCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPELKLEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGDNHLNQPLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIEEVIKQQSSPTVKPVFLS >OB03G40230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24377389:24377748:1 gene:OB03G40230 transcript:OB03G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLSLTLALLISGLVMLGTVERSDAACNLLCVRGAYITCRNRPGEQLYGCACRCSPPGGRHCVVHLADGSTHRC >OB03G40240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24379460:24379860:1 gene:OB03G40240 transcript:OB03G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLSLTLVLLISGVVMLGTDIEGTEAVCTMFCVQGTYVTCSNHPGEQLYGCACRCAPPDGVGCVVHLADGSTQQC >OB03G40250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24382188:24382576:1 gene:OB03G40250 transcript:OB03G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAHLSTSLYINRCNTEQIDQRRDNRTEERMASMKLPLAAAAVVFLLSGLVAAKSAEAQAAAPCPVVCIQGGYITCDNYPYQKLDGCDCECAPKDGEN >OB03G40260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24384075:24384485:1 gene:OB03G40260 transcript:OB03G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSNKLSLTFVVLLAGMLVLGEMMDGAGAADCSTVRCIQGGYITCKNYPGKKLDGCVCLCAPDDGNRCVLHLQDGSSYKCRAPN >OB03G40270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24386292:24386636:1 gene:OB03G40270 transcript:OB03G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKPALPMALLLCGSCIFLFLELISIALGLSRKMMLILFSEISPGLVLIGSLQSTEAQGGGKICPQFCYDGIEYMTCPSTGSQRLKPVCNCCLADENGCAIYLNNGQVVNCP >OB03G40280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24389476:24389988:-1 gene:OB03G40280 transcript:OB03G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:Projected from Arabidopsis thaliana (AT4G36420) TAIR;Acc:AT4G36420] MASRLFHLRRLLLPSAHPSAAGCAAAFSTVAPTPRVSALVDEICDLTLIEASFLTDALRGRLGVDQLPPLAILTGGAAPLTGGAAAPGAADVEAKAKEEKTAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKILLE >OB03G40290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24392614:24397129:-1 gene:OB03G40290 transcript:OB03G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGVSPASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCSDVPPYRNTAHAVYTIARSEGLKGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQGKDDQLRPVHHLVSAAEAGALVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGLGPALLLVSHGAIQFTAYEELRKAVIYAKCRQTRTENRTYEDSLNSIDYAALGAGSKVTAILLTYPYQVIRARLQQRPGSDGTPKYTDGWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEET >OB03G40300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24397687:24400491:-1 gene:OB03G40300 transcript:OB03G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTTFDEKSTTVAARRVASLMRFREKRKERCFDKKIRYSVRKEVAQKMKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMIVQHPADLSKTGDTDDSKTNLHAERNQTTTKIDSEMVAEQEQKADVLPPPTKEEDSMATS >OB03G40310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24402730:24403161:1 gene:OB03G40310 transcript:OB03G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKFFLEGCKRARPFGAGRGRRRRPPPGTRASAAPTPRSGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >OB03G40320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24403484:24407693:-1 gene:OB03G40320 transcript:OB03G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LSK6] MSVATTEAASAAVAVGASDDAALEAPGAKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIDDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAEKKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDNCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGELLAEGKVPIGIGENTKIHNCIIDKNARIGKNVAITNSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >OB03G40330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24409996:24415093:1 gene:OB03G40330 transcript:OB03G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPSVDLMDSENKDSDFTANGNANGHSDSDSSSIDDGKNTDDEGDSANTRLPYRVNEFQRLALACDDGSIRFYNVPESGTLTYYRSLPRVSGRMLSVAWSIDSKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGHELCIWSLLFLRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKVSKDELSADKNEAKEQVHKWVYVGYVRSHTHDVRALTMAVPICREDALPEEKTKKIRRRVKPVEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARESIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSRKIISSAASTNGTLLAYSDSVKPCLFALRHKGGKKFTLDKLELPKGLPYSQCMLFSIDSSNLILAGRDGKIYVVDIATREISNVFQPMRKMDGTSSKEPPVTKMFLSADGQWLAAVNCSGDIYIFNLEVERQHWFIPRMNDGSVTSGGFCPKNNALIITTSKNEVYVFDVEAKQLGDWSKRHTHHLPRRFQEFPGEVIGLSFPPLSSSSVVLYSARAMCFIDFGLPVVQDGQLPNGALVPAEKTDSQKSSNKKLKRKGREEEPRQEIRNNFDFFAFKDPVLFVGHLLDNSVLMVEKRWMDVVEGFGAPVHRHIYGT >OB03G40340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24415791:24416735:-1 gene:OB03G40340 transcript:OB03G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGLGGFRAMFADGVLPDAVVLTTAIAACAQAGALECGEWMHRYVERTAPGLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGMAEEAVACLDRMAREDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYSCTVDMLCRVGRLEDAVALIKTMPMAPLTSVWGSVLTGCRIYANVELAEVAAGELAKLGAGADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIRKVPAYSAVEVDGEVSSFVADDQAHPQRVEIWGVLGLLSDQMGREPDEENLAMLS >OB03G40350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24419148:24422335:-1 gene:OB03G40350 transcript:OB03G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPRDLDKNSPGYQTPVYVEQPRQQQRLQQAPPPQRQVRQPPPDYEDSYVRGRGRGRGRGRGRGWGRGGYGGYGGYANNQGGYNQGGGYYDNQGGYGGYDNQGGYGGYDNQGGYGGGGGGGGGGYGYNQGRYGNYQENGGYNRGRGGMRGRGNWNYRGGYERGRGGGFPGGRGYGGRGRGRMGGRGGRGN >OB03G40360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24438075:24439996:1 gene:OB03G40360 transcript:OB03G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARTLPLFFVFAVLALLPVTEASHRSFADLQSLETVDAKHVDDKLRTGFHFQPPKHWINDPNGVMYYKGVYHLFYQYNPKAAVWGNIVWAHAVSTDLVNWVMLDPAIYPTGPFDENGCWSGSATVLPDGTPVIMYTGIDADGRQVQNVAYPKDLADPYLREWVKPDYNPVIAPDAGVNATAFRDPTTAWQGPDGVWRLVIGTKDNHRGFAALYRSRDFRHWAPARRALHSGDTGMWECPDFYPVASSTGGTKHVLKVSLDLTRFEYYTFGEYDHASDTYVPDAALADGNDGLRYDYGNFYASKTFLDPAKHRRVLWGWANESDSTAADVLKGWAGVQAIPRKIWLAPDGKQLLQWPVAEIESLRGNHVNITDALVSGGGSYFEVNGLATPAQADVEASFQVMDVDKAEPFDPAWRGAGAQTVCAARGADTKGGVGPFGLWVLASDELKERTAVFFSVFKRGTDDGGNKHVVLMCTDPSRSSYAEHLYKPTFAGFVDVDIAETGKIPLRTLIDHSVVESFGGHGKTAILSRVYPTKAVGDKARLFVFNNGESDVKVASLNAYDMGSAKIRTETLQI >OB03G40370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24440397:24442029:-1 gene:OB03G40370 transcript:OB03G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATMMVASPFPAVEKCSSKDRGGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLILLAPLAGVLYYLVSESAGIQVLIFASMAGARVADVEAVARAVLPKFYCSDIHPESWRVFSACGRRCVLTANPRIMVEAFLKEYVGADIVVGTELVIWRGRATGLVSSPGVLVGENKADALRRTFGDASPEIGIGDRRTDYPFMRLCKEGYVVPATPKRRPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMRMVYHAFRALGVRVTVRGTPPPPASRETGQSGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKALDPFYFFMNPSPGYVVTFLSKLPGELTCNGGSRSSHEVANYTQRLIASTLSYECTSLTRKDKYRALAGNDGTVVSKPSIDKKAAMATMGC >OB03G40380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24446912:24447553:1 gene:OB03G40380 transcript:OB03G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGARCSPDSYTYTVLISWYCRIGVETGCRKAARRRIYEAGRLFRRMGEKGLKPDVVTYNCLINGLCKTYRVERAHELFDEMLKKGCKPNRVTYNSFVRYYSVVNEVEKSVKWMQEMVARGHGGATSSTYTPIIHSLCESGRISEARQFIIEMVQNGHLPREHTYKLVKDAIEEAHEEALPAELCQSIDYGIKERFQQLLRMKPIMRSVTR >OB03G40390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24448347:24451754:-1 gene:OB03G40390 transcript:OB03G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3LSL3] MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVVDRKIFMWTVGTIVGVGLFIGFIMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSGMKDGLSDSTARKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRVVAQVGIGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNTTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGSQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPQKMSYVVGFGNKYPKRPHHRGASIPHNGVKYGCKGGFKWRETKKANPNILIGAMVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLSNAKTGIDKNTIFSAIPPMFPTPPPPPSAWKP >OB03G40400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24463965:24466734:-1 gene:OB03G40400 transcript:OB03G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G28460) TAIR;Acc:AT3G28460] MASSALASPFLPPLSAPNPKALSLRLPVRRIPVASGAAPSGAAAAARGRRRFLERYGLNPNDFEDDTEEEPTEERRRDRRKRRSGRGEAAAEVAVAPTKASEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPSSLRPGRWLDLYSGTGSVGIEAMSRGCSEVHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLSNAEKSSGKYPSFDYISVTPPYVEVNYSTLLDQLVRSPLVGEDCFILVEYPLKTEMPESCGSLIKIADRRFGRTNLLIYGPTWAEKKRRA >OB03G40410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24469037:24479181:1 gene:OB03G40410 transcript:OB03G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 111 [Source:Projected from Arabidopsis thaliana (AT1G08560) TAIR;Acc:AT1G08560] MNDLMTKSFMSYVDLKKAAMKDLEAGGDGVELHEVGVTDERLKGFFQETEAVEEEMTAIRDALARLNAANEEGKSLHQPDALRALRGRVNADIIAALRQRIMSEYKDTIERRYYTLTGEVPEEEVIERIISEGRSEELLCAAVAEHGKGAVLAAVNEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQLDDIERHVNSATTYVQGGNKELGKAREYQRSSRKCLCIGIVILLLLVLLIVVPIATSLKRS >OB03G40420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24482269:24484404:1 gene:OB03G40420 transcript:OB03G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPCGHPAFPAAREAGQLMPASVRFDGLSTQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARAAGGGLLRRGARRAPDRAHRAQDAPDHRVREPDHRERRRPIADRRLRRLLRPRRVPRHRPQRQRQARRAQEPRRLLRPEHLPPAPLSHTCSTHTHAHAHAAN >OB03G40430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24482291:24484363:-1 gene:OB03G40430 transcript:OB03G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGVRVGDALVEGVDAVLERGELAFDAEVDDVGLAGAVADDEAGDQRWVDDVLDGQAREPGDPAHLARDELGLARVELHGGGDHHPQRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASTGRRTGRTPASTGRPPLPPGTPGGRKG >OB03G40440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24485082:24486168:-1 gene:OB03G40440 transcript:OB03G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWTAPPPGYPQVGGQPAYPQQSSSTAVAVASGSSGVGNPYVFVTPASNGVGNPYVIVTPASASPSTCHLRTAPNMADAAVARLAQGTKVYAEGGHDRVFQQAFGVVPGEQLRKAYACYLSTSTGPVIGTLYLSTARLAFCSDSPLPYQAPGAGAAAGGHPPEGIYYKANYTSFLHFSASVQSISSMPVTCSMNRSRGASPEPSEVGEPFCQHDEQGREVHPDHHHGQSRVLVPGVRVLRQGAQESLRSPAAPCVITALSYRS >OB03G40450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24491463:24491621:1 gene:OB03G40450 transcript:OB03G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASCYVLDTCRVRSSAGLCTHFCLARAQCFGDPLELQLRNAWLNRLSGRR >OB03G40460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24491647:24492039:-1 gene:OB03G40460 transcript:OB03G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRHHVTSLASKAGAWNRSRKYTKKILIKLFCRTSTLGSAWCLRQILHESLLVGMNNRASNCKFATNNLYKSAFGRNLNERKQRKNLNMFFRCNLCALFFLFLLPSKQESEGSVTHRCHGGRPVASVD >OB03G40470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24492794:24494967:-1 gene:OB03G40470 transcript:OB03G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIQAIGSHGSLLKSAVLRHISAPKPAMLPAVYSRFMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGQDKVIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPGTRVLQAMQLMTEKRIRHIPVIEDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >OB03G40480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24506801:24514288:-1 gene:OB03G40480 transcript:OB03G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIQGTGTRVRLRLYYKCLEAGLISKQHDERFQEKEDHGDPVNLDVQRLHSLFDVALQNNLASLICHYITDVCLDENAVSSDPLLAFLLDEVVIKEWCKAAVNALISEISMIYRSGLETMISKLSELQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLEDICLRHTNLASWSSDVIKRKASAKERKWPEFSDKSSAYNEDNEGILFIEQALQNLGIQERYSDNEEGVKIACLQSEQSSSIFRSTIDQFSVDKYPFKNLREAVDVLFLHGASDMVIAKQAIFLYYLFDCHWTRPDSEWRHLVDDFAATFGISRRILLECLVFCLLDDHSSHALEVACSLLPKISSKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSAAANIEKDGTASLSEAVTAVRVRIEYGHLAEAFMYHRGYCSKVKEQRSADILHIEDANGHKSSWMHHVEVMMTEFCNICIERSIVDRMIDLPWDSEEEKHLHKSLLDYAREMPMEPFGSLLVVFYLQRYRYTEAYEVDCTLQSFEQTALETASEEAASKIRAIAQWRQSLVAKCVEMLPEAQREDMRAIGSGEKNQFAARTMQNSSPANHLGRLPNPIIALSLSATPIPQKKSSPLHSRHINALDDSVGLNSSVRSEFDRKVPSILQCRPVPLGSPISNTRSTAGGISLFPSVGQNGEGPYLKGTKEHSFMKGESGFKKGTKPTGYDSIPMYFNMGSSDTPMKDYQSSSLRTEVNKTTPFQGKDSIRKGEFGFGSRAEKPFILSGTGAGQNGHSKISESAGFLEDHMQKTKVPAKENFLSFGKKSSVDEAASGKGVSRWRSDESSEDEDDKRTGGYMGSGASLATRRRARFSRR >OB03G40490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24516129:24518245:-1 gene:OB03G40490 transcript:OB03G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGRFQVLPRLVVFDLDHTIWPLYCDCCSIGDSPMLFRHARGIMCALKEKGIAMAGGGRSSTPDIANAFLDKLELQSMFVTKEIFDSWTHKTEHFQRIQMTTGIPYESMLFFDDEHRNFITVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEYPR >OB03G40500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24519190:24523247:-1 gene:OB03G40500 transcript:OB03G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMFALKEKGIDMAIASRSPTPDIAKVFIDKLEIKSMFVAQEIFSSWTHKTEHFQKIHRKTGIPYKSMLFFDDEDRNIESISKMGVTSVLVENGVNLDMFKLGLSNFATNFAASSTSKEE >OB03G40510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24524115:24527708:1 gene:OB03G40510 transcript:OB03G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLAHYGTTLLKVDPVDGSLSYPEAPSDHGSSGVKPLSCEEERLMRIFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFIDDMEDFCRAGNGEHQRLKELRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHRILSFERYLESVFSRQHSDCPVEQFVGSINMINYLVDQLKIPTPKDMRHIDRKLKHCLDPSSQDDHKKKEKKSKHKSKRAANEAQLDG >OB03G40520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24527089:24530396:-1 gene:OB03G40520 transcript:OB03G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCRAVLVDAQALIRAFDGGGKGGAVRHVALEATPYARLLPRLAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFATGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKQILEKAIKGPCAHINGNTFNFQKSNMHDELHASLQEAARLMCEQKQTSPATENGDICPIDEPTSQPSSMPS >OB03G40530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24533522:24545001:1 gene:OB03G40530 transcript:OB03G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT4G02030) TAIR;Acc:AT4G02030] MNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKAEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVMQHLQAKLYSDSEPIESRAEAVVLLKQLNFPVDNLKSNLLEKLEDCLVNFQNEPTKASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRYIIRQHIATAFLHLQSEISDVLVRTPSTTKLEESQLQTSMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLIIDWVQEGFQDFFQRLDGHFHMLSGRSKTPSQAMEFVQIDKVSTALVLMLAQLCVFIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQILPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLREFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >OB03G40540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24545576:24548432:-1 gene:OB03G40540 transcript:OB03G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPEQDVGTGGGGEEEEEDEGGEGDERRKAALEKLEKASEDSLLGQGLKVFDSSVESITTGTWQALGSAWKSGSLLVQKLETSASSLAETIQQGELPAKASAIAPTILETGKSFTAKGMEVLERVGKETMELIIEETGMDVDKGTGEGDPQAEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLVAEQKTYYDGKLKEIQQIFSLGSKIDEDGPDSSKGKKIESADTDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIIKRTTDRLETIHSEGVHRLSEMCCLAVSQLLLLGKSVISASSKPKNEDGEDDMKIEWPEDPISKAKIIRWKAQSISVDIEKVSASFATGISDVAEAYMAAIQNALADKKDGLSNQNSVQEKAKHISSHLNSDQTSAVSKLQDALQYLAYVVVCSSMPSV >OB03G40550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24556913:24572726:1 gene:OB03G40550 transcript:OB03G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLKELIAGPLEGLAPQLLRCGSVIASKLGWSERMEEASTCLVLLAEILQERFAEFYTMFVDVLAQSLEVASSVQLVKALKTNMQVLSLQNLGLRASAVEALLDFSSFLSWLRLHPNHTVVTNVATTYLFCLQHGSEDVVDQAISSLMKELELLRSLLEKGQASYPDIQSLSLGSNSGTQSKSKLSTLSWVGYSEHQLLSLMKFDLKILLATISVDTKKSNDKPASLTSFISAKLDPFGTPFHDFLEMQFHIFSTLHRLSRMDLSNTIAPSKANGSGDSGSQTQLVTESKKSFCDCIKKFMHKYGKFIVWGLNASSSMTLKLEALDWIDTFGNLVLGLERDVDKLSISYEVHEGATLLNTILFAILDCAYDREPKVRFHVATSLEVLFLSRLINPMDFSVVTQVLLDKLSDPDNSVKKGFSRLLSIALPITTYTFGLIENRWSYQNSSTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDADGNELFKGPDVDKTVIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDVSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLSLQCNDAVIHYCSLRLLDLRNLAASSLKDNSCMGGATETHHALRERLEADFLKVLRHASLALCRCHETDALIGLQRWAMSTFYTYFEQDKQLVQGVSDGQNRLSWISGLIYQSQGKYEKAAAHYSHLLQSDEGLSSMESDGIQYIIARVIECYTSLSDWKCLEGWLAELQALRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSCELSLDPKVALERSELMLLRAMLQSDSKPDKAREELDKAKLILDEALSVVPLNGLTEAAACAGQLHCIFAFEEAAGLACRNGTNQSPVLMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLSRKQSNMMLATRLNQYIINHPLSSFDEMEKELLNLNIKYEGALLKHGEGKKEEALTDLWSLVRASVLSTVSDSSGVGTPLIAKACLKLSTWMERESSTPILNTILLKVIEDFNDSGGFRNGAEKLLFGDNGSVSASNSHPVAQEIIGIARKTTWQLCPSMGKAWLSYASWCTTHANDSLSGTDSKLQNSLFPALQSELSPDRFHLTDSEKSEVKEIVRSFCSDKDGNYVDCSISTATGCSYNSEVDPMTSLTEQTIHLLETAAGAPGSEACDGEAPSVRLSSELTVLFCSCDSAKDSSMTLIDKLIEIWWSLRCRRVSLFGHAAHAYFQYLLHSSTGLQSSYHRDVLKGKTRSYTLRALLYLLRIVLNYGVELKEIIESGLSTVPLLPWQEIIPQLFARLSSHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAGNSTLSSAEKNKINAAKYSAIMTPVIVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITTLKTPPGSPSELGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSDIPMPGFEKQISSSSESSFAGNHGTVTISSFCKDVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSFLYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAQLSSVNTVNNNIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLDLMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSIVGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLQNKDVILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKNFLDTLEQYEVISTMFYHAEKERSSALQSETSAKSILAEASSLAEKSRTSFELHAHELAETKAAAIDESNKLAVWVEKHGRVLEAIRDNSIASAESFMQLNSKDDALSLISAVLVSEVPLTVVPEPTRAQCSELDREVSQLIIELQGGLSTALESLGEYALLLQQVLPANYITSSPVTGWAQAFQLSVSSGSEDMLPLAKRQAAEVIAKAQGEGINLVQQRYRDLLNQMESYVACVERLARERSELMNSIGLDNEVRSKERILSAFMNSLQLSSPKRDGGNIPFSQSGNVGVKTPVHEDIQDETGIALSILGISVGQLYSDIRAKVSELSSKATGIAKFRTEEAGLQADAGTSLQLFDQQVEKCALVSGFVGEVHGVMEAKLVEMNTAHAKPQPVQWASTFQRILCSSSNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASLTEFEQSYFMKVGRITEQQIALEEAAMRGRDHLSWEEADELASQEEACRTQLEQLQETWGQKDMRISSLKKVESTAMSSLLSSKQYFSSLVNVDQESEFHLRRSKTLLSILIKPIADLEALDHMLSSCGAFPYHVDGPISNLTDVLSSGSSLSDVLWPFSGLLKDHAFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYIALKKKLTNHVEKQVYQYIMKRIAPAFILKLDKEISNFLQLSQGRRESGQPKRDSAAAGRVAVMLEEYCSAHETARAARTAVSLMQRQLNDLREALHKIVLEIIQVEWLHGLSSPHVQKTKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSATTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSILEFEESRDGLYLNTEDKSSGQSTDRGRIWQQTYLNLLTRLDAAYCSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVISLRAKSSLANLQDTLVSMYERVSEVTASLSGFRHVSQERTALTSECGSLLEEVLAIGEGLHDVYILGKEAAAMHKSVMTNLSKANTTLLPLEASLSADLTVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDACKNLEPLVPPLTDNVKELHSLMTKLGRLSSIHAGSLHKALEVLGESESVRSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVMSTDLSLQDECWISPPEHSYTSSSGCTTELTQINSSENLENMDPLLADRPVMQAPDANGQERRAGSESDLNKQLVLNNVTLTNVNSIYEVETSLAKERTSENENTNLPYKQVRGQECDNSDPKSYPDSMTRVTRGKNPFALSILKQVEHKLHGWDVDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >OB03G40560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24574443:24574652:1 gene:OB03G40560 transcript:OB03G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRQSKDLKYFQFSCTMPSTIQQYILESRHHYFGIWTGFSNGMSRIPELRICTSHGQLCHWRALPVE >OB03G40570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24575251:24575403:-1 gene:OB03G40570 transcript:OB03G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTILLVIVSDPGSVSSFFRDELPAPVLHFFLFGPRRKGAQQEEDCTMREA >OB03G40580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24576919:24581276:1 gene:OB03G40580 transcript:OB03G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LSN2] MAMATALRKLSSTALRRQPLSRITPLHYMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQLEKSAVLFRPKLIVAGASAYARLYDYTRMRKICDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDLEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDASVKLALKVKAAAGGTKLKDFVATLQSDGNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >OB03G40590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24593219:24596113:1 gene:OB03G40590 transcript:OB03G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LSN3] MLGGIIGGLTGNKNARLKGSVVLMRKNVLDINDFGATVIDGITEFLGRGVTCQLVSSTLVDSNNGNRGRVGAEASLEQWLTSLPSLTSGESKFGVTFDWEVEKLGVPGAVIVKNHHRNEFYLKTITLDSVPGRAGAVVFVANSWVYPASKYRYSRVFFSNDTYLPSQMPAALKPYRDDEPRTRRGDDQQGPYQEHDRVYRYDVYNDLGEPDSGNPRPALGGSPASPYPRRGRTGRKPTKTDPTAESRLSLLETIYVPRDERFGHLKMADFLGYSIKALVDGIVPAIRTYVDLSPGEFDSFKDILKLYEGGLKLPSIPALEELRKRFPLQLVKDLLPVGGDYLLKLPMPHIIKQDKKAWMTDEEFAREILAGVNPMVITRLTEFPPRSSLDPSKYGDHTSTITAAHVERGLEGLTVQQALDGNRLYVVDHHDHFMPFLIDINNLEDNFIYATRTLLFLRGDGTLAPLAIELSLPHLQGGLTTAKSAVYTPAAGTGVESWVWQLAKAYVNVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPRKHALAMSSAFYKDWNFAEQALPDDLVKRGVAVPDPASPYKVRLLIEDYPYANDGLAVWHAIEQWVAEYLAIYYPNDAVLQGDVELQAWWKEVREVGHGDIKDAAWWPEMKTVAELVKVCSTIIWIGSALHAAVNFGQYPYAGYLPNRPSVSRRPMPEPGTKEYEELGRNPEKVFVRTITSQMQAIVGISLLEILSKHSSDEVYLGQRDTPEWTSDAKALEAFKRFGARLTEIESRVVAMNKDPRLKNRVGPADFPYTLLYPNTSDHKGDAAGLAARGIPNSISI >OB03G40600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24597561:24599024:1 gene:OB03G40600 transcript:OB03G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGSGLPRSHPSNLPLPAPHSDPNLQFSGTDDDFSNRHSSSSANGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASGMGGAPAMAPGTSLIASLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKGAPKNGPHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDGTQGLLYSGSWDRTFKVWRIGDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWRRELQGKGTKHVAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGALVLSGSADNTIFVWRRDGGVHSCLSVLTGHTEPIRCLAIVEDNKDNAAVPIDAVDNSFASGSSTRWIVYSGSLDKSIKVWRVSEDAPDALLHGPGAGDAPQMFDRYPGDPFGASSSSFR >OB03G40610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24606262:24608573:1 gene:OB03G40610 transcript:OB03G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGHGATRGQAWFCTTGLPSDVVFDVQDMSFHLHKFPLMSKSRKIHRMVMEQEEEQPPRRRRRRRRRRRTTSEGSNAGEEGAEQTEIEEAEEVEEEDEEEEQARKEEGRPYCIVFPDFPGGPGTFEAAAKFCYGVRVELTAWNVVPLRCAAEYLEMTEEHAEDNLAARAEAYLEQTVLRHPGEATKALKSCEELLPHAEELGIVDRCVEAIAARSSAASRSWFDDLAVLGLQMYKRVMAAMAERADVRTEARESCLVSYAKGTIPGLSRSMRRRLASAPVSSEVEQRELLEAVVASLPADKCSGRVVTAKFLFALLRTAHILRASNAARAALERKAATQLEHATLEDVLIPSYSGATETLYDVDCVERVVRHFLAEEEELGEAEASTSAAAITEEAAAAATTLSRPSAVAMVHVGKLVDSYLAEVASDDNLKPAKFCELALALPDHARIYDDGVYRAVDIYLKAHPRLTAEERDRVCGVVDGRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLMSSSSGAPQARQHRHHHQQTPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGTGASSARRCATRTRATWWRRGRPGWA >OB03G40620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24615696:24617158:1 gene:OB03G40620 transcript:OB03G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGAGGSGRLLRGGAAAAKAFNGDSSHRHLPSSSGAAAGTGVTGPCRIPSLKFPSLWETAASKRQGGVSSRAAAERKAALIALGAAGVTAPVRERGSGVFLPEEARRSADLLLPLAYEVARRLVLRQLGATRPQCWSKIAEATIHQGVVRCQSFTLIGVAGSLVGSVPCFLEGCGAVLRSFFLQFRAMSQTVDQAEIIKLLIEALDMFLIGTALLTFGMGMYIMFYGSQSIQKPEMHVDNSHRGSFNLKKLKEGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGVDMACFAGAVLASSAGVFLLSKLATTQQPSKRTIFA >OB03G40630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24619322:24619726:-1 gene:OB03G40630 transcript:OB03G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPLSQPLTIYLLLRSLFLVRNPRIINLLEWSPWRPPPPRWASRNRMRRRVRCWEGSSSAWRSPRPSSPSSPSPPCRRCRGATRVAAWTIRGLSPCTSIRRRRRPSPELCRCSTSSKNRARARRARSCSGTG >OB03G40640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24630825:24631079:1 gene:OB03G40640 transcript:OB03G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHTQTCMYMWLLATVVERKKNLKKRHLPIFRSIVPEARTKRVKPDSRTHLTWCRVSSEHRNFTGNAISVTTYKIVFSLFSTG >OB03G40650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24639784:24644671:1 gene:OB03G40650 transcript:OB03G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGTGGAGGGGLRDLDALEGVRSIVLKPSESLDERRFTRIAGADFNDAGLGLSGMLASLASTGFQASNLGDAIDVVNQMLDWRLSHEKPREDCDESELDPAYRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFTLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDESSYLYWAYKNKIPVYCPALTDGSLGDMLFCHAVRSPGLIIDIVQDIQLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVGATFARKFHGAKERKPTEFLAED >OB03G40660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24645347:24650648:1 gene:OB03G40660 transcript:OB03G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein [Source:Projected from Arabidopsis thaliana (AT1G56290) TAIR;Acc:AT1G56290] MLSGVKFIPREQIREEALGGGAGSGSDGSEDKRRAKRNRKGRDKDERKGRKHRRRRSKYSSDDSEEGSDSGDSIDEDEEKELSRSNRRSKRRRRRHEFSDDDSEESSGEPDKRRGSGKSKRRGATVDDEDEDEEAGVADEELRASEIVRKEMGLEWMLKSASSGRAESSHSRGTDKDNEEIAQEEVKKANPKELNPYLRDNGSGYPDESSPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGKNLEEVVEERWGSLGHLAASVSASRAAPSHAHLHAIRGRKSGQAGSSEEHVKENLKEGQSGGAGGSREYLRDVSSRHHVMRKPKPDSVPWKRNKHNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKNAKVSTSEVDEPKSDKDSSKKAPPVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVQEPRHEVRSSTRHTIKPSAADRRKREEDADQHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKGKRKNKEAHEERSTHRHLTTQKERCLYCFENPSRPKHLVVAIGNFAYLMLPQFEPLVPGHCIILPLQHESATRTVDRNAWEEIRNFKKCLLKMFLQQDKDMVFMETVISLSKQRRHCMIECIPIPSNVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLRLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >OB03G40670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24651704:24651987:-1 gene:OB03G40670 transcript:OB03G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSWSCELHLCNEFLMSSRETDQRFHGKRPGKQHRCEMRVLQRRDYSATDALK >OB03G40680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24655338:24658226:1 gene:OB03G40680 transcript:OB03G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAAAPRHSCAKLSVAVEDPKAVGGGAVFVKATWHPTRFSLAVTDGAGAWVAEASDAEVRLRAEQWDQPVADYLSLAERYLAFQQPSSTYSFHDAGNGSRRLSWTFEKQGTKLEWRWKLQPSPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNNEKAEFEQATFSKFVAVLNSKKAKLRQLKNKLTELESAEKAPKEEEEDNSTDKTELFEEESDKNGSINDEPSETGGGDLHSSPEKTGATSRGGRGRKRTRK >OB03G40690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24664775:24668790:1 gene:OB03G40690 transcript:OB03G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRGSKVAAFMDSRLRGDYPLEVAMAVVTLVLQCVEWELRERSSMLEPWRWWFGVWRQADDDGVREQGGGGECPSRDLSKVEVQYQIGPLSLKPAASLLKKDMGNLPCSKYQIWKGPIRKKDTDRDKPSQSMPPSYVEPSVAADVYSRR >OB03G40700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24675716:24678043:1 gene:OB03G40700 transcript:OB03G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKGARDQLQRRNEELKAKIMGHDEQQQCVKVQFEVDEPSSSIDSMIGALRRLKSMNVKTRKIRSSLSGRSSLSGNRLWTEMNVETTIAACEVEKAVEEALKEVERKQPDSDAPFPGSRGWTQTSHVQNVF >OB03G40710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24690606:24693576:1 gene:OB03G40710 transcript:OB03G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANRAAVESCHRVLTLLSSPHSQLVVHKDLAAATGEAVSKFGSVASRLNNGNGLQGHARVRKIKKPLSIFDSNLFLESSAVAGSTAAKTSNPSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPVVDSDGPSRGPPLQLIQPVSVAPPAGTPPPALPSAHLHFIQQQQSYQRFQLLQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHSRVLAAQPA >OB03G40720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24696382:24696821:1 gene:OB03G40720 transcript:OB03G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3LSP6] AVARARRNVAYFRANYALSVLAVVAASLLWHPGTLFALLALCAAWFFLYFARPASSALRLFGMEFEDGTVLAALTGVTVIALLFTNVGWNVIGSVMIGAALVGAHATLRSTDDLFLTEQEAAGDGLVAAGMSAAGPILPTYVRIG >OB03G40730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24699262:24704384:-1 gene:OB03G40730 transcript:OB03G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVRRVRRGALAACMTCPLCRGLLREATAITECLHTFCKECIMEKIDDEEVDCCPVCNINLGCDPEEKLRPDHNVQDIRNRVFPLKVRKVDTHKASTLTLPLKRKQRSLSSLVVDTPMNNTNVDGTIQAPFKDKKDDKEDLKKPLNSLLEVASRAQLLRSSTKGHVDRENKIKTSEGGDHMPWRVGLPLDHYAKLARAVAEELTRRAGPGIGGRNSQGVRHGARLLSATKTFFLAAAPLL >OB03G40740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24701766:24704406:1 gene:OB03G40740 transcript:OB03G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQTVWRHSVMAVASRRSPRQSGHVMHAASAPRRTRRTPPRAAPISPRRXXX >OB03G40750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24709497:24710573:1 gene:OB03G40750 transcript:OB03G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMARRMIRVLLVEDEEINRVVARAALKAAMTGDGGDDDDGHVLDEAENGEVAVQRVRAAASAAPYDLILMDRQMPAMDGHEATRRIRAMGVTTPIVAVSSDGLPADVEAFIGAGADDFTSKPLTKEKLGNILSKFRLA >OB03G40760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24710693:24717014:-1 gene:OB03G40760 transcript:OB03G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAVSPVSGSGGPNWFPASPTIGSKISRASRASAATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYIFKWVVLVSGLFCAFMFVTIVAYARHKGLVGS >OB03G40770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24723415:24725028:1 gene:OB03G40770 transcript:OB03G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MPMSSKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRASGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLTDKAREFYKKVANRLTAHALVLDLFACSLDQVGSAELRYPIEVSGGLMVHSESFESEQFKSCLRHVFKREGVDYLNMNFNATIEIVTSREVKICGALGPCISLRRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFIFRVDCRHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWVGPRSPEITDGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQG >OB03G40780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24725944:24728352:-1 gene:OB03G40780 transcript:OB03G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LSQ2] MAGADVGTELRLGLPGGGAGEAAAAAKAGKRGFEETIDLKLKLPTAGMEEAAEAPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSYRRNIMTVQSVKTKKEEEADKQQPAANASGNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALQKMFGTFTTTGNNMNEVNGSDAVTTYEDKDGDWMLVGDVPWQMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS >OB03G40790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24746056:24748324:1 gene:OB03G40790 transcript:OB03G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04750) TAIR;Acc:AT3G04750] MLLGLEPGRLLAVRRREASCSESARAAVSSAAARRFLRASGPRAEGLRRSRTGKLVLPPGRRRARFRLAGGGMASTSGRPWDPTVSLRLGHPALVILERCGGGARPFRAILAHMLRLRLAFETFPMSRLLHFATVSFPQYARVADLLFEHFTPRPNLYIYNLMLSAAAAASSSSPGRPAALYRSMLASSISPDEQTFLSLLKSVDGESRSVGKQVHAHVVVNGLHSRVYLRNSLIKMYLDAGNVEAAEAMFRCAPTADTVCCNIMLSGYVKGGCSGKALRFFHGMASKGVGVDQYTAVALLACCGRLKKALLGRSVHGVVVRRIDVADRGLILSNALLDMYAKCGEMNKAMRVFGEAGEKDGISWNTMVAGFANAGMLDLASEYFAESPARDIISWNALLAGYARYKEFTATMKLFDDMLVSCVRPDKVTAVTLISAVAGKGTPNLGKSIHGWVVKEHGTQDAFLASALVDMYCKCGNVKLAHAVFEKAMDKDVTLWTAMISGLAFHGHGGIALDLFWKMQAEGIEPNGVTLVSVLSACSHAGLLDEGLKIFDSLKQRFNIEPGIEHFGCMVDLLARSGRLFDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGAYVLLSNLYAAEGNWNCSNEVRESMVRKGVRKSAGASTFGC >OB03G40800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24753793:24756680:1 gene:OB03G40800 transcript:OB03G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3LSQ4] MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >OB03G40810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24757919:24760251:1 gene:OB03G40810 transcript:OB03G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFEEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPNELRTVMTNLGEKMTDEEVAQMIREADTDGDGQVNYDEFVIMMKNAERKISG >OB03G40820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24762223:24770044:1 gene:OB03G40820 transcript:OB03G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGGAEAHARWRKYDYGDNSDLVLGLGSRPRGGGGEPTGELETLRGRIDPGSFGDRAVQAKPPGGNSRRRKPRDSADIDDVRPADAKRRRRAAQREASVLSLTGDVVYKPRTKETRAAYEALLSVIQQRLGGQPLDVLASAADEVLAVLSNDKIKSRDKKEEIDKLLDPISNQMFHQLISIGKLITDFHDTAAAGDSAHPLDEDIGVSVDFEDNEDDEESDYDQVQDFDEDDYDDMSKLYSASAMQMDGELDDDDDKQNSNEGLTIDVHDIDAYWLQRKVSQTYTYIDSQRSRELAEQILSIISEGDDQDVESRLLMLLDCEKFDFIKLLLLNRLKIVWCTRLARAEDQEQREKIEEDMLSNPTLTPILEQLHATRVSAKDRLKNMERSFRDEAQRLTGNGNASSIDGPKDHQAVEWDMESEWLKGQRQLLDLESLSFHQGGLLMANEKCELPPGSFRTPHEGYEEVHVPAPKAKPYKAGEKIVKISDMPEWAQPAFAGMTQLNRVQSKVYDTALFKPNNILLCAPTGSGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSTRLKEYNVTVRELSGDRNLTKQQIDETQIIVTTPEKWDIVTRKSGERIYTQTVKLLIIDEIHLLHDNRGPVLESIVSRTMRQIETTKEHVRLVGLSATLPNYEDVAVFLHVRSGGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNRICYEKVMAAAGKHQVIIFVHSRKETAKTARAIRDTAFANGTLTRFLKDESASQDILGVQADLVKSSDLKDLLPYGFGIHHAGLARADRELIEGLFANKHLQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGQGIILTGHSELKYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNVQEACSWLGYTYLYIRMLRNPTLYGLPADVMETDKALYERRVDLVHSAANLLDRNDLIKYDRKTRYFQVTDLGRIASYYYVTHRTISTYNECLKPTIGHIELCQLLSLSEEFKYVSVRQDEKIELAKLINCVPIPVKDNLEEPSAKINVLLQVYISRLKLEGLSLSSDMVYIRQNAGRLARALFEIVLKRGWAQPAEKALNLCKMIDKQMWNIQIPLHQFPGIPKEILMNLEKKALSWERYYDLSSQEIGELIRYPKLGRQLHNCIHQLPKLTLSAHVQPITHTVLCFELTIIADFQWDDKVHGYVEPFWLIVEDNGGENILHHEYFMLKKQYVDEDHTLNFTVPICVPLPPQYFIRVVSDKWLGSETILPVSFKHLTLPEKYAPPTELLDLQPLPVTALRNARYESLYSTFKHFNPIQTQVFTALYNGDDSVLVAAPSGSGKTICAEFAILRNHQEASGESNMRVVYVAPIEAIAKQRYKDWESKFGELARVVELTGETEADLKLLDKGEIIISTTEKWDALSRRWKQRKQVQQVSLFIVDEVHLIGSKNGHILEIIISRMRRIANHIGSNIRVVALSASLANAKDLGEWIGTTSHSLFNFSPAVRPVPLEIHIQGCDVANFEARMQAMSKPTYTTITQHAKHGKPALVFVPTHKHARLTALDLCAYSSAERCGTPFLLGSEEEMDTFTSSVNDETLKCTLKCGVGYLHEGLSDLDQELVTQLFLGGRIQVCVTSSTMCWGRSLPSHLVVVMGTQYYDGRGKGNTDYPITDLLQMMGYANRPLEDNYGICVILCYAPRKEYYRKFLFEAFPVESNLHHFLHDHMNAEVVAGLIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSQRHISENLSELAETMLNDLESTNCLAIEDNMYLKTLNLGLIASYYYVSYTTIERFSSMLTQKTKMKGLLEILASASEYAGFPSCYGEKESIEWLVSHQRFSIEKAQYDDPHVRANKLLECHFSRRTVEGGLAADQREILLTAPRLLRAMVDVVSSNGWLTTALDAMELSQMVIQGMWDRDSVLLQLPHFTKELVRRCQENEGKAIESIFDLAEMSMPEMQDLLQLPNSQLQDIIEFVKNFPNIDMAFEVHEADDIRAGDNVTVQVTLERERTNLQPGAGVGPVHAPRFPKPKAEGWWLVIGDSSTDQLLAIKRVALQKKRARVKLQFTAPAEAGRKDYRVYLMSDSYLGCDQEYELNIDVKDAGGN >OB03G40830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24769499:24773828:-1 gene:OB03G40830 transcript:OB03G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGAFAVRLPRVSRRGSDSTVAVPAAPARVGVAVGRGSGRGRKGVAVSRGVRCRASLIEPDGGRLVELVAAEEGGRREALRREAAAMRQRVRLGRVETEWLHVLSEGWASPLRGFMRESEFLQALHFNAIRGDDGGMVNMSVPIVLAVDDAQRRAIEASGARRVALVDAADRPLAVLSDIEIYKHNKEERIARTWGTTAPGLPYVDEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRQSPPDGFMCPGGWKVLVEYYDSLTPSMGSSKLREPVAA >OB03G40840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24784539:24791202:-1 gene:OB03G40840 transcript:OB03G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G04210) TAIR;Acc:AT1G04210] MQALKELELSMVPPRPSACSILVEVAALKCLTKLTICHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSAISSLRCLESLDLSNNRLTSLGSIKLVSMLTLQYLNLQFNRISNSCVIPAWVCCDMRGNGENNVKPAKLKAIAVANNTSTESRSTKHTCNGPRLCSHPEASSNLKVPPTQKIKKGWKRRDCLQQQARQERLESSRSKLNEDYVDEMAVNMTEDESPLHDMENKSEIKRIDREALLQDLSKQASSISEDLSCVVDDDSYGHIKDSGMMLQDHNEEEKTVLSKTNHGNCSCISTNTDSLIRSKICSVENELEDTASSIHGVVEVVEENPSETSKHTWKSKRHPDMDCNPKPSKCPRPFDECAKVSYKYSVESFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQMLLSNLKMPSCFITDEDAGQDLLRASVLALFVSDCFGGCDRSASLSRTRRAIVSLRKEQPFVCTCSAGSICDSTEASKQINSLYGHFDFTGLCDKSIHVIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNAWVRMIVDACYPTNIKEEIDPEYFCRYVPLSRFQIVIDDQGYTPRSPFPSVSLCKEIEATASSSVYYCKIGSVDAAAKVRYLDTRCASSDEVKNFEYKLLAEVRMLGALRKHQSIVEIYGHQLSSKWVQADDDKEYKILQSIIMMEYVKGGSLKGYLAKLLKEGKKHVPIDLAFYIAREVASALLELHKKLVIHRDIKSENVLVDLDSERSDDTPVVKLSDFDRAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLRAMRDKNQYGLEVDIWSFGCFILEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFMTLDKPITRLELGITSDAHAEKLRLLIDLFYRCTKGIASERPKAEAICKLLCSLPTCYDIR >OB03G40850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24797940:24815094:-1 gene:OB03G40850 transcript:OB03G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQQEQQHPGQATPRLSSASTSGSAADEGGGGGGGGGAAAPGAAPGSSASASPARGKSELESDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAQLVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSETTELGICPGSNLLYAVEVLVTETVDKQPLLDSGILCCLIYILNSLLSPDESSQRSSPVGQEVSTCEKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFKEGLVPIHTIQLHRHAMQVLGLLLANDNGTSANYIRKNQLIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSIRLREDIHNAHGYQFLVQFALTLCSLHKNQVLQSSPKLSSGEHGMDASHKSEQDTFTSDLSPQLSRLLDVLVNLSQTGLSENYVGKSTKSSHGKGTGHNRSRTPSADKFADEILEISSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILTFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFFGEEPQSKTPNSTQRMSSASSFQKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTVLPFVVSESHRSGVLRLLSCLIIEDSLQAHPEDIGSLIEILKSGMVSTSSGSQRKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSEDEEAESSLLTHMKIFGFLMRAMTAAVCNNSVNRIRLHTILSSNTFYDLLSESGLLCVDCEKHVILLLLELALEVVLPPTSNLQVENISSETSEDESGFLSATSFGLSRLDKERVYNASAVVVLIRSLLIFTPKVQLELLRFIEKLANVGPFNQENLTSVGCVGLLLETINPFLEGSSPILSHALRIVEVLGAYRLSSSELRLLVRYILQLKVKCSGHLFVDMMDKLIQIEDVRQGNVSLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFRNHPKEAEKTSKGSYGNKRNGQVLRIFSVGAVDDSNTLFAELYLHDNGVFTIATSNSSSLSFPGIEMEEGRWHHLAVVHSKPSALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPSIRAKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNRACGGEVMAILDSLEVEVVAPSSSQRIDSSMKQGSSRLESSGIVWDMERLRNLSLQLSGKKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVILALVEAAETRDMLHMALELLALSLQQSHQNVKDMQSLRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNMNRTASYASGISPESSLDDLTVPKFGDDMSSVGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQETINAEDLLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHSLMPSDENYGELKFIDLLDTVIAMAKATFDSLIVKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAICRRHDFLESCVDLYFSCVRSDCAVKMAKDLSSAAADEKNMHDDDSESQKDTFSSLPQDQEQSAKTFSIASFPQEQKSSSSGSSGMHNSFDTAEVKADDSSNQASSTKFLNGQANQVVQSAHDQVQMSAPSSNGITGSHQPADSPSSVSLNNIGSPVLSERSTHKAASTPTASPMAPFSSWPGSAGSYNDGRHLTASPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAVLDFVAQILADIISEQIKATLFIESILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDVKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPLGVLHTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGGRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTESGRSLSVSKPSQEDYTVDICTVLQLLIANKRLVLCPTNVDNDLMCCFCINLMALLRDKRLTAQNLAVDLLKYLVVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTTVFFEWLQNSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKDMGRKLREIAKLDSRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPTWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLANNLGLDDVKIANKEDGDMVMTSGSDTMSGLNLLTYDTERKELDAADFASFKDEDDIFKGGSTVSPPIGWNDDKSSINEQSLHSATELGAKSSSFSYQMTESVHGKSELNSPRRAPSIKGTDARTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCICEKGSEDELSVIDQALGVKKDILGSCDSQQKSPSTWGASTKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFRLMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDNLDLRNPQTFRKLDKPMGCQTEEGEEEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSIRDTWVSAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSAYLTHQEIRKTASSVSQIVTHNDKILIAAANSLLKPVNYSEYISWGFPDRSLRTLTYDQDKLLSTHENLHSGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGIRRLLRMEKALCAHTAKITCVYVSQPYSLIVSGSDDCSVILWDLTSLVFVKQLPKFPVSVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAMVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCTSDEAANSKSKSPPTTFGGLGLNGQTLEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDSSGHLFSWSLKDDSFKVS >OB03G40860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24815206:24815433:-1 gene:OB03G40860 transcript:OB03G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding AITNQKREGEGGRAARGGRRRARRRVGEKKGKERERETQAKNRSRRRPEGGGGGGERWEGGGRWEAIFFFWLGLR >OB03G40870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24829647:24832202:1 gene:OB03G40870 transcript:OB03G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHGTALFMRPSAYQEVKCSPKHATVLNNNLLGCSAVYNPVEGHHVQKPHIVPSFKVNFTRASNYLYRSLNERSTRHWLHRFHVNASSDEDFRSSRNIALSLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDMKDSGLEIEGLQSNGGGTSLKFKVHSFEVRECILWLSIVFITILCTPQPTIIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVMGSSEEPSVVASRMQIVFSTLEVVSPQWPRV >OB03G40880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24832670:24834396:-1 gene:OB03G40880 transcript:OB03G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMGASALQVVYIRRLFSKNVAYNRV >OB03G40890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24838810:24840276:-1 gene:OB03G40890 transcript:OB03G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELPRPMEGLGEAGPPPFLSKTYEVVDDPSTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPTPTSPPSSSSQQPAAACLEVGQFGRDGEVDRLQRDKGVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQRQQQMTNFPKKARFLKFWGILSPTASGQKEIALADRVGAEATSPTGDGDIQEVAKLLPLGEASRCAESSYGCSRIWEASRCPESSYGCSRI >OB03G40900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24848168:24849154:-1 gene:OB03G40900 transcript:OB03G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGGGGGGDRRRRRLRVFFLPFFAKGHLIPMADLACRMAAARPDAVEATVVVTPANAALIATTVTRAAARGHAVGVLCYPFPDVGMESGVECLGVAAAHDAWRGYRAVDLSQPIHEALLLEHRPDAIVADVPFWWATEIAARLGVPRLTFNPVGVFPQLAMNNLVTVRSEIVRGGAAAPAVAVPGMLGREIVIPASELPEFLLEDDQAQLSMSWDRIKASQLAGFGVVVNTFADLEQPYCHEFSRVDARRAYFVGPVSMPSDSAVHRGGYGNVDCLKWLSTKLSRSVVFVCFGSWANFSPQQVRELALGLEASNQFFLWVIRSEDS >OB03G40910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24851642:24851860:1 gene:OB03G40910 transcript:OB03G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWSSCVGLTSYEACVSGFGPNTTSAPYRSTSPSWQILAVASAGSVTTTSLAASPSADGRTTTSPSPATSPT >OB03G40920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24851677:24853035:-1 gene:OB03G40920 transcript:OB03G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAYFEPMGPDPYIWSPFYVQLTEFTDKSYALGLSCTHLHNDPTAAVLFVAGEGLVVVLPSAEGEAARDVVVTLPAEATARICHDGEVLRYGADVVFGPKPETQAS >OB03G40930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24864624:24865496:1 gene:OB03G40930 transcript:OB03G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEYEAETGMAARPLYPMMLESPQLRWAFIRKVYTILSIQMLLTVAVASVVVYVRPVALFFVSTSGGFGLYIFLIILPFIVLCPLYYYYQRHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTAVVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQIFFPLGRVSMMVYGALAAIVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASES >OB03G40940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24867111:24870801:1 gene:OB03G40940 transcript:OB03G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRADKIDLKNLDVQFEKTRSRAWLEQQRSSASASPLPLLEWEIDLAKLDIQSQVAHGTFGVVYRGTYDGQDVAGIFVGAPIALTGVAEAQAPTASTLNPSPLVGIWEVLDWGQEGQESTAKHREAFEKEVTVWQKLDHPNVTKFVGASMGTSQLKIPSAKESSRSGGGSGRGGGGQRCVVVVEFQHGGTLKTLLYRHRDKKLPYRKVVQLALDMARGLSYLHGEKIVHRDVKAENMLLDRKKTLKIADFGVARVEAGGDGGDMTASPTSPTTSSSWSVHPHRLPRLSIPPPWIICLSFFLTMIRQGIRPDIPRCCPKSLADIMARCWDANPDNRPEMSEVVALLEKIDTSRGKGMTPVPEHASQGCSCFGFPRGSA >OB03G40950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24872334:24873983:1 gene:OB03G40950 transcript:OB03G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22670) TAIR;Acc:AT3G22670] MRRCCLILVPSSKKFVSHSSRRTTACFSTIGFDLPDWFRNPDGDASCAGLDDQEDIFVLPTELNPSDEQSQNRNSRPLSIRNAFPASHEDAEFEADVDEVSRILSARFASPEAIVIAMDCYSVRVTGHLVDKILTRFSNDWVAAFGFFMWAGTQGGYCHCADSYDLMVDILGKSKQFDLMWGLINQMVEVGGLVSLMTMTKVMRRLAGASRWTDAIDAFHRMDRFGVVKDTKAMNVLLDTLCKERSVKRARGAFQELRGTIPPDESSFNTLVHGWCKARMLKEALETMEEMNQHGFSPSVVTYTSLLEAYCMEKDFQTVYSLLDEMHKRRCPPNVITYTIVMHALGKAGRTREALDMFDKLKEDGVAPDASFYNSLIYILGRAGRLEDAYSVVEEMHKTGIPSNVTTFNTLISAACDHSQAENALKLLVKMEEQSCKPDIKTYTPLLKLCCKKQWVKTLLFLVCHMFRKDISPDFSTYTLLVSWLCRNGKVAQSCLFLEEMISKGFTPKLETFDLVMKKLEKRNLQIMYKKIHMLRTHITNLRHTNSFQ >OB03G40960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24874576:24884522:-1 gene:OB03G40960 transcript:OB03G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) [Source:Projected from Arabidopsis thaliana (AT4G14790) TAIR;Acc:AT4G14790] MTVAAALLRRRALYSTLAPSFSVHDANFRCLCFNSGVNVVQPSNLRLQRNYHSSGKFDLTDMTHPHMWYPNAREKKRNVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAQRLNKANVPCNLITGQEKEEIEGAKHSSVTVEMADVTTDYQCAIIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVITIQYYERLSPLVPLKTTLGSFSNIKAGDCIVTFSRQSIYMLKRRIEMEGKHLCSVVYGSLPPETRTKQATMFNDEASDLNVLVASDAIGMGLNLNISRIIFSTLKKFDGICKRELTVPEIKQIAGRAGRYGSKFPVGEVTCLDADQLPLLHSALKSPSPIIERAGLFPTFDLLSLYSRLHGTDFFHPVLEHFLDKAKLSPDYFIADCEDMLKVAAIVDELPLGLYDKYLFCLSPVDVDDDISTQGLIQFAENYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRMEDSFPDRELAASQKSICSMLIEEYLERSGWQQQGKRKFLQRSKNVHRDYDASQLRKYFQEIDVRSK >OB03G40970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24884451:24887938:1 gene:OB03G40970 transcript:OB03G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50230) TAIR;Acc:AT5G50230] MDRKGRRKGRIERPPAQKRRSHRHCAPSSSSSLNSGGGGGTVVEAEAGREAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVAEIEEGKASIALLKEKETLITTLQNELERTSEENIQLKQSLEEKTCALDLLIQEHQTVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDADRLNEANAMYEDMVLKLKSAGVGGIQHNALQEADGIIRRSETGYLDMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALNSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVLSVDASWVKSCVLASSSADHTIKIWDLQTGFCKSTIMSGSTANSLAFIDGDILCSGHRDGHLRLWDIRSGKCTSQTFAHLDVSSVCVSRSKNFILTSGKDNVHNLFDLKTMEVCGKFNAMGNRVVGSWGRPCISPDENSIAAGANDGCVYIWSRLKKDRVPTILQGHSSSVISSAWCGLGPLATADKNHIYIWT >OB03G40980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24891578:24891742:1 gene:OB03G40980 transcript:OB03G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCSSASYKGVGKILKLSIIDSSPSCRNCVPCSPHSPHQREEQLNHSLTHSLE >OB03G40990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24896432:24901607:-1 gene:OB03G40990 transcript:OB03G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03110) TAIR;Acc:AT1G03110] MEDATVEEAEVSGGAEFAPALVAAHPLGYSVAVAVGPELRVFNLKAGSAVPLSDDSGGCSHSDAIRAISFSANGVLFASAGDDKLVKVWKTDSWCCIRTITSEKRVSAVAISNDGLYVTFADKFGVVWLVSMGETGGGQEPTDNKPVSILGHYCSIITSMKFSPDGRFIATADRDFKIRITLFPKKPLRGANEIQSFCLGHTDFVSCIAFTCLSEGPSYLLSGGGDSTVRLWDYINGCLLDTCQVRDKVGEILEPNETEDRNLAVADICATNDGSLVAVAIQSLNGVLLLACDLIAKKLSFLKVIAMEKCYIPTSLSSSFSADLLWTIMGASNMPNQATSQLFTRLKVIPHFKNDLLASADHVPSVLEDSEVPHGEKLLLALQGSLDIAKQEEVLASVLAALKVSMHKMLVKKQYSEERREQRKRGRNDKKIKK >OB03G41000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24904646:24904984:-1 gene:OB03G41000 transcript:OB03G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATRSAGPPRLSMRQKAMCARTAPSTSGARGELFPASYFTASEHSPHSHLPPKYALTPPMYSPVHSSSSPQHSQLITATTVSSSMPADLATTTTTTTSSQELLANYPFFG >OB03G41010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24904725:24905024:1 gene:OB03G41010 transcript:OB03G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETVVAVMSCECCGLEEECTGEYIGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRAPDVEGAVRAHMAFCRMLKRGGPAERVAEGMCQMLRTASGKQRR >OB03G41020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24910821:24911981:1 gene:OB03G41020 transcript:OB03G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEEGATTPAAARTPTARARCASPASTPPGTSYRRRPPPRGGGSPXXXXXXXXXXXXXXXXXXXPARARGTPPMLSTAKSRRPRQEAEAEAFVPGTGKKTGGSHDWALYAAMVLGFLLLLWVDSGLVPEIAARGFGPKLSPEAVARLGTEARLAPGGLSHKLGALEQMLGQFVGGEKVCDCSSQDSVWQFEQNDRRVFYWRCAVYKSAAEEVTIWGSPLHTSGLLPRALPSRHLTLLSGKITEWSDGRVWPTVRASNGSSWSYRGRSAPAVRLEPQTWVLEYQRSVVFEGTRLIPATAELLASRCSAIRQNLARRRFFHGGAGGIQANPT >OB03G41030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24912924:24920547:-1 gene:OB03G41030 transcript:OB03G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSEKSKYSSGASGSNARVGGSGREAVVWSEKMSEYLIDALLHQQGIGNRGEGRFLSAAFDNIISGVAERFGVNIDRSNIKNRLKSIKENFHECESLFENQSGFTWSAMNKKFYADPDVWRDYIEIKPEAQKWINKPIDHYDRLLKLFGKDRERRPAVESPNGSPAKKARKTPPREKPQRTPSNGLVSPIVKSSKEMANENEVPSEVVTEKNIAEEQDLSENFTSENGLVARPVDANSCGIGLPYAPENWPCPGDQWSWKVGSRGSTTGHWVDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDVDPSTFFSMFIWRIPAKGHSIQRGCGEVRRVFCPHAKPADPTGPCKARNNLCKLESEGFIESSPAQDCVICCKMPGFCRECCCVFCRKVVDYSFGGYTYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGSIDLDVQYCCRRCDNKTNLMMHIEKLLETCQSLQSRDEIEPILNTGLCLLRGSRQAKAKSLENYMGSAMAKLKCGVDLAEVWKMEDNDIKSTANAEVSQATSGVTVLGIQQAPEESAPPGFPYYVDLADNDLQRAVENLPAYITEDHTTMSVRFEDSIDHALKELKKSQEAEYRLAEQKLYSQKDYVLSLYRQLDSERSVLADPMPLTDDDSPLYSTLISNVMKRVNQVKSEEDKLKVMLGIAGGFGKTPSGVVQEHFGLSADIPN >OB03G41040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24922658:24926388:1 gene:OB03G41040 transcript:OB03G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFYSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGIWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELFCQVDAFLCSVNDVSVTSTVEQRPRNIEISAEMFFKQKLRGQGMAFLVGGGSVMQKILAPHEVITVDAACIVAMTTTINFQLKTPNQPRRVVFGGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYLMIVSSIILTDV >OB03G41050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24928813:24933905:-1 gene:OB03G41050 transcript:OB03G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPREGGGVPVQDRPDARERLLADKAATKLDAEGVALAEARNKPDMAITPDGVADAVTAAARLNQERP >OB03G41060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24936447:24949352:1 gene:OB03G41060 transcript:OB03G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTRVSLRRRACRDGLYCGGGTVAAGGALTWTRMTRGCCVGTGMGHNGSKRSPTRGAEDGGMKDLEKSTPLEFNEPTSDGDVDLGGGLTVVGRKRKDGRGSVDENGGSTKRIPRSSSMSLHVDAETAGDLALDVCQGKSLEKKQCDVKAEGDRGGMLTVDSCNAEEDREVTDVIVSDAVVEEVRCSVNNVESFGLAEVEVAEGNGFGTDILESDVKTEKADDKMSTPSEEQNESGGGTSVMCINDSQENKGINGPCQGEVIDPSATANGDELSMGLSRSNLTNGQESIEEEDTIVRDSDDQKIEKHCQVDDVHKESEISPIENGRCTVDNHIMLTDCTNQRKGMDSPVNETKGDSTPDIVFIRRKSITRKTCEAKQLKSEEEVQFEKRVTRSATVRQREVSSACISATTDANLESKEMKEDVLHHYTRKAGSTVRSKTHHMEVAECDTNTKKKLKGNVTTRRNSGVTGNGDHASIMHNKESQTEMKTNLKSQPLTRRGSIVNKTEDAVSGLDHNVCSSAITDKNDVELTDSEGVKSDNRTTVRKPILSVGAKIVASKKRILESELDKTGGASPVAIPSIKKARDTSSDTKLEQPKKSSGKKLTRNNRGLDKKGMSTRQQHRSQTAELRTSVNRSNKSERKPSQNESNVDGTDSDTSLKNTSVRRTRSGGLVPKKQDNSSESEEVIVVRKNRQRVKYSGQIQMSGSIPTHPSGSSRTSHFDKSALTKSEHRSFSRQAEKEKIKAPKGNRKEDKASSVKSSSPSEQINTGSLREEKQKISEHIKGILLNAGWTIDLRPRNGRNYLDSVYIPPSGKGSYWSVTKAYAVFLEDVECEQKGGAKNQRPSKKSVGSPGKKHLSEEILSKLKRIVVNKRRTKVELLKLKKRRHNLLKNKKYSKGKSREKKNKISNSRKLNLGSERKKRGGCALRARGSNKEGGSSTNGFVPYEWKRTVLSWLIDLDIVCVNAKLKCMDETRTDVLLEGIITRDGINCSCCSNVFPVLEFVAHAGGSVSKPYRNLLVDGMDTDLLHCLINAWDKQSDSERKGFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMNCLELEALPSDDWRCAKCTCKFCQKHPSQDAQDIAEVDSELCTCLQCEEKYHPGCSPETTSTSSVSSQAGNFFCQQSCRLLSEGLQNLLAVKKDLESEFSCRIIQCIHEDVPETVIALDERVECNSKIAVALSLMDECFLPILDQRTGINLIRNVVYNCGSNFVRMDFRGFYIFTLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAIAELVDTWTSKFGFSPLDASQKQEVKSINILVFPGTGLLQKPLLKKTSLEEHLGSQEVDGVSSELKSGIASHVANEDSLCSANDETQGSAVTYYVDSTKDASACND >OB03G41070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24948694:24949960:-1 gene:OB03G41070 transcript:OB03G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II 11 kDa protein-related [Source:Projected from Arabidopsis thaliana (AT1G05385) TAIR;Acc:AT1G05385] MSLMASSSAGGARLAVTRRALLLAAAAVVGPATGRARAAAEKAEEEEGVVGAIKSIFDPNERTKAGKVLPKAYLKAAREVVRTLRESLEEDDGGDVARFRRGADAAKESIREFIGGWRGQQAVAAEESYVALERAIRSLAEFYSKAGPFASLPKDVRDKILADLNTADAFL >OB03G41080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24954493:24968594:1 gene:OB03G41080 transcript:OB03G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MAESGPSIASDVTALIGKTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILISGIGTGGTVTGTGKYLKEQNSEIKIYGVEPAESAVLSGGRPGPHKIQGIGAGFIPGVLDINLLDEVIQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLIVVVFPSFGERYLSSVLFDSIKREAENMGTPVNIIVGSRVWAEDPDDAWIDGEVVEIRGGDATIDTTNGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGAAFGELSPHLFAIGDACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYVETRNAMDVVGIGQEEQDAIFRVVAAILHLGNIDFSKGQEIDSSKLRDEKSVYHLKTVAELLMCDEKALQDSLCERIIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSSCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKVACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARIIQRRIKTHLTRKEFINLRKASIQAQKFWRARLARMLFEHLRRDAASIRIQKHARAHSARRTYLQVYESAIVIQTGLRTMAACNEHRFRRETKASIIIQTRWRQHKAYVDYKKQKGASLILQCLWRARVARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEIANLKSLLQEMQEKLAEAHAAILKEKEDAKLAIEQAPPKIVKVPVVDNAKVELLNSQNKELEDELVTFRTKAEDLEKRLLEVQNESDQLLREIREKDSKFNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDILKQIESLESKIAILESENQLLRSNSSRAVQAVTTPEVIQPLAVEGLVSGCQLEESKILNEDVVVPPIKNLSKQKSLTDRQQENHDALIKSLAEDRRFYNGRPAVACVVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIENTESSTELAYWLSTTSTLLYLLQNTLKASSSAVKGSNRSRTTTGNLFSRMVQNARSSSLGSGISSGYSGMVGSPDTASMVEAKYPALRFKQQLTAYVEKIYGVIRDNLKREISPFLIMCIQAPRAVRTRSSRGSLKSVHSNILPRQTSSVHWQSIVKCLNCTLETMNNNYVPPMIIRKTFNQVFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVKTEEYAGTSWDELQHIRQAVGFLVLHQKAHKNLEEIRNELCPVLSINQIYRIGTMFWDDKYGAQGLSQEVIGNMRTMATDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHSTQTDGIVC >OB03G41090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24972867:24977088:1 gene:OB03G41090 transcript:OB03G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDQATDMMQKLSLENKNEAAAPDAAKKPSGMAYGSASAVDAQIVASPVDRSITPLLQEAVDANILYQTNGYGPSAYYYPSGYDGSGNEWDSRYAGHDGAEMPPSVYGDMYHGYGYAPYGPYPSGSPVPTVGHDGQSYNTQHYQYPGQYYQHPAPTNASHGANGANSQSEMPSVAAHQVRVQVDSAKSSANGTAHGMANTNSTSLPRKQTHQNVSVANHSSYGRGALQGGPSASNYGHSGLHSPVQWYDGPVYSNGHQRSNANSTSYSSNSYSAKNQSQRPTPNLMGMHAQMPSSGMGLTSPSYHTRMYPDSRLYGQYSQYGNSIKTGLGFGSNMYNSRNNGRWGIVDSKNKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKQFGPSVTIAVKGQALPSVGKQESIAIPDKSKFNQEGFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSECPVFLFFSVNTSGQFVGVAEMAGPVDFDKTVDYWQQDKWNGCFPIKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLDQGLEMIKIFKDHISKTSILDDFGFYENRQKLMQEKRAKQQLLQGQGSLDNPSHEKEKNAIDGKSTVPKQELNKEGAPVVGEMPNASKSAVENGVTNGN >OB03G41100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24983348:24984230:1 gene:OB03G41100 transcript:OB03G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTNGVADVVAPMMLHGRVAIVTGGAGGIGSAVSKHLTSLGARVAVAYVGDPAPAKALRFVELDAVKEVMPDSGSLVELHKARQAQAAAAADDDDDDACMARTYN >OB03G41110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24987565:24990866:1 gene:OB03G41110 transcript:OB03G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related [Source:Projected from Arabidopsis thaliana (AT1G54390) TAIR;Acc:AT1G54390] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKEQIKYLLGVPSHGFDRSNMDDDESASERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPPISAFSRDDKRRPGFSTPQATKKFREREWDRERSMDFDLMPPPGSNKKTSTPMDVDQTIDPNEPTYCICHQVSYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNL >OB03G41120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:24993819:24994234:-1 gene:OB03G41120 transcript:OB03G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEMCRIYSHAPRQFTIIDRGRRNSICVQRRGFEEVYVLSPGSKHQWYGRYAYVCVGPTMLEPILLGPGATWSGAQYLHNPNF >OB03G41130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25002417:25006789:1 gene:OB03G41130 transcript:OB03G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKATRRRAEEAAAEEEAMEAGAETEGDSSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTNHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYHGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVAPSAKTDDPPPKAPASSVNGGLTRNQKKKIRRKAKRAAAATSEGSGAVASGDTDGSDDRGNSSTANEGSPNQDGEKKEEAERSRRGSKGIRRKMAMEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDRDSNDMAEFLVPILDFVPEKRPSAAQLLQHPWLDAGPLRRQPKRLPDLTQNSAEVDGVSEKQRIENEERDAMAVELGNIAIDGASSKTSEDPQASTMQNKTNVTSAKK >OB03G41140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25007732:25007932:-1 gene:OB03G41140 transcript:OB03G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPIGYTFGEGMLEVDELRGGSPYGAGVFSGDGSRPPSKLELALAEHHGKYMATLVKRMLHGAS >OB03G41150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25008016:25009951:1 gene:OB03G41150 transcript:OB03G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMRSRGGGDGYLRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLGMHPPPLPIARRGGVGLVARRARRTEMGRRKSRCIPCDLGRNHGGFQPGPETNRVEKKSTGRQDSFVLFSSLLLDADEASQSATTPPRQSRRNRKAAARRWMERKLDEAEKAGAGAGAGDGDPPPPPFLEVTCRSSGKVRRFAFGTTARYALHAVNRKLAPGDPAALHVEAVKDGEEPVGFGPAAPLAEFGDGWKLQTITEQDAPGYYQTPAFDARRDETKQPAKNPHKETMAAYITKIVLAFVFIFLLGGLFTYLLETLPDKFQPAPVPEPL >OB03G41160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25010243:25014377:-1 gene:OB03G41160 transcript:OB03G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin hydrogenases [Source:Projected from Arabidopsis thaliana (AT4G16440) TAIR;Acc:AT4G16440] MASSSSSNRFSPALQASDLNDFIAPSQDCIISLNKGPSSARSRLPIKQKEIAVSTKPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFINRINSGKSVIVSVSPQSRASLAAFFGLSQSQVFRKLTMLFKSMGVKAVYDTSSSRDLSLVEACSEFVTRYQQNQLSSGSESGRNLPMLSSACPGWICYAEKTLGSYILPYISSVKSPQQAIGAAIKHHMVGKLGLKPQDVYHVTVMPCYDKKLEAVRDDFVFSVEEKDVTEVDSVLTTGEVLDLIQAKSFDFKTLEESPLDRLLSNVDDDGHLYGVSGGSGGYAETVFRYAAHALFNREIEGPLDFRVLRNSDFREVSLEVKGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVKGQSAKDLIQLLEGVYLQDVSISNPFENPIVKRLYDEWLGQPGSENAKRYLHTKYHPVVKSIASQLQNW >OB03G41170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25016366:25022703:-1 gene:OB03G41170 transcript:OB03G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRGGLRRGLGVLLIPLSSSPSRAPRPPVPLAALVLFQRRLDAVSRRSFCSSGGGHAVEQFSDDEYDHEYEDHRPSSSVTNIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLVQEHLDRALLPMDKGRMGNGSEMAEKDETVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRRSLPAYKEKEMLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSNNQLDDYGQDKVWKTQRQLLPRKRKNQITMLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPAPLAVQNAVAFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLIDSDASTNNSLSHNQSLVRGIICSGLFPGISSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMAGHLKMLDGYIDLFMDPRLSECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSNGDTKSNIEKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNDFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLNRPRRRSKNNSRR >OB03G41180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25025283:25029221:1 gene:OB03G41180 transcript:OB03G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G32450) TAIR;Acc:AT5G32450] MEGGRRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIGCDGVATGRTAYVTFKDPKALEIALLLSGATIVDRVVNITPAEDYIYIPVTDQQLVVSEVTSTAPIADLDQPNEANSSPTTGRVYVSKAHDVMTTVMARGSAMRQDAVNKAKAFDEKHQLRANATAKISSFDRRVGISEKINSGICVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKGPAVVA >OB03G41190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25030269:25031704:1 gene:OB03G41190 transcript:OB03G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPEWLEKGDNAWQLAAATLVGLQSVPGLVVLYGSVVKKKWAVNSAFMALYAFASVLVCWCLWAFRMSFGDELAPFVGRPDLSGLDQAGFLSRQGFAGAYPAATLVFFQFVFAAITLILVAGSLLGRMNFRAWMLFVPLWLTFSYTVGAFSVWSPNGFLFKAGVMDFAGGYVIHLSSGVAGFTAAFWLAGIAFVVALNVAVTSAVCLAVRVAVPLRLSEEQLAAGDDAIHGEDAYAVWGDGETYEQSVHGGHPMTSNPVASKADEMI >OB03G41200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25030847:25031586:-1 gene:OB03G41200 transcript:OB03G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAASCSSLSRSGTATRTARHTALVTATLSATTNAIPASCTATCRSPAPARPARAADAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEGRPKTKLSRRSQTRRLVAVQRCVFRTATDASTLAAYGAPPLNPVHPIHSSPAPARVRRMLFGGNASLSFAVLGPTCPCPRQAWRSNWHEKRYAWYV >OB03G41210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25035614:25037528:1 gene:OB03G41210 transcript:OB03G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAMWRSPAAACLVVAVILSAVAAAGAEYVKYKDPSKPIGERVDDLLSRMTLAEKIGQMSQIERANASSAVIQKYFVGSVLSGGGSVPSQKATAADWQQMVSQMQRAALKTRLGIPIIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNIVKRVGQATAHEARATGIPYTFAPCVAVCRDPRWGRCYESYGEDTRLVQLMTSAVVPGLQGDPPGRHPKGTPFVAGHMNVAGCAKHFVGDGGTKRGINENNTVASFHDLMRIHMPPYDDAVIKGVASVMISYSSWNGVKMHANRFLVTEILKNKMKFRGFVITDWQAVDRISTPPHQHYYHSIQETIHAGIDMVMIPYDYPEFVTDLTVQVSNGSIKMERINDAVSRILRVKFAMGLFESPLPDPRIVGELGNKEHREVAREAVRKSLVLLKNGKPGKKPLLPLDKKARKILVAGSHAHNLGFQCGGRPPSRQGQSVKRLQRPARRSWRRSSRRWTRPR >OB03G41220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25048634:25052102:1 gene:OB03G41220 transcript:OB03G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSFVILMLCYTTLASAQYVKYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATAEQISKYFIGSVLSGGGSVPAPQASPQAWASMVDEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPELVKKIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSFTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLMTIHMPPYYNSVIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFSDSSLANELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSGIKATVDPSTTVVYSENPDSSVVAGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPSVIQTVCGSVKCVVVLVSGRPLVVEPYIGGMDAFVAAWLGGRPVVVQPFLDSTDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFRSADQLPMNVGDAHYDPLFPFGYGLTTAAAHS >OB03G41230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25062409:25062609:-1 gene:OB03G41230 transcript:OB03G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWVGYAAMLVSASWELLDLRWCCRSRKFLGEGYLKCLIVCWKRFLDTNEKTNFTANLETARRII >OB03G41240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25063955:25068392:1 gene:OB03G41240 transcript:OB03G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTAPAVAAVLLLTWAAYGQADYVLYKDATKPVEARVSDLLGRMTLAEKIGQMTQIERQVASPQVLQDYFIGSLLSGGGSVPRKQATAADWVTMVSDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGATRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFQSGMPYVAGKNNVAACAKHFVGDGGTQNGINENNTIIDRQGLMNIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLITRFLKDRLNFKGFTISDWEGIDRITTPAGANYSYSVQAGILAGIDMIMVPNNYQSFISILTSHVNNNIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSGKPLLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGTTILDAVRAAVDPSTTVVFAENPDAAFVKSGGFSYAVVVVGEHPYTETKGDSLNLTIPDPGPSTVTTVCGAVPCATVLIRGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >OB03G41250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25068600:25078595:-1 gene:OB03G41250 transcript:OB03G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20930) TAIR;Acc:AT5G20930] MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVPNGEEFLIQKNILKRPRSPDGDHGLAVGNFEGSANEVVKHLEVMDTRPSIDSSNRKKQGRGRGRGGAGRGRGSKAVDQTRATSTSSVVVANGRHDMLTTMESRSSVVPGNDDRSALQEELSLLRGKVACLEEELSKLRQEGMEYRQLSDRLAKELKDLKDQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKEAIERHRKSLKKRQSDKGDGSDAETSMSEDDFLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPFLPEKEARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGIMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLSYAKR >OB03G41260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25079285:25081080:1 gene:OB03G41260 transcript:OB03G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G58470) TAIR;Acc:AT3G58470] MASGVAEEERVRGVAAGEEEEDADLPQLSAAAAEALREFLLEQRRDGGEGEEGGGGGVELVAEDWRLSQFWYDERTARTLAEEVVRLVSLSGPASSSAAVACVACPTLYAYLKASNPDVPVQLLEYDERFGQYGGDFTFYDYNQPEELPAEMKHAYRIVVADPPYLSKECLEKVAKTVSFLAHPEGSFLLLLTGEVQRDSAYELLNVRPCGFKPQHSNKLGNEFRLFTNYDPVDRLGGWEQN >OB03G41270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25082178:25082997:-1 gene:OB03G41270 transcript:OB03G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVAVDASEESLRALAWALDNVIGRRRAGGVSVVVLHAQHGADHFVYPVAAHGIGLAVAYAPTSAIESMRKAQEEISRKVVSRALDVCKQREVNAMGAIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKPHDK >OB03G41280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25084373:25087457:-1 gene:OB03G41280 transcript:OB03G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) TAIR;Acc:AT1G04130] MALLMDPGAGPLTESEKADLDAIAAIKESAAVEYKEKGNQFVRMGRKHYADAVDCYTKAIAQMEPLPPGPAPDASVLFANRAHVNLLLGNHRRALDDADRAIQLSSSNVKAYYRAAKAALALSLLPEAASFCRRGLEQDPANEELKKLLAQVDAQQSEQDRQRAKVAQAVSSAKDLVAAIEKRGLTLGKAVFQELTGVKKPKLDKQCVLHWPVLLLYPEVMSSDFIEDFPETDTFVPHLDVMFAESSPPLPWDDNHAYTRDAIELYYQAGTGAVLSRSEILKFILEGTVDSKSLPEGLLDEEDGENDVGKSSTVTSSGEGSVKWMKVKEGKTLQEVLQHKDFVVPAIPVFFVVSRKSKFYVEFKAGNWSLP >OB03G41290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25090350:25092562:-1 gene:OB03G41290 transcript:OB03G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIEDTRIFLILPSHLLVSHFTYRSFPLVLEEDGAGGGAGKYVGGIENKGGVMWRAARKGAADAASRKETVTAMAGARNATAALAVAVGKGTTAVEEERKRSSTIAAGDESTTDCCMDAGFPYFSGSQSSTGSQHYLAGSAMDSPMASWIHTHASDLRTWCCLVDAVYKHAVGCDISVDS >OB03G41300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25093737:25102519:1 gene:OB03G41300 transcript:OB03G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44050) TAIR;Acc:AT3G44050] MLSACGDEDHGGSSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFTFDLVADEHVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNVNCGMTPRVFEHLFLRIQKEKEIRREEKLRFTCKCSFLEIYNEQILDLLNPNSANLQIREDARKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRIQIQQLKKEVSRLQGLANSDKSECTSSSGFICESPSTIKWNQGQGSFSPLMFDKRVMQRKDYDAALVAAFRREQESEAKLKAAIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQLASGKLSAEAHLLQEKEDLVKEVDALRSLLDRNPEVTRFAMENLQLKEDLRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSLFEESTADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTVELETAKKCHDVNQESLAVDLQVQTEADLHDMPDAQTELKTLVDAIATASQREAEAHETAIGLAKENEELRTRLTVLIEDNKRLVELYEHAVANVEVNQEGGRPAIPQIEGVHEQPSSHPSYEGGALNGGLPDDQPESVTFLPADNSSSEVLNSKILDGECSHKEKFSSTKLRDLQLQLNEMHEENDKLMGLYEEAMQERDEFKRKLFEVSNSVTTVDTQYEDVQMHDATDAEDLEVKNVNDSAISTFKEILQLVRGKLENVQDKLVTTQDAVEYFKLLEMASAKAEELSASIQYRCLELKHNQEVINALKSELSQSQESKEALEGKFFSPVASCWNLDLKNKALVGSKFDFSLELMNQKKVQLSHLQTLKKDLSVARTKAHESETALRSKIDGLKLKLRSFEAQRKEAERVLFAIDNFDTSTNTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKGMNKHDDIDCKIACLESELDDCCLSLLEADTEKFVRENMLREIWKEGQKGMDYMLVDYQDCVFKVNLKEEEIGICEVPLQSQTRSLDEMNSKLTQAMRDLGELLRDRTSCDLDSSMVHVSDKVKGDLDAIGLYVAEAKEVLLLHNDNQTNL >OB03G41310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25105648:25105863:1 gene:OB03G41310 transcript:OB03G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVHICPRSRLCFCCPSVSANAEIRGKCSCFQRVWPNKYTNNSVQLLTTISALVFLERWNVTMGGFTTS >OB03G41320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25106550:25106822:1 gene:OB03G41320 transcript:OB03G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHPSPPSLTDLTTSPLLSSKISRSGTFADSQPDIQVVSAKECNPEFSVVVGPNRAGWRKDPLFLSSPLSASICSTCLNKDAGNQYLI >OB03G41330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25108108:25111536:-1 gene:OB03G41330 transcript:OB03G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWRSRCEVVDACVRELCGPKASPLDYLKDEHICGRALGLRFDKRTGDLYIADAYFGLLKVGPDGGLATPLATEAEGVRFNFTNDLDLDDEGNVYFTDSSIHYQRRHFMQLVFSGDPSGRLLKYDPNTKKTTVLHRNLQFPNGVSLSKDGSFLVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSIYARMVSRNVRLRKFLLSLPIPAKYHYLMQIGGKLHALIIKYSPDGEVADILEDTTGKVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYANAS >OB03G41340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25116712:25122640:-1 gene:OB03G41340 transcript:OB03G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:J3LSV8] MGRSRGVPNSGDDDTNHRSKRRRVASSGDASDSLSAAGGGGGGGGGGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSVLPGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHIASGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNSKRNEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVMHKTNRPMKIESDGNLDQKKGGASLESGGRDSPKTTGHAGTKHWDDWDIVGLPGAELLSANEKVLCCQNKLLPCHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDTVYDMVTKKLGTNEEAPTV >OB03G41350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25124159:25126816:-1 gene:OB03G41350 transcript:OB03G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATAASRYASYDSPSPSPSPRRVGTGTGAAATPGYGSRAIVAARSGRGLRGQEAQPPQHGNLGSVLRRLISMDKKPGKNLPVPPAAATAAAAKNNGGGKLPGLSRKLFQKGASSEPKKKALTEVKNGGNSNTRTLAMVLRSERELLTQSKEQEDEIAALRLQLEQKDMEVQTHPHPPPLSSASPRIPMWAYFDCDEQVERLKDLCLRQREEIRTLKDAVLFPDTQPDRHLRDEISTLTGQIQCLAEELAQVKADKHTPKSCFDDGYCSSPRTPVLNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEKSISSHRSGRKAGPDSLSCSSRSRPMSKSSDHHKPTSGSNSKRRVYRSDQDKIHQNLF >OB03G41360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25131107:25132188:1 gene:OB03G41360 transcript:OB03G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRPVESGDDERRKQKPRASEEDDARKKRAVAMDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPVTKHPNVVSILAVRFAVHFASKFPRVLQCCSCLQFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >OB03G41370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25131963:25135595:-1 gene:OB03G41370 transcript:OB03G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLAPNAGSVKNWDLMKQAATKVILLLCLILHFIATSAQQEDQNWEKQWCIADQQTPDDILQKALSWACGPGGADCTMIEPNKSCYFPNNVKDHASYAFNSYWQKMKKQGGSCYFDAAAMVTDLDPSHGSCQFEVVP >OB03G41380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25136006:25140984:1 gene:OB03G41380 transcript:OB03G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tornado 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) TAIR;Acc:AT5G55540] MGDEMITRKLPSNQEDMKDITEIDLQDYKDVDSIAFYQVPTNLGSGMSMESERSVRVHTCTDHKGVNFLHKLLHRLLEHKEVYSNVVNLLFHGIEWQTEGVQLLCSFLGPDSSVKQVEFQKNVFGTKSATALVPLSEMIQRNNTIRAIVFSDCRIGATGAKLLASALANNRGVEEFQLLDDSIGAKGAEELSKMIEVNYMLKLLVILDNSSIAAAPIFSAVLGRNRRLEVHIWGHCRDTRGGMNSCKIAEFQPGTGSLRIYNNINSTGLQRVACAMAWNTTVSTLDMSGVPLKSKWTKELRGVLERNRMLKTVKLTRCCLRDKAVVYIAAGLFKNNYLESLSLDGNRFGGGGLEHLLCPLSTFSPLQRQANIALKVLIFGGHQTNIGRYGITAILQMLETNQSLLKLSICDDVSLRPNDVVRIFTSLERNTTLRSLSLKGCRGVEGEVVLQTIMSMLQVNPWIEEIDLHETPLHVAGKTGEIYEKLGQNGSLVVPSDSLDLPLSAPTCCQVFLCGQELSGKSTLCSSMKHCMNSMKLPRMDEIRTSKAPIEQMAHSNENGMNIIFDGKTKLTMCSLGGTEESIALHDFMFAVHGGPRIFMVVSSLIGKPADKYPKSIDVVEQELIYWLKFIVSNSRRRVSHSFVPCVTIVLTHYDKVSHLAEGLQLIAATVQKLREDFCSYAEIYPTVFAVDSRSHVSVSKLTHHLRNTTKTILQQVPQVYEVCNDLVKYLHNWRLKNNKAVLRWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHNLGEIIFFEELGVLIMNCEWFHQDILSQLGALKSIKTENSGFVRKQDLEKILQEKLCNQIQRSNWRAGSSLQSSDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGTQRWQLTMPECRYAGRHMECEDTHMFLTDDFFPRLQVHLHNKITCLGNQQGAVYNLEKNLIYTVINGVHVRVELGMKLGSSIDVLACSTRNVTDMVRLLHKLVIPTILNLSPSMTFKESIIRPSCVKYIIPQRFRATQLLPVKRIKQILLSLPAESMYDYQHTWSAIESNKRVILISGLDHARDLLSDDDFHEVLHRRYYDLQHLATELAVSPDSLQQSETIPESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYAINYSIQLEERKVPQLFYLVSLDNRSKKLVTRILPGMRSLRVHMCCEFRQEMHVLEDQVGCDLIQVDNQAVQSLLPYMSTFMKLLTFALKIGAHFIVGMGEMIPDLSRQVVHLLDSSVMYGATTSTLSLGALGAAAMYGKARSNSNQSGANGMGEDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRIRYRDDGHIAWICRKHIVARADEIFELPL >OB03G41390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25141545:25145005:-1 gene:OB03G41390 transcript:OB03G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MTFRAWTLGLTSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPNREGRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLGFVCALLIVLTTQILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEDGDGRKGSPSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYIIVPLCYWRFDTFDARRFPIFSNQLFTAAGQKYDTTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATLVHVMLFHGGDIWRQSRSAMSSAAAKLDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLLMSFVYKEEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYVLPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGNIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYRNLVWLGGAGAVLPVPVWLLSRAFPEKKWIALVNVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTEVDHCPLASCPTAPGIAVKGCPVF >OB03G41400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25153975:25154688:1 gene:OB03G41400 transcript:OB03G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQGFMNGVICHQTLFLFTVDKLTFKTSRTLTGNIIVGVSKGFDKKLQLVGVGYRAAVWLFVNVKVEENIRIIVSGYDKSSIGQFAASIKKWRPPEPYKGKGI >OB03G41410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25160717:25162683:1 gene:OB03G41410 transcript:OB03G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTIIVKVDLECERCYAKIDRVLTRIRDKGEFEIEDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKERHGLSPPPPPPPPPEPPKKEEPQPPPPEPESKPEPPPAVVIVEPPAAPEPEPEPEPEPEPEPPKKEPPKEEPPPPPPPKQEPCPPPPKIVEVPYPWPYPYPWPSDCCCHHGHGGCHCCSCGKEPAPAPAPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >OB03G41420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25170150:25175233:1 gene:OB03G41420 transcript:OB03G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:J3LSW6] MSSSRSNNRATCSRSSSARSKHSARVVAQTPVDAQLHADFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVQPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPIDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDANLAQPISICGSTLRAPHGCHAQYMANMGSVASLVMSVTINEDDDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGTTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHETIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNHAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATVPILAVDITGSINGWNNKAAELTGLRVMEAIGKPLVDLVVDDSVEVVKQILNSALQGIEEQNLEIKLKTFNHQENTGPVILMVNACCSRDLSEKVVGVCFVAQDLTGQKIIMDKYTRIQGDYVAIVKNPTELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHDYGCRLKDHGTLTKLSILINTVISGQDPEKLLFGFFNTDGKYIESLLTATKRTNAEGKITGALCFLHVASPELQHALQVQKMSEQAALNSFKELTYIRQELRNPLNGMQFTRNFLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVEFNLEEALNTVLMQGMPQSKEKQISLDRDWPAEVSCMHLCGDNLRLQQVLSDFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAYLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQISTKRCKASTSKF >OB03G41430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25177563:25181298:1 gene:OB03G41430 transcript:OB03G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein [Source:Projected from Arabidopsis thaliana (AT3G13170) TAIR;Acc:AT3G13170] MLLFWQRLVEQCDSCYYRAMFVYFVTCSFPLLSTYQCLYYSSLVMGWIRFLEGEKKVNCIINVNAAFSIPVSIEEIKDVVSVADYILVVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRYLVEELQLPAYCLVDADPYGFDILATYKFGSLQLAYDANFLRIPDMRWLGVFTSDFEDYCLPECCLLHLSSEDRRKAEGILSRCYLHREAPQWRLELEAMLQKGVKFEIEALSASSISFLSEEYIPQKIKQGRHI >OB03G41440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25180288:25181030:1 gene:OB03G41440 transcript:OB03G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHRVFVNNLTIVGLLSVCGSLQSLYLGEELHAQIIKNSMEVNLQSGGTLVWFYCKCGEYTYAARILEVMPGRDAVSWTAVISGYNNLGHNVEALKSLHDMLCDGSSLIDMYMRCGKVNEARRVFDAMPDHNLVTWKVIITGFSQNGLCEEALKHMYLMQQEGHEVDDFVLSTAQTSCGDLQWESISFSGSVAGSVFSSALD >OB03G41450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25181599:25184858:-1 gene:OB03G41450 transcript:OB03G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYQKNHTQRSCRQRQEPTDRALKPAAVAAASHQAPTPPFSQEGIEVEGRADRGAAPPLGRCPEESTLAGDAQDDEQIQANIMDDNSIQQSLLADTPNALQQKPSEGVNRFRRCRSTPSSDPVQSPPEKGSSVTAKELFKEMRPSFRLVGLLLFVYLLLGVLAFYAVMDEISGKRTNRVLDALYFCIVTMTTVGYGDLVPNNDATKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFRALHTNMKGGEAKMLRAIETNRIKYKFYTNAVVLVLCIVSGTVFLWKVERLSFVDSFYCVCATITTLGYGDKSFSSKLGRIFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKRDLEAADLDDDRQVAAAEFVVYKLKELGKINQEEISSFLEEFDKLDYDHSGTLSPYDLTLAQSIQ >OB03G41460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25190489:25191905:1 gene:OB03G41460 transcript:OB03G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLILAVLVMSSTPGALAARELAGGDAAAAGHVAMASRHEKWMAEHGRTYRDEDEKARRREVFGANAKLIDSFNAAAEAEGGSHRLATNKFADLTDDEFRAARTGYQRPPSVAGGGSGGRFRYENFSLAAAPQGMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLTKIRTGQLVSLSEQELVDCDIRGEDQGCDGGLMDNAFQYIARRGGLAAESSYPYRGVDGACRGRAAAASSSSIRGFQDVPANNEGALMAAGGHPPGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >OB03G41470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25199494:25203415:1 gene:OB03G41470 transcript:OB03G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFRRTPFHSGIPAMARCSRHKPETPVVVIDEEDDLGAGDGPSDEEVFIIDGDAAKGRVASGCKTKRGNSSNSNVINLDDDEDEEGGGGVDRAGPSNARAAGSPAATTPGRVSPRNRYGLDYISDSYESDWSEGDPDGDGSSDCEILDDTSGTARKQWETAASKRCMPQGRWKCKNGMANTSASSAESSTQPEESAEFHMSEGTWKYYSNVSKEGGVNSTNGATYGAKPSTPDVHECPKDNASNVNEAEDCNATSRIDPEPACNDEVTHSQNGVVPEKTTERSQSQHLDEEYTSYSFVSANRVFPACSSSNWYDTSPIFVSTPENPDGTSSQKDEMSTDAHNKSTTKSKEKCSAPDNGSLNGQLSKDSPFSSRCSCSRQSGKNSAQLGANWCLCAAASNKNASANVILGDFTSPQKDLVDGPEKPGLSAMVKDAPDILDGLVVQREKHKESDEYKRAEEEEWASRQHQLRIQAEEAQRLRKRKKAEALRLLDMEKRQKQRLQEVRESQRKNEEDIQLKEKYRGVVRLELESMERRYIDMASILSALGISVVNGKIKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKLLL >OB03G41480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25203561:25203917:-1 gene:OB03G41480 transcript:OB03G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEVPSNLTRSPAARPGLLLVLLLSCGRETKKASSPKFSISTKLHCVYLASSSTVLASVILPM >OB03G41490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25203956:25212621:-1 gene:OB03G41490 transcript:OB03G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKERSLQEENKVLQKENPCSFIQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNMSNYPAAAGERAEDHVGGQPQQGRIGLPPWMLSHISG >OB03G41500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25223105:25231366:-1 gene:OB03G41500 transcript:OB03G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDASAPASDEMQNNYQEYVNLKAQVEVLQHSQRNLLGEDLAPLNTDELDQLEGQVVRTLKQIRSRKTQVLVDELCDLKRKEQMLQDANSVLKRKLDEIDVETPSPQPTWNGNCTGNSGGGGGGVFSSEPPQPEHFFQALGFHAVDVNQPPAPPPGGYPPEWMA >OB03G41510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25245496:25246730:1 gene:OB03G41510 transcript:OB03G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B5 isoform A [Source:Projected from Arabidopsis thaliana (AT1G26340) TAIR;Acc:AT1G26340] MPTLTKLYGMEEAARHNTPDDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSDSAKELMQDYFIGELDPTPSIPEMEVFRKEQDVGFTSKLLANATQYWAIPVTVVGISAVIAVLYARQK >OB03G41520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25247662:25251370:-1 gene:OB03G41520 transcript:OB03G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVGGGASRSVACLAALLAAAVLFSAPATTEAFDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWSWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPSNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTQDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNRTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNITQLFSFNYKPLTPYGSRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSVAFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLSLLAFWIVLATLLAYA >OB03G41530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25255101:25259515:1 gene:OB03G41530 transcript:OB03G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15640) TAIR;Acc:AT5G15640] MDTTTRAKIPSLHHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVIKTRMQVATGEAVRRNALATFKNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLSASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQKIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSAFDRWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEA >OB03G41540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25260646:25260852:1 gene:OB03G41540 transcript:OB03G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFFHPETLLYPPPKGHEFAQNSSKLRTTHEVQEFHAGRKQRHLPHSNPKSKLRINSSSSSVAPHCSE >OB03G41550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25260731:25261024:-1 gene:OB03G41550 transcript:OB03G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATAEAACVRFWSRRCATMRVFTCRSSFASCTAASISPPLPLVRWISGCAARWWSRSSAELLTSYYCLFAVWTSDWNGEGVAAFFQHGTPELRE >OB03G41560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25260909:25266022:1 gene:OB03G41560 transcript:OB03G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60940) TAIR;Acc:AT5G60940] MDAAVQEAKLLRQVNTLIVAHLRDQNLTQAASAVAAATMTPLAPPXPDDDSSVGSTHQLLRLVAKGLAVERDEAGRGGGAFDSATATGYGGLLPPPGTNAVDFSVQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHMQPINDLDFHPVNPILISAAKDNTIRFFDFSKTVARKAYRVIQDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDINTFTCYLSANSHNSSAAINQVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASSEVTSAVFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQYRCQAVFNQTEEFVLSTDEQNSEVVIWDALTSENVARLPSGHTGAPRWLGHSPVEPAFVTCGNDRSVRFWKQTV >OB03G41570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25268092:25277064:1 gene:OB03G41570 transcript:OB03G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) TAIR;Acc:AT1G69220] MAFSPRSPWSRPRKPDVYSTVVVHGDDEDDDARGRHALAEDDDDEDPSSLPPLLQRLPKDFGGASFDEDDDPYLSDLDDASLSATVVVKRGAPASTSGSSRSPFLDLRRSSPRAPEDDPYSTFVVHGTARSGGTSSPRESASGTFIRRSGGSSSPRESVSGTFIRRTGSPSSPHESFSGTFIHHTSGASSPREAAQGGGGFGSSFWSPAVGQAEEHRQPSLLLQQQHQQQQHSRRRASVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLISITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEMWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSTMQDATVRINEDFGETVPTNSQQQTNHETYDGGAGDFNTMVIHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELLSNFWAENTADIEANKEQYVDEHPDMQEAKAMPPSTGTVKKLKVAEGTMSRHGNQVSSASPGVASTTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLVGSNTNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHNRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >OB03G41580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25279127:25285121:1 gene:OB03G41580 transcript:OB03G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 2 [Source:Projected from Arabidopsis thaliana (AT5G39040) TAIR;Acc:AT5G39040] MGKNMRIKTASRAPLLDHGETSRALSDLEEGSNVQPANVGFCRVIKLARHDAGKLVLATMALLVASLSNILVPKYGGKIIDIVSRDVQRPEDKAQALDDVTGTILYIVIIVVIGSVCTALRAWLFNSASERVVARLRKDLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFATSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAALASSIAEESFGAIRTVRSFAQESHEISRYGEKVDETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSSLSGLYTTVMKASGASRRVFQLLDRASSMANPGDKCPTNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHRKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHSFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRFEGTNSATAEIQPISNGQ >OB03G41590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25288089:25290778:1 gene:OB03G41590 transcript:OB03G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQGGAAFAASSSSSSKRRNQQRRQRRKRAAARKKRGALASENTEEEHADTRGCQPDMSINKSENEDALSTQEDLPRKSGHNLEAVEADSCVESVNGASNQLVYSVGNNNQECPEAKNTRPAGISQHCVLGSDKASDHDTSSMAPQGSRFDVNGRFNGLHSTTEERLCQSTAREGFTRMSSTGYNPYGVEENRSTGSFVGEATLHNHFLHPQHVRGYIDNPFMIFPPVNPMNALDPFNQGFNFFQTGNLPPYGVPDVRHDVNMHYLGAMGNWGYGYGRTMDFTNVERNKQHMKEAAYLSTDYSNDCIRQTCLSQAYEQKPPIPLSPRLSLRGFRKKKLLILDLNGLLADINQDYHNAHMADAKVRGKLVFRRPYCHDFLSFCLQNFDLGIWSSRKRQNVDSVIDIIMRDFKPSLLFCWDMSKCTFTGQKTLENIHKPLVLKELRKLWNKEEPDLPWEEGDYSPSNTLLVDDSPYKALRNPPYTAIFPRPYSYLDCKDSSLGPGGDLRVYLEKLAVAEDVECYVRNNPFGQPFITQSDPNWSFYAQIAN >OB03G41600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25291985:25294413:1 gene:OB03G41600 transcript:OB03G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCARRVVVDARHHMLGRLSSVIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKLAYERRKQLTKLRVKAEKAAEEKLGPQLEILAPIKY >OB03G41610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25298131:25301286:-1 gene:OB03G41610 transcript:OB03G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARGSTATSFFFVFFFLLVLAASALDDRGQERDRSALLQLGGAAALLGRWSPGSGVADHCSWPGVTCDASRRVVALVAAPPALARSEPGREVAGELSSAVGLLAELRELSFPSCGLRGEIPAEIWRLEKLEVVNLAGNSLRGALPASFPPRMRVLNLASNLLHGEIHGSLSDCKSLERLNLSGNRLAGSVPGALGSLTKLKQLDLSRNLLTGRIPSGLGNCRQLRSLQLFSNLLDGSIPPEIGNLRKLQVLDISSNRLSGLIPTELGNCLDLSVLVLSSQFNAVNSSELNTFHGGIPESVTALPKLRVLWAPRAGLEGNIPSNWGRCYSLEMVNLGENLLSGVIPRVLGQCSSLKFLNLSSNKLSGSIDNGLCPHCMTVFDVSRNELSGTIPACANKVCASQLLDGIPSRYPSVLMSKALAQLSLGYCNSGNCCAVYHNFANNNLGGHLISLPFGADRFGNNTLYAFHADYNSFTGSLHEILLEQCTNVKGLIVSFRGNKISGGLTEELSTKCSAIRALDLAGNQISGVMPANIGLLGAVVKMDISRNLLEGRIPASFKDLQSLKFLSLAGNNLSGTIPSCLGKLRSLEVLDLSSNSLSGKIPRNLVTLGDLKTLLLNNNKLCGNIPDVTPSASLSIFDISFNKLSGPLPLNMQSLTCNNIQGNPSLLPCGLSTFSNTLMKVRVLTEGDGSPPDSTSTDNGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCATRQSRRSLRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERTKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >OB03G41620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25308207:25310985:-1 gene:OB03G41620 transcript:OB03G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRLLLLALMAAALAGRSDGAWCICRQDLPDATLQKTLDYACGDGADCKPIQQSGACFSPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCQYPASASAAGTGTPTTGGTTGVYSPPGMGLGPSSFNDNSGASILPVAGMVKWMLILACCSLMALNFS >OB03G41630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25310797:25311021:1 gene:OB03G41630 transcript:OB03G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPSSPPPAIHQQVRIAAKNTKREEEEGKKKKEGGGVPERPARAAAMRASRSRRGAVAAMDCAVQAKEHAAV >OB03G41640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25315948:25318716:-1 gene:OB03G41640 transcript:OB03G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVEAPEANASSQSGPMWWVTGCHGALYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAILNLLWCFLQAWQCMPERAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETSGFESLARTFVISGAVVAADVLLKTIYVFGFGVSLFIDVDQGTGGKWGLWILHKLVLTSVYGLIVFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >OB03G41650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25320834:25329193:-1 gene:OB03G41650 transcript:OB03G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCGTGSGDVDEKRNQMMQNIFGDENESEEKVVEEEVDQADDDDTGASGGDRGPGGGGGGEQQQNRLSPPPAAAEDEHELDKGHDGDEWEEVQGERQGSGGMAQEIESDAHDTELGNQSTQGHRKGVTTVEGNHVKRTVTNEIRSIEDYKDHEVVHNVFGNDDEDEFAPYGAQDDNEDAHGSLMNDEGHYEELQSEGMLHEDKHYELEGNIEHQMKDKPLGPPLNLVVPHMLPPGQPDRMNVIKVSNIMGVNPKPFDPETYVEEDASMTDESGGRKKIQLKDNVVRWRISKNDDGTESYESNARFVKWKDGSMQLLIGNEVLDMSVNESNHDNSHLFLRNGKGLMQSQGRLLQKMRCMPSSLSSRSHRSLTALVNSQNKKTIKMQTWIDEKDPEKVKEEKEKAEEENIRANSSLQRKREQVKRKYQKQKLTPVFLEDALDEDDARGVGYNWHQGPDRAHLVDDLEVEARSEGRATTVKENVGKAVSSADVPRHQANEYSESEKEESELETDVKDIDNSPTNGREEDLEEEEEEDPEEVIGDNSMSDENNEEQEHVNKHKGIDSDNESPPRKPPVNRRKIVVFDSDDDCCPSKILSFLPFHGVWVLAANRIGSIPGMIPLWPLIDSKNSSVEVELLARFSKCSSSHNCEAALYLRII >OB03G41660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25330531:25335241:-1 gene:OB03G41660 transcript:OB03G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVNESGIKDLSVNCSTSGFQQDDNYEFSNRALQHGYSVSGPIFGEKTFSAATPEFILNSASAALGECHQFDHFFSTLRPGQFEGMQMQNDNLDITHRSIPSNASCLDHVEEITSYDTDGYDDRTISYGSSCSTIPASYPYISPLQRNNHISDTRDCSWTALMQESLEVSNSNNGLNEDCSDLTFSNTEFSGGNTLQNQVIWDNGCLTSPSFTSNFLPFPGDADATFTSSSTVSNLQNFVDLPHDMNNIEQDNPSSKLRVSQDKVATRSHSCQHRDKMHSAEWGTYPGNEQSSGLMPTTQHRQNKVLRGQFNSSVININGSDGSGKEKLHGLYESEEQMEIDSLLNSFSAPSDAFSQTYEIFQKSESFVDVDKKDKLEESVSVSCFNNTAPYMQAGPRESAIYNGSYCHQQFHSTSQVIGLFCTSASRQETTSSYVSSLPLCGPNSMNSLGESGEDHLLTVDHTLQHEQQTASCGTRYELIDDVANPVLEFTNILDGQSSLKRTYICHDGRVATNDVWKGRCDMTENSSLGVCSSNRTAHSQMELPVTHTTHVLPSPSLSNDPNSSFIRGTDHKKVELIGAYNSTQNYLELDNSERKGIISPKSFEQNVSENICSTTAEYQCNDYSQIVGNQKRILHPLNKASHSSGLPTNKFDGKLVSPEKKRKRSTSLLSWQAQVMSGCCKMHHRRTPELDWAHATRRLVEKVDAENTTAKDSTFVSRAQKRLALTTSLIQCILPALPHRLLAANAINSGETIVYHTSQLALSDAFNPVVSSISKANNFMLQNQTGTSGNKKDKIIPEVLETFTMRFDELQRSFSRAERATTFQDLTSETRDLERWSILHHFIKLHRYSRLHEDGISNPRPNPCRSTIRKHAEAVEVPVDLLGSVRCRLLN >OB03G41670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25337054:25340177:1 gene:OB03G41670 transcript:OB03G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAASSGGGSAGGSDPRMASTARPYTPPKLSPQDLPIDYAGFLAVVFGVVGVMLRYKVCSWIAIIFCAQSLANMKNFENDLKQLSMAFMFAVMGLVTNYLGPPRPGSTKR >OB03G41680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25344644:25345123:1 gene:OB03G41680 transcript:OB03G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARDAATGAGDGAAAHVAAVVEQRRRAGGAAHHLQPSVPEQQAQRRHRRDNRVQLLPQGRGWCVQALPGPPPFRPTRRRPRAPVARGPLLAGGHAVPGVARRAAGRLRRVRGLRQHGHLRRTLVPGARRGAGAHRPTIPVGGPAGLHPGPEQAVAG >OB03G41690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25347145:25349577:1 gene:OB03G41690 transcript:OB03G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGHVLVLPMPCQGHVTPLMELSHRLADEGFEVTFVNTEVDHALVLGALPAGGAAELRERGIHLAFIPDGLADGEDRKDINKLVDAYSRHMPGHLERLIRDMEAAGGRPKVRWLVGDVNMGWSFVVARKLGIRVASFWPAAAACLAIMLKIPKLVEDGVLNNKGWPERQETLQLAPGMPPLHTSLLSWNNAGAPEGQHIIFDLVCRNNKLNDIAEITVCNSFHEAEAGVFKLFPDLLPIGPLVADQELQRPVGHFLPEDTGCLEWLDAQTGGSVVYVAFGSMAIFDARQFQELAEGLELTGRPFLWVVRPDFTPGLSKLWLDSFRLRLASTGKGMIVTWCSQQRVLAHSAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDQNYVTAVWRTGLAVSPDEDGVVTKEEVRSKVEQVVGDTEIRERAQLLRDTARTCVTRGGSSHKNFKKFIDLLSE >OB03G41700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25351605:25353080:1 gene:OB03G41700 transcript:OB03G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPHVMVLPFPAQGHVMPLMELSHRLVDLGFEVDFVHTDFNRDRVLRAMADETGAIRPGIHMVSFPDGMGPAGDRADIAKLADGLPAAMRGGIEEMIRSEGIRWVIADVSMAWAMELAATVGVHVALFSTFSAAVVALRMHVPKLLEDGNLDENGNVKRNEMIRLSPTMPPVRAGELPWVTLSGTPEGRRMVIQNVFKTNPAISSAEVIICNTFQDIEPGALALVPNALPVGPLEAPATARSTGHFWPEDQTCLAWLDEQEARSVIYVAFGSFTVFDMARVQELADGMVLTGRPFLWVIRQNFANGVGEGWLEEFRRRVIGKGMIVGWAPQQRVLSHPSVACFVSHCGWNSTMEGLRHGVPFLCWPYFADQFCNQSYICNVWGTGMKLLADERGVVTKEEIKNKVEQLLDDKEIKVRVAKWKDAACTSIAEGGSSHENLLKFVNLLRGQ >OB03G41710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25352453:25353037:-1 gene:OB03G41710 transcript:OB03G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSLCYACACRILPLCHPHLDFLVVEKLLDLVLDLLLGDNPSLIGQELHSCPPHIAYVALVAELVGEVRPAQERHAMPEPFHCRVPPTMRHEAGDRRVREHALLRSPADDHPLADYTAPELLQPSLADAVGEVLPDHPQEWPAGEHHPVGELLDPRHVEDGEAPERHVDDGASFLLVEPRQARLVLRPEMAG >OB03G41720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25355271:25357121:1 gene:OB03G41720 transcript:OB03G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPHVMVLPFPAQGHVIPLMELSHRLVEQGFMIDFVNTEFNHNRVLKALAEKGTIPGGIRLLSVPDGLGPADDHTDIGKLVQVLPSAMLSLLEKMIISKKIKWVIVDVSMSWALELATTVGVRIALFSTYSAAVFALRMNLPKLIEDGILDETGNVKRHEMVQLMPPIDAAEIPWVSLGSTQERRRFNIQNVFRTNQLMALAEVIVCNTFREIESEALAPLSNALPVGPLVAPASGQTGHFLAEDLTCLTWLNTQAPGSVIYVAFGSSTIFDVAQFHELANGLALSGQPFLWVVRPNFTNGIQEDWFNEYKDYVGGKGLVVSWAPQQRVLSHPSIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWEIGIKLDRDIKGIVTQEEIKNKVAQLLGDEGIKERAATLKATAHASIQEGGSSHQNFLKLANFLREQ >OB03G41730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25367766:25369292:-1 gene:OB03G41730 transcript:OB03G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGVLGGLVGVPHDAHLLERRGARRLQVHRVVPVELAHGEVALDLVLGHLRVVDADLGLVAEEAVADVDRRRLAGVAGVLLEGEPEHGDLLAGDGVEHGRHDAVHEPALLVVVDLDHLLPVVGHLGEAIALADVHQVEDVLLKARAAEPDAGVQELGPDPRVLADGVRHLRDVGAGGRLAERRDGVHRRDPLRQERVGGELGELGRPEVGGDDPVLGDPVRVHLLERLHGLPPVRRLPAADEHPVGLEQVLDGGALGEELRVGQDLVVDALAVVRQDLLDGLRRLHGDGGLLHHDLVRLGHVGDHPRRALPVGQVGRLAGAEPAGLGRRVHGDEDDVRLRDVLLHVRAEEEVPAPALLHHLVQPGLVDRELLAVPGVNAGLRDVHHHHLDRRALQGNDGHGRAADVPSADAADLHHLACSYCTKTTKKKTQLLLRDCRGSSKLNEVNAFPSQHKQSGLADHGQTNRDLARNTGMRRIARSIKSSSFGQSIKLHPREDQRHGRDPSPQQF >OB03G41740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25368048:25369493:1 gene:OB03G41740 transcript:OB03G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LSZ8] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPDGRKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEAAPGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPDKLREIGFIVYSIGKPLDAWLKDMPAVA >OB03G41750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25374803:25377594:-1 gene:OB03G41750 transcript:OB03G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDGGAGAGSDGGRGGDGGGEEEEEEAPARSGDGAAASSSSSGEPGKQPPAAEPAPVATVKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGTGGAAVAAHIHGAAAVALAEQMSAFVSAPPQLPQPQRLLYSLPRLHPQVNPPSSETVNSMSTSLQELNNGEGLQRPSYSPQAAEAGHRRPPRPPPPSVATAVSFDKGLLDDIVPPGVRLG >OB03G41760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25384080:25390123:-1 gene:OB03G41760 transcript:OB03G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:J3LT00] MNWNATYDYYDKTNVKQAYYLSMEFLQGRALTNAVGNLELTGEYAEALKQLGQSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEEVAENWLEMGNPWEILRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDIPIPGYKTKTTNNLRLWSTTVPSHDFDLEAFNAGDHAGAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFERRAGDSLSWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLNWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDGELMNIIISKYGTADTSLLKKKIKEMRILDNIDLPDSIAKLFVKPKEKKESPAKLKEKLLVKSLEPIAVVEEKTVSKVEKNEDPEKVEADSEEVVEAENEEPEDELDPFVKSDPKLPRVVQMANLCVVGGHSVNGVAEIHSEIVKEDVFNSFYEMWPGKFQNKTNGVTPRRWIRFCNPELSAIISKWIGSDDWILNTDKLAELKKFADDEDLQSEWRAAKKANKMKVVSLIREKTGYIVSPDSMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRVKSFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDPEIGDLLKVVFIPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFSMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKKYVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIGCQEKVDEAYRNQKLWTRMSILNTAGSSKFSSDRTIHEYAKDIWDITSVILP >OB03G41770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25409211:25413895:1 gene:OB03G41770 transcript:OB03G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MYMDAGLAAAVTALRTCADLAHLAHVLLQFRLAYVSRESLVVGCGKLVWDPRAIAAHYALSLKGLWFDLFVILPLPQVIFWVIIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEDCKIKNTCNQISLACSKEMCFHLPWSDKNGLACNMNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRQYERERWAAITGEEEMEMIKDFPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCVLGAGNFLGDELLSWCLRRPFVARLPASSATFECIETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSKGGAAAVRWAARPRRRGPPAPPLRGHVHVAQAARSPRVSSRGSDGTM >OB03G41780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25418334:25418525:-1 gene:OB03G41780 transcript:OB03G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIMKDSTATEYRSCDISKGTSGLHGSQQWPPVSLRDLRSSSVDGAPDRTIITVNDTMSLF >OB03G41790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25420553:25421674:-1 gene:OB03G41790 transcript:OB03G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCALLLAAAAAFAALPATTTANKFSINWKPNTNYSDWPAQNGPFYKGDWLVFYYTAGQADVIQVDAAGYNKCDATNAISNYSKGRTYAFELNETKTYYFICSYGYCFGGMRLVIKTENLPPPSPPASAKAKSAAPAASRASLLYAAAAVLAALLRMV >OB03G41800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25440844:25446563:1 gene:OB03G41800 transcript:OB03G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVPARLFVLRMLRVVRLNPLQRSAASIVEVGGLTKMGAKVEGDNYMLGYYAMGDFNIDANGRWSPYHEEKTSNGHMCNGFIAKPTNVYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDMMKQYQNKDIYAYPMLEDASKTNSPTVPPNGAKMIWPIQTPPMSITYRKAPIAEHGVMNHSLKFLREGSVQSSPNGFPPSDALNAKQGTFDLQLSADHYVDDDNASENDPIDFLGVASEKKPQNNADLTLVSPEGLGRFSDNSSTSGLHATNNLGGRQVVDLNEPITGTYMGRANGPGSRGLSYTMENSWHQSILRPSTANINFNKEYSKEKHLDEGTSSNFFATNAKTKQEEKLLIDKGKQVSSIHVFTPRYSDATQMSMKGVDGRSASNTQFLHQGQNSSAGWFARSSLEAPAINNFPRLDRLHHSSLGALGAPMTAPRIDHPSGASPIGSCTLDPRSSAVNNATFQPIPSFKGSSTVNQSIGTSVLKVKKNDGLDGSCPGFALDPFCESRSRHQVTISSDEEQTECLMFEHSARHCEDPHFANDKGPKNFNLNEALSDGQEDCLVEQDGGSVSSLAHSKAGGSVLGFPWLMKTTDTCTRPSDLQNPRKVFTHSNRTVIDLNNNDRKEIPSTIHSLSDSASTSLDCGVKKESQDCGVKKDELCEDITTRTQLVCNTTQESATCLPFLCQKYAPGDDKAAKGVDKKSGAPVRNFIDLNDDAPNEDNSESSVVSHECHVASLQNNHGKRKFVIDLEVPACEEGAAWTFNQECTPSDKLDATQEADDAYFTSTRIAAESIVALSMHVPTIAETSDDMLQWFADFAVSSTDYHDEQAEVQDCTNNSSDDGPDSFESLTLKLEETNIDEYWSRPRAPEIPNDEQAGQSVNLLTKPKRGPQRRRRQKRDFQKDILPGLTSLARPEIVEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKILSETIEEEEVLVTPPAKPDAADIEASDRGIIGWGRTTRRCRRPRCPPGYNISAAS >OB03G41810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25448250:25452761:1 gene:OB03G41810 transcript:OB03G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69400) TAIR;Acc:AT1G69400] MGWRRRAGGGPVAGAASRLRFAPSSNNLIVSSWDSGLRLYDADACELRLEAKSEAALLDCCFQDEAVALTGGSDGSVTRYDLHSGAQDVVGMHDKVVSCIEFSHMAGQVVTATLDNKLICWDTQTRNMSPSSSIILDSDVASLSVCGMYILAAVGREVYIYDMRNLTGPVKSKNSPLEYHIRSLHSSQEWKGYAAGSVDGTVALKYFDHGADGDMGYVFRCHPKSRDGRSCLVPINNIAIHPFDKTFVTGDNEGYAIAWDAQSRKKLHEFPIYSGSVASIAYNHNGQVFAVVSNCTYQEADKMVEEHQIFFEMVQNF >OB03G41820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25454778:25456778:1 gene:OB03G41820 transcript:OB03G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic elongation factor 5A-1 [Source:Projected from Arabidopsis thaliana (AT1G13950) TAIR;Acc:AT1G13950] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPTDEALLTQIKDGFNEGKDLIVTVMSAMGEEQICALKDIGPKN >OB03G41830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25458168:25461146:1 gene:OB03G41830 transcript:OB03G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDNLRRFFDVNVGKWNGAFYQFDAHGRVLQGIGTRLSVSTYGEDDLISLLQSLYIKQASSQISFVDEEDSEPEWVEYKIKETNIFTVDKYQQIGYFPEEKAFALRYQTAGMLETVLRAGVLGEDDTGEASPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLIFHEKQGSLVPLTYSSADTDIVNDDRIAPLLGRWEGRSVTKRSGVYGATLAEADTVVLLDKDSSDQLILDNISTKSGTRTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPSDIGKIVNELDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKV >OB03G41840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25462269:25465505:1 gene:OB03G41840 transcript:OB03G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYVILGEALDLTSPSSAVSASDQALRRPAAEVAIASTTPERVFDGGGGVPGPVPVYGSDFDQGASYMALLAAGAGAVAPTPAAWAVDEEPPGISLPPQFSMANYAPPSYQLPASLVSPPPLAAGLHPYPPYLHGVDAPQQWPPRPTPSPSSSSLQPPPSFTVFTAGAPHEQHHSMQQLLLRAAAFGGGMQAAAAPAAATIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPLDPRRGSSPPSGMAGDNAADGAGPSAEVDDDDPSDDDMLHEDDGGGGEEGRDRGADGVGEAGQRVVRKPKIILQTTSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADAWPSNPAAALAR >OB03G41850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25466430:25469054:-1 gene:OB03G41850 transcript:OB03G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRRRSRKLSVAARKFRRKVSTAIAAAPIARPGAGDAAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGSVICEEAWYDSVSILDSADSDDDLDNDFASVSGDPLPDVTAAAGTAAPPQRNDAASLLDAVHRLRSIASAEACQDDPHERSEDSNAAAAAADECCSGSNLKELQGMVASSARPSFPSSIPSNKIQPMPIVSVSPHNQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSVPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKSPAPNCSPYVPIGADMFACTRKINHIAQHLALPCLKTHETFPSLLIVNIQMPTYPANMFGENDGDGISLVLYFKISDNFDKEISPQLKESIKKLMGEELERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSAAERKLVQTYNQKPVLSRPQHKFFKGSNYFEIDLDVHRFSFISRKGLEAFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNMIDFADNGQIPTLIMSSDE >OB03G41860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25480098:25481762:1 gene:OB03G41860 transcript:OB03G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MLHGGAATGKTRALLLALRHLRPSQRLVYAALRSLPSPRALFASLLSQLSATSFFTSSRQRLSDKPSDFVAALRDALAGVVSQGEVVYLVFDNLEVVRSWDKGSQLLPLLLRLHDLLQLPQVVLVYVSSATPDAYYSMTGSVEPNYVYFPGYTVDEVRDILMHGHPNPKLYSSFLSVALKPLFRVTRRVDELSAVLEPLFRRYCEPLGNLKAVPDEGMKRRLFENVQPHLAVALNETFSVPMRASMVEIKGGGSAAKGSAKRQFAGRDGLSSELDFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNRKRKRKSSQASINMKDTIVEEMLMKGPGTFPLERLLAIFHCITSVSEDILDEVECPENMASESGTTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVNFPLSKYMYRR >OB03G41870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25483220:25485787:-1 gene:OB03G41870 transcript:OB03G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61350) TAIR;Acc:AT5G61350] MFLMPPSAKMARSRRSMLGWKRVPSFFIIFILPITHIATTYAIASQADRFIPRDNYLLSCGAPAAVQLDDGRVFRSDPDSASFLSTPVDIKITARNSLASGAPSSPLYLTARVFSDISTYSFFISQPGRHWIRLHFLPIPDDHYNLTTATFSVSTDDMVLLHDFSFTATPPNPVLREYIVATQGDTLKIIFTPKKASIAFINAIEVVSAPPSLIPNTTTGLAPQGQVDISNNALQVVYRLNMGGPLVTAFNDTLGRMWLPDAPFLKLEAAAKAAWVPPRTIKYPDDKTNTPLIAPANIYSTAQQMALTNTTDARFNVTWEMVTETGFNYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTMGLAVPYFRDFIIDSSSIINSTLIVQIGPGTTDTSNPNAILNGLEIMKISNQANSLDGLFSPKRSSQLGKKIMTGIGLAMLVMVAALAIVMCCRRRHRPGWQKTNSFQPWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFVEIQKATKNFEEKAIIGVGGFGKVYLGILEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTDIKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTWHRKGELNKIIDPHISGQIRSDSLEIFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMKSLEVTNGDVMEKSGNVVPSYVQGR >OB03G41880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25491309:25493510:1 gene:OB03G41880 transcript:OB03G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEKIITEAAGARGYMDMLGLGEEADYLMCMSPSSYLSSPAASTTTAVASPTCGSYLAPPLYHQLVSFGGQDQYHGGDVFGFQYYGGDHVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSQAFKKKGSRGCDQMKTTAPAAAPTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYMERLPPSARVPESSAGGVAAAAVAEAAPPRPSDLRSRGLCLVPVSCTEHVAGTGHGRNGADLWSVAAGMAKAPATVTAAVERSKEAAVAALLRGDRPGQQLA >OB03G41890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25503563:25504861:1 gene:OB03G41890 transcript:OB03G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAQTKATRPETDPDTDMSVEAQEFKQILDEIIPHLSAANLWDYLPALRWFDVFGVKNKILAAVSRRDAFLRRLIDAERRRLDDGNESESESEKKSMIAVLLTLQKTEPEIYTENMITALCANLFGAGTETTSTTTEWAMSLLLNHPETLKKAQAEIDASVGSSRLIDADDVPRRSAAPTCPAARCYSSTRTPSTATRRRGRSRRGSCRRGSKAAGATRCS >OB03G41900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25509011:25512168:1 gene:OB03G41900 transcript:OB03G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAIAIFSIAILFLIHYCLVGQGGDGGSKAARMPPGPPAVPVLGHLHLVKKPMHVTMSRLAERYGPVFRRAVVVSSPECASECFTEHDVTFANRPRFDSQLLVTFNGAALVSSSYGAHWRNLRRIVAVHLLSAHRVSLMSGLIAGEVRAMVRRMYRAAAASPGGAAKIQLKRRLFELSLSVLMETIAQTKATRPETDPDTDMSVEAQEFKQILDEIIPHLSAANLWDYLPALRWFDVFGVKNKILAAVSRRDLRRLIDAERRRLDDGNESESEKKSMIAVLLTLQKTEPEIYTDNMITALCASMFTAGTEITSTTTEWAMSLLLNHPETLKKPQAEIDASVRSSRLIAADNVPRLAHLQCVVRETLRLCPAVPMLMPHLSSADCKVGGYNVPRGTMLLVNAYAVHRDPAAWEEPERFMPERFEGGGCDALLLMPFGMGRRRCPGETLALRTVALVLGTLIQCFDWERVGGAKVDMAAGGGLTMPKLVPLEAMCRPRDVMGGVFKSVRGVRWVRIQSISNSV >OB03G41910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25515904:25517362:-1 gene:OB03G41910 transcript:OB03G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAQTKATRPETDPDTDMSVEAQEFKQVVDEIIPHLGAANLWDYLPALRWFDVFGVKNKILAAVSRRDAFLRRLIDAERRRLYDGNESEKKSMIAVLLTLQKTEPEIYTENMITALCANLFGAGTETTSTTTEWAMSLLLNHPETLKKAQAEIDASVGSSRLIDADDVPRLAYLQRRCPGETLALRTVELVLGTLIQCFDWDTVGGAKVDMAEGGGPTMPKLVPLEAMCRPRDAMGGVLQGLL >OB03G41920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25516853:25517860:1 gene:OB03G41920 transcript:OB03G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINRQMDKLYCVPISEFSPHNSQKVKTMVNVRRRTHRTKSRDHIFSVDLWLSLLQSEQHGNHAFLLAFVAVVQPPPLRVDQTAKERVSPAYCGEDLVLDTEHVEPPQSRQVVPQVGRAEVRDDLVDDLFELLRFHGHVRVRVRLGAGRLGLGDGLHEHAEGELEQPPLQLDLRGAALRRGCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPGWQPRRLAPAPAITAAAHQAVVEQEEDGDGEDGDGYVIHS >OB03G41930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25518902:25520179:1 gene:OB03G41930 transcript:OB03G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANNSIRAARVLQRHFGRQLQLGSVLAQPPPDCHGAVPLRSPRRQHVRRHLRRGARHGAEDVPRAAAPGGVARVHLKRRLFELSLSVLMEGIAQTKTTRPEADADTDMSVEAQEYKHVLDELNPLLGAANRWDYLPALRWFDVFGVQRKILAAVNRRNAFIRRLIDAERQRLDDDDDSRSHGEKRSMISLLLTLQKTDPDVYTDSVITKLCAQTTCLVSYLQYIISETFRLHPTHRRLPHLERNHAARRRGCDSQGPGGLGGAGEVQSGQRGSRMASPMGCSCCHLGWGGGTAEVSRGDIGIVNGWVGARNTDPMLRGQLFGTYYQ >OB03G41940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25523194:25526304:1 gene:OB03G41940 transcript:OB03G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVCIAVCCIVALFFLVDYLRCRHGGRSDGKNGGTRKLPPSPPAIPFFGHLHLIENPLHAALSRLAERHGPVFSLRLGSRSAVVVSSPECARECFTENDVCFANRPQFPSQMPATFNGAAIGFTNYGPHWRNLRRIATVHLLSAHRVRNMSGVVSGEVRPMVRRMLRAAAPGGVGVARVQLKRMLFELSHSVLMEAIAQTKATRPEADADTDMSVEAQEFKQVTDELSPLLGAANLWDYLPALRWFDVFGVKRKILAAASKRNAFMRRLIEAERQRMDEDVAGGDGERKSMISVMLALQKTEPEVYTDNTIMNLCVPLFAAGTDTTAMTIEWAMSLLLNHPETLKKAQVEIDTYVGNSRLISVDDMPHLIYLQCIISETLRLYPAAPLLLPHESSMDCKISGYHISSGTILLVNVVAIHRDPIVWEEPSKFKPERFEDGKYDGLLMIPFGMGRRKCPGETLALQIIGLVLGTLIQCFDWDRLDDAEVDMTQGSGLTNPKAVPLEAICKPREAMRDVLQKLL >OB03G41950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25530355:25531847:1 gene:OB03G41950 transcript:OB03G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCLRALQPSRSSATSTSSRIRCTPRCPSSPSDTARSSRCGSARAXXXXXXXXXRRSASVHFPPAQRVGGMSGVISDEVRAMARRMYRAASVSPGGAARVQLKRRLFELSLSVLMEAVAQTKTTRQEADGTDADTDMSVEAQEYKHVLDELNPLLGAANLWDYLPALRWFDVFGTKRKIMTAVNKRNAFVRRLIDTERQRLDDDDDDGSSNGAKKSMISELLTLQKTEPEVYTDTVIMTLCTSLFTAGTDSTSTTIEWAMSLLLNHPKILKKAQAEIDSYVGNSRLIAAEDMPHLIYLQCIISETLRLYPVLPLLIPHESSGDCRVGGYDVPSGTMLLVNIVAVHRDPSVWKDAETFRPERFEDGMRDDGLLVMMPFGMGRRKCPGETLGLRVVGLALGTLIHCFDWERVDGVDVSMAEGGGLSMSKVVPLEAMCKPRDTMGDALGKLF >OB03G41960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25532837:25536322:-1 gene:OB03G41960 transcript:OB03G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like family protein [Source:Projected from Arabidopsis thaliana (AT4G34270) TAIR;Acc:AT4G34270] MAKAEAWDGPATAELKAAGAEAIPGGVRVKGWVIQSHNGPILNSASLQRFEDKLQTTHLPEMVFGESFLSLQHAQTGIRLYFNALDALKAWKQEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTLYCGSDAVVQNPDTMHTSLDESSNLCWEDTEDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRVYCSFSRGKANPVVLRECCWRETTFASLSAKGYPSDCAAYGDPNLIAHKLPVVMQKTEKLKLPN >OB03G41970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25539458:25543613:1 gene:OB03G41970 transcript:OB03G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:semialdehyde dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G14810) TAIR;Acc:AT1G14810] MKATEFLRVISSRDFPYRSIRLLASERSAGKRLPFEDREYTVQDLAAPGAFDGVDIALFSAGGGVSRKHAPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMAHVRLGQGAIVANPNCSTIICLMAATPLHRYAKVVRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPILENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKSLDEDTAREILRAAEGVTIIDDRPSNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >OB03G41980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25544834:25545273:-1 gene:OB03G41980 transcript:OB03G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:Projected from Arabidopsis thaliana (AT5G59845) TAIR;Acc:AT5G59845] MKLHTTTTTMALLLLLLLASSSLQVAMAGSDFCDGKCKVRCSKASRHDDCLRYCGVCCASCNCVPSGTAGNKDECPCYRDMTTGQGTRKRPKCP >OB03G41990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25549025:25550663:-1 gene:OB03G41990 transcript:OB03G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASNSPAAAAPKKRAATGLTKPRPVSPALQAGGGAAEIPRTEALKRLWAYIKQHNLQDPADKKVIVCDDKLKVLFGQERVGFLEVAKLLNPHFVK >OB03G42000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25551632:25554055:-1 gene:OB03G42000 transcript:OB03G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQANLAMEDQAQVVASPGATLVGVYDGHGGADASRFLRSRLFPHVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWPQRPRMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGAVAVAERLTDEHNAASEEVRRELAALNPDDGQIVVHARGAWRVKGIIQVSRSIGDVYLKKPEYSMDPLFRNIGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTSAPVDIFSSNSGQYVETLQGRRGSGW >OB03G42010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25557390:25560420:-1 gene:OB03G42010 transcript:OB03G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G65860) TAIR;Acc:AT5G65860] MAAAPESKAGKSPEELLCAAAGSGDAEAVTGLLAEGADPTHFDASGTTPLMHAAAGGHAAVVQLLLDTGAPWNALSPSGVSAGDLASDPATFDLLLDHALRSELVLGTVARRQAGSANSSSGGAPAESYLESRVSFSEERVMDADSKAVMMEWERPLMEAHARAVCSGGGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVKVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWNGVKQKYWQLDTYHLPVCQAESEPEQ >OB03G42020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25561179:25562642:1 gene:OB03G42020 transcript:OB03G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77360) TAIR;Acc:AT1G77360] MELYRGMGRFHRTLLEARKVFARMLSSRGDALVDITDPARSLCKLIISCQKAAALEHELDHSGIRVTPDVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVSSLAKIRQYQLMWDVVAVMRREGVVNVETFGIIMRKYARSQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVREAQEIFDKMNSQFSPDAKTYSILLEGWGRAPNLPKMREVYSEMIDAGCEPDIVTYGIMVDSLCKTGRVEEAVRVVQDMTSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKAKKFQNAFRVLNDMEGHGISPNSRTWNIILNHLISLERDDEAYRVFRRMIKYCQPDSDTYTMMIKMFCENDKVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVKKMNILIQEPLFD >OB03G42030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25575881:25578108:-1 gene:OB03G42030 transcript:OB03G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT5G67360) TAIR;Acc:AT5G67360] MWRHGEKCVTFAVAAAILVAAAAAAAVRDERRTYIVHMSRSAMPNDFAEHGEWYAASLQSVSDAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLFVNPEVRYELHTTRTPEFLGLDRTDALFPQSNTGSDVIVGVLDTGVWPERPSYDETGLGPVPAGWKGKCEEGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADAPGRPRGXXXXXXXXXXXXXXXXXXXXVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGSATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKPLPTTPVPFIYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHVLPGAGVGQKAGDTMRAYALSDPNPTASIGFAGTQIGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGLAGANRRVGFNIISGTSMSCPHVSGLAALLRAAHQDWSPAAIRSALMTTSYNGYPNGNGILDVATGLPATPLDVGAGHVDPSKAVDPGLVYDITAADYVDFLCAINYGPMQIAALTKHTTDACSANRTYAVTALNYPSFSVTFPATGGTEKHTRTVTNVGQPGTYKVTASATAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAGAMPSGTNGFGRLVWSSDHHVVASPIAVTWT >OB03G42040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25580911:25583726:1 gene:OB03G42040 transcript:OB03G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MAHKYLAGKLFLHKKRPINGPVIRIQTCHVAYLSTLLLRAHSTDQRRQRCDGMALRVAELRRLLLLRGEAALPLPLAWRGRPVSTSARDDELAGKSAYEVLGVGETSSFAEIKASFHRLAKETHPDVAAASAGSSHFLQILAAYEILSDSQRRAHYDIYLRSQRRVLQKHPRPSQHVYPNPSGSGIVVARESNVVEWLKWYRLTIDDIVTKKRVATGSGCFDRLESELYSAIHAAYYGPDVQSVDLLPDCFEAEERSVYETPEILHLVSGRDLFGTVNLADSVQKLPDACLEKRTLSGFKTYGTSQNVSTNLEKSSVHTSPVYVHKREMDNHDNPQSDAYKDIELWICGKLVATATRRPQCSCIDKSDVEDHIHVFLVPNDVAVSDSIQGHLVLGTITGLATTGEEGSCCVYDSCGLKTHVIVKHRTLMVKHMHWYQVGDEVSPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRHWDGSNEHSERRLHPAMYLAALAYRSLDIEDAQRRKWSITTFLELQSSHIRQLYKKIFNGGKGS >OB03G42050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25588743:25589711:1 gene:OB03G42050 transcript:OB03G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDLAVGGTQRLRCLREEREKLEFGCATWHVSPPEVAGVVRWIIGRC >OB03G42060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25590965:25594690:1 gene:OB03G42060 transcript:OB03G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33910) TAIR;Acc:AT4G33910] MKGGVIRGGGGGGGGGGAGGPGLMRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPQAEEELEAPMRRERLLEAAWPGMAYGVSGEPEPSLIPYQILSWQPRALYFPQFATSRQCENIVKTAKQRLMPSTLALRKGETDESTKGIRTSSGAFLSSDEDPTGTLADIEMKIAKVTMIPKSHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >OB03G42070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25595342:25601327:-1 gene:OB03G42070 transcript:OB03G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II alpha subunit [Source:UniProtKB/TrEMBL;Acc:B6F122] MSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNSYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAVDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRARPQ >OB03G42080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25602566:25603362:-1 gene:OB03G42080 transcript:OB03G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQVFQHYDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELRSQSKLSTSPNGATAKKRYSGNEHSPGQSFSSSSTESDVLVTGVREWQTHGGATADASSPPYRHKRRPAAAFDVVQVDDGGVQQPTPPLLFHWLSLRMA >OB03G42090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25611612:25613349:1 gene:OB03G42090 transcript:OB03G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LT33] MARPSSWWGALLAAAAVAQLGASDLSKDYYNSTCPDVESIVLGVVKDKMQATIRTIGSTVRLFFHDCFVDGCDGSVLIQSTPGNTAEGGNRGEGAPPANLSLAFEGFETVRSAKAAVEAQCPGQVSCADVLAIAARDAIALSGGPFFPVELGRLDGMRSSASNVAGKLPQPNHTLTQLVAIFKANGLNMSDMVALSAAHSVGLAHCSKFSDRLYRYDPPSQPTDPTLNPKYAAFLKGKCPDGGQDNLVLMDQATPALFDNQYYRNLQDGGGLLASDELLYTDNRTRPMVDSLAASTPDFYKAFADAIVKLGRLGVKSGGKGNIRKQCDVFN >OB03G42100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25618664:25622572:1 gene:OB03G42100 transcript:OB03G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LT34] MGGGVRILVAFLALAVAGYGVTRCAAQLRQDYYAAVCPDVESIVRAAVSKKVQETPVTVGATVRLFFHDCFVEGCDASVIVVSSGNNTAEKDHPNNLSLAGDGFDTVIKAKAAVDAVPQCTNQVSCADILVMATRDVIALAGGPSYAVELGRLDGLSSTATSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSAAHTVGFAHCGTFASRIQPAAVDPTMDAGYASQLQAACPAGVDPNIALELDPVTPHAFDNQYFVNLQKGMGLLTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAVLN >OB03G42110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25620424:25620840:-1 gene:OB03G42110 transcript:OB03G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVAMTRMSAQETWLVHCGTASTAALALITVSKPSPARERLFGWSFSAVLFPDDTTITDASQPSTKQSWKKRRTVAPTVTGVSCTFLDTAARTMLSTSGHTAA >OB03G42120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25626999:25627568:1 gene:OB03G42120 transcript:OB03G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGIAARLGLRRTSGLRKLKKEVRTCEYHDVHVMWEMLRTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRGAAAAAAGARRRKAAWRRFLYYCCAF >OB03G42130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25631058:25631966:-1 gene:OB03G42130 transcript:OB03G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKMARVDIAELKQRLVKRLGRQRAGQYFMHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPNAGNVGAVVDSGDGDFARERAVVGKVFSVEDGEEVEQVRSAPCVQSRSPITAPLGISTKQCYGVRTRKLDDPMVSCYDSGHLLDTGSLFKGLQRRLESDGIGVTVQGIEVLNRGLDEFLRRLIKPCMELSRVRSSGRRVSKGNVMFPARTDGLQQANRGCTTVQDIAVAVESDPRLLGTNWSTQLEKIQAMSFGE >OB03G42140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25640014:25644283:1 gene:OB03G42140 transcript:OB03G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAAAPPRMNPSPSPSPHRRRASSALSPSKSANANADAARGKPKGKAVPSRYLLAPSSKSTSTSTSSSSTTTTTTSSSATSNSTSTSASTPSRRFASPLPRRSASVDRPRPTSNAAAGEALGPNGATATTTRSTRSLSVAFQGRSYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGQQRWPMSARASHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEDGVRRSSFDSGDYLMSSDTESVSSGSNSGSQDAGMGISHRARPSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSSLNGLVSSPLNRPIRQPSPSKLVGSRRMSSPSRARSSVGVSASYGDHHGRSSSGYGLDGEVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADAALATQKMTAEKYLCDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSLLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSVLVSDLAKIATQERTLMDQSRELLSTLASMHVKYCSLQGQRVQTTHRRRMR >OB03G42150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25645489:25652037:1 gene:OB03G42150 transcript:OB03G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYINNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPRMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMVFRVDPFFNGQDNYDQLVKITEVLGTENFYNYLEKYGLELDPQLQRLVGRHNRKPWLMFVNSGNKHLASPEAIDLVDRLLRYDHQERPTAKEAMAHPYFNPVRST >OB03G42160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25671788:25673421:1 gene:OB03G42160 transcript:OB03G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAEMVEVREYRDERDRAAVEEVERECEVGSSGGGDGGGGNKMCLFTDLLGDPLCRIRHSPAYLMLVAETATGTGTGGGNGSEIIGLIRGCVKTVVSGSVHGKDPIFTKVAYILGLRVSPRYRRKGVGKKLVGRMEEWFRQSGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFGHALQPSRNAAIRKLEQREAELLRRGCCPHFASVEFFPADIDVVLSKELSLGTYLAVPAGTRWQSVEAFMDAPPXXXXXXXXXXXXXXXXXXXXXXLRPLLPLRPRRRRPGFPAARPRAVPPRPQHGPQGWVRRRRHRGLRLRARPRRRAALGSPRRRRPLVHQASRRRLHPRPTRRLDQGAAGPLHLRRPKRVLGFLSPVFSLGFRVFPDRPISHIRRRTGTS >OB03G42170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25682453:25683208:-1 gene:OB03G42170 transcript:OB03G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSMEALHAAVLEEESAIVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLSRGGHHRVQAPPPLSAAAAEFKCSVCGKSFSSYQALGGHKTSHRVKQPAPAAPVVAVLPPAEDREPATSSTAASSDGASGRVHRCSICHKEFPTGQALGGHKRKHYDGGIGSAAGAGASSTELLATVATESEVGSSGNGHFAARAFDLNLPAVPEFVWRPCSKGKKMWDEEEEVQSPLAFKKPRLLTA >OB03G42180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25688178:25689314:1 gene:OB03G42180 transcript:OB03G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTPAPEEEESEIAAQFFTARYPCQNDRLEHREQDELGGMGARSSSVQWQPDRGTCYWPPLSGGGSGTGGGSYHVGGCCYYAGEPDVSLGVNTIPGETGGAGVRRNVAPRLKRKTRPGHGGDLGRRKKKARASDTVRKQREKWEQNCEKNQENMQCTSCADSESNCSRGNCGTVDHVAGGGNGKAPARRGSATGAQSLYARRRRERINVRLRILQKLVPNGTKVDISTMLEEAAHYVKFLQL >OB03G42190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25690216:25697884:-1 gene:OB03G42190 transcript:OB03G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRPVSPSPSPSPSSASTPASPARASTSRVGGPRRGGGFGGGGGGGGSDPQPRLTRQRRLRHVDDIEVGVSAIGLDSSASSAAPSSCPSRRDYVGFGLVTASSTPISRTPSNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGNPLPSPRLFEGDCNGSAMESPLLGVSENGSDRASLLPRWIAKTVQKNPEHGDLQSNGANGITFGQRRKAFKEKLQDKSSDETLTFRLDIPAKSAPSSGFSSPVQSPRRISNVDFLSTAMSIQGTNLSSASVWSPDLYGSSPSCASPEKLMGGQERSPRSSPLRSPVLRSKNPSAPPSPIHPKLFPENHVSRPEGNGSVNLHPLPLPPASVSPKQTNFSHQPVPKVDTPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETTEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKDFLRCCFKRNPAERPTASKLLEHPFVHNSNHYKQHSALHSVGLKSTDTGHNARDKNSGKIDSLMRGKHTITTGETSSGRSPGSLSNRMGVGPTALPNLETRSLSPQPMSLRSSPGSAAHTPSSMNFSIAYHQPSPLPRSNGKESINMFALKHGELPT >OB03G42200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25700607:25707547:1 gene:OB03G42200 transcript:OB03G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRESDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLLLSEVAGKAEQEEAEVPKEEEPGTEETGAEAAAGGEGSEGEGEEEGEEDEEEEEEEEEEEEDSSGSRKKRRKDGANSEGKRRGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENSLQDPNNKKKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSEGPVTSVSPERSTPKEKPQKRERNEGKKQKGGSSGSGSGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >OB03G42210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25718385:25718639:1 gene:OB03G42210 transcript:OB03G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSNGCDHHINSYLRAMRNLKLHLHLRILENLYSSWFFTSIGLISLLQPRKSHALEKSNGVLQSAQSRIDVAIETLRHLIILL >OB03G42220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25718933:25719115:1 gene:OB03G42220 transcript:OB03G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLEQVQKRNCKICTIVYGFGSFGETRTGSFSITPEGQVETPTGPSGLGALHGATKKPKST >OB03G42230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25719707:25722026:1 gene:OB03G42230 transcript:OB03G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAVKMAAAAAKEEAASGVDACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDAAAAAAEARAEDGDKTKWMSTAQLWVDSRGSDSDSENERRSGSTSPASRLLGGAEESSRSVGSVGPTPYFRREDRVGLRPAMPLLPPVAHRSPPPAAAAAAGDDHRHVVATSFARDVPSPVPAVSLQTQAQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTSPASQSIVLVGDLWVPQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYVRK >OB03G42240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25729714:25734646:1 gene:OB03G42240 transcript:OB03G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPSLRITIPEIRNHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >OB03G42250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25746132:25746611:1 gene:OB03G42250 transcript:OB03G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLQILPGAPNAGAGPAASLWPQSAPRPATPPPHMDSQLGMGTLGHHDVLSSLGLKLPSSTSSSLAASYYSDQLHAVVSNAGRPQAYDASSSLPCTTAVTSLSAALSSVSAAPPIINNTVGMDLPPVSLAAPEMQYWNGPAAMSVAWPDLPTPNGAFP >OB03G42260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25761138:25765297:1 gene:OB03G42260 transcript:OB03G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataurora3 [Source:Projected from Arabidopsis thaliana (AT2G45490) TAIR;Acc:AT2G45490] MEKPEWSMQDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDDERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDVEGRLKIADFGWAVRSNTKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPTTPYVSADAKDLISKGLHKIKSWFSRGVIWSVGEERVDRPIWVLNSKGVYTTESMYNVITFRGVEDSKVKEMWNSPIPLKMKHFLWIAWRDKIQSAAQLKKMNWEGSKRCQLCNAIENSSHIFFSCPNALFVWCVCRDALDWVNVPRNFEEFDNCMVGNLRVGCARLRFCVLAACCWQLCEK >OB03G42270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25769125:25771715:1 gene:OB03G42270 transcript:OB03G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLTLPLLLLLMLLPSPSASASFSLATASSNASLPTLSESEGDPEPELAREHTFLEEVIDSVSEKYDWDPDAEVRVWPLDADTARVGAVQRYEFRARAGSASALVRLADESVEWRRPAAPAVEEVDGPGGLDIVPGDGVMGFHPGVRDVDLVGPVEVRVASDGDGGSIELQLPSRNATYAGLKRLHVAAGVALKVVGARKVSFTHPHSIGLLTNGSLSSNNDLSRIWPLSYATCAPILQVSVVGSVLVVVNESSLLGAQVKTLLRSHGTMELLPEKCGVNVPNRLISACVFCSISSRLPRLDKILKTWFSKKNEDNKSMRFIQAKVTSIPLIKFRLELERDITEEDGLWENISEWKTVPMVQRVTLDVVARVEEGRLKAMSVKKVKRPFPIVDASSWSSLTSNISFTKFMSFVLPPEPLTLDVKW >OB03G42280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25771983:25775165:-1 gene:OB03G42280 transcript:OB03G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQNLASSFGMRCTAAAAASGAAPGAGAGARFLQDALPRSFCLFASSGRSEYRHDADDHNHPKPKAKALPAAASRGSHSLLLSRSRPPKAPVNDPPASPXXXXXXXXXAPVNDPPASLAVGLLTLFTSGTGASSLSASPSISSGLNPAALLPFLQATKWLPCSDLINSAPPSRKSIRPADVAKAPTAAPTAKHVARATPAPAPSPRPANVPSPAVAAPSKVGVKALVGSGVINSGAVGMAGSGVINSGAAGMVRKSSAALGGAAGASRKNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKISYVFREPNISDIVIFRAPPVLQALGYSSGDVFIKRVVAKGGDTVEVHDGKLLVNGIVQDEEFVLEPLNYEMGQVTIPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVFEHDAVHCTAGIS >OB03G42290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25778098:25778913:1 gene:OB03G42290 transcript:OB03G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVHEPNPESFKRHHYLTTNTKFSQGAVYGILATCFSRFHDLGEVYTNQDISPFFLVALYKRTPALGPPVLHQALPAFSAIHQAQGKALVSQLDSESSMILSYEISQPSSFVDLNGTLDLPLNPSPSLQFPLWVAPPVTNGDKPVNSMTSMAAFFCTRLFVGALTASFPNYSAVHLFQKIRGFNLNTANGTYLKFIEFKVSIPTATAFIAFKCCAIICIQIRETIVRT >OB03G42300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25777912:25779798:-1 gene:OB03G42300 transcript:OB03G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 14 [Source:Projected from Arabidopsis thaliana (AT2G15890) TAIR;Acc:AT2G15890] STALRPXXXXXXXXXXXXXXGGAVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQQTKDQITDYCTTLEGDECCSCWDAYFELNKLEEELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSRNSVSSNSLSQAAKPRPFPVPDGLPKTQEELDEEQEALMPESSYTRLLRRMGRYPDWYTPRPDHETD >OB03G42310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25791221:25793410:1 gene:OB03G42310 transcript:OB03G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQNPNGGTGTGMVRIMPVFPQYLSDSVDGFDGVLDGLEDDTIIPVEEDSASNSLHAPHLPAFSGAYSSAQVREVIEDEMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKVKLGSPLPSSWFSGRKNVKKASPKVPSKLGRSPTGDNHVVSFDAAVRSVSQELEHVKEFPEEDCSQNGIKNVVPIKISEIEEDQDGKQNKRFVKFSCANGPAEGSSTSVFGGCATRVNGSTSEICSETKDSAIRRENEGDFRLLGRREAHHSRFNGGRFVGVEEPEQVPSMGRKVSFSMEDSRLCRNSENAETSGYAMADDDDEEEEYSDYDDVQDGRREPEIICKHLDHVNQLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGASLVYIYGPKIKYERGAAVAFNIKDCGTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIVGIEVVTASLGFLTNFEDVYRLWAFIAKFLDSSFLEQQRLSSIPEDSER >OB03G42320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25797229:25797408:-1 gene:OB03G42320 transcript:OB03G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRKKNTAQQANAMHLTYFVVVLGAGGVWPAMAQSGVEWVRLGGWREGVGFVREMRVG >OB03G42330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25797495:25797848:1 gene:OB03G42330 transcript:OB03G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVATTLPSMAPSSAARKRGGVTYVEGMNAYSGLKALNKVTLLGVRKSADYSFARVVAKLSPAGGKSRGGSFGAQCNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >OB03G42340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25797470:25804458:-1 gene:OB03G42340 transcript:OB03G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >OB03G42350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25805119:25805421:-1 gene:OB03G42350 transcript:OB03G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCRQYMMHYLDLDMGGYATMFMLQPVSLMQRQCCMQLQGMMPQCQCGSGCQMMQNMQQTICGGLMWPQMMNKMVMQLPNMCGMAPSYCQFSPYAPYAC >OB03G42360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25815262:25821401:1 gene:OB03G42360 transcript:OB03G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAVSSAAASSEVSTAEKHLPTGSNAVTDAEGRGEVGFFGNPSSGTAEPPGLSLGLGTTAHEDAAAGRHGDRLQPQGCPFKRAATPRASLPGSKRSVRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHIAAGEAQLLQQQAGMEVEAGAGGGGGGGGGDGGVVLPMMPACDDMVGICSSPAAATSSAAAYFLCATTSSAATAPPAVVPSLPAPTIPPRRTDHAPVLEKGVAIVDSLHRCQKHNYSPVLQDALQGAEEDHHLIGSLPSIEAMAATNSSSSPASSSPSLASLEQLLPEHHSFAPNLEISLGRQDWNMDDHPQELSLKYL >OB03G42370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25822271:25822531:1 gene:OB03G42370 transcript:OB03G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIDIWTLERERIRVSGAQAFRTVASIGTGSKQGCTARSGDQNKPDGAAVDSTAAKKQAAAVGSAPASVQEDAFLDILIDCFGQ >OB03G42380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25824688:25824924:1 gene:OB03G42380 transcript:OB03G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVGVWLGEFAKMGGREAAPAADAGVGRRAQMSEGEGGKSGKGGAVQESTRRRDSSVVLSDSEVTVCMLMDRFAPA >OB03G42390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25824960:25825205:1 gene:OB03G42390 transcript:OB03G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEVYTTVYTFSVYNNTMASYLRQLYYIFIWVVSLKFGVNSFVNICSTSAGSDVFCLMSHLILLPWLLLLQTLPLSADA >OB03G42400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25826961:25829335:1 gene:OB03G42400 transcript:OB03G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clast3-related [Source:Projected from Arabidopsis thaliana (AT3G18940) TAIR;Acc:AT3G18940] MEHAVVEGESFSPDCSTLILPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESSSHRLAFIQQRSPIITGMVVSFAKNVANFISSIEKDHVVILSSLDSGKRRVIDASSDMQVYYLSSCNEDGSDPNCEKLGWKKLEEYDPAQRRWRFLASLVEGGDLSEDMAGDPDEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLADAVCKLVAKDPEQFHGNGPNGWIIPLSWKSVYGPPPDLSIF >OB03G42410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25831254:25831469:1 gene:OB03G42410 transcript:OB03G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANTLPIVNLQAHKDSSQKNIVEVRTHVLLFYTQYCQDSCKPAFILLKVDCQGCHWVIGVYIYMVIQVDG >OB03G42420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25832836:25834401:-1 gene:OB03G42420 transcript:OB03G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allene oxide synthase [Source:Projected from Arabidopsis thaliana (AT5G42650) TAIR;Acc:AT5G42650] MATAASCISFVSPGRAAVRRQTRASASASATDRQEVVSPKRRGAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARDPAKSALGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVKKDYDRLADFFRDAAKSVVDEGERHGIAREEAVHNILFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRGAVRDNGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGTSALGSSVTATPLKRPPSGPPVKPPAVLSPYSSLVLVF >OB03G42430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25837833:25840228:-1 gene:OB03G42430 transcript:OB03G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37200) TAIR;Acc:AT4G37200] MAVVASRCTGLLLPDLRTSLAGLRRRSTPASSLSLRPRRGRRRLGSLACVAPPDSAEPQTDEQAAKDDSTEDKAETSSASQDAGTPTFPNKDLSRRIALLSTIAAVGLFASQRLDFGGVSLKDLAANATPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGESTVPHARVVGQFSSAESRKVHQVSDPRSHG >OB03G42440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25846391:25849107:1 gene:OB03G42440 transcript:OB03G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKTKSSREIAREEKQHHQQQQQSSSSKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSRSKKLHDLITNRESRAAGGVEQQEEEEDAGEIREEEVVLEADEESDVHRIRLPAFPGGAEAFEQAAKFCYGVKLDPPPPPAAPLRCAAERLGMSDDHSDDNLISRADRFISHTVLRNPRDAIRALRSCESLLPLADNLGLVSRCVDAIAAKAAASTPTALFGWPVTDAAGGGADRPRRKNNAGAGATWFDDLAGLSLATFTRVIAAMKERGVGPEIVEGALIAYAKRSIPGLSRSGRHIGGGGGGRAPPCPGPPPLTGEQKALLETVIANLPEETIKSNAHTGTAMGATTARVLFGLLRTTSILQASESSRDMLERRIAARLPDAAVDDLLIPSYSYLVETLYDVDCVERIVRYFLEGRDADEGNDDSSEPATPGREASRRAMLSVGRLMDAYLGEIAADANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLREEEKEKISGVVDGRKLTLEACTHAAQNEAERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGQGEEEGDSDAGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRRAIEKMDRRGGGSTPGERGATPAMEGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >OB03G42450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25847265:25847790:-1 gene:OB03G42450 transcript:OB03G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTRVNVARDKPARSSNHVAPAPALFLRRGRSAPPPAASVTGQPKRAVGVDAAALAAMASTQRDTRPRLSARGRRLSHDLRARMASLGFLRTVWEMNLSAGDEVVVGVVVGHAEALRGAAQRSGGGGGGVELDAVAELGRLLERLRAAGEPGGGCGARRTPRPPPAPPPPP >OB03G42460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25849367:25850260:-1 gene:OB03G42460 transcript:OB03G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPRVAAPPARRISPSAAAASPCCRGFLPAPAAGSRRPRGGCRLLLHSAPPRAAAAVTGAERNPRAGGYVDRDVLRRLCQEPNPEAAVNLLDEMLHRGGAGALADLRPEEQAAVLHACGEARSLSSLRRAHRLLSRSLPGIATPILCMIATLYCKLGARGDARRALEGASRPPRKLQEDGDEAKRREAYEKVRELHEEIRAAGYVPDTRYVLHDIDEDAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYW >OB03G42470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25852973:25857549:1 gene:OB03G42470 transcript:OB03G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFMSYLALKTDPAGGEAAQAALIDADLQEISVAARKLANHALLLGGGLGFGTTFLKWLAFFAAVYLLILDRTNWKTNMLTALLVPYIFFTLPGGLFSLLRGEVGKWIAIIAVILRLFFPRHFPDWLELPGAVILLIVVAPNLFASTFRGDLVGIFICLIIGCYLLQEHVKASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >OB03G42480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25857319:25857623:1 gene:OB03G42480 transcript:OB03G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCDERGRAIERKGKKRRIKNQLHLPLLLVPPLHSPYPLHRSRTDSHRAAQSSTRPAAGRPAATVGSLRKREPL >OB03G42490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25857671:25858885:1 gene:OB03G42490 transcript:OB03G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LT73] MENRERAGAGAVGSAGSLGLRIGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDMYSILVGCPLRVPGVMVIVVIGDWVLAILSLAAASSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLTAASSLFNLWFIASR >OB03G42500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25867899:25868690:1 gene:OB03G42500 transcript:OB03G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDVAEEGAATARVVMPGGELREYSQPATAAMTLEEAGEGWFLCDADGVGFEGRVVAVAGDEELRPGQIYFVLPAEARRRSLTREEVAALAVKASAALVKAATSAAPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPRRKGDQVLSRPDRHSRGRVECSSSAAMATPTSKQQEASVHCLTGSGSHAMEDGWARLPELDRTAEESLMGSDLSLSSCCDM >OB03G42510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25869779:25873482:-1 gene:OB03G42510 transcript:OB03G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPEKKGHGAFAKAIKSFGSSERHKRSKRFVSLQFFCLFISVVANANNEIWMFIYGSDLEDMCAKDALYASDKTCIQPKPDAVKVKVKSDINTEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNAAPPVNVSNENPMPKATKELIREIATLELEVKNMEQYLLTLYRKAFEQQVPTFSPPDHRGAPKPPPPPAPARAAISLPVQLQKSPSVKASRKNNRPDAILRSSYPPPSSRTLNDPVTDCSTSGCSGRLGDSDALRCQSALSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVFCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDIWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRDSRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKYGVPQNNLKKTSLLVKASCKIAGRSINVAVIQSMVLGCNTHCPGQWLRTLLHPRIKSKVGKVGHVWQAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPETLRLAMQKCQQSRSSRIIEWVPHNLNFRYLLSRDLAFPHLN >OB03G42520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25875176:25875382:-1 gene:OB03G42520 transcript:OB03G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKHSFRRMHGVLSLMTVCLSRVGSSLFVSVPLAGLFQVWKLHQNEFFFFLMFCLLFIVVKQQVQRS >OB03G42530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25883102:25887545:-1 gene:OB03G42530 transcript:OB03G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLIHGISISVSDDDEASGKRHRHPASARRHRRLRRAARLGAPLLLATLAVSLFLYESYSLSPSYRSPPPAGNLTRVDHTDTARAADGTRKSCLKILGPEKLQNLELPEVPEQNQSVKKVVYRSSLLLLDDDDSSRTKISRFNSFTGYQTLNEREESFKMKEITTVHCGFYNENGAFKVSDVDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEVSIKKVCYVAFWDEVTRAAQEEEGNKIGENLKIGLWHIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEQVKVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTARDQLSFPYVLRRLKMPGVHLFPVCARKDLVNSMGHRRKVKPLAREGR >OB03G42540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25888064:25889398:-1 gene:OB03G42540 transcript:OB03G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLKMLFSCTCSREYLQGNPMWMEYCKVPLVTLGFESSYDVFVKVHGGGLSGQAQAICLGVARALVKISNANKVTLRSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >OB03G42550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25896029:25898801:1 gene:OB03G42550 transcript:OB03G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSIDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSGEQKCSPAENSTPNPLKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNTLAENSTWFADKLSRQSPISSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVRHLQDGNYGVSPILKRVCSDLSSPPNDTFVHIIELVLKSSEDRGRREMKSLVLKLLKENSSSSSSVDIYAETLYRSSQNCLESLSTLFRQVTADDFAEQSSDLKEPVFRQIALEADNLLWLVEILADRNAAGEFAVIWSNQGELAELHSRLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLLKAFEVWWRRTFVRPYVEQGNQSRAVQS >OB03G42560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25902352:25904892:-1 gene:OB03G42560 transcript:OB03G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G49380) TAIR;Acc:AT1G49380] MFAVAALMALGTVIDQGEAPSYYFEKFPEDNPVFGVITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFADSLPRASIQDLGVILMGSGYEVFTKGPSMYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGFLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELISISENTTDSKQSAT >OB03G42570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25912771:25913167:1 gene:OB03G42570 transcript:OB03G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSRVSLSWLWRAPARALGRARDMYRRAAEQAAREAQAVARSQSMVGLSMARIDEDAPCEEFGGAAVMLYPRSQSCIGGVGGRAGGGRVAHTQRKVAAAAALA >OB03G42580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25916415:25916975:1 gene:OB03G42580 transcript:OB03G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIDVIATGKGQKAISNWLEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDAKVKELLVAVCNGAVQTFVKTTHRVTKDKSDGSVSNGAGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFVLWKMHDGARKSRSTVMDSGLRAMQYMTDKSMVIATICITLCLHVLNGTRFLVTA >OB03G42590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25928958:25929533:1 gene:OB03G42590 transcript:OB03G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVHEALDFDLDVMTSGFGFTPWAPDTCPTLEQLMATAASPASTSSDDVSAACGGGTVEEEEERRRQRRKISNRLSAQRSRARKQRRLEELRETSARLRAESXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPGGAPGAAAAVLASLMA >OB03G42600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25957830:25960041:1 gene:OB03G42600 transcript:OB03G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNSSGSIAATAGGGGGGSWLGFSLSPHMAAATPCAGVDDVGHHHVHQHHHHHQQQQHGGGGLFYSPAAVASSFYYGGLGAGHDGVVTSAAAASGGYYAAGFSSMPLKPDGSLCVMEALPGSDQEQHGVVVSASPKLEDFLGAGPAMAPSPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAADGGGGGIMPVHPLTLSMSSAGSQSSCVTVQAAAGAPHGGEAYMSVDAVSKKRGTDRGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRSLITLKMFY >OB03G42610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25960307:25961582:-1 gene:OB03G42610 transcript:OB03G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCELRVAAHASVHAVNIGRCAMDTPGLAGAGGVGEAQELSGSGLISFGAAGFANSMARAPPPMPMVTLSGLCSPELFRLVDDAFDMCAALVLALEPAPPAIKKHARKRHGETFFGAGPAIAMAIIQASQPADRALMIIVTGHPASLNRDSLCNAQPQHTFAATTTMLCYGLVADRS >OB03G42620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25961323:25961556:1 gene:OB03G42620 transcript:OB03G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASSTSLNNSGEHSPDRVTMGIGGGALAMLFAKPAAPKLISPLPLNSWASPTPPAPARPGVSIAHLPMFTAWTDA >OB03G42630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25967138:25979905:-1 gene:OB03G42630 transcript:OB03G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSGLWGGKXXXXXXXPVLRSRFYGFIKAFLALSVLLLIVELGAYINGWDDLAASALALPVIGVESLYASWLRFRATYIAPLIQFLTDACVVLFLIQSADRLIQCLGCFYVHLKRIKPTPKSPALLDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLKGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKELKHQKTESAPNLDAIAKEQSAPRKDAKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >OB03G42640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25988678:25991380:-1 gene:OB03G42640 transcript:OB03G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMEIPWSKRDMAREGGEEEEYDRVFYEDIEAPRFVDLTAPDAALPTDGPSWFCLRIGCDQNHEQVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNQSSTLKCPHTAPPKPPMARFARPSTVAEAAEKAAKPKLKTHRICTLRDSPPRTKAARVEASSARKKALTTPRSKPVRPRQEPFLSAKHQKEPVAAARKGTVVKALFMTTPKKDASQTPAKGQAQPLSEVCSKMKKLNLACREVPSRYLCSSSNQNTAKKCDQTAAKSIKAAQESRQDVRKKKILGCSLKLANSEVGKENRNGPENTAAHENACTEAESTNEERKVIQESRIGIETSKTDNCDADKENMAYVDQPTEQIFRSDGENMQQLENNENVPQKVAKIQSKVHAEQGGKLKKTTNPKPFRLRTDERGVLKEANPERHQAVTENNSMAALKDGKRANQQLGRCHDGKGRDKPICGEKQKKQTRNVAAGQLDETKRVLNSIRCNTVKPAMTNRKTVGKSTRSTNITSGSIAPSQIGKEKKKTSVKISRLQAAAA >OB03G42650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:25994094:25996808:1 gene:OB03G42650 transcript:OB03G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRIVWFARRNLWLVERSARFHADFGGGWSVGVGTGGGTKRFFVFKEWISLMEILQTVKMPDCFRWKWESSGQYSMRSAYWPMFLFRTIFPASPMWKTLAPLNAEYFLWPVAPRHSWTTYWLGMHGISHPSCYVLCDRHEGTIDHILVFSLELCQLWWMALTTIGPPNCVLLNQQSFLDWLCDAQKKLPKCRWQEFNTTVTLGAWLIWKEHNKRIFETKQQTWRETAKAMAEEAMLSQLAKGVSLN >OB03G42660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26003777:26005126:-1 gene:OB03G42660 transcript:OB03G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIIVSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQLARQAAAGDDGRIKQEAIRDASEADGLAAGANFGSWHHHHHHHAMAVPVHPMMSAPVLEGGRVGDDVDESIRKLLLKLGGTSPLAALPAPPCIPPPPPMYEEAPAVLAGPPLPPLNDGALCRRWSWTRASTSTRSSWTGSSASSAWETRP >OB03G42670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26012458:26018583:1 gene:OB03G42670 transcript:OB03G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVSLAAAAGGGGGCTANGAKSCSLLELPLTTASSAPDNKAHHSSPPAYYQLLAAGSASSAQHGRHHHHHHTGGGGAAAAPGQISPAAAVKADIMSHPQYSSLLAAYLGCKKVGAPPDVLAKLSTVPAAKLDAAGGHPRRDDPELDQFMDAYCSMLMRYREELERPIQEAAEFFRSVQSQLDSIADSNGDGPGSSEEEQDTSCPEEADPSDRELKRQLLRKYGGSLGDLRQGFSKRTKKGKLPREARQKLLHWWELHYKWPYPSETEKATLAQSTGLDQKQINNWFINQRKRHWKPTPAAGAAAFPAMEAARPGGGVAAALPLYMGRPFVLDGMYRLGS >OB03G42680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26024254:26026314:1 gene:OB03G42680 transcript:OB03G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGAGGLLAVLVVVVLVCFGVGGVLGAGGSDDHFVYSGFTGAPLALDGTAVITPTGLLELTNGTAQLKAHAVHPAPLRFHVGSPSGGGGGGVVRSFSASFVFGIIPPYSDLSGHGIVFFVGKNNFTAALPSQYLGLLNSGNNGNATNNIFGVELDTIFSSEFQDPNDNHVGIDINSLKSVAASAAGYFDDKTGAFRGLSLISGKAMQVWVDYDGATTQISVFMAPLRMAKPSRPLVSAMHNLSQVLVEPVYVGFSSATGTVRSRHYVLGWSFAMDGPAPAIDINMLPKLPFYGTKARSRVLDIVLPIATAVFVLGVVVVVVFLVRRRLRYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELILVYDYMPNGSLDKYLYDDKNRPTLDWTQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKATTLTDVFAFGAFVLEVACGRRPVEQAMPDNQLMLVDWVLENWQKGTLSKVIDARLHGNYDADEAMLALKLGLLCSHPLPNARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFVMSTSLPSETMMTMGTMSGLSGGR >OB03G42690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26028745:26029257:-1 gene:OB03G42690 transcript:OB03G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRGRDLYRRLVEVGVALGEVVRRQQGAGHDPGVGDLLPLGRLICEGERSKRAKPRIRSQIEGQREGNGGGERGAVSARASSWAEDDMRRLAAGAAVALARAASHRRPDLAAAAADAMGRGGEVGWWWRRWFWGKRVEMDLALPFLRFLYCAGLCGLCRFCGPLQSGGL >OB03G42700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26028840:26030258:1 gene:OB03G42700 transcript:OB03G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase-related [Source:Projected from Arabidopsis thaliana (AT4G37830) TAIR;Acc:AT4G37830] MASAAAAARSGLRWLAARAKATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGSYEKLKVTNKCHEHP >OB03G42710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26031525:26032133:-1 gene:OB03G42710 transcript:OB03G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRCHRSKKLRPKHGLQIFLLVAVSVWLMYQLTHSYNNRRRAVVAVENAAPADMDGEVTPTRRRLGRKGLVDFAGDGVGEIVGDRSNAGVEADVERGFTSNQLSKSELGESEGDGGEETGEEDENDDVYIAEGGLSGDDEDDDGREFHQGDIVPPVKSSVAIAAPLVNGVADSLNKTDGINTLLIDSAVSMNSSMAA >OB03G42720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26039807:26041114:-1 gene:OB03G42720 transcript:OB03G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMAVAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYRFDLLLPGVDHAVPLAESSIGASKGGKFAIDLTSMQPQSRGGTPGCSPRGSGDFSHWPLASYSYRGFVMSSSVQGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >OB03G42730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26050102:26050964:-1 gene:OB03G42730 transcript:OB03G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYSLGWYECLQIKG >OB03G42740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26051472:26058209:-1 gene:OB03G42740 transcript:OB03G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIGVGWEACYGASRCWGWMRGMLWGMALPITASSVATRLALSAFGGANHACIHVHEQSRRPSTKRNEKMWIKVGDGITAGLPQVARAVGHPCAWAGVVCRAGRVAELRLTGLRRTRAGAQRQGFAVDPLRELTALEVFDASGFPLPGRIPAWFGTGLPPSLAVLDLHSTSVNGELPADLGMSGNLTTLNLSGNSISGSIPATLFLIKGLKFLDISDNNLTGELPNVSILAGDGTGVFNASGNSLYGAIGDVLGPLLKRFRQVDVSRNYFSEVVGTGYGNSSDGAVHINMNCFSGSSQRSQGDCEAFYKRNRASLPEPPNASPSPSKKGVKWKHVLAGVLAGSAILVVFLLVALVFCLMRRGRRQPRGRGLEQNEEGIRSGRRSSSVNPVMLSSMAASPAANGTVKGLPTIVDDFTYEQLHYVTGGFGDDNLVKHGHSGDIYHGALESGFNVVVKKVDRKSSNKTLGELGFLAKNSHGRIVPLLGHLAVDDEELLVYKYMAKGDLTTALHKKPVDSEEGLQSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSDVCTQQSEGSRSFFSRMLRSSKSLDKNTSGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHEKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELELNSSRLRSTSSRSSWQFAFHGQSYRSSELRPTLGQSLARKQSVKSQGSDEEEEEEDSFSFKRASREILPDPSVELEENAVV >OB03G42750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26069300:26069629:-1 gene:OB03G42750 transcript:OB03G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPPKLHPPSFPPQAKDRQGGRRRLHNPRSPSPKLPPLPEEQQLRRPRQNKKEKKQLVVDCTTRSGVRRFRGRIRRARRSGGRRDGKEEEEEEEEGRGGGGGGVNGG >OB03G42760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26069896:26077155:1 gene:OB03G42760 transcript:OB03G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49650) TAIR;Acc:AT3G49650] MPSIRAPASKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKTVVVLDPDLSKDYLELIQNRTKERRYSFDHVYAPGCSNADVYKNISSTIGGVVQGLNATVFAYGSTGSGKTYTMVGTYSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGIMVAGLRSIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNCGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHLDTHVEDYKRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADSELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARHQVKDKDSTVLQALTSRRQVILDNIRDNDEAGSGYRKDIELNESRRRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQRESLRSMWNILYGTGLNQKQILKLAAKQGLTIEGCPLPVSSPDVTTPPSFSPHGRLSPFMSFPSPQSQTYSPSACFVQHGFSTMSFLRNQHETPTICRQEHLSSYYMMSECSPFDGDGKQKASGRSMPYISTPGKPKQMYNFSPGTESERSPCSKESPTLYSGNGDFHQQRKVNSIPPYKKIVIIDL >OB03G42770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26086106:26090130:1 gene:OB03G42770 transcript:OB03G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLALLLLLVGLAAADGDADALLAAKAAMSDPTGALASWGNGTNTSTSHCSWAGVTCSSRGTVVGLDVSGFNLSGALPAELSRLRGLLRLAVGANAFSGPIPGSLGRLQFLTYLNLSNNAFNGSFPAALARLRGLRVLDLYNNNLTSPLPMEVVQMPLLRHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGRIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELIRLDAANCGLSGEIPPELGKLQNLDTLFLQVNGLTGGIPSELGYLKSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECRSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGVAAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGQLQQLSKADLSSNAFEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFIGNPGLCGPYLGPCRPGVAGTDHGSHGRGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASESRLWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGDMPNGEHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVHWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHIFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGSASNPPAPVPSGSAKAPTGDAKDQQQQQTSSESRTRPDLISI >OB03G42780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26094313:26097189:-1 gene:OB03G42780 transcript:OB03G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LTA2] MQPDSASHRIARVAAHLSPSTLPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQSLSLLMKMNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGAQQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGVDPKEVNVPVVGGHAGVTILPLLSQVTPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFADACLRAMRGDAGIVECSYVASAVTELPFFATKVRLGRGGAEEVLPLGPLNDFERAGLELAKKELIGSIQKGIDFMNK >OB03G42790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26098148:26100479:1 gene:OB03G42790 transcript:OB03G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:J3LTA3] MRECISIHIGQAGIQVGNACWELYCREHGIQPDGRKPIDKTIGGGDDAFNTFFSETGGGKHVPRAVFVDLEPTVIDEVRTGVYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLVLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPHYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITSSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVAAIKTKRTIQFVDWCPTGFKCGINYQPPTAVPGGDLARVQRAVCMISNSTSVAEVFSRIDSKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDEDSGDDGDDY >OB03G42800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26102473:26106548:-1 gene:OB03G42800 transcript:OB03G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPSATAMQGHIRSYMGAGKPTRFKEDEEKEQLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFERNVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAANLESESLYR >OB03G42810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26109936:26117194:-1 gene:OB03G42810 transcript:OB03G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT1G75210) TAIR;Acc:AT1G75210] MLFSRRPLAAAAAARLAPLSPPLLLFFASASSCSAAAASASASASGSRGCSAVRMDTGAVEPASTGAIWSTPSVEPKTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYNGTIEKLVNDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKKMVAKDPSRYINEDLAIVPMLEMIKKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVNSGHNHKWLGYFDVVITGSSKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPRSGQQPSRPVHKVYQGGNVGHLHRLLSVASSSQVLYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDRIHRLEWSLKFENLTEDEKEQLFSEHDTLLQKKEHVRRLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >OB03G42820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26122427:26125831:1 gene:OB03G42820 transcript:OB03G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: thylakoid, chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Twin-arginine translocation pathway, sign /.../uence (InterPro:IPR006311); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42765) TAIR;Acc:AT5G42765] MRVIHSLTAPAPAPATATPPVRRRTSAACISRESSRPQQALPTLPSRRAALXXLQIAGRRRLCSHSSSLVGMRAAGPKELLREQKKKSARFLLAPIAASRDILLKASSILASANLGAEDAEEVGRMLGAAGRDCVPRQRNSIVALQSRSGVEVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNFELTADREKMKDGLLSTVSAIDKFEQSVKDCLGI >OB03G42830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26124367:26127394:-1 gene:OB03G42830 transcript:OB03G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G42760) TAIR;Acc:AT5G42760] MSKEEEGKEKVVTMEAATASVAVNGGGGAGGEEESAEIAGVMEAAAAEGVRALHARVEAEWGPVLQSACQTAAARALWARAVRDPAVHGVMIAVRTLWFDARVGAAVAQLGGAAQVVLLGAGMDARAYRLSCLKECTVFELDFPELLVMKSELLHEAMSSANNQKLTMMAKSLIRVPADIRDGDWMTKLQSYGYAPERNTIWVLEGLLYYLHNVHAMQVLETIAACSASVHTVLLADFMNKNAVSLSRAMYHFYHDNPDLLLPSIGFSQVTLSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASVFPDDHTTKQGK >OB03G42840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26130781:26138751:1 gene:OB03G42840 transcript:OB03G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDSGDGKAPEEEGEKAPELEVDEPSEGDGYGEEAEEGHKKAPTKKKKLPPLFSSSAHYHWKHCSAKSGHHYIPCVDFDGDGSQRHRERSCPRSPVTCLVSLPKEYKAPAPWPERKEKVWYGNIGHPRLSSYVKAHSWLNRTGDYLMFPPEEWEFKGGSRHYVNSIDEMAPDIEWGKNIRVVLDIGCKSAGFGVTLLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGVFDAIHCGDCNIPWHANGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTALCWNVIAYNSDDVSEAGMKIFQRPPSNEIYDLRATKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRVETFPEWLGDLQTRVEADHKHWKAVVDKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPLSTYPRSYDLLHADHLFSRLKNRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKEDCNTKNNCLIRMKVVKMCEYCTSFSRWLRALGAVEVVSIGEGDVDGGDLEVAFEEWCGRVVRVVKGEEIREETKGDSDGFDEIELEEEDSDYDEEEEVDGREVDMEDIAGKAQARRQNGKVEGALSNGGENGPRDMVTPIIRASLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNTAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLVGELHRRHISTFLVTNAQFPDKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHEKDQRTVYRLTLVKGWNVEEIDAYAKLLNLGQPDFIEIKGVTYCGSSTTSKLTMENVPWHSDVKEFSEALALKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHDLVTSGKPFKSQDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGAAAHKD >OB03G42850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26138610:26140899:-1 gene:OB03G42850 transcript:OB03G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G22070) TAIR;Acc:AT2G22070] MLAKSGRLADARGVFAEMPERDAVSWTVMVVGLNRAGRFGEAVKTLLDMTADGFTPTQFTLTNVLSACAVTRAGAVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDAETASTVFEMMRVRSVSSWNAMVSLNTHLGRMGLAKSLFESMPDRSIVSWNAMIAGYNQNGADAKALEFFSRMLHESSMAPDEFTITSVLSACANLGMVRIGKQMHAYILRTVMAYNSQVTNALISTYAKSGSVKNARRIMDQAVAADLNVISFTALLEGYVKIGDIESAKEIFDVMNNRDVIAWTAMIVGYEQNGRNDEAIDLFRLMIRSGPEPNSYTLAAVLSVCASLACLDYGKQIHCKAIRSLLEQSSSVSNAIITMYARSGSFQWARRMFDQVCWRKETITWTSMIAALAQHGQGEDAVGLFEEMLRTGVEPDRITYVGVLSACAHAGFVSQGKRHYEQMQNEHQIVPEMSHYACMVDLLARAGLFSEAQEFIRQMPVQPDAIAWGSLLSACRVHKNAELAELAAVRLLSIDPNNSGAYSAIANVYSACGRWSDAARVWKLRKDRAVRKETGFSWTHVGGKLHVFGADDVLHPQRDAVYGMAARVWGQIKEAGFVPDLQCVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRIMKNLRVCNDCHTAIKFVSRVTDREIIVRDATRFHHFRDGLCSCKDYW >OB03G42860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26141126:26143778:1 gene:OB03G42860 transcript:OB03G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPHAAHPHAAHPHSAHPHAAHPHSAHPHAAHPHSAHPHAAHPHSAHPHAAHPHSAHPHAAHPHAAHPHSAHPHAAHPHSAHPHAAHPHSAHPHAAHPHSAHPHAAHPHAAHPHAAHPHAAHPHAADALDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNYPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECVCSNESHSH >OB03G42870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26146643:26146885:-1 gene:OB03G42870 transcript:OB03G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSKPADELGSGGKGDLPFNSARAEAGRDAGVAPPNLLADRARGTVGGGGRRRHTPEPPAREGSSASPPVARCSLLGP >OB03G42880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26147157:26150181:1 gene:OB03G42880 transcript:OB03G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCSANGVVGEPKNSSKRWNMKCQPASSCNGFLKGKLSTGSEPVHPVIEVYLAGTFCGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELHDDSLQVSELHNPKSETDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQSTSRNFRRRLP >OB03G42890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26152553:26155182:1 gene:OB03G42890 transcript:OB03G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALALSNRGKLQNIELVSRNGSIFLRDQDHSSMQLHHRRGEESRLVPAAAPPHVGKVAIGVQKLNDILMAYSSRDTSRRSSADIGRELFRGAMDLEESLSMLVMLQDASDYMEGSGNGKVMLLEGKENRKNSTHSARLVEIFDEDSETDQASNARSLSMQIVPHKKSQSCSINNNSAFELSTVTGNAKNNASEVDKDDSKVRMPSVIAKLMGLENLPSTKVINKGMEKFVKPESVPRKDRRANSAGAKLPIQIIGSERVPSKGRSTNLLPGEWRIGLKNSEEFESGNVQLSNRLTLPLVNKQTRQTMRQMLSRVESTERRGSLGQAMYEDKKVTEETNQQQKVVNVGCRTDAGKKMDFLKRFRRNSGSRQVAEEKQIIQEKNTGKKQVSSMKQFLGRDSEDKYKRARDKFNKENFAITETKNAGRGKNGKTDQRKRQSYNKQTNMHRMEKDSRNYGEMNSKEGIQSLDYKKSTKSEATQLKRLPYTAEIQQENGKHGEENDIGKPSEITYGDGGISEQSNGMMKDKRTSGMVSSDQFMDQIAEELDTTPGVHPSDRSNLVDERVTQTNDDTTSNTANETTQITDTFTEREHHQNLQLVEVKEQPMDGLSDDKDSSNLTDLQDQNTHVVSCDSFTENQLVLMKMLLKDQYLIETAKALVRNPAPVHFVNASADKWSEKFSSLFSDIAREVIRKKGKRTEAMVEVSMTHPANMKSQYLDDLLMELDGDIESLNISKKSHQQGDDHTAENLRMILHRDMQNNHPDANSMWDFGWNGMSGLPIERNEVVRDLEKNILGGMITDVARELIEFSVRHRCCACEA >OB03G42900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26157116:26160385:1 gene:OB03G42900 transcript:OB03G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILLRLRFPTSLLRAALVCRQWYLAVSSAGFLEEFQRLHPPVLIGYFAGFDQDPPPQEFVPFPQIPPPELASVVKFIRESFLVGWTPKRRERPRCVIYSVGDDAAFVFLGVLADEGLYYLDLKNLALELVGSMDGMINNAIIPVMMVWSAVFPALRSLFVPSAAVSSSPVCGRLLVLGGPGPGCTLSKQQ >OB03G42910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26160598:26167734:1 gene:OB03G42910 transcript:OB03G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:J3LTB5] MASSALICDTEQWRGLQAHVGAIQKTHLRDLMHDAERCTAMTAEYEGIFLDYSRQRATVETMDKLFKLAEAANLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGVTGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRTSAFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLIPHKTFQGNRPSLSILLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVQGFNSSTASLLTRYLAVEPSIPFNTTTLPKV >OB03G42920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26168368:26170775:-1 gene:OB03G42920 transcript:OB03G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPPKTSPPPATAPPPPSPASPPPSSSSSPAPSSSSGTSPPPTPWSSHGGGAAPLSPAKVPSPPSHSKGSEDVARSALNYARRPGYNAMVEIVFAAVGAAALLVLLVAPCLCCSRKTAPRRRKKKPHIPIHFDADTSGSKGSSDTSGRKPQWPSDPGAAPSTSMFGPPGPAWRVPPGDTSSGVYSGQHDALGLSKGTFTYEQLEAATGNFSAANLLGQGGFGYVHKGVLPGGKTVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIVGARRVLVYEFVPNKTLEFHLHGEGLPVMPWPTRLRIALGSAKGLAYLHEDCQPRIIHRDIKSANILLDDNFEAMVADFGLAKLTSDNSTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELVTGRRPIDASHIHSASRXXXXXXXXXXXXXXXDGDYGGVADPRLEGSYDPVEMARVVASAAAAVRHTAKKRPKMSQIVRALEGDVSLEDLNEGMRPGQSMVFGTAEHGSSIGGTSGLYTFDMDRVVQEAKARAEFGRRAGGDASFSDEMSAGSTQQR >OB03G42930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26172921:26174174:-1 gene:OB03G42930 transcript:OB03G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSYSYGEALSIGGEEIELVRDVVASDVCATTDSISAVDVADVVERTADVDEGSGTTDRVDVADVFEHTMHVDEGNSAADGISVVEVPPVGVPAPAPPPTSSEVDDEHADRSPPTPTAAVAAPNSTSPLPRLSLSVVPVDEDESAPKVTSPSPARLSSEVDKSAIDDGTERRSDDVLTTAEVIKATASDSAGEHAHGGRSCRCAPLEHCRNCHPRRLVIQMEERSPPAMNLRQELAMLQREAAVANQRLGPRQGLWLQSPAAINAAHEARIRSQTPAAATNNAAAINAAFEAQTQAPTDRRRGSEQSLAAAIDAALEPRGQAPPATINAAARRARTKAPPATINDAVAPRAGTKAPAATIKAAAPRARTQVRTYRRRGAEEPEPSAADMEDFAIAYLFSSSCMILYTFLLALNLY >OB03G42940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26180020:26181217:-1 gene:OB03G42940 transcript:OB03G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDESSVYRGESRQQRRRRERSTLEQVNREQDRQHEEALYQKLNNYHAYNFRGDFTLVCPFEPAPESSVYCFQVFTSGTGTWWVTDAMTPAEGLVAASGVAAGGTAWWRTSIGTAVGYNPVTRRVELVTCPGDSGQWEIGSAAGRLHCTVVDGDDVVVFRLQEHGIWEEATRVGVAEILRPPQPEPARLTITSAELVSSQHLSRAVAAAPEDGVQLLGFQGAEVEVVVLAGRRLVAFDTGTRRRREVAVPDDVDAAWNGAEYAAHTNTLALVAPAILAAEPPLAEPPADEEATSS >OB03G42950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26184959:26186940:1 gene:OB03G42950 transcript:OB03G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYAIQAWQVFRTRAAMPRMRRFYPGGFEREMTRREAALILGVRERAAIDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLTGKGKSGSVF >OB03G42960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26191876:26192112:1 gene:OB03G42960 transcript:OB03G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKNLVAVGVSAVLWSIWKIRNNAGFQDKFSNDPVEIRGAEQENLKMEQDYSNKWQWKFMM >OB03G42970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26198029:26199604:1 gene:OB03G42970 transcript:OB03G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFAREAMVVDKIKTDGEVLLAGGGDEEEEGDVVLPGFRFHPTDEELVTFYLRRKVARKSLSIEIIKEMDIYKHDPWDLPKASTVGGEEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAAVSSNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAAAAANASPCMQEAEVWTICRIFKRSVTYRKQQQVWRPPAPATVTIKAPPPGDSSSNTGSFESDGGDEYMNRGLAPAVAAQQQQHGRQTGTMSHGGFFFSDSVHHHGHSHHKLHHGQWGSLQMAPAPEQKPLNTSTPMTMIAFHHQNDHSFASASATAAAAAAATDFYKDGYLAEIARMMEVADPSPTGFFDCRYA >OB03G42980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26200893:26204698:-1 gene:OB03G42980 transcript:OB03G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGNSSQSQLRLLEADESLAPRFEVSVEAVAVGEMKRPPSKNALSAVFLREDEGSKVEQATSSGTNEIAEWNRIGQELKNEACSLKSSDVFLEAPNEIIKGPENAYKSQPHLACLPTMSDELQFLEELKVEDCRTPSGSHQSSTLPDAMSFSWKGCDASSRNDSDAVSKSIEVEGVGKGDSAINCGNNLTTLDSLSFTWKDDINLVGTKSSPISTPSEATTEIQTPATNAPDLEELRNESNTRTCSEHTYEALNSDDAFQSCENSRKESCQPNISDEDFKCAKNCSLVPVELSISNECSLFQSSEGSVSSCNKRRDNSSTDSTEKCLKDEPTVHSSRKKILKDNDSEVEFPSLSQWLKPPNPKKVLRDEPLTSDRSHSAKSSEEDRPIIGSVAAHWRDKEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATSFEERLEKALSDEKLLSQRKCSTGNTSQLSGLEGEENDTAASNSNYLYVAAFT >OB03G42990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26207600:26209987:-1 gene:OB03G42990 transcript:OB03G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSWGPSPAVVTALVALLGLRPAAYIVGPQLYWHASEALGRSPGACPACDCDCDARPLLALPEDCSKQFKEVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAEALIEQRKLTALWEERARELGWKPGNTKPHLM >OB03G43000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26214761:26222839:-1 gene:OB03G43000 transcript:OB03G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVKKDAVGGKWRVSKLVVEHNHELEVAPGEVAAAVPVLGMEFDSVHAAKGFYYGYGDRLGFKARTGSNRRSVGNGVMIMQRFLCSRGNYANRRNKANGLDELKEEEVQECAAGKRKRGANNKKKDCKPVKNGSEVIEVGSSVEKGVGIAGSKNGQEMRKTRGSTRGRSKKDVIQKDGGSVVQLEAEREDMAMAQDGDDDEEQEQKWEEEMEEEVQVEVKGKRGRGRPRKADTDGNALQARVLRELGLRASQYTNEERKKIVNKYLSKRQSRPVSGRPAKIASRQALAERRKRGDGGRFLASEGLAPPEQPSERRSKRLEKQNLKKEDKDESKEDEIIEVEPDPETEVVAGPGGEPKVGMVFLNEDKAYDCYVTYAGTVGFSVRKGWLEKTATNITRSRAYVCSKEGFRSKSVTTDPKKPRSETRTGCQAHMTIKITVSSKYVVTEYAADHNHDLEAPLVDIQVLRSQKLLAKLQQPLDPPRVVFIPHDYKNYARTRQSKDMQLGDAQVICEYLQRKKGEHPSFFYAIQVDEDDQLTNIFWADVKSILDYNYFGDVVCVDTRYSTGNHVRPLLLFIGVNHHKQPIIFGAALVYYESVESFKWLLETFKSAMSGKQPKTVMIDQSTAISEAVGSVWPGTTQRFSLIHLYENATKILNNTFQASETFAEDFSRWLYCYEEEEDFMSSWEILSEKYNLKDNEWLGKLYADRERWALPYGRDTFCADIAATLRSDNNTDTMLADILKKEMDFPSFFNNYDKLLEEKRLAEQQADYLGVQMTQRIAPLRMLWQAANAYTPALFEMFRLEFELTLTCMVYSCGEIAPISEYEVTVKNRPRGHFVRFDSSECMVACSCKKFEFTGIPCCHVLKVLEVRNIKELPPHYILKRWRKDAQSESPKENYGFEAADGDPKFSLSKRYNMLYRMLCKIAAKAAENIEAYTYMESQYDQFLEQVELLLQAKLHDRSSLSTIMKVQQQNLLPEASNSEPRRVSSKKNKNVEMRRQQQSPIQSNKKKKGRQGLLEPEEAEVPLRVDPATISNDIPNHLRTPTSQFLAPSHIIQAPYVAQQFGLGSLQGFPGISPFGQETAPAPLQQPHLQQPPFHSGPQIPQAPPPDIQSLQFLSSNPQLGHQTTDQSQYTIPVWDFL >OB03G43010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26230887:26238493:1 gene:OB03G43010 transcript:OB03G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRPLEVQVELARMCTLLMALVLQLGTIQTQLIEQRTVQRFLVERLDGLQGIMEGMRRDQPVLIQSAIRTEMQNIWAASPSNGAHQHGNPTICRSQLTLVAIPEGLEQTGGSDRIVQLRFVNNNPKITQYTDSAVEWKNGKDVKVAVFENDNQIKQGDLSKVEVDIVSVHSDLFTKRRQENFTKEEFDKQICRSGHKELVLAAFNLNNGEGSLDSICFTESSHGKEWRLAARVKTQVPRVRFEEAVTDPFVVKVDRSKTYAKSYPPLKEDDVHRLVGISPKGKFRDNLVGKGIANVKQLLRHYYIDGSALQELIGMKNRAWKDMIDHAKTCHPGNELYYYTAMEKNYELCFNDFYELVGVKRDGKYTAYCNLDHSQQRKVNNWKKSAYKKFEDLEKNESLIPEYADDGLPVTVSPNDDSSPSIPARPISDHQTALQEFGQRNTLLEQNGNQLASESGQQDPSMHQDENLNYLTQRNILNDQGCPSQLNTPSHSIVAVQEDDLIQGANLSVQLNGNLNSLTTDAAGTSHLLTDGDIQQNPMNEYGLIDDFWRGLSPIGGWVEPDHGHMAYQGVLPDNNETASSSNQFDGHEYGGGGGNWQ >OB03G43020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26237999:26238529:-1 gene:OB03G43020 transcript:OB03G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit F [Source:Projected from Arabidopsis thaliana (AT1G31330) TAIR;Acc:AT1G31330] XSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSLKKLQSSLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWGGGGYLIAISGEKKPAMREIIIDVELAVRLLPRGFIWPVAAYRELITGNLVVDDADIGY >OB03G43030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26247209:26248478:-1 gene:OB03G43030 transcript:OB03G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMSADRLVCFRSSICILQRGGTAISRFSCTPTRIQVGNLVDYGCTVPMGLTDRGENRRGKTTLSRRLVGVTWRDNLATPFSVAWQQDNAAVLSRQF >OB03G43040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26253128:26253866:-1 gene:OB03G43040 transcript:OB03G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKTNCKAAVFLAALMVMATVFSSSHASQDVGEEKMVCARLRGCNTGMCMGYCRVLGYQGGTCRHNDPDLCCCPY >OB03G43050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26267768:26268750:1 gene:OB03G43050 transcript:OB03G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADALVVVGQPQAQARHPLSQIAESGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSASAPASAVEAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGERPRHWAARDLAVFVLFGAACGVLVLTRGSGLQNYLPWGKDLDI >OB03G43060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26270055:26270997:-1 gene:OB03G43060 transcript:OB03G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSICNVVPVPCLSMEQHDKSADRPALFSITDKKAIDGEIPGLTNANAWFTPQGWILLRSATATFLQNPQDSGDKVQLPHLPEGLSTRCSCVLSGQSSLPGCVVLLVEPVATVIWYCHIGDQEWTRHEYDIGTQQLNPPERENVPICDIAALGGKFYFNGCFETMGVLEFTPTPVFTSIEIADPIAGGLGVMGMAEGYLVESEDELYIYMVCLRLDGDFKIYDMTVHRMDFLSQKWRRADDIGGRAFFLAPWYFGASCSADEYGLEKDSVYVSYASDMCFEVSRVEDDATEIHELIGAPDSTMWILPLQSRD >OB03G43070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26273191:26274116:-1 gene:OB03G43070 transcript:OB03G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIRKVLPALGQPSLRGCVVLLFEPLATVIWYCYIGDTGTQLLDPFMDGMMRST >OB03G43080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26288679:26289981:-1 gene:OB03G43080 transcript:OB03G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPLIFFSVLLGFGCYFLGKHKGREEMRTGVGAQSCDQLRLRVVYLNPYQI >OB03G43090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26289304:26290062:1 gene:OB03G43090 transcript:OB03G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAFLLRRERLRRRRAGRRQRRAVDLRADAGAHLLAALVLAEGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLLARGRPRRRRAGRRQRRAVDLRADAGAHLLAALVLAEEVAAEAEQDGEEDERQRDHQHEPHLLLGETLTFPPPQEQSKLSICLVYRA >OB03G43100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26292047:26292337:1 gene:OB03G43100 transcript:OB03G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILSVQRGRIPVLVGEGKEMERVVVHMEELHHPCFLVLLELAAMEFGHHQEGVLRIPCSVECFQATVELIRGSMLIRNVNGSSRNGPFRILGCF >OB03G43110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26293955:26297236:-1 gene:OB03G43110 transcript:OB03G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRTHSNASSGMGVAPDIRNTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLACLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPSEVDLEVLRERAH >OB03G43120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26298371:26302847:1 gene:OB03G43120 transcript:OB03G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LTD6] MAATSADLADDGFPAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSGPPPPRLSRRIPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPDLQASIIRAAKSRRLPFVSSVPFFSPASTPSLSDFAGQDYGLVTERGDSLSKLVGVAVAADVSSRQAPVPVSEYMHPAPRSASASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITVSDVERIRSYPKLGKPSLGADGKFVVAASIGTREGDKRRLEQLIKEGANAIVVDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVAAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHDVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSQTLRLEVRTGAAQVEGGIHGLVSYEKKAF >OB03G43130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26303271:26306329:1 gene:OB03G43130 transcript:OB03G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3LTD7] MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEGEVEAEAE >OB03G43140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26303510:26304957:-1 gene:OB03G43140 transcript:OB03G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVPPPNEWQTWNPVTVAVLGLLADDVEHGVDELGALGVVALSPVVAGAGLPEDEVVGAEDLAVGAGAHGVHGPGLEVHQHGAGH >OB03G43150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26308700:26310364:1 gene:OB03G43150 transcript:OB03G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGRTLGKMVVQEFTVDLNEPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNNVLEFLTRTKWWAVPTIWLPVVCWLLVKSIRMGHSIQEVALMALFGVFIWTLIEYTLHRFLFHIDTKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKNLKRYHLNHHFRVQDKGFGITSSLWDYVFGTLPPSKTTGKNN >OB03G43160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26311300:26313806:-1 gene:OB03G43160 transcript:OB03G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT5G44450) TAIR;Acc:AT5G44450] MDSRGFDSEGRQFSSATEMWAREIGAPDAAAVSAVEAEPAPGPAAGSVGDAGEEAGGDGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGNAKRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLAECMEVGEDMHKAANFYCVPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSITRSDAYFKELFKKCGLYTLSIKDQSDLPKELFAVKMYALVTEKPKIRNNGKRRRPKNSPRMIRS >OB03G43170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26314952:26318224:-1 gene:OB03G43170 transcript:OB03G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT1G56050) TAIR;Acc:AT1G56050] MSRRALGSAFSGFTRTPTMTPTTTLPSSCASSALLLRWRRSRSGGVAGTRRFASARNARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSQQTIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPKSDIDVINLELIFCDLEQIEKRLDKLKKSKTKDQQVKVKEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGAAREKGLLRLEGKDYIVKEGDVMLFRFNV >OB03G43180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26318779:26320974:1 gene:OB03G43180 transcript:OB03G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21300) TAIR;Acc:AT4G21300] MAGDYRSALLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAISLGRLVHRTARALGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGNVAGAVELFCDMRESGCKLNFATLACFLSVSATEGDLFSGVQLHTLAVKCGLEYEVAVANTLVSMYAKCKCLDDAWKLFAVMPQDDLVTWNGMISGCVQNGLIDEALLLFRNMQKSGIRPDPVTLVSLLPALTDLNGFKQGKEIHGYIVGNCVPMDIFLVSALADIYFKSRAVKMAQNVYDSAKVIDVVIGSTVISGYVLNGMSQEAVKMFRYLLEQGIKPNAVVIASMLPACASMAAMKLGQELHSYALKNAYEGRFYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALSLFREMCMKGVKYSSVTISSVLSACASLPAIYYGKEIHGVIIKGPIRADLFAESALIDMYGKCGNLELAHRVFESMPEKNEVSWNSIISSYGAYGLVKESVSLLRHMQEEGFKADHVTFLSLISACAHAGQVQEGLRLFRCMTQEYQIAPRMEHFACMVDLYSRAGMLDKAMQLIVEMPFKADAGIWGALLHACRMHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMNDTKVQKIPGYSWVDLNNTSHLFVAADKSHPESEDIYMSLKSLLLELREEGYIPMPDLCCPMHNNSMQQVHQ >OB03G43190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26323378:26324427:-1 gene:OB03G43190 transcript:OB03G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLGRIQAICRLTGHRRLQLFSGGEGLARLSPASYRSFCSSVSGQVDTTIKDQHHFDRFSDPQVAHEDRQFIEFLDRMLDAIRNPQSLAHIQRENLPKDLKILDDGI >OB03G43200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26326207:26328384:-1 gene:OB03G43200 transcript:OB03G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAIAGASVSSSARLPRLRRIGNVAPATVRRRSRRRAVARSSAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGIMGIPSSTISATEAEKAGIQVSSYKEGTQIDFAFTDADVIEEDTLTAVIGRRKTESGDPSFMEEKSIVKSAGKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTADPLGGDFPLVTKEGHHVLDVIFTTPILDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALDGEVQLLDEKSSVVP >OB03G43210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26331464:26332610:-1 gene:OB03G43210 transcript:OB03G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWFGQSRNTMEKKLSLSILLCLVLLRAGSGHGTRILHDVDADYGEGFVFGDKAAAAETEPLDPSIDDYENEISHVEFEPDVGSTIDDRIEELLGMLLIFLGIKGLFGKFDVKLGDLRIGKSLEHSLIFDSWHHG >OB03G43220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26332902:26334562:-1 gene:OB03G43220 transcript:OB03G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3LTE6] MSEHSESATEKIMSNIMDTIAENLPKQKSGKFDLGSASDKMRDKLFGRQKTIHRALGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIVSFALVLGMVVQFVWSNFSSALSGSPSKVPRVELPEELFVNTAVAIGTQVNKFLSFLQDVSCERNLKHFVLAIVGLWAAAAIGGWCNFLTVIYIGFVCAHTLPVLYEKYEDQVDDFLYNILGLLRDQYQKLDQGVLSKIPKGNMKFKKSE >OB03G43230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26339441:26340928:1 gene:OB03G43230 transcript:OB03G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTWSSLAVPVVVAVVLLLGTSTTSTQAARLLEELVPGVPMPAIPTIPTVPTIPTPPPPCRRSPPCPECRRSFPPSRPSPPCRRSPRCPECQSCRCRQFPEPPEGGGPGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPASRCRRRSSPPCLRSVPASRQSLAGRLRRLNYTLDRVRVHWLHASSSIYLRTCVKYST >OB03G43240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26343255:26343443:1 gene:OB03G43240 transcript:OB03G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPAVPKVPSSLPPIPAVPAVTLPPMPAGIPAVPKVTLPPMPSIPTVNVPMPFLAPPPSA >OB03G43250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26351083:26353767:1 gene:OB03G43250 transcript:OB03G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNARSAANQTKQIVTDNELVELLWHNGGVVAQAQAHPRVVSSGRCRSVSGLTGEETAAWFPDTMDDALEKDLYTQLWHSVAASPDGDAFRGPGPAPPPGGAPRGGPPLRSGIGSSWPGDICSTFCGSNNIPETPAGRREAGAALPPERPRGASTHDGAGTSSSGGSGSNFAGSGLPSESASAHKRKARGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMAPMAVGMNSACMPAAQGLSQMSRLPYMNHSLPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTAPPQVSGPYPSGPQVAQQNQIPKVPASTVLPNSGAEQPPTSDGL >OB03G43260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26355349:26355540:-1 gene:OB03G43260 transcript:OB03G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQHKPEVTLTSLSIQALQVPWLHPPPPPLHCNDAADKACNPEEQRKGESNGVKAWSKECQE >OB03G43270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26359895:26362494:-1 gene:OB03G43270 transcript:OB03G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14080) TAIR;Acc:AT5G14080] MIARAVELDTVGFAVFVKNVGRMYGLAEVLRLVQTVHHRGDRVDRSVVALMVADGLCREGRIEDASHSLEEMRLRGWKPDFVAYRVVSEAFKAAGRVEEEGRILKQKRKLGVAPRKEDYREFVLALLSRRQIGEAKEIAEAIVLGDFPIDDDVLNELICSVSDIDVDAALMFCKFMVGKETFPSSAMLIRLCESLCKNGKGDDMWEFFRVLLDKGYCRNVRDYHLMVSFLGKAGKVREAYDVLKEVKKKRLEPDISSYNSLMEALCRNNLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDGTTYTSVINMLCQEKKYEQSVEIFTKCVMQDPELASSVLTVFMLALCKQGNYKGALGVISCAPSNVQSVNSHVILLKCLTDVGEVDLAIEHIKSIRGNFSSCFQIVINELMASLSTSASLQSVTRLISYLNSQGIIHDVGPWMELIADGYA >OB03G43280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26363428:26368189:1 gene:OB03G43280 transcript:OB03G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) TAIR;Acc:AT3G60830] MEAVVVDAGSKLLKAGIALPDQAPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFDIGGTDLTNLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQLAFEAIGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVAPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >OB03G43290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26378681:26379109:-1 gene:OB03G43290 transcript:OB03G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGDPGQTTTSDRQHAEGKTTDAGDLPCVVATVGIRPAVSAAPARRSSAGNTVTWAHMGLVSPCVWKKIRPNLVRVLWAHLSDVALWKFLTRIFSVFSSEKKRENIFSFSVILIAIIHISPEQGVKYNTLDTQDCIAIQIRV >OB03G43300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26388865:26391280:-1 gene:OB03G43300 transcript:OB03G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFCIFCSFRQINFVLLISRQGKVRLTKWYSPYAQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEANSISNIIAQATK >OB03G43310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26396579:26398253:-1 gene:OB03G43310 transcript:OB03G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDLDAGGLSEEMAGPAPAPAEMYREGDLENKVPRMRRQFCPFGPDGRITWSVMSTPKIGHMNSAGGGSSSTVAIADHVGEWSFGTR >OB03G43320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26400622:26406276:1 gene:OB03G43320 transcript:OB03G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPAAAASAMSAALHIPACSFTVHSSPPDHFVFFFSNSGDRDLALARSPIPAASRQLILCPWSRLALASAFPLPFRVSLDLEGIPVHAWNPSTSAALIAPCRLISLDTAAGRPEDYQRLGVSALAPDLALIPREKFLLIPEPALPSGVPTLLQYQIFIRLLQTPTPGSATCSTVAATFGMPAEAAKVVPKRSKRIAAMLARSGSGDAITRAQRVLVLKLGLAPEAGPIPPCAMSDLDALFAKPLPQDHIVALSKLFSSSPPFLQATEQLARSSTWSISDPQLAEFSLSARVRHHAETVPWWLTIVYGPQEEPDKLRFLDELRSLRPSLAGPWAVAGDFNLILEARDKSNSNINRRMMGRFRRLIDELDLLELPLRGCRYTWSSERASPTLVKLDQVFYSSEWEELFPSCLLQAASSVASDHCGLLLHTCVGSPKAHRFRFEAFWPSLDGFLQVEEDAWHPPANLPPLAALAWCLSNTAKRLQSWSDRHVGSVRIQLLLAKEIVFRFDVAQESRPLSPLEAWLRRDLKLKCLGFDRLNDALIALLPKKDEAVEVGDFRPISLIHSFGKLFSKILASRLSPRLDALVEVNQSAFVKGRSIHNNFCFAQLATKALHAKRTPRLLLKVDIAKAFDTVSWPFLLEDLEFVQGILSVFGLASGIRTNFSKCSITPICYSAEDLDLIRSSFPCSISDFPCSYLGIPLSVWKLPRSALQPLVDKVSRRLPPWKGRLLSLVGRKVLVQSVLSSIPVHVAISVGLPAWAVKAIDKKRHAFLWTGSDSVHGGQCKVAWANVCRPKALGGLGILNLRTAGFALRLRWLWLQHSGHPY >OB03G43330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26409633:26409866:-1 gene:OB03G43330 transcript:OB03G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAALVATLTVAVVVVSAAAVSTAHGAVAGRRVLENRSVTRIAVPSAVAGAPSSGAALSDNDDADCDNKVPVFGP >OB03G43340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26416065:26417024:-1 gene:OB03G43340 transcript:OB03G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPRPDSKSVTATPRAASSDAHTAPVTAERSPEPNGIARVSSNGSEQSDQRIEGVPTGCVAYSRLRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLDAFPATWTRCGRGGERFAVARGRDRSGRAGRREGLAASAVEIARCGIDVASRGPFTSHFSGPFSIRPNKYRSGPLKCHLMGIP >OB03G43350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26416948:26420921:1 gene:OB03G43350 transcript:OB03G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRREVMEDGAELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDRETGKFTDFEKEETTKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWVQDKSSWSLASLDGGDLGHFDYVVATDKNIASPRFSGLTGRPPPLDWSLLPQLSVMIQDIPIRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRARVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPATATSGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGCLNFPSGL >OB03G43360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26425434:26426094:-1 gene:OB03G43360 transcript:OB03G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGHNRTNNYIRIQAMGSPRASQGGVRCGGGGGGGNGAGWGVAEEMLSQKNVESVLFRGKKLAEQTNAEKSNKNVRCLP >OB03G43370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26435675:26438723:-1 gene:OB03G43370 transcript:OB03G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEEEEWPRCVGCGRRVKTLYVQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILMHIVLDAFRISFSRGNKADGDSSISTFSAILKCGKVLSDALLGNIVFMTMLLLEVQFILKLSFDIRRCREVLLAVIISSYFKLFLIAMMVWEFPSFVIFFVEISVLSSNTVALRVVTEFSKVHCFGVCFGAHAARYLTERWLLGAP >OB03G43380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26439220:26444651:1 gene:OB03G43380 transcript:OB03G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMITLFVHELKFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGHIIGTEYLNDLVEKEHGTHDHDHDHEHKDDEQKKQEHTFNEDADKMVKSVKKAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHVIHDLSFGPKYPGLHNPLDDTTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSSWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >OB03G43390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26448939:26452920:-1 gene:OB03G43390 transcript:OB03G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLTDRLNPLLHKPAFPAGVVQQPRDHGDSVPGLYSGSFLETRGRLSSGSITSEDSPALTPRWLSIKSNSSSDNCFEGSKRVVSWSDRHVFDAEFMDLMKQEVDSQLDRLKGDVTGLENYALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLAAVFRQAREMASSSVSDLQLEHELQLEIINITIGEFIGGLQEEMERKLYEQISMTNSMSKNWQDAITQFASIRDDLGALSKLLFPSLQESHIAHSKHENSGSRSNRWKYNFFGKKNKEDHSSRAEENKSFRKQKSIVVSEKSDFRHLNGMSTEEIISYFKTEMSKLKRMHELDLQEKTEELFKFKREKGLLALKNDVEFEPLRKKIPQIISRMDQIISKNIKMPSLCMTHDGLDERCISAKRIDSLYYENQHLRGLLADNMKDVKELSSQLSEASREMSRQLLSEDDLQRQIDKIKEEYEDLRIETDVRDGVYQTVTRKMLDDSMNSMHDAATNFDAELISLEAVIYEKEKALCSSNEKNRMLQEKITELEQCSIQDNQKDPEVIKQESTEIILRDIEVASHISPRISQETLKQDLQYDELVKLNSSLEIATAALKEVEKKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQVLETRRSELQKAEAKVVILGDKVNAHLNLLEKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAGLRSNQNKDDIAT >OB03G43400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26455642:26459020:-1 gene:OB03G43400 transcript:OB03G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:J3LTG4] MATAVASQVPVSAPAGSDCRVRSSGIQVTNSVSFGSKSWIGAALAWESKAARPRHVNKVHCMSVQQASKGKVAVKPLDLESAKEPPLNTFKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNMPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVQVTGPSGKIMLLPEEDPNATHIMIATGTGVAPYRGYLRRMFMEDVPKYKFGGLAWLFLGVANTDSLLYDEEFTSYLKQYPDNFRYDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >OB03G43410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26459655:26465365:-1 gene:OB03G43410 transcript:OB03G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGAASFAAPSPPPPSPGASSSSSSSAPGAAAAAKDRKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLTAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALAEQPSPRLLKHIIRCYLRLSENSRACTALNSCLPTALKDGTLNNFLQDDHVTRRWLQQLLHNMTMAGMGGAPHGGLDHIMGM >OB03G43420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26468848:26471287:-1 gene:OB03G43420 transcript:OB03G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT2G34690) TAIR;Acc:AT2G34690] MGSSEGDKPLRRIAASFEELEAVAKQQQPGPGAMEVGAFARACSNVSVLFGCLGIAFKFAEMDYVAKASPPSLTRTLLSTAAAAAPAPRGFVGIGASIELVCCLGLRNCLLACVVVVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNLLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQNFVRASGPVILYVEDLFTSRNLGMDW >OB03G43430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26474571:26475500:-1 gene:OB03G43430 transcript:OB03G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G34620) TAIR;Acc:AT2G34620] MPLCSFYASTSLPVAKPHSVPSSSSRPSSPAVPAPATLTAAAAALSLHLPELPSRVKDKILSLELMGVDYGRALALNPALRDAAPESIHAVVAFLQSRGLHFKDLGRVFGMCPSLLTASVRADLRPVFAFLTDDLGVPDTAYRRVVVKCPRVLACSVRDQLRPALLYLRRLGFRDGRALAFQDPILLVSSVERTMIPKLDFLAGLGMSRDDAVAMVLRCPALFTFSVERNYKPKFEYLVSVMGGGVDDIKAFPQYFTFSLDKRIAPRHRAAADAGVAMPLPDMLKATDDEFREMLDKELELRKRKQPAA >OB03G43440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26476224:26483955:-1 gene:OB03G43440 transcript:OB03G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G17210) TAIR;Acc:AT1G17210] MREEVRSSSGAAAEPPPTPVASSAGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASKPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSWSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIENMRLTRSTQIERLLSQSIAFLSGELSYKAESTPGIDIQQDASCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFSEHQKNSFSASARKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVPRPCHFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGHDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPSGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNLCFDPDVDVGKFSHARAAGLTAVERDSMRESSVAAMDTVHSGDEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACIQPASGSDAREIGGSSTNEGEEVLDAVTAPAFARDQLSIGISGGSVGMGASHEAEIHGIDVSVQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMNPSLSCNAGMHTGFDVSKEEVTQAGKVLITDDVPMGLDYDLQNGLGATNGENDFESGLPEFDPVKHHDSYCPWVNGTVAAACCSNTESSSSTSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDQVTPNHKLARRASVSRSHGKC >OB03G43450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26479849:26483263:1 gene:OB03G43450 transcript:OB03G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIADEAITGREVNCRSPSHRSLKPPIRADCVKWSCTKLSAQLLPLHGQLLWCDASSCSAKASPAFTPSAGDQDVNVLNMRCAPHDSHSILSMSTFTQPLRAQARELAACNISQVVGLYVASRRSKSPRSHGLHDGAESKAVPVASKL >OB03G43460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26484622:26487090:1 gene:OB03G43460 transcript:OB03G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein [Source:Projected from Arabidopsis thaliana (AT5G46150) TAIR;Acc:AT5G46150] MFKLTDLISFVYLLYANSALLHHHKVNDVGFAVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEIAHRYDIDCVPNAYKRNKQAYIKDSSISKNCTQQVKVNYHMRAPIYVYYELENFYQNHRRYVKSRSDKQLHYGQKYTHSSCGPIERNNGLPIVPCGLIAWSLFNDTYGFTRGSMEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPDLPLSEQEDLIVWMRTSALPQFRKLYGVIEEDLQADEIITMHIANNYNTYSFGGKKSLILTTSTWLGGKNDFLGYAYLVTGSLSLFLTILFALIHVKNPRPQGDAGYLSWNRPNSNS >OB03G43470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26495959:26496600:1 gene:OB03G43470 transcript:OB03G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGSRDKEVTVASAPAQAPSFTELLIAGVAASAGGAISSGEQRQSWQPAAVSEVAADCVGIAHPGNKGADGVSTYGFSASSFGDAPPIGMVPAPPFNFSSSGDMSHYSLAQDQLAAAPQAPAGDYNLNFSMSSGYLGANRGTLQSNSPSHLSGHHHQQLQRLDGSTISFLLGHAAAAAHPAASEGQLTSTAALQLWDGFRHSGVKEKSKN >OB03G43480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26500629:26501354:1 gene:OB03G43480 transcript:OB03G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARLLDAVLLLEHADEVVHLLRRVEVLGHGVDLAAPPRPRRQLGARLLDAEPAVPLVAVEPRRERDERDVDEAEPAAAAAEEVPVAELRLQRAEDLHHLGARLRLRLPLELPQPGPAVVELLVDVVRPEPRPGPRVRRGREELRGVGEGLVEVGEDDERLADGAAGVEEDGDLLVDGVGAEEEVALVGEVLLAVLEVEALLGHGDPAPLPERAHPEVEQHQVRLLLRRHG >OB03G43490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26500644:26501351:-1 gene:OB03G43490 transcript:OB03G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKADLVLLDFWVSPFGQRCRIAMAEKGLDFEYREEDLANKSDLLLRSNPVHKKIPVLLHAGRPVCESLVILSYLDEAFPDTPQLLPPLSAAGAGAADAAYARARARFWADYIDKKLYDCGSRLWKLKGEPQAQARAEMVEILRTLEAELGDRDFFGGGGGRLGFVDVALVPFTSWFYSYERYGGFSVEEACPKLAAWARRCGEIDSVAKHLHSPEKVYDFIGVLKKKYGIE >OB03G43500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26504909:26508223:1 gene:OB03G43500 transcript:OB03G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWEHAGTSSGPAPFRPPSGGSTSDIVEASGTAKPGEVVSATEGNVTSNVNGNISRPLPPRPWQQQGYANSYGGYGSSMYSSYGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPDSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKPKKGAVQGTETSSFQGPGNQFAEAPKANSWDSVWTDDGRGK >OB03G43510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26509245:26513392:1 gene:OB03G43510 transcript:OB03G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLKISPPLSSLPPILPAPTPLPPVLAPSHCTASLLPSVSQERCRVCKAGQMGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSRIDMTTTVLGFKISMPIMIAPSAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLELGTMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMRDEFELTMALSGCTSLADITRSHIYTESDRFARPFPRL >OB03G43520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26512955:26513316:-1 gene:OB03G43520 transcript:OB03G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRVMSASEVQPLSAMVSSNSSRIIRSTFRTPASPSAASANTTGLPICTVAGDRRSMDQNKRVRERIDRDGRARAKKLTKTPAAPRASALKTSVPRRTPPSRYTGMRPAAAVTTCTHA >OB03G43530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26527531:26527683:-1 gene:OB03G43530 transcript:OB03G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSNGWDGCSYVGVCSLQWLQKQQKDVTTVLDMSSTCYACKGNVHEVE >OB03G43540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26528652:26528861:-1 gene:OB03G43540 transcript:OB03G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAPDQPGAGRDDMIDMLNWRRGSHGPTASAAATTPSPTSTTTTLTTFASADGHNGEEQEELDLNLSL >OB03G43550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26539332:26543425:-1 gene:OB03G43550 transcript:OB03G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:J3LTH9] MPASGGGGGAFGGSGGGGGGGGGGYVDANGAVEEKACPCGAGSCLVLTSNTPRNPGRKFYRCPMRDNGGCNFFEWCDTPSSGAAPANGCSSAISQSDKSMPNMLCPCGAGACLFLTTKTGKNVGRQFFRCPANQGGSSCGFFQWCDEQLRTAAQSSTQHHTDVASSGQIPSKRSSSACFKCGQENHWAKECPNQSSDPYPDKGGRTFTSASSPDACFKCGKAGHWSRDCPTANCGSGTVTSHVKSSSTLGSWNSHRY >OB03G43560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26546595:26547743:-1 gene:OB03G43560 transcript:OB03G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G39120) TAIR;Acc:AT2G39120] MAYVDVRMRWKKDESFDAVPELSHARDLRPLVSLARLLSPSPAPVSSVSKLGRLLEAPDRRVASFLRRFPAAFVESVGPEHNHPWFRLSGSAARLLREEREIFAAHRAGITSRLRRLLLMSPRRRLPLAVAKGMLWHLGLPEDYFRCGDYDIGQDGFRILSLGDSVSRDEEDDRRELVLIDNGGDQELPKSVLEMDAMRRFGSTDLVPIPLFPSKGLRLKWKIEEWLERFQMLPYVSPYQDFSHIDRSSDVSEKRVVGVLHELLSMFVTCSAERRRLRCLREHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYMAGGDTTIEEHPMLEVRRKYAGLMEESREIMKCRRSGKPFQSKHEDHEQSEDSKEGSSSAVIVP >OB03G43570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26552754:26555582:1 gene:OB03G43570 transcript:OB03G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCGSNAEVCHSKYGCFPTKGKYNHEMALRILLANIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTSANEVKKTPLKLSYVYQCVSCDSFHLQCVGRTVTKDNTVKCAPGIGPVVPQGCSACGKKLTMGGPIWSGPMHDQEWVVSTLAEAKSRKDRYPAYNKIASVLTTISEELHDIPLYFSLHNICANVKCTSPSAVLFRSAVINAGYRISGTHANPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSQAAAILSKSPKLEANFSRVASAISRAQAKKIKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRAINGAASTKDENVAAPNNPTPETGGTATNGEDEPSTKRQKNCDDRLSTEP >OB03G43580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26558204:26565877:1 gene:OB03G43580 transcript:OB03G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cullin4 [Source:Projected from Arabidopsis thaliana (AT5G46210) TAIR;Acc:AT5G46210] MSHPHATAPKRPGPFSSSSASSPTSPAPPHMKKAKFPGSSSSSAPGATEKNGLHVDPAVVARSGGRTNGEEDAEMVLADQEELPAPSASPPAGVAANLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQQHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDVELSKEINESFKQSSQARTKLPTGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >OB03G43590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26566696:26578113:1 gene:OB03G43590 transcript:OB03G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16280) TAIR;Acc:AT5G16280] MDPLRSYLGRLLLEEVTPVVMVLTTPLAEAACRKSGLSLVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEATDERIKPIVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIRKFNRALMRTLSFSEHETFDHPVACLLVVSSKDTEPISKFVDLFNTNQLPSLLNEGVMDPQILKHYLILHDKQDGPQEIAINILAEMKSTLGLNDCKLLCINSSTEADGVDAEDSWLPYKSYGLHNQEGACWLNMDDLNEIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEASNGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGLWWAEMLKTKGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYLLSKPPMLRKYGFHLVLAGNSYYVSDQKQHAVRAYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEIIACSHQSLTTQNMFLNDFFHFVQSMGKKFDVYKLQLPAINMSSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSVVRTNWLDKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVTVSCISLICQLSSSLDASSAENIVLTKDAGEDISNTRPAISTSEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLLGIRWTLSDLLVGYQYFEFDTKRKTKKGKRGPRRSLSGNLIVIKGLPKLMGCIDHLPTNAFAGDLRLLKLNLRNHSDYAVKNIKMKFSHPRFVIPGDLSEVDLEFPQCLRKHIQSEINTVPTKRTHEGFKGLLFAFPQDIKIQGGATFSWPVWFHAATPGNFSLYTSLYYEMESPSDITYRTLRMHYNIEVFPSLDVSFAIKMCSSKLKEYIVRMDVLNRTPSESFGLHQLSCNDNKWAISTLPLCDSISSVETVSANQAISSFFKIKDLGANSCKEAEDSCRSDMLLSCEGGTEEFDVSRSPITDFHCQERYQQGRLAKGPCDLLDFILISKAVGGNYSKSKQDVQLLSHHVCHCSALDQSPIWWFMEGPRTVTHDFSKSYCEANIQLVIHNSGQHDTSVRIVTFDSLTDKRTTVNLQDSNSNQGGWYDVSLENDIKAISTAKGTHYQKQPSDSISPYVWSSLSCAQVDLKPDTSAKVPLKACIFMPGTYNFSNYQLHWKVHSSEVGQVDENERTGGGQGHPFYVTVLQDAQ >OB03G43600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26583599:26583886:1 gene:OB03G43600 transcript:OB03G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVAAQGEQLDDIETHVGRARSFVDRGREQLQVARKHQKSTRKWTCIAIIILLVLILVVVLPIVLKFVNNNNSSSPSPSPRTPSPPAPPPPSA >OB03G43610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26586754:26591225:-1 gene:OB03G43610 transcript:OB03G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTMGAQKVKRFVSVEGREGAGGGGAMGISARWLKSLVGLRKVEKQQQQHHKEDGDAGAVIQKRVTANHFHCQNQHCQDHDKLGAPEEFPDDNGPSEGDGNVLSCSEPAFSSSKVPVPLTEQELKEIWAATIIQTVFRAFLARRARRALRGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQHVALQEKINETNVREIEDGWCDIIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITTFQPDKNSWGWNWLERWMAVRPWESRFLGTYAADGITLGNEAMQDEENAVYTPYKKHVKRHTPTLHSNILNQKTSLPNSEGGGSSSNRSGGSASAKSKLKLSSREGSDEISSGPSGLGTRSSSNPKERTGHSDPQGNKRFSLPASGAEAGRRLTNKSAVNRSLKAGKGSPAVEAKHHLASPIELPRRVELQT >OB03G43620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26585795:26586118:1 gene:OB03G43620 transcript:OB03G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHTPSHTRNQEAFRGKQKLDEGLTGSTPPPPEVLTVRSEISSTLGRKTASFAPPDASESLLSQGESCTPPEKKASRPEKATPKVNPSPRCTVASAHGSYTPLVSPP >OB03G43630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26597046:26600247:1 gene:OB03G43630 transcript:OB03G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGGFGGGSSRGRRQRRGEDVVHPLKVSLDELYNGTSKKLSLSRNVICSKCNGKGSKSGASMKCAGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPGCKGEKVVQEKKVLEVMVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >OB03G43640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26603704:26604300:1 gene:OB03G43640 transcript:OB03G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAGPGLTPADGNSDRIGGFGYGVGVSVGILLLITTITLASYFCTRVPVTAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPPRPRAPCRRRSPRSRRWQWRGRHDTWRFLLGFHYYFSFSFFSY >OB03G43650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26607044:26609698:1 gene:OB03G43650 transcript:OB03G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNHRRRVPVLLAAAAALLVVLLPAPAAATDVEYCKQGRDYPVKVSGVEIVPDPVISGQPATFKISASTDKSISKGKLVLDVKYFFFHVHSESHDLCDETSCPATGEFVLAHEQTLPSITPPGSYTITMKLLDDANKELTCISFGFSIGFLSPLSII >OB03G43660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26612884:26619782:1 gene:OB03G43660 transcript:OB03G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFTRKVFFILIILNWVEFDRLTDLLRARTVESDLSAPADNHENKNEERTIIGGIGSSTLHGMAADHSTADVDPVCGASSPAELAKQYMNSRYLKENQPNSLRNQVFVKNKAETSNIAYDRRKPGGQFVQEPSQFSNENSQLPVNGYVTPGLRGRSAIYRMSRSPFFKGPSSSNDINMSPFSSSQMQAHSLVSGGRQVLKRRGAELENELGSVGPIRRIRQKSNMMYTFKDARSSPRGNFLPSHTIGSDLTDGRSPIQDSPSSKRLLLGTGQSVQPAEAHRNDEDGKTSSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKQSLPKLASGNVSRLMSKQPVRQGNEPNCVNDPTSSLKLQPMDSFRRSLDSELNASPSSKDKLKKDGSSKLLSHSFQYLGNKDVKSDNVALSSVAGTTSGKPGFKMAVFEDLSEFDDDQEPPVLSKNSFGKTEVKIFDKKFDSKMKEQKVESSISEQKIESNSVQKGVSSSVSEKPIASRSKDAHSLGLYSSNDPEKRATHDVPSDNIGFKIPHEPSGSLPENTVSQVPLSLKKDDKLTSASTSIFGFNQSITSDSEPTNMAGVKIEPGLGGSVTKPTTLDSTNVERGNEKEKAEYVHKSSDKVLPLAAPFHFASTTSTTASLSNGFSLASSPKLSSGTPGDKPAVSLAASTIPTTFAVSSSSTPFSSSSPTIPTFNFGSSTSMVATTKSDGTNTEAKPASTLFGTGGAIAEVKSTAQDTANKASLNLSAAPISSNVASSPVASSSAFSSTATFSSSTLAASNDGIASTNASTTPSAFSSSGNSIFGFNSPAQPTGLSSSVGGSTAQPSATSTIFGGKLPQSESTMSHPSKSSPVQFSSPFPTVTNATGASSSGFGSVSFGVGTTSAGSAAISFGTGTSSSGPSTVSFGLAGTSSGPGTVSFGAGNSSSAPGTVSFGAGTSLSAPGTVSFGAGTSSSAPGTVSFGAGTSSGAPGTVSSGAGTSSSPPGTVPFGAGTSSSGSGTVSFGAGTSSSAPGTVSFGVGTSSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSSSGSGFAFSSPSTSAGSSLTMASTSMFSSSSTTSSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASTSMNSSTPQPVFGMTNSNPAFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPGSSPAAPVFGAPAAQSTGLFQFGNQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRTQKKR >OB03G43670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26616686:26618455:-1 gene:OB03G43670 transcript:OB03G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGLEFVIPNTGCGVEEFMLVLAEVNEKTGACDEKAGDDCAPNEKPPPEEADWPNVNMLGGDELEPNGLLKAGDDEVVELLLNILVLAIVKDDPADVLGDENANPLPELEKVVPDPKGELPNKDPDEEVVTPNDTVPGPDEEVPTPKDTVPGADEEVPAPKDTVPDPDEEVPAPKGTVPGADEEFPAPKDTVPGPDEVPANPKDTVLGPDEEVPVPKDMAAEPAEVVPTPKDTDPKPDEEAPVLENAEGVVDAFVEAIPSFEAANVEDENVAVLEKAEEDATGELATFEEIGAALRFSDALLAVSCAVDLTSAIAPPVPNKVLAGFASVFVPSDLVVATIEVLEPKLNVGIVGLDDEKGVLLLDTANVVGIVDAAKLTAGLSPGVPLDNFGDDASEKPLLRLAVVDVVEAKWKGAAKGNTLSDDLWTYSAFSFSLPLSTLVLSNVVGLVTLETQSRTNKQINHG >OB03G43680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26620903:26621688:-1 gene:OB03G43680 transcript:OB03G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLGWPARWYIIWISRRTSSTSSSVTSFRLRMDLQANSLPVLRSTHRYVVPNCPCPSFRPRLYLSLRFSVFRFSTEPTSSPALETRFTGGTLGRGLAASPPESELAALALEVSCSASGLVGLATAAAESVLETILTGSGALLWTATGASAVGGSRPRPPPPPAGPLASGSAPPSCRAFHSTVETDWKKPFLLMLGPTHVFPIAAGPTPRRRLRLDPRNRQTALTPRPRITPRMSLPEESDASNRRSPLARRSRGPNQDR >OB03G43690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26621078:26624069:1 gene:OB03G43690 transcript:OB03G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKARQDGGALPEANGPAGGGGGLGRLPPTADAPVAVQSKAPEPVKIVSSTDSAAAVARPTKPDAEQDTSKASAASSDSGGEAARPRPKVPPVKRVSSAGLLVGSVLKRKTENLKDKYSLGRKLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIKGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQTEEAALKTIDFGLSIFFRPDQVFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFHSEPWPNISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGQITFEELKAGLKRVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEDMIREVDQDNDGRIDYNEFVAMMQKPTMGLPAKKPGGLQNSFSIGFREALRMS >OB03G43700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26627909:26629168:-1 gene:OB03G43700 transcript:OB03G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALVFFVLVLVGAAAETAPEEPTLPSAAAVGGGDGAGTGVTAGAGAAAGVGGGGGAGGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWPAASHPTLSGEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPTQETGAARSPGRAPRAPDLGCHGPRPCHRAGAGPWTGLREAPLRRPQPGEGLHPDPAPVRRLQRARRHPRQPHLSRHRDGPPCLRGLRAHRARAQRRGHGAPHHRPAQRARLAGEHPVLPHDPGVPDRGEHVQRGAEVRQGALRHAAAAAQGGGAGGRWLRQVWARRGVGVSLRPGHLHGWQDRGAGHRRRAVPAG >OB03G43710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26634893:26637008:-1 gene:OB03G43710 transcript:OB03G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRRGAFVCFVLLLARAAAVETPPEGRTLSVSGGDNSSAAGAGAVGAGIISNSVLVALLDSHYTEMAELVEKALLLPTLEDAVAKHSVTILAPRNEALELGLNPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWPGGSHPTLSGEEVELAAAAVTRCSGRMEDFNRRRSLADISAMPPTAAPQVDPRTQPLEKPPPSASAVLPTPNAIVPDDPSVVAQASEPSGRYDGEGQVKDFIQSLLKYGGYNELADILVNLTSLAAEVAQLVSEGYTLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQSEESMYNAVRRFGAVRYDTLRLPHKVVAREADGSVKFGRGEGAAYLFDPDIYADGRISVQGIDAVLFPPDAAKTEPATARVTRATTTTITSTDKTKLRRGKLLEASCRMAGILGQISRFTGCQNSGLRKD >OB03G43720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26637974:26641427:-1 gene:OB03G43720 transcript:OB03G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFCDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDSMSQELLEAVEQERNIRANRSNTAAIFHHPVLGDFEVPVGADDTELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGAHSRPQIVVFSRNEGIPGGSVHPGSGQDGDHEQSPGLGSARPFAALAATDQGHLSGGSQLFVGHSDQGSSNPSLHDERAMSRTSENQSTSVNQDTAGPSDLQSFSDTLRSRLQSASTKYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGLAAVSRMMERLETKDGTGPSAVPTASASSPSDANNQRIGSPNHAAVVNDTSPTTCASGSGSQ >OB03G43730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26645794:26650971:-1 gene:OB03G43730 transcript:OB03G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHQDGLKLKEQLCRVDGRTSGLARFVGCGGAAVLVPNSKFRINPKQALRWKLACKWDGPKVYTNIFQRRPFIGETGRSRPSDLARRNPTIRIARLTAIPSSPTDRFAPHERMAHTSVIQAPEPVTIPPSEHSSHHSSRSADPPTPSAGESQAPPAKKPAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIDQKEDSPLKAIDFGLSIFFRPGETFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLDELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVAMMQKGNNPMGKIGQHSTGNFGLGEALKLR >OB03G43740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26652674:26652895:1 gene:OB03G43740 transcript:OB03G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGTMPSSSSRRGGLRGPRPVPLKVSSSSAAGTTKGPAKKAAAAAPVIVYEHTPKVVHARPQEFMTVVQRLT >OB03G43750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26663086:26663325:-1 gene:OB03G43750 transcript:OB03G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVINILKVSSEGQQRLCRRSDLNSQIDVIIWEQLEPKWVKFTRRRETHTVFYSFATENFTVPHMSVRQRAANKEIR >OB03G43760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26663960:26666969:-1 gene:OB03G43760 transcript:OB03G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIERLQREKAAAQMEARQFRRYAEGRADREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDDEQQQDEEDGEEVQHIDMATLEAEGSSGGSSSFMQVKAMVDDDDEEEEEQFTPVEKEFEYTVDVRCASTTTKVSGAVVVGEYVGESNAEGLYARVEALEADRAAMRSEIAALRAERAQLVMARAMARRLCWEVVAEQKAKRVAVSPRSFSVLGVCKWVLSIIFWGNRSSTARYTFGLSTTFIGFLLLLDRSTMLSPWRRLPRPER >OB03G43770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26676234:26677174:1 gene:OB03G43770 transcript:OB03G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPAPYVVEDCGPNLQLFSDGTVVRFDDYNILPPLHDLLRDRDVDYAARLRATGKRVELVEFEGQHHGFFAAEPFGDAGSELVRVVSRFVYGNAAASNY >OB03G43780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26682163:26690756:1 gene:OB03G43780 transcript:OB03G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGRTASAIIRTLGGPVLPAEWQTGVGLPVDVGGVGPGPTLVNFTYQEDSKMATIHDIFAVIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLKSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLEDLHSKAIAYLNVDCAVQGVGFFAGSSPQLDTLLINVTRQVKDPDVEGKTVHDTWTKMNGGINIERLARTDSDFAPFLHHAGIPCVDLYYGKEFPGYHTALDSYNWMEKYGDPLFLRHVAIAEIWGLLALRLADDPVLPFDYQTYASQLQGHANVFSAMMQNSTFVNLMNKFADDLSGAAMQVLKEAEKLQDLDVSDGYSLMRRRLLNDRLLLAERSFLQPDGLQGRGWFKHLLYSPPEDYESRLSFFPGVADAMSRSSNRSAKERRALVQHEIWKISRAIGRAANVLRGEFSHRNESFKSSVSVAP >OB03G43790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26694413:26702597:-1 gene:OB03G43790 transcript:OB03G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAAAAVVVAVNGERYEAAGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAAADEVTEFSASSCLTLLGSLHLCAVTTSEGIGNSRDGFHPVQRRLSGFHASQCGFCTPGMCMSIYSALAKADRAAARPXXXXXXRPEKAISGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGADERADASKLPAYSGGAAAVCTFPEFLKSEIRSSMGQANGGGPAGGGGGGGDGWFHPKSIEEFQMLLDSNLFDERSVKIVASNTGSGVYKDQDLHAKYINISHIPELSAINRSSKGVELGAAVSISKAIEILSDGDTVFRKIADHLSKVASPFVRNTATLGGNIIMAQRLAFASDIATVLLAAGSTLTIQVASKRTYLTLEEFLKQPPCDSRTLLVSISIPDWGSDHGITFETFRAAPRPLGNAVSYVNSAFLAKSSVDAASGSHLIEDVCLAFGAFGSEHAIRARKVEEFLKGKLVSAPVILEAVRLLKGIVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLGKPENANAPNGSYTNGTAKENSSVESSPENHSRDDSSFLPIKSRQEMVFSDEYKPVGKPIEKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPRAHIKGVNFRSSLASQKIITVITAKDIPTGGENVGSCFPMIGDEALFVDQVSEFAGQNIGVVISETQRYAYMAAKQAVIEYSTENLQPPILTIEDAVQHNSYFQVPPFLAPKPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITIYCSAQLPELTQNTVARCLGVPFHNVRIITRRVGGGFGGKAMKAMHIAAACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITGLHLDLKINAGISPDVSPVMPAAIVGALKKYNWGALALDIKVCKTNVSSKSAMRAPGDAQGTFIAEAIVEHIASALSVDTNAIRRKNLHDFESLKVFYGDSAGDPSTYSLVTIFDKLASSPEYQQRAAMVEHFNGGNRWKKRGISCVPITYNVSLRPTPGKVSILNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLIGEVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSLIAQASMANVKLTEHAYWTPDPTFTSYLNYGAAISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGIGFFTNEEYATNSDGLVIHDGTWTYKIPTVDTIPKQFNVELINSARDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFATGAGAVGSALTFQMDVPATMPAVKELCGLDVVERYLESFPAKA >OB03G43800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26702340:26702663:1 gene:OB03G43800 transcript:OB03G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIGRKIYMQRLHRSTGAQDSDDGGGDGAAHLRRSRAWGRGRGSGCGGTRAASWTGRPRPPRTARRSPPPPPPPRPPPPSPSRSIYLRRPATARAQETETTEGVAAG >OB03G43810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26709545:26710631:-1 gene:OB03G43810 transcript:OB03G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQVLAVAPAPAPPPPPPPARAAATEHMVGDSNGWALEFDYATWAAARQFMVGDTLVFKYKSPDHTVVEVGGADFAACNKTANAKEWSSGEDRVALDKEGRRWFFCGVGNHCLRNMKLKIAVHCSVWYMFMEMGISFRMSFI >OB03G43820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26714481:26717540:-1 gene:OB03G43820 transcript:OB03G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin family protein [Source:Projected from Arabidopsis thaliana (AT1G11890) TAIR;Acc:AT1G11890] MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OB03G43830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26719332:26719559:1 gene:OB03G43830 transcript:OB03G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFRRPPPSPRRSQVSRTSSVAIHEWPPPIGPPRPGMARAPLPPRPGIRRHQCSGTEMQPPPGHWQTGQKLQR >OB03G43840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26733013:26737336:1 gene:OB03G43840 transcript:OB03G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSLVLASNNLTGNLPDMSGLTNLQVIDVGGNSLGPAFPELGRKVVTMVLSGNRFTGGLPAELTSFYLLERLDVSWNRFVGPFVPALLSLPAIRYLNVAGNRFTGVLSDKVPCGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLDTADDASQHPSPFCQNQALAVGITHGKQSKKTTHQPGVVAGIAMAVLAAVSAIGVMAFFAARKKNKKGAMVRPPATAFGEDNSSSTSGYPSKMFADARYISQTVKLGALGIPPYRTFSMVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAATGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKPEGSRHQTESPMLSDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELLLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAAQRPSIEDVLWNLQFAAQVQDDWEGDNRSSEGSLVSSSSRATKSSRFQNEKTRSGREKECEDSSARGSVWLQAATEDGNFETACNIGRRQAEDERY >OB03G43850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26736324:26740650:-1 gene:OB03G43850 transcript:OB03G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENRREYNRKVREVVEQSWTAD >OB03G43860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26743676:26749391:1 gene:OB03G43860 transcript:OB03G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPNSEGDDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDRKTMFDISMAGPLAGAALSFSMFFVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTSAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTGRRAALIASVFLVVLTLIPLWDELAEELGVGLVTSF >OB03G43870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26749967:26750191:1 gene:OB03G43870 transcript:OB03G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSRGPVSSQIRRQFHSIPLRSLLLPFPSLPVLYQLSSPRLQAKSPFPKASSPLQTLEPLSLSVCACDPDGGG >OB03G43880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26750345:26754283:1 gene:OB03G43880 transcript:OB03G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDSQEGVDVICDVAGEKFHAHKLVLAARSSFFRSQFFENESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVDDDELSASSSDCSVFDTLAGKLLAAADKYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELESVCLKFAAENLSAVIRTDGFDYLKDNCPSLQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >OB03G43890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26753272:26757716:-1 gene:OB03G43890 transcript:OB03G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT5G27740) TAIR;Acc:AT5G27740] MLWVDKYRPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLIMALIKQMFGAGAEKVKMENKTWKIDTGSRTIEIELAMLSSTHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLNLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVAEIAADIMKEQSPKRLYAVRQKLYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHIEAFVAKFMSIYKEFLVSTFG >OB03G43900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26764216:26765787:1 gene:OB03G43900 transcript:OB03G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLRRWLGVVMVAMAAAAARAGGGLSAAEALGMNWGTQASHPLPPKVVAQLLKDNGVKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYNSPADQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDNFPVDFAFFDGGATPGADNGISYTNVFDANFDTLVAALKAVGHGDMPIIVGEVGWPTDGDKHATAAYAQRFYNGLLRRLAANAGTPLRPGQYIEVYLFGLLDEDAKSVAPGSFERHWGILRFDGQPKYPVDLTGQGQNTMLVPAKDVQYLSRTWCVVNTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDANGNASYAFNAYFQVQNQKDEACDFQGLAMPTQTDPSTPACNFTVQIAATAPPGRPPPAGDRPPCWLASFHLFLLH >OB03G43910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26766877:26767386:-1 gene:OB03G43910 transcript:OB03G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQLVFCTMAQESWKKEAEETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKARTVASVVEKKSLVSLSSTPLVSEDTDGGSGSVAAGKQVVEDDTPKPPSNRCLSCQKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQAGREQIAKQNPIVKADKITKI >OB03G43920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26772929:26773846:-1 gene:OB03G43920 transcript:OB03G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGRGSPKFAFLQAKSTSKKKSPKSQRRETSPKTILSQRKTITPRVGEQARASWNSILEKGLVDVMHENMNSFCRTQNGWTPEGWKMIVLGFHDKFPHVTFSRGQIQDKEKELKRDYRCLKDARSQSGVSWDDKLGMIVADDPTLWSNICFSFPPAKKFRNKPFPIFEALGELYDGQTAEGLLSFTSLQPTNTQDQTTNPQDDVVTEIGADEFDN >OB03G43930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26774001:26774759:-1 gene:OB03G43930 transcript:OB03G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACDFDLNITFISCGWGGFAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRVPEGKFYLVDGGYANTPSFLAPYHGVRYDLKEFGHGHRRPTNYKELFNQSHALLRNHIERAIGVLKKRFPILKVGTFHPIENQVRIPAAAAVFHNLIRGYNGDERWLDHQPHDPHNISLEDFVDVPDGDSEYNNDVSALNN >OB03G43940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26777958:26778461:-1 gene:OB03G43940 transcript:OB03G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRHVRHRLRLLWLRRDQQHVLPVLPGASPRRTRQRRRRRRRHRGRRQGEVGDQPRAGGRPRLASPPPEKKKASLSVAVASSSDAAAAAMSATGEQSGSATANRCVTCRRKVGLTGFKCRCGGTFCGGHRYADAHRCGFDYKTSGRKKIAKDNPVVVADKLGFRI >OB03G43950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26784907:26790924:1 gene:OB03G43950 transcript:OB03G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRGDVRRALLSSKKVYDVELRGGVWRARLKSKKVHDVRAADPVESPVLPAPGGRTGRRGGASAGRGNKTAAEGGGRNALKPSRKECKAIDLCDDQPCQDLPEAIARGTVTGKAQEGLGLSKVADGAVDFKMDCESGDKFAATEGESTGTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGQRVSGGGSCTGPDAQEVALKFEHRNSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQAMSSHMVACIAVEAVSILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVEYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATAPELLCCFCPAPFKYFFEMVTNMKFDEEPNYAKLISLFDGLIEGPASRPIRIDGALKVGQKRVRTVVNLDDDEQPKKKLRLGSPATQWISVYNSRRPMKQRYHYNVADSRLHQHIEKGNEDGLYISSVSSSANFWALIMDAGTGFRSQVYELSQVFLHKNWIMDQWEQNYYITAIAGANNGSSLVVMSKETSYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNTGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILTMPKRKPVDETQETLRTSSFPSTHVKEKWSKNLYIASICYGRTVC >OB03G43960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26796663:26800425:1 gene:OB03G43960 transcript:OB03G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSRSLVRKWFNIKNKANDFHADYDARQGRNGHGVECRTSCSEREAGTAKKSRTDRSSKRNADCIRRGRTESDVSRLTEVQDYRIFASTWNVGGKSPSRGLDLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWLSLIRRTLNRNPGPSSYGGCHTPSPVPDPVVELDADFEGSSRRHDNLSFFHRRSFQNLSRSLRVEGNYMASQARLDRRFSVCDPISLGGRPSDFDGNFPCVGSPDDEYIKEDGSNATYFSPFPYGYGASIPMEEIDEQPYTSRYCLVASKQMVGIFLTVWVRSELRNDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDVKSPETILEHDRIIWLGDLNYRISLSYCSAKALVEMHNWKQLLERDQLRIERRCGRVFQGWKEGRIYFPPTYKYSFNSDRYSGDSVHSKEKRRTPAWCDRILWHGNGLIQLSYVRGESRFSDHRPVYSIFMAEVETIRQRRRNMGYFSSRVEVEELLPYSYSFGDINFY >OB03G43970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26800793:26800975:-1 gene:OB03G43970 transcript:OB03G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRSKLSFLLPICNDFTPHADTMASLQKFPKCKGKRKVMILISILKTKVEMEGEGCKEK >OB03G43980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26807376:26809088:1 gene:OB03G43980 transcript:OB03G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTSSMARSPLVVALLLSLFLLSSLASSAVGWSRRGEEEEGDDERRRGRHGEGGRPYHFGEESFRHWTRTRHGRFSVLERFSDEPLVGAVWDYRVAVLEAAPRAFLQPSHYDADEVFYVKEGEGVIVLLREGRRESFCVREGDAMVIPAGAIVYSANTHSSKWFRVVMLLNPVSTPGRFEEYFPVGGDRPESFFSAFSDDVLQAAFNTRREELEKVFERQREGGEITTAPEEQVRELSKSCSRGGGSGSEWEIKPSSLTGKRPTYSNNHGKMFEIDGDECRHLRKLDMLVGLANITRGSMMAPSYSTRATKVAMVLHGSGYFEMACPHVSGGRSSERREREREQGRREEWGREEEEQEEEQHGGRQQKARRYHKVRAQVREGSVIVIPAAHPATFVAGDDENLSVLCFGVGANYDDKVFLAGRNSLLRQLDDPAKALVFGGSAAREMVDRVLAAQPEQIFLRGPHGRGGVSDA >OB03G43990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26809704:26810750:-1 gene:OB03G43990 transcript:OB03G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSGLAAACLVAATAALLAATASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKARALELPKACNVVTPPASKCNAAAGGNTPGAATTTSPATQTPGSTGAGSGVGSKTTPTAPYLINGGASLRGAMGLVIALAAVAACAM >OB03G44000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26812787:26813714:-1 gene:OB03G44000 transcript:OB03G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRMAGAAAAAALLVVAVLVSPASGQVSASCTASLVTTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTSADCVCLVLTGNVPFSLPINRTLAISLTKLCNSMSVPLQCRDTASQIPPPGPVAFAPALPPLPPSPPESSVQPNAAVDPTAMSPSPPLIQGQRPPLLPSLAWRRAHVSTASVSVVLLIAASILA >OB03G44010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26814216:26815344:1 gene:OB03G44010 transcript:OB03G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGNGGSVLGLGVAVAVTVALLACRCAAQTPSASSTTTGGSGCMPELVSLSPCMGFMSGSATAPAAACCSALSGVLRSNPGCLCMVLGGTAASLGVAVDTARAVLLPGACSIQAPSASQCNALEAPMPSPASPAPPVATPATPTEPSGTPGSKTTPTPFTHYSDGSMMNPRMMFIVVVVVIVALLDHL >OB03G44020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26816182:26816652:1 gene:OB03G44020 transcript:OB03G44020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSQELHAAAARGAVEAVSLRRFDLADVNAMMAWASDPEVTAFCRWEPYESTEPLLAYLRDTVLPHPWFRAICLAGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPP >OB03G44030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26817706:26818138:1 gene:OB03G44030 transcript:OB03G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTLRRFELSDVDAMMAWASDPEVAAFCRWEPYESTEPLLAYLRDTRVVGKAGFRREGVLRRHYWHKGRVRDLVMYSFISSDLLT >OB03G44040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26818487:26819396:1 gene:OB03G44040 transcript:OB03G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTLRRFELADADAMMAWASDPEVTAFMAWEPSESVEHLRAFIGGTVLPHPWFRAICLAGPGASPGVATAALKRALATAFADLPGVERVEALVDVDNAASRRALEKAGFHQEAVLRSYCVVNGRLRDMVIYSFISTDPLVE >OB03G44050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26819291:26822962:-1 gene:OB03G44050 transcript:OB03G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LTM9] MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQILFDFFPKKPNDDPCMLCLAFQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDITKLMKHSSENRGIKGFDGGDAIDPRSLLTEECDVLIPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNTELKTYMTRGFRDVKEMCRSHHCDLRMGAFTLGVNRVARATVLRGWEA >OB03G44060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26827283:26830119:-1 gene:OB03G44060 transcript:OB03G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGIGRRFSNIACKKADIDMNKRAGELSPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OB03G44070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26833466:26843628:1 gene:OB03G44070 transcript:OB03G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exoribonuclease 4 [Source:Projected from Arabidopsis thaliana (AT1G54490) TAIR;Acc:AT1G54490] MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRREFEAEGRTLVQKEKSEAIDSNVITPGTQFMFVLSTALQYYIQLRLNHTLGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEIHFSILREVITMPGQHEKCFLCGQVGHLAAECRGPAQADKNMELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLERVEHFIQSVAVHEEQIFRKRACIQQAIQNNEERNKVKTENSEDNQYVDKVKLGEPGYRERYYAEKFKEEAELKPIDQVQRDVVQRYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLADLEITFFLGQPFKPFDQLMGTLPAASSNALPKHYGDLMNDPNSPLKSFYPKDFEVDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTNEERFRNRTMLDILYVRETHPLAAQMAFLYQMCSQSPDASYIIPIDPAASGRMNGFLCLSERNCYSITVTSPVKGFNGIARNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPGDFKPFPVLWHEDNSRRQQRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPHGPGHRPRPAGPLGYERGFVDNSYHRNMSRSVPNSHPQFFGDAQANRQNVRILERPNPRNNDTAIHSGMSKLTLQDGPRMHQNNRMQNSGFSPNQTHPHQYAGFPPQRPMQNAGFTPQRPAQNSGFPQQRPVQIGLPHQPAVNGVQPPLPPSAWIGRPISGVPAGVPAKQDLRMAMDRQPKQDNSRSQHDKRQQVTKVVYRVKGQGPNGLAE >OB03G44080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26844912:26848098:1 gene:OB03G44080 transcript:OB03G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3LTN2] MAAEAEAAASAAAVRDGFAELERQQQLLATCTRLYQQLTEHFGSLERRLAARSETLRAKRRFLDVRTSRRLEALRRREGSIDGSVSLALSQLDSLGKSAAGTAGSGSDAAAGIAEELRSLCASMDSAGFFTFVVARRKEVDALRAELPDALKRCVDPARFVMDAVSDVFPVDKRAVRSPTDLAWACVLILEAVVPALADPDPEIGVARPMVPQAARERARGMAREWKDAAERKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYRRIVVSFSWRRQMPRLAITLGLEDEMNDIIEELITKGQQLDAVNFAYEAGLHEKFPAAPLLKAYLEDSKKIPSNSDNLSTSTGQSGTNANKKEQSALRAVIKCVEDRKLEAEFPLEDLCERLEELEKAKTEKKKAASSSSSGASSGPANKRIRASNGGPMPPAKAGRHTDYTGTPSSPATTTTTTAATFVRSPSHASYGTASPYSYDRPAAHPLYCGQNTLAMREPYAYHHPVEVSTIGLSMSYPSPPMTYPAYGGYSNGLAGYSNAMAPAFHHHQAYYR >OB03G44090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26848841:26858511:-1 gene:OB03G44090 transcript:OB03G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPTLMRLSHCESYCGPPLCYIPCLPKSKDAAGDAASKSKGATSDAVPAGPCPAAAAAEGKPPPVQKIEEAVAEKDDDDNEEVDEDDDGVKMAAPPAPLKSNLKKADCADSKCAEKGNVKWLDLLGKDLTEVKEFEPRSILSDLNSPSFDIIFQLYLKLRPTLLYDCWGIASVNHLPLTWETSNNGYSGSWQTRQHSTDDISRSKPKPGYIKAFGVDLSADNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGLSALPWLVKPLYGFISDSFPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAAFSIILGSLAVAVADVVVDSMVVERARGEPQSISGSLQSLCWGSSAFGGVMSAYFSGSLVETYGVRFVFGVTAFLPLMTSTVAVLVNEQRLPLGEDATSPSGSGLIESSKQHIMQIWTSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLLGIGVYNSFLKEVPLRKIFLVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQLLGVTKDNFQNLALLIVVCNLSSLLPLPLLGLLPDESPGGDNGQTKVD >OB03G44100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26860575:26860778:-1 gene:OB03G44100 transcript:OB03G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYLDNLKGLTFKLFNGYSPFSFISMLMCPSLPLKLSSVSKKYLQNVTQPCDQQNVNAILYSKKKEVNL >OB03G44110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26862010:26863815:1 gene:OB03G44110 transcript:OB03G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02980) TAIR;Acc:AT2G02980] MSSSPLTATPSPLLPAKSKKPPSPHPLLSYLPHCTTLRALAQLHAAAVKAGLQAHPAFVTRLLTLCTDQGAATRAHLAYARQVFDRIPHPGDVVWYNTLLRGYARGGGAGEAARVFVRMMEEGVAPDTYTFVSLLKACAAARAGEEGRQAHGVAVKAGAAEHEYVVPTLINMYAECGDARAARVMFDRMDGECVVSYNAMITAAVRSSRPGEALVLFREMQAKGLKPTSVTLISVLSACGLLGALELGRWIHEYIRKIRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMIVAYANHGYGREAISMFEEMKKQGIKPDDVTFLGVLYACSHSGMVSEGLQYFDSMQEHGIVPGIKHYGCVTDLLARSGQLERAYNFIDELPIKPTAILWRTLLSACGGHGDVDMGKRVFERIMELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMSEKGVVKVPGCSSIEIDNMVHEFFSGDGRHPHSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGLLNTSPGSTLRIVKNLRVCPDCHSMAKFVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW >OB03G44120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26867115:26868582:-1 gene:OB03G44120 transcript:OB03G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANNSRLEKAYVALQALKRAITDDPKNLTHSWCGPEVCNYFGVYCAAAPDDPCARTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFCGSLPDSLRNLHRLHEIDVSNNHLSGSFPSQLLCLPDLKYVDLRFNNLCGEVPAAIFEKKIDALFINNNNFDFKLTESFSNSTASVIVLANLPKLGGCLPSSIGDMAGTLNELVLLNSGISSCIPPEIGKLDKLTVLDLSFNSIAGALPDTIGHMRALEQLNVAYNSLAGEIPEAICELPHLKNFTYSHNFFCGEPHRCLEVPHVDDRQNPAPPAGALRRAWVHRAAVAAAAAAAADALCSSSTGILICGKTVIVATVCCL >OB03G44130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26867532:26868594:1 gene:OB03G44130 transcript:OB03G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGISPARLLYATLSCSSARMWPMVSGSAPAMLLKLRSSTVSLSSFPISGGMQEEMPLLRSTSSLSVAVVEVHAGHRARARVVGRRRAVHAEVVAHLRPAPAVRQVLGVVRDGALQRLQRHVRLLQPRVVRRRRHRRRP >OB03G44140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26875248:26878785:1 gene:OB03G44140 transcript:OB03G44140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQSRMWFARPHLLWGRMVCSSCSDVPASRVSGGGARGGGSAEFDSAIRSLRSNPQPERLAHILDSASDFNLALRIFRWASYQRMPIHTVDTYASMIAKLGDAGNLDERGGFLKEMVRLDVPGLEKVMNDLLKLLSGKSRFDEALLVIQHASSGNIRLSVSSCNAVLCGLVKEGRGLRSFMRGYMEVVKAGVLPDVETLNWLIEVLCEAGHLDLALAQFDRMAKKRCTPNIHTFKILITALCSHGRADESLNLFDKMLQLRCIPDSSFYVQVLPLFCKLNKLREVRKLHQMMKEDKLQLDLYLYSTLIRCFCENQLLDDAVTTVNEMVASGHAPITSTFVEIVDCYCTLGQFHEAMNFLEENDVAEIEAYNELLRSLCKKGRLKDSASYLKELHSRGLVNHHSWNIVITQFCNEGNIRRASELICKMIVSSFTADESTYSSVVSCYCKLGLQKNALDMFRRLDVSKLSLNSETLSHLVECLCHMNKVPEAAEVFKYHCTRGCSLSSESLEVLIQESCMDGMIREAIKMRSLAVFSGTSCTFTTYNTIFRALLHLKKKDVLLLFGQMLMEGYLMNEYTYSCILHCFLTKETIFEAAILFNKMVKDGFIPDQETFEQLVPDMALSSLLNMVSESLLVVANIDGMMSPRISNIIIYGLIKEGFKKKGWVPDSKTHSILLGNIGQADHTEVDEVNHTVDDDNMADARHYLRFYSCQSPDGLQGINAFCVMLMEAIVRTVSIKL >OB03G44150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26879658:26883102:1 gene:OB03G44150 transcript:OB03G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1000) [Source:Projected from Arabidopsis thaliana (AT3G04780) TAIR;Acc:AT3G04780] MAANNAPAAAAAAPAPAVPATPVPRGQVDLVDFIDWSSVECLNQDPSHSIVNALKQGYRDDDGLYVASDSDEQLLIHIPFMQVVKLHSALFKGPEEDGPKTIKLFSNKEHMGFSNVNDYPPSDSLDLSSNHLAESKPVQLKYVKFQNVRSLTIFIEDNQSGSDVSKIQKIALYGTTVDTTNMKDLKKIEEH >OB03G44160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26883249:26885058:-1 gene:OB03G44160 transcript:OB03G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCDVEACYPAAAGEGMYMIESPRLRWAFIRKVYVIVAGQLLLTAAGGPTSNPAPPIKTFFQGRTPAVIAAYVAIILSPLIIMLPMIYFRNKHPINLFFLLLFTVCTSASVGLGCLSKNGTVILQAAGITFVVVVGLTCYTFWAAKKGYDFEFLGPFLVAASLVLFLYAMISIFLPMGRTGKLMYGCVGALVFSGFIIYDTDNLIKRYTYDEYIAAAITLYLDIINLFMALVTLLQAADG >OB03G44170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26886377:26888751:-1 gene:OB03G44170 transcript:OB03G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGYDLEAGGASEPLYPGMVESPDLRWALIHKIYVILSVQIAMTAAVAAFVVKVRGVSEFFVSSKAGLALYIFLLVLPLIVLCPLRYYHQKHPVNLLLLGVFTVAISFAVGMTCAYTSGKVIFEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFSAVMVLILFSLIQVFFPLGKISEMIYGGLASLVFSGYIIYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLSLLRVLRAADN >OB03G44180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26889075:26889503:1 gene:OB03G44180 transcript:OB03G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSRGVKPRWRSPLYGLDGPDPAFLSRPTRSPTRVSSSPSSLSSLSLSRRGRIPNSIASRSERELLPPFPLHAPASRSFLSYPRESEALPGGAPGPAPPPPPRRCRGVLSLPESVLRCELGVRSCRGRLPRDLAFSSSSG >OB03G44190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26889242:26892587:1 gene:OB03G44190 transcript:OB03G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSMVNPVKAESRLSSGEANGQQPRPMDGLSDAGPPPFLTKTYDMVDDPRTDAVVSWSATNNSFVVWDPHLFGNVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSIKRRKPPHSSANQQSLGSFLEVGHFGYDGEIDQLKRDKQLLMTEVVKLRQEQQNTRSDLQAMEEKLEGTEQKQQQMMAFLARVMHNPEFIRQLFSQSEMRKEIEDFVSKKRRRRIDQGPELDSTGTGSSPEQGSQVMFEPHDPVDSLFNGVPSDLESSSVEANGIKAQQDVASSSSEQGKSGPPNGELNEDFWEDLLHEGGLDEVRNPAIQDDMSLLSQKMGYLNSNSTKSTE >OB03G44200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26894196:26897817:1 gene:OB03G44200 transcript:OB03G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHDKGQLEGSRLFAAVDEIFCLFQGTIENIAVLKQQYGLHKGCTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMAADADGSVPFYWGVDPDGRLVVSDDDEIVKKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRFDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >OB03G44210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26900337:26900492:1 gene:OB03G44210 transcript:OB03G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding FINMLSHHQKYQHSNLFIVYETPIKIGLIHNSNSYNSNLQNIYYCPICHTS >OB03G44220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26903753:26904169:1 gene:OB03G44220 transcript:OB03G44220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLVPLDSSYPSQYLHKINYPELTNQGEKWWKKPWNQHVTSQRKPEQWTRNKATYTPISRAQELHELGLICWKGYQETREANHGLAKLASQQGRTLKNRCARNTSREKKVVATITMQATTTPAAATTASTEERRRQ >OB03G44230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26918757:26921817:-1 gene:OB03G44230 transcript:OB03G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHELLSLAFTSPVNPNWVANPIGKKKEIGIHNTQRLPASCSSIGKGEILTAFVAVRNLVFLVPPKSCPRWQFLQIIWIVNAPMLVVWFFAGNFNQVLRIMPGLRSTIMKLKNRIFRDGDLIFAPGRNLGATNVQMDYDVRANHPAVVQTRCRWIIGDVTEVFDRNIWKLGKIAKMLKNNYFVIRLADCIQLKEFHISSLRVPAAPHCKQSCMADKKSEAMNLKTKLASRGQIPPDVLPRTSNKKRKSTADTSLHPRKRSTQPENAARACVLDAAMAGNRLHHRPPQFIGEETECSVASCSVNDPEGFSNAKKQLQSFGFPDDAMSSCPCTSSMEDAGGAGLLQLQVNYPRD >OB03G44240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26924832:26927270:-1 gene:OB03G44240 transcript:OB03G44240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVKALEGDMATDSAGIQMPHVLRAPIRPDVVTFTHKLLSCNRRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNVRLRRVAVASALAATAVPSLVLARGHRIEGVPEFPLVVSDSIESIEKTAHSIKVLKQIGAYADAEKAKDSVAIRAGKGKMRNRRYVNRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGGFDAPALKKKGFVLPRPKMANADLSRIINSDEVQSVVKPINKEVKRREARRNPLKNVAAVLKLNPYFGTARKMAALAEAARIKARTEKLDSKRTKLSPESSKIKAAGKAWYKTMISDSDYTEFENFSKWLGVTQ >OB03G44250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26945438:26946852:1 gene:OB03G44250 transcript:OB03G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHHHHHHHGAMEGKPTVTPTATPASQQPAPAPAPPRVSRFRRLLVRVSASERLAGDGKEREGEKVSAGAGGGEVEAGSVGLDRMVLSFMEDSAAVERPQRGRCNCFNGSNYEESDDEEDFFLPSDHSAPASATAGDALDTLKGLVQSASVAERNLLADASRIAERCGKSYKSKAECRRAVADGLRALGYDAAVCRSRWEKTSSYPAGEHEYIDAVVGEEVRLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRSGDKADAPSLMSTTSATATPVSAASFSGEFELLFDRKQSGEPPAAGGEKITVVVSPWRPTEETSKMPPKAKVVTGLAAVL >OB03G44260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26957830:26965424:1 gene:OB03G44260 transcript:OB03G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAAGICAVALVWWLAAAAAGDPEPDELERAFPIVEPDYGHTKLRLSQQGLEAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGVWVWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRFGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNESGDRYIDEVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDKELDPLYVERRDQLKQVVASIVKPKIVQGKTLNGKEFVSFLQQILDALNKGEIPSTGSLVEIFNKAILERCLKVYREKMDGLGLPVPVDKLQQVHEGANDQARMLFDKQHFGKHHASQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDNLQVLKLPSMAKFNAGFVHCNRSFVRDCVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAVLLSFWLLYWRCFGRRKRGSRSSLLPLHNKSSHKNSTRPRSD >OB03G44270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26964530:26969829:-1 gene:OB03G44270 transcript:OB03G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVEEISDTYWVPQPSSAAAPQQEGGSAAAASGGAGVAGAGSGGGGNAMNRCPSEWYFQKFLEEAVLDSPVENPSPGPGAGVVSGAGGVVPVDVKQPQMAAAATGAGGGHPMEYNAILKQKLEKDLAAVSAMWRASGAMPPDRSAAGPSLPSAEVSQIGAPNSIGGNVIPVQNKLSGPSGGSGSQLVQNVDVLIKQPTSSSSREQSDDDDMEGEAETTGSANPSDQRLQRRKQSNRESARRSRSRKAAHLNELEAQVAQLRVENSSLLRRLADVNQKYNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAASDVSSLSMPFNGSSSEATSDAAVPIQDDPNNYFAANNDVAGNNYMPDITSSAQDDEDFVNGALAAGKIGRTASLQRVASLEHLQKRMCGGPASSGSTS >OB03G44280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26969423:26970052:1 gene:OB03G44280 transcript:OB03G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAARSFSSFCFRMALYSMGCPPPAPVAAAAICGCFTSTGTTPPAPLTTPAPGPGLGFSTGLSSTASSRNFWKYHSEGHRFIAFPPPPLPAPATPAPPDAAAALPPSCCGAAADDGCGTQYVSEISSTENTRSIAESAARGIAAGGWEIRAVAERREAGGFEGLIGEISRELLLLLREEEEKERRGGAEEDLAEGGLVFCVLFGTHK >OB03G44290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26972734:26976141:-1 gene:OB03G44290 transcript:OB03G44290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGKRGVAGTFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIEVGVPYSDPLADGPVIQAAATRALKKGTTFDSVIAMLKGVIPELSCPIVLFTYYNPILKRGVQNFLAIIKQAGVHGLVVPDLPLEETTLLRNEAIMQGIELVLLTTPTTPIERMKEIAKASEGFIYLVSSVGVTGARSNVNLHVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKSMKAAMP >OB03G44300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26977752:26980497:-1 gene:OB03G44300 transcript:OB03G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKDQGKVREAQCILDGMDVQTAFIPYLTAGDPDMETTAEALRLLDACGADVIELGVPFSDPYADGPVIQASAARALSGGATPEAVLSMLREVTPELSCPVVLLSYFGPILRRGAANFTAAAKHAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKLITEASGGFVYIVSVNGVTGPRPKVNARVEHLLQEVKQVTDKAVCVGFGISTPDHVKQIAEWGADGVIIGSAMVKQLGEAASPKQGLKRLEEYARSMKGALL >OB03G44310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26982316:26985365:-1 gene:OB03G44310 transcript:OB03G44310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMKAAAAAASPASSSSPAAPTTRLLPGRVAVRRLAAAASLALPAPNAERGMSLSVSQTMSRLREKGKTAFIPYITAGDPDMETTAEALRLLDACGTDVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGLANFTAAAKEAGVQGLIVPDLPYVETSTFRSEAIKNNLELVLLTTPATPADRMKEITAASGGFVYLVSVNGVTGSRQNVNPHVEHLLQEIKQVTDKAVCVGFGISTPEHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKEALP >OB03G44320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26984012:26984344:1 gene:OB03G44320 transcript:OB03G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAEALRLLDACGTDVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGLANFTAAAKEAGVQGSNNNQFKPSSQLINS >OB03G44330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26993266:26995952:1 gene:OB03G44330 transcript:OB03G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGDQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHTQDGQPVKHEPNTPS >OB03G44340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:26998307:27003610:1 gene:OB03G44340 transcript:OB03G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSSSASAGTVAERKRKRAAAAAKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERLIRRLEKQQRSNGDDASNKLSKLREDLEYVRFFPKNEKYVSLFAGGNNPDILEKRNKWRKQIKDNLMAAAENGKDLEETASDDDTLDVSDDDFFMSGSSSDEEADDEWTDKSAKEQASSTSGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNKTRPVVKHMLSSSSNTSNSTSVGSFKNRRATNQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLA >OB03G44350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27003892:27005198:-1 gene:OB03G44350 transcript:OB03G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3LTQ9] MDMAESIDAELRLGLPGSGGGDGGAAKKRRSAASTTVKSEASGTAGASCRDDDGASPASKVQVVGWPPVGSYRRSTFQSSSSSAAGAKAKGGETEGKKKKGTAGGGLYVKVSMDGAPYLRKVDLRLYGGYRELRDALDALFGCFSASPADASAAGHFAVAYEDKDGDLMLAGDVPWDMFICSCKKLRIMRGSEAR >OB03G44360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27009869:27011061:1 gene:OB03G44360 transcript:OB03G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLGNKGRCRRIFWLGDLNYRIDIAYERAHELVMTMDWHQLAEKNQDW >OB03G44370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27012569:27019221:1 gene:OB03G44370 transcript:OB03G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAVAAAAADPGGGVRGGGGGGGEAEGGDVVMLKCASVAACLTCPLCGRLLRDAATISECLHTFCRKCIHEEFINKESCCCPTCNIDLGCAPLEKLRVDHSIQFVRSKIFPFKRKLFEDLEVISSIPSPIKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNFSLHSSLRGSKDTSKKLVGWTPLGSQLKLGKDKKSLRSSLKDANRTKNKSGDTDDGAPAGQAFTRYGRGTKRTGSKKLFMVKSKKKRFKAKQPSKRRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPVSVIRKYLVQKLNLSSETEVEVLCGGKVVSQGMTLHDLADRWLEKGPKGRMRSSLGSPATAFMVTLFYRRPDVDVPSPQPETESCRS >OB03G44380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27024308:27032230:1 gene:OB03G44380 transcript:OB03G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LTR2] MGITNIYLYESTVCFGGTKRPREKARKKKNESTDNEILESEFDVAKKESNGATELANEPIASKRPKRAAACSNFKEKALGLSEKDSIITIKESRVEEEEIDAVNLTRTGPEDGRPCRKIVDFILHDGDGNPQPFEMSEVDDIFISALVMPLDDDLEKDKGRGIRCAGFGRIENWAISGYDEGAAVIWVSTETADYRCVKPASSYKSCFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFAGTVTKDFVISSGQFIYKQLIGLDHTADNDDEMLSTLPVLVALKDECKSRAGFMHLPAMPSNGTLRINDGQGKGLTEEEDAKLARLLQEEEEWKMMRQRGKRGTSQKNIYIKISETEIANDYPLPAYYKPYNQEMDEYIFDSDIGKFSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDTVIFGSGFMREDDGSCCSTAERAQLSSSSSKSNQEDPGVPIYLSPIKEWIVEFGGSMICIAIRTDVAWYKLRQPTKQYAPWCEPVLKTAKLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSGHPAFVSSKAPTVERYIVVHGQIILQQFADFPDESVRRCAFATGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRRKLMRATTTTLISKIWGDYYATYFPGDTKDQNEQKEIEDDQEENEDNDAEDEVNVENDKTMRIPPSTWSRKLSSDTCKEIEWEGQTAGKTASGEVLYKCVRVQDLSISVGAAVILEDDSGESIMCFVEYMYEKHDGKNMVHGILLQEGSRTILGNAANEREVFLTNDCLEFEVSDIKGLVTVNIQSLHWGHKYRKENSEANRIEKANAEERKRKGLPVEYFCKSLYWPEKGGFFSLRYDEIGNGTGICSSCERKPVGDEFKILSETSFVFENITYNTHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVTVRRFYRPDDISSTKAYSSHITEVYYSEEIVSVPVVMIEGKCEVRLKDDLPNSDLPVVVEHVFYCEYLYDPANGALKQVSCCQIVPSLPPNIKLVSLIRKVPASKKNKGKQICDIELGDSDKPKDRQSDNCLATLDIFAGCGGLSEGLQRSEVSLTKWAIEYEEPAGEAFGENHPEAAVFVENCNVILKAIMDKCGDADDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGEALPEWPEPMHVFASPELKITLPDGKYYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGLGPVSWFQKKIRGDMASLNDHISKEMNELNLIRCKHVPKRPGCDWHDLPEEKVKLSTGQMVDLIPWCLPKTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQSKHRQIGNAVPPPLAYALGRKLKQAIDAKR >OB03G44390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27030271:27030594:-1 gene:OB03G44390 transcript:OB03G44390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSWTAQHHTPLPLKFQNGPNNMQTMLECIQEQKRCYCSRTSQEEEVSITWYPISRSDASVNLKVCPLLNETKFLTFSRRKNLGRKYSANERNAKIISHCTLLQGL >OB03G44400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27039657:27041382:1 gene:OB03G44400 transcript:OB03G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANVAAVESSKKLVAILSRSGDPFRLMAAVAETDEAVSRFDRVVTILSNRVGHARARVGKMRRSSPPSVDPSCLMDHPLTAATTTTSPVAPSNGRLRVSITPPAPPSPATAARPNMEEKDVVVVAAAAAAVAPSAAKITPAVVDRSLFLETPLLDLNSCGGAPAASMASAKNSSKLGAAPMVNSSSSANHIQFQPPMKQQQQQQQKSFQFEQKPSEKFHIEMPRSVGGGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAGTNSAAAAPTKKPSKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVEKCRSDPTMLVVTYENEHNHAQPLDLSLVQTNPQP >OB03G44410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27044004:27046453:-1 gene:OB03G44410 transcript:OB03G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVETEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OB03G44420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27044102:27053059:-1 gene:OB03G44420 transcript:OB03G44420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVETEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OB03G44430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27065792:27066289:1 gene:OB03G44430 transcript:OB03G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGAPPLAGRKSPPNSHKSAVQTSPASPINISRNIQPNTTNLHSFESYAPCLKSGENRSPIGATDCEKIGCKSGGEAHFGGQVREAEQPIQLGGGGGGGNRGGGGGWAAIRGMGWRAPPTVGWATIGRLRFNVGRVGWRSVGWPPRPIRARHWGLPVIATPPTI >OB03G44440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27066453:27067885:1 gene:OB03G44440 transcript:OB03G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQGGRRPARRRGREPAAAPETTATAGDSKPAKEAKAKAKKAAAPRKARSNAAHPPYAEMISEAITTLKERTGSSQYAIAKFLEDKHKDHLPSNFRKQLLVQIKKLVAGGKLTKVKNSYKVPPTRAPVAAKPKAKPAAAKPKPKAKAAAKPKAAAKPKAKAPAKPKAAAKPKAAAKPAAKTKAAAKPKSPAKPAAKPKAATKAKAKPAAKPKAKAAPKPKAAAVTKTKATSAPARRPAKAAKTSAKDTPGKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >OB03G44450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27074993:27082778:1 gene:OB03G44450 transcript:OB03G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVTYLKSLPYMCGISPMVKEAVEMSTDEESDGVVICPPDGNNDEREEVIISSNQDDCQEDEVNCVNDPNIDGETQEDKCVNQDSLKLIVQEKSAPPKSPNKPAISGSDRSKRTVPQPFALSSQRKSHGGGNGKMTHPSSNGDNSGDKNNSSPASLTKKFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDASPTPQGANTTAASNRSHRHSIANPKDASRVQCSPKNGVAAKTRAVKPSSLLFQPVMTSSERKEQVYEFSDACHPKLTSMAPRTSSVKIWRYRQLFAVLSSVILEWVLMLLLLLEGLLSYLVTTFASLCKLHPPCPMCTRLDHVLGTAQPGFYRDLMCNYHKAEASSWALCHIHQKLSDVHSMCESCLPSFATNKKSNHAIYRSLVGKLGVSIGNATEASVIKEDTNSLCSCCSSPLKVKSYPSVVLQNIASAITTEKNSRYASRDQSVDEINSVRYSELKTSDSESEPWQHGGVPSLLEHAVDNLKEDFTLSHPQTKIAGVIPPDEIAQDEMAKNSDLMQLQNGSSDSKTSQVSAELHTFRTDGNADLQPTYFSSKSGQHPTEDSDIRDDSEEDVWHNAVSSISELSVTGKPAETSAVENELKAEFTDRTTTNDSLRAHEDLRLLLSQVSSDDIINIPGVHEQDILNNITRAVSLERNDSGVSVSMANEVEGDCTVDQLKQQIELDRKSINLLWKELEEERNASAIATNQTMAMISKLQEEKAAMQMEALQYQRMMEEQRDYDHEDLQKMAAMVQELEAEIEGYKTKLRDQLLVTEIRDAMHLSCSEECEPSMSRTSQSLSFFEDEKAHISKHLRKLRQKLHQFSNNSMFIDESKPDDKEDKFDVTDNEDIYQDADENSETANSVAKKKLTRNVGNFRYLPIGTKDSTHGKDDLKGQYYAMVSENDLISFEDEISELSGKLRALEADRNFLEHSINSLKNGQDGEELIHGIACSLRELRKMGINWKDCD >OB03G44460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27088046:27088360:-1 gene:OB03G44460 transcript:OB03G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRYAAAYGDLLDVSARVAVRAYSHCPQTARMYYKPPPTTTSASATGDKRSAAASSPRTSSSGESAGAAASPCASRRRQQKEAAAAAFVAADFILYGVDPAV >OB03G44470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27090039:27090961:1 gene:OB03G44470 transcript:OB03G44470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1-homolog [Source:Projected from Arabidopsis thaliana (AT3G51040) TAIR;Acc:AT3G51040] METYRSQPGPIDPRRARFPCCIVWTPLPLISWLLPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNTDECYKLLEPEGAATWDDALKKGVQEFQHRSYSLFTCNCHSFVANNLNRLFYSGHDKWNVVSLAAVMFLRGSWVSTASVLKTFLPFALVLTIGTLLGGTAFLVGLLAFAAVMTGWFLVGTYCIKSLIEL >OB03G44480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27093204:27096240:1 gene:OB03G44480 transcript:OB03G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39100) TAIR;Acc:AT4G39100] MAKTRQSQRRLLESFTIKGPDGVIKPGDCVLMKAPESSKKPYVARVEKIEATRAQGTQVKLKVRWYYRPEEVTDGRQIFHGSKEVFLSDHYDSQSVDTIECKCYVHTIRKYTKLRSIGTEDYFCRFEYKSATGIFVPDRVAVFCKCEMPYNPDNLMIQCEDCFDWFHPSCVGMTDQEAKKLENFYCESCIAENEKKVHKPNGATTQSEEKDVEVEENPVQIFKPAQFGNKRNMKEY >OB03G44490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27098892:27103175:1 gene:OB03G44490 transcript:OB03G44490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTADAPKSPGRRSDKGRRSGGSKKVSYKRNYDGSVALPMGGHGGDVVVYANEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHNGFAGETIRIPVPVGTVVKRKKGTVLADLAHPGDEVIVARGGQGGISLIDVPEYRRRKAMSLSPNIMRDASDKVLIHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPSLGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRLSSLAFEISSIGCEECNDNNTSERNLNLNANEQNISSGAKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCFDHRLPEP >OB03G44500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27120803:27122775:1 gene:OB03G44500 transcript:OB03G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAESGGGAAAAVLREVATLRFARQVVLGRWFMVLACLLILSASGATYIFGIYSKVLKTSLGYDQQTLNTLSFFKDLGANVGVISGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYRPSRRRDGGASAATSNDAFFCFLYISIALAVYLLTMIVVQNQTTFSHTAYVVSATALLLVLFLPLVVVIKQEYRIKRELDESLLEPPTVTIEKPTTAAAANAAMQMSTASTTPAAPASSSAPAPAPPSSCLGSCLKHMFSPPAQGEDYSILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFMFGLKYYSTLYNFGSVASPIGAYVLNVRVAGYLYDVEAAKQHGGSLSGGDKTCLGVQCFRKSFLIITAATVAGALVSLILVWRTRKFYRGDIYAKFRDNAAGDQAATNGNFIAATEKKSAIVNDDDKKG >OB03G44510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27125637:27131699:1 gene:OB03G44510 transcript:OB03G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERDTAAAAQPASDSGSDSGDQRRRKSGSRRRGEVTEEQIVEYMAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKAEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFTEEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQINVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPEVLAEERGLHASIEGDVKDLLEGKTSTELEEMQTQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASLLRKHLHRLEHPGAAEQDDEAEEEVDAKEEDAMHDDDDEDDRRYSPEPIAEQTESHLDEEAGSFSPELMHGNEDEDAIDPEEDKAELDRKREAVVMEHQKKVQQAIAVKARVPDEMEVKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >OB03G44520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27133005:27140914:-1 gene:OB03G44520 transcript:OB03G44520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKRDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDKGTRSTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKVCNDLVSVPARVLPPPMLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFYPKPVVDVRSSNPNNIENALRDVHRRTTELLVKEGRGSLQLLIVILPEVSGSYGKIKKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVCKDPLKGTANGGMIRDLLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >OB03G44530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27145491:27145898:-1 gene:OB03G44530 transcript:OB03G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPFIILYLKKLATFLSQRSASATRIMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIISSVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAVITGLVLFFLSTVMWGVLRSLKKSLNAK >OB03G44540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27149004:27151099:-1 gene:OB03G44540 transcript:OB03G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3LTS8] MGSFLSTMFTPPPAGDEGDSRVVAVHSMATWDEHWGAHKSNPNKLIVIDFSASWCGPCRFIEPAFKDMANRLTGAVFLKIDVDELQEVARQWKVEAMPTFVLIKGGKEVSRVVGAKKDELERKINMFISSSS >OB03G44550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27152045:27161752:-1 gene:OB03G44550 transcript:OB03G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAAASPAIWLVEDDILLKNAVETGASLESLAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNTLKAKLINSKAKDFSFQKRKIDSVKNQYYAMRKRVRNEPCNTDGLGFLIDPCSCTMNGAQCVCGGLLKHSQGHHVVHNTEPGPSTMNCYAQQDGRYNGGQTMYVGINGHSFPTKHADTDSIVKSGDIANSVPYGHSGVVQIYEQDAYTQNAPDTSEGNSVSLKGIIGITDFQGSMQLQKLDPSNQCGNGVTESKTLVIADRCGVEHVHFPVNSSSRMQESGPLQVIGQPEGSQTPVGSIWTEVEERGTFTLDDDKKIKTANSDPLALQPNLDGGICEAGLDQEAIAEGDFMDFPFFSNSEDLDLLNGENFLNIPHETNQEDLDDTDHVENLLHPDEVNICYDQADPDCVKHNADVSDIISTPTSLEVPFPGRFVECVLNTEDPEIPCNDDVVFPDEYPLQCSAADFGQNSEQNNCLVSPATSPASSVEPSNVGDKALAKREDTANTKPSSRPMNLSPPTSEQNEDSAANNKGCVLLGAKPSEGPSTAGVLVRCKVDTNDSNTCTTNLPAINAAVFAEGSPYRLEQHNNFDNSLSFPLPNSVEVPDHMNYNSHGNQPELGDGAPLQNCMPSHELPDLGLQDPITTVPVSNQVEEFSDNENDVPNYYDLEALILDQDLIPWDQDSESKHPEVSRFQHPESRKSLIRLEQGARSYMNRAIVSKGAFAIIYGLHLRYYMKDSEVTLGRETDDIKVDVDLGKEGRANKISRRQAVIKMDEAGSFHIRNIGKCSIFINSKEVPSCKRTILNSDSLIEIKDMRFIFHVNQDAVRQFITHTLKPEH >OB03G44560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27164592:27174124:1 gene:OB03G44560 transcript:OB03G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:J3LTT0] MHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDELRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPLREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLEPLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVIGEEVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANATRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENSVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTTT >OB03G44570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27174458:27176015:-1 gene:OB03G44570 transcript:OB03G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQVEFSKVRYLTPRQFVERLSKDLKIKGVVAGENYRFGYKASGDASELITLCEEFGLSAFIVRSVMDTTRRSDNGVMTTVNSSDRGQVSSSRVRHALAMGDMEYVSELLGRKHRLMLTVKENHLQERKRIVLPKSSMLNMPPADGLYENCDLINGGHRGLCRVIINSETIDIEMKDGNSLLPNTIQEHQQLGIEFG >OB03G44580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27184399:27187823:1 gene:OB03G44580 transcript:OB03G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEVHVTQDRNGASTNARWTVERVPSSPGVVRLRSRYGRYLCASNEPFLLGMTGRKVLQAPPPPAGGRADSSLEWEPVKDGFQARLKTRYGHFLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTQVHDHAPTGAAAHELKKPPPQEEPRHRPTNSYTGHPPPPLQNDSPPPLRETQHRLTKSYTEHPPPPLEKDSPPQPPKPKPKPAPSELESFPSFSAPLHKVEGRAIHYHIADDKGDVDDNDKTSSFTFNGSNLEELTLKLQEETGLDDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVRESSKVAKTFPWPYGP >OB03G44590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27197159:27198019:1 gene:OB03G44590 transcript:OB03G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVG >OB03G44600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27199272:27203554:-1 gene:OB03G44600 transcript:OB03G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTSFSPSRENAMLSQRHNRYEELPETWYRQYAEKFRTWHAKFHSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNVSVAMLENGPSSKNTGDYNNIADETFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTGMLERFGMMPEYYKKGNKYRGKDGSRVEGKPLSQEQAMVMTRKLVARYLANAGFESGTAMSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTGNRGANYPIQQDAQVQNQNALLHAQQLRQFAPQMAINTQNLTPQQQQQLLQQQWLRRSQMTSPRGPLTMADKNQAMVNVKIENTVDSQIDSPYGSLTRQQLQQLRHHQYLQQQQQFQQQQQVQQQQQQQQQQQQQFQHQQQQQFQQQQQQQQQQQLQQQQQQQQQQLQQQQQQSQQLQQQQLAMSGGQNAQLAQQLAMSGGQNVQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYRMSMPPVKVEAFHELVSGDSSLKHDNDSNKLMSPK >OB03G44610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27209994:27211103:-1 gene:OB03G44610 transcript:OB03G44610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVLLHVRRLGGAVLLVVVGGRLLLLARAGEVEGAVDVLDHDDGLARRLDEQLAEVGVGVDRGELDVVDVVVEVVGHGGDHGRLARPRRAVQEVTALPRLPDARIVLLAVLEHGEVVQDLLLLGRVHGERVERLGVLEHDVAPRRPDEPVGVAPAVGGDVGEELPLPVLHHDGAAPAADAREVGVDDEVAVALEEEEAVVPPVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVCSCSRCRFCHTTVHSTAIRLSTTPELSPFHTDDVASIESI >OB03G44620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27210591:27211562:1 gene:OB03G44620 transcript:OB03G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNRQRKLFTNISTHSWSDADGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEILDDLTMFKNGKEYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKEAAADDDKKDGPPKPPDMKKDTSSKVTLSGLLNFIDGLWSACGGERLIVFTTNHVKKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLEVDSHGLFPAVKKLLSEVDMTPADVAENLTPKSLEDNADSCLAALVKELEKLKEDKANGRNGQGGGDDDDDDDEEDNAQAAEKDK >OB03G44630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27213753:27215603:1 gene:OB03G44630 transcript:OB03G44630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWFGPVNSGLALLIVTMLLRNLQNFQLVQTFVARQLSRRARRLAALIDPYLSITIHEYDAGRMTRSDVFAETKAYLDGAVGSRDDCHRDLVRAAYLPHVRDQGRAFMAQSRQRKLYTNIPSSRWGDDGSYMCSLWTEVPFKHPKTFETLAMDPAKKEEIIEDLDMFRNGKEQHARVGKAWKRGYLLYGPPGTGKSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTCRSIIVIEDVDCSSNLTGRRKATDDDDDGGGGDAKASKKVIDRGGGGGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHIEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHGMFAAVKELLQEVDLVPADVGEHLTAKNPRDDVDSCLARLVTALQEAKAKMDAAERQDEDNGVVV >OB03G44640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27215446:27219816:-1 gene:OB03G44640 transcript:OB03G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:J3LTT8] MAPPPAPATTSAAKRSKKRKQPVAPTPEPEPDSDTEDLSYDTPAADEEEAPDQMEEQEEEDEGEEEEQEQQHDGKKKEKKEKSKEKKRKKKGSEGGSGILTNMLFSELGVSEPTARAIREMNYTYLTQIQARSIPHLLQGRDVMGAAKTGSGKTLAFLIPAIEMLHHAHFMPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLKCLIIDEADRLLEQNFEEDMKQIFKRIPLNRQTVLFSATQTEQVKEFAKLSFEKNEESTTKPVYVGVDDGETNATVEGLQQGYCVIDSAKKFLVLYAFLKKKQNKKVMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLVYLKAAKISLTELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHKLDLKHVAASFCFKNPPKVNIDLESSASKHRRKMRKVEGGKRHGISAANPYGRKGGDDKRQFSRF >OB03G44650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27220770:27226869:-1 gene:OB03G44650 transcript:OB03G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELASVINFLHVFRPLLNIAVEFTAEELEEAILCPNNTLDDVHMPLLKSIPPITRMAMGRGTWVTVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDRSLKRGYELPVFRKERIGGDSYGISYWYDEDSILGHRLYREIRQVDYGKELTKKAKGKGISSVPVVSYKWETVACNFDEFEVAAEKLFSSRNRTEVSLGKKLKINYLPDLEKIHKKKERLLKKQHREALLLDNRLTVDGFTSVRSRRQRKRVTYTFDDYERSINEAIKSTKKGENSSELITTSNRRVHPKRDIGTNVTGPSPLCNGFYGEYPPRSYGHQGSDGEIKSETLDRRQRKRSQRYTQDFVEAISDIDPNFDSDDDIMGEAIYDEEYLRSRKQHKARLLEKDEEFQLEQVTNDDNYEVEYPSSTSEDEKEPQRYKRLATCSPRETKLRSFDGFQTGIKRSKRSTRPQIKYHQYDLSGTDTELGKPGKINASDPNAGCDAPNEMELSTTSQDQEEEGAEVNKERPSLLGPSRDNGSAERRFLDLNEVAPVGCFDETHTRNSERRPPG >OB03G44660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27226976:27227263:1 gene:OB03G44660 transcript:OB03G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSGSAPRHPPAQPPPPPPPPPPPPPAAAAAAPAAPPSTPRHVTECRIGGPGGGSGRVWAWAGAGHALAAEWAGCAVAAATIGEGGGGGGQGGGEE >OB03G44670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27227649:27228948:-1 gene:OB03G44670 transcript:OB03G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFLSMKLEAVNAHVNNGIEAFPSKDFGPQIYSTAPGLTFDPQTPREYAQGSTPSEWLHMQIGGAYERVT >OB03G44680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27233054:27234300:1 gene:OB03G44680 transcript:OB03G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNAWATPRGWILIRDAAATFLPNPRDPDDKIQLAHLPEALHSRCSCVLSGKPTIPGCVVLLVEPVDTVIWYFHVGEDEEWTRHEYDIGIQMLDPPIDGKDHEKTPICSIGAYQGNRLAAGHALRITDPIAGGLGVSGAASEFSVESENDLYMVCQLLDWDIRTVYDVTVYKMDFSKHKWCVAEDIGGRVFLIAPWYFGPSCSAEECGLEKDRVCAIFAHYKYFEVSKVEDGETDEHELIDAPYSEHGMWILPTET >OB03G44690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27235018:27236071:1 gene:OB03G44690 transcript:OB03G44690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQARPRRPLRPPVDEWQLKSALLRLLAFLRDPPLSLPVLPDSDLSVRSLLPPRPPQVPARGTRRLRRLMADPAPESDLRRARSDLHVGGRRAERNPVRKIAKRPDTIVSLVQGGHPASLRTRTWATIRKLFDSVLFLSSKGAAAAC >OB03G44700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27240089:27240325:1 gene:OB03G44700 transcript:OB03G44700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAISAKTLLVFLVLLTPPMDHSCGVGTTFLFKSGLIPWPLVIICNTDIPFWRITNKPPPNAQTKKFFHCFNSYIVP >OB03G44710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27242100:27245510:1 gene:OB03G44710 transcript:OB03G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYTCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKDDFTKRYGGGKAATAATNSLNRDFGSKLKEHMQYCADHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >OB03G44720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27252447:27253737:-1 gene:OB03G44720 transcript:OB03G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSSSAAAVALAILFGVLVLMALLMDSVEKPAAPAIVVGRRMLAGADAGLRTLEDFKTDDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >OB03G44730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27254930:27256698:-1 gene:OB03G44730 transcript:OB03G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLLVLFALLSVTAVVPVFFWPDKKGSASDVIVVAAPPFNSSNVTVVSWKPRIFLYKGFLSDDECDHLVKLGKEKMKRSMVADNESGKSVMSEVRTSSGMFLDKKQDPVVSGIEKRIAAWTLLPEENAENIQILRYEHGQKYDPHFDYFHDKVNQLQGGHRYATVLTYLSTVEKGGETIFPNAEGWESQVKDDSFSDCAKKGLAVKAVKGDSVLFFNLQADGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNALKQSEECSDLSENCAAWAASGECDNNAVYMIGTEDSPGQCQKSCNACSL >OB03G44740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27257764:27260382:1 gene:OB03G44740 transcript:OB03G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14850) TAIR;Acc:AT4G14850] MLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIKFGYLPGDPFVSCAALDMYFKTGRLMLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLWEAGGLPNVVSVCAFFNACAGAMFLSLGEQFHGFAVKCGFEMDVSVLNSMVDFYGKCRCAGKARLVFDGMGVRNSVSWCSMIAAYAQNGAEEEAFAVYQRARCAGEEPTEFMISCVLTTCAGLLGLDLGRTLHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFFEIPQRNLVTWNAMVGGYAHIGDAQNALSVFDDMIRSGETAPNYITLVNVITACSRGGLTKEGYELFETMKEKFGIEPRIEHYACVVDLLGRAGMEERAYEVICGMPIRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDPGNHVLLSNMFASAGRWAEATYIRKEMKNVGIKKDPGCSWVTWKNVVHVFRAKDTKHEMYDEIHALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVDREIIVRDNNRFHHFKQYRCSCGDYW >OB03G44750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27261394:27261597:-1 gene:OB03G44750 transcript:OB03G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYQNQDKYPPNLSKNNQKLLNQVENMGYGMSDASASVQSSAFIGLIHKELQAENKTFQASKHQFFRI >OB03G44760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27262960:27264923:-1 gene:OB03G44760 transcript:OB03G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFLLGLAPALARPDAAGSTIYDPARVTQLSWRPRAFLYSGFLSHAECDHLVNLAKGRLEKSMVADNDSGKSIMSQVRTSSGTFLAKHEDDIVAGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAEGRHLQLKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDPASLHGSCPVIEGEKWSATKWIHVRSFDHPPDVSPDVPCSDENESCPRWAAVGECLRNPTYMVGNKDSLGFCRKSCGVCDA >OB03G44770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27267380:27270402:-1 gene:OB03G44770 transcript:OB03G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LTV1] MVEVPCGMGGGSHVKVVARLRPARPEREPRIAERREGETAVMVSQFMVELLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASRPDEETVDGQVKCEKWIRDGDSEAEESNAKWWLNRLVGRGNKMPADRPYPFEEGRLFALTVTAGLDGYHLNVDGRHVASFPYRTGYSLEDATGLSLKGDLDIESIFAGHLPTSHPSFAPHRYLEMSEQWKASPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNAKKEVNEELKKEAEFFNDIVVVPFMDSYDLVVLKTIAITEYGVRVVPAKYIMKCDDDTYLRIDSVLDQVKKVQRDGSMYVGNINYYHRPLRSGKWSVSYEDWQEEVYPPYANGPGYVISSDIARYIVSEFDNQTLRLFKMEDVSMGMWVEKFNNTRQPVEYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQSGSAQCCNMR >OB03G44780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27272124:27285348:-1 gene:OB03G44780 transcript:OB03G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLSSEEIIKWLQKFMDSSKKKITVENFLDYLAEQNSISGKENLGVRIQSLRLHISFLRQARRTEVSAVKIQGTSSGSCDNSHEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIRFDSTDDETDGNSSSECDAVENSNSKHGCAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSEVSKKPPLDSNKVKESGKKGYMREKRKSEENRSPSSMCKQPKKKQKVQMQKQELLPNCSLNIGKLEKFITTWKEACHEHPVEQVRAMGCGLLDSLYDAINVFNENKSSSSIADTTTELMEVEPPPSVTTDDVIGGITEFFESNHGVSRADALQGGKSTFLRMLINCETFITAKFSASQFSALGHGTFLEFLGKHEQHLPPKLSPFLKEGKFVPSSVEVSVLQQQIEVLLCQAEGNWLEDGGFSEDSFSMLLKRQFPTIGFDIVQNKSGEGLLESIERRRKNIQTNNIMFSMSLLEKRWSGIVQGNHDTVDGLMNDVQQSCSVTVSSQEAIKCLLKAPMLSDLLAWSHWDLLFAPSLGSFIHWLLNTGPVQDLACIVTTDGRFIRVDPSATIDQYLEGIIQCSPFQVAAKLLSLLHIYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTNSEGKSFSARNIRSDMPTDVHDTVHLVAKFILDCLGHLPSEFRSLAADILLAGLRTITKNCYSAILHEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHAKAEIHASSRYPSDASGVATCENNLLNATDVDITNERGKSLSGKENQIVAVSKNQNILNLVTTKLDTAESNANQSPTMGEVKLEEATQVIETIRREEFGLDQSLSYTDNSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYLEGVEPTLAFILQDNGIVVLNNESGFSAENIRALCDVGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTYSVSRMLSVEDDKNSPSFWNTCIVLPFRAKFREGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISHGNDIMSWLVVSKKLQGTIVRHDVCSTEIAVAFTLQETQKGEYEPYLKLQPVFAYLPLRNYGLKFIVQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPFFQSCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCLVLEGSSLQWVYPCNTLRGWDEQTRILFSDSLLQEHLGLGYLSKDIIISDTLSRALGIHDYGPKVLIDIMSSICGVDGCIESLGLEWLCAWFIALHLSLMYHSSRNPSSTASPEDLLCALRKIPCIPLSNGSFSSIADGPIWLPYDVLNSKPDSKSSMLNFPVLYSNLRIINPQLLSVSGQNKYLTEEMRANDLMDILLKMGLRKLSGHDIIKNHILVSLSSDTEANMANTMMIEYVSFVMLHFQSPCASCNFEKEEIMSELRRRPILLTNHGYKCPSDEPIHFSKEYGNSVDISKLLLNVEIKWIEIDSCYLMNRRSDSLPPLELKKWRQFFEEMGVTDFVQVVKVEKNISQAGSFVAGRLSQGHNSGAYCTVYDWESPELASILSTFSSKECRENCVYLLEVLDKFWDAHYSAKAKIHTDVTHSGETIAVESSFMNSIQSLKWIASAMDEDLHYPTDLFYNTEDVSSILGSVAPYAVPQICSRSLAKDIGFKMKVSYSDALMILKSWIASQNPFTASMDQMFKFYTFVSEGFAAATIDIKQEFLSCFSIFTPLKRARSSDPVAGKFLSPKDLYWHDPTGCSEIITEKAISMKMSMFPRRMLSSTYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSQVTNQVFRVFARWANDLHSANGNMNDILFLKGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELKQHVEDYNGVDFIQFGELSYEDNQMLYGRLAALMKSLGIPALSKVVYREAIFYGTMDNREKVTLISLLLSYMQRYIYKMHRDTYINFQQNEITKLINLQVIIVEKLFHKYKLKGRESSSNRRFKCNCLLQGNILYATQEADSHSLFLELSRLFFDGSADLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEQEAVWSFSSLVMADQDVDCQKTECPSVCDSKKTEFRSTCELNISKYQRMSGVASSWPPNDWRTAPDFITSHNNQYASVQETNLNNGVPSLDLTKVAECENSGYVWGPVELEGDWITEEDLGSENTVLAERIVATGDEPHMMMSNNSANVPTYLDLETGSSANSVVDIELTEFNDKLANVSKQRDRLCIKAPDTNEVLQTGRRGEAAAHQYFVDIFGSNNVRWVNQDSETGLPYDIVITHKEGFTEYVEVKATKYCTKEWFHITSREWQFALEKGDSSSIAYVVFSGTKKARFLILKNPYKLCQQKSLHLALVMSESVMAQQRGETKRRYFEGNSDLQTEVNR >OB03G44790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27293863:27294015:1 gene:OB03G44790 transcript:OB03G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFDPIGTRGRRRAAKRVAGCGRAVADRGPGDVDGGGSELQTCDDNDND >OB03G44800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27297317:27298905:-1 gene:OB03G44800 transcript:OB03G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSAGKRCPVVVASGSARVGWPGEKEREWIARALTDGEGATIVRARSWTKSKRPSIHEQPKRGVDLKVEVFIFVPLLWVLKLFGFTCNFTLTNWSQKAGVLVPGFTPYNCGVVGVGNELRSGFFWINGDAV >OB03G44810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27302034:27303690:1 gene:OB03G44810 transcript:OB03G44810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVPSLVLLVFVLVVGLVSLAFPCGVAQQISDVEFASRIPLPDGIEGPESIAFDARNQGPFTGVSDGRVLRWSDGDGWTPFAHHTVYWNNIEIMLNSDATARLMKYDPKTKQVTVLRAGLPYANGVAVSHDGSYVVVAHTGPCQAFRYWIAGARAGAYELFADLPGYPDNVRRDAGRGFWVALNREKVQLQPDPAGAAAAPAKHLVGVRLSGDGDKLEELSAADGVTLSEIVERGSTLWLGSVELSFIGLMRQD >OB03G44820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27304034:27307881:-1 gene:OB03G44820 transcript:OB03G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LTV6] MVSQFMMELQGLRTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKISVDWPYPFVEDRLFVLTLTAGLEGYHVNIDGRHVTSFPYRTGFVLEDATGLSLNGDLDVHSVFAGTLPTAHPSFAPQKHLEMLPIWQSPPLPDDPVEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNVELMKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVMSELKKIPRGKSLYAGNMNYHHKPLRNGKWAVTYEEWPEEDYPTYANGPGYVISSDIADSIVSEFATHKLRLFKMEDVSMGMWVERFNNTGQVQYVHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGKAQCCNMR >OB03G44830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27313465:27316003:1 gene:OB03G44830 transcript:OB03G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPLPSSCLLSRRLPQLLVLSTLLLSSANSMEEGRVLAVGEELMGETMPLRHGRRLYRLDGARPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDWGSMNRRLLNTEKIIFKAESSRPVYVLVTVEPEGVVAKPNVPDREFAMFNIVCDELMLGIPHFAWWVGIGSLFCMALASVVPYFLPLHKLLNYEATELSDDDATKLS >OB03G44840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27323711:27326977:1 gene:OB03G44840 transcript:OB03G44840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWVLWVAVVAAAAMAGAAAGDFAADRAECADKLMGLATCLTFVEEKATARAPTRDCCAGLGQVVAGSKKCLCVLVKDRDEPALGFRINVTRAMDLPSLCSIPATFSDCPKLLNISSDSKEAEIFKQYAREHESSDGTKAAPAAAAAATGSAGTAASTGGAGDGQRRRSSLAVAAAGAGRXXXSPPPCSASPSPEGGRRTDGQQDGRSGPYVLL >OB03G44850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27328513:27334234:1 gene:OB03G44850 transcript:OB03G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEIRHLCAYLQELKKASSEEMRRSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLTSGPEGSEEECISTDEDQEPSEIQNWCTDFPEMLDVLLAERRVDEALDALDEAERVVTDEKQKQTLTTADILALKRTISDNRLKLANQLAEAACQSSTRGIELRAAASALKRLGDRPRAHSLLLSAHNQRLQCNMQTIHPSSTSHSGAYTASLAQQVFTVIAQALSDSVELFGDEPSYMSELATWATEQAMSFALLVKRHALDSCAAAGGLRAAAECIQIALGYSSLLETRGLSLSFILMKQFEPSVEQALESSLRRIEESTAALAAADEWELTYPPSGIRTFSRPSASSLVLQPKLSSSAHRFNSMVQDFFEDVGPLHSLQLGGSAMDGLLKIFNSYVNLLISALPGSLDDEANLEGLGNKIVRMAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTSMNDIRKKGVDRQNRVAEQREWKRKLQRVVDKVKDSFCRQHALDLIFTEEGDTHLSADMYISMDNRVEELEWVPSLIFQELYAKLNRMASIAADLFVGRERFATFLLMRLTETVMLWLSEDQNFWEEIEEGPRGLGPLGLRQFYLDMQFVILFGQGRFLSRHVHQVILKIIDRAMAAFSATGMNPDSVLPSDDWFIDVANDTISRISGKARTANGDREVNSPTASVSAQSISSVRSHGSS >OB03G44860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27336234:27336911:1 gene:OB03G44860 transcript:OB03G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRPSSSPLLLVLTAALAALVSTCVADPEPVQDFCVAVPPAGGGAAAYPGFPCKPASAVVSGGFFFAGLAGAGSTDNAFGSSVKPGNVLSFPGLNTLGLAINRVDLAPGGVNPLHSHPRAAELVHVVAGQVLVGFVSTAGKYYSKVLTEGESFVIPRGTMHFQYNVGNASARAMTVFNSQLPGVVGAAAALFGADPEIPDAVLAKSFQVDAEIIKLLKSKSRK >OB03G44870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27340701:27341354:1 gene:OB03G44870 transcript:OB03G44870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVMLVLTAALAVLVVADPEPVQDFCVAVHPAGDAAAYPGFPCKPASAVVSDDFFFAGLAVAGDTSNRFGFNVTQGNVEAFPGVNTLGLSINRGDFAPGGVNPPHSHPRATELVHVIAGRVLVGFVSTAGRLYSKVLREGESFVFPRGMIHFQYNVGEASAARVITVFNSQLPGVVGAGPALFGADPEIPDVVLAKSFQVDAKIIKLLKSMFKN >OB03G44880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27341943:27347471:1 gene:OB03G44880 transcript:OB03G44880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G03960) TAIR;Acc:AT3G03960] MVGLGAMPGYGIQSMLKEGHKHLSGLEEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTTEILEDLVEKGSENMDVRSKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGTIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGAIALLKLSQPNADELGYADSVSVEEIGGVRVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHAGGNTKAGIDLEEGACKDVSIMKIWDLYVTKFFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGMDED >OB03G44890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27351715:27357212:1 gene:OB03G44890 transcript:OB03G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRLKRQIPPEEHWAFAYTMLQKVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPTEVKVPILQQFHKHIYNRDWHYSCGTKDYKVLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEVETVSDYDEYCHYVAGLVGYGLSRLFYAGGTEDQASDSLSNSMGLFLQKINIIRDYLEDINEIPKSRMFWPREIWSKYANKLEDFKYEENSEKAVQCLNDLVTNALYHAEDCLKYMSALKDHAIFRFCAIPQIMAIGTCAVCYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSSLIESKIDNNDPNASLTRKRVDAIKRTCMSSCSLKRRGYDLEKSKYNTTLIMVVLLLVAIVLGMIYAK >OB03G44900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27358883:27365277:-1 gene:OB03G44900 transcript:OB03G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHRRQTHAHDTKSFRAVHRSPPDPSIHALHHPSDDGDRGRDWEKCGGDRRVSAGDWQRIERNYVANAEESAPATGAASAPAANHQNSRPTRSAYVPPHLRGQGPAPTAAPTAAPGPAAVQSSASVQPSGYAAAVGGSRWAGPASGGGTGAGGGGGGGRGGGWNSRPGWDRRDREPNPFADSEAEEAAEVDFESQANTGINFDAYEDIPVETSGNDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMKSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVNFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLAEYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGAHGKQALTLVFVETKRGADALENWLFTNGFPATSIHGDRSQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNISLARPLCELMQEANQEVPPWLERYSARSSYGGGGRNPRGGGGGYGGGGGGGYGGGGYGGGGYGGGQGATSSWE >OB03G44910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27367228:27372140:1 gene:OB03G44910 transcript:OB03G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LTW5] MSGSPHGGLDDQIERLMQCKPLPEPEVRGLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >OB03G44920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27373081:27375643:-1 gene:OB03G44920 transcript:OB03G44920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT3G58490) TAIR;Acc:AT3G58490] MEAVAAAAAGGAGLTKWQAAALSAKVHHKLLDNFFSVLSCVVSVPFYTGFLPLLFWTGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLYYVLTYGPHDSVMVAMGLSIALLLVMLVGLGRIYLGMHSSIDVIAGVCFGVVILAFWLAVHNHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVTFGIVYGIQQTYFHFHNPDVPLIFSPQLPLIAFVARVLIGIPTILAVKFCSKALSKWLLPVMCSTLGIPIVSSCYVPALKVSNKSKDKSDSKQSGYLLKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >OB03G44930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27378856:27383830:-1 gene:OB03G44930 transcript:OB03G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 18 [Source:Projected from Arabidopsis thaliana (AT1G55320) TAIR;Acc:AT1G55320] MAATARGSVWEXXXXXXXXXXXXXXEAGAFVAALRSAVSAGGAASGGPDAVWEAVAAAGVMRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSRIDTKQTNLGRLMEANGPRVLGSSYKDPTSSFHLFHKFSVEHQEAYWSMVLKELSIKFQQEPKSILDASDKSRKGGTWFQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDDYPVNRMSLKELRDQVITVATVLDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGYAVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIVRGGKKVPLYSRVVKGTSSKAIVIPASGGFLGVALRNGDVSWEDFLSRAAGSPSIYPTVYQSSAALTNILFSSGTTGEPKAIPWSQLCPIRCASDTWAHLDIRPQDIFCWPTNLGWVMGPILLYSCFLSGAALALYHGSPLGRGFCKFVQDAGVTVLGSVPSLVKSWKAGNFTKGLDWTKIRVLSTTGEASDIDDDLWLSSRASYKPIIECCGGTELASSYIQGSLLRPQAFGAFSGASMSTGFVILDEQGTPYPENVPCAGEVGLFPLYFGATDRLLNADNNKVYFDGMPIYNGRQLRRHGDIIRRTVGGYYVVQGRADDTMNLGGIKTSSVEIERICNRADEALLETAAVSIKPAGGGPEQLAILAVLKDRSAPCDANVLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDQLNKELSNRSKL >OB03G44940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27384790:27387131:1 gene:OB03G44940 transcript:OB03G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDEWQVHKNSKACVASYPSVVQNSILWFYPRTEPEHSDVLRRKRPPYFPDLDDPSFVTVYGVRDFLLGYDVLVENLMDPAHVPYAHKGLMRIRKKEDPGRYVPHLLWHDQILFLQFCTTNDHLLSTVEFDKEGGGPIKMMIEEANIEGFRSPQERGYFKFVAPCTFYGSPLRTETEDDEGKKKKKQPTVMLVFLCIPVAPGRSRLVWAFPRNVGVWLDKIIPRWYYHIGQNSILDSDIYLLHIEERNFAAVGLDNWEKACYVPTSSDNMIISFRNWFRKYCKHQVGWATPMVNQLPPTPTKDQLMERYWSHVMQCTSCSAALKWMRSLEVALQVASVAVVGFLAVAKETLVTSVVQRAAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAHK >OB03G44950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27391441:27402146:1 gene:OB03G44950 transcript:OB03G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDDKIRMCNDSDIIEEDLNPPCRHPDPIFFQAQTKNRLTVEIFGHQEKQQQQPQGMLVFLCVPVAPGKSRLIWAFPRSVDAWPEKMIPRWLYHMVSNTVLDSDLYLLHVEERNFAAAGLDNWHKACYVPTSSDNMILTFRNWFR >OB03G44960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27395663:27396106:1 gene:OB03G44960 transcript:OB03G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQLPPTPAKDQLMERYWSHVMQCTSCSAALKGMRALEVALQVASVAVVGFLAVAKGALVTSVAHRAAVVAAAVMCFAASRWLADFIEKTFYFQDYVHAYK >OB03G44970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27400623:27402572:1 gene:OB03G44970 transcript:OB03G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSSKACVASYPCVVQNSILWFYPRSEPEHSDVLQRKRPPYIPELDDPSFVGGYGVRDLPYGYDVLLENLNDPAHVPYAHKGLMLEFDEEGGGPIRMKIEEANIDGFRSLQEKGYFKFVAPCTFYGSPFRTEAEDGQGKKKQPPTVMLVFLSIPVAPGRSRLIWSFPRNFGVWMDRIIPRWYYHIGQNTVLDSDIYMLHIEERNFAAAGLDNWQKACYVPTSSDTMIIAFRNWFRKYCKNQVGWATQTANQLPPTPTKDQLMERYWSHVMQCTSCSAALKGMRALEVALQVASVAVVGFLAVAKGALVTSVAHRAAVVAAAVMCFAASRWLADFIEKTFYFQDYVHAYK >OB03G44980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27405786:27411889:1 gene:OB03G44980 transcript:OB03G44980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLHLLHRARPSLPFRDGGGRPASTTGLLPWRRQYWSYTSARMRLSMPVSAVAAETPPLPRADDDETSAPADEEEEKFDWLDQWYPVAPVCDLDRRAPNGKMVLGLRVVAWHDGGEWRVVDDVCPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGACQFIPQAPALGPPVHKNSRACVASYPSVVQNNILWFYPRSEPEHSDVLQRKRPPYFPELDDPSFKAVYGVRDLPYGYELLVENLMDPAHVPYAHRGLFPEDLEFDQERGGPFKMKIENASIHGFHSNLDEDWGYFKFVAPCTLVGSPFRIRPVDHQGEEKKQQPEVTLVFFCVPVAPGRSRYIWANGLYVGRWFNITPRWWYHSITNTVLDSDTYLLHIEERNFAKVGIENWHKACYVPTSSDNLIIAYRNWFRKYCNHEIGWATPTVNQLVPPTRTRVELLERYWSHVKQCTSCSSALKGMRALEAILQVASFTVIGFLAATKGTIVMAAVQMAAAVLLFAASRWITNFIEKTFYFQDYVHADK >OB03G44990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27410277:27416412:-1 gene:OB03G44990 transcript:OB03G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCYLCSAFVTILHEFCGLYFLFMYVLIRTSNPSFLNLRVFCQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDTVTLMEPETFEQLEVSKELFGKTAAYLKDEMKVTLQYFDGRPMSASVPPRVTCTVVEAQPHTKGITAQPQYKRVLLDNGLTVLVPSFVEAGENIVISTADDSYMTRA >OB03G45000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27418160:27422417:1 gene:OB03G45000 transcript:OB03G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKEERSKSKSKSVTTHHRLHAEEIPISRGEIRGGREGSEERAWRAAAPLSPSPSRPCSSSPGPRQRGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAARVVEEGEGERDGSPATCARRGPGFVDALASSCPCIRIEPSPPLEVRGEDIDKELNLRRRGVSYSILFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYRGPKDLSSLVDFYKETTGLDPIAYFDIDHQDSTGGLRPVMLGDRSLHKIAKDEPFLLLAVLFIILKVTAHFVPIVIAHLRAFLVVRVRNLNLGIRRGSNQLLERALNVLDVKRLCSKFRLSNKTRDLRKGASNARAWASSFTSVSLGEPSSSRQA >OB03G45010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27422703:27424380:1 gene:OB03G45010 transcript:OB03G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGASMDAISLDEWELLPDNTSSFFMEEFVSDHGTIDDDETKNPFLPFQTSEEVYVGDPVIKFKDIDVMKIKPDREEVMPQVIEIFDAEEEEEMIKSPVGAKEVDEEEVIVVVPSDQCIEEEEGVQKDREHDGFSVGKLRVNGVGALCSFGVAAATLWIFLLGGRQQQLSKRQNQKTQSQMYADNERIQQVVQQASRLNQAVSTVMGGAAARASISFGGYYDGF >OB03G45020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27425134:27427663:1 gene:OB03G45020 transcript:OB03G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRTDARRGKVAPRSHPRSIRAGGICGSVAGGDGSRAQPEATGVPQADGVHRIGASPVAGWWPTSSPSKSYWTFACERFHFVGAGMSQSSVSPIDMGVTRTPSPAEQTNDMVEDLDAEEDDIVKKSRTDKRLNWSVSEDIRLVSCRPVLGCIIQRTQLMEMLLAEWFYAFCLSYVVGDKIERTDARRGKVAPRPHPRSVRTGGICGSVAGGDGSHAQPKATGVPQADGVHRIGASPVAGWWPTSSPSKSYWTFACERLYPPGGFSNFLQGNPLANHPNANEDFHFVGAGMSQSSVSPIDMGVTRTPSPAEQTNDMVEDLDAEEDDIVKKSRTDKRLNWSVSEDIRLTSAWLHNSKDPIDGNGRKADFYWADVTEEYNKTTETNVMFLF >OB03G45030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27436734:27437444:1 gene:OB03G45030 transcript:OB03G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAEEKWREVASWEEISGKDCCFAAGFAANLGAGGRLIALEEVGFVRESCLRRDQSRRSAHRWVACFLGGSLVLPFCGERRDLAAARGGVAGSVEA >OB03G45040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27436990:27437253:-1 gene:OB03G45040 transcript:OB03G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQDLPRNRRQSNNLSRRFLPKTPPLTAPRPAKQKWKLHPALKPEKPKQPSKVGGGEEESSSSKLSRTLYCKRSINHLSATASISRQ >OB03G45050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27437603:27438478:1 gene:OB03G45050 transcript:OB03G45050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGTAQNLVTCLYQTQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPLEGFYVAVVFDLELVLLLGDMRKDAFRKTGANRPMLNAAFVARREHIYGKKIYTAKAQFCENGQYHDVVIECDTVSIKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSMTSNAVFMFQTCQAPEKSMPWSYSQIFRESQLQCLGFSLILYAWKLE >OB03G45060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27442851:27443833:1 gene:OB03G45060 transcript:OB03G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRKLWVRAHSERRNRRGMHILYKDVKSCQDEDVQVLWSILVDSHRHPALMKLKL >OB03G45070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27444478:27447011:1 gene:OB03G45070 transcript:OB03G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPFSAHYDFSLSLLTNLAHLPNPLTTPAHKTGRALPHKSEEEAAAAAAGEGRERREIAGSPPPAAAMSSMLSAFSQWFVNPRRNPLARIHMQTVSSRLRKYGLRYDDLYDPKHDLDIKEALERLPREVVDARNQRLKRAMDLSMKHQYLPEDFQAVQTPFRGYLSDMMDLVKKERLEREELGALPLHQRTLP >OB03G45080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27449024:27449386:1 gene:OB03G45080 transcript:OB03G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWVVAVARASAAAWQRVACNPETLPADQVLGLLCCGPLHLLARLAAFLCIPFVPVQAMPRLLSPRLRGQSRLLLLPSPELVEPIYSPYPSSSSSSSSSDDDSEIEDGEVVHLHFE >OB03G45090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27451868:27452086:1 gene:OB03G45090 transcript:OB03G45090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVQGGSAEREGERAHIRFIACDFCASVMLQSKCFIFFIVINYQISLWSYVSSLFQLYCCWISSFSPGFKL >OB03G45100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27460053:27462706:1 gene:OB03G45100 transcript:OB03G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNYHPDGLYDESKSSTDAGGGGERPMVQLWHHGGTCPEDTVPIRRTKRDDLLRASSMRRYGKKRHRAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCAGFVQVNSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >OB03G45110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27466541:27469160:-1 gene:OB03G45110 transcript:OB03G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGKAGPSVYKSRGECSGRPSLAWLVLVRSRSQKQPDTGGLIPTRTLKPTTSSAPPSISRRFLANLHKELWRQMDPEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPARTEVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPLVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLVIPSFTNITMVSPGAWLSDLSHERYEVREKKCSRKRARGLKALCNESDSE >OB03G45120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27470468:27471814:1 gene:OB03G45120 transcript:OB03G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGELQRGSAAAARHEGWMLRSIVAVVFDYSEFVDEQVPVKALQIDGNCRVEDRGLKTYHGQMVYVLCIYNKKEKEDQITVRYNANFHVQNTFHY >OB03G45130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27473820:27476925:1 gene:OB03G45130 transcript:OB03G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMKSVLLLLLGFAMAATSSAAVYKVGDTSGWTILGNVNYTDWVVKKNIRIEFKYPQGIHNVLEVKKADYDSCTNSSPIATHTSGDDKITIKSAGHRFFICGIPGHCAAGQKLNIRIYCIMWIMFRDCTVSQ >OB03G45140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27480530:27482310:1 gene:OB03G45140 transcript:OB03G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGALLLVLLAVAVSASSTAATLAVKAPVPAPAPAHAPPQPKDPEGLLINGNFETAPRKVNKTIIVGRHSLPGWTLSGHVEYVSGGPQPGGMFFAVPHGVHALRLGSHASASQNVSVTFSNPGVQEDASCGPLLDAVAIKELPTPYPTKDNLIKNDGFEIGPQVFKNSTVGVLLPPKQKDTTSPLPGWIIESLKAVRFIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVANRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESTGKGGFKPASFRFVAVGVRTRVTFYSSYYHTKATDGVSLCGPVLDQVKIQPLKA >OB03G45150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27484007:27486673:1 gene:OB03G45150 transcript:OB03G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALLIDAPVENIEA >OB03G45160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27488381:27490212:1 gene:OB03G45160 transcript:OB03G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVMPAVGAVATVDVRAEGSCRAAGQRRRSGVMPAVGAVATVDVRAEGSCRAAGQRRRSGVSLFAGDWRRRPRRAACTARVRGRSRRQQQGLAVVCNLAGNYEEGFEDVHVQLMNFFTYKAVKTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKLFLRALGKERQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >OB03G45170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27494831:27497462:1 gene:OB03G45170 transcript:OB03G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARKTVMFMATVLLGMAFVLQSGAMARNGGGVLSDGGDSGGGEAGAAVMIRRGRSLQESPPALSTTTRQSGLFSLDSCGARGDGEGDDTQALAKAWSAACASPRPAVVLVPAGRRYLLQQVTLSGPCKSSITLMVKGTLVASPDMSKWSDSNRRYWIVVRGVDGLAVGGGGTIDGNGEVWWKNSCKINSALPCKGAPTALSFHTCNNLRVDGLKMVNSQQIHMSVEDCTGVQLAHLSINAPGTSPNTDGIHVTRSKSVQVSDCTIKTGDDCMSIEDGTHDLHATRLVCGPGHGISIGSLGDGNSRAEVSGIFIDTVQLYGTTNGARIKTWQGGSGYAKDIIFQNMIMNNVKNPIIIDQNYCDSAKPCKAQGSAVEVSNVVFKNIKGTTTSQIAINLNCSKSSPCYDIALQDIYLKIVGDSSSTGSTCQNAKWRKSGIVIPQPCTGTN >OB03G45180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27500507:27500759:1 gene:OB03G45180 transcript:OB03G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILSCSLLAVDATLLGIRTCDPGSERIDTNPQQGENGREEDGPNNNDGRRTVLPTHETLEEGVQVDNDPEGKEELPKERTP >OB03G45190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27500534:27506072:-1 gene:OB03G45190 transcript:OB03G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:J3LTZ3] MDGGGDAAKSGKHGQVCQICGDGVGTTADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPIDESEDVDADDASDVNYPTGNQDHKHKIAERMLTWRMNSGRNDEIGHPKYDSGEIGHPKYDSGEIPRVYIPPLTHSQISGEIPGASPDHMMSPLGNIGKRGHPFPYVNHSPNQSREFSGSLRNAAWKERVDGWKMKDKGALPMTNGTSIAPSEGREVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIILCIFLHYRITNPVRNAYPLWLLSVCEIWFALSWILDQFPKWSPINRETYLDRLTLRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFDALAETSEFARKWVPFCKKYSIEPRAPEWYFTQKIDYLKDKVQASFVKDRRAMKREYEEFKVRINALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKPGFFCSLFGGKKKTAKSKKKSSEKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLTSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWWSIYCMPKRPAFKGSAPINFSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSVSLLLYCILPAICLLTGKFIIPEISNFASIWFISLFQSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCGINC >OB03G45200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27510009:27511778:-1 gene:OB03G45200 transcript:OB03G45200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPRQARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKAIEKTQNDMAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >OB03G45210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27511478:27511750:1 gene:OB03G45210 transcript:OB03G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLCCCRFLLRLRRRVLLMQHVLLLAARRCGRRRRRRRRRRGGSSVHWLLRCARRAVARWCIRSSIICERRWAWMGPRPRAVCPLAPME >OB03G45220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27517799:27518878:-1 gene:OB03G45220 transcript:OB03G45220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVLLDVDGATTVAQVKGMVMARERVPVAMQRLFFAGQHLDGDHRTLAHYGVQHDSVVFLSLRLATEEYVKEMHNVRLMRPEPVTTKQELQQQQQQLHVHGAVDDDGAADEEEDVIKRKSASRRALRKILSRLHVDAWTSQHDAKLLDLLLRLRRAGGGRNVGDLTGAEWSAIRAELNAATGSGFPVEELQRRLGKFRQEFEAAGRIKNHPRFSYDTRRRVVVAKKADWKNYILENPEAAAYEGRSAHLGRLRAIFSGDGDGGGGKKQSCLRKLLRMFGLRFKL >OB03G45230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27526136:27530076:1 gene:OB03G45230 transcript:OB03G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPATAEEGGKRRGKQKKANPFTVAYNRGPAAAAGAGXXXXXXXXXXLREPTGRDLGARYELGGELGRGEFGITYLCTETATGDRYACKSISKRKLRTPVDVEDVRREVEIMRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKDSSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVRRMLDPNPMTRLTAEQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALKVIAEHLSAEEAADIKDMFDKMDVSRNGHLTFEDFKAGLRKLGNQMPDSDLKILMDAADIDKNGILDYQEFVAVSIHVRKIGNDEHIQKAFSYFDRNRSGYIEIEELREALVDELDGNDEDIINGIIRDVDTDKDGKISYDEFATMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >OB03G45240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27532444:27536069:1 gene:OB03G45240 transcript:OB03G45240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPTITVAEGKLVAYGRTILTGVPDKVVLTQASGAGLVDGAFVGAAAAEAKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLERGGGGGGGEPLYLVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTHMVYMHAGANPFDAITQAVKVVERHLQTFHHREKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGGESKEAAGNTVVQEGAQFASRLTGIKENAKFQKKAAMAEGGEEAAAAGLRSLVEEAKKEHGVKYVYVWHALAGYWGGVKPAAEGMEHYESALAFPVQSPGVTANQPDIVMDSLAVLGLGLVHPRRALAFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVELTRAYHRALEASVARHFPDNGCISCMCHGTDMLYSARQTAVVRASDDFYPRDPASHTVHVSSVAYNTLFLGEFMHPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGSVRADDVDAIAGLAGPGWTGEAVVYAHRSGGLTRLPRGATLPVTLKALAFELFHVCPATTAAPGVSFAPIGLLGMFNSGGAVEGCDVRPLAGAGGEDTAAAVVVLRVRGCGRFGAYSSRRPARCALDAAEVEFSYDAGTGLVALDVPVPEQEFYRWTLEIQVQGD >OB03G45250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27537879:27538509:-1 gene:OB03G45250 transcript:OB03G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3LTZ9] MRAPSLFFLATLLVHISVQCNATDSQLGSEKGPERQSRVVGNAQGLYVSSGKGELSLVLGMDFELTDGPFGGSSFVVFSRNPVSRGDGRELAVVGGRGVFRMARGFALLRTHYLDTGNGDAIIEYNVTLLHY >OB03G45260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27540513:27544232:-1 gene:OB03G45260 transcript:OB03G45260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLWLCAWDELVLRSDGDWFFSGPLPPVDQNLLLATKKMFGFSISLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIGSPLAGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSIIATIMAGRDYWGLAGWRFAFIMVAFVSLLIGLLVYFYTVDPRRTSPSHFGDDEDHHERSHLVSNGIFPPQSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSLFAIGCASGSFLGGVIADRLSKHYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVEYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMVYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLANGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFRRDRENARLATVKEQELT >OB03G45270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27546406:27550060:1 gene:OB03G45270 transcript:OB03G45270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASPASSKSDDDRRPEGDRHPRGDPAGTAAQTHAEWAASMQAYYAAAAAAAGGHPYARPPPPQSVPLMAGGASAPASAEGKSKRKNSGGPSGEDSSGSGDGGSEDSSDKRDDTDERGLSPAKWRKLSHADTEGETSQASMSEQNTAKAAPNLNIGMDIWSTPPMAAVPSGHVDVNAAPASRQDKAISQMDERELKRERRKQSNRESARRSRLRKQVVPVINKTFCMLRVVFLWVQECEELSQKVAELTVVNTALRTELDKLKKDCEDMEAENSQLMDEMAQSEGSSVVTTLSIKIDTPKDHRGSSAQLNKQHTNGDGKG >OB03G45280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27548511:27552775:-1 gene:OB03G45280 transcript:OB03G45280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGGSRVSGGGGGFNLTSYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHCTGQSLLQRGIVMAACGYAFRRAELGAAKRQPEKDPSVGTRISRVVAMGSVGSTPRPEVSFRHRGVEYCKKVGVGLKCREPWGPNRAFWTNSIGPSYKLSFSVEPWIREFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRTPEPLKLISGSCYLPHPAKEATGGEDGHFICVEEQAIGVADGVGGWADHGVDAGLYAKELMSNSMSAIKDESGGTIDPSRVLEKAYKSTKARGSSTACIITLKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQVFHFEVAPGDVIIAGTDGLFDNLYSNEISAVVVEALRTALGPETTAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSA >OB03G45290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27553454:27557688:-1 gene:OB03G45290 transcript:OB03G45290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMEGEGDEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGEMAMEAAVKGKRSKRRKKEEASMESARGKKFSEKERRLQLDSIHAESQRLLRETRSASFKPSAQPVYKPISSVLEKIRLRKLEILKKSVVLFLSVTLNEEEDDDDDASSEPVSEDLGAPQVKEVGADGKGPKIDDVDNEGGMNCGDLNQCDSAPENKDDCDKDLDNCGSKEPDKELLDISQDNLEDKAQSNDIPNNAADETQLPPSSSPTESTDDILSEEEYDDKENIDPSTQKDDVNIQEPLQRAIAGDSCPGDAILRDFLDVEAEEEDDSDDDMMRFKDIEEDDGSDENEVLNDLIEAGYEEGEIDNEKRNALHQKWLQQQDAAETNKFMQKLKFGHQEQKKTIDQDEDDAEDCEDESENEMSYDLTPTNVVRQNSEKAKQMIARMFTDENDTYEHSDDEEIEEHLARQRISKREVRNSSFISPLEDDSSREVFSLIKKLNIAPQPKRRGKQVTSNHELLIVGRDGSASSKSSFLGRTASGSLASSHRSTYRTYVFGRDDSNSSSKSCLSTSESTADMDQTNSSQPKKAKFSSSQPKQASTKPNPEGDTSSGVSLIDVLRRSSATSDKQEYNTRQESCVITESQAAHQFSAFKLSRRFSRVGARN >OB03G45300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27560519:27565773:1 gene:OB03G45300 transcript:OB03G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLAVSGVAVATLAVLGLAVFACRRWRRGCTPSAPSMFYLTDITCCLQDDDINRPLISDKLDEYSGSSNELCSSTVDESGIQIDRRITSPRTHGIAEKGATYPTESHIIEGGIHVIDVTNSKTEDLNLGDTLKRTAVANGPTPDVKHIRRDSGENIHTGSIPTKDTVVGSNLALEVIAGPSHGINHYMQSGNKSMLPVTLGRVHPNHLVIKDSEVSGKHAQIDWNANKLKWEIVDMGSLNGTFLNSRSVNHPNVGSRHWGEPAELADGDIVTLGSSSKVSVKIALQNQQPVGVGIASDPMIARRTGKKLNMEDVSCCQYPLVGVEKFGLFGIFDGHGGDGAAIAASRILPQSISNILSQQETKERVLSYQSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACVMSVNGETIAMTEDHRVISTTERTRMANLGHPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACSAFALIASDGLWDVISTNKAVQLVLEGKQRYTEQKTSAEKVAHHVLSEARKLRTKDNTSVIFVDLGAVRRDP >OB03G45310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27566096:27568711:-1 gene:OB03G45310 transcript:OB03G45310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05250) TAIR;Acc:AT3G05250] RGGGGAAASAACACPICLESFQDEAYLDTCFHSFCYKCICQWVKIVSTKRAEPLSSVQCPLCKTVNVSIIHGFDGESFQRHYINQDPRKRHLSHTHELISQFYSIKYITGHTSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTQDENVDTIVYHIHGVIEAFMKRQEKEYTSKTTPPEKKREKFKCLLSDAARPFLLGRTERFVTEVELFLVSHLNIDAYSKVRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDETDCNGEI >OB03G45320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27571056:27575052:1 gene:OB03G45320 transcript:OB03G45320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGRLLGRSPSVLASNRDRFDPLADAGKPGHGPQRSIEGWILLVSGVKEDAEEEDLYNAFSEFGHVKDLHFNLERRTGYGKGYAFIEYESCEEAEIAIRAMNGSQLLTKTIYVDWAFSRGPIQKLTSTRPLRRRSRTPPRRLAALTC >OB03G45330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27586330:27586497:1 gene:OB03G45330 transcript:OB03G45330.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQFTRRVNIFSSVNFGTSMEVTKFYAKNLIPLVTFSRTVKFFFWALLLFFLWDSL >OB03G45340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27598201:27601993:-1 gene:OB03G45340 transcript:OB03G45340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRNSSNKTSDGEQKKLLEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELDSWPEQSTRRRTWLTVSEATSQCRYEWMQQALLTGFSDWHANWSKGGDSI >OB03G45350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27606512:27606796:1 gene:OB03G45350 transcript:OB03G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVNAVGDGGVRRGQGVGGARGVGVDVPDGGGRRRARPQDGARRGLPRRLGDRWPRRRRRLRRRATVISSSSQLGGALLISGFLMDAVRQNAAKF >OB03G45360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27607396:27614053:-1 gene:OB03G45360 transcript:OB03G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:J3LU10] MAAAATAANLAGKQGVRVVVIGDPGTGKSSLVVSVATEAFPENVPRVMPPTRLPADYFPDRVPITIVDTSSSPEQRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLMPTIKRAPDQTLELTSQATDFLRGIFNMFDTDNDEALLPAELDDLFSTAPENPWSSNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLVYVGYSGDFGSAFTTMRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGFRKTLVFREIPEDDVRSLLTDRESLASCDVAVFIYDSSDELSWQRARNLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIETPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEVGKTRRQYRQLLNRSLMVVSVGAAVTVVGIAAYRVYAARKNTSS >OB03G45370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27615847:27618603:-1 gene:OB03G45370 transcript:OB03G45370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDKKRIKDIKAIKDDSLSVYHDGIGLQNIVLESDSQVLVKALNSTEYDFAPAGVLFREAKFIMSFFVSVAISYTPRSCNKSARELARIMGRGSWTGLELQPQLWKTELRKCRALRSNLTTIIYSTRTITAIGDVDPILRACSVQEITGVQSHGSCCVVGKPGGQQAAGRPERNKEMIVECQTADVVVLTYACDSPVTLKRITTFWLPKLRRLQAPLILVGCKLDLRDEQQQVSLEQVMAPIMRRFREIEIGIECSALRQIQVTEIFYYAQETVIHPVDPIFDYETQFLRPRCVAALKRIFSLCDRDRDGALSDVEFNKFQVKCFKSPLQPAEIASVKRVIWKHMPEGVNDNGLITFIGFLYIHALLIEKGRLETTWTVLRKFGYDHELLPSRYGFSWWLRALTFRGYW >OB03G45380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27624526:27625992:1 gene:OB03G45380 transcript:OB03G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52340) TAIR;Acc:AT1G52340] MSAAAAGSSSSPAPRLEGKVALVTGGASGIGEAIVRLFREHGAKVCIADIKDEAGQKLWDSLGGDQHALFLHCDVTVEEEVSRAVDATAEKFGTLDIIVNNAGITGNKITDIRNVDFAEFRKVIDINLVGVFHGMKHAARVMIPNKRGSIISLASVASVMGGLGPHAYTASKHAVVGLTKNVAAELGKHGIRVNCVSPYAVPTALSMPYLPEDERKDDALKGFLAFVGGEANLKGVDLLPKDVAEAVLYLASDEARYVSALNLMVDGGFTSVNLNLRAFED >OB03G45390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27625131:27625625:-1 gene:OB03G45390 transcript:OB03G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATLANEMMEPLLFGIMTRAACFIPWNTPTRLMSITFLNSAKSTFRISVILLPVMPALLTMISRVPNFSAVASTARLTSSSTVTSQWRNKACWSPPRESQSFWPASSLMSAIQTFAPCSLKRRTIASPIPEAPPVTSATLPSNLFFRRKSSYQIQRMDKYTN >OB03G45400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27624754:27630368:-1 gene:OB03G45400 transcript:OB03G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAVRVLLFSSLWRLRERAYAAASRVRGAVLPVVAPWLHLRNTHGVLLMVVLFALFLRRLSGARSRAALARRRLQCKKAMRHAASYEEWSRAAKVLDKMSEQVNEGDFYDEELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKDYIDEVSVQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRMGGIFSVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQIKELFNVNHFIVSQTNPHISPLLRLKEIVRTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYAELQMAANQGRRCTWEKISAIRTNCAIELALDESIAILNHKRRLKRSMERAASASQGYTYSSILKTPRRVPSWSCISRENSSGSLSEDYFAAANSSTQQGAIQVVTTPNMTHHDGSESESETIDLNSWTRSGGPLMRTSSADMFINFVQNLEIESEFNRVFTTEDENKHNLSGSTISKDLCPNYSSQVTTPDTDRSTYTSEAGSCNTGNNITSQPSTSTSIAVTEGELLQPERATNGILINFVKRNSVFDEHDNEAETESYVDTTHLDTFDTISASDCPGDNKDSSVAHTDFVTSQHSSADE >OB03G45410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27636301:27645036:1 gene:OB03G45410 transcript:OB03G45410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINA 1 [Source:Projected from Arabidopsis thaliana (AT1G08520) TAIR;Acc:AT1G08520] MATDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVAGSISNANPNCPEEWEDGLAERVQYDADGNLKTEIVKTPFVQIPLGITEDRLIGSVDVEASVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVVISTEQLKYLVMEAMRGGCQGHRAELYAARVAKCLAAMEGREKVYVDDLKKAVELVILPRSILSDNPQEQQDQQPPPPPPPPPPPQDQDSQENQDEEEEEEQEDDEENEQQDQQIPEEFIFDPDGGIVDDKLLFFAQQAQRRRGKAGRAKNLIFSSDRGRYINSMLPKGPIRRLAVDATLRAAAPYQKLRRERDLDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARRRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEGTSDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSL >OB03G45420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27645650:27651466:1 gene:OB03G45420 transcript:OB03G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRSPSPPPPGGRGRPAPPPPPAMPPCASPSPRGGGEPATAPPTSMSTCGYHSPRFSEDIAFLPQWLQPHRPPAVGERRKDIAGVSSPSCENCVFVRDPAQGSCLNGMTNAASCSGFRLHLSGDEGTPTGTASSSGNVVPFSLHLSSESTAQLSSIQANGLNSGTCKDLLGGFCIDGQAQEIKTALQNQSHSKDFQEICKMASEKINKSCDSKGHRRQQLSGAKVDVRKLRNADVHDAVELSIAASEAMVIAEMIRLDSESDKLTTTALEAALHVKEARKQCFVVELENSNGSPESDLDETDGLSELDETEMLDAFQDVGLSLAQTACASQGQNISGLKQKLSQASSHSCNAEAHVLEICSSEKQNIRWNSHNVDANDYVSDSLANNGSEGGVAVHTNAGRRKHVKELFNKETSFISESMDSMDEFPSASRTVSMEMAASSRASFLQKNEDFCEENQGAEAVQLCSQVVCPSLSFVDPLCSIVPCSIPCNGGPPSQGPECKQSKGEEEEWINPKVSPLKQHLEGEAGPSCTSLVKAAASNILFRRRRHSSLRPFSTVAPRSYVSGSSETHNDVDVAICQQERFTTLTLNKKIRRVQASKVFVENNVEAGNLQGFSKVLKKPSYGKGISEHQNTQSVKRKKDQFSEAKISTRKTKDRRMQTKSRLSWSDSRLIDTMEPREHIPNKEAIFRGLDFLLTGFQSHKEKEIESLIRRLGGYVLSKVPPCPLDKRSKLAELSRCKPPIVLSPKKVSTAKFLYGCAINSWMLNTSWLFDSIQAGILLPPGKYFIRQVHSMKSTSMFDQFLHLKNNKLLFDGVGFLILGKISFCSKFSNIIKHGGGQVFVSLQGLVQSLKDRSSSHGIILVANEASASRHLSYCGLEHDIKTVPASWVIGSLFSGKLIPLKKDRCALFRRIKMPSFQQQQAFDMSQEI >OB03G45430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27652753:27654134:1 gene:OB03G45430 transcript:OB03G45430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSRQEEFIWHCHLFCCQIHFVLLISRQGKVRLTKWYNPYPQKERSKVIKEVSNLVLTRGPKMCNFVDWHGCRVVYKRYASLYFCMCIDATDNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDSMVEAAKEAASSLRNIIAQATK >OB03G45440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27657144:27658193:1 gene:OB03G45440 transcript:OB03G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVEVEAAGAGRRMQGEAVVPMMLPPFFMGALAASRNHREAEKRRRERIKSHLDRLRAVLAVLAFLRFELLLMEIDKASLLAKAVERVRDLKQRMAGIGEAAPAHLFPTEHDEIVVLCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDASAGADDDDSTADYSAGSTDGGDFLKEALRALVERPGVAAGDRPKRRRVVSDMNMQAAA >OB03G45450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27671175:27671345:-1 gene:OB03G45450 transcript:OB03G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRHIFSAEKSGIFPFNSLRRSSCKIMSQTHTCSCFTVQLSSVSSSLFTTATIP >OB03G45460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27671596:27675367:-1 gene:OB03G45460 transcript:OB03G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPLNATEAVLKRPRSVASRKPRSKEQLVSEYKDISCTPSSRSISPDDDGGVEGSGHRRKELYLNGPESRGSTPHRSDASKKIKRDDRAGGDHDGHNKSSKSKDAKRSSEGVLALACTKNSGSPDGQPLPPKDASVPTEHKVRKVKLKVSGITRPIQTKTIQEANDDGKLSTSDGSVHRHKQKDSGGQKHHQDKHDVSPSSDLVRKSKRVPKKRTLDGDSGDEDGRSGYLVKFKIAKVVPEQSIATNHAGVYDESPEDDVKKKKLVKVSKNKSLPYEVDEDFTMSRSGRDGRKKLKLGDGSDFIEEEEPEMDEPKKRLSEADSPSDVKNETPGLITRQRALQGRGGNGDTLIEFPDGLPTASSRKQKEKLSEVEVQAKKAEAAQRRKMQVEKAEREQQAEAMRKILGIDTEKKKEEKKQKERDEKEKQEKIEEYKRNCIQCVMGPEGTVVTFPENMGLPSIFNSKPTSYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGSSETLTC >OB03G45470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27677860:27678402:-1 gene:OB03G45470 transcript:OB03G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXRVTGTFYGHRRARIALAVQERPGSLPSLVLELGVPTAKLMQEISTGGHVRIALECEKKPKKSSPPEQANASLLEEPMWTAFVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDVLVEPAGADGDGEVTYMRAGFDRVAGSKDSESFYMVNPDGVAGAGAGGGTELSIFFVRV >OB03G45480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27679490:27682476:-1 gene:OB03G45480 transcript:OB03G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3LU22] MASSGGAAISAGPAPPSAGASSVDWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEHRKQGLPQGYKGCQFHRVIKDFMIQGGDYLKGDGTGCASIYGTKFDDENFIAKHTGAGLLSMANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLTCVISECGEM >OB03G45490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27682771:27683163:1 gene:OB03G45490 transcript:OB03G45490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSSSSA >OB03G45500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27684547:27686063:-1 gene:OB03G45500 transcript:OB03G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:J3LU24] MKVRASVKRLCAYCKVVKRRGIVFIHCKANAKHKQRQGFSTLAEAAAAAAGGHHPPPALANTSPAVAAAVAEASKVAKQEPSLKFYWPLGLAALLKNSDK >OB03G45510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27689002:27691798:1 gene:OB03G45510 transcript:OB03G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OB03G45520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27692124:27704668:-1 gene:OB03G45520 transcript:OB03G45520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA GYRASE A [Source:Projected from Arabidopsis thaliana (AT3G10690) TAIR;Acc:AT3G10690] MHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYDSLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFTPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLQELLECMPGPDFPTGGTIVGNQGILEAYKTGRGRVVVRGKTDIETIDVKSKRSAIIIKEIPYQTNKATLVERIAELAEEKVLEGISDIRDESDRSGMRVVIELKRGADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEIIQAFIDFRCSVIERRARFKLSHALERKHIVEGIVVGLDNLDSVIQIIRGTSNHAMATESLIKEFGLSDKQAEALLDITLRKLTSLERKKFIDEANSLSEEISKLNELLSSKKLIFQLIVQEATDLKNKFTTPRRSFIEDSASIEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQHRGTIGKSVGKMRMNDSTSDFIACQTHDHVLYFSDKGIVYSARAYKIPECTRIAAGTPLVQLLSLSDGERITSIIPVSEFGEDQYLMMLTVNGYIKKVPLNAFSAIRTSGIISIQLVPGDELKWVRRCGNDDLVALASQNGMVIVNSCNKLRSLGRKTRGVMAMKLREGDKMASMDIIPATSHNMPETYNSRVRDLSPPWLLFIADNGMGKRVPLNAFRRSNFNRIGMQGYKLPPECSLAAVFVVGFSLTDDGQSDEQVVLVSQSGTANRIKVKDVSIQSRSARGVILMRLEHGGKIQSASLISAAEEEEEQDPEDQIAA >OB03G45530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27720063:27727811:-1 gene:OB03G45530 transcript:OB03G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKNRYEERPKQGY >OB03G45540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27731052:27735063:1 gene:OB03G45540 transcript:OB03G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYQEQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLQSSSVEVAIFCLSLMGTNYPRYIEEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFCEAISKLGFSLVSKDAKNKMFILFYFRKKEKSKMVKNVDWPQLKPCLYKRR >OB03G45550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27738451:27738627:1 gene:OB03G45550 transcript:OB03G45550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFKYFQKSLIWLIHGFLMVFTYVKYRAQHLFMVLLFYQCCNTLYKCCLLNILYPCSC >OB03G45560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27737902:27742956:1 gene:OB03G45560 transcript:OB03G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYPGEGLQIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRVQHKNLVKFIGACLQPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTACWKEEPNGRPNFTQIVQMLLHYLSTLSPLEPLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >OB03G45570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27752464:27753534:1 gene:OB03G45570 transcript:OB03G45570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQGERYWCHHCAEEIEPVLPDMKCPSCHDGFVEDMASAGFEPATNLRSDHLSLWAPVLLGMMGGSSRRSRLRREIMEDDDDDDDDEDDSDHELEDLFRRRRRGSSLVRLLQTLRDDLRGLDDTVRDRERDRERERREILRERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQEDSSNTSSGVSLGDYFLGPGLDVLLQRLAESDLNRSGTPPAKKEAVAALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPSEESKNPCESASGIVSVNDDGDDAGTSNSDVDSTNHSGSPIFSALSALFSNQSSSSSSDDNAPHSYEN >OB03G45580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27757368:27758730:1 gene:OB03G45580 transcript:OB03G45580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVATIEYCGLAVSVSSLLIRFVLHPLVKDAILMSRAALRSASCAILGLLAHDDSALVAAVQPPTPMRRQCERCARGGGLSRHDALTEGKVAGEGELREAFYVFDRDEDGYVGAAELWNVLRRLGMAEGARYGDCVRMIAAYDGDGDGRISFPEFRAMMENAV >OB03G45590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27763679:27764300:1 gene:OB03G45590 transcript:OB03G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNVWPLVASIHGGLVVSVSSLLIMLLLKPIVKDAMAMLTEKKVAGEGELRDAFGVFDRDGDGYVSAGELRAVLRRLGMEEGARHGDCARMIAAYDGDGDGRISFREFRAMMENAA >OB03G45600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27774909:27776480:1 gene:OB03G45600 transcript:OB03G45600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVRRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQGKEVKEEASDMVTSQSHSHTHSWGETRTPESEIVDNDPFPELDSFPAFQPAPPAMMVPKQESVDDASGERANAAIPRNNSSLFVDLSYDDIQGMYSGLDMLPPGDDFYSSLFASPRVRGATPRAGAGMGMAPF >OB03G45610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27777307:27783195:-1 gene:OB03G45610 transcript:OB03G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:J3LU35] MKVIEKIREAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVENIDEALTTIKSNGIQNVLALRGDPPHGQDKFVQVSGGFACALDLVRHIKAKYGDYFGITVAGYPEAHPEVIHSAEGATLEAYSNDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKVLASGIKTLHLYTLNMEKSALGILQNLGLIEESKVARSLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPLKSVEDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINLKGFLTINSQPSVNGERSDSPSVGWGGPGGYVYQKAYVEFFCSKDKLDQLIEKSKAFPSLTYIAVDKNGESFSNIPTNAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWSKGWACLFPEGDSSREILDKVQKSYFLVSLVDNDYINGDLFAAFKEI >OB03G45620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27785348:27785623:-1 gene:OB03G45620 transcript:OB03G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPEKELRHPTDAAVAAAAGENDDRSFPAEEGRAEGRAARSDDMRQGETEIAGEGEAGAKAAMASDGEGGRKLRSEERRGARVATPARL >OB03G45630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27785364:27787508:1 gene:OB03G45630 transcript:OB03G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein [Source:Projected from Arabidopsis thaliana (AT3G54210) TAIR;Acc:AT3G54210] MAAFAPASPSPAISVSPWRMSSLRAALPSARPSSAGKLRSSFSPAAAATAASVGCLSSFSGLAPISPLLSLGEETSSFEHRLFGIDARGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >OB03G45640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27792243:27796066:1 gene:OB03G45640 transcript:OB03G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSPGFSPARNLSPQIRSGSQDVDSQYLAELLAEHQKLGPFMQVLPICSKLLSQEIMRVSNIVHNHGFGDFDRRFRSPSPMSSPNPRSNLPGNGFSPWNGLHQERLGFPQGASMDWQGAPPSPSLHVVKKIMRLDVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAVLNSTLREDSPHPGSVSPFSNSGMKRAKTGQ >OB03G45650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27798227:27798742:-1 gene:OB03G45650 transcript:OB03G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRIGEAAASGTRPAARFRGVRQRPGGGVGAGGRARRRLWLGTFDTAEEAAAAYNAASIRLRCSSNTTTNFCSVRCYSPPELAKPTIQSSEAVRPITLPIGPAKPTLLPQVKEEGASCGGRVKEEGSSCEVQAPMWTMISGKRKKRSGCGTRVRAFHAVSACLEEVGGA >OB03G45660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27801032:27804289:-1 gene:OB03G45660 transcript:OB03G45660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAASGADDSPEADRCLGALRLLRDIRVTTEILVSTQVGKRLRYLTKHPHSDIQAMATDLLGHWKKVVIEEGKKNGTTENDRSTKAAARAEKPQHMKVDKNSASGSVKSEKREVDVRGQKPESIKVEKATNNDSKNQSVKVERAPKEVTRTPETKKPSSVSNGPPKLTSLVKCNDATRDKIRELLADAFSRVYGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPDEMASDARKLENKQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >OB03G45670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27806202:27809820:-1 gene:OB03G45670 transcript:OB03G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSGTTLANAVVAVSDLQRSAPKAITRALSPRAPMASPPPGAHLAASSSKPLAPAPFPAAAAHFASRTAGPFLRQQPHPGDSDGDNAVEEGDDDEGDEEDDDGDEEAELPDGAPCSSSQQRCASTPGIGRAGMNGGDGMRQIQEEHQWQRSHIYASSREDEPSTIPREMRVENGYGVIGRREGGPASCYWDLLRAHLSDPLTGVLMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKRGNDEPNPTEISRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVSNNTQNANWL >OB03G45680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27821010:27825876:-1 gene:OB03G45680 transcript:OB03G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58370) TAIR;Acc:AT5G58370] MPLHVRIREKTSIASWVSFRRNWSGGGIAGGKKSGKREDATRKGTRTVGGNKSEKREAYKETRGHAGQRRRWSDGSGRADAEKNASGVVRRKSKGDDDKWNGDHGDTSYSKSKLIRNGPSTMTRGKASARKGNRFRSQSSDEDDLHTVKRSNNMVSGVSRGGRSKRMVSGIGREGKDQSVLSKNAEASKGKRFDTSTRVSQQKEAATNANMDDHVAEGKKSDDPSQIAEEKPRPRRTRVLDKTGKKIRVVKKDSVSDTEEPLPPKKRKRMKLDPYDTSNKRLEDSTTNKDVFSAEKIPEKSSPEETEMSINSKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYNVKLSAPLDNVPFSTNADRDRIEENVFRNKLEFFAAAKISSSFPPPTIPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAMEIQESLKKKKSVVNPVMMVSSKTGAGVRNLRGVLGKLARFIKP >OB03G45690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27830047:27830496:1 gene:OB03G45690 transcript:OB03G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQQQQQLAVRPVSGAKKHAGFDGKAAAASRERSGLANASFRVYYSLRAGAVPFLWESSPGTPKTGAVPEASTATSAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRAPCRGHRRRRRRPCRPSRRRRRTSRSR >OB03G45700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27831600:27836842:1 gene:OB03G45700 transcript:OB03G45700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVGDGIRTPVTFPQRQNARFPRGSVREKSLEHQKAGPSSSSPSVSSNKSPLQMAAPVAQPQKSLDSPLHIPRPVQLSESLNSRSSPFSSVSAGSDSGAAPFDICIKDGNCSIKLNRSLLELNREKRREREQFSKEVAPLQYLRPGMVLLKKFLKHDDQVAIIKSCQTLGVGPGGFYTPGYRDGAKLSLRMMCLGKNWDPNSSSYGDTRPFDGAQPPSIPELFRKIVKDAIQASNEFLKQKARTASGVEELPPLSPDICIVNFYTTGGKLGLHQDKDETKASLLKQLPVVSFSLGDTAEFLYGDVRDVDKASKVDLESGDVLIFGGLCLVVRLRCLRSMAMPALKMIATANKDEFIRLCASGRLKDALRRPFREVLWSDAAGLFSHLFGACRALLPLRQLHAFAITSGAAADRFTVNNLMLAYADLGDLPAARDLFERIPRRNVMSWNILFGVYIKNGDLGSARKLFDEMPERNVATWNAMIAGLTNLGLDEESLGFFVDMRREGMHPDEFGLGSVFRCCAGLRDVVTGRQVHAYVMRSGLDRDMCVGSSLAHMYMRCGCLQEGEVVLRMLPLLSIVSCNTVIAGRTQNGDSEGALEYFCMMRGVGVAANAVTFVSAISSCSDLAALAQGQQIHAQVVKAGVDKVVPVMTCLVHMYSRCGCLGDSERVFFGYCGSDIFLLSAMISAYGFHGHGQKVMELFKQMMNGGAEPSEVTFLAVLYACSHSGLKEEGMNCFDLMTKTYGLQPSVKHYTCIVDLLGRSGCLEEAEALILSMPVTPDGVIWKTLLSACKTQKNFDMAERIAKRVIELDPQDSASYVLLSNIRATSRRWGEVSEVRKTMREKYVRKEPGVSWVELKGQIHQFCTGDESHPRQKEIDECLEEMMAKIRQCGYSPDMSMVLHDMEDEEKEVSLSHHTEKLAIAFAFLSLPEGVPIRVMKNLRVCDDCHVAIKLMSQVTGREIVVRDVSRFHHFKDGRCSCRDYW >OB03G45710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27838728:27839834:-1 gene:OB03G45710 transcript:OB03G45710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKRVDGDVVVARFVVEYFRRATARLHGQDKCCSLSSQQHTRTSTRMAAAAAAPTTVVVDARYCAPEATAFAVARVIGSTDRDFAVTDAAGAVVMRVEGALFSFRRRTTLLDAARRPVLTMLDSTYLMSSRWDVFRGDSSSRRSLLFTAVKESVVQVRTKIFVYLAGYRSAEQVPDFVVGGSYYNGACTVFAGNSDSDDNAIAHITRQNLAGTLRGLTRHVYTARINPGIDQAFIQSLAVILHEMHHY >OB03G45720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27842219:27843284:-1 gene:OB03G45720 transcript:OB03G45720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVPALAVVDARFCAGDEAALSVAKTLSMSGNDFTVTDAATGAVVLRVDGVLFSLRRRCLLVDADRRPVLTVQESAMVMNTRWKVFRGESTSRRDLLFTVVKPSAIQLWGSTKVSVFLASNDAEQACDFRVTGSYHDGACAVSLGDSDTVIAKIDRRFSVAGALLGKNAYSVAVNAGIDYAFIVALVVILDEMHFQP >OB03G45730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27842484:27842845:1 gene:OB03G45730 transcript:OB03G45730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVEDDDERDDEGVVDAGVHGDAVRVLPQQRARHAEPPVDLCDDGVGVAEGDGAGAVVVAAGDAEVACLLGVVAGEEDAHLGRTP >OB03G45740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27849350:27858460:1 gene:OB03G45740 transcript:OB03G45740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYSPGGKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHGLHEDVMACASRGHGLMLRMRQLEAEFPAVEKAIICQSDHSNYPHDDGVEWRANLQINQNMISQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDTMIEKRPRKFKKKTLRWRKGETLESLLIANSESHTTPKDQSSRKVPPRTTKLKYRYPRDSDHKTISRMCREHMQEIILSQQKIFSNYSSRHYHAKFRSIDSSETTSSFGEDNFNTRVQSSAKLELTKVVPINEFDTMGINSTHINGSDGLAALEADGGQLQVTQHDPNKVEDVCKRSLMKQNAMFSNSDRMHSVQKENLLSAVVPTDQNGDLCRPDDTGTDQENFVDALNNIESEGEAHAEMKIKRELGARMELDGLNFHHDEGENELHMEFSELGHVIDSSPCLSDSCYGGERERAISSNGKMSEEPCNDVDLMEMDVSSSSSVLSDDSDVVGTNGNMNGFQQYQNASLSNDYHAMTAHSSDKQLSQKSSGLDGSSINSDNCIEKPYHSVEDDQTFAPDGTSMILGSHNDVSQNDEEIEVRNADDSLLHSTISNQEEHRSNNQLEGVGVHTSTSSGQVNSFPNMDPAMCKKDLASNNVAVPKEIDANTPSTGLNTDCTHKHVDEFDSGDAPIKSSMQNAPLYESDDDDIAEELNSLPEDDLYKYDVEDLYKHVPKDDEIVVLGKGPSTRAKRPKTHQEDLMQLSAVPGHFSYGQELPGLTEAVSSPREEEEDGEGEENHADEVLMPSCPDLNDEKKPTLAEVLLACSNATLLDTSSSCSEHDESTETGKIAKSDEVLVNVEVAEESITGSFADDMVPFEEDLHDGAKYADKAEFVATNSREENSSHDVQVQSSSPCREELETVKAPCENVGSLDESQEYSFEKSMWPANNLPQHIVIKSTGEACSDIDGIQHLSASPCQKIPVCQEELPEETSRKAEVPYHCDLEKDGSVILNSKMVEEQPENIDLVGESCAQDSFGTNPFMDPGYKANHALTDPCPSYQPSFSEEEQDFISELLVQHGNMGIIEDLNPVVDPLWESATPPDEAPLPSEVMSEEDFRSFCHEYHEIDFTAELESFNDKPASDSIDISNSFVTSESELPYCASAVWTGLDQEEARDAPGDTSMHVSAIEGPDDGAAKSDLKSDEPFIDEKIPELGVPSVPMELEVEQHGLHEVDSHSTSQLLESDKIDETCSSPSDNSITEKEIHENCANLVSNAFINERINELAVPLSNSVSLEPTEEAHSSNDDSYQDPWPSTSEGMDEVDDNSLSKLIQAQGSEVLVLGEFDSQVVPSSSVKIDHVAVPPLSTVLEAEQVPEDCISVEHNSQITKSSLVDEETDELDDSSPLSTAHLVEVEREVCVPGESAPQIASYSPSNDKVDEPNFSPLSSSVLIKLESEDNVSGDLDSHIIPCSSVNVKTNEPDTDTSTNVLPVELEQEVCSSLEFVSQIAPCSLNDDKVCELDGSPSKQLESEKGSYCSPKVDRQIALYSSVVLAETSTMPSARAMPSTKETYRLLSPVPPPSEPFPDISCEDPQKPPPLPPLLWRLGKPRLGIASTKDHMPEPEGGKNPVLHTSDTEMDNMPGTPSGMTESVEPVSCQEINERHLDPILDNNDQGVESRRLSTLLTVNDVAGTEHVQLFPEDCENINHQEHVSSSETDVEEHHNGTGITDVTNSHPSKPLFLNLLYEHAVSQQGLQGSVFPLDDSDSNEHASYRAVSEDERTVDNHNAACAMDLHSKSSPTSGHVSENECNQQPHGESLSATSVDKEHTSDAPCEDNKLKDHFITSEACSYATNLPASGLLTEEGSIHNGESQDEGPLPSEESSGCLDYPHYDHRLEKEDIHRPDGYAATPSDNNYLKSPQEGGYSHAEQPPVIGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPISIRNIPRNPLVDAVVAHDRSTMRKISELVPPTDKTKPNERNLLLEQIRNKTFNLKPVSSAKPTTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >OB03G45750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27858491:27858995:-1 gene:OB03G45750 transcript:OB03G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LU49] MASNKHGHGGAQDFDNYPEYRYCLGISIIAALYTISQVVRDVHRLSRGRDVIAARKAAGGGGLARRRSARGLPVDVRAVRGGAGDGLHAAGRRQPVHRLRGGRH >OB03G45760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27881537:27894073:-1 gene:OB03G45760 transcript:OB03G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTPPRKSRSAVLVVAAGGEGGVEDAWRSAGEAAKKTTAAACGAMHARVWGVSPRSHFSSFVLLLLLLFVVLAVSVNTKNAAAGEARHRAPPPXXXXXXXPSGETGGGGDEECDLFSGRWVYDEAAYPLYRESACRFMSEQWACEKYGRADLRYQHWRWQPHGCDLPRFDAVKLLEKLRDKRLVFVGDSLNRNQWASMVCLIDTGAPELHTTLNSSRSLVTFKIHEYNASVDFYWSPLLVESNSDHPLRHRIADRIVRAASINKHAMHWTDADVLVFNSYLWWQRPAMKVLWGSFDNPPPAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVTSPRRGERDGHGFRARGGGAGAPSRRARRGGAAAQRDAAVGSPQGRAPVGAPPVLGPHHRRAATQPQQLRRLHPLVPPRRPRRLEPAPLRTPRLMTP >OB03G45770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27881560:27883877:1 gene:OB03G45770 transcript:OB03G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEELVPDVGDAGEAPVDAVGVAAGVASLLVGDGVPVPAVHRRVRVLAGIRQLRHRVRRLVVHPPAGEEVALLVA >OB03G45780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27897174:27899106:-1 gene:OB03G45780 transcript:OB03G45780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNATAVLEMLRGKRMLFVGDSLLREQYVSLLCLLHRAIPDASKSFEAVDSLSIFRAKDHDATIEFYWAPMLAESSSDDGADHHLADRVIRGAPMNKHSRFWKGADVLVFNSYLWWMTGDKIQILRGADEDMSKDIVEMEAEEAYRLVLHQVVRWVEHNVDPKNARVFFVTASPTHASGGEAGGNCYGQTSPVAAADAESYHGSTSGRMLQVAGEVLAASRVPVGVVNITRMSELRRDAHTQTYKEQWAQPAAEQAADPRSYADCTHWCLPGVPDAWNELLYWKLFFPARDQAI >OB03G45790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27906062:27909850:-1 gene:OB03G45790 transcript:OB03G45790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVARLVVLSGAGGIAGKRWKLRRDLSRPSCVGERPSGAACISGWAVGSGGWGGWPQVSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLICLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTAIAKHARFWKGADILVFNSYLWWMTGQKMKILKGSFEDQSKDIVEMETEDAYGMVLNAVVKWVENNMNPRSSRVFFVTMSPTHTRSKDWGDYSDGNCYNQTAPIRDSSYWGPGTSKGLMRVIGEVFSTSKIPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPRSYADCTHWCLPGLQDTWNELLYSKLFFP >OB03G45800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27920620:27920928:-1 gene:OB03G45800 transcript:OB03G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKNTSSPPFPSFPLLLQIPGLGSCVRKRSSNLHGGRGKMMKPQHGMAGHGGRRTPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLSXXXXXXXXXXXXXXX >OB03G45810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27920729:27920938:1 gene:OB03G45810 transcript:OB03G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVSAYDVKKGVLLPPCPAMPCCGFIIFPLPPCRLLLRFLTHEPNPGIWRSNGKEGKGGEDVFLALH >OB03G45820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27922702:27928085:1 gene:OB03G45820 transcript:OB03G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVRIEAEARTFVAARAEADQVHADVRVLATARTELVDRLQDLREQLARLQAEAGKTESVRAQVETMRREIQKGRAAVEFEKKAHADNLEQSKAMEKTMIAVASEIEKLRGDLANAEKRATAVNTATPVANPGFPTTYGNSEVTYPAAYGNSETKYASTYGSSEAAYAATYGNSDAYSTNQVRTRTDGNPHYMVPVVHYAQYEGQHTNVQR >OB03G45830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27930883:27935992:1 gene:OB03G45830 transcript:OB03G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine acid phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G09870) TAIR;Acc:AT1G09870] MAAAPRAPLPLALLVVSAALLAGASLSPAVEAGAGAFDVRRHLSTVTRYDVARGSNSVVSAPSMSDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIHEAKQAPESDSMKNIPSWIKGWESPWKGRVKGGELVSEGEEELYNLANRVKERFQGLFDEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPVKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALINRYHLNFTTQDASSLWFLCKQEASLLNITNQACQLFNEAEVSFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAKEENHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREESLDIPPIPPQGRNWKGSVVAPFAGNNMLALYQCPRKTGGSKISQDQKNSYFVQVLHNEAPVSMPGCGSKDFCPFEEFKEKIVEPHVKHDYDALCKIRPVAREEPSSFSSRMWNFVLGLFSQNGDKKTEL >OB03G45840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27937517:27940932:1 gene:OB03G45840 transcript:OB03G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58490) TAIR;Acc:AT5G58490] GGAGRRRDGNRRREWGDGAGHRRQRLHRLVGRPPPPRPRLLRPRHRPQPRXXXXLRALAADAGAGEGRLRVFPGDLLDGAALLAAARGCSGVFHLASPCTVDRVVDPQRQLVVPAVEGTLNVLLAAKEAGGVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDLDYCEKNGVWYPASKTLAEKAAWKFAEENGMDVVVVNPGTVMGPIIPPRINASMAILVRLLEGCTEEYADYYMGPVHVEDVALAHVLLYENPSASGRHICVQSVVHWSDFACKVAELYPNYKVPEFPEDTQPGLVRAEVGSKKLIALGLQFSPMEKIIKDSVESLKSRGFIS >OB03G45850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27939481:27944232:-1 gene:OB03G45850 transcript:OB03G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPALQAAPSSNPRTVEDIFKDYSARRGALVRALPSDVDEFFGLCDPDKENLCLYGLPNGNWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALIDRKHVRDRSGVDSSGKSKHSTKRTSEGQVKRSRVVAEEYEEDEEHNETFCGTCGGLYNASEFWIGCDICERWFHGKCVRITPAKAEHIKRYKCPDCSSSSSKKTRL >OB03G45860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27947322:27947648:-1 gene:OB03G45860 transcript:OB03G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPFVNMLFGFFFSKKAKNMNLFQLDALIQSPQPTGSRRILASMSTGRALVFLVRSLPPGWSDDGGSGGDDLRALFRHSSGATSRKLFGDLTLRDMVPRALVPCFS >OB03G45870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27952580:27956712:1 gene:OB03G45870 transcript:OB03G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVASPERSGTSSSSVLNSGDAGVVEGGFRFDFLGSSPDEDECSGKAAPVASGVVTRQLLPPPPLLPAPAPAPLTTAWQPRRPAEDAGLAQRAVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGLDADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPTAYNVDTLPDAGNEVIVDSDVLDLDLRISQPNVSDSKRVATITGLQLTCDSPQSSNTMVHQPMGSSPQWTVHHQSTSLPSQHQRLYPSACLGFVPNLQERPVERRPELGPLPFPTQGWQMQGPSHLPLLHAAASSGFSAGAGATALRRQPPFPAHPFYFPPTA >OB03G45880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27959293:27959679:-1 gene:OB03G45880 transcript:OB03G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRYLWFLVNCKKTVSNSLSSGFLNFINLTYSFVCACIYIYIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARAPRRPLSRCPSRI >OB03G45890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27960720:27962144:-1 gene:OB03G45890 transcript:OB03G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRVRNTYQGAKMEKRQEIQRSGENCKTYGGNGGSTEAATSTGRSGTMCFRRRAGRHSLPAVALAFRTGDLRLEFAYLTT >OB03G45900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27964192:27964452:-1 gene:OB03G45900 transcript:OB03G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVSSSLQICVDRVGFCSLTAGGVPPMFIIDGGWQSVDTDARGSGPHMSVSEGRGIVGNKKIHWPVRGSSFFGIQYDMFKIWQEL >OB03G45910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27969163:27973221:1 gene:OB03G45910 transcript:OB03G45910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT5G13520) TAIR;Acc:AT5G13520] MAPVDPHSFTDGDHPVTAKAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALTVHSASTTSDPPAPVPFSLADAADPVLGSALTLSLPPDTTSFLLTFSTSPSASALQWLSPPQTASSQPFVFSQCQSIHARSVFPCHDTPAARITFSLLLNVPTQLSAVAAARHVSRRDPLPSDHRGACDDALWCAPGRIVEEFEMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVHGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPSMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYIATFKFKSIDTETFLEFLKTNVPGIENQIDLQLWVKGTGIPPDAMEPDSAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKMLAHRIFSEAHEFYHPIARSVAESILSKHG >OB03G45920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27974311:27975073:1 gene:OB03G45920 transcript:OB03G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMGGGNNNHGSSNADKGFPMYPGGYPNPSGYPGYPGAYPPTQGYPGGPSGQYPSSGGYPSAPPRQYLSTVDYSSALPQYPPSGGYPGGQYPPSGYPPSQGGGYPPGAYPPSGYPHQPGYPPAGYPGHGHGPPMQAPPQGKSSLESPTCGGMLGGGHGAGAGGFGALLAGGAAMAAAALGAHGARPGGGHGMYGGHRGKFKQGKFKHGKFKHGKNKKMFGRKWK >OB03G45930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27976059:27978785:-1 gene:OB03G45930 transcript:OB03G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNAEGRRRLDPSDDTDGGVDMINTDGGADLISRLCDDVLLRILRLLPNAEDVVRTGALSRRWRHLWRRAPVLHFRDRAGGDSGRFVSFVDGVLARRDDAVIEHLAISSSVFSDVVDFLFDDTATAAVNGWIRYGMQRVAKSFALTLQPATMAMAILDVLAVLPGSARLETVSLDMGRAYLFGLPSAAAPLFGSLTDLALANVHVGDVGGDLLRRLFSPACCPRLKKLRLHRISGAPEKLVVDSGELLELSMSWIVREEQEHQLPQLEIKIETPRLRVLRINHIYSISKLMISAPRTEELKLTFLSMFAYEVDAGEMPCVRELNDVVLHLLRLPQEPSLIVHEHPSSIFRCCKFLRRLQLRLHLYEGKEYNEDTIVDMMTDIPQLQHLTYLSVQFSGPIECGLVAITAHLLSQCKFLKHLELDIRRCRPGGPEIPLSESENGHGIILLENLQEISITNIHLRDYEVRLLKFLHASSPALEKMKVTFLYVDCEKLESLGMKTCEEFLLSIANPKEGKCVLCNSSRWMSSYEWMPKQWYLFKNGKNALSSQCLRDVIFFYN >OB03G45940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27981362:27983057:-1 gene:OB03G45940 transcript:OB03G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMKSLSCSSPASTAICPSLERQPMGRAQEASAIAASPLCQVPSEPRTLRQDCRRGQHQHKAVASGVELVSPAGSSRYLLSSRAAAGEEIQEVEASAAPAVDAKVVGEVQAGDVKNTQTQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQFWAATTPAMAA >OB03G45950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27982453:27983063:1 gene:OB03G45950 transcript:OB03G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLLRLCVLHITGLHFPDDLGINGRRSRGLHLLDLLAGGGAAAQQVPGRAGGADELNATGDGLVLVLASPAVLPESSWLTGDLAERASSNGLVLLRPAHRLPLQARAYRGGRRRRARKALHVLEEQRSHAA >OB03G45960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27986475:27987593:-1 gene:OB03G45960 transcript:OB03G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:J3LU70] MAAVSEVEVDGVVFPPVARPPGSAGRTHFLAGAGVRGMEIAGNFIKFTAIGVYLEDAAVPALGKKWAGKSADELAVDGAFFRDVVTGDFEKFTRVTMILPLTGEQYSEKVTENCVKAWKAAGVYTDAEGAAADKFKDAFKPHSFPPGASILFTHSPAGVLTVAFSKDSSVPEDGAAAAAIENRALCEAVLDSIIGEHGVSPAAKRSIASRVTELLKADATGDVPAAAAAAAETAPVSA >OB03G45970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27990033:27995557:-1 gene:OB03G45970 transcript:OB03G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPSPAPEMTLATSSDADSILGAPPALRRLAPDPAYKTCPGLSLVGNQRRIHGVPDFRISTTSSTKSDYICSDYREFKHKNSSGKSTRGFAGEQMKNGMESCHLEPSVMADLMDFDATMLKTSFSWSKRSKFSNNRKMLHGSSATSSYESSCKPTFHLSKQNTNAEKPPALKSSGQMRKFSHQLVRSAGRSYSMSMGSKSANYSLSEKMSTLLQPRHGSSHQNGKFVGALKRRHNISQSGGAINKLGKDEVHKQPPSSVQGHWQTLLDNALVRQSKLYRSEPRIEESTEQSWSSTNSESEKAVCFSSSGSIDDLQASVSTDTSDSSDHSMSSLCVSVNERWKMTFKKVNCTPAAILGYMSVTESIKEIEQPSPVSVLEIPAEDCSSTESIKLDLHSGLGLVICPSVESADGVGDIGTSDYALGLDAGPKDEAVQLVEDIFEVFGDEEEREFSYLLDILIVSGIHGTMEDQLYKVCQSLDCPAGYDVFEKLEKKYMKVVEWSRSDRKLIFDMVNNILSEILAPCLDMHPWVKSARKLAPVWGSEGLLEKILQMLVRRREEIGLSKTKPEKKALDRKWPDLSDYIDKVGRDVENMIKDDLLEELLIDLF >OB03G45980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:27998314:28001194:1 gene:OB03G45980 transcript:OB03G45980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDTKTEDRTIKIKSEGEAEAAAAQKSACCGS >OB03G45990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28001589:28001879:1 gene:OB03G45990 transcript:OB03G45990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKTRRNKSYIFYTSLIKSCFVLYIDPIHLLYVKNNFKTTNLQSENQQKKNEKREMQDHMVRNCRTPLEAIHQPMLVEAQPKVVVSGGSVQVQDD >OB03G46000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28005320:28012520:1 gene:OB03G46000 transcript:OB03G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:J3LU74] MWITILNFRFIPRPKGGGGAGQVSITSERQRRARRRKPWPPPPASFAEPKTKYDRQLRIWGDQGQDALEKASICLLTCGPTGTEAMKNLVLGGVGSVTVVDGSKVEPSDLGNNFLLDVGCLGQSRAKSVCSFLQELNDAVNAKFVEESPLDLIDTNPSFFSQFTVVIATQLPESSLLKLDSICRKANIVLVAARSYGLTGLVRISVKEHNVIESKPDHFLDDLRLHDPWTELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKREFKDLIREHMLNLDEENYKEAVESSYKVSVTPGISDDIRKIIDDSSAEVNSSSSDFWVLVAALKEFIANEGNGEPPLEGTIPDMTSLTEYYVSLQRIYQAKAESDCLALEHHVRDILKRIDRDPDSISRAYIKAFCRNARKLKVCRYRSLEEEFSSPFLSEAQKYFTDEDYCFAINFYVLLRAVDRLTANYSRFPGLFDSEIDEDVPRLKTAAVSVMREMGLNGAPLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKLVTKQFVPLLGTFIFNGIDHKSQVLVL >OB03G46010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28019830:28020330:1 gene:OB03G46010 transcript:OB03G46010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPRQHDDEVSLALALSTDSSSDSSAAPGRKRKARRRSHVVPTSGEGEFVCKTCGRAFPSFQALGGHRTSHLRGRTNGLELGAVGDRAIRQHRAEKEHREKHECHICGLGFEMGQALGGHMRRHREEMAAGGIGSSADDWVWRCDARPEEVAAETPVLLELFA >OB03G46020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28024282:28024691:1 gene:OB03G46020 transcript:OB03G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDGGVSLSLSLSLGAAAAAAAEAAKKXXXXXXXXXXXXXXXRAFPSFQALGGHRTSHLRGRHGLALCLAAATVKEAPKKAEEKPAAATHECHICGQGFEMGQALGGHMRRHRDEAAAVQAPPVLLELFV >OB03G46030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28027525:28028118:1 gene:OB03G46030 transcript:OB03G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNFNMNSAVVNSEWSCSTELGVNSAIICSLFQNSELDLMEHICMRANVQANAASGVTVSEECKARFQELRLGRAHRFVVFKIDDAAQQVVVDKVGPRDAGFDELTASLPADDCRYAVYDHDFTVSESTAAGEGGEAARSKIFFVSWSPATADVRSKMVYASSNEGFKKELDGVQIDVQATEPSELTLDVLKDHTN >OB03G46040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28029571:28032190:1 gene:OB03G46040 transcript:OB03G46040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSGVAVHDDCKLKFNELQSKRMHRFITFVMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEEVPKSRIFYILWSPDNAKVRSKMLYASSNEKFKKELNGIQLELQATDASEISLDALKDRVK >OB03G46050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28032919:28035707:-1 gene:OB03G46050 transcript:OB03G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPSTLPASPSLKWSRSISVTMSPSRSRSNSSSRSRSKSKSRSRSRSRSRSRSPRRERLRSERVSRRSRSRSRSPIRRREHRGPRDLICKNCRRPGHFARECPSAATCNNCNLPGHFAAECTSETVCWNCKKPGHIATECKNDAICHSCNKTGHLARDCPSSGSNAKLCNNCFKPGHIAVDCTNERACNNCRQPGHIARECKNEPVCNLCNVSGHLARNCRKTTISSEIQAGPFREIVCRLCHQPGHISRNCMATIICDTCGGRGHMSFECPSARMFDRGLRRF >OB03G46060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28037696:28042029:-1 gene:OB03G46060 transcript:OB03G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G46450) TAIR;Acc:AT3G46450] MDSSSKSFQSSSKPVSDRKYQGALVASPTKIISPKTVKHIVPAKHLILSGETTSHLASFLKLSTPLLFLSVTTTLCDRSSKRNDELGSNTEAPDRPSESDETASTSGTRDVADGTKDTVPENWLILLLRELEKQDITLPERFSEDELRRFYVAANGDFSSLLSSVKKTIRWRETFHILTLQDLEKWSHLVFWHGFDTMHRPCLVVRLGLACSSLPPSDRPRFGQAVVSQIDNGIVHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKRTLAEFLQIVPTILGGKCSCSHCKKPLDISVMQAGEGSKSQPREITIENGSPVASMDFDEVELPSTYNCENVIRAAIISVLMLWVFIAFVAGMNDPESVSSHAF >OB03G46070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28047095:28048168:1 gene:OB03G46070 transcript:OB03G46070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYKVISGPGDKPMIVVQYKGEEKQFSAEEISSIVLIKMREIAEAYLGSTLKNVVVTVPAYFNDSQRQATKDAGVIVGLNVMRIINEPTAAAIAYGLDKKVTNVGEKNVLIFDLGGTFDVSLLTIEEGIFEVKATTGDTHLGGEDFDNCLVNHFVRVFKRKHKKDISGNPMALRNLRTSCERAKRTLSSTAQTTIEIDSLFEGIDLYSTITRARFEELNMDLFRKCMEPMEKCLRDAKMDRSTIHDVVLVGGSTRIPRVQQLLQDFFGGKELCKSINLMKLWHTVLLSRLLSIAERGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPKNTTIPTKKERVFSTYSDKPAWCADPGL >OB03G46080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28048482:28048796:1 gene:OB03G46080 transcript:OB03G46080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTIQDEKIASKLSADDKKKIEDTVDQAIQWLDNNQLADVEEFEDKMKELEGLCNPIIAKMYQGTGVDMAGGMDDEATSNNRDSILSLSYLKDNFFNGLALSK >OB03G46090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28053518:28053736:1 gene:OB03G46090 transcript:OB03G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNPNIYNASTPLRHKKIKERKGWQALPPPFIPSPFHSLSPPSLSFLLSLLLSFSSSSILYSSLLFRRSRE >OB03G46100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28057251:28057835:1 gene:OB03G46100 transcript:OB03G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPQTQLPFLAALHHHPLGGGDHYSTGASRLGFPGLSSLDPVDYQLGGGGAAAAIGLEQWRLPQIQQFPFLSRTDGMQPPMSGIYPFDAEGAADAAGFAGQLLASSKVPGSSGLITQLASVKMEDNNASSAAMNTSPREFLGLPGNLQFWGGGNGAGGNGGSASGGSGAGVAPGGGGWADLSGFNSSSSGNIL >OB03G46110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28076275:28079961:-1 gene:OB03G46110 transcript:OB03G46110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCGGGAGGRGVRHVAPAALPSDPAYDEGLGHSFCYVRPDKFIVPFSADDLVADAKAAAAAEGEATTFRAISGAALSANVSTPLSTSVLLLMPEESSAPATASSGFESSELFAAVPLQPVPRFPSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGAATSGRMGGAVPALRRSLSHGVRRLRNFTRALLARAGKFQDSTDLGSPDAAAAVAACGDDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWDQREQNEQQDQRPDQPGSAPSTTASDNQDQWGRRCRTRRSRPPRGAADDQRRGGGGGGEWEQERDCSSLKPPTQQRLRSNSENDHIAVLKALTRALHRTEAAYLDIADKMVGEFPELALMGSCVLAMLMKGEDIYIMNVGDSRAVLATMDSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEIRRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >OB03G46120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28082472:28082696:-1 gene:OB03G46120 transcript:OB03G46120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITYSNKKGEFSMHGIKTFFSIAPVLNTLWFRTITSLLIGINRLFSDALSFFYSSCCYARNRIICDIYIQWICQ >OB03G46130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28092667:28093118:-1 gene:OB03G46130 transcript:OB03G46130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERALHVKDTATDAPVATLPPALLLLLPQPCCSSAEAAKHLPALLGGLSELLAPLDQRAALDGVLLRHLDGAPDPEQQHLQPLQLLVRGRRRAQLPQHGGECPVSDLPDHRGGDGAHSLFCHLPPSRKLI >OB03G46140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28092691:28095049:1 gene:OB03G46140 transcript:OB03G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVGSVASAVVGQITDRTLSTVLRKLRTPATTHEKLQRLEMLLLRIRSAIEVSEKHAIESSSLIQWRQKLREAAEEGGQVLRGFQQRARDDEAARNAAAAAATAAEEQQGCGNSSSSAGGSVATGASVAVSFTWSALSGMARRMHGATRALFYSDEDMEKLNSSLERLEKLSPDIQEFVILLHLEVSPKLEQRPAKRMKQTGLEFKCLRKAGSAIRSTLTLPRQRSACRTKKEADASDSVVAQMMDISLAENGDALVKEKWELTGMLRQVLAGMGRAAKMVDERNLEDLVWMARWAAVLREAERRGNVVVGNVFSGSDVDGRAGRVTADDLYLFARTVDWLAWGMPCFNFLVDEAARQHANDQQQQQEEKAGRSSSTSVSTTALSPTRKALSGMDSTVEALEKPAANIGKFIALLQLETSPELKRACESTRKVSHNAVVAPEVAGVSLAEPTESRQLLMQKRALVGEDNFHNAAVIAEVDGALLAEATESREIQELEKRLREAMLSPSPSKRRDIKSMKWLAHGADVLAEARQQGFKVLETLVLKDILKCDIETDQLRSFVHTMGSLAEMRNISTG >OB03G46150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28096381:28098204:-1 gene:OB03G46150 transcript:OB03G46150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSPVPLPPAPRHPPSAARAAEQHCLRLLALSSTLASLLQSVAFLLKSGLHSSTLVLTRLFASSASAAPALLEPLVAALLRPSVPLDAFLVNTLIRAHATSPIPSVRLRAASFFPLMLRAAVVPNKFTFPFLLKACAALPGSPAVGLQAHAAALKFGFAADQYASNTLIHMYSCFGAGFLGDARNVFDRMPRESAVTWSAMIGGYVRGGLSTDAIELFREMQANGVRADEVTVIGVLAAATDLGALELARWVRGFVEREGIEKSVTLCNALIDTLAKCGDVDGAVAVFEGMERRSVVSWTSVIDALAMEGRGKEAVQVFEEMKVAGVRPDDVAFIGVLTACSHAGMVDEGCDYFDAMKTEYGIEPKIEHYGCMVDMFGRVGMVERAMEFVRTMPMQPNPIIWRSLVSACRAHGRLELGERITRSLLNEYPAHEANYVMLSNVFALTQRWKEKSEIRREMSKKGIKKVPGCSVVELDGEIHEFIAGDESHPQYKEIYRMVEEMARELRRIGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLKTPPGAQVRVVKNLRICSDCHAAIKCISQVYHREILVRDRSRFHRFKDGSCSCKDFW >OB03G46160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28102456:28107146:1 gene:OB03G46160 transcript:OB03G46160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEGMGNCWGWGARIKDGSPHPGASGMFSRSGAKDGSRLSGCSSRASSASMPPMGKTECEILQSANVKIFSFTDLRVATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNQESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTPKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSHVGAQTVATLALECLSYETKMRPSMDAVVTILEELQESSNADRKPAAQKNQESTGTGKKGPTASAGKNSSKPRRKSLGETREKIGPNPKPLIRSH >OB03G46170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28108044:28110634:-1 gene:OB03G46170 transcript:OB03G46170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMTVVAALLALALALAVSPAAARIPGAYGGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKCVNQPGWKWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGGSTGWMPMSRNWGQNWQANSILDGQSLSFQPAAPPPPGTPPPPAGSSARPSRARTSASETELHYTTTPSIFLL >OB03G46180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28108689:28109159:1 gene:OB03G46180 transcript:OB03G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNATSQKPKEERVQSPSRGMGHTALTRSNAVRQDGRTRRPLGFFQVGTVAVGGTGHSHRMEGTEGQMGHFHAGRPFVFGGTGRDHGAALTQQPNRVRTTQRKAKKVKQSVCACSLALRNSTVLRTGTAPTTRLTRWMFLWASPSLSLGILQNLC >OB03G46190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28122484:28125577:-1 gene:OB03G46190 transcript:OB03G46190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAPRPTVLVTGAGGRTGNIVYNKLQERSEQFVARGLVRTEESKQKVGGGVDVYIADIRDPTHLVPAFQGVDVLIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDAAKASGVKHIVLVGSMGGTNPNHPLNNLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGLRELIVGKDDELLQTDTKSIPRADVAEVCVQALQYEEAKFKAFDLAAKPEGTGTPAKDFKSLFSQVTARF >OB03G46200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28125490:28127532:1 gene:OB03G46200 transcript:OB03G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G13830) TAIR;Acc:AT5G13830] MSGAAGTADFFYREAQRLSYVARSAFKVCVGALLFLPPTLVRITSFVHVSREPHAVPGCLLPSQLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKKVKVPSAHCDSRVRTVCADVMALMKQQARAMSPQERGFSVVLSDMCPVVSGITTKDAAISCELGMRALSLAVGKMKAKDSDCNTILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSWLRPKATRSSSREIYLICEGLR >OB03G46210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28129058:28129483:1 gene:OB03G46210 transcript:OB03G46210.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQQQQQRFYSSTIYLALTLCLLHVVALLALFLRSSPFKSCLLSLLSFALGVLSMEVEMRHDEVEVATEEEELQGWETPRREECRIPVVPPCPGPPRKRPVALPELGKERREPPKGGYFQPPDLESLFKLAPPRRQASSCA >OB03G46220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28132493:28135708:1 gene:OB03G46220 transcript:OB03G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEGEVAAGPEVVLPIGAQKHDPAWKHCQMVRSAGRVKLKCVYCHKHFLGGGIHRFKEHLARRPGNASCCPKVPPEVQETMHHSLDVVAAKKKRKQSLAEGIRRMTHSAPPAAAPPVDATGAAEMESPIRMIPLNEVLDLGSVPLEETPPEAREMKGSTSKKRKKLAARHASAAPPAHQNPAPQTQPFHQMVMAFDAAASQLRHFDQSASNKEQVYMAIGRFLYDAGVSLEAVNSVYFQPMLEAVASAGGRPEAFSYHDFRGSILKKSLDEVTAQVEFYKGSWTRTGCTLLADEWTTDRGRTLINFSVYCPEGTMFLKSVDATDMVVSSDPLYELLKNVVEEVGEKNVVQVITNNSEIHAVAGKRLGETFPTLFWSPCSFQCIDGMLEDFSKVGAINEIICNAKAITGFIYNSAFALNLMKRHLHGKDLLVRAETRAAMNFVTLKNMYNLKESLEAMISSDEWIHYLLPKKPGGVEVTNLIGNLQFWSSCAAVVRITEPLVHLLKLVSSNKRPPMGYVYAGLYQAKAAIKKELVRKNDYMAYWDIIDWRWDKHAPRPLDLAGFFLNPLFFDGVRGDISNEIFSGMLDCIERLVSDVKIQDKIQKELNVYRSEAAGDFRRQMAIRARRTLPPAEWWYTYGGACPNLTRLAVRILSQTCSAKGRDRQHISFEQIHDQRMNFFERQRMHHLTFVQYNLRLQHRQQHKAKAFDPVSVDNIDIVEDWVLDRSTLMSGQAEQSNWTEINQPVNNITSMGPSDDDEFESFIEGVDNEMIQAASRGTQEEDD >OB03G46230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28136530:28139792:1 gene:OB03G46230 transcript:OB03G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDGTTKPRALSEEDKKWFMEAMQANTIDVVKRMKEITQVMKTPDDVLQSQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEIVSTIVQNNPKSQQLVMESNGLEPLLTNFSSDPSTNSRTKALGAISSLIRHNQPGIAAFRLGNGYAALKDALGSDDARLQRKALHLIQYLLHDNKVDRNAATELGLPKLMMHLASSDDSGVREAALGGLLELAQDKTSGAGSTLPDQDKLKDVLKSRIEGISLMDADDLHAHREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVVGDMFEPPLRAWAASRPPPKEDSESGSAKKDPPLLLGPGPSS >OB03G46240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28140192:28141842:1 gene:OB03G46240 transcript:OB03G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59610) TAIR;Acc:AT5G59610] MALQLQAATPHPPLPLRASSGRFTPLPPPLVLRAVASSRPCYSRHLYYSPAPTHRRRRGSVSAGAGRGGRGESPYEVLGVSPSASPDEIKRAYRRLALKFHPDVNKEANAQEKFLRIKHAYNTLMNSESRSKYASSSTDSSRTYGKSSTTSAGEEEQFYGFADFLKDLQAEFQNWEASLNSEQKPKSLWEELAAIGEEFVEFLENELKVDDSSAAEGNDPYTQSGGSNKNKQADNTSTSSFDDSVSEIEATLEKLKKELGLG >OB03G46250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28143587:28147430:-1 gene:OB03G46250 transcript:OB03G46250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVLVAMLRRRQRQWLVELIGILLLLARGGAAADEFVFPGFSSDVVNTTGVAVVTSSGLLQLTNETKEVFGHGFYQAPVRLRNASTGAAVSFSTSFVFAILPKYPDAHGHGLAFALAPSVVVPGAVAGRYLGLFNTANSTGQIAAVEFDTAQDEELGDIDDNHVGVDVNSPKSKNSTAAGYHDAAAASEFTSLSLISSEPLQVWIEYDGDSERLEVTLSPAGVPRPATPLVSCTVNLSSHVTNDTYVGFSAANGAAASSHYVLGWSFRLGGGRAQELDLSKLPRIPSPSNSEKKTPPLILLTLLLLSVVVLLLAAAVLAAIVARRRRFAEEEEEWEIEYGPHRISYKDLHGATKGFRDVIGAGGFGRVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGTNPQTTRVVGTLGYLAPELSKTGKATTSTDVFAFGAFLLEVACGRRPMDFRDDDDSPGLVELVLEHWKAGKITAARDPRIGECNEDDLELVLKLGLLCSHPDPQRRPSMRQVVQILEGAAPAPETLPEDLECSGSLFYSNSFDEFVTEFPSTSDITTATTHPPSSQSTDEQQWLVGSVELSNVKMSASKHLQQH >OB03G46260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28150999:28151256:-1 gene:OB03G46260 transcript:OB03G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNCYHDGIIMISKNPIILGGSRRAANIKRLTKCSKLNQPAYWSHNGRETSNAAFIQNRCRGNGNAVLRWGGYGSTINNCNTKD >OB03G46270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28151698:28156835:-1 gene:OB03G46270 transcript:OB03G46270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] MEVAVQVRTHAQGGELPSSGGAGDGAAGEGFSFLFPALGGLLFGYDIGATSGASISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSILAYRIADFLGRRIELVTAAALYISGALVTGFAPDFVVLIIGRLLYGLGIGLAMHGAPLYIAETSPSKIRGTLISLKELFIVLGILIGYLVGSFEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKGSVEDNKRKAIQALRSLRGHSRSDKVLTDEIEDTLVSIKAAYAEQESEGNIWRMFEGASLKALIIGGGLVLFQQITGQPSVLYYATYILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFILFGAISLLSLVFVILKVPETKGLTLEEIESKILK >OB03G46280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28158338:28159872:-1 gene:OB03G46280 transcript:OB03G46280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT5G45590) TAIR;Acc:AT5G45590] MIRRWCTAAGLGRRLLSSVSANAGRPPPPLLIPRPIPFSCSHHLPLSLPHPPLGRLNPTQMQVRHFAVKDRSRAPQTPTTSKVKKYKIKPPSSMKFRFRVMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >OB03G46290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28161776:28163453:-1 gene:OB03G46290 transcript:OB03G46290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSVLFLAFVLLAVFLAALIPFAESSSRHHHHPHLHGRGDGERGGGGGGGRVLAAKRGAAAWPCCDNCGGCTKSIPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPRPPPPTGAGHVPWLITSGFVCVFSFSCKAEEVVYLPWR >OB03G46300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28168054:28170241:-1 gene:OB03G46300 transcript:OB03G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLTKVLHEEMKFAAKNVNYWTSVTTLMPLVGGFLADGYLGRFSTVLFSTLIYLAVSSLASFLSLSLWVHVTFLIGWDFNGARRRRRTLLVFAGLDPPGDIAAVAEAEAGSQPAPPRDALLRGDLPGVDRHRWAQAGAGELRRRPVRRRPRGGAGAEDVLLQLVELRALRRRPARRHGHRLPPGEGRLGRRRRRARRRHGRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVPAAVMAASLVVFLAGWRHYRYRVPEGSPLTPLVRVVVAAARKWRLHLPDDANELYEVKPQNIKKRLLCHTDQLRFLDKAAIVEHGGGEEKRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFVLPPASTFAMAAIGMIIAVAVYDKVLEPYLRRLTGGERGISILKRIGVGIAFTIVAMAGAAQGGTRRLRSPSPASMSVFWLVPQFMLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDRITSHGGRAAGWFGKDLNSSRLDLFYWLLACIGVANLVFYVVVTARYSYKTVKAGRVVDDKAGDVECAAAGAGY >OB03G46310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28183075:28186036:-1 gene:OB03G46310 transcript:OB03G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGNGPPSQGTTINVSDSTVNTKRGCCSA >OB03G46320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28187468:28191579:-1 gene:OB03G46320 transcript:OB03G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVRDQLPAISYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLLQSFLGTRLPAVIGGSYTFVAPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPNLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLDGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIVAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLHRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >OB03G46330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28198158:28201342:-1 gene:OB03G46330 transcript:OB03G46330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT5G62570) TAIR;Acc:AT5G62570] MRKSTIEKFFVAIEPLIRRVVKEEIESAFANHATMMTRTVMDVVPSTSKNFQLQFMTKLSLPIFTGSKIEGESSLSITIALVDTVTREVVASGNESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIREREGKRALLSGDVFVALTEGIGTVGELSFTDNSSWTRSRKFRLGAKTEDGSHNGVRVREAKSESFVVKDHRGELYKKHHPPALDDEVWRLEKIGKEGAFHKRLNRERIVTVKDFLTLLHLDAPRLRKVLGGGMSTKMWEVTVEHSKTCILTDKVHVYYPDSLRKTAVVFNVVGEVRGLICEKFVSADDLTEKEKAEAHAYVKQAYENWKNVFTCDNETLISNPSHLFDVRPPSLLQDEYNQFPTQVSTDGFGLSQLSIPSSDIFSIDPSSALDPCQLETAESSENQYQPELPPLGGHGPPQVSQTIDKFPNSLVYEDCTSHPPFSEDYYRCADPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVLGWIFYTKRIVALKRMK >OB03G46340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28202105:28204195:-1 gene:OB03G46340 transcript:OB03G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRRQPRGPRRPRPRALLGGSSYLRRRNYSRGQLHRGAVPKSHVAVPLRPSPTLGH >OB03G46350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28204983:28209154:-1 gene:OB03G46350 transcript:OB03G46350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 2 [Source:Projected from Arabidopsis thaliana (AT1G74850) TAIR;Acc:AT1G74850] MQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPAESRTALSYTSLIAAYARNALHEEARELLDQMKAAGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFASAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATAEAVAVLRQMQADGCPPTAATYRVLLDLYGRQGRFDGVRELFGEMRTAVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSGVEPDIDTCENVMVACGRGGLHEDAREVLDYMTREEMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGNLPTIETYNALANAFAKGGLFQEAEAVFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWADAYDLLEEMKRNCASSTHQVIASMIKGEYDDDSNWQMVEYVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQALKYGLFPELFRDTKLVWSADVHRMSVGGSLVAVSVWLNKLYDRLKGDEDLPQLASVVVLRGQMEKSTITRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQSAIDSFDDSAISGFVPMTNSNLPSPGSKLYTRESQANNGAAHLTDDTLVEEKESELLAL >OB03G46360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28209804:28212429:1 gene:OB03G46360 transcript:OB03G46360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13440) TAIR;Acc:AT3G13440] MAGGDSAAVSAVEGRLSELSTNSGEKGLPRRGKSSSGRTLNTAQIQLVASHPEVYEPCDDSFTLVDALLSDKAQLLTLKPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYLATDINKHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIECNGIASSWAGGLNGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDAASGTNASHAARSPRSESSWLSQLPFRSLWHKNA >OB03G46370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28215428:28222043:1 gene:OB03G46370 transcript:OB03G46370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G21440) TAIR;Acc:AT2G21440] MASEVFRLAGEIGTVISVNYPLPKEEMELHGLERDGCTTDTAAVLFASVKSAWDSVVHLHRKEVKGIAVWARQLGGEGSKIRKWRVIVRNLPFKITVKEILDMFSLAGFVWDVSIPQKSDDGTSKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAKSSAKDDELANVSDIGESEDNLVGEDDSYELDQETSSHPAADDFKTEMDISRKVLEDLIKSSEKAVPSGNEGSDIDTDTETEQDTSEKKQKQAHLPASVPAADKLKNSKRVAEEKNTLPASKFNKQDAALDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTVEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESANKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMNINDVKKLCREAVISRARKQNPTIRKVNILKNEKKSNSNAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIWKERRDKLREAAQDKVKPLGDHPATDGPQANDTRAFNKGNKRKSHDRSSKLSYSCEGTAGDGGSGTVESMVEDKRKAQRPAKRARKSHKGTTVLDGDRQGATPTADGNQSQSNKLNQADTPRTRKNRKSSHWEQRRGKSPKTKKEPSGEGGVDKSLVEQYRSKFLQGLNKTKG >OB03G46380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28223035:28223667:-1 gene:OB03G46380 transcript:OB03G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPSPCGWVWIGARITWLRPRPAKTPPTNSSIPSLGRRVFIAPVGDVPDGLASLCCTICLEACLITINEHHAEDQLEVIKFCIPRPTSSQTRVITLYVTLTN >OB03G46390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28224441:28228378:1 gene:OB03G46390 transcript:OB03G46390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20575) TAIR;Acc:AT1G20575] MADAGDRREYSIIVPTYNERINVALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGENRVLLRARPRKLGLGTAYLHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKHYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLIYLLLTT >OB03G46400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28226286:28228717:-1 gene:OB03G46400 transcript:OB03G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARRSGPVRLLYRGIPARERRRKRRGAAEMAVADVAFKALTAGLGVATLYLAATFSVNVYRGLSWHSEQSKLEKEKRED >OB03G46410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28230100:28231863:1 gene:OB03G46410 transcript:OB03G46410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLSRGVWPCAKSRAQRSHDTTTFAGDRERRGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPRGFAVTVFHTHFNAPDAARHPEYRFVPVPDGASGPRPVSVEDVVQHILALNGACEAAFRDRLAAVLDEYSRDAVTCLVADAHLLRMVEVASRLAVPTLVLRTGSAACFAGFVAYPLLCDKGYLPVQGMPLIQSICLLFDLMHGSKI >OB03G46420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28231912:28242652:1 gene:OB03G46420 transcript:OB03G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSELPPYRVRDLMHVGRDGHELMCELLARAVAAVKLSSGLILNTFDAIEAPELAKLRRDLAVPVFDIGPLHKFSPAAGGSLLRQDRSCLEWLDAQAPESVLYVSFGSLACMGARELEETAWGIAGSGVPFLWVEEALRHRAVGGFWTHCGWNSMAESLGEGVPMLCRPSFGDQMGNARYVEHVWEVGFEVGDGGVELERGAVEAAIRRLMAESDGAEMRARARELKKAAAECTGEAGSSELAIVKMVAHMLSM >OB03G46430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28255293:28259889:1 gene:OB03G46430 transcript:OB03G46430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPMLENGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSTKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHVSSSDGTELIRLASRCLQYEARDRPNLKSVVSALGNLQKDASTPSHALLGIQHDKENTEQISLSATGKVYARADLDEVHEMLENDGYCEDERATFEVSFHSWTGQVSDSILVKKHGDNAFQSKDFATAVECYSRFIDTGAMVSPTMLARRSFAYMVLGKLQEGLSDAKKAADISPEWPTAHYLQGMAYLGMGMEPEGHEELKQGATLEAERNARTRTV >OB03G46440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28260605:28262994:-1 gene:OB03G46440 transcript:OB03G46440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSFWRRAASRDISIPAARIARSPALAFGGKPPRSLPLFRRPVCLRFEVRVCLGCRACSPGGARATMAAKAAAKAAAKAGPTSLEKEQMFGMAEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQVTNLVGQMLGNRPQI >OB03G46450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28263245:28265284:1 gene:OB03G46450 transcript:OB03G46450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 13 [Source:Projected from Arabidopsis thaliana (AT3G09210) TAIR;Acc:AT3G09210] MSLAYPLLRLPCRCSLAAPPPPLRASAAPTISLSMSTSVSVEGGEGELTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLDLLAEHGQQWWLVRVSMAPGTDYVELLTKAISRRYPELSFKIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPAEEVESIIKEEKEEQERVDREFEEMENGGNVESFNKPVEDSELMLMNKIKRQFKKSTSKSSTNHSAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAVDT >OB03G46460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28267381:28268589:-1 gene:OB03G46460 transcript:OB03G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRVAMGRVAMGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQARAATRAFAGHLLQLMRAAGLDLAAATRSLTKIPVSSPQLAKHALEAHVTRVLLGGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGLLPTCPFGRYAASKFASLLPPRVEQAALGDGEHRRAVDGGAHPRTPFYGEFLRAAKAVWMLHLLAFALETPPSHFEAGRGAEFHPDYMESVASSRGAGAGMVVGFPVAPGFRLGNGAVVRARVYLVPRGGRP >OB03G46470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28274318:28278706:1 gene:OB03G46470 transcript:OB03G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in exine formation protein (DEX1) [Source:Projected from Arabidopsis thaliana (AT3G09090) TAIR;Acc:AT3G09090] MMMDKLEVPRRKVRKDWYVGLNPDPVDRSHPDVHDSSIAKKAASEEAHLNIQDNPVANESSKEAQSRGTTDPTTQGAESMKDASKGESTENKPDSNQGQENIELLNNPNSTDAGNNSSVSTATENASHAQRRLLQADDKSDQRGNSETHASDAGTEKAATVENSEPLEADADASFNLFRDAEDLPDEYNYDYDDYVDDAMWGDEDWTEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVIAVSYYFDREYYDNPEHMKELGGIDIGKYIASSIVVFNLDSRQVKWTADLDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHHGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWEVHLKSLIPQRPTVGDVNGDGHTDVVVPTVSGKIYVLSGKDGSAIHPFPYRTYGRIMSPVLLLDMSKRDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLVVTTMNGNVFCFSTPSPHHPLKEWRSSSQGRNNAAYRYSREGIYVKHGSRTFRDEEGKHFWVEFEIIDKYRVPSGNQAPYNVTVTLLVPGNYQGERRIVVNAIYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSITFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >OB03G46480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28279149:28281640:-1 gene:OB03G46480 transcript:OB03G46480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTTSQGKEVSGISAYSPYHQRYQSCPPEVYRRQASSYSVPSSELSRSSVRSSGSFKAAAQSLAGVFSSCFVPRKSRADAEFEISQTTISQGSRSTGYHVSVDSGTGYPQESTELTVAEIFKATSNFSDKNMIRQGSHSSMYRGKLRDGSEIAIKCARKLNSQYVSPELRRELEILQKIDHKNLVRFLGFFEREDESLTVVEYVSNGSLREHLDESCGNGLELAQRLNVAIDVAHAITYLHEFKEQPIIHRNVRSSNVMLTDTLTAKLGGVGLARMAGGESSESEDTQGKSAAGYVDPEYLSTYELTDKSDVYSFGVLLVELVTGRPPIERRRDLDPRPTTKWALQRFRGGEVVVAMDPRIRRSPASVATVEKVMELAEQCVAAARKGRPSMRRCTEALWSIRREFHRRQDAPAAVAAAPSQDKSSDWVKVV >OB03G46490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28279505:28280068:1 gene:OB03G46490 transcript:OB03G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGVEVAAALDGRAAGDELDEEDAEGVDVALVGELVRAQVLRVHVPGGALPLRVLRLRALAAGHPREPDAAQLRRQRVCQHHVRRSDVPVDDRLLCKRWVSVCDSMSYVDRDVEPLRKF >OB03G46500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28280988:28281385:1 gene:OB03G46500 transcript:OB03G46500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCTSAPLRNRRLRNLEFCISSGLPGHEARTENSGQTLRRSLERAAAANRAPAQLRRWNAVRRSLTTVDLRRTRLVSLAIPWQEDRKNEDS >OB03G46510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28283844:28288767:-1 gene:OB03G46510 transcript:OB03G46510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDLPPEGSSQRTPKSLPGSRKSKRGDDASPVTPAGAGSSSTTTARRSRRLAGAPPDAPAAGSGTSYPSPSRTKTARRSKGLAGRTVGAVADNNCERGDDLGRFDVDAERGRGGAGELWKATSGSGSGSGHADKEMREAILRVRLERSVLAPDDAASSYRELGLDPDMASKLGLLRSAAAGGDLADVEQKQIPEVFLSVRRERSVLAPDDASSSYRELGLDPDMASKLGLLRSAAAGGDLADVEQKQMPEKQIPEVFLSVRRERSVLAPDDASSSYRELGLDPDMASKLGLLRSAAAGGDLADVEQKQMPEVFLRVRRERSVLAPDDAASSYRELGLVPDMASKLGLLRSAAAGGDLADVEQKQMPEVFLRVRRERSVLAPDDAASSYRELGLDPDMASKLGLLRSAAAGGDLADVEQKQMPEVFLRVRRERSVLAPDDAASSHRELGLDPDMDSQLGILRRAGAGGHLADVEQKQMPEVFLSVRRVRSIMFCFLFHCFSGLSIGDCSTNRICRNLGYCTSVLFWQSASRKGILIDPILCQASVPEWRNALPEKDIADYTTDGETSRKLGQVVCPLNMDSMSTSQLSATSSECKCKLPGSKACVGVHIKMATSLLKNQLGEHAFSNCGLEAMGGEQTLKLWTRTDQKKIGKITRVIPRNKRGNFMEIALKKFSSEKPKKDLVNYYYNVFLPRRLASLTRAENTNAKDVDTDGDSDEDHSEKKMKTLASSIRLISLGLHNDISVCNCKFPEKTSLIMSLWFLSGK >OB03G46520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28291241:28291723:1 gene:OB03G46520 transcript:OB03G46520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRVAASPPSLPPHAHRRPITSSLTTNIYSQSLGLRLRSYVVDRRSSAARASFSYHGVGGLRQQSGGAGVVLVHXXAATRGKPAPADEEKSLADFIFGAIFKKDQLVETDPLLNKVDGAPASGSTASRKTVSSKKPAAGADEEGGGGFSLGGLFAKKG >OB03G46530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28291517:28295427:-1 gene:OB03G46530 transcript:OB03G46530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MAVAGASPMSLDYRPEYDDIEEAKSPNTSVAAIAVKNADASVYKIIHGYLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGFAYCVASCSMILLNKVVLSSYNFNAGISLMLFQNLISVIILLVLELFGVISTEKLSWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQNKKVWAALCLMVISAVCGGITDLSFHPVGYMWQFFNCFLTAGYSLTLRRVMDIAKQSTKSGSLNEVSMVLLNNALSIPFALVLIVIFNEWQYVYEVEVIRDPMFWVFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPVFLLGYSLQRQKCPSVYF >OB03G46540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28297178:28299278:1 gene:OB03G46540 transcript:OB03G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G47120) TAIR;Acc:AT3G47120] MNPLTQVKRTQVINQKEALLGIGEDASWHAKFKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKARWEHDRHHEPPKSHKKFPSDAGEPRFPDRTKEESKSTGREGQSSRSEAYRDRDSRIRHSGRSSKDHDRHRHDRSPERSRGDRQRNNDRYAQGRDERSERYKPEMKHDGDQKRSRRDTDSSGHYERGIEESERYRKSRQ >OB03G46550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28299721:28304443:-1 gene:OB03G46550 transcript:OB03G46550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAKANHVTVMDHEMKSRKEQFAPDPMKIPRSIMEQWNPQIVEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCHQSVDKAYEDGKNQLEALLSRLHSVNVPTLTAGSVKLNVGQFGSALQKSSMSSEDYKKAVIQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKTKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDELTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGISFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >OB03G46560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28309296:28310152:-1 gene:OB03G46560 transcript:OB03G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESTMLIISDDQSSLVPLWTRSQRLIHLLDKPLPSRYIVRRVVIVCREHLAIEVSLLNHRVIRKLSPLGVQLELEAILVELDEVLEPAQALVEERGRDVLVVDAKGQAVLLEAIKDGFLGESMDEVLAGVEGEAMGGRGVDIEAIRLRGGGHGGEPPVEHGELVGEGGVHRNGVRLEPAHDVLRHAEAHPAGVLGEAGHGGRHGGGVGGAEDLLADVLEAVARVGVDVAGLGYGRSCDSPSPPPPPS >OB03G46570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28309097:28312440:1 gene:OB03G46570 transcript:OB03G46570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) TAIR;Acc:AT1G07230] MENRSFDHILGWLSRTRPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPDSVPVYAALADEFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPTFLISPWIEKRTVIHEPNGPQDTSQYEHSSIPATVKKLFNLHTNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRAAVSSDLSSEL >OB03G46580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28313044:28317110:-1 gene:OB03G46580 transcript:OB03G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01470) TAIR;Acc:AT5G01470] MDGWSGGREENGDVALRETETRIVWRWSSCKKSQQASSSRHPKLSNTAGPTAMETALFSAASLFHDPDDPDEMQVGAEAGAQAMDYVERVHEFPGMELSIREFSCHQLNANLLWPGTFSFADWLVKNKSILHGRRILELGSGTGALAIFLRKAFQVDITTSDYDDEEIEENIAYNCKANSLDVLPHIRHTWGDQFPVLIPDWDIVIASDILLYVKQYPNLVRTLSFILKEHKGSSQNTGNTAITNKSGTQVPVKFPMFLMSWRRRIGKDWCLFFEECKKAGLEVQHLGDLVYLIYTK >OB03G46590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28322621:28323995:-1 gene:OB03G46590 transcript:OB03G46590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSHTKYNLTLKLRDRKIDIYTLVKSKSTQYTEFLTSTFSPSISKSTAQIVRVIPHPSIPLRPSAPHLTPHAAYAASAASAPSPPSPPHRRPSTSCAASSPPLSIVAAPAVCAASASIHRPAASLHRRRRRRRPRFPDPPPPSSSSPWSPPPPSFDDLPPASSSLPSLPSSWPPSPTPFRRVTVSIVVAESLFLLRLLGAHCLV >OB03G46600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28323433:28323771:1 gene:OB03G46600 transcript:OB03G46600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTRRKGVGDGGHEEGRDGSDDEAGGRSSKEGGGGDHGDEEEGGGGSGNRGRRRRRRRWREAAGRWMEAEAAQTAGAATMERGGEEAAQEVEGRRCGGDGGDGAEAALAA >OB03G46610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28324793:28326267:-1 gene:OB03G46610 transcript:OB03G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDNKVRARRNKSLTREEVEAFWKQHGGEMTTSSPLGYSPAGAMAWSAPVGLSKAHASSSPRGGVPAIRVEGFFPDDDGGDLAAESPSKSRDWWTRSNWAFLNEPPQEEVTGKPQSYTPQFHVARIATGNA >OB03G46620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28341751:28344812:1 gene:OB03G46620 transcript:OB03G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MESGRHRIAAAGRRKKKTRPHEGKNIPPPGPPDSDPATQSSLIHPSIPFPFVFLPSSRPRRSRAIGERARERMKFGKDFRNHLEETLPAWRDKYLAYKSLKKLIKNLPPDPPDGAPPPAAGAVPAGDGDGVRVGVGDADVALGNWFARVLDMELQKLNDFYIEREEWYVIRLQVLKERIERVKAKKNGAFTSKSEFTEEMLEIRKAFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFFTTEPLTRLVRECEANLDLLFPIEAEVLESTSSAKLQPQNDDAANHDPASSIDVETSDVYRSTLAAMKAIQGLRKASSTYNPLSLARFFHGEDGEACSGAITSESSLLDSFSDSLIEDAENDDKEVQSREQNTDQNDAEVQPRDE >OB03G46630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28345092:28348746:-1 gene:OB03G46630 transcript:OB03G46630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALLCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPVYAVMSFLSLILPDNAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLNGRTLKPSWFLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYKDGNFSVKQSYLYITIIYTISYSMALYALAVFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGANGRPGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNTQRKYPSGSTAQTGRDVELSGISVVPSTSPVTSSVSSNQADQEETMTTPIKDKVDNHVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >OB03G46640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28361155:28368122:1 gene:OB03G46640 transcript:OB03G46640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (RH3) [Source:Projected from Arabidopsis thaliana (AT5G26742) TAIR;Acc:AT5G26742] MIKQLIEEDDGQSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLGTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLHAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVRSLERDVGCRFEFISPPAIEEVLESSAEHVIATLRGVHAESIQYFIPAAERLTEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTREQGYGRGFFSPRSVTGFLSDVSSAAADEVGKIYLIADERVQGAVFDLPEEIAKDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRSRRTRGGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSEKDDDWSGGRRSNRSSSFGGRSSSYGSRSSSSSFGGRSSSFGARDSSRSFGGACFNCGEAGHRASDCPNR >OB03G46650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28367536:28367736:-1 gene:OB03G46650 transcript:OB03G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQLWCSLACVESSSRLLEPDRAQEEQPNAAGKSVGSTVYLFGQSDARCPASPQLKQAPPKLLLL >OB03G46660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28376711:28376896:1 gene:OB03G46660 transcript:OB03G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVFFSFFSAFFSLHLCSSGRFDLTSLYVNKNQPCVYVRVTTHACACSFRQKPHLFYFFRN >OB03G46670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28383617:28385039:-1 gene:OB03G46670 transcript:OB03G46670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSDVVKSYYKNHVKAVVTRVNTVTGVAYKDEPTILAWELMNEPRCDADPGGGTVQAWVEEMAPYVKSVDGGRHLVTAGLEGFYGDGEHESRELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFLRNWTRSHIDATAAHLGKPLLVTEYGKFLWKDGAGCAADKTQRNYFLDVVLDAIYASAARGGPLVGGAFWQLLLDDDVVHGMDDLRDGYEIIFPEDTRAATIIGEHSEQLASLNGQDAEALRRRAAASSHRKTRLGSSPSGGGGGGGEKRRRRRRRRNSQPPSNALDSFHLFVEINQFIYFRKKIILL >OB03G46680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28392755:28394814:-1 gene:OB03G46680 transcript:OB03G46680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G48350) TAIR;Acc:AT1G48350] MLASPALAGVHALAVVVPGRLGIPLPSAYAAPSPSQGQGRRASLVVVAKAKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLVSASTMHKSLSKDMEYSAGPTVEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >OB03G46690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28395392:28396392:-1 gene:OB03G46690 transcript:OB03G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIALDPGCHFRPSDGGLITLFLRPKIAEEPFEERIVNNADVYSSDPVELVGQHTPAPGTQGNTGVWYFFCPPRYTSKHASSGGRRQRAVAGGGSEDEVPPPLDSLDFDLEALLSSPVDENLTRQFSTVTDEQCMRYLLDDEPLPCTAPTTMEVAGAGGGDNALIQTTRGACMDSEFIALLAAGHTVDELLGPADPIS >OB03G46700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28397351:28401445:-1 gene:OB03G46700 transcript:OB03G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQRRKRSFST >OB03G46710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28402018:28404361:-1 gene:OB03G46710 transcript:OB03G46710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLAALGYRCGAPAPRGYGGTTAPPEPTSYTIFHIVGDLVALLDALELPQVFLVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPAEKPLDYFRRAYGDDYYVCRFQEPGIEKEFTSFDLKRFFKLALIVQTTGSSAMSLKKMRASNKEITLPPWLSEEDISYLASVYAKTGFAGGINYYRCLNLNWELMAPWTGAKVLVPTKFIVGDGDLAYHLPGVKNYVHKGGLKKDVPMLEEVVVIKGAGHFIQQERAQEVSDHIYNYIKKFNPGVPTPTLSKL >OB03G46720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28406252:28409909:-1 gene:OB03G46720 transcript:OB03G46720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATIRHREVEANGISIHVAEAGGEGAPAVLFVHGFPELWYSWRHQMEHLAARGFRCLAPDLRGYGGTTAPPEIESYTAFHVVGDLVALLDALGLSKQVFVVGHDWGALIAWYMCLFRPDRVAALVNTSVAFMRHIFIRSGAGAVKATDYFLKAYGPTYYICRFQEPGVAEKELAPAHARQVMRKVLCNRFTVHGAGEPESEETPLPPWLTEADVHYFAAALERTGVTGGINYYRNMDRNWELAAPWADAKVQVPTRFVVGDGDLTYHYAGIQDYLHKGGFKADVPLLEDVVVIPGAGHFIQQERAEEVSDLIYNFLVKFTPQRN >OB03G46730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28407440:28407978:1 gene:OB03G46730 transcript:OB03G46730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVILKMNVHCGKCATRIRRAIKNMHGVEKVWASPETGLVIVTGTADALALRWRLWLKIRRSATVVSDGTPERIPPEGGMTHLAPAPAYAVPPYYTWGATPPMHGAPYVAAAPAGYLYCNQLAPVQYVPRGTPARFVDDDGESPHGCCSVQ >OB03G46740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28414170:28414826:-1 gene:OB03G46740 transcript:OB03G46740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSMSVFVVVLLLGVSAMAGLPAAHAARLPPGASPLVTACAMGPFPRLCVKDLGHRLLDIQTVVASASSKGAAIAGAPGQVDFKSLVAVAMEAATEAGAVASTVFEGKLPGFNNSVPDFKKCLNNCTVTMASAMKKIHGAAAAMKAGAKDVAKTLATRAINDVSLCTYSCRELSGDMEVMLEHSLVQFQKMMRIAVAFISKMKDAIPPPPQPLP >OB03G46750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28416683:28417015:-1 gene:OB03G46750 transcript:OB03G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPCITIGRVAEIVERGERTCCAAGVLYVLLGFVSSCLCQCAYSCSYRGKMRAEFGLLDEPCDDCCVHLFCEPCALCQEYRELKHHGFEPALGWHHQPAVPKPQRMARR >OB03G46760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28424602:28425903:-1 gene:OB03G46760 transcript:OB03G46760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGCCPFPLACCCRPEQDVQVPGEARRRSMQVDKPAAAPVSGVPVGSGASWSSGLFDCFDDFGLCCLTWWCPCVTFGRVAEMVDMGATSCGDSGAMYALLATVTGCQWIYLPVPRQDARPVRPRRRALRRLLRPLLLRAVRALPGVQGAHPPRLPPQPRVATQHGAPRRRHRPARRALHGTLATSQPS >OB03G46770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28431372:28431924:-1 gene:OB03G46770 transcript:OB03G46770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKPAAAPASWSSGLFDCFDLCTYRCLTWWCPCVTFGRVAEMVDMGSTSCGDSAARYALLATVTGGCQWIYTYSYRGKMRGRPRRGARGDCCVHFCCERCALCQEYRELAARGYHPSLGWQLNMERRAAATAPPAVHYMAG >OB03G46780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28436191:28437176:-1 gene:OB03G46780 transcript:OB03G46780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAGPVTGLPVGSAAWSSGLCDCFDDCGLCCLTCWCPCITFGRVAEMVDRGSSSCGSSGALYGLLCALTGCQWIYSCTYRGKMRAQHGLADGACGDCCVHFWCEQCALCQEYRELVARGYDPKLGWHLNVERGNAAAPAAQHMSR >OB03G46790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28441767:28442273:-1 gene:OB03G46790 transcript:OB03G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACAKTPHPDVCITVLSAIPECRAGGDNPQLLAEHAIRSAAAIGAAAGTFAHAELDIVKDTDTWQCLDECAEDIEEAVSHLDDSEGDVDIAAKFRDVRLFMDVADRDTWSCEESCRDAPPSPVKATMLDKNEAFERFLRITRSLIEQAIGPVDAPTPEPATTTDSSP >OB03G46800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28443889:28444437:-1 gene:OB03G46800 transcript:OB03G46800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRLVVVVVVAAAVTLSVRSAAATVTVEDACRHTKHEAYCVKVLSARPESKAAADMPALAELALSLAAESGAEAASFVRNLEKMPGGMPPECLEGCLAKFQDAVAELRRSKAALEERRDAVGAKVGVSEARADGDTCMEECQKVEGGAAPDIVDRIGELGKLCAVALALTDASMSKRP >OB03G46810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28444936:28449323:-1 gene:OB03G46810 transcript:OB03G46810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGDLQHEYSGNDCFQLKGHEAHTEVASLLEYLKICMFYSKKTFSAFLKFGGYNQEDVLIHKARARLMQPSFALVRDEKSKCFLLFIRGAISTKERLTAAAASEVPFHHIVLSEGQISNVVLGYAHCGMLAAARWIANLGMPHLHKAVQKFPDYQIKIIGHSMGAGIGAILTYILHEHHEFSSCACLAFAPPACMTWELAESGKEFITSLVNRNDVVPAFSKVSAENLRAEVMVSSKLDDAQDQTHLSLFATISKRVAFIKSHMLPVSYPTGKGTDPDSSISEPLLKHVAETTHPETNGLNRDCYQHRTDHNSIPEEDFSAVSIVTAEEKIVLACSDDATSINFVAGLGFAPQGDVNINGALDTEQEQSSLTGKEEQESLKQNGDGKHKQKEPQPTCSSRQFFPPGRIIHMVAMASPESNPGEGSSSNEIISIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLLKDDNVDTVTNDL >OB03G46820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28454700:28455703:1 gene:OB03G46820 transcript:OB03G46820.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMAVDGGGGKAQVVAEVCAAAAAFSACPHRRRSPRRPPFVDWYLVLAVAEAAPEDAVRRRYRQLALQLHPDKNTHAKAEVAFKIVSEAHACLTDKARRRAFDDERAASYCAACHDRFRHRAERRAAPATSASGAHGKHRGGTRRTPAATQALREVQNRLRDECRVIDSCLRANDAG >OB03G46830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28456372:28461752:-1 gene:OB03G46830 transcript:OB03G46830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) TAIR;Acc:AT3G16810] MAGGGGDVQSPRKRKRDGAGKPKAMAKGADDGKKRKRPHDADVGHGGGGSGEVKAKKQPVTAKEKRLAAKEMAESRKKKRKQNYNLEKELTVLWEKMRCHSVSNSERSKLVSEALRKMDGKYLEIAGSHVTARVLQTCVKWCSQAERDGIFDALQPELLTLSRKKYAVFLVKKLIKLATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQKATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLDTISNLGLQKSSVLQYMTTVIHPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSVTDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGIACLKHGSAKDRKKIIKSLKGHIMKLALSDCGCIFLISILSIVDDTKLVTKIVIQELTKHLKQLIFDKNGRRPLLQLLHPLCSRYLPPADMACLNYRVPSLSSKDEASESASEGIPENNVDVATNKEKDGSEGMQNVSESKKDPLQRRHELLIKSELAEALIQTCIENAGELLRTNFGKDVLHEVAVGGEDNVLEGVTDRIQSLHNAIASDAARPKKDTEHAFDNYHSSRLIRRLVLESPAFAAILWNKALEGKCKTWADGHSSKVVAAFLESPDSKVKDLAKSELQPLIDRGILKIPEHKAVEK >OB03G46840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28465613:28466356:1 gene:OB03G46840 transcript:OB03G46840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVEKGSESIDPGERTASGEPKACTDCHTTKTPLWRGGPSGPKVRSDPLLPLRFSICAFSPALSVADPSDLFFFSFDPVAVQRLRDPVPEEEAGGAGAGRRRGRRGAAGEEEVQEGEGGGGDRGPPHGRVRQGGGAEAAAADAAEETPRGGGESGHPPHGPLLRRHLRLSHHLPTRSNSKREKESKKPRRKGSFDMGAMEE >OB03G46850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28472129:28475839:1 gene:OB03G46850 transcript:OB03G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGATSSSSRSSVERFYLPPHSRRQQQQQQQQQQQQQRRLRSPTSPSLSPSPSPRSARHKAAAPPAPVAAGVLADGDSRVDSDDSSSTSSKPSVASTATATTVNDVNVAAVEEGGNLERFLTSTTPSVPFQYLPKTSLKMWRTGDSTNASPYFCLEDLWEFFREWSAFGAGVPLLLNGSDSVTQYYVPYLSAIQLYADPSRSASRTRRLGDESDGEYLDASSESSSETDVDRLKISSVEATHGMANGNLQTDDADGYTPASSPIFQYLERDPPFCREPLTEKVSILASRFPALKAFKSCDLLPSSWMSVAWYAFKCTFISSFSTSMLRLYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDSITPACPGFGGISRRANATGKLSLPAFGLASYKLRSSIWASDGTQGQRHTSLMEEAGNWLSCVQVEHPDFRFFVSRSGTWR >OB03G46860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28476990:28477220:1 gene:OB03G46860 transcript:OB03G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGGSAPPRDGDERTVPSSSSSPPWWSGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRLVRRW >OB03G46870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28477676:28480315:-1 gene:OB03G46870 transcript:OB03G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAVACSASPGTGAGGKEEGEGMTYKDAGVDIDTGAELVRRIARMAPGIGGFGGLFPFGDHFLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAKGEYDLSGFAVGVVKKDEVIDGKNIVEGDVLIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPTNDGMATTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTAAWEVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADRILEDTHEPNRAYRIGEVIRGQGVQYV >OB03G46880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28484655:28485155:-1 gene:OB03G46880 transcript:OB03G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQFTRNAANTHLKTPPQDSHARVEHRKDPAFPAQLESTAPDSPKQRPTGAKSPRSPLRIEPHWAVARRLAGKLPKQRVLSHIHTSDPTPPTAAVEDPRLRHIRAGEEDRWQRRWDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEAAAAESINVAA >OB03G46890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28485054:28489734:1 gene:OB03G46890 transcript:OB03G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLFSGSGSESPASRISENSRESDGGTPSTRPNSFLEDAPSMKESSEHSCSSSVIDDEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQHPLQNSNIHDELIGRSYVGSPLKMPGSPHMMPGSPHKMPGSPIDRFRMEALPPRFAKRTLPVAQNKLSPMKNLNHISSRNAADIMEAASRIIGPGVEDINPYRARDVGYTNAVRVYSQREIAIVQQRPPRMNEALKKRDGLTSYGLQSGKPLDGNLKSAGNNSTSRVSQSQSNGGALVGPKVNASSRSSPDSRATNVQGREGVNKITRKVATQRDPEHRMVERNGINQRKNNNQVGMAISSNVLVQNNRKQNAMVKHKVNSKPPTPNQQRSNTRPVNAAMSKVGTAGTHFENNTQSNRNAELQSTGHANRRQNSTAKTIPKPRRLPGGRTHSEKSHPRDKDIADRSQRRVRHNIVIDEQSPFSTNKKKISTDIVSFTFTSPVDKPLSGYRLPNHLVEKQFIKDVSSVPNLSNTSNAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPTKGVRIYAPSSVLEDSQSACETSSIASTDCDRESEQSYKDGKANFTQTNLVSKSGQSSQSVKYDNDVMDQMEIERLHLSPLSTWAASVSTETCSSTESWRSANGKYGGSSCCWSIRRTKICSSTEGATTSDSARFGKFLEADVFSEYSDTASSITVTTTDIPPSESSSSCRMDCRQEIDFIREILNFSPLNGHICSSLERFINSDILYPQLLEDLNGDIRLGVGISEGKALRMSRRLLFDSVNEILSVRCAYYFNAGYGSWFLGMAVLQKLTAEEIYAEMTDLKVSEEWMVDELVYKEMSSPLGSWVDFKMESYEAGIDITTELLGSLIDEMVADLLVSNVWPFDTLL >OB03G46900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28490762:28490914:1 gene:OB03G46900 transcript:OB03G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASNRSCIVSGLIILDSVYNCNFSVDAERDKLTTLVISHHHLPVLLNF >OB03G46910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28491478:28492383:1 gene:OB03G46910 transcript:OB03G46910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNIELDHKDMVHDSAFDYYGKRLATASSDSTVKVINIGGASTPSQLLATLSGHYGPVWRVAWAHPKFGSLLASCGYDGRVIVWKEGAAGQWSQAHVFDNHKSSVNSIAWAPYDLGLSLACGSSDGSISMMTMRPDGGWDSATIERAHPVGVMAVSWAPANALGSIVGSGELVQKLVSGGIDSVVRVWAFVSGSWKLEYALPSEMHTNCVRDVSWAPVLGLAKSTIASASEDGKVVIWTKGKEGDKWEGKVMHDFEAPAWRVSWSLTGNILSVAAGSDNITLWKEASDGQWEKVTKIES >OB03G46920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28494000:28496432:1 gene:OB03G46920 transcript:OB03G46920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05760) TAIR;Acc:AT3G05760] MSSNPVGVDNTFRRKFDKEEYLERARQREREEKEEARKGKDKGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGSFSEQDLDERIMKQQQEEEERKRQRKEKKKEKKKELAAQNEPEDIDPDVAAMMGFGGFGSSKK >OB03G46930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28498026:28499044:1 gene:OB03G46930 transcript:OB03G46930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGSRLVFSPDDEQLTDSYLQSYLVRSSLSDLPPVVSSFFHVADVYSAPPDELLAGLDPAPGTGDGDGRVWYLFSPVRVLGSRGARKARTVVGAGGGNECWHAEGGPRDIEKSGAGGKLQKFSYKIKTASGVVKPGWLMVLALGDQEHEGDGEFDERADPESWEWENYLSAVLNETCKQETLATAAAGQPPEETAVL >OB03G46940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28499394:28503468:-1 gene:OB03G46940 transcript:OB03G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRSSTAAAAAAAGGGRRPGGAGGADGWEDRWVKSEWKKEDNTAGEWNHTSGKWNGDADNKGIQTSEDYRFYAISAKFPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKDVACETDQLTHVYTLIIRPDAKYSILIDNTEKQSGSIYDDWDILPPKKKRDPEAKKPEDWDDKEYIPDPEHKKPEGYDDIPNEITDPDATKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKTIKNPNYKGKWKAPLIPNPDYKDDPYIYAFDSLNHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKRLEEESANSKIDDDDGNASDDEDDADNDKAELIAEQNKDSSDEKPQDIKVSADEKPESSKGDSYAEKKDEL >OB03G46950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28504212:28508067:-1 gene:OB03G46950 transcript:OB03G46950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding enhancer protein-related [Source:Projected from Arabidopsis thaliana (AT3G06610) TAIR;Acc:AT3G06610] MMRSAYSAAAAGEDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >OB03G46960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28509374:28517303:1 gene:OB03G46960 transcript:OB03G46960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G17090) TAIR;Acc:AT3G17090] MVGALLRLLSACGGHAAEGRDGLLWWRDLARCHAGELSVAVVQGNNVLEDQCRVESAPPLGTCLGVFDGHAGPDAARFACDHLLHNLREAASGPEGVTADAIRDAFLATEEGFLALVSRMLETQPDAAGHRHRRAVLGKKVGRTGQITAEQLSSEHNANEEGVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKRAQYNTEQIKPKFRLPEPFSRPILSADPSIISRCLQPSDCFIIFASDGLWEHLSNQQAAEIVHSHQRAGSARRLIKAALHEAARKREMRYSDLVKIDKKVTALVPD >OB03G46970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28517940:28524558:1 gene:OB03G46970 transcript:OB03G46970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G17030) TAIR;Acc:AT3G17030] MDPAAAAAADGGALLGFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRATRPPPPPPPADGGAFLEFVDYAISMLSSSSSSGEGDDSPGTGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRCYNYIGQHGVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEDEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLENFGSTERKQIILVDNDDAKIKFVLWGEQVLLANLFSVGSMLALDRPFISNCVHNNHEESQEICLEYGSATQVYLVPIAQQEEQVLLTPSQIRSQGPRPSCVPNDLMASQVTLPRDLHGSVDFSRYPFRVHVNDLHDKMVGISLFGTVASVCKASASGDFFYLEIEDATGVALMKLKFIGLWSLGRVGIGHMVYISGLTCNLSSKNILEVSWTEKEPGSLFVNLSLLPALLNSTCLHTLSLLSDLPHSTNRIHICRVRLDHIDVNSLKVLLFHNLCGCVVIDQSGGLQCSFCKCACHSGGCTHGFQLHLTIADDSEKVFAWCLGQTAVEFLQISPDEFMELPEDERAMYLYTLPNESFTVAIANTSNRIDEYIEDDTTLPVWEITRAQKCE >OB03G46980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28526275:28532057:1 gene:OB03G46980 transcript:OB03G46980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein [Source:Projected from Arabidopsis thaliana (AT3G06580) TAIR;Acc:AT3G06580] MAAAAAAGEVVPTFSSLEPVYGDGSQLEEARLRFAHLADKFQAVYAARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDMGEPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMEAKKAASSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEEPYTVEEIEKITGQSLTSVFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAENMLRKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFITNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >OB03G46990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28533857:28534054:1 gene:OB03G46990 transcript:OB03G46990.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGLGLDSAIPGQCIFNVSCNKKSMCGLPVTPSGPHFRFCSPNNSTVCIFMYYLTLVSRFFFRILV >OB03G47000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28539169:28543538:1 gene:OB03G47000 transcript:OB03G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVFPYFMLVALEAGGLVRGLLLLLLYPVLLLLGHDRAVKVMVMVSFAGLRKDGFRLGRAVMPKLFLEDVSAEVFEAAAAPGARRRRRVVCVSAMPREMVEPFLVEYLGVDAVVAPELRVYRGYYLGLTQADGEVLQRLDMEEVIGLKERHGGDGQLFVAGIAGLGSSFHQLFRNYCKEVYVATESARRRRRRPLHPRWYSKPLIFHDGRIAFRPTASATLAMFMWMPLAAPLAVLRTVIFLLLPFSLSVQILGALGMHCRSXXXXXXXXXXXXXXXXXXXXXXXXPPTSRLPRARAADRAAMQAHLSRRPGAHRGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALYSEVAMFHGTTAGGWKLLDPFFLLMNPSPAYVLQFLDPVAEAERGGGGPEVANEVQRRIAAALGYTCTALTRRDKYLVLAGNDGVVASKSS >OB03G47010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28544556:28550013:-1 gene:OB03G47010 transcript:OB03G47010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G49580) TAIR;Acc:AT5G49580] MARKGSQSKSAPNRAPTNRQNTTNIDVLNTPKSDVMDGDNQSSHVQGNYGQKTKGNKKNNRSNGISSSGKSDDRASKQQSVDTNYDISNSGENELSFSAPKVRRDGRKSSRRGCGKNSSIEQTPMPVFANKVLEKTRCIACMAASIFRASVMYIMEESKLLVERNRPAITTFMSIVQKGHDYVSGKIGYAYPICRAWMFNAGKLILLLLAVWFNCNIRGFDSLLRLGTNSFLVVLWCSTLSIFAMLGLKKMLILLVISAAVVAFVGLGLAVLVIAVVAVVILWLYGSFWTTSAIIILGGASFFLKHERFALLVTCLYSMYCAKSYVGWLGLLLSLNLSFISSDVLVQFLKNNVDDNKSTGSSRNSGQNSGRSGFFGEFQQSSADNTSQSGYAQPSDRGPGDPSTSGAEKELNSEDEVARLLNCTDHYSALGFHRYESIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGFFQQGFSPSEGVDEGPHGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGLLQKPDLPHAYVCAESNIFDVTEWFSCQGMRCPANTHKPSFHVNASLAKQSSGKGSTSAQRGGGVPNGANMDGVIDEEEFFEWLQNAMQSGMFEAFGAQNEPTSPGSGSNGKGSNSSNKKKKKGKKQW >OB03G47020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28552951:28556520:-1 gene:OB03G47020 transcript:OB03G47020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGMAPASGLRDAGGTSLGVDSLHDEMNDLKIRDEKEVEATIINGNGTETGHIIVTTIGGRGGQRKQTISYMAERVIGQGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQMMRLLDHPNVVSLKHCFFSTTEKDELFLNLVLEYVPETVHRVIRQYNKMNRCMPLIYVKLYVYQICRALAYIHRSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLTGQPLFPGESGLDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRIPPEAVDLVSRLLQYSPHLRCSALDALIHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVPVEVVKKLIPGHARNQCPFLGL >OB03G47030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28563852:28564815:1 gene:OB03G47030 transcript:OB03G47030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPDTKQLLHCIMSKPRMEGKVVIVTGGASGIGEAAARLFASCGATVVIADVQDELGRSVAAELGPDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGRHGVRVNCVSPGGVATPLSCGLMGITPEEVEAAAEPHNVLRGKVLKAADVAEAMLFLASDQAGFVSGHNLVVDGATTAVNNAVLQTIGL >OB03G47040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28567006:28570058:1 gene:OB03G47040 transcript:OB03G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT4G01880) TAIR;Acc:AT4G01880] MGRAPASRKPSPPPPPPPPPGRCHFWLPIKRRHCANSPLPASQYCGNHLPDCASDAGAPSRRRVPCPVDPSHTVLEENLEAHVSKCPLRKHAAALAAQPFYSKGINSGVGEGGGGVTSAAKRAAVHKLVEDELRALVEKIKSVHAAAAVAMRESYLVTDTCDSWMRNQVDRKVPYQEKHVKQQASIIGNMEAFGLLRKGGVEEAAEENAAENAPAVVEFGAGRGYLTQMLADCYGIKNVFLVERRSYKLKADRSLRQNEGITLKRLRIDIEDLNLQGIEALSGCQYLAIGKHLCGLATDMTMMCCLHERYNQAQYKDHGKNNLCGLALATCCHHLCQWSHYANKTFLQNLGITEENFHAMTWFSSWAVDGDHSSQDSSLDVEDLSPEDRYEKADKPGVEASGIDKLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLVAKCTS >OB03G47050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28570360:28577759:-1 gene:OB03G47050 transcript:OB03G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGAQSRHLYGGGLGEPDLVDRREKRLVGWDLNDWRWDSERFAATPVPAREASGLALNSSPSSSEEAGAAAVRNVNVRGDSDKRKRVVVIDDDDVEDDDLVVENGGGSLSLRIGGGAIAPGAGMDGGADEEDRNGKKIRVQGGSSSGPTCQVEGCTADLTAVRDYHRRHKVCEMHSKASTAVVGNIVQRFCQQCSRFHPLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVGGTALTEDKVSSYLLLGLLGVCANLNADNAEHLRGQELLSNLLRNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSEAANALPNTTVLEAAGPSNSKMPFVNSDQCGLASSSAVQVQSKSPTVATPDPPACKFKDFDLNDTCGDMESFEGGYEGSPTPAFKTADSPNCPLWIHQDTTQSPPQTSGTSDSTSIQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSYLHKLLNSSTGNFWESGLVFVMVRHQIAFMHNGQVMLDRPLAKSSHNYCKILCLKPIAAPLSTKVNFRVEGFNLVSASSRLICSFEGHCIFQEDTDNIVDDDEHDDIEYLNFSCSLPGSRGRGFLEVEDGGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFINELGWLLHRANVISKHDEVPLASFNIWRFRNLGIFAMEREWCAVTKVLLDFLFIGLVDMGSQSPEEVVLSENLVHTAVRRKSARMVRFLLGYKPNESLKATAATYLFRPDAQGPSKFTPLHIAAATDGAEDVLDALTDDPGLVGIHAWRNARDDAGFTPEDYARQRGNDAYLNMVEKKINKHLGKGHIVVGVPSGVHPVITDGVKPGGEVSLEIGAASRCNACSRQALMYRHSTATTFLYRPAMLTVMGIAVICVCVGILLHTCPKVYAAPTFRWELLERGAM >OB03G47060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28589988:28593884:1 gene:OB03G47060 transcript:OB03G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSVALTTFCILMLEIICSSGASLGCSYGSAQVKILSRSVLLNEQQNVYLFLKPFRSSRSCRARQLAGEFVNGVVVPSLRLNVSAVVVTADERQLGALHCTLESVQSTLAAAGLDRSVKVSPELSLPSLRAMARSHRGKKHWRRVVEFVRRSGSFVLVEMGTEGKGGLAVAEVIQHAVDDVAAAFDEDVGVVFRLKSSVVSQAGARRGKDDGARRVRAPLEAFQSPLAAAGLDRSVKVSPELSLPSLRAMARSHRGKKHWRRVVEFVRRSGSFVLVEMGTEGKGGLAVAEVIQHAVDDVAAAFDEDVGVVFRLKSSETLMTRLIGDAVRGKRLMGVLAEVSSPRRELAAARTTAHDVFAPVTNPTTMPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNPPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPTTPVTNPATTPAVTNPTTTPYPYPQQGGMPTTPIYQPPATMPGTVQPAAPTVAGQTWCVAKTGLMDAVLQNGLDYACGIGGADCSAIQPMGSCYNPNTMQAHASYAFNSYFQRNPSPASCDFGGAGMLVNTNPSSGTCMFQTSAGYGAGYSPGVSGTVPVGGAGVTPMGPAVGGVTPMGPACQLL >OB03G47070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28594557:28597245:-1 gene:OB03G47070 transcript:OB03G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cyclase family protein [Source:Projected from Arabidopsis thaliana (AT5G22100) TAIR;Acc:AT5G22100] MGRDKARRLTGSRHFRQRLVLAPLTSTAITIDDIRSGGAAPGLRPHEVSLLHLLHKISDHHSVDLNETGTKLRYKPGVIVGGRDLEHECGVHRGIGYFLEPLILLGLFARAPLSIRLKGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRVPNINSTLKAANWVDEGMVKRIRGVTFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLISADATVSYPNVDEMNEESESSELTSPEDLGVQVASMLLEEVAQGGVVDSTHQGLLFILCALSPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >OB03G47080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28600712:28600954:-1 gene:OB03G47080 transcript:OB03G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAELLDIFRIVWHLCRSHFQVAVRLKAALDLVAKCLDIKIKALKLLRLDVATAAAATTGADIQGPKQSAREAASHNDR >OB03G47090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28603654:28603932:-1 gene:OB03G47090 transcript:OB03G47090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSRDNACCKNSNRPSSSGKRESELARRSRKETSSPQQSREEAGQGWISGSKQLLLFPSTRRRSKLGEEEQQKGEREGEEVAGGHHTPGAE >OB03G47100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28603809:28607799:1 gene:OB03G47100 transcript:OB03G47100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASLLQVLLVFITMVATQWSSVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSNWPAIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFYNNTLNYTRPHLVELMYSTDVVISNLTFKNSPYWNIHPVYCSQVLVQHVTILAPLNSPNTAGINPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVTMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIKNLVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIQGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQEPRHRLWSATRLLNPLLKSATL >OB03G47110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28607933:28610687:-1 gene:OB03G47110 transcript:OB03G47110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFAGHQEAVQDRDHNFLSKAVEEAYRGVDCGHGGPFGAVVVCNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGHYQKANLEIKRAGGNGALIAEQVFENTKEKFRMY >OB03G47120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28617569:28623691:1 gene:OB03G47120 transcript:OB03G47120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:J3LUI6] MGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEALTNEAGEVTSHLQGMLNRTVRILEAGIKPVFVFDGEPPDMKQKELAKRSLRREDASNDLTRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKKLLSLMGVPVVQAPGEAEAQCAALCENHNVFAVASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGCIEEIVQNLSQTRYRVPEDWPYQEVRVLFKEPNVCTDIPDFVWTPPDEEGLLNFLATENSFSPDRVAKSVEKMKTANDKFFLGRSKVLAPVANLAGSTSASGSVKEPKCILGGPGQGMKARPPLQVCKSSSSDFRHDNSKAFMLRRQSGFHRISTCASI >OB03G47130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28624390:28627138:1 gene:OB03G47130 transcript:OB03G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRHHLQIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHASVHGTLPYDNLRFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLRRVGLQDCFEGIICFETLNPPALTCDGLYKPLSYISDELSSDMDDSDESDGFRPKSPILCKPSIEAMEAAIRIANADPAKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDAVLASTAAETAVVA >OB03G47140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28628580:28629821:1 gene:OB03G47140 transcript:OB03G47140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIALDPGCRFLPSDDGLITLFLRPKIAEEPFEERIVNNADVYSEDPAELVAQHTRVPGTQGNTSVWYFFCPPRYTSKRAGAGGGGESVWKSEGGKKPVKAADGRRVGNLQKFSYGVYESTGSARTFTRLGWCMTEYSLDDDAIGAEKQVLCKVYRSPRALCAEARTAAAGSPCSGSKRKADDAVGDLPEAPPSARPRQEAGSEYEHEQPDLPPDEPLPRAPMMEVAGGGSEDEFIQTEQQQPELHLDFDLEGMEALLSDPVDENLTWQSSTATEEQCTRYPFDDDIDVPWPWTSTSAEVVDGGRGDERIQTEHEQPQSPPDFDLEGVLPAPTDQNLIGQSSTVMEEQCTRYLFDDDVDVSWPWTPTAAKVVDGGRGDGLIPTVNGLRADADIISLLAAGQTVDDIFGADQ >OB03G47150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28635452:28638966:1 gene:OB03G47150 transcript:OB03G47150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPVRARLSALDDDDDDGGGTXXXXXXXXXXXXXXXXGPRAVVLGAPRVAAQLSSTEDDDGEVFDDGTDYEGDEVEVLDELSNGFFRIAKVPPPPSSSEELSPISADEPVVSVSSPSESGYFGAAEGSLKEEFAGARKFADVFDAGVRLGFEDANGGVVVDAENTGVGSSLEGSFQSSGSIIGVFDDTEVTKLGDLVSASDGSPLNADKKGAQDFGAEVVNDKVPEPSVGDSGGSADGNGMHVEDELEAQVGRSDNEVAELPPVGSAPEERDAGHELLNDDSDAKDGMNNHENATYFVDSSPGYFATGDGASELLKVPSNVDDLHDVASDVHHVDEEETDGGYEASDHMSMPTFGTNSTIEIPANESEHNVLASKGRHLSLDDEFHDDSAEEESEVNEKEIEFFDYAALAELLRAANGSPGQGMAKVFPIETSVPLPVPPTMASIPRTNVTSSPVLEVVPNPDSEMTDEERKLYKKVDTARVKYLRLIHRLGYDTEHQIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKAVQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTTVKEIAGVVGGVKFRVVDTPGLGTSPMDEKTNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLRCITSVLGPIWSKTIITLTHSAAAPPEGPSGTPLNYAMAATQRTHSIQQSIRQATNDPRIENTVALVENHHLCRTNTEGEKVLPNGLIWRCFLLLLCYSVKMVTEIDILSTRRASPANLFSLRLQMPPLPYFLSSLLQSREHPRSAADQDVGGVDPDELMDEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLEKKQLKEQLQRLKEIKREGNNNDVLGDDDNPDDEYETDRSAMPDWALPSSFDSDDPVYRYRCLEPTPNLLVRAVTNPDGWDHDCGFDGVSLQYSLDAANSFPASLWVQVNKDKRESTIHLESSVSAKHRENVSTLAGFDIKTIMDQLAYTLRGESRFNNLKKNTTTGGLSMTFLGDTMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNIEATLRERDYLMGQDLANLGASLVRWRKEWNMAAKLDSQFSLGRASNVAVHVDLNNKLTGRVSIKANTSEQLKIALLGVCSMTMYLWSRMHPFADRNY >OB03G47160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28641862:28642314:-1 gene:OB03G47160 transcript:OB03G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFPGESSGSWTHEVKQALRDKHRRAHGTARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDCRGSAAEDPIRRVMFLAPWGHT >OB03G47170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28646471:28650981:1 gene:OB03G47170 transcript:OB03G47170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein alpha [Source:Projected from Arabidopsis thaliana (AT1G50940) TAIR;Acc:AT1G50940] MAAMVAGALRRGTATGARAGFFARSLRRFVSTLVVAEHEGGFVKPSSLSALAAAEAIGKENRVSLLLGGSGRALHKAAEHAASSHPLVSEVLVADSDAFAHPLAEPWAELLRSVQQKGGYSHVIAASTSFGKNLLPRAAALLDVSPVTDVTAISEGRFFVRPIYAGNALCTMRYTGEDPCMMSIRSTSFSPTEAMSETKVAPISQVDLSFLSEGSLGKSAWVNLKSQDTERPDLANASVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLQKLPDKK >OB03G47180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28649533:28657373:-1 gene:OB03G47180 transcript:OB03G47180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAYPDRFYAAAAYAGFGAGGATSSSAVSRFQNDVALLLYGLYQQATVGPCNVPKPRAWSPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPEFNPEPVVDIEMHKPKEDPKVIPASANGISIPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVSGQRPKPRYEHGATVVQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKLQAGSTDSSKSAQVSSCAGHSLISWGNKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFDDLHVLDLQTMEWSRPKQQGLTPGPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVLSTVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEAFALKLTLKSDLQSKTKEHASDSTSRVLEPEVELSHDGKIREIAMDSADSDLVISCSKKDDASELLVALKAEKEELEAALNREQLQTIQIKEEIVEAEARNAELTKELQTVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEREVELLRRQKAASEQAALEAKQRQSSSGMWGWLVGTPPDKSES >OB03G47190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28658896:28659030:1 gene:OB03G47190 transcript:OB03G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVLAPPDPVLYPMWRRGIFVIPFVTRLGIINSCGGWSIS >OB03G47200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28659631:28664679:1 gene:OB03G47200 transcript:OB03G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36360) TAIR;Acc:AT2G36360] MTPKQMHWARADASDFGGEIPAPRSGHTAVSIGKSKVVIFGGFADKRFLSDVAVYDVENRLWYTPECTGNGSDGQLGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLADVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLIFGGRGGAGPIMSDLWALKGVTEEDNETPGWTQLKLPGQPPAPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNDCIILDRVSVQWKRLSTSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVDSDSKPSNVIGGAEHSASQESQAGESPLTDLAKRLGIPLSLDASASFVDEINDKELIELSSMLFVESPPTADQHGCIQALRDHWSSIQANSMQLQELGPLLRDYQRLILRRYLESPSSCEREAHRFFHLKTASELRMDDIPILLMEYRKLIST >OB03G47210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28665615:28668683:1 gene:OB03G47210 transcript:OB03G47210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:J3LUJ5] MNVNKHIVISNKVVLIILLEKTREAKKKEKKKENSPTSTTTTLRCGDAIKRRGGAAAAAASAAHSSSHYSRIRTREEAPATPLHRRRPAPSDRSRGLKMATCTLAISCMPVSNVRTQASKVAAKSPASLSFLAQGMQFPSLKTSKKLDVSAMATYKVKLITPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGTVDQSDGSFLDDAQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >OB03G47220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28670175:28674281:-1 gene:OB03G47220 transcript:OB03G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLRLMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLYQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OB03G47230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28673587:28673901:1 gene:OB03G47230 transcript:OB03G47230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKHMKTLGSWNEGTSPPSLQNSSVTDPTNLTPTAARLLHIAENKLYFQHITIADLPIQPIYAKLRRNLTETSLKIAANRAVLLRQEDRFAIANTTSVSSPI >OB03G47240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28677908:28680724:1 gene:OB03G47240 transcript:OB03G47240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGAALLLALALGCGLIWLRSRRLSKEMRDIPGTMGWPVVGETVSFISDFSSPAGILSFMRDRQKRFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKYLDFIDDLAVQTLDTWLGRRVLVLEEASSFTLKVIANMLMSLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKARNRMYAMLDSVIARRRDGGEVRNDFLQTLLRKHAAAGVGADDDGRDADKLTDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPEVLQKLREEHLGIKERLDGSSSHLRWSDVNTMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPAVFADPYKFDPNRFDETLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRYSWTPLEDDDSVQPTLVRMLRNKYPIVAAAI >OB03G47250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28682066:28683540:-1 gene:OB03G47250 transcript:OB03G47250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRPITVDKAQPQGPGRDRNGDRDYDRERGSRYDRGDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGRYGSDRDRGGGDRYSGRSRDGGGYGGGGGGRSGPTPARGGGGRSGPTPARGGGGRDGGDPARGGGDRYSGRSCVGGGYGGGGGWRERGGGGRVGGGTGRGGGGRCGDDTARGGGDRYSGRSRDGGGYGGGGGDRYSRDRSGPY >OB03G47260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28686553:28687187:1 gene:OB03G47260 transcript:OB03G47260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEGCWEKRRDCECGWTTCAIWTAAIAVVGGLIVLLIFAFALVFPPKATADDAAAXXXXXXXXXXXXPPPPPPATLSLRNPNLYRGISYDPVAAAFSFNGSRFDESATVPAFYHRPRKTATFHLTVGGAGRPVKLTAAGVSAFRAENATGKFDVEMRLDTAMQYKGRKTKCPLVVICPLQLQLVDPEVAATAFQRTKCTILRAKKSGC >OB03G47270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28690067:28690693:1 gene:OB03G47270 transcript:OB03G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYESECCGGSSRYRRFALGFWFGLAILATTAAAVVLVVASARVSRLSVAVDDASLTRFTATATSVAYNLTVALVVRNPNWAMGVTYRSLEASYLFSGKRFDSVTVVQPGYTQAARKTAVFRLTSGSDAAQASLGSAGVQEYKKESAAAGVFDVEVDLSGEVKYKLHRASCRLEAKCPLKLQLATPDASSVVFQKVTCDVLRSSQSGC >OB03G47280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28691442:28693226:-1 gene:OB03G47280 transcript:OB03G47280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPVVLIFLLLVLIITSQFEWKQQIGEAEANPTATRRRQQALLREDAVKEKIILAQEKNIQQLNVLIQSLQLQLLHCRLSNSTTHTTSNQSTNNSAVEGHEMIDD >OB03G47290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28697160:28704213:1 gene:OB03G47290 transcript:OB03G47290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPIQETVEWEFKSLEDGKMHACGHDAHVAMLLGAAKLLQSRRDHFKGKVKLVFQPAEEGNAGGYHVLREGVLDDVQAIFGVHVDTDSPAGAVRSRPGPHLAGSARFTATITGKGGHAAAPHLAADPVVAAAAAVLSLQQLVARETDPLQGTVVSVTFIKGGEAFNVIPESVTLGGTLRSMTTDGLFYLMKRINEVIEGQAADPAVSRCAAAVDFMEEKLRPYPATVNDEGMYAHAKAVAESMLGEANVRLCPQAMAAEDFGFYAERIPAAFFGVGAATDGGGTGETNRLHSPHLVIDEEALPVGAAFHAAVAIEYLNSKSATPPLACLSPDASRVVNELA >OB03G47300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28710022:28716017:1 gene:OB03G47300 transcript:OB03G47300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:J3LUK4] MEASAGLVAGSHNRNELVVIRRDGEPGPKPLKHTNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVRGDEEEEGVDDLENEFNWMDNNDSQYVAESMLHGHAESMPPGHMSYGRGGDLDGVPQHFQPIPNVPLLTNGEMVDDIPPEQHALVPSFMGGGGKRIHPLPYADANLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIQRETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKIRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTTKPKTEKKKRLFFKRAENQSPAYALGEIDEAAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OB03G47310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28715620:28715943:-1 gene:OB03G47310 transcript:OB03G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKFQKSAAASTVTNSGETCRLRTIKPVIHLMNMIQPRVGGPVSESSIMWSTEGSIAFIHCLQIAEIRSDCTGHQWGPKVFVLGITAKTGVRCLAFFLPSQSWLSL >OB03G47320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28721152:28722193:-1 gene:OB03G47320 transcript:OB03G47320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQHLPCSGGVAPPSARQQAMLIEMLVMRRHGMHGQRSVFWDTIAAAVFGGSTTAAQVQRRYEEIAAEVRRAVEEPGVQTPSKWDSGEHVAAAAAAATLPAAAGSDRAIVLPPPPSFIDGAKATFVKTRQGQKRKAETWTDDEHEYLRHFPILHIRSFLLISLRSNVQQFLAGIEKIGRGDWIRMSKEYVPSKTPAQIASHNQKYTIRKAKPHKDRKRRSIHDTPPRRRRAADEEQAPVGDGSSH >OB03G47330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28722559:28729579:1 gene:OB03G47330 transcript:OB03G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDDIIDRTLASSDGSNKCEDFVSLVENWLARIKDGSLHGTFGETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSIETRKFFHAIEEEFGFVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGNSAKSREELPSINEIRSRCMAYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >OB03G47340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28731623:28731943:1 gene:OB03G47340 transcript:OB03G47340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYCKRCDREFVREAGEFGVTCRYCHLSVRPPWGRSKAPSPTKAPSPPPPPPPKPQLFPCPGQCPRCGAQFASMVGTGKWHLRCKACSKFTMVDVQGPDTATCSR >OB03G47350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28732379:28735036:-1 gene:OB03G47350 transcript:OB03G47350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGKVKAKASGGGRRGGAKDAADPLRSDKRRREVDDDSDDAELDSHMEKIVSLLRHIKDKAHKDGQKKTEQTLSSVATEIQTIVQDTKGKFEKESRQNFLKALSKTSKECEGLLKNEYTKFQETHDKFCKEKAAHIQTFKDLFSKFENEKEKLLVQYELQRKKEKSTLSELEKTFSEKITNAEESLKKMKQDDKSIDKLRKSLGSFLDPDDESGADDE >OB03G47360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28738240:28743711:1 gene:OB03G47360 transcript:OB03G47360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MNEKVNNSGIGRIPCPHQGRIWLEQRGLQQALYWHIEARVVLDLQEVPDAQRNLDAKLYTFRFHEVTGRELHFSLVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAISLNKFKEASPSGLGGVLSSPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIILRDNNKVRILQEGCKGLLYMVLHARVVMDLHEKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTTSSCVATNSDTISVDYAEGRQSEQASSSCSSSPAKQRPKVPGLQKDIEVLKSELERFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIAGIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPSYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRQANSADESKAGSSCAMTNKNASKPEKPSVSPDKQKWLLKLKDLDANWIEY >OB03G47370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28745586:28746059:1 gene:OB03G47370 transcript:OB03G47370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVQRGAYMVMYGSGASSELDARSRIPADADRPAAVSCWTSSTFGDGMGATGVAAAAEEVNVETMLVLPLPPVAVVADVKLKKLSDDLGGGGLSRSMIPSSICTTLPPIAGRCAGCSWMHQKLTCSARSTWTMSMSSPGSFLSMMAAMFPFSYSS >OB03G47380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28745612:28748325:-1 gene:OB03G47380 transcript:OB03G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LUL2] MRPLRRKAGGGALLCCLLLLLPLLSHGADMPLGSALSPANAASWSSPNNTFSLSFTPSPTSPSLFVATIAYSGGVPVWSAGGGAGVDSGGSLRFSSNGDLQLVNGSGAVLWSSNTGGQGVTTAALQESGNLLLRNSTATMWQSFDHPTDTVVMAQNFTSGMNLTSGPYQFSLDKNTGNLTLKWTGGGTVTYFNKGYNSTFTANKTLSSPTLAMQTNGIVSLTDGSLTSPVVVAYSSNYGESGDMLRFVRLDADGNFRAYSAQRGSNAATEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLNNPSDPRGGCRRKIELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGLPNPPLGGGGGSPGKTSGVRGWVVAVVVLGVVSGLVLCEWALWWVLCRHSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFGDAPGGKMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHHNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLELVSGHRNFDVSEETARKKFSVWAYDEYEKGNIAAIIDRKLPGEDIDMVQVERALQVSFWCIQEQPAQRPAMGGKVVQMLEGIMDLERPPPPKSSDSFLSLTSATTATGGSGSTSMVSTFTSSAAAATPVAPMPSPNVDEVQQETAAGRSASAGIRDRASNSLLAPEPYMTM >OB03G47390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28758179:28761650:1 gene:OB03G47390 transcript:OB03G47390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3LUL3] MASPSVVVPVAYQGNTSASVADWLNKGDNAWQLVAATVVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLIPIWGKARPALNQGLLVGRAALPATVHYRADGSVETAMVEPFYPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMIFVPLWLTFSYTVGAFSLWGGGFCSHGGGSAYCGGYVIPRSAGIAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVVFFNKPSVVGAVQGMITGLVCITPGAGVVQGWAALVMGVLAGSIPWYTMMILHKRSKILQRVDDTLGVFHTHGVAGMLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGPGGAQFGKQIAGGLFVVAWNVVVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGADADAAAVAPV >OB03G47400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28762411:28763291:1 gene:OB03G47400 transcript:OB03G47400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQLNTDLSSAPHKQQSQQGSADRSFAMKPSSTAISLLLAVAVAVAMAAAEEGPAANYLVFVDAPPSGVICTTYQLGILAAALGSEEKAKGAIIYNYKNVVSGFSARLTPSELEAVKKQPQVNRVLPSTTLSLMSSNFDGIS >OB03G47410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28767184:28768335:1 gene:OB03G47410 transcript:OB03G47410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVDQFSNINQAMYLQPDQDFSQMIMELCDLDGSSTTQGRHVGEAADAEQSSCDVGAVLTYLTFLEQKIGHLRGIISSTPHPPPQIVSAELSCIVVQLVSISKNLAAGRGGEDADAKNYGSDGDDGDGEHLPVRGSYEVVQIEKEEILAPHVHFCAVCGKGFKRDANLRMHMRGHGDEHKSAAALAKPGRSPPPTPATDTARRCFYSCPYVGCKRNREHKSFQPLKTPICVKNHYRRSHCDKSFTCRRCNVKKFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAVFDGHTPALPPEEYDDEATTAAGSDAMSCSGQLQHGGEAVNRMVDTDKCFSESIFDGLRCSDIRGSMDDGHDYCDFDGIDLFAAATFDFL >OB03G47420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28767271:28767891:-1 gene:OB03G47420 transcript:OB03G47420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDADGRLQRLEALVLPVPLAPHVGAGVEAPPRRVRRRRGRRRPAGLGESGRRLVLVAVAAHVHPQVGVALEALAAHRAEVHVRREDLLLLDLHHLVGAPHREVLAVAIVAVAPIVLGVRVLAAAARGEVLGDGDELHDDAAELGGHDLRRRVRRRGDYAAEVADLLLQKCQVRQYRSHVAAGLLRICCFANMAALRRAAPIKIA >OB03G47430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28768762:28770425:-1 gene:OB03G47430 transcript:OB03G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09620) TAIR;Acc:AT4G09620] MLAKSPALPFLTAAAIGLSTTCDILSSPNVGFSKKYGGRLTIQANVESGRTQNSRTHRKWRTFSANQAQATVVDAGDSKTWEEAKQILTSLDFSIEDADKMLKKAFGWIHSPYWSEERKKEIPNAEVVSGVLNYVRSLGLSDDDLCKLLKKFPEVLGCDLDSEVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWVRF >OB03G47440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28771545:28774376:-1 gene:OB03G47440 transcript:OB03G47440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSASPVPPDDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNNDDPQVQHVLGEVQHYNRIFGGAHRSLTQRLEDLPFFIRRMFRELLDPQRTLPLVFRARMILMVALSAIYILSPVDILPESVLGLCGYFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >OB03G47450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28775992:28779783:-1 gene:OB03G47450 transcript:OB03G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G24200) TAIR;Acc:AT3G24200] MVGLAVACALSNMPLTKHLRVGIIDSNPALKSRNYLKKDGIPDSRVSTITPATMSFFRDIGAWEHVQQQRHAFFGKMQVWDYTGLGYTRYSARDVAKEYLGCVVENKVLCNSLLLRLQEENRDIEKMIYPTRLVSLALPSKSRQVPTSEATSSGYTSGELHRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQAAGIKTTGWNYSQSAIICTVEHITENDCAWQRFLPSGPIALLPIGDNFSNIVWTMSPEESLRHKSMSPEDFVKSVNNALDFGYGPHPRSSSLDFYMEKLFSDVGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAATLAKVIVDGVSVGADFGDISLLKRYENDRKAANIAMAAVLDGFQKIYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDTKWPLLL >OB03G47460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28782624:28785446:1 gene:OB03G47460 transcript:OB03G47460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPGKTQQQGDGKGAVDDDGEDQPSVASELRELWRMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSKNYDLLTLSLHRAVLLLILAALPIALLWLHVGPILVALGQDPTISASAAAYAARNDKRLVVCFCFFLWPLVLGLVMHVSTVLNSVHRFYWGPVGNELGAGKPRRARMAAMVALGCAVVIGMIHVAWTAAFSREWVELFTREASVAACVALVLLAVVWRTDWHLEALRAKKLTSLEITTPPAAAAAEERKRLPPPPAEGRKRLVAPGDAVDDV >OB03G47470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28804568:28804972:-1 gene:OB03G47470 transcript:OB03G47470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTIPFKWELQPGVPKQQQQQQPSPRHHGEGSGGATTGSSSTSSPAPASLLLPPRLALPPRAHDTGRASSLASTAPSPSPRSSHRRSMSARFTASLVLPFTRPRRGRSRDEADITFTVLYGDKIA >OB03G47480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28813242:28817397:-1 gene:OB03G47480 transcript:OB03G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEGEGRTLLVGVQADAASRTLLTWTFINVAAPGDRIVAVHVVLASAPGAATAVDFDTMLAVYEGFCNLKQINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSVHSVAKYCSKKLPAKCAVLAVDSGKIVFRRESNVHSGKVSAELPGCGDNEMYCEMPFLARHCKEEPLPLNEPKDGGGGGEEEEHDVGTKGTQPVNNVSGEQQPSGADPAELPRDQVRADVGPSDKGEELTADQKDEISELPGEGASVLYCVLPERNGHSAASTSSRQSGDLAEPPAEGDGELYCILPPRTGHSGRSSGDSSRSTVSLKHDDSATLSAEADGELYCRLPRTGRSGRSSGGSKRSFSAKGLIRRSSSFSSDIQLNSETSPSKKDGSVCAIATERTSSMVSTEAEDSPKNAAQNVDTPSSSPLSLRRMIEGRPDRCRLRRRIFNHQRSSSFEWAKISMVQWAMRLPSRYTSVSDNKSLKSDASPRLNCDSECESTSAVDTESLFSFSLYDMAWPPSELESLQEKSSSTCRLFTYEELKLATSNFSQDMLIGKGGTSQVYKALLFDGTLSAVKILKPSVDALQEFITEVEIATSLQHDNIVSLRGFSSDNYSLVLVYDYMPQGSLDKALHGKHESKDSLSWEKRNNIAIGTAKALEYLHHGSVTQSVIHGDVKSSNILLSEDFQAQLCDFGLAKQVSTSTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRKPIRTGCAKGQESLVGWAKPLLSNGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQILKLLEGDDETIHWARSQVTASFDGSDEEAVAPDSNMQSHLNLALLGVDDDTLSHCSTEQTMDTSADGYWSRSSSFD >OB03G47490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28817216:28817797:1 gene:OB03G47490 transcript:OB03G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSTAVAAPGAEASTTWTATMRSPGAATLMNVHVSSVRLAASACTPTSSVLPSPSPPSIPPATAATAPSWLSSAEHPSSPRVAPPGAPLPPLGAPPGGGRPWPPPPPPSLLAAAASWIPSSLNQSTARSRLPRQAHHHGCYSRRFLPRAPRRCLPLVRSLLLATVAPPLSLSLSRWPCLLVPLLSLSLSYQR >OB03G47500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28830627:28831065:-1 gene:OB03G47500 transcript:OB03G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDHGPSVSRRTPPASPCSASMTYRFLCPDLPDRNTTHLPSGDGDGNALFSPPTVSFFIAMPPSSSPREIATTSTSVLSLAKSGPMLCISRLSSSSPTTSGRRCRRRSAGVRRSRRSSPRTSPAARRTRSSCRRARRRGTSRRS >OB03G47510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28830626:28831715:1 gene:OB03G47510 transcript:OB03G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNSDGSGGRRQIFSGNAFPTSWDWKRKGVIYTSIGPDFASESTEVDVVAISLGDDDGGIAMKKLTVGGENNAFPSPSPDGKWVVFRSGRSGHKNLYVMDAEHGEAGGVRRLTEGPWSDTMCNWSPDGEWIAFASDRHDPGSGSPDSKSIVFTSDYAAVSAEPVSNPHHYQPYGEIYTVSIDGSNIRRLTHNSFEDGTPAWTPYFLEPQDVGETLQASGRCAFEDCHWLSIEDAQQSEELFYGKSC >OB03G47520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28831580:28835048:-1 gene:OB03G47520 transcript:OB03G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFHVGPTGPTTSRGPRKLSILRVSLLMSCHARRNNYFLRFPGAAGSTQSSLPRLLPLSHGRLHLPLPLLHLQTLDPPPPTTSASASNHGRLGGAPPPPPPRRRAAPRLVLFLRRRPAEPRAPGAFRRFGRRRRRRKRRPEAPAQVAIRAVVVPELPVVQARGAHRPAPHSPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPFVAVREEQEEEEGRGLDLKLCLRGRDGRGVVMDLDSTVLCESSAFFAAMAPPPEATVGGGGQKRIEVDGVENVEAFKETVELMFEPDPMRWFVRAGVSRAIGVLEVSSSIMFDRGIKSCLEYIEAVPWNESEEERLKNLFSRCTFDEAVSKDVLARLKPQCQSSSDDVTVHLIHSVTSSTNAGARKEMQSLVNGLLSKSSVYQKDLSGINKSSLYQICCSCLNSLLEHFKEDLHADKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLIRMHGQASAMFRYELSRISAHVFIALGKGKVQCPSDLRSQLFHGWFSPMLMDFGWLQRCSKGLDMRMLEDNLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSVDSHR >OB03G47530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28837001:28840006:-1 gene:OB03G47530 transcript:OB03G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNSLLAVYSRCGDMRHARLLFDEMPRRDAVSWNTLIAGYSGLGSSRVALDAFRDARASGDGVDRFTYAAVLAACAGARDLRNGRVVHGLAVVSGLARTAFLTNSIIDMYAKCRMIDEVRLVFDRAEERDEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHGCVVKIGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQSVVVYNSMIAGFARLGNGPCPETRIEAVRLFSKLLKEGIKPSKYTFKSVLEVCNLTNAVRCGRQIHAHVVLSGFQGDEFIASVLINLYSKAQSVNDSLRCFHMTHKEDVFTWTSMITAFVHNDHFENALYLFRELLHTRKQIDQFTISSVMSACAALSLPSICKQISCYAAKTGLDQFTVCINSQIAMYRNIGDLKASKQTFEKISCLDTFSWSAMMLSYAVHGYESKALDLFETMKNHGVMMNDITFLAVLIACSRQGLADEGLRYFKNMVSDDGFSLDVKLKVCMVDLFGHVGKIAEAEDFIMSSGSENDPTLWHALLRACRVHGDKERGIKIGEKLMELEPFASCSYVVLYNLYMHSGKISLAMRTRGLMRERGITNEAGVSWTEFGGSIHKFLDGDNSYSHNTIQTTLEELLVRVKQKSDHGRTKIWELGFQSRKPLQSSISRHGELLAVAFGLSSWPCVAPVRVMKNQRISWESHETLKLLSQGENREITVRDPTHFHHFTQGSCSCRDYW >OB03G47540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28841679:28846035:-1 gene:OB03G47540 transcript:OB03G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3LUM8] MGKSSAAEYSGSAVLLHGDLDIGITEAKCLPNMDIMSERMRRCFTGYGAFGCGAACGGHSADARRGGGGGRAKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEGAHAVVRLEFHVKDNDVFGAQLIGVASLPVDKIASGAPVEGWFPIDGHCSNPTRPPPELRLSVQYMPIQDNPLYRDGADAVPNAYFPIRRGGSVTLYQDAHVADGGLPPIEIAGGRVYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRPLPGGTPSMLGELLKGKAHEGVRVVILLWDDKTSHDKFLLKTDGVMHTHDEETKRFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVILDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFNKDFHNPTFPVNSYGPRQPWHDLHCKVEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCDEKDPENWHVQVFRSIDSGSVKGFPKIVQEAELQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTTAPMQQILFWQGQTMSSMYKIIADALQMQGLLEAHPQDYLNFYCLGKRELAAGGDTMSPTSICNDNSALRTAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGGYQPHYKWSATGHDGPPRGQVYGYRMSLWAEHLGGVEEWFRRPETGECVRRVNEMAEENWRAYVSPEMEETRGHLMRYPVKVDRDGRVRPLQGHECFPDVGGKVLGTQSSLPNALTT >OB03G47550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28847058:28850208:-1 gene:OB03G47550 transcript:OB03G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQAPPPPPPPPPSPPTKSGIPPRYDLDAKWDACLDLSIRRVAYSTLAGSFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTIPSAHSEGEDK >OB03G47560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28851736:28852998:-1 gene:OB03G47560 transcript:OB03G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIALDPGFRFRPSDDGLITLFLRPKIAEEPFEERIVNNADVYSEDPAELVGQHTPAPGTQGNKSVWYFFCPPRYTSKRASSGGRRQRAVGGGGGGGESVWKSEGGKKPVKDADGRRVRPPRRLPPEVILRRVRVVGVGTHLHEAGVVHDGVQSRRRRRRSREAGALQVLPLASRGGTTQRAAAARGRERARAAELTAGRAFADDGVAGGGSEDEFLQTEQQQPELQLDFDLEGMEALLSSPVDENLTRQFSTTTDEQCMRYLFHDEPLPCAPTVEVAGAGIEDGLIQTEHEKTQSPPAFYLEGVLPAPTDQNLIGQSSTAMEEQCTRYPFDDDIDVPCPWTSTPAEVVDGGRGDELIQTANGLRADADIITLLAAGQTVDDIFGADQ >OB03G47570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28856471:28858705:-1 gene:OB03G47570 transcript:OB03G47570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPNPPAGAASRLVRVGLLGGAAVYAAFNTLYNVEGGHRAIVFNRLEGIKDKVYPEGTHFVIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPLPEKLPTIYRSLGENYNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERASNFNIALDDVSITSLSFGKEFTRAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGEAIDNNPAFLALRQIEAAREISHTMASSNNKVFLDSKDLLLGLQQLNVDSKSKK >OB03G47580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28860056:28865339:-1 gene:OB03G47580 transcript:OB03G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGGVGSSSRPGHGLKGPANSVEILGREMLEMQLRDAKPDVDDEKDIERDVTDGSSAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIIHMLDHPNIVGLKHYFFSTSERDELYLNLVLEYVPETVNRIARQYNRMNQRMPLICVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHSHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLVSRFLQYSPNLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELNGIPQEAVERLVPEHARRQSLFMALRT >OB03G47590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28866074:28866241:-1 gene:OB03G47590 transcript:OB03G47590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHARAQFFLPEKEKFGRRRAAQAAALHYLTPLSLTLLSLPSTYLLRRVRAPPSH >OB03G47600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28867613:28871606:-1 gene:OB03G47600 transcript:OB03G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G57770) TAIR;Acc:AT1G57770] MAVRARAAVSSCESVRVAERPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLEAFVGVDAVQEWKKLLDAVIPISAAAMALPPLSIRGDLGVLSTAAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVDKILIENGRAVGVKLQSGQIVRAKKAVVSNASMWDTLDLLPPDAVPRSYKDKVKATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDGDQNVVLISVPSVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVPVSQHSELLDAVGI >OB03G47610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28872827:28873865:1 gene:OB03G47610 transcript:OB03G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSRVAPSDETTEELTPSCVAEEEQREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEERIRRLPSEEVRDGYYLRKTNAAIRYYNANHPGEEYELVKPLIAASVFFKLQLWYHASFLARRKGQASPPPVEYFFAELRHCPTDSFVVEACTMIKNPESCSGNKCSLCPRSYGIVHPSEEELLCGKEEDVKDFIRLMNLAPLPFTCPVTVPEIEVVIAK >OB03G47620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28874932:28876510:1 gene:OB03G47620 transcript:OB03G47620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVSRAVAAVLGDHASDEAGELRRWMEEDAKRQAMEEEEEQFLRHHPDDDTLPFYLRNRPLPSEEERDGYFIWEAQAALQQYNAIHPGVEYDLVKPLMGACVFFRGNMRYHVSFLAQRRGGQVAPPVEYFFAELCDGCSDDEFIVDACTMIEDPQSCSGNRCSFCPGNYGIAHPCEQELLCGEEEHAKEFIRLMNMAPAPFTCPTETVAPPDVEIVIEH >OB03G47630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28877929:28880756:1 gene:OB03G47630 transcript:OB03G47630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASPASRLDFYDFIARMRRPAAAGLFHSIRNFLASLSQGEPNAEEGGARVQAFFAEMEAAIRDHPLWANASNQEIDNALEGLEKFIMTKLFDRTFASSAEDVKADMEITEKIGLLQRFVRPHHLDIPKVLHNETAWLLAVKELQKINSFKSPREKLICVLSCCQIINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETKLISEVEYYLTNLISAKMFIINVDGRSLSMEESVFQAHMESARLGNHISVASSTSSQGLGTSTAGLNEESGVTEGLRFPFMDSETESLTPGEVKQLHEHYRKVVTRYKLLSKALRKLSVDEDQLLNSVDD >OB03G47640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28881163:28883564:-1 gene:OB03G47640 transcript:OB03G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxysteroid dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) TAIR;Acc:AT4G10020] MEQVLNAVMDLVVPPASMVMLAFAWPALSFLRGVEWVVKTLTVENMHDKVVLITGAASAIGEQIAYEYARRNANLVLVARREHRLFAIRESARALGAGQVLVIAADVVKEDDCRRLVGDTISYFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSHGRVVVNAAVESWLPMPRMSLYSAAKAAVINFYETLRYEVGDEVGISVATHGWIGGEATGGKFMLEEGAEMQWKGEEREVTKPNIKSNQISSPVPLAGGQVEAYARMVVAGACRGDAHVKHPSWYDVFLVFRAFAPDVLAWTFRLLLSTGRLRLPAHCWSTRRPGARDAPPPSSSTSWSEERVRILPTTIFVTSFREPYQEKMSVYKLSWELHKRIMHKPHYATTAGRAPAHRQASTFQHRRFDV >OB03G47650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28885078:28886239:-1 gene:OB03G47650 transcript:OB03G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPATWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIEVEGKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVAMEDAASFAEREGLSFVETSALDATNVDKAFQTVLTEIYRIISKKALASDEAAAGGAGAVREGQSIQLSTTDSSSFTSRCCSF >OB03G47660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28888865:28895147:1 gene:OB03G47660 transcript:OB03G47660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASTVVVVMAKGKGKGGKGGSSGAHKVSERRPPRITSNVKQSLRILKFWKDYERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDASSTLHYTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHTETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSNRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEQERGKR >OB03G47670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28892494:28895453:-1 gene:OB03G47670 transcript:OB03G47670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGKDRREEDGEKKEGGGGFIEKVKDFIHDIGEKIEGAVGFGKPSADVSGVHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLVESDGRKLVSGLIPDAGTIHAHGEETVKIPISLVYDDIKSTYDDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEVPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSIASAELTESAKIEKQGITTMQIPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKMPISKEGGTTRLKKEDDDDED >OB03G47680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28895903:28897707:1 gene:OB03G47680 transcript:OB03G47680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT1G64510) TAIR;Acc:AT1G64510] MPPPMALSTAALMPTLPPSPSPSRLRSCLPVAPRRAAARARAVSAGDAAGFYGGAASTATGEDDEVGDEEGSSSGFGAGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >OB03G47690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28898059:28903158:-1 gene:OB03G47690 transcript:OB03G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEIKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYDFEGKTDDKIKSLEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPAPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPPSDSTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERSKRRKDKPEDDRRSREYSKDRNGQASRDRDADRRDRVEPRESRRDNDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRDRRRHDRY >OB03G47700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28905198:28912111:1 gene:OB03G47700 transcript:OB03G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLPSSRARTPRTPFPSTSRSSNPYSFPDXXXXXXXXPPPPEQQQQQPTPPPVPRRRDPRYAGVRRGDARTLAAEKAAGTPMAAAGPGSGPAASATTLRWSGMVSMAAIVLCLLSLLRSNFSLHDQVHHLKDQLAEATTKLQSCITECSMDISGILSYQINDSTSHNRSLKNFSLLFSLTTLYAPLVIFKYMDILLKLRSSQDSEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVTDDSLSDCLWLSWTFVADSGNHANSEGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLNGMQFEDVLISFPDAIPCGVKVASYGGKIILNPDDFYVLQDGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVLKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDREGKLIDGGLDFSRLESITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSHVSQGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEIQIRPSDLYLREEEELNFFEVMLRARQRKEIVIGYRLEDAERAIINPPDKVSRRRWSAKDVFVVITEKE >OB03G47710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28912959:28915731:1 gene:OB03G47710 transcript:OB03G47710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAECAELDASIAPSPYGDERTPRDGMAFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNRWGDGKDDACYQARPTAKTNCQATVVARLWSDGLLHLTDVSLDHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSTSGDVDVPVFDDWGRLKIVEDDVVAINGFFAEMQSKQANFFYVMDFYVEGHLRSVFWADSRSRAAHQYFNDAVWIDTTCLRNKFDIPLVLFLGVNHHGELVLLGCGLLSDESTESFLWLLKSWLTCMKGWPPNAIVTDECAAIKAAVREVFPNARHRISDWHVLRSISEKLGESAQFEEMKTELETIIYDSLKDDEFEARWKNLVSRFGLQDNEWITFLYENRPLWVPAFLKDTFWAGLSTVNHHESPNAFFEDSINPETKLVTFLSSYVNLLQNKYKMEEDDDLESLSTNRVLVSKFPMEEQLSRLYTFKMFTKLQNELNATMNCEVQLDGSTSSIVVIDLAESGREMVNKKYEVVHCMETDRMECNCGLFQFSGIVCRHTLSVLKWQQIFDIPPCYVLNRWRSDFKQLHALDNPWKDLVASNHIERYDYVSLQCLRLVEIGASSDEKYHHALKLIRDIQRTLLDDNLCRELEQKLTPSERAINGDSHMQAGSSEGGPAKKRRGRPPKKSKDTNVESMSNQYAHKDSLLVSSDVSQKDAFHSSSTASNLGNHARTHGVVDLMEEVNPNELSFDSRYGVQSSHSHHYGNQLHPSNTLQFGQPTSAAEHSRGVQWVYPNIFQDDQVPYGRRT >OB03G47720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28920545:28924812:-1 gene:OB03G47720 transcript:OB03G47720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLCSMLPYLPVVLRGGALFWPPQAQEALKALALGPDARDWFDHVLPSLARLLLRLPALFEDHYRSAGDQARGLRILGSQDAGLVLLSQELAAALLACALFCLFPTADRTEARLPVINFDSLFAALCYNSRQNQEQKVRCIVHYFDRVTASTPTGSVSFERKVLPRRPLSDGIAYPDMDAWTKSGVPLCTFRVFSSGFIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGSERFSQYMGYGSSFRFTGDYLDNKPIDTMGRRKTRIVAIDALDCPTKLQFESSGLLREVNKAFCGFLDQSNHQFYVRLFQDLVTKDNCPSVFPDECIGVSTGNWGCGAFGGDPEIKSMIQWIAASQARRSFVNYYTFESESLKRLEEVTQWILRHKWTVGELWHMLVEYSSQRLRGETSEGFLGWLLPKDIPNGDVDYMCE >OB03G47730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28924974:28925702:-1 gene:OB03G47730 transcript:OB03G47730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVCSFGFNPCLIIWPNASAIGSNSPFRQYAEMSEVYPTTSGDSIRSNTSLAAGKEPHREYISIKQPPIQASPANPARTASECTCSPARSAPRSAHATSAALHAKSFGATPDSTMSANIARASSGRPLRVHPDMTEVHATTFFRGIRSNRSSASASLPDLTSLSSRLHSRTTSGGSAQPSSAGAAEHNPTHTRWRRSGTWHSAAGRRRVRGVGGSAAIASPVAVASHGEESSTAKREWNG >OB03G47740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28925044:28927173:1 gene:OB03G47740 transcript:OB03G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPTPRTRLLPAALCHVPLRRHRVCVGLCSAAPADDGCAEPPDVVLECKRLDRLVKSGRLADALDLFDRMPRKNVVAWTSVMSGCTRNGRPEEALAMFADMVESGVAPNDFACNAALVACADLGALRAGEQVHSLAVRAGFAGDAWIGGCLIEMYSRCGSLPAAKDVFDRMESPDVVGYTSLISAYCRNGEFEPMAEALGQMMRQGLKPNEHTTTTILAACPRVLGEQIHGYLIKTMGLQSQSVYSSTALIDFYSRNGEFGLAKSVFESLHCKNVVSWCSMMQLHIRDGKLEEALQVFVDMISEGVDPNEFALSIALGACGFIGLGRQLHCSAIKHNLITDIRVANALLSMYGRTGLVQELETVLNKIENPDHVSWTTAISANFQNGFGDKAIALLCQMHSEGFTPNDYAFSSVLSSCADLASLDQGMQLHCLALKLGCDSKICTGNALINMYSKCGQIGSARLAFDVMQIHDVTSWNSLIHGYAQHGDANQALEAFSKMCSNGDRPDESTFLGVLMGYNHSGMVKQGELFFRLMIDRYSFAPTPSHYACMIDMLGRNGRFHEALLMINGMPFEPDALTWKTLLASCKLHRNLDMGKLAADKLMELSGTDSASYVLMSSIHAMHGEWEDAGKVRRRMDETGVKKDAGCSWIEIKNEVHSFAARDMSHPDSASIYQMLGELVSVMLMQNSAHENFDELESFDVHMPI >OB03G47750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28927305:28933606:-1 gene:OB03G47750 transcript:OB03G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKTDAKQKSSKPPVSQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDERQAAVKKLDASENEPNDEFLKQVSLVSKLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMTIVVKALSPLLQQKPAAPAASEPPAATEN >OB03G47760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28935005:28942049:1 gene:OB03G47760 transcript:OB03G47760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVHDRKRHRTRGSLRRVHFTVRSDQNKVNRSAQAALERRKQFGKRAHGKRKGFLGGSCGSARTRALAVRRRFCAAGFCQEKSCFKSSSELLLGGLTKLGDSMIKQILGRFPKKPSKSGDKDPTGRPSSSVPNPPLGPRGAERSSNLSSQPPVISSSGLSYGSGMHVGNTNSKLNGKLVQPTVELLPSFKDVPNTEKNNLFVKKLNLCCATFDFTDPTKSVKEKEIKRQTLLELVDYIASANGKFPELTMQELTRMVSVNLFRTLTTPPRESKIEAFDLDEEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPFIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCISMYHQQLSYSITQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLGELEEILEATQPAEFQKCMVPLFRQIAHCLNSSHFQVAERALFLWNNDHIENLIKQNSRVILPIIFPALERNANGHWNQAVQSLTLNVRKLFSDHDAGLYDECQRKYEEDKTKEKEMKLKQQVAWKRLEEMASAKATSGAAVLVSRTLPRQSSGV >OB03G47770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28943841:28949015:-1 gene:OB03G47770 transcript:OB03G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48460) TAIR;Acc:AT3G48460] MAARRSVVGVVIGVVLAVVAVPVAAEFKTVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAERLALPSFLPPYLSPAAANATSGVNFAVAGATAIEHDFFARNNLSVDITPQSIMTELAWFEAHLRRSPAAAAAVGDALFWVGEIGANDYAYSFMAAATIHPAQIRTMAVDRVTTFLEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCAASVNAQSHAHNRRLLAGVRRLRQQPPAAVIAYADYYAAHLAVMRAPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACAQPARYVNWDGVHMTEAMYKAVAGMFFQDGSYCQPPFSTVLARKKKGH >OB03G47780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28943964:28944497:1 gene:OB03G47780 transcript:OB03G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLPGEHGAEGRLAVAAVLEEHAGDGLVHRLGHVDAVPVDVPGRLRAGGGDLRRAAGGEDLEVVGAAAGAAARLEGLREAVPRRRPHDGEVGGVVVGVGDDGGRGLLPEPPDAGQEAPVVGVALRVDGGGAADVVAVLRPRQRHGQWQATGERQPLHDDVLGALLQQRLPCIDEIS >OB03G47790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28953271:28959050:1 gene:OB03G47790 transcript:OB03G47790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein [Source:Projected from Arabidopsis thaliana (AT1G24490) TAIR;Acc:AT1G24490] MPPSRRPVQGGGGAKEATGDWLSGITNSMETVLKVLKDGLSALHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWVGLYRALSNVANEGLLTEGFFWIPSLAGPTTITARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPNQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESANLGKYEPAIKSDSPPKVQKPQASQEPKPSGPQRGERFRKLKEEESRRKMLLEKAEQTEQAGTQSGIIDGKQNSDVSSGNSIDEQESHENEPVIANGNGGLSHSATERIQYGNTKEDMIQESTDSNSSVINPTSHDAHKSRDEENEQDAV >OB03G47800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28959208:28959558:-1 gene:OB03G47800 transcript:OB03G47800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQRTLLLLVAVLIAAVSVALADDAKPTILTPVANTPLGSFDGDSPAADNALDDEEAAPVGAPIGTTMTEPKPELTTTPGGGAGEAAGASAASSLAVATHIGAAAAFAAGVFAF >OB03G47810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28960337:28961233:-1 gene:OB03G47810 transcript:OB03G47810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGQPSCASVSFGKYLAKRFTGKPRRRKRPAAGGGEVRVSKELVASSPSLKSSEPVVRVVLQSGVVEAYAGVVLACTVIRNHPPGLCLAYPDVFRNPHGARVRPLEPLFPGQKFFLLTEHTMEWLQRRIPESSVGAFDETREEEVRRDAIEDDATASETTTSEEDTQDCSSAAASSEEDDDRATRSWCCAREYFEAKERWEARQFRQMVARGLAVEEKDRKKETVTNKKKKRGKNSKGKRTNKRGLAGVASTRCSTATAPATARRTWEPSLPSVVEEESSPLQPPSETTSCRI >OB03G47820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28962153:28965318:-1 gene:OB03G47820 transcript:OB03G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G23700) TAIR;Acc:AT3G23700] MLAAAALRPATSLAAFSPSPSTSRSPPPPALLSFPPRPHHRFPLSATAQEGASTSSAASATPIDEARLAQFAADWQAVRAEKDQGRILELPVLRANSGGLILRFNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGSSISVKVVEANEEERKLVFSEKDASWSTHSSQVKIGEIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNEGDTVKVIAVNVDLEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPNVGTTSPPSEDDLLPGLDGICNELLQEDGITDVQFGRRALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >OB03G47830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28967035:28967545:1 gene:OB03G47830 transcript:OB03G47830.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRRRTERGGRRRRRRRRRKGERRLCGGVLRRYRRHRRAAADQGDQEPVPDFRHVRGGRRRGRRGGEGDGDRVPDGRAARRAHRVGRHEQGRRHGERLLPPLLPLPPAARDGHGSCTRLNTLTITESLQLLLLQ >OB03G47840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28969137:28973503:-1 gene:OB03G47840 transcript:OB03G47840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGDGTGIRICTAASVMRSTSLTSSRRLDGGGGRRGRGGGAGMVSFSAYSPCSTVAGMFKNEGSAAAFNAHKESIMRHVVVQCGTSRDTPRTFNTNGSHAESSAVAKAGTAPLVQALKLTANQDVSCFHFPGHNRGKAAPRSLSELIGSRTFLHDLPELPELDDLFSPKSVILDAQKQAAELFGSCKTWFLINGSTCGIQASVMATCSPGDHLIVPRNCHISVISALVLSGAVPKYVVPEYNSWWDIAGGITPSQVDKAVKELEEDGKKVGAVLVTSPTYHGICSNIQGIANVCHLQGIPVIVDEAHGAHFRFHRNFPSSATEQGADLAVQSTHKVLCSLTQSSMLHMDGELVDADKVSQCLQLLQSSSPSYLLLSSLDATRAQLSENAESFDEPVSVALETKDQLRTIPGISVLDLSSFHSDFPAIDPLRITLSASDLQLSGYEADDILAENHQIVCELVGTKAMTFAINLGTRRHDAQRLVHSVKHLSEKYFSENGSSSRKENLACSPLDKFSIKLTPREAFFSKKRRLCIEDSLGEICGELICPYPPGIPVLIPGELVTQDSLSYLMNVRDNGMAISGAVDSKLRSIMNHRSGQTLDRYEQQSCTYGTGHLANDRITFRVLRRKERKPQSEHRIWISSIRHKFAHVHGFPEAF >OB03G47850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28977590:28982325:1 gene:OB03G47850 transcript:OB03G47850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: mitosis; LOCATED IN: plasma membrane; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Kinetochore protein Nuf2 (InterPro:IPR005549); Has 50972 Blast hits to 29793 proteins in 2070 speci /.../chae - 902; Bacteria - 7404; Metazoa - 23628; Fungi - 4156; Plants - 2312; Viruses - 158; Other Eukaryotes - 12412 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G61000) TAIR;Acc:AT1G61000] MSSNFSFPEMAPAKIAEELHAYGLAPGNLRAEDITNPQPDLLPAVFSNFLASVVDPTGDGDLDQQLGFNALASLDNPEHHAEAIRVLRLHRKSRAFLESIQFPGFTLRDFLRPDPRRAVQVLSALVNFLYYREEKLALLQPIIDEFPNSDERDMELKAKIAEHKKAIADHELAAQMEEPMVQQLEAKVNSLKQKLVEYNKQQLALRANANAIHEKKEETLRKISESDFELMKLVQENSKLSAKIVQSPEKLQRSLEERKATRVELKNAEKIAMQRVQEKTATLEIYSKASEKLSRHLSEMQALQEQVAAAKTLEKEVKARKSKISDESVTIKALDKRMVEWDGKVLEIEERAKAKEKERDQIIADENQKLAALRSEIEWKLKCLEPREREVEETTAKATKLCLETDSIRKSAAEEQQRIYAKFQEIGHAFNHYNDNVNTSLEQVEEVSKETLERLDRQALEALDTPASLPVEKDNSSHGPAS >OB03G47860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28985954:28988860:-1 gene:OB03G47860 transcript:OB03G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVVLAEAAVALLLMVKVGPLREAAMRGVEQAKQGKGPATVKTLACTLSVILMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGFTLFLAFVIDRLHHYLRKLVTLRKAANTSREEVEKLQMENRSFHEKEEKSSGEIKKLQQEIAKLNESMKKLKSESEDHEKAALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKS >OB03G47870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28993225:28996241:1 gene:OB03G47870 transcript:OB03G47870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNGDTEALSKASPLQTGSDPAVRKPKDERRSSREDDLRQHETAVRKPYAESPNHRYGDHANHDNAARKTGIEKSPLHPRHQARVANKGAVSSPSRDRRGSSEGNRGSAPTTPGRSKFRSTGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGSNAPVITSEADYMKRYQQHKYESTGCSCFGWFKN >OB03G47880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:28997143:29002666:-1 gene:OB03G47880 transcript:OB03G47880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLLSMVKEKASSYLLQQYKVMEGMEEQHEILKRKLPAILDVIADAEEQAAKHREGVKAWLEVLRKVAYQANDVFDEFEYEALRRRAKAKGQYKKLGSMDVIKLIPTHNRIVFRYRMGNKLRMVLSAIEVLITEMNAFRFEFRPEPPMSSMKWRKTDSKISQLYEDIAAESRKEDKQAIVNRLLAQASNGDLTVLPIVGMGGLGKTTLAQLIYNDPEIQKHFQLLLWVCVSDNFDVDSLAKSIVEAAGKGKNELKEVVSGHRYLLVLDDVWNREASKWEALKSYLRHGASGSSVLTTTRDQEVAQLMATTEKPYDLKSLNDKFIKEIIQRSAFSSEQEKRRPELLEMVGDIAKRCSGSPLAATALGSTLRTKTTKKEWEAVLSSSTICGEENGILPILKLSFNCLPPYMRQCFAFCAIFPKDYEIDVEMLIQLWMANGFIPEHQGECPEITGKRIFKDLESRSFFQDVKGIPFEFHDINDSRVTCKIHDLMHDVAQSSMGKECVAISRKFSKSEDFPNSARHLFLSSCLPEDALNASLEKVCPGIQTLICSRQYEKDISVNGDLQRSVRALKIEGGLLLKPKYLRHLRYLDLSNTKIEALPEDISILYHLQTLNLCDCFYLCQLPKGMKYMTTLRHLYTDGCVGLKSMPPDLGHLTCLQTLTCFVASASSGCSDLSELGQLDLGGQLHLTQLENVTRASAKAANLGKKEKLTKLTLRWTYQEYKEAQSNKHKEVLEGLTPHEGIKVLTIDGCGSSICPAWMNTKLQHMVDLRLYSCNNLAKLPPLWHLPALEVLCLDELGGLNCLLSNDTRTPITFHRLKELKLLNMPNFETWWDINEVQGEELVFPRVENLRITSCRMLTALPKASNAISDSSGGVSTVCRSAFPALKTMELNGLDIFDGWEAVDGTPSKEVTFPQLDELVILWCPQLSTLPEAPMLNELNIYEGNQQISLQAAGRYITSLSSLVLELSTDDTKTELVDTQNSSELVHEKEKWNHKSPLEIIYLRGCNLLFSHPTALALWTCFVRLLDLKIDGVDVIVHWPEKVFQDLVSLRKLGIRRCSNLTGHTEACEQSTPAPSELLPRLESLEITDCESFVEVPRLPASLKQLLIRGCGGLESVIFSQQRESTSLVSAESGARPSLVSEPSSDAVDRVLPCLESLEIQRCSRLEVLHLPPSIKTLEIWECGSLQSLSGKLDAVQKLSIESCKSLKSLESCFGEVPSLQHLQLYNCKSLVSLPEGPQAYSSLKIFKFASCPGIKLLPPSLQQHLDDIEVKFVDACYKEPEPEPELELEALHRQSAIGRLLCLK >OB03G47890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29003310:29003681:-1 gene:OB03G47890 transcript:OB03G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYYKLYGLGNQNYRILTRWAQKHALMGRNTRNLHPFLAQSDGQMGSAHSSLSPASRRRRRCHLYPPHPQQGGDRRPAAATKTISGGISSTEGRAWPSPTEELQARVLLLSSLLWFGRELGW >OB03G47900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29006998:29011977:-1 gene:OB03G47900 transcript:OB03G47900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLLSMVKEKASSYLLQQYKVMEGMEEQNEILKRKLPAILDVIADAEEQAAKHREGVKAWLEALRKVAYQANDVFDEFKYEALRRKAKAKGHYKKLGSMDVIKLIPTHNRIVFRYRMGNKLRMVLSAIEVLITEMNAFRFEFRPEPPMSSMKWRKTDSKISQLYEDIAAESRKEDKQAIVNRLLAQASNGDLTVLPIVGMGGMGKTTLAQLIYNDPKIQKHFQLLLWVCVSDNFDVDLLAKSIVEAAGKGKNELKEVVSGQRYLLVLDDVWNREASKWEALKSYLRHGASGSSVLTTTRDQEVAQLMATTEKPYDLKSLNDKFIKEIIQRSAFSSEQEKRRPELLEMVGDIAKRCSGSPLAATALGSTLRTKTTKKEWEAVLSSSTICGEENGILPILKLSFNCLPPYMRQCFAFCAIFPKDYEIDVEMLIQLWMANGFIPEHQGECPEITGKRIFKDLESRSFFQDVKGIPFEFHDINDSRVTCKIHDLMHDVAQSSMGKECVAISRKFSKSEDFPNSARHLFLSSCLPEDALNASLEKVCPGIQTLICSPQEDERISINGDLQRSVRALKILGGSFLKPKYLRHLRYLDLSESEIKALPEDTSILYHLQTLNLCDCFYLRRLPKGMKYMTALRHLYTDGCVGLKSMPPDLGHLTCLQTLTCFVASASSGCSDLSELGQLDLGGQLHLTQLENVTKASAKAANLGKKEKLTKLTLRWTYQKYKEAQNTKHKEVLEGLTPHEGLKVLRIYNCGSGTCPAWVNKLQHMVELRLYGCINLVKLPPLWHLPALEVLCLDGLDGLKCLFINDAHTTITFHRLKELHLYSLTTFETWWDINEVQGEELVKLFIRYCHMLTALPKASNAISNSSGGVSTVCALKTMELNGLDIFDGWEAVDGTPSKEVTFPQLDELVILWCPQLSTLPEAPMLNELNIYEGNQQISLQAAGRYIISLSSLVLELSTDDTKTELVDTQNSSELVVHEKEKWNHKSPLEIIYLRGCNLLFSHPTALALWTCFVRLLDLQIHKVDVIVHWPEKVFQGLVSLRKLGIHQCSNLTGRTEACEQSTPAPSELLPRLESLVITDCESFVEVPRLPASLKQLLIRECDVLESVIISQQQESTSLVSAESGAQPDRSEASSDAVDRVLPRLEYLQIQRCSRLEVLHLPPSIKKLEIWECGSLQPLSGKLDAITGITSLSIGSCESLKSLESCFVELPSLQHLQLFNCKSLVSLPEWPQAYSSLKFLEVTYCAGIKLLPPSLQQHLGDIEGKRLDTCYEEPEPEPEVLHRQSAIGRLLCLK >OB03G47910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29014906:29020238:-1 gene:OB03G47910 transcript:OB03G47910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein transport, Golgi organization; LOCATED IN: vacuole; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: COG complex component, COG2 (InterP /.../009316); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G24840) TAIR;Acc:AT4G24840] MHMHDEIGMIKPVIVLNFAMLKKKAYLTIIFHWFTIIYMLPNCMNHHLKVLLSRLLKSMFLLFLQVEKLIKELPTAPSDSSNGDKGYPGNTTTPPNVEAGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLEHRDDKVIYNCLRAYAAIDNTSSAEEIFRGTVVSPLVQKIVPQNYARVVSGVSSDDLEDDYEQIMQCVEKDCKFILEISSSANSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLRFLDFLEGYCSSKTAVTKFRSEPAYTDFMRQWHVAVYFTLKFQDIAGGLDSALTTTITPVGMHENQAKPKTMLLKQSVKLLESLQACWSDDVLVFSHCDKFLRLSLQLISRYTTWLSSGLAARKASDGGSSSPADAEWALSVPVEDFIYVMHDANAVVGELSESGQFVKHVNQLLASCPTEVLTLLKQSILQAVEPLKELLPVIMNVMVGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRIHYLSEDDKTKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIESFRALWQCVAPREKQDNIQF >OB03G47920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29029907:29031725:1 gene:OB03G47920 transcript:OB03G47920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQINAAWTKPGSLLDLFFESFRMGEGGVARLLEHLVIVTMDPAAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNRFQQTILELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLSNFPNGGFLFVRSNSKTVEFYRSWQQGRWRFFGKHEQDVFNLIKHEQQARLGMAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLAAKMHDLRGVLDVWRNYTAAPPDDRRAGKFHWNLPGICIH >OB03G47930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29035775:29035948:1 gene:OB03G47930 transcript:OB03G47930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSRVEYFSRQLQQAAVTAKIASARIRRRVAAISGKETARQLPRYASLTATIDCHIY >OB03G47940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29036074:29038022:1 gene:OB03G47940 transcript:OB03G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LUR8] MVPKVAATAEARGRQAASFVLGSVATLTVVLLFQYQTPDYSRAARSPVQFSSSARDVVQLHCANSNGTAAPVLAGGGEANITGKPPTTPAGTTTAAVPIAKPSKAPPAAAASTTTRQTDHHLPSSTVLEEEGEFKGLAAAVARVATEDRTVIITCVNHAFAAPNSLLDLFLESFRVGEGTAELLRHVLVVAMDPTALSRCRAVHPHCYLYTMPGIDFASEKFFASKDYLELVWSKLKLQRRVLQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNLPNTGFFYVKPSARTIAMTREWHEARSSHPGLNEQPVFNHIKKGLVGKLKLKVQYLDTAFVGGFCSYGKDLGKICTMHANCCIGLASKIRDLRGVLDDWKNYTSMPRWAKPNARWTVPGKCIH >OB03G47950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29038552:29041217:-1 gene:OB03G47950 transcript:OB03G47950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01980) TAIR;Acc:AT3G01980] MGDGAYAKRVLLASAGDDVSRGIASTLATHGCRVVLVGDEGALAGTAEAARRCAGGGGVAVVGLDLHACDEAAVDAAVDTAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKVNVMTPWFLVKAMAKRFRDSESSSGGSFVFVTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKIRVNAVCRGLHLGDRFPESVGKEKADKATREVMPLRRWLDPDKDLASTVLYLVGDESRYMTGSTIYVDGAQSIVRPRMRSFM >OB03G47960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29041947:29045645:-1 gene:OB03G47960 transcript:OB03G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKKGLARNATTEHINPQSNRKSSRLTQAAAADHKVNDLITSSSKKQIGGGLLRKNRALRGGKKMNSVCDSTGTGNDVTDVSSGIFLNHKQSHENDENRSCDSIFSPAFHNQKEDVTDCLSKGLEHKEVTHEPTAQNTEYAANSMTCNAFDGLSKHSYDIHMQSTCGSTLLEDDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVELDYFDPYVFIKYLPDLSLVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDYDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFETMIFTASQSIYAEQLLNILDPKRRFFRHRVYRESCLYVEGNYLKDLSVLGRDLSHVVIVDNSPQAFGFQLDNGVPIESWFDDTNDKELLTLLPFLESLVGVEDVRPRIARKFNLREKVATASSLSMHF >OB03G47970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29055078:29056085:1 gene:OB03G47970 transcript:OB03G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAGFSAEDFFPNAAGRLVDRLTGLAARRERVFEQLDAFFEMVIEKHLDSCKTESTPDGGGSNLVDALIGLWKQGKQYGDLRFTREHAKAIIFDTFVGGVGTSSVTILWAMAELIRAPRVMRKAQAEIRAVVGERDGDRVLPDDLPKLKYLKNVVKETLRLHPPVTLLLPRETMRHVKIGGFDVPAKTRVLVNAWAIGRDPASWDEPEAFDPDRFETKRVEFNGTHFDFLPFGAGRRICPAVAMGAANVEFTLANLLHCFEWALPEGMAAEEVSMAEAGLLTVHKKTPLVLVPTRYTAIDVHLI >OB03G47980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29061972:29063842:1 gene:OB03G47980 transcript:OB03G47980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRTFNSNLMEVVVKLIGKLTWPEPRPVELNDHIFALADGIIGAVAFGSIYGTERFAGRARFHHLLDDVMDMLASFSAEDFFPNAAGRLVDRLTGLAARRERVFEQLDAFYEMVIEQHLDSSRTVSTPDGGDDDGGGNLVDVLIELWKQGKQYGDLRFTREHVKAIIFDTFIGGIGTSSVTILWAMAELIRAPRVMRKVQGKVRAVVVGGRAGQPDDLPRLKHLKNAARDPGGPPPPATLLMPRETTRHVRVGGYDVPAKTRVMVNLWAIGRDAASWDEPEVFDPDRFETKRVDFNGGHFELLPFGSGRRICPGVAMGAANVEFTLANLLHCFDWTLPEGMTETEVSMEEAGGLVLHRKTPLVLVPTRYKVQF >OB03G47990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29068354:29068869:-1 gene:OB03G47990 transcript:OB03G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding XPRRAHPEDALDPLHHSSAAAAAAHRRSQKPGQRRPRTAWIPAAPGTEGYEDEAEHYYDDADQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPGSMGYGGVKAWLEGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLHRSDGVS >OB03G48000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29073144:29078864:1 gene:OB03G48000 transcript:OB03G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRLPGVARAARLGREQPHLLACAAAAARPGGECSSSRTRGLVVRCRSGAPAVVLNKGDAASVAAASAVATGFTVVMKFGGSSVASAERMREVADLILGFPEENPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSGLLDELEQLLKGIAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGGIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQRRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEGEDLLHNGSPVNSNGVIYGN >OB03G48010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29079658:29081379:-1 gene:OB03G48010 transcript:OB03G48010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATATGNAAGDAVRQSLDLFYATGAAGLGLSLVLIHIYVTPIKRFLQALWVVGALGSVGTYVAAAQPLDESLVRYVLDHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDDGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALLQKLQMQTETDDI >OB03G48020.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29081870:29085094:-1 gene:OB03G48020 transcript:OB03G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKEGDDRRAGAGAGDDGDVTEWKKVAELRAVVEAQDPACKEEDDYQLRRFLRARDHSIGKAAAMLLRYLQWKREFKPGGRAITDGEVRGELAREKLYMQGYDRQGRPLVYGFGARHSPARRDLDEFKRFVVYVLDRTCARLPAGNGGQEKFAAVADLRGWGYYANCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVADKDLHATLRDAIDDSNLAEEYGGKLKLLPPAIL >OB03G48030.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29088093:29088918:1 gene:OB03G48030 transcript:OB03G48030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLDVSSPLPKQYSGACHSASSSTAPSSKAITWLVLGTDTVCKNVTTEEKQLNRRLCGTNAVQFGCGNTNLYSTILQPALHVGQLMSHDHAKLNGDYTLYHFGCQSKQHTLLLRKDNTELVTTGTFTNTHRPYIFQLKAADLRVNIGEKTLINAKMVKVSSHGGYIKHLKMILQRATLHDHQLDGM >OB03G48040.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29090358:29090804:-1 gene:OB03G48040 transcript:OB03G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPPPTPWPPQFHAKLLMDFHGNLSLADLWYDWPGGRNLHVIRYQLAADAPFYDNEWNNGTSFFYTPARRTCRSAAVGVGILPPNWLVQGSVYLGRQSTGGVDCHVFAKADFITYYEDVRTKRPVKWVFYTGKVIFFVIGLIPCL >OB03G48050.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29092376:29092747:1 gene:OB03G48050 transcript:OB03G48050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAVAVGVVVLLGVLLHGEVAESAVFTVGDRGGWGMGAGSWASGKRFKAGDVLVFKYDSSAHNVVAVDAAGYKGCTAPRGARVFKSGSDRVTLARGTNYFICNFPGHCQAGMKIAVTAA >OB03G48060.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29093294:29095657:1 gene:OB03G48060 transcript:OB03G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:J3LUT0] MNKERLMKMAGGGRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVSTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGANAQAKEENDDDDVPELVPGENFEEVAQETKA >OB03G48070.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29096858:29097700:-1 gene:OB03G48070 transcript:OB03G48070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLPTSRVRSTAAISSPIPAAKRPSSISTQPPAKKIMARPSSAPAPPAISPAAAAAKKIARPMTTTATPPPPPAISSPIAAAKRPSSISSQPPAKKIMARPSSAPAPPAISPAAAAAKKIARPMTTTTTSNLAPAAPSAAKPRPPFSNSKTATPKKPGAPYDARPRPPCSNSKKPARPASGLSEPPVAAVSVSVHPVRRLTCGTAVYVRTRYVKITARCCLVIWLPARVVSSSDAYQYTVKYAADLHTMFAGRVVRVPVGNVRPAPHRATAAAERSVW >OB03G48080.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29098383:29102004:-1 gene:OB03G48080 transcript:OB03G48080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:J3LUT2] MRRPRRRSSRRRLWLMAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELIELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYKKFDAEIYVLTKDEGGRHTAFMSDYSPQFYFRTADVTGKVVLPEGKMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVIE >OB03G48090.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29102568:29105545:1 gene:OB03G48090 transcript:OB03G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin [Source:UniProtKB/TrEMBL;Acc:J3LUT3] MRATLDKVVASHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKNGELQETLEKAMCS >OB03G48100.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29102781:29108412:-1 gene:OB03G48100 transcript:OB03G48100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSTNLDAAIESLLNVEKQMRLAGDVPGTRKAAIDIVELCYKAAAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADLSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSIKEDPAKWIPVLRKICWYLVLSPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKEEYENEKNFLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLAELLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWASNLEKLLDLVEKSCHQIHKETMIHKAVLKA >OB03G48110.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29112776:29113848:1 gene:OB03G48110 transcript:OB03G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3LUT5] MAPPPPNANAAAAPSVSVSPLMLAVTGFSTFSDRLLEFFNQWDSFIRHATAISDELCALSTPAPVPVVEPEPSPESAPVPNPVPAAEPVPAPGPSQPGEPKPNGGGDDPSAEHMGRICERMGSGSLLRFVISRLGDLPWLLRTVPAALPRAPNPAELVLRAIGRFYIRARSKNTEAACELLLLSYVRAGCPLRPGREVADDELRAEAREAALSWRSRLVRSKGRVADAAANDARGLILLMAAFGVPVEFPSQEIYELLHAGGGLACAEVLKCSKLFVDKLRDVVADMLDKGIYHQTVATIVAFELQDAFPLSTLATCVIDRIGCTRI >OB03G48120.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29117765:29117962:-1 gene:OB03G48120 transcript:OB03G48120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETCNIHSRYRDHDMKFMSFQQPHKSIFAIVLVSEDSCWYLHTPQLVRRMQQTAEVNVYWLNMVM >OB03G48130.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29122763:29124955:1 gene:OB03G48130 transcript:OB03G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAPSGITRPLVEILRDLNKRVPDSVVRSSRRASPSGSDPVIPWYHVNRMLSFYAPGWYGEVRDIIYTNGKVTVIYRVTVRGTDGEVHREATGTTLLNDARFDDPVAAAEEAAFFKACARFGFGLYLYHEDDTP >OB03G48140.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29126821:29131973:1 gene:OB03G48140 transcript:OB03G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPVLKRPKLEKDDYESAYWPRPASNGSSASASSSKPQPPSSAAATQEEDDDIAEEAVLALISHREREVERCKLKLLHYQSLLDTAEKKLTEAQDRLARYRDRKAPPPTQREPKPSLAPATQRDPKPPPPEHKATEQSGRPQLVIPGANTRPAPRPEPMPGLKKTAAPSSSSAPAPLERPKAVEKKPKRKIEEKEHQNLIQSVKKSSATVLRFHGGTVVSSQHKRKLRCLELCPVNDQLVVTSALDGIITLWQVQPKGPSISLLSTTDCLSPKRRWPEDVAWHPDGDTLFAVYTADNDDVQVSVMNRNISGQKKVTFLPVKPHTKGNINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWNHKKVHKDLHSSAVMGVAGLQQKSTILSVGSDKRIVLFDLKAGRTESKNLIDNKCMSVLPNPCDFNLYMVQTGAPGRQLRLFDIRLRQTEVHTLGWKQESSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRHNGQNPCQSVQAHQKRVFKALWHQTAPVLTSISSDLNIGIHRYS >OB03G48150.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29132630:29132812:1 gene:OB03G48150 transcript:OB03G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFILSNSYHSIIQSPQSHTPPENKITEPIYLCNNMFLATRNSDLVERAIDVYKLQSPN >OB03G48160.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29132883:29134793:1 gene:OB03G48160 transcript:OB03G48160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKPEEPASSVAEEQPPQAAAPPPRRAVPPPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQSPRGAASPEAAAAVPALDPTKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSTMLGGLTNPAHKEQLEARIARMKEDPSLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGPSSEAAAAAGEHEEAEEDAGEEGEYEDESIIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELQCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEDVLKLLEKHAFV >OB03G48170.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29136163:29139823:1 gene:OB03G48170 transcript:OB03G48170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial PANC [Source:Projected from Arabidopsis thaliana (AT5G48840) TAIR;Acc:AT5G48840] MAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHRGHLSLVSAAAASADPVAVVVTIYVNPSQFAPTEDLATYPSDFAGDLRKLASTGVVDAVFSPADLYDRGTGRRAAASGGAVSCLEEEAGDGHETWVRVERLEKGLCGASRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRVICRMVRDLDFAIEVIGSEIVRETDGLAMSSRNVHLSREEREKALSISRSLVDARTAALNGNTDCEQIKNKIVQTMTENGGQVDYVEIVEQESLVPVEKMDGPVVICVAAWFGKVRLIDNIEIDTRS >OB03G48180.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29138647:29146331:-1 gene:OB03G48180 transcript:OB03G48180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MIPHLRRAVARRSLASAALLARDAPLHSAGGFPRLLHDASGATATDRGRKPGPLTLYRDLVSQGKLKQDIHQENVATQLDNLLRRLEQYEMEMEDYHARLSMWETSREKERRRLLVEEAEDKQRDGVWIDEKRGFLDKLVSRRKRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSIESTSFSWISNLPFDWKIKEWLIGEEKYKQDTQQKHILLAVADKFLVDRQANKSGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLVSTSNKAPEDLNQDGMQREIFLDLLSKLDENCNKILVGTEKDYRRLIPTDGLTQIHYFWPLTSDTRSMYEAMWHDITRQIGGNIISVTIPVMFGRCLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTVFITDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASCIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVERVHSSLQKQSSVIAKGSTVLQSAPSV >OB03G48190.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29148531:29149635:-1 gene:OB03G48190 transcript:OB03G48190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G65660) TAIR;Acc:AT5G65660] MSRSQLIHMNSLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLRSRHPDVILQEVQHTVISIASPSKTTSDHKDEKAGKECGLPVIMPGDKVPKFFARPCPHERCLPAEEEKEAEVQVKCSVSQFS >OB03G48200.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29153121:29154425:-1 gene:OB03G48200 transcript:OB03G48200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03510) TAIR;Acc:AT1G03510] MPWRHQRLASLTKVLTSHVNAARHRDAVAFFTRMLADPDLPPLPDPSFAFAFPLVLKSSSALRLPPAAVDSIHALAAKCGFLSSPFVASALVASYGACASPALARRLFDELPRRNAVVWSAMISVHIRSGDVASALRELDLMDVAPTSSCFNSVIAAVAVSGEHPARAIELYRRMRRMAVRPCLITLLALVPSCAALGALSSIKEVHGFAMRHGMFASCHLGSSLIEAYGRCGSLAAAREVFDQMQERDVVVWSSIVSAYAFHGHADVAMSLFRHMELDNVRPDGIMFLGVLKACGHAGHADDALNYFDVLTKRFGVEACGDHYSCLVDVLGRAGRLHQAYDVIRTMPIKATVKAWGALLAGCRKYGEVGLAEVAGRALFEMEPENAGNFVSLANIYSGMGMHDEAERVRRDMEQRGVRRLPGSSWTIHRKSRC >OB03G48210.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29155015:29159221:-1 gene:OB03G48210 transcript:OB03G48210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:J3LUU5] MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPPNAGLGAGARFGAMGPEPQVQ >OB03G48220.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29161439:29165714:1 gene:OB03G48220 transcript:OB03G48220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKAVTNPASASTSTSTPAANERSAPKPRKNPKEEGAPPATTNKKKRDNPAAQGGSKRKKKQEASAEAGTPAKKAKRQEAEPKPRKHKGAKTEKQQQLSGEVEKPTPSEKKNKEAKHEKEQPSGGAGKPASPAPKPTPSKKKSKEAKPEKQQSAGGAGKPTPTKRKLGDVQERASGEGQPRSPTPTPTKKRKDKAAAAAADHGAGSFPMARVRQIMRAEDATVRPSNEAVFLINKATEIFLKKFAEDAYQNALKDRKKSIVYDNLSTAVCNQNRYKFLSDFVPQKVTAEDALKAPVISQVNQPQ >OB03G48230.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29168338:29168985:-1 gene:OB03G48230 transcript:OB03G48230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALPRAASTLFLLLVLLLAPLLASAKSPISMPPSSAPTASPADEHRHHPADALAPSHSQPPSEASAAALSPPAPPQTSPLPSPSESPVPHSAAPAPSAMEHSAASAPAPSSAAKDEGDDEDDDMGKEEHSSTPAPAPAAEEIKAAAAGDKAEEGGEAGRHGEELNGGKKAGVVVGAFTAAAVVGLAAFVWKKRQANIRRSRYADYSARLELV >OB03G48240.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29169742:29171957:-1 gene:OB03G48240 transcript:OB03G48240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRGGGAGGGGGGAGGMAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQILTDNGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLACVPAGNSKKFLYTPYAADSDKSEEEAAEELRKAASAQGGKATKGQQKKRATALR >OB03G48250.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29172659:29173751:1 gene:OB03G48250 transcript:OB03G48250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MSALRLCDGAVKSPCARKLVAGKGILVLACRQKKQPTLRFLRASPSFRTNSRQMQWSIEAMADDNTDQPGDNNTRLFSAIQSFLSDLYGKLKKLRKGLPLKVLVFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFSFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >OB03G48260.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29175135:29176685:-1 gene:OB03G48260 transcript:OB03G48260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25270) TAIR;Acc:AT4G25270] MALPATISHTTCALPRRRRSTTSPARRRGPPSRVHPNSGVALTHRAAPPATQQPTLDSVLSDLESNPRLLTPDLLDSLLAALPRHPSPRRNLARLRLLLSVSLLRRYPALACRLLHLHASLGLVSYAHHLFDHLLPARAREDAFPWNCLIAGYSHLGRHDDAIALYLQMEEEAVPRDGFSFTSALQACAGVASLALGQAIHRDVVRAGLASDVSVCDALVDMYAVCGDTRRALQVFDAMPDRDEVSWNIMLVGCLRHGLSHQSMELWRRMLGEGHKPDSMTLSTMLSIPPSACDNGKMGLEIHAWAIRHGIETELSVANALIRMYSDKDKQIHALSVFESMTVRDLLSWNAIIAAHLQDYRILMIFRRMVDSGMRPDETTFALVLSACDKLGLVEGGIRLFSEMENEYRLLPTMEHHTSMVNMLGRVGMINEAYEFVAKRNLLNSEPSILRALLQACLMHRNTRVGEIVAKKLIDLEPDNTHNFIMLMEIYQNTGRLIELETVNKTMRDRGLSCQS >OB03G48270.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29178516:29180081:1 gene:OB03G48270 transcript:OB03G48270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGKIKTVVVLVMENRSFDHMLGWMKSLNPEIDGVTGDEINHLDAADSSSRAVRFGDGSEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVSSPPMTGFAQQAEKEKPGMAETVMNGFRPEAVPVYRELVRQFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDTKLLVAGLPQRTIFDSLHDEGFSFGIYYQYPPSTLFYRSLRQLKYVGNFHPFDLAFRRHCAEGKLPNYVVVEQRYFDLKILPGNDDHPSHDVSEGQRFVKEVYEALRAGPQWEETLLVVTYDEHGGFYDHVPTPVGVPSPDGIVSAAPFFFEFDRLGVRVPALFISPWIEPGTVVHRPSGPYPTSEFEHSSIPATMKKLFNLKSFLTKRDAWAGTFDVVLTRDTPRTDCPSTLPEPVKLRPTEAAEHAALTEFQEELVQLGAVLNGEHADKDVYPHKLVEGMTVAEAARYCNAAFKSWMEECDRCRKCGEDGSHIPTVVKPPPSTSSSGSTSSFASKMLSCFACGRSS >OB03G48280.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29182385:29182758:-1 gene:OB03G48280 transcript:OB03G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGVRGLAMMPGSVAPRRRGSAATGGAMDAPGPSPSVHGTWNLRSSRFLLPGQQLLQQFFNLPVDNTQRSTNAKAATTALQAQQDVGAAPGGRGDGVGRRRQ >OB03G48290.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29187227:29187730:1 gene:OB03G48290 transcript:OB03G48290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERVIVKILSVVVAFKMSMVIMIVGGIKAPKVCNSGILLWPMIAIGLFLVVTCMFGCCGVSEDGIFICFLAGVLIAMLSLVVFVLFAFIAVGGIDAGQVKLQEYKLEDYRGWLRGRVADPHYWATTVACLRRRDVCELSSDPNLAEKYNNDNYVISPIEVAYFRL >OB03G48300.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29195996:29197797:1 gene:OB03G48300 transcript:OB03G48300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGLLFMIISISACCGQLLDEEGCFMCCCFGLFIPFLFLLVFLIFGYIAVGGMDFHRSNKIHEYNLDSYGGWLKGRVADPNYWSTTSVCLRDMDVCSGMRQLERDPQSGLSVNLRQLQCRN >OB03G48310.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29203991:29206964:-1 gene:OB03G48310 transcript:OB03G48310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73-I [Source:Projected from Arabidopsis thaliana (AT1G61010) TAIR;Acc:AT1G61010] MASLAAAPPGERQACQASGGREGDQLLITPLGAGNEVGRSCVYMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLKAAELPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIEALNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELRPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEVGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEESDVPSLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTQEETERVAQKVVYALMVSLFGDVKVAEEGKLVISVDGQVAHLDGRSGDVECENASLKERIKTAFRRIQGAVRPIPLASS >OB03G48320.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29208025:29209008:1 gene:OB03G48320 transcript:OB03G48320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILLSLVKEFATPLFLLVVGPLQAPDVCTHGVFLRPTVGIGIFVMLVFILRCCSREQDNSINSLFRCYLVGVSVAVIALLGFVVFGFVAVGGVDLGQLTAHTYKLEDYGGWLKGRVADPQYWAATAACLRDEEYVCRGDGAGVTRRRQEDPKTGVVSDVVRELYSYEHRIDAMTSLHKMPPIEAGCCTPPRSCAMIRDDTNGTTTTLTRNADCGRWSNDEETLCFQCDSCKAVFLDNTKKAWTAFVWAPTLALIALVCRCQRELFA >OB03G48330.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29213203:29214242:1 gene:OB03G48330 transcript:OB03G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVKDGLSSILESARETGDELEGMPTTQSMSRKNFFAFLLTLKLSAIFLVAGLFMAPIVCTHGIFLWPMIAIALFLMLVLVLGCLGHMLDNVCLCGCHLLGVFIAIVALLGFVIFGYVAVGGVDLGSRKVLEYRLEDYSGWLRDRVADPRYWAATSACIRDRNVCFAVKQPAAMVHGPKTGVFVLRQLSSYQGPAKERRIHAGLQQMSPIEYGCCKPPSSCAFTSVNGTTTPTSTPADPAVVTNGDCSRWSDDEETLCFRCDSCKASFLDDTKKAWNNFAWIPIIGFIMLIATYCWRME >OB03G48340.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29216234:29217946:-1 gene:OB03G48340 transcript:OB03G48340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIKQIMGIPAKAFQAFLVSSRKTALHESHWKQSVSSSLLHLLQSSLVTMAAGATLGLGVAVCAVPFIYVDAHDRGGMQHPTCGIQFTRGEVPIPRVVHATPEPPTVVIEFVGADRQRAEVDASDGNIAEDDEADDGEDRNGRADGVAAVGGGRVPSPCFRQQKPKMLMARRKRPIAMVGPEKTPRLQTSGVFLVPTSSSASGTPSTVVMVAITFVARSCDD >OB03G48350.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29221000:29226900:1 gene:OB03G48350 transcript:OB03G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVENGLDAAADGLETLAEAVEEAAEVKRMPPMQRMVWKLRFAFVITFKVSVVFLVAGAIVGLTACSRGIIWGPMVAVGVLLTISLILGCGGLKHDREGLYDCYRAGVLVAVVALLGYLILAYVAVGGIDLTAVKEREYSLDDYGGWLRARVADPRYWGTTSACIRDRNTCFVVREDDSSIFLLRRQLSSYQGLQENERPVMSPIQSGCCKPPSSCAAFTYANGTATTPAASAMVTNADCSKWSNDEETLCFQCDSCKAGFLDDTKKAWNGFAKIPIIGVLFPSFFFTSRYRCLKIERSQLYGSSRYQLDRGVTARMFAAINLLCGIAEAPKLCTGGVFWGPMLAIALFLMLVCISMIVAARLKFSDLYVCYLVGVSIVILALLVFTIFGFVAVGGIAGSGGSRVGEEYKLEEYSGWLRDPSACLRRGDVCNGMAQLMRDPDTGAFVPWLSSDQRWEQDRGADVHQMSPIESGCCKPPSWCAFTYVNGTTWTPTPDAPANADCSRWGNDKETLCFQCDSCKAGFLHHTKRAWGPAVIFPIVTLVFLICACSCLFSGDD >OB03G48360.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29229798:29230157:1 gene:OB03G48360 transcript:OB03G48360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIALFYLLFSIAFCGRNNLDAVYGLFVFGQFIVTLALLALIVFGYVAVHRGGWLSARLANPSYWARTAACLRHRDVCYLNKNHDNHGISPIEL >OB03G48370.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29240768:29240920:1 gene:OB03G48370 transcript:OB03G48370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLWLLLLQFFAFDQLAASSSSSVVCHPISFSTSSVCSSIDLHHHIVLIS >OB03G48380.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29245495:29246901:1 gene:OB03G48380 transcript:OB03G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDDMAVPPATYLGGGGTSSSSSTSAWDFARPPEGEAREWVAQVEPGVQITFVSLAGGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQVGSTRGSPAATPSPAPLTPDRVTSWSAFVRPPPASRQQQQQHSFRPLSPPPPSSSNPSERAWQQQQHQQQQRAGKSPAASDGVDAARTTSCSSRDEGAISNASELEVTEWVIQDEPGVYITVRELADGSRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >OB03G48390.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29247120:29251753:-1 gene:OB03G48390 transcript:OB03G48390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWRERSKGWQDLKFEAAEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSVRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >OB03G48400.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29256116:29258563:-1 gene:OB03G48400 transcript:OB03G48400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPPPPSAEAPRQRLAGAAASPVPATNTTAAAVAVEPMVVLKGLVKPMSQASIGPRNPLPNEEKDEDESEEEEEEEEEAEEGPVIPDRATIEAIRAKRQQLHQPRHPFPDYISLDGGGVLSSRDAAAGSSDEEDDETRGRIAMYAEKSDSQRSTKGVFAAINNRGPAASLGVINDSFREVEDDKDDDEDEEERRWEEEQFRKGLGRRVDDASAQRAANGGPAPVQVQPQPSGYSVDPRYQPSFTGVLPGASVFASGSTEFLSIAQQADVASKALKDNIRKLKETHKTTVDALVKTDTHLSEALSEISNLESGLQDAEKKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVTAVSERRAADLADESSIIETAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAAKESSNMLPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLPSTGKSANDEHIEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSSLRIVKDKFEGWKTQYPLAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTDFFDMGWHKILFDYGVQNNESATDPNDADMNLIPVLVEKVALPILHQRIMHCWDILSTQRTKNAVDAVNMAISYLPTSSKALHQLLATVNSRLTEAIADISVPAWGSMVTRVVPGASQYAAHRFGVAVRLLKNVCLWKDIFAKPVLEKLALEDLLRGKILPHMKSIILDVHDAIARAERISASLSGVWSSPSQKLQPFIDLVVELGNKLERRHMSGISEEETRGLARRLKNILVELNEYDKARAILKTFQLREAL >OB03G48410.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29258274:29258810:1 gene:OB03G48410 transcript:OB03G48410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVARSGMTGPSSASSSSSSSSSDSSSSFSSLGNGFLGPMLACDIGFTRPLRTTMGSTATAAAVVFVAGTGLAAAPANRCRGASADGGGGGGALMRLPGSGRAFFWSSRRRSGVYSPACDWKLDGFGAGTAAATAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRAGRCGPAGP >OB03G48420.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29259435:29261929:1 gene:OB03G48420 transcript:OB03G48420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGILLRHCSVGPVSHPQAVSRVSDLQAPGCIAWRHFSTCKSNALAKVDGLGSVSCFYSQARWASQAAAVKETETSGSKISIGPKAKKNIEDDKDEGLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAETMTWLATPLTRTVKFADVRPPETNRPFVTFKADGNFYFVDAEHFSNKALLARLTPKKHSHESAFKNL >OB03G48430.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29262422:29265433:-1 gene:OB03G48430 transcript:OB03G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRKRPAAFAGFSPFARSLLFSASSSKPLPAPVDDDDEIPSENAASHSKMPPPKRGKRAVPSSDEDSYSSESDDGEGSSEELDTVQADFAFYDPKPSDFHGVKLLLNTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDNEEGEGNGADKSSSGDGDDLFGLISVLNLGRYGEQRCIKDLKDYLLAVCADKDTKKKLKQLLEEKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFIFKQYLLVVRVLERKTPAKQKAKNSIEEDEPIIYPKLEDEIFRELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKAESIPKFRKKLEALLSE >OB03G48440.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29265765:29266253:1 gene:OB03G48440 transcript:OB03G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein [Source:Projected from Arabidopsis thaliana (AT3G02910) TAIR;Acc:AT3G02910] MGAAEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPFLLNLPGAGHRVAGELYAVTARGLERLGELEGVSRAHYERLPISVLLAEGAQVDAVAYYAHRGYADDLWARSGEKGYPEYSAAVADGYIRRKDRPQQLSFLEQIRVFVSSQS >OB03G48450.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29267823:29271584:-1 gene:OB03G48450 transcript:OB03G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKLPSDPIACRRSGALQICKLAAFAIAEEEAKEKEEGCFCLFGRRLGSARLLRLIVIGLLGLVAVVYSPASVYSKATPFLTKLRFFCNLLLLPSPAAQISAAVMAFDAFTDKNALFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVEAKYTSRAAELYRQILQKEVAKSSADNVLPSSPVAASQPQNPSDDFPEFKLPDAPAENTNGKQEPDVATKAPTQTPKAPTHPTFATSVKKPIGAKKVGGKTGGLGVKKLTTKPSESLYDQKPEEPKPAVPAMTTSATKSGPSLHSRFEYVENEPAVDSRNGGTQLSGHVAPPKSSNFFQEYGMDNGFQKKTSTAASKTQIQETDEARKKFSNAKAISSSQFFGNQSREEKEAQMSLQKFAGSSSISSADLFGRRDMDDSNLDLSAADLINRISFQATQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >OB03G48460.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29272373:29275170:-1 gene:OB03G48460 transcript:OB03G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46210) TAIR;Acc:AT3G46210] MEETRADGRNANQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGDDGSLLPCAINASCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTSKSEEQQLKSFAHLVFPNSRKSAGSKEANQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQVPGDV >OB03G48470.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29275458:29279430:1 gene:OB03G48470 transcript:OB03G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G46840) TAIR;Acc:AT5G46840] MAKKHKEPASDGAAAIRSLFSADNPFRRKPSDDEPAPPVPTVTAAVSAALGDPESQAEAGPSAKERKDGARRKRKRDEVEAGYERRRLGAPGEEPAPPPPVVGEKRKVPEDLAAAAGARAEEEEEAFDDESKLLRTVFVGNLPLRTKRKSITKEFAAFGEIESVRIRSVPLSDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMGLFDGNHIRVDMACPPRKKLKGEGALYDRKRTVFVGNLPFDIKDEEVYQKFCGSSGSEADVEAIRVIRDPESSLGKGIAYVLFKTREAANTVVRKRDLKIRDRLLRLGHAKSTDSTPKKTMDAGKTKGMSKHKIALTPSSKSHEGGDKTKRKASALSYQGLRASKSGVVKKAKVSQRPSNQGKQQGRTSETGHDSSSRIAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >OB03G48480.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29287528:29288580:1 gene:OB03G48480 transcript:OB03G48480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENNRRRIVASNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDPSHRFESMGNSDNFLLENYMPTGQGFDSSSSTRNSGVTLAEVPTNSGLPYVATSSGLSAICSSSTPQIQCPVVLDSGISKELPNMSAVPSVPKAVAPGPSDIKIPEFPDLQDIVTGENVNMPGGGFEMPGPEGVFPLPEEGDDSVPIETDEIFYNDDTQKLPAIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETLQENGWTKAENMANLTEQMGLLSSNHIV >OB03G48490.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29290217:29292531:-1 gene:OB03G48490 transcript:OB03G48490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3LUX3] MHPRRRRSMAAAAAVWVWVCMSMVAVRSAADGLEDVPTIAFEEGFSPLFGEDNMEKSADGRTVSITLNRYTGSGFISSDYYHHGFFSASIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYRHEWKMQTNVYGNGSTDRGREERYLMPFDPTADAHRFSILWSSRLIVFYVDGVPIREVPRSPAMGADYPSKPMALYVTIWDGSTWATDNGKYKVNYKRGPFTAVFSDLVLRGCRARSDIRLASVSADEQDRCAAEEEEELMSASYATMTARKRLAMRRGGGGGGQMLYTVCYDTNRYPEPFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRSKRRPSPFPPPPVLVSLQQAD >OB03G48500.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29296189:29299763:-1 gene:OB03G48500 transcript:OB03G48500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAMALDEHVLEPTIRKRKRVGATQCTEANDALNLSRDGPKQNLALFHDSTGHKKPKMMSPATDILERYKNFKISGMPVRVLSYQHGGWRDFPEDVVNLVQQSFQFKRPITSAVFQNRHVLLNFMEMICLDSVMAISTPIAWIDDHGKSFSPDSCPGVIPSEPLQHGKNEFFKGSCGLNSSYEAHEHDEMSASPAESSSSASFDVVPSDVQEVNNVVEDEQKVHNESGRNIKGHSIDLNEAADGTMQAAFTNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPLLDQHGRSRYSLFQKEVQAIKNQRGNANERYAWLACTKDTMEEMMMQGALEIAKPLQGPMYGVGAHLAPANCSNICVGYSDIDGNAIIRMMLCRVIMGNVEVVFPGSNQCQPTSKSFDSGVDDLQSPKHYIIWDANVHKHIYAEYAVIVKAPSMNNGNLATGDTASNISEIRNSSALDYPTKDDSLQTIASSADQQQACLLGRAPSPRSPSSPWMPFSMLFAAISAKVPHSDMDLVHRYYEEFKRRKISRADLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAHGKGGGTSP >OB03G48510.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29314672:29319884:1 gene:OB03G48510 transcript:OB03G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTEEEANAASAVQAAAGDLADVVARANARHHHSPLPPPPPMPPHQYYPTIPYDHLQGASELHRPTSIGYSDAAAVFGTGAAPSTVDYSYQLPPSGGYGMPRPLAVQISQCGDVVMAGAAAGAADDGEDATRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSGRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYARSHHTHASTSGSRHHHKLPAALQITPCTSSSSSSSSSSCNLYADVLGGQHANMMMMTTEAAAAGLGNGGGLQSAAADEVFAELEELEPDSGINPTMINANMVYSMTSSSSSYDHQRHKF >OB03G48520.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29328573:29338831:1 gene:OB03G48520 transcript:OB03G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRRRNARPDFGGWPDLPPELLEGIMKLLSPLDRVTVRLVCSSWRTCARASFPSDLPFDGPRLLLRRPGPGGILAFFSLRRRQILPFALPSRLSAARCCGHLGGWLAMAFDDDREIALCNITSGESVPIPRPPVFPVAKIVLSAPPTSPGWVVAVLGRSGTIALLQTQPEGGRWMTLEEQGARHGGFEDMAIWRGRLCALGSDGTVVAYRQRVRGRLCMYLVVDRSGELVVVRREYVVRQDAVEVEVEVFRFAAEERKWEAVEELGGQALFVGSVASLGTAGGSETGIRENCVYMARREVEMMVPHAIGVYSLAEEEVAALAISGGHSATVEPVWINPSLA >OB03G48530.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29338852:29339061:-1 gene:OB03G48530 transcript:OB03G48530.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLLLLIQDIFFRINEYEDLFVKHKIQSEKVKFPAFDSFSGLCNYKFQFSEIEYYLFQRVPDFCDKLPNR >OB03G48540.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29339410:29343527:1 gene:OB03G48540 transcript:OB03G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKASAAATLAVTPSAADLRFKASATDAAFTRGPSLQGLTLTLEKPGSFLLDLKLHTKDVRFQFMNSALLLDRRVSLTYTHSTNLSPGPDAPPARTALDCSLTFDPANKLSLSHALGSAGCRVKYSYAHGQDRLTTIEPCFDTAKNAWDFAVTRKFQGGDSIKGTYHASTKLLALEWNRDSNIGGSFKVATAFDLSDQSKAPKLIAESTWSYEV >OB03G48550.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29347447:29349121:1 gene:OB03G48550 transcript:OB03G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSDSDNQRFERALATYDGDTPGRWELVAAAVGGGKTADDVRRHYERLEADLHRPPGRGELVAAAVGGGKTADDVRRHYERLEADLHRIESESGPHRHHDDATQSNGHHTNNTGKRA >OB03G48560.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29349824:29350905:1 gene:OB03G48560 transcript:OB03G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAEKPGNLGGSLPVPNVQDLAARPADLTPALLRRYLRPPHAATTYDYNDARLPVVDLVRLLDPGLAGEEEAAKLSHACEEWGFFQVVNHGIPEETVEEMKRDVMAFFHLPLAQKAAYAQRPEWIEGYGQAFVKSEEQTLDWSDLYFLATQPPSYRDLRFWPPGSTFRRSMDRYSAETQRVAVELLRAMARNLGLRDAGEMTRIAAAQHMRMNYYPPCPAKELWDRVLGVSPHSDAVGLTLLMQLSPVQGLQIRKDDGACWLPVDPIPGALVANVGDIIEVLTNGRYKSIAHRAVVDARQERMSVAAFGSAPYGPLEEMVGGGEALYRTISVEDYVRLVISSKLDGKNILDSVKII >OB03G48570.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29352719:29358268:1 gene:OB03G48570 transcript:OB03G48570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MAAAAPAFLFLSPPGKLPGKPKPSPNRKQPCASSSSSSSSSSSPSLAEQLEPLSRTLLHGKPTPTEHAPGPTWPLLRDLRALPDAADLAPTLHAFFPPSSPPSASDALLLLNYLHPSWRKSLSLLAWLRALPAFPLDTILFNVTLKSLRAARQWPHAEGLALDMLASAVPLDNITYSTLITTARRCRQFDKALHWFERMYTSDGVLPDEVTYSAILDVYAQLRMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFREMREVGIKPNIFVYNALLEALGKTGKPGLARNLFDEMTSEGVEPNARTLTALAKIYGRARWGRDALQLWEQMRQKKLPADNILCNTLLSMCADVGLVGEAEQLFSDMKDPDQGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVECGIEPNIMSYTIVIQCLGKAGRIRDAVEVLETGMSNGLEPDDRLCGCLLSVVALSSGEETEMVLACLEKVRSDLVKLIRMLGDAGVGADDLTVKLKGILNAVAPEVRRPYCNCLIDICHNHGYPSERAVELFRLARLYGLYSKIHTKKEEEWSLDLRSLSVGAAKTAFDEWMKTISEHKEGLPQTFSVYTGSSTHKFAQGLAAAFAAHLEQLEAPFHASESQLGSFISSRDDLVSCSSFRSTKQHPPEIADRQDKMILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGAENLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >OB03G48580.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29360067:29365939:1 gene:OB03G48580 transcript:OB03G48580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku80 family protein [Source:Projected from Arabidopsis thaliana (AT1G48050) TAIR;Acc:AT1G48050] MARNKEALVLLLDVGPSMHGVLQQVENVCSTLVLKKLVYNRSDEIGVVLFGTKETCNELAKELGGYKHVVVARDIKVVDEETTHALQNLPRGTSPGDFLDAIVVGLDMLIRKFGNMKGKQRMCLITDAQHPLRDPPQGTKKEQVDTIADQMKKHEMKMDCIVFRESGVLHNAVMDENDQLLYHFRERSVAKVVQVDSPTSLLGALRTRNILPVTVFRGDLEVSSNFKIKVWVYKKTSEEKFPSLKKYSDKAPASDKFATHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSIFRHYFMKDVFSFVPEPGNRKAAVAVSALARAMSEMDKVGILRCVWRQGQGNVALGVLTPNISSVRNVPDSFYFNILPFAEDIREFQFRSFSSLPASSQPTEEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMLERFYSFLDLKSKQPDANVPPLNKCLKRITEPVIDYQAPLIKKLGNAFELKENPKKKKARTQDRLTYTGADDQTKSLDELSAEKAGVLEALYPPKEKVGGIGDHSPVQDFEAMLSQRSSSAWVQKAIEEMQKYITALIQDSPDGDYHRKALECLAALRKACIIEQEPKEYNGFLTKLCQEFRAVDDAKFFQLLLSKNASLISKEEAPDSDVTEEMARNFCLKSEPSSQ >OB03G48590.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29365266:29368244:-1 gene:OB03G48590 transcript:OB03G48590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3LUY3] MAAPITAAASPLLFPLPAYRVQVIGAMLNRTSASFSRQTASFPSIRLQPVANRFKVSCSAKQDTIDRVCEIVKNQLAVEEGTTVTGETKFVDLGADSLDTVEIVMGLEEAFHITVDESSAQVIQTVEDAAALIDKLVAEKDA >OB03G48600.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29371014:29378045:1 gene:OB03G48600 transcript:OB03G48600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MFSHGTDSAPEAASGFPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICNLSPGIHQYKFCVDGEWRHDERQPTITGDYGVVNSICLTGNFDQLSTILSPSTPGNRMNMDVDNDNFQRNVSLSDGIIQEGSQRISEAAIQISRSRVLDYLKGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILTELETHGSNLTEEQLETHTISAWKEAKRQTYGRNEGHWRTNHHLVHATPYESLSDIAMKILQNGVSTVPILFSSSSDGSYPQLLHLASLSGILKCICRYFKNSTGNLPILNQPICTIPLGTWVPKIGDPNGRPLAMLRPNTSLSSALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >OB03G48610.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29395899:29397049:1 gene:OB03G48610 transcript:OB03G48610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQQQKQKQVVFDASVLSGQMDIPSQFIWPPDETPSSLPADHLDVPLIDVGAAVARHEVVRLVGEACQAHGFFLVVNHGIDAALLEDAHRCMDAFFTLPLADKQRAQRRAGESWGSASSFTGRFASKLPWKETLSFRYSAAGDGEGVADYLVGKLGEEHGRRLGEVYERYCGEMSRLSLELMEVLGESLLGLGVGDRHHYFRRFFETNDSIMRLNYYPPCQRPLETLGTGPHCDPTSLTILHQDDVGGLEVWVDGRWRSIRPRPGALVVNVGDTFMALSNGRYRSCLHRAVVNSRAARRSLAFFLCPEMDRVVRPPGELVDDENPRAYPDFTWRALLDFTQRHYRADMRTLEAFSDWLHLHDHMPMPPPPPPSLAIPFP >OB03G48620.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29407692:29410175:-1 gene:OB03G48620 transcript:OB03G48620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTWLSPSSRLPSLSHPPRSSVPRLPRSNIRRLRLRATEQQQQDEVVDSNVLQYCSIDGKKQKRTLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSTVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDDLKLKLKKDGSGIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQLPEPFGFIFTWFAALPLILFIAQSITNAIVNDFLILKGPCPNCGTENLSFFGTILSVSSGGATNKVKCANCTTEMVYDSKSRLITLPDA >OB03G48630.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29412360:29413913:-1 gene:OB03G48630 transcript:OB03G48630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLLRILLGLVLPLLHVDVTAADLPPSNKLVFILAGQSNMAGRGGVVASHWAGIVPPDCAPNPSILRLSPQLRWEQAHEPLHDGIDSNRTCGVGPGMAFANALLRSGRAGGAPVLGLVPCAVGGTRMADWLRGTDLYADLVRRARVALETGGRIGAVLWYQGESDTVRLADANEYGRRMLMLVRDLRADLAMPHLLLIQVGLASGLGQYTEIVREAQKGLKLRNVRFVDAKGLPLQDGHLHLSTQAQVQLGHMLAQAYLNYGTSTL >OB03G48640.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29415712:29416212:1 gene:OB03G48640 transcript:OB03G48640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANAVRASRAIPASTVIGLVPCAQGGTPIANWSRGTELYERMVTRARVAMASGGRVGALLWYQGEADTIRREDAEVYAGRMEAMVRDVRRELALPELLVIQVGIATGQGKFIKEVREAQKAVRLPWLKYVDAKGLPIASDYTHLTTPAQVRLGKLLANAYLSTL >OB03G48650.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29418750:29418932:-1 gene:OB03G48650 transcript:OB03G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMKAEVPPRTNESFMAKQHCSGVSRVYDGPPRDWSPDPDPLQQLLLWKGCHVRCLKCF >OB03G48660.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29421765:29422055:-1 gene:OB03G48660 transcript:OB03G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPVGGGGEEEKKEKEKLCRRCKATYAPSSNTRLSCRFHPSFFVCRRHDDQKRYYQLRHGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDPDA >OB03G48670.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29423708:29424482:1 gene:OB03G48670 transcript:OB03G48670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3LUZ1] MEREQVSSNKKKKPKPMNKCKKSRFRRVCVFCGSSPGKKASYHLAAVQLAHHLVERGIDLVYGGGSVGLMGLVARAVHGGGGHVLGVVPKSLLPRELIGETPGELKAVAGMHQRKAEMARHSDAFIALPGGYGTLEELLEVIAWAQLRIHHKPVGLLNVDGYYDSLLAFIDKAVHEGFVTPAARHIIVAARTPSELLSKLEDYVPHDASMLEQHQHNCSPNPDMPR >OB03G48680.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29426714:29436962:-1 gene:OB03G48680 transcript:OB03G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTLTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIADLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQAAGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVQYQYTDNAMFVRDYTIKLLGSSFPNMTPAEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >OB03G48690.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29441640:29442452:-1 gene:OB03G48690 transcript:OB03G48690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEKRRLLSSASLPPARLLVFFAIVVFFLSVSSYVDYKAVERRAEIGVRVFAAPLAAVSVFVLFLVLQHRRRYWTLPHAAAPAVHQPTPWAVALLVAVLLLMLSFQSSVHSIWFRPLWDSDYY >OB03G48700.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29443133:29447638:1 gene:OB03G48700 transcript:OB03G48700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00090) TAIR;Acc:AT4G00090] MAMAILLSILLGAAIALLFFLSRRNRPSPAPVPAPDRPKKVRPSNPPKKRYASASASADKDAAKKQHHLVVNTLRGHTDSVTTLHFSDDGCNLATACADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSEGASSVVVAAQALLGSSLYMYADVGAPPAGGSKQQGKLSPPEIKWEHHKIHGKESVLNLAAARATYGTGDRSTILISCSEATDIKVWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKLWEIVYSKDSSVKEVTRVMQLKGHKSAVTCLCFAPNSEQIITASKDGTIRVWNINVRYQLDEDPKTLRVLAIPLHDSKGSVCQYDHMSVSPDGKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGVPAFVLATAGVDKKVKLWLAPKVIST >OB03G48710.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29452080:29453042:-1 gene:OB03G48710 transcript:OB03G48710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAHKMEKQVAATTALRRAMEELADAEHGLRKLLQSGGAGCHRRSLSASKISVAAEQQQLIFAKKQEVKHLKQTSLWACTVDAVVSSLARAAFTILARIKFVFGAGHDHRPTPLHRSLTLSSAGPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPAAGWRRRWRSSWWGSTTSGGSRRR >OB03G48720.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29455397:29455558:-1 gene:OB03G48720 transcript:OB03G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSFSTRSSFLWRALQYLYLRYTIFVPKYLTSLIFLYIFTRLSYSKNLYNY >OB03G48730.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29456005:29458004:-1 gene:OB03G48730 transcript:OB03G48730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPCCCLPSPTVAPGKEKRIIARSGSKPRYLGVQKTPPSLALCPATNNCVSTSEDITDSNHYAPPWNYNPKDNKPISKDEAMKQLIQVVMETRPDNFSPRLVEKTDDYVRVEYESPIFGFVDDVEFWFPPGNKSIVQYRSASRSGFIDFNANKKRIKALRLALEKKGWTSESTI >OB03G48740.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29460314:29460493:1 gene:OB03G48740 transcript:OB03G48740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDAPRCSSVDLRLQGGGDRWTPPGQIWTARGLGHPWRPHTASLAPTSSQRRGRAPD >OB03G48750.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29461354:29467370:-1 gene:OB03G48750 transcript:OB03G48750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G22790) TAIR;Acc:AT4G22790] MATPPSHDTKTWPESVAIEFRAQRGIALPLIAMNLTWFAKLAVTTAFLGRLGDLQLAAGTLGFSFANVTGFAVLTGLCNAMDPICGQAHGASNAKLLRKTLLMATILLLAASIPIAFLWLHVDAVLRRFGQQPDITANARTYVVCLLPDLAVTSFLSPLKSYLSAQGITLPTLFSSALALALHVPLTMWMARTKGIQGVASAVWISDLAVAVMLAAYVLASERLRKAAAGGWLEQTTGDWLRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRMVGVMAVTLNFDYLLFAGMLSLSVVNFPLNVCGGIVRGTARPAVGMYAVLGGFYVVALPVAVALAFKARLGIDGLLLGFLLGAALSLAVLLTVIARMDWAAEADKARRRVHATATTTAATGDAC >OB03G48760.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29474820:29478296:-1 gene:OB03G48760 transcript:OB03G48760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQLQVGLLVAAFAVAMVPTTAWCRELCYNSSKVASASPPPGAPMATAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMNFANGEPTGRYSNGLIPTDFIVQGLNVKQLLPPWLGVELSPEDLKTGVSFASGATGYDPLTPVIVSVITLDQQLEYFDDYRAKLVALVGEKETTRIIDGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVDLLVSGADQFLDKVAAKGARKIGFVGLPPARGVRAGRGGAGGGAAPPLRGEAQLRGQALQLPHGGGDRREETRSGHADGVHRHLRHPQRSGGERRQVRLQRDGARLLRHRHHRGHRPLRHPLRRHLRRRRPARLLRQLPPHAARLQDHRRQHL >OB03G48770.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29481821:29487664:-1 gene:OB03G48770 transcript:OB03G48770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPPKRSPWKQPSSTPNGATMDARPPPPAVIDANSWPALSEAARAPKPTNTDYSSSSSSPAASHARHKPARRADHSPRDAWDSGPGRGAAPQRPHNGAAGRRGSGARRRGGFDAFYRGPPIGIAHYMRPAPPPPPPMAVAPPFMGGPPPPPMRPFSAAGPVVFHEMPSPVSPVSPMYYVGPPPPPEALRGLPFPPTMVAPPPYPYYHPSTDPDPEPENEPEPEHHTDPQQHRANLLKQIEFYFSKDNLCTDVYLRRNMDDQGWVHIALIAGFKKVKESTGDLQYIKDTIQSSSILEMQGDKIRRQNDWNKWVIPRESKPGSSTAPNPNINNLTEHLGGMGLQESAASSSTSSTVDENHREVLPNGPTSGNNQTPVVEDGAGKL >OB03G48780.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29490306:29495772:-1 gene:OB03G48780 transcript:OB03G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G28070) TAIR;Acc:AT2G28070] MDPYRSSSSSASSPALGRRYYLPRPARPISFEDSPDWADDVDSIHLATASSSSAACRDRKVAGATLVWKDLSVSRTLTLRDDTTTRRVLKSSTGYALPATLTVIMGPARSGKSTLLRAIAGRLRAAERMYGQLFVNAANQRLPYGSYGYVDRADVLIESLTVREMLYYSAHLQLPGLFSSKTSVVEDAIAAMSLGDYADKLIGGHCFMKRLPTGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSDGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLTEKEGPLLKRKARASNTTRIGVLTWRSLLIMSRNWKYFWSRFALYMLLTLSVGTIFSNIGHSLSSVMVRVSAIFVYVSFVILLSISGVPAHIDEIKIYSHEEANQHSGTMVFLLGHFLSSIPFLFLITISSSLVFYFLIGLRNEFSFFMYFVITMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCSVWKYPLSYVSFHVYAVQGLLENEYVGTSFAVGAIRTIPGVQAVGGSYEISSSVNAKWVNLLVLVVMAVGYRVILYVLLRVNVRKHMRLIVRWCLRSWTPES >OB03G48790.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29498302:29502372:-1 gene:OB03G48790 transcript:OB03G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGSSLLLLLVGSSPIRRDRRRRRSASVPARRPRVGAVRASAKDIAFDQGSRSSLQAGVEKLASAVGVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKRGIDKTVQSLVQELEKKSRPVKGSSDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVENTTVEQLGIARKVKISQSATTIIADVATKDEIQARIAQLKRELSQTDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKDSEWEVGYNAMADKHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASEGSLAM >OB03G48800.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29500074:29500232:1 gene:OB03G48800 transcript:OB03G48800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWRRALRLSPKPGALIAATLRIPLSLLTTKVANASPATSSAIMRRGALN >OB03G48810.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29505364:29508581:-1 gene:OB03G48810 transcript:OB03G48810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVYEQDPDVLRWNLHLLLPPAAALPYHQHQHDAVANDEMIAHALQEELSNVAFAEASASSSSSSSCVLTQRWSLPSAPPLKDDGNYDSAPSAAEPFASCSSPGDNAQDCVIEFVDDFSALDGQVGKRLSDMIPIPHVPKTNGEIPSVDEAFSDHQRLLDRLVLYDLAELKVKGDGNCQFRALSDQFYRSTEHHRFVRQQIVRRLESYPEIYAGYVPMDYREYLNKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVICLSFWAEVHYNSIYPEGELPALENKKKKWWHF >OB03G48820.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29511905:29512117:-1 gene:OB03G48820 transcript:OB03G48820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSASSNRLVVSASIDSITSHLHFHSNLFIYTFFPSKMPAYIASLPCNPHAQLHPPSPLLVECNLLNKP >OB03G48830.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29513171:29514838:1 gene:OB03G48830 transcript:OB03G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQAPNHPTATTSALLLQPPSIDSTNGKGKDANIKSMFFISQAINADFLLLDHQHHHLSPKPRNHPHSSNSDSDNNNKKKMVQQQPNKLSERIVKCLICIFIRLLRSSRVADLQQDNNTTSNNRSSASSSPLTRQPHQGGGGGGGSFRIDSALISRQECRSGQQDHYGIFAIQDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHQQKLAFWLNIYNTCIMHGILHNGLPSNSEKLLALKNKATLNVSGQKFNALVIENFILRQPSSVKEELWKCEVDVEEQQVRGRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTSTRRLMIPSLIHSNMHDFAKDMESLVRWIIDQLPTSWSLRKSMVDCLRPGGGGHHHHLRIQDLLLDVIPYDYDFQYLLPM >OB03G48840.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29515697:29517945:1 gene:OB03G48840 transcript:OB03G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein [Source:Projected from Arabidopsis thaliana (AT2G20410) TAIR;Acc:AT2G20410] MSSGGGERLRNPCLTMHQPWASLLVHGIKRIEGRSWPSPVTGRLWIHAASKVPDPETIKAMEDFYREIYAVDGITDITFPQHYPVSRLLGCVEVVGCVSCQELASWEHVPQSVRLEALTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYEGAVRGLIPVQGPFPVNFPLPGSFQSDYAKPALEKSPSLTAAIAGARAAATQYSRKNHNNVAPTSTSTEETQQRFHWENHSYIMQNQSAEPRRSPRLQNGAPSRLVAVALRGLKQSSVSEGGEQSVPKRWPD >OB03G48850.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29518352:29518546:1 gene:OB03G48850 transcript:OB03G48850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKKKSTAPNIYKKREKLDMSNIYWWLTKIIDDIVTVKQESMEMRNGNLHFGNSTKQIKHACL >OB03G48860.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29521733:29522131:-1 gene:OB03G48860 transcript:OB03G48860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEGEGSPRLTAGLIHFLESRRAGATNSSAPPPQPQAYDQAAFAMRGTAAVLNFPVEQVRRSMDSLGVSLQDGASPVVALKRRHSMRAGRRPAGRNKRPEEAAAGVMELEDLGAEYLEELLGATTSSHHSI >OB03G48870.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29529872:29530936:1 gene:OB03G48870 transcript:OB03G48870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLRDLQAFDDTKAGVKGLVDAGVTTIPAIFHHQSDPIPHHVDAIPIPLIDLADVDRGHLVAQVRAGAQSVGFFQVLNHGVPAELLDDMLAAVRRFNEQAAEGKRPFYSRDRGRRVKFNSNFDLFQSPAANWRDTLLLDMAPPGPPPEEIPPECRAVVPEYARAMQGLGVALLELLSEALGLPARYLAQDAGGLDGMSMAAHYYPPCPEPHLTLGTTRHSDPSFLTVVLQDASSKGVGGLQVLLGAGRWVDVPPVAGALVVNIGDLLQLVSNERFRSVEHRVVATTSDRLSVAFFFRPHSACTRVYGPVGAGADAVYRSTTMPEFLAHYVGKGLDGRSALDHFRLPPPPHS >OB03G48880.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29531362:29550168:-1 gene:OB03G48880 transcript:OB03G48880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRFVVGSHVWVEDTDEAWMDGLVEEMNENDLVVNCTSGRKVTVNISGVYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYTLNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKMLMQYLAFMGGKPQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDENGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDCKKYKLGDARTFHYLNQSNCIELDGFDDSKEYMETRRAMGIVGMSSDEQDAIFRVVAAILHLGNVEFTEGSEADSSMPKDEKSQFHLKTTAELFMCDEKGLEESLCKRVMATRGESITKHLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPRVLGQSRDEVTTTKMLLGKANLIGYQIGKTKVFLRAGQMAELDALRTEVLGLSAKKIQTKVRSHLSRKKYIQMQNSATQLQAVCRGTITRWRYENIRREAASLKIQTCYRKHNARRAYRDIYSASTTIQSGLRGMDARRQLHFYRQTKAAVIIQSHCRRYLVHSKYVRLIQATITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADIEEAKAQENKKFQLQLQDLQMQLNDTKELLKKEKESYKAEIEKTSVPEICVDATLVSELTAENKRLEALVVSLETNIQEMKQKFQETDKVRDEWCRKATDAESQINELKSTMQSLQEKLNTTEAENHVLRHQTMKTRPENMPLLNMHRKSSLANGSLPGDEQTPHGASTEYGRTSYIERHHESVEALINCVVENVGFSEAKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLANSSSLLIILQKSLKPAGSSGTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLALIIQVTSSITSHSQAPRTAKAGLLTDQGNHWQAIVNHLNDLLKTLQENCVPAIFARKIFTQIYSFINAQLFNSLLVRRECCSFSNGEYVKQGLEELEAWCTQAKPEYAGSAWDELKHICQAVGFLVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMRTLMNGGSAQDASDGTFLLNEEISMPLSLEEIGDSMDAKEFQNVAPPQQLLDNPAFQFLKS >OB03G48890.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29553933:29560736:1 gene:OB03G48890 transcript:OB03G48890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNLHNTAKAFMSEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDNKLLSPAPPPPHPPPPPMDIKSREQQMRLQLLQQRAGAMDADVSAVLASKMMQDRLRNPNPADSDASDQLLDASRIALLKSAANQQTGQLVQGTSVNMSAQQQIHSRNQQPEMKGDVSMSQRSMPTDPSTLYGSGSGMMQPKPGLVSTGPNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQQSSSHRLMELQQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGIPVASNVNIVQKSSLICGADGTSGLASSSNQIDNLDSFVDFDENVDSFLSNDDGDGRDIFAALKKGSSEQDSLKGLSLNEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLSMDTKIEEHSNFITDIRFRPNSNQVATSSSDGSVRLWNAIERTGAVQTFLGHSSHVTSVDFHPKSMEVLCSCDDNGEIFFWKIGQSSFSHITRMKQGGTGRVRFQPLIGQHLAVATGSIVNIIDVEKDTSLHSLPKVHTNEVNCICWDESGELLASVSQDTVKVWSVASGTCIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >OB03G48900.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29560614:29560928:-1 gene:OB03G48900 transcript:OB03G48900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVRRQQQAVVVMLCCWALLATAARGNCRDECIAGCRGGAVICYLSCNLLPLLQQRLPRRSRHLHHEHGGATGESARPSITFTGSSITLGLHAQGSQARQSLK >OB03G48910.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29563235:29575279:1 gene:OB03G48910 transcript:OB03G48910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGQKEKIASGLLNPFVAHLKDAQEQIAKGGYSITLEAEPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAINVQRSDNLGLRSVEDYNEKLMECIGDSKTNYDPDVDKALVLYKPGMQPPPPVQNDNTTQEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFNIDNLGYLITFANRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSVSNGDANGEDAAKADQRTAHHSGAPSEYLHGPYQSAYPPWAIHPTYPMQGMPYYPGVNPYYPPPYPLMDDPRYHHSDRRVSRKHSSDSKDSETMDDESGQSGSEMEISHVHKLHKRGKRSGKKKPSVLVIRNINVTSKRHGSSESESQTGSDVESEGSDGSHAKYSKRKHKSSSSKKKESRKTILEPGDEYSKDEASHMQHGDQGNWNAFQSFLLRAEENTKDNDADLFANEREPPPARRKESRTTDDPLLLAERDSADVDIGKTFGFDSSHGRIRPRRMLSGDELMISAEGRSFVDDDIKEIEAGDVGYRRGASDDFMVYGQEKPTDSGCYLDPLAEAQYKSPTLIEKSMNSVADESFMIPVRSNTQDNLGPESCTTIDIDVEFPGAVQTSEAKAGAQLFYEPDELMPEREDVTYGYDPAMDYDSQMQIQPAIMVEDANAEDVSLGVEGEVKKLEKDKKIRSSQESLDKRKGASARRLPSSKTWLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIASRSSTSNSISTPQQAKVKPSRKISPSNNKSSKFSDAEPGSFSPLRKLPARTTPESDHQKTGKASKLNDSGTNAVSKSTSLLAEMKKEKNELSSERLKKLAEPKSNALTDRHLISKSANVDHSRRRSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTVVKETRDGEPVGKAPPTSEVTDEKKTNGEVSRISNSDDNVVVEKTVVILENEVVSVPPVTLPPGTTTENETSSSDRTQNPSLELEYTAIRAPPSPAVLPEAENPTIHRDDDQGNSYEVMTEHRKDETKELPLADVEKPYQAPFARVTSLENDSSITHALPVQESETFVRAESIRARVPDPVYTVSMEETHEANEKPRNKESKGFRKLLKFGRKSHTSGAMDSDASIDGAITGDGSMLKTLISQDDSGSSSKASRSFSLLSPFRRQKVIVL >OB03G48920.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29576753:29577577:1 gene:OB03G48920 transcript:OB03G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSKDYHDPPPTPLVDAGELGKWSLYRATIAEFTATLLFVCITVTTVIGHKRQSAEACAGAGVLGIAWAFGGIIFVLVYCTAGISGGHINPAVTFAMLLARRVSLPRAALYMIAQCLGAMCGAGLARALHGGRQYARHGGGANELVEGYSAGAGFAAEMVGTFVLVYTVLSATDPKRKARDSHVPVLAPLPIGLAVLVVHLATIPITGTGINPARSLGPAVVYNGSKAWKEQWMFWVGPFAGAGAAILYHQYILRGAAAKAFASSYLAHFAS >OB03G48930.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29578490:29584958:-1 gene:OB03G48930 transcript:OB03G48930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MNEQGINAQYSGPHKDAIAYADWLRKDHRFHDLLVQTSPSLCGHAFPRLKLRMRATPLTPSEWRERLEARKCLDASLSEAAGDTSGKKLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDMYSTILRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPVTFKPVAGAAAAAADDDEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCRRCVGELRGCCCQICTAAPRLRPLLPPHQRYQKWHHYRHLDLGAPSS >OB03G48940.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29585039:29585302:1 gene:OB03G48940 transcript:OB03G48940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRRRNLEEVEVSGHFGAGVLDGHELIEGDDDELLGLGGLGNCGAYGGGGTTEEKGTRARREREGVLARRGGKYADEAGARGRGQG >OB03G48950.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29585518:29587808:1 gene:OB03G48950 transcript:OB03G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGQEEEAARFDFLEWLHPDTSATVFTFLDHPAHLARASAVSRSWRRFVVGNGFSKIQCLRLCPDVSNFTSVSATQPQVVNVELEAHHHHMAYVHLSHGLLSPSPHEDCTIRCIGASTTDNFPEETIQNTLYPIDRVDMMRPSYWSSAGHLDPAVPESLFYILRSDLCLLHQINIQPFKAFFQYGDPIYSSKYVRFRLGYPKTPLPSELLVSHENQGQLAADENYIWTYTSPQFPMLQENALQSFKLPRPVLCIGGVVKIELLGRVQKQAMDGLYYICISHVQIVGNPLPPELGVAPYKNGVALKYYPDTGRSSVCRDGRRSPPNKWRNFASRIWHSGPARGIGWNQAILSRLFGAHDAPEET >OB03G48960.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29589568:29591115:1 gene:OB03G48960 transcript:OB03G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKDAISYTAMISVLVRAGYRRQALALYPCMLQAGAPPTAHTFAELLSACASGRLHCQGSQLHAQLLRWGTDLNLVLKTALVHMYCNCGSMDYAHTVLNSTPQTDVVLWTAIITGYAQSGDLQAALQMLRCMTGAAVLPNAFTYAALIAACSSSRALQAGRQIHARLFKFALEHDTSVCNALVDLYSKSSNRLLDLLHSFHAVHKPNVVSWTALIAGLVCNGRDEEAFLAFSQMRVSGVCPNSFTVSTLLKGSSSSLAFLHAKKIHCYVLKTSFESLDAAVGNSLVDLYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQWRALEMVVRMFHEDVDVDGYSLASFLSSAASLISIEIGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKYMGDAKNVFQSIREPRVVSWNALMSALVSNKCYKEALSAFEDMILVGAKPDGITLSLVLFACNHGGLVDIGIKYFNSMGTLFGVLPQRSHYTLFLDMLGRAGRLTEAANIVDVIPVEPD >OB03G48970.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29592072:29594680:-1 gene:OB03G48970 transcript:OB03G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTLTTASPFKSPPTFKLQLRPKQRCLPPQQQLQIGSNRATPTGKQDFVLKPVHATVGPNSTGGHGGASLPSSPLAEVIQEFYASVNEKDIKRLEKLFAPGCIIEDNAYYKPLDIKNTQNYFRRLMDAMGKNVKFAIDEVSQGVEPTLAVMWHLEWNGKTIPFTKGCSFYTCSGKESALVIRKVHVFQESPVKPCKFALEILNIVTSLFDMFPNIAEGFLNNPEEAIQPFVRLYKSFVEPFIVPFLAYYTHFWTYLAKVLTMMFNMLYRIIKWRYEGVDAAVSRCQGAWRLPRLAKLSRASALTLRRRKGIAMAVFDCLRSRLALRFTAIAASQWGRLTTLTTMAPTDRNLCLINTE >OB03G48980.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29597722:29599137:-1 gene:OB03G48980 transcript:OB03G48980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNSGDSSICQVDAVSMAPRFVFFLFLLLPMLLFPGLAAFLLAASPVLLLAAFLLALVLVYSEPNVPEAEDHPIGSRTKIKPIYPHASYTTSRSSDDDVQESDSESDASCSADEEPVSEKAAVWTAEDEKSILNIGSLELERNAAVEKLMSSRSMHRYHADRDLIDLDGDGDGHLPPGSAPSMHRNPFFFDDDQQEKEGATAAMVFSRHESFAVVGFRPYFVADKTQQPVAVSIPIPVISESSGGGGSSSSSSSSATVDCGGQHMDQEAAATTADSSSSRVMGPTTADSSSSPRAMVTVDVELISDSSDDDEDEMLSLPGQQRKVASIGMSDDDDGESFEVESITRQVNETLHAHAAAAAAAAREGQEEDEKNSSSSSWASKLASVPEDEKNERREREVLEIRERDIFLASVPEASAAPANSVNINAASSAGGSPPLDTTAAPKAAATKSSRYSYKPPSRKAVLGLFRK >OB03G48990.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29603209:29610867:1 gene:OB03G48990 transcript:OB03G48990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRRPGRMQGPLRKPGTNGSIMVMESKLKEVLKMMHRLESHGQMLAKNIKSTKKLIEQVLRLKLAQKVPLKIGKHIAIALRDGFPTILFFPSWDMIRVINTCKTATEERMVVQRECAAIRAAISEGDNDYCHMNMFSHMLGNKFYVTLAYELAHDSNNKARDTSYIHPCTSTQYNDRSPLYDKDSTKPPSESGIFGEGSSSSTSSDSSLGSGQGLAKKMKHNDEQEDAEMHLCQRQNTKTADWLWDHALKADPKNESFELITLNTLVMTVKECSTFLSGGSVSPEMLKETITSTVALDEKEKNRFRVIVGPMDMSYSCFTMSAVHLGQRHWQQGLQGCSDDPNGGAQHSARLYAGIPPCQKGSDCSNEEPMDAASLCEPLLEEVNFGNRIIFSFMGWPHEQGKVQLPIEILSRRVRIQQGIVKTKALIYSFNWYQSLISLLGLTACRDHVRTQTPTEEYDKNEHKNAQATNALLSALSPSEFKQVDGIKSAKEIWNTLRNVHEGIDGVHESKVEILKGQLERFVLKPKLTPSEMYDQLSKIVNEIKRLGCKDMTNSYVVKKMLRAITPRNSTGLTPKRQDIALKAVKEAKVDKGCASQAEDDMTLFVRRLGKYMKRSGFFKGGPSKSSFGKSSGRRSSRKYFECGETGHFIAECPKLDDDKERFCLAKLIINL >OB03G49000.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29614477:29615049:-1 gene:OB03G49000 transcript:OB03G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSIYPIVHQLRSSLLTSTSPNPTAYLGLYTNLRLRLLALPLTLAPLLCCPHLHSAATSPSHRFRLSVTNVAAPPPVHVQRRHPSTMPPAHVHHDASASLRPTPRTRPPPSSPLRWQGLLHRQPRRGRACAIVLSRFLRFECINHVFVYDALLPVSRLALCLSVLMPIPPFLSLLDLFDCGWSFCWFA >OB03G49010.1 pep chromosome:Oryza_brachyantha.v1.4b:3:29615417:29618046:-1 gene:OB03G49010 transcript:OB03G49010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSFQSSSKLVSDKKYQGALVASPAKTISPKTVKHIVPAKHLILSGESTSHLASFFVKVVALEVIRRFAKVSTYEEFQLAWSLFGNFLNFISKFDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPYPHEPPKVKCNTKVYHPNIDLEGNVCITLGFLKSI >OB04G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3978:5372:-1 gene:OB04G10010 transcript:OB04G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDTSASAAYSRLSCILLNSDLDIGSRRNNTTAVGQGRDNLTIEASFCYAQPPLPSNLFVHCPGVTFPRSSIIVSTDEDLLLFRVPVAVEPPPKPIMYDDCDYIIYRAGRSPSLTPIPNPKPNFHDLDVGLLSRAGDLFTVAALVAKSTNEFTLHRFDSEVGNWSMKTVLLDAPRKPYPMRIPANALRLNHHITTTVITLGGKSGTMGWVDLWSGILLYDLFPEDHERPMLWHMRLPLPMDLGKWVTELACPESTRGIASVIKDGKPCLKLAGLQIIEERLPYDDIETYMPCYRVNNWAITTWSNTIMVHSDSSDNWQEDFTVWAPDIIISDTVRSELLASGLLHRKPSQDGEETVELALQNLVVSEPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCVIS >OB04G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6663:12908:-1 gene:OB04G10020 transcript:OB04G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSGSRGGSIPLGGDVQNDGEMAAGGGLYRTTAAAMAAVVPVRKAAGEGPGKGGEACCSISRGRDGWEQRRRFQSNLEIGHWKAVKKVLRFSITCSRLRELITLRHYGEFPLPNPKSGGIPAITFFTDGLARSASHHALTHGVKGLQYRFAPRPLPVLRQRRVGGGPACVGTVKVLVLSRSSSDARLLRVLPFLGLSKIDPRGMDLLARPGEAHSRSTAGTLSTSSEALARPQCSDLSARFCTHCLALSLGRGTIVEDSAV >OB04G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17329:17610:-1 gene:OB04G10030 transcript:OB04G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGFSSATGIVATHHYVLGWSFSLDGPTPQLDFSKLPPSCHGWVQSLGPSSWTSCCPWSRRCSLLLHLPLSSSSSGGSGVTLSCIKTGRMTA >OB04G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:24459:33553:-1 gene:OB04G10040 transcript:OB04G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYKVVNIKSDGKTKKIVCDHPDGSFPLVAVINYLSLHQNLCIEDNWEQLISEKDLLETIQNLLDSVDGWSPEDNTSWNQESTVLPMLATQIDLDPYLNSIDGLGSSNATAAVLEVFKVLGIPLFHGCLMDPKKASALHGCSYSGILELYTTKPLPGAKATDLEQWSLIREFVETTDKQLNSYGISCIRDNMILNNQKFAILYRNGEFKLLGLHHGIIHILQTEAGDFEDVEFDRLCVWKTLCNVDEDGIALTGNFRAVDDENAKDVLKLYMEGWSYNKSLPQRIRDEQIAKAEQNAKELIRMEELEKEKRAKKAAAASRKKKKCETSNTPVLSNGEATSTPHPSFQPSGMSADGEQFPPLLPSQADGTSESAETSEAADTPKAAAETSEPADIPKAAAAAETREVQNADTSEAASTASQSNASKLFHGFLDTSHYCGRSEIPYFVGEVKLMKERNLFELSVDYSHIKDDCEELSVLIRDDIDRSVRKDLEECLVQYLKDKGVENEKAEKAVLKILEARDGYQSFVELAEKNRLKFVDCHQIYQQVITRCASKFGRRMARGFLKVIRNMHFSGYCWNGGWTGNDMKVSNDGRIFLITAKAGHNVSKEGIEADLKNFWDIISPYFSHEVERFDNTGQKITKKAFPTYFEEFKKDCEDIPDPINEQHKLQRFYRYLLSHPAFMNPLSTATVICHMFTICDSLLGVYEYVYAPLQTNTMVEDWIATVRILPRPFQMVFTHFGKSYYQRGYWFLLKYLRNFLEHVLRYTKVASVKVMESLNHGILPWIYVCHVIIFLQEGFRFDTDFEEISSVAILKVCFCTVAAAGYRQDDGDGRRRRAKGKQEATELGGTSKIVLPGGSSHSRGRTGYVIKLQLLSAKYLIGANLNGSSDPYAVISCGEQKRFSEFNDIFDVDYFIASLRDEVCILKDFPPRLKRRVELTFVRSMPPVSWSDISYYHNQILPLITKYKILHLNKTDACLANNGLPMEIQKLRCHVNFAALRFSPEIEELGMCVVQILRRSGPFVVLHLRYEMDMLAFSGCTHGCSSEQADELRRMRYAYPWWKEKVIDSNAKRKDGLRPLTLEETAMVLKALDIDSSDQVYIAADEIYGGQRRMAALTSAYPNVVRKETLLPSNLRFFQNHSSQMAALHYIVSLESDIFIPSYDGNMA >OB04G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:35369:40153:-1 gene:OB04G10050 transcript:OB04G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATQPADRRRRLPAATPFVSRPALAATPSSPVVGPFVSHPWLVATSTLTLARGTPRRAPAANRHGVARPGRPWPTSHDRATRRAPTLASFLVYPNTFTRSEEAPPPLALPTTMCSAYAADAALHFW >OB04G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:41569:41835:1 gene:OB04G10060 transcript:OB04G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSHLGDSRIGEGDSASLQEGASLRAILPGGCDGDPCVPRRLIHSPQIHRLGFAEANPSLPPSPPAIFLNQLIRIPAFLLEIPSGSQR >OB04G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:45010:45198:-1 gene:OB04G10070 transcript:OB04G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKLRCHVNFAALRFSPEIEELGRRVVQILRRSGPFVVLQIDWSARREVANSTLWSGGCA >OB04G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:55806:56036:-1 gene:OB04G10080 transcript:OB04G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVSCGTHLNFSFFFYVPACLLSISSPLFSRSLLSLSLSLSGGDGASRRVTATSAAALRDLAVAGGRGRRPGRRI >OB04G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:58688:58966:1 gene:OB04G10090 transcript:OB04G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAGEVDTNAGDWLKGSATGGVTELSGRDEQWSTRGDSTSCAAFLSDAPAAAAAAIASGTIIFKLLWTLRRSYSLNDGRNPAKPRPQD >OB04G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:65947:72124:-1 gene:OB04G10100 transcript:OB04G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LV35] MNKARWLVMAFLVCLLLLTPTDLEGLQLVGAIRNHLFLPASSPLHHLPDLLEEQPSLCITSLYTGQLGKVNQLWLDRRRNNVEEVRRRKSERSEVKMGGQRPLVAVMKKEKHGAAKKNSKESSGSSSMVIVGLAVASAALVALIGICCCACRDSASSSSPYDLRDDKPLLSLNLGDMSSGSSRKSSATPIDVSRLGALTSECQQQMDRGKPGTMSMKVSMQSQAMRMSSHEITTIAGAGRGNKLARGPPPFKKSAGTAAPAASVDASKAKLKPFFWDKVTANPNQTMVWDQIKAGSFQFNEEMIESLFGCQSTEKKSSDGKKELAAKEATQFVRILDPKKAQNLAISLKALSVSAEQVRAAVLEGHDLPPDLIQTLVRWSPTSDEELRLRLYTGERSQLGPAEQFMRAIIDVPYLYQRLDTLLFMASLPEEAAAVEQSFATLEVACQELRGSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKITLLHFVVQEIIRSEGVRAARAASGGGSSSVGSISSAEDLIVLQTQSSIGSDSSSSDQQEYDETERYKQLGLGVVSSLGDDLQNVRKAACLDADALTISVASLGHRLVKANDFLSTGMRSLEEDSGAPPRRLASFVEQSQAQVTHLLEEEKKLRLLVRSTVDYFHGSTGKDEGLRLFVVVRDFLAILDKVCREVKEQAAAKASKKPTPAPRSRQSSQSSLSSSFRDPRQQLQDRRAAAQSRHSSSSSSSDSD >OB04G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:81024:88798:1 gene:OB04G10110 transcript:OB04G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFNGYSWDEWVTNDRLLKLTEENIRKQQELEKSQSADKSVKSGRSSQHKPKGSNDAKTDKEDTKSLVKGKKRKSQPGVTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKVVKLPRSPTVDDILAKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQNSFFLSAYDSGSKGTDGIKAK >OB04G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:91433:92569:-1 gene:OB04G10120 transcript:OB04G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHKEEARAAKKKELEVDGAGGGGSGKDLLDILLDKAEDGAAEVKLSRDNIKAFIIDVVTAGSDTSAAMVEWMLAELMNHPEALRKVRDEIDGVVGRDRIAGEADLPSLPYLQAAYKETLRLRPAAPIAHRQSTEEIHIRGYRVPAQTSVFINVWSIGRDPAYWDEPLEFRPERFLAGGGSEGLEPRGQHFQFMPFGSGRRGCPGMGLALQSVPAVVAALVQCFDWECSTGGRMEIDMEEGDGLVCARKHPLLLHAHPRLTPFPPLL >OB04G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:108794:109657:1 gene:OB04G10130 transcript:OB04G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRIWFGGLFNSSGRRRQLNAEKTFELSPLQERRLQKLKERLNIPYDETRPEHREALRALWSASFPDAELSSLVSEQWKDMGWQGPNPSTDFRGCGLVGLENLYFFATTYPVRLLTRGCF >OB04G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:113442:116163:-1 gene:OB04G10140 transcript:OB04G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVRRDPEQEERTPRSAGGRLGGFFSAISGNPSTPTLRCRTKSSPASSTAAPAPKKRMPLLQALSVPSSPRSPSRFALLKASILPIKTRCGVCSHGVKTGAAAVFTAECSHSFHFPCIAARAATATALACPVCAAPWRQAPFINTYKRTATTFKLDDNASPRHHKRKAYDDDEPLQPPKAAAAAFNPIPEDDVAEAEFRGFFPPRPRTGLAVTLAPEAALVSSGRRHGKYVVAVRVKAPGLRSSPSTRAPIDLVTVLDVSQGMMGDKLQMLKRGMRLVIASLGPADRLAIVAFSGAAKXXXXXXXXXXXXXXVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQDARKPGAVRRPPAAPPATRFTHVEIPIGPGEEPARSALVAEEDQVGDGAFAEHAFAKCLGGLVSVVMQEVQLELAFSMGEITAVYSCGSGQQAVALGGGGGGGAVVSVALGEMYAEEERELLVELRAPMSLSHPHSLSARCSYRDPASQETVRGAEQLLLLPALDGGSSSRRLHDLFVATRAVAESRRLAELNDYATAIHLLSSARALVLQSPPGQQQQELVGSLDTELSDMRWRRGQQQPQPRTPTSAGRRRGEAEETPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >OB04G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:123727:125245:-1 gene:OB04G10150 transcript:OB04G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGAFSLRSPAPLLLRLAWRRAGRPRRDPGLKTAPFSPRLHSRAKTSPDLEKSDHSTQNSAPNSAGDVGRGGGMDVVDSVVAWAVLGRAKDFLGEMAKANDKLQLDVKNKRPEGYERPEECDIEALTGNEKEYIEMDLLLGVADLHSEKGVEVAEATMNGFPPTGRSFTCSSSDSEDDSDDSDEDDGDEQNMSAKDEDESELKHQKGKKPNKRQKIIVLN >OB04G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:151495:156610:1 gene:OB04G10160 transcript:OB04G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPSTAMYPLHRCKTIYLVRHAQGIHNVEGDKDHSAYMSQELFDAHLTPLGWKQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGVSAPPLMVENAGHSSRSAISSLNCPPFLAVEACREHLGVHPCDKRRSITEYHTLFPAIDFSLVENDEDVLWEPNVREANASVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTVREEVGKHFANCELRSMVLVDTSMIGSNSASYNYPGSTPAGLDLPSDAVA >OB04G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:156765:160863:-1 gene:OB04G10170 transcript:OB04G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTQLTDAIWKNFFQGKLTYTHWNKGEEAMAPIVSTTGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSDDIIVRRLAALEGYEMVSNDEKDEPFVLDKDQCWVLADNQSLKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSQVAMNQDSPVLAVELDVEEMAKNNKV >OB04G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:169428:173868:-1 gene:OB04G10180 transcript:OB04G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKTKPRAMRAVEEVDISAVRYESPSLQAPHLSGFSLRAFVWLMESPILGRLLTSILKSQNNITRMLQDTVIPERPMYRPEYPPQEAEHGVVVLGDDTDPVVADHIIAAVEEWSNRKPPMPMLIYFDADDLRKQAEASTKRFHQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSALCGIVGLKTTYGRTDMTGALCDCGTVEVASPLASSVEDAMLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNSILGSVKIGKYTEWFHDVSDPDISNTCEDALNLLCSNFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTG >OB04G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:196903:197181:1 gene:OB04G10190 transcript:OB04G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRNKQHRPTQLSSSSSSCGVGVVWHRGTYTSAIRAVIAPLHCTFDSHFFSSVQFNSTRPRPDRLRRRGALLLFDSIRQIYSSTFPFHTSLYR >OB04G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:197228:199607:1 gene:OB04G10200 transcript:OB04G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3LV45] MASIRRPHSPAKQQQHLLRHHHPFASSSPPSSPLRHSSSSSSPRHQHHPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPLRPHLLPNPSSSTSSSSPSHHHHHQQLYRQPPRSGADADKLLIVVTPTRARPSQAYYLSRMGHTLRLLDAPVLWLVVQAGNPTPEAAAALRRTAVMHRYVGCCHNLNASALDYRPHQMNAGLEVVENHRLDGIVYFADEEGVYSLHLFDQLRQIRRFGTWPVPVIPQGTNEVVLQGPVCKQSQVVGWHTSEDSNKLRRFHVAISGFAFNSTMLWDPKLRSHLAWNSIRHPESVKESLQGSTFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDVIIPLK >OB04G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:198538:205355:-1 gene:OB04G10210 transcript:OB04G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:J3LV46] MATIVNTTEXXPMLAVVPSPAELAWADAGADVAEPEVTRLCVEAQQHILAARWLDMASLMLASADLLLQSPRVPDKDKDLECILAIICNLVTKANSQDEALQIAELICAKLTQQPDDKPALRLKVLFSLYNLLGSPYGKAFVYKKALDLAAAAKAADFIIPTFKNIDSFVSDWGIGKLEQRDLFLVAARILKDHKGMNKEYLNFLNKYLSTFDGSDNDADAIADAKEEAVAAIIEFVKSSDLYQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTRRLDSYLEFQSANSALLKGYGLVHEECITKMRLMSLLDLSSHCAGEIPYNAIIDALKINDDEVEYWIVKAISCKILDCKVDQLNQVIIVSRHTERIFGMPQWQSLRAKLGVWRGNIASAINTIQANKVTDDGSQGIQGLMIR >OB04G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:208219:211123:-1 gene:OB04G10220 transcript:OB04G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQPLQLQDPVAEPHGAEPAAAGAPPAVVPGKEFTRTCKGLVVLLIGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGCLAGFLVGLKQLLPHLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLVSGMYVSWIYLRYFQRNPLTGLKGDPSDDFSFPSLFPDAMRPVTDPVANFFDRMLCARSRPSELALPVSDPTKASRRRERGERVLEERLAADHAADTEAPAHGHSTAED >OB04G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:219158:219481:-1 gene:OB04G10230 transcript:OB04G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEYCQRLKTLADPLQDLGELISDCTLVLNLIHDLSPRFSSQAELLPLQVSFPTFSTARSALLLAKIRHAARASTPDGITALFVTPTSTPASSNSKGKNGYKGKG >OB04G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:220826:222385:-1 gene:OB04G10240 transcript:OB04G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLCIFLGLLLFSLQSPPCSAATDTLKAGQVLAAGDKLVSRNGKFALGFFKPSANISKSSDNISSSWYVGIWFDKIPVFTVVWVANRETPVTEPQLKVTQLKISQDGNLAIVDHATESIIWSTHIVINRTETSMNTSTAVLHDSGNLVIESPSNVPLWQSFDDPTDVALPNAKIGWNKVTGLNRVGVSKKSMIDMSNKFKWCAAPLHDAEDSSGIKAFRYTDLVHATKNFSEKLGAGGFGSVFKGTLSDLTAIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCQDFGMAAFVGRDFSRITTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEIISGRRNMPEESASGNYHVSFFPMQAINKLHEGDVQSLVDPRLHDDFSLEEAERILKVACWCIQDDDLDRPTMVEVVRVLEGLQELEIPPMPRLLAALTG >OB04G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:234964:236367:1 gene:OB04G10250 transcript:OB04G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSALPPVQPKGDTDFGFCFADLGANMTCPIGNVKMQRGNECMANALASCVEITNRVMMTLLGQPVSKEGPFIDIDDLISKYHQIRLTEGKIDRNGYTVDSLLSMIHVFQVDGVNELEKDDSGNHTVGASKLHKVHDWLWLDGNDFVALSSLLADGYPLICGFPVGPRFSYLEYGEIYCPPPNDRAAVDHCAVLVGAHREGRSNWFYFLNSHDTDFCQRTEYKGDGIDCGVGAIETGHFSLNPIQILRFNGRQDIF >OB04G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:238472:240015:-1 gene:OB04G10260 transcript:OB04G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSAVAANEEEKAKDQQQPKRAPAPPTAAPSNINKQDLGSIIVVRMSMTVPRARVPIHPRIGGSAMDIEGQLVPVICLPHHSINQCQVDWLAMTVGIQADLVCLIRASM >OB04G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:258365:261385:-1 gene:OB04G10270 transcript:OB04G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNLQQHQRVEMKGVGEKMFLSHLNGITTLLLLANMPVCCNQMLRIENVVRNTHQINQTWRVASKSSTCLSLRRGARQLRNVANGACDGELHQKGRGTSQKGKGLDLIKTNMMLKDFGGNSSESKGCLNVELTVGSKTCRLRSSSSTARDPIACYLDEIEFTTTAAFHPPCTKA >OB04G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:263638:266507:-1 gene:OB04G10280 transcript:OB04G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGGVWDGNWARLILEIIHEHYKKALDVLPLDHCEKPLLHSGLCIGFADPVTNIIANTLRNADPRKRKRRPPTRDEVLSKIVAGDGTSPPEARTVAERSYYGLRAFLISYFRYLPAWDALRYLYLSRADLLVAVLLIHNHRCCRRPLRIRSHALTSALKSAAYSSAQPNLVMDSFALLPRLHKIHRCRLSAQDIRCLSRLVHKPLKLKKKSDKAAMDLAARRFHQLDMDASIAKVPAGRLTESLRGILLDRIHSRYLKVVPRLPMIDLRVRHHRSLLKAGFCYGPFNSITNIIVNTIWYDTMFPPSESFEVDMICTLQHVESRSLNGLIAFLHASIPDISDHDAMIYLIKSDLNICKVIEMATQEGYTTSVCDDSGYKAAVDASYHPKPEEYLEFVMQVLPQVRSVVRSLLKDPLSSSSVRRLSTLLSHSSLNSFKPAVVLSKDAMKIFSDCKQDFLTQQSFVCRKVKAALQNYEQTTGYCYELCIICGVNDYVGKKRSPGDSRRQFSHANFWASPDNGTSTTLFFAEFSNVEDSEHHQPFCYPVPEVSTQIRCCYCEYLGIRIVHPTEDSWEGAGDYEKIASSEHKLTNQAIISSGKLKDNIMGNFADDYLYLDRAWDIKLIQDMNYAAWLRNLDGNELMRRINPMRP >OB04G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:269777:270422:1 gene:OB04G10290 transcript:OB04G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGVHAAASVGKQAASRYPFQLELLLYLELIWSTTLDDLHWFDICYLTYSI >OB04G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:288250:296173:1 gene:OB04G10300 transcript:OB04G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGAEIQRVASLRREGGGSMASMWLSADDGAFSRSSSSRRREEEDDEEALRWAALQRLPTYERVRTAILPSPTTEGLGVVDVQRLGRQERRALLERLVRVAEDDNERFLLKLKERIERVGIEMPTVEVRFERVMAEAEVRVGNSGLPTVLNSITNKLTPSCIRSRSKHTMRILHHVSGIIRPRRMTLLLGPPGSGKTTFLLALAGRLQHNSTNHLKFSGEVTYNGHGMDEFVAQRTAAYIGQHDLHIGEMTVRETLSFSARCQGVGTRIDMLTELSRREKAANIKPDADVDAFMKASAMEGKDSSLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSIRQSIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRESVLDFFDSMGFKCPDRKGVADFLQEVTSRKDQKQYWMHHDRPYQYVPIKEFASAFELFHTGRSIAEELATPFDKKKSHPAALTTSRYGVSTMELLRANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFSVMMIMLNGLSELPLTIFKLPVFFKQRDLFFFPAWTYTMPSWILKIPMSFIEVGGFCFMAYYVIGFDPNVTRFFKQYLLLLAVSQMAASLFRFVGGAARNLILANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAISVNEFLGHSWDKVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFTALTGFIMLFNAFFTLALTYLKPYGKSQPSVSEEELKEKEANINGNVPGLDTTMTSSTNPTTVGNIETGSEVLDSSLATQRGMVLPFTPLSLTFSDIKYSVDMPQEMKAHGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVHESLLFSAWLRLPKDVDSKTRKMFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSSELIKYFEGIQGVSKIKDGYNPATWMLEVSTVSQEQELGIDFCDVYRKSELFQRNKALIEEMSRPSAGSSELYFPTQYSQSFVNQCMACLWKQHLSYWRNPAYNAVRLFFTTVIALIFGTIFWDLGGKIGQSQDLFNAMGSMYSAVLFIGVLNAQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVVYSILVYSMIGFEWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIISSAFYAIWNLFTGFVISRPQTPIWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVKLFVENYFDFKHTWLGLVALVIVAFTMLFALLFGFAIMKLNFQKR >OB04G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:296734:297015:-1 gene:OB04G10310 transcript:OB04G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGVRE >OB04G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:297014:305623:1 gene:OB04G10320 transcript:OB04G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT2G28450) TAIR;Acc:AT2G28450] MEMEMEDTKAAPPPPSDLDVTGDKRKRDDDASSSVAGKDAQHPMWKTSLCSFFRRPAADGCSHGESCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVADEVDEEPVTVDDSALDKCLVGLPRGWASDRLKTFLHDKGVSYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLNTERPVSDNGVATENGTSVDIPPGGTSAPEAAISSKKSVRDAVTPLAHMPYNDQLEHKKNNIAQILKRLTRNARKACPTAIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCLNVSEISCKYALMFQDFLQSSSLPVWNRIDNCGFWRQFTVREGRCPDAQNAETQISEVMLIVQVCSTGVDEALMKDEFDKLTVALEQGAATCLPPLPLTTIVVQDHKGISNAAPADCPLIPLLVPKVDQSEGTVDKTRIHDHISSLRFSISPTAFFQVNTLAAERLYTLAGDWANLNLGTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAQRNALINGVKNCRFVCGKAEDVMGSLLTEYLGSPQQDIPVSEGEVSGSVKDEEVIDGSQRSENMDSSKEKNDSGENQELRDTPPDCPAVIDEIKGNSSYRVSNGLEGSHDEYKEPVGEDTCGEASSINESVDLKVSDSLEDSKTCHDGSSIPNNDVTATTACQFQDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSERQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKKAMAVDLFPHTSHCEMVMLFER >OB04G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:326438:330203:1 gene:OB04G10330 transcript:OB04G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQHLQGTATATTVPLQEEETASDHHRKQPHLSIDMPPTATANMSESSLCTPTTAEADITPTPPKGPSLAPAGSCSSRSTIAAPKPQRSPSFMLRQTVKSLLPVGSFKSSVKFFNAKISRTSSLPVTDVSQEADKTSTTSAVDKAGHIYRSQSLPMNMKKFNAKSFKRMNSLGGVYRVIPSTPAVPMTTNVIPDIVPTEPGELGEAGEDIPEEEAVCRICMVELSEGSTDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCKEEVQNLPVTLVRVQSMQGETSHVPANRSRYDRFRMWQGAPILVIVSILAYFCFLEQLLVARNGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAARAPAPAAQNPRRRPGGRRSHAAAAQQPAEAANNEQQRNAGTDVENPAPVLGAGGA >OB04G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:332671:333030:1 gene:OB04G10340 transcript:OB04G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSNDNLASEVSTILAKLNDEAKESSSIITLAGENNGATMEVAGDVEDLVVVEAGGEDEEESVVSAYTNSNYQAVNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDDVREEAAN >OB04G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:341868:342299:1 gene:OB04G10350 transcript:OB04G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVRNMLIAFLLPLPSLLFFFTFVRPSNQDSRSTVSSWCAAHPLLVANLVFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYRAHPAAVADAARAA >OB04G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:342536:343087:1 gene:OB04G10360 transcript:OB04G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQYGDAWWWMSLVAVYLSQQVFLIGVCLPMYAIHSSDHPWGAWDVLATVACVAGIAIAHFADTQLHRFVATNEKLKKVGEPTVATLEEGLWRYSRHPNYFGEQLWWWGLYLFAWNVGQPWMLVGPLVNSMCLGYVTVLVERRMVKQQHRAEAYKLYQKTTSVWIPWFRKPLPQAQPTTTTN >OB04G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:350002:363778:1 gene:OB04G10370 transcript:OB04G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:J3LV62] MAWQDNAATQPNRCGMAWQAFWPRQCGWRGQKVYVVEIFFPKDPEGVLGPPQGGHIARLEFRRRLDRDSDAREAFQRQVREEQERRRQEREARVIPDTDAGLVEFFLDTEAREIEVEIARLRPRLNQPFFDYIQRELAQIKFAITRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVTAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASARTSNQEEAVAFMENVRTSILKYITWKKMASQLNCESVIYATGVSSTVMASQKRELRLWFTVAVCDLYECGISYSVRCDDACCYRSCFSNKVCLPFVALLVRLNTEGGGDGGANSCRPFIAFVLGGPGSGKGTQCARIASDFGFAHLSAGDLLRHEISSGSDKGQMILDIIKEGRIVPSEITVELIRKAMETTDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFDNLNIPVVDYYSSRGKVHKIDATGTEEEIFGAVRNLFASLRF >OB04G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:368063:370996:1 gene:OB04G10380 transcript:OB04G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAEGESPPAPAPARWRYNSPLVQVCLIGLVCFCCPGMFNALSGLGGGGQPGPPPADNANTALYACFAVFGVLGGGAHNLLGPRITLLAGALTYPLYAASFLYYNHHPTRPAFPVTAGALLGAGAGLLWAAQGAIMTSYPPPSRRGTYISIFWCLFNLGGVLGGLLPFSLNYHRGADAASVNDGTYIAFMAFMLLGAALSLLLLPPARIVRDDGTRATRVTYSSVSTEGWEILKLFTNWRMLLVLPAAWASNFFYTYQFNNVNGLLFTLRTKGLNNVFYWGAQMLGSAAIGYFPHFGFASRRERGPFRGVFTLRTKGLNNVFYWGAQMLGSAAIGYFLDFGFASRRKRGLFGVAAVAVFGTAIWGGGLANQLRYTDGNWNDKLIDFRDGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRYVGFYKGVQSAGAAVAWQVDRQKTKLIPQLIVNWALTTVSYPLLALLVFLAVKDDDNSVSSVEDGKEKDSKLSAPTSFH >OB04G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:376616:378142:1 gene:OB04G10390 transcript:OB04G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVRSAIVGEAVSRIFSGIISKHEDNSDEGDNIERLEMAHIKIEAAIETSNKWQITDMPLLCWQKKLKRASQECDDMLHRCKQRAIEDNEIEDQVEQSSFPRRVTHATKLFILSFIGHNNDDYYSSSAVVRRFERIADSADEFLRFVQLGGRPRQYLFFDPLIAHLFAGKLLTYQILHDGSQYHYFSICPMSFEERGLEAMLSFIYEDCKVPKNSFRLRIMLRLSESTDIMGITAKCLQLATPQFKSTAEVVIRELTLLPTQDFSWLSQYECESLEHWNNVHSTLTQRFRPDPLCCYRGYIPACSSNSTRISSLSSIFPEPVSDMFLQCHISLSEYNNMQGSPTTRDDTSSLDNFPLLKIGILFTPHDSVEDLKLVNANKSYVVETIDGGNQLTMDVDVTQHRRLEEMLPKAIDYLYQNAEASTYQVSWKSKHGSAHFGVVKTSMAPMLPGARRTTRRQGRRNKIEAQMIKNGQWKEALRDYLKLLAMRSSDDLQCWFMAWLNHRN >OB04G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:386993:387145:-1 gene:OB04G10400 transcript:OB04G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLHLLHRWCHLVWCLIESRRKLPLLGSRMMYTPCTYLYAPFICKQIVYLL >OB04G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:387976:388215:-1 gene:OB04G10410 transcript:OB04G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGRAGSPRYAWRLSNVQTWASAALTDASTCLDSLATYTAPGAGADVDALRKRVVATSQATSNALALVNQLDPHHHQ >OB04G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:392999:397451:1 gene:OB04G10420 transcript:OB04G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MGGVAAGTRWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >OB04G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:397653:397925:1 gene:OB04G10430 transcript:OB04G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTMASSGNPPAVLLVRPVDPPFAVALRERFRVIDFLSSGQPLPAFLTAAAAVPAPPRAAVVMGGGLVRADAAFLDAVPSVRCVVSTAA >OB04G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:404997:409157:1 gene:OB04G10440 transcript:OB04G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKREKLVKKVGCMIEGYTSSKNNLPEGMKMVDAIERLGVGYHFQEEISRFMAVLNATPAPATENVDMAMAALRFRLLRQHQHNASCDIFESFVDSNGDFKETLRRDVDALLNLYEAAHLGYCEEDLLKSAVVFTTGCLTAFPPPAPPPAMAGTGQLPRHTVEKVDHALTSPTMRRMKRLEAKLYISIYENDEDSNHDILELAKLDFHILQQMHRDEARSFSLWYKELNAGSTLGPYIRVRPVECYFWALCVFYEPRYANARMMFAKLIKIFSFFDDTFDSYGTLEELHLFNQAVQRWDEDGAKQIGGCFGYVMSLLSKTLDEFVAEGASPLGIDCTKKSIKEVSRCMLQEVIWREEGQAPLLHDHLKFSTVSTFYWALACISFVDPMDANDDVGVFGWTMSSPKIIENSATMARLMDDISGHETEKDRSGIPDTVECYMKEHGVRVQVAKKALWCLVEEQWRSMNHEFVSNTVIPVALLQRVINLARLMETTYKTGHGYTVCSGITEPISNVLDTCVFH >OB04G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:412030:418537:-1 gene:OB04G10450 transcript:OB04G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRNMMRLLYLVICFSLAAAAAAAASSSSSGSSACSGSDDTAYSFPPNRSEDTLALLQDAEVSNGILRLITPAASGNNSGGTALRPAPVTLRCADSLGVPQDASSFETAFDISVQREEAAASNKSDGGGLAFVIVPTINGVSPPGRSSDGERFFAVVFNFSIDGNRVGVSIKNGSSTIVIPEQAVPLSHDLNMNATTNNYTAWINYKYKRYELRMSICMDFHGRPKPDKTCLEWPLNLSSYVPDRAFIGFSASSGSSAMALHRYSILSWDLKVKLASSGGLDIEWKVILPAVLGTIAITAIMNVIVAALYFNSKYRKLKMELVLTEALRRLPGTPREFKHSTMRKATNNFDEGRKLGSGGFGAVYRGTLRSSSSSAGGGKVVDGKTTVSVEVAVKKFTRDEKRCYDDFLSEVDIINRLRHRNVVPLVGWSYANGELLLIYEYMPNGSLDQQLFPKEKPPGRVLPWARRYSIAMDVAAGLHYVHHEHEHMVLHRDIKASNILLDSAFRARLGDFGLARVVGLDKNSYTDLGVAGTWGFIAPEYSVSHKATRKTDVYAFGVLLLEIVTGRRALCKLHGTFQLLADWVWRLHRDGALLDAVDNGVVSTEEFDADDATRLLLLGLACSNPNPSDRPSMTEVVQVVARSAPPPDVPLVKPAFVWPPEDGNSDDVNSTASDLDASLSLNGWEETSSSESLASDIARRARGKQATLPAARLRG >OB04G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:426399:429184:-1 gene:OB04G10460 transcript:OB04G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNGTVTVPLAKIKRIGPCTQSSRRGTLGRLPIFTDSGRITRSHVLQGISRISIKVFVDKTGRTKPDSPVECMDFDIRKYDSLNLEGYFFSSIGELSRAWQCSHWWRCGMVPQVLLEEGAESAGQDHGKPPRGACEDHFTDISKATNNFHHTMMLGTGAFGAVYRCKLHCLKGQSMEVAVKKFTRSDTRCYEDFLAEVSIINRLRHKNIVPLIGWSYRKGEPLLIYEYMTNGSLDRHIFRRASTTSVLQQKQRTGTAMEQWGTRYNIVSDIVTGLHYVHHEYEPMVLHRDVKASNILLDSSFHARLGDFGLACTVAGNRNSFSGDVAGTFGYIASDYAMNCKATKQTDIYAFGVLVLEIVTGRKAMLNDAQFVHITDWVWHLHHRGRLLEAVDSVLGSAIHGGEFEMEEEPRRLLLLGLACSNPNPSDRPTMVEAVQVIAKSAPPPEVPLEKPTVVRLSPLPPLEGSSSLESTD >OB04G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:432948:433292:1 gene:OB04G10470 transcript:OB04G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRNPEVHVKALEGIVSANTFFTVAVFIGITGTITPSSSIPPACVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLATDDFYGEGDQKPPLSDDCEEMPAWRAAA >OB04G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:436641:436850:1 gene:OB04G10480 transcript:OB04G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAALVVAGLVFYGATVAYALTHYLP >OB04G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:440110:447429:1 gene:OB04G10490 transcript:OB04G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKTREQATMAAKPKPSPSPPXPPPPPPRRKPKRKAKAAKPSPPPKRQARESPPPHTRQSPLNPAPEDASQLADGEREPPPPPPPPRVQREAPKLRPVKEEVEAVLSRGAGVHVVPTFAGWFSWKDIHQIEKQALPLFFDGISLQRTPDIYLEIRNFIMKKFHANPQMHLEFKDLSELSDGEMDARLEVFEFLSHWGLINFHPFPPAAQEVSALVDTKTNVDTEEKISLVDKLFQFETVQSYLITSPNQAEVAAPTHSPSLLSEPTLTEDFITQAESSVEYHCNSCSVDCSRKRHHCRTQADFDLCCDCYNEGKLDVGMSQTDFILMESAEIPGYGSTSWTDQETLLLLEALEIFQAKWSDIAEHVATKTKAQCMLHFLQMPIMDSFLYDGDVSETSQETAVHVSAEHGTSVTEEMVVAEDKAGIKTNYGKTSAETKFNLTETEGNLDDNVVANNDTKNSGDINVDACSNTGGSNRSSDTEPIKKETSVENTSNIVNNVLKSAFEAVGHIPKKEDLVSFTEAGNPVMGLAAFLSGLVDYDDATTLCCSSLKAISHMSPALQLATRHCFILQDPPNDHKDLPVSISPADTDGEQQKDKDATSNPNGADKDNNLKEGSALSVEEQNTTSTSSKNTRGISTIKESKDECPQGEPKPSSANDCDNPIRQVAKSASDNIRGHSRTLLPVSLNNTNESCSISSQETSAGSTKDTSDPGHVDGGKPIYEDPPLQGKTELNKIEHGVTDLSTLQLHESIRTSSENGNFEDPNSIKDIVVDDVSIQRLQRAAATAISAAAVKAELLAKQEEYQIQRLAALVIDKQFHKMQAKMSFFTEVQNLFLRMREHTENTRKKLLMERSAIIASRMAALPPRPNQPGSRLQLGYSLHQQLRRS >OB04G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:453233:454816:-1 gene:OB04G10500 transcript:OB04G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSTALLRLVKSLSPAVPGAHLSASSIHCLLLKAGLLHVGAHLPTALLSVYGVLGCPDHARKLFDEMPRPGLVPRTAMARAHVASGQAAQAITLFGDMVADGVFPDNIAVAVMLGACHGATTRRNPGKMIHALIFTSGIVPDVFVSTELIRVYGECGELAVSRRVFDDMPSRTNISWNAMLHQYARHGSADTAYDLFLAMPRRDVVSWNTVMAGYCAAGRCREALGLFRQMVSPSSCPVHPNVPTISTILGACADAGCLETGIWLHAYIERNSMNDDGCLDRCLIDMYCKCGSIENALQVFEKAPRKRDLFSWTTVICGLAMNGRASDALRMFGMMQDNGICPDDVTLVGVLNACAHGGLVDEGLGYFYSMEAKFRITPKIEHYGCMIDLLGRVGRLQEAYSMIRTMPMDPNTVIWGAFLSACKVHGNLELGKIAAEEITRLDPDDPWGRVMLSSMYAKAQDWTALARERREMNSLQMKKTPGCSLIELKGEVHEFVAGGSQHPQHAEICSVLQDVEAQTNGG >OB04G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:456551:457891:1 gene:OB04G10510 transcript:OB04G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCIAEPFYSSSPTKINTKLQQSTGGASIKQQLKHQGIKPQMEQPWPPKLLITNRSRKLHATYQGLSSKAIAHRDEPMPLSEFYTCTRDLLSYNTRKNKQIGNTIINKACDQVQLGASGSITTRVLHIQSPSRHFAL >OB04G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:456349:461031:-1 gene:OB04G10520 transcript:OB04G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNSALQQQLLASANWPYALAGRYGMQSAAWPFGASSTNQFSAAPKDWRSGDWLCSCGFHNYSSRTQCKQCNAPVPSGIPSTTMKTTVPDTSSTLGTKRLASEELANDWDNKRLNPGNANYPLSTTGADNLFVGIEQGAGSSNGQTPYSKFDNGNSIALPSGQVSAMPGLIGKGAKWREGDWMCSTCNNHNYASRAFCNRCKTQKEASVHPGVL >OB04G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:469410:475261:1 gene:OB04G10530 transcript:OB04G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVGWAISAVGWITSPVVSRLLREGSDLLGVGESERLRAVETRILPRLALLLEQDDRIPPGLGRAHLEQWSTRLRSAFYEAEDIIDVADYHRLEKQVISNSKPAVMPMLDHAKDIMSGKTSKLKKILNNLEKIIEEGSQFLPLLVRTTGNGTSGSDTDISNPANKMIGTITTSSALTEVIIGRDKERDEILRLLHETEDNFEPSSWNKCYSVIGIYGIGGSGKTTLAQHVCSYERRDNYFCLVMWIHVSQSFSVSNIYREMLEAASGEPSHEFCNLDSLQMKLEAALTGKRFLLVLDDVWTEKDVNSRLKLDQLLSPLKVGKRGSKVLITTRFADAAMSLGAQNLMKIPDLNDKDFFQLFMHYALDGVRLESLELEKFQAIGEEIMKKLKGSPLAAKLVGSRLRKQLNAMFWRRVGNQDLLSDTMGALWWSYRQLDGQIKRCFAYCSMFPQGHMFARNELVELWMAEGFIKTANGDEQMEDVGQNYFDELVSCSFLQTRKKDDGDENERFTMHDLLHELAVMVAGNDCFRLEEGENREFPPDACHLFINLYDAVKATRQICKLKKLRTLIFTTTFRGSTITIEALEGMLKKLRKLRVVKVCLDGEMMTIPTSICGLKHLRCLTIHNFGWIKVYLPRKFDKLYHLQILEIPNTGVLRSINVKSMGNLVSLRHVRNPGGWLLSDSSILSFPRIGRLKSLQELSDFSVRKEKGHELEQLKGLNNLRGSLRICGLQNVGSKETALEAKLYDKKHLTELTLAWYHQDQSCNPDLQTEILQGLCPPSQLTQLRVIGYEGWRYPSWLSQNQTSLVKCLQSLDLWRCSNLESLPDIAELFSNLRYLQLISIPKLKGLPRLPDNLKTLHITSCEALVVTCVEDVEMIRSMLFERISRTDGLSLRITRPEEISGFASEQPNMFSAILRDIIGISAPEVGSIENMLSSLLPFISCQTEEENYPQLLLPASLECLRLKGCIITDTVMQNCLRSCTSLTILDLQGVPFCKAIPYGLLKSLVILHVIDCVHFTRLQGLADLNNLRRLSIGNCPNLETLEEADKVQALDCLDVSDITLVPQLLSRQAYSSLPRLNVSHSTELREEEVLQQLTSLKLLTFHSCKWNNLPQTLASLTCLQHLTLLNCKNIRSLPTLPVCLQSFELGFSHPSFMKSCQESGHPNWHKIAHVPRKHYNYEC >OB04G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:502815:505495:1 gene:OB04G10540 transcript:OB04G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVAGAGLGLSIAGWIVSPITTMLLNDGFALLGFDESGKLRELETRILPQLALVLEQLETIPPDERNGRSASAPPSTTPKTSSMLPIITAFTTSFFPSLKKYLQVMHHSRIELKLDGIQRIVSGKTSKLKKILMKLEKITEEGSQFLQLLGRTVNNGNYITNTATEETGIVTTSLPVTEVIIGRDEERDKIINMLRKAPADDEPSSSNTRCYSTIGMYGVGGSGKTTLAQYVCDYEKTDGYFSPIMWIHLPQNFNMQRVYKAMLEEATHGKPSDEYSSLSLEALQMKLKEELKGKRFLLLLDDIRAEKNVVSMQYRLDQLVSPLRDGKVGSKVLVTTRFKDVAMSLGAQDLIPVPEFKENDFFKIFMHYAIDDSVCLNDQEQEKLLIIGGKIVKKLKGSPLAARIVAARLRKQDADVRARVADQHLLTDTVGALWWSYQQLHVQVKRCFAYYSLFPQGYMFTRDELVDLWIAEAFINATDSAGQIEGVCQNYFDELVSCSFLQPKDSFGSKNKWFTIHDLLHELAAMVAGTDCFRVESGDMKEFPPDVRHLFVCSNDQTEVVEKICKLEKLRTLIFLSGRPNWAGQIELRKLWRKIQTDQDDQRSTVGHRREKKTKMKIKN >OB04G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:508020:509717:1 gene:OB04G10550 transcript:OB04G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLKKLRLVYVDVQGHMVAVPGCICKLKHLRFLRIHSPWSRNVHLPKKLDTVYHLQILELCGAGVLDFSNVQNMRHLINLRDIRNSGFVFPNSGVPGFADVGELKSLRELCDFRVRKDKGYELKQLKSINHLRGRLRISGLESVESKQAALDAKLTDKKHLTSLSLEWSGQQHSCSPDLQVEILEGLCPPSQLTELEIRQYNGLRCPSWLSSENLNGLLRNLQDLQLCRCNNLEDLPKIRKLFICIRRLRLIGLPKLKRLPRLPDRLENLQIEQCNALVVTCREDSALPASVVDRLIISYCFVTDTVLHSCLRGSANLVSLNLRCLPFLTAIPCEVMKFMAKLSDLSIEDCLQFAHLQGLNHLSRLQHLTIAKCPKLRALGEDEKVGSLHGLSIDDIPLVPQLLSRKGCSSLWSLRIDESEELREEGILEQLTSLTSLDFSCCNWNKLPENLANLTSLEHLRLDCCKKIQSLPALPASLRSFEVEECDPLFMKS >OB04G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:510417:513777:-1 gene:OB04G10560 transcript:OB04G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHEYLQTLHFNCIRLPDGAGIVNMSLPIVLAVGDREKEQIGDRPDVALQGPDGGILAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIASAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSLAPGLEKLNILPFKVAAYDTVVKKMAFFDPSRSKDFLFISGTKMRAFAKSGEDPPDGFMCPGGWKVLVDYYNSLQTEEAAVAPV >OB04G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:513503:514063:1 gene:OB04G10570 transcript:OB04G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRTDRKLKGTEEKSVRNGRERRGGGGHLRRMARMPPSGPWSATSGLSPICSFSRSPTARTMGSDMLTMPAPSGRRMQLKCSVWRYSCSRMNPRSGLAHPSASTCAHSTSTAARRTHGGALALPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRGGEGG >OB04G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:515757:516872:-1 gene:OB04G10580 transcript:OB04G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLMRASHAACSAARSCFARFCDRINLSLTPAVAAASHTDKLKEVRVKFVAEEKSIWDLYKRWCKAFSVERDHAEMLHRFPAFKASVLFVHHTNNRPNLSYKLEITKMADGKLREICITGKRPDYQLRRELDFKPALFIDCTLIYLRGFSFGTPDHDAIGSGGNKQIGESSAVFFKASSGKLLKRVYSVFEVVNGSLFVDLPDGIHQLGTPKDEYGL >OB04G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:536830:536988:-1 gene:OB04G10590 transcript:OB04G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDVSGDCYHLVRLDFLVEISECMAELIEFCLLQILLWAMLLHSVFVSGLR >OB04G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:541488:548938:-1 gene:OB04G10600 transcript:OB04G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic initiation factor 3 gamma subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G45730) TAIR;Acc:AT2G45730] MGGLQRTPRHQRRRSPRLLPPHCRRVRTSPCFSSTSSFFFFFFLTVKIGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSRDDRMQDAADGPVQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGSVAERLGGTGYVCSTYLGSAPISIDIIRMYNLSSDMTSRIVQAPLSDLCSLQNSGNAPSLLNDNIQGEAPETTAVPVENSQPSVPQPADTAISDEKAQSSKEQSIDIDISEPLLDEHINQDGDSSLDCKGDEDGSSIASKSLKAGKTPSPEKMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKLAIGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGIK >OB04G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:548454:549439:1 gene:OB04G10610 transcript:OB04G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKDEVEEKQGEETVPHHIGARIPYRRLYPYQMEPRAEERKALTGSSIATTPSSSSGFAHRRFSGSYGAVAGSTRWRQGSGGDDVFVLGDGD >OB04G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:550402:554716:1 gene:OB04G10620 transcript:OB04G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G26610) TAIR;Acc:AT5G26610] MVSPRDRPFVLASSARVQIHLRISDTPCLFRPPHGWCSQERRDRDGGGSSSRRYPGAPVVEEGDGVYGLEDDDDFRLPMSHRPTENLDTEGLEQASVDTQLTASNVGFRLLQKMGWKGKGLGKNEQGIIEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRKKLNIELEETEEHIKKREVIAEREQKIRTEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSGSSNRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEQSESSSERITMKNLPNPGNQDQRQTLKFGFSKMAPSKAPVGNVSKKPKVATKVSSVFGNESDEES >OB04G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:559081:562750:1 gene:OB04G10630 transcript:OB04G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLLPSSSTSKTATVTDTSDRRRHHHHQNHTKRKKKPPPPPPLSPMPRTPPPGSGAGHRAMATVTASKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRPPADRDTTPLTRSSRRAHRAASSSSGGGHHPALRGLSGCYECRAINVEPMSRSPNKSPINYLSTKPIINHIINMRLILVLIRRYPRPRELCACSQCGEVFTKAESLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICHIDRILKVHNAPRTVARFEAYRDAVRTRCRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRRGGEQRVRQPGGAVRGEPEGHTAVLRRHLPRRRVTDRSNNPTLKMLIASIIVCINRPTISTSYVCKSIVDCSLSRSTRL >OB04G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:567719:573582:-1 gene:OB04G10640 transcript:OB04G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLTSDMRGSPSKESDDYSWFLTRDIQRPPTDQLIMPLEEWTRLDADSFDGLAGKIIDFLEDTSNTSTVIYVEGAVGLGSSTILKEVAKRLRAEKLKIIHVDCSLWQSKRALQKAIAQELELPWSVMALFDHHDEEDDFDGVEQGARGVIPDVTRAIFSNLATSRTFLVVFHNGGGGFIDLQECGVPLIKGLYLWTSQVRFGPWHGRPTLPPQEVVGPSDAAIFALLIGGLYLLHEEAAEVARYTGVPKPGMSPEVVKECINYYKVVRLVCGNNHGIDWATHAANLWVCSGIIQSYGGMPAWEIAQALQTNLRLDWDDHLNTNKWKLQKLQIVPCDSVEASFFWTTSLGNNDATTGCSETLEAKIFQHSSVDKLRVIHLCWCTFSFASPPFLHCSSLSFLLLDHCKDSDHENNESIAPRMTACFQKLWVLELSYTDWYWLLSTEALQLMVELRELNLKGIKHWSISHLSHDDNNANSSAGRKTKLRMLNLVKLRVTAEPTEDLHESPLEREEESEEAILIFPNLSSWRLTLSTIILEGCVELKRIGPHVLPPSLESFSFSSSSNDNAQVSANIESISFQGCAQLKSFLLRGLFEKLLELDMSGTSVKTIDLRAMRGTWSLEKLFLLGCVELRAILWPEQYVRLEVLHIDATRSDIRHGADVAASSSTPSSFKWHISLRDRRLLRSLNDVNSSSGNLCIEISSLGSNSIVHVASDDCCEIISKSKPAIIIGAAGSKIRQRQQQSAAESNKLYADVDLNAQQQHLQLQATMHGNLMWPCELGGNTTHYISLKDERRMSSPLPLPSSMCETALGLHVHDSISITSITSHSNMALRWRNIRWCRVERCPNIEGVVFTPPSVTSIGESIFMCLKTFWASQLARVRCIWDWRTRGQLRFKPGYSSFQDLQALHLDCCPRLIFVLPLYYKNNFYACRMLETLEIVCCGDLKDVFSVVENQAREFPALRRIHLHDLPSLQTICGQRMVAPKLETIKIRGCWGLTRLPAVGHDSTCKPNVECEKEWWDALQWDGLEKGHHPSLYEPTHPLYYKNKNLSRGSVISDHPASPSLHVVPPCSRRHRGLVVMARRLPSAAGRGSPPSLLQRFYSPGAWEILLDGHGLDTLNAEWLRSQIGLVSQEPVLFATSIRENILFGNETASLKQVVAAAKMANAHEFIVKLPHGYETHVIHSSFAFERFCPLGCFIVLQDNK >OB04G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:578728:579084:-1 gene:OB04G10650 transcript:OB04G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFLAEPLRAPTVTCIPRLRGGGGGARRPRRAAAPASSAGAAPVSVLDRVRDVVLRLAMLSAATTKGALPQQQTGAGGEKARGSSNKLAVTFACISFMCHVKSTITVSCTYIVKNQ >OB04G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:590927:591285:1 gene:OB04G10660 transcript:OB04G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQAKSFRRKASHHSSKAKTRWPSRLMDGFRKMLVGLFSFPPRPPTVTFTVDHRPAPPPPHHRGGGGGDAPKRSCSSNLHPLNAHYDEAIADCVEFFNKSAAPATCRVDVRSRPQF >OB04G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:591597:593109:-1 gene:OB04G10670 transcript:OB04G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDGDGVTVVGRAEIDMRAPFRSVKEAVVLFGDRVLLAGADGAAQQRINRSSSDLKKRWELSPSRGFEPKTLSTTQVPVTTILHALSLMDRAGEMRPSYHEAVGVLAAASRQRHTPAVELQEAKQELEKERSEKQKMAGCILSLQEELGNAMRELKKLKVRGQDDDGGEAAAKVIDLQVEDLKFVEIAKQNQPANAADTDSSTAVTNRPDEFQKRRYVTFSDTPPTAAYLAPPQAPLPDVVMELHHHRHSAPAPPPPQLREVRFLRQMSAGHGTMKAAADQEGSKKKKKKALIPLVGALFMRKKKTSCCHDDSMLNPRTSF >OB04G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:606704:608413:1 gene:OB04G10680 transcript:OB04G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAPLWFTSLAGLGAVYLSVVFLRLLPYLTLYLLPPKDLRRCYGSWAVITGPTTGLGRSMAMELARRGFNLVLLDLNPGNLREVSETIRRSHGVETRTVVFDLSLVGTGEGDAAMRRLREAVEGVGDVGMLVNNAAGAKPGALYFHEADVERLGTMIRGNAMALTEVTAAVLPGMVKRRRGAIVNVGSGSTVAVPSFPLYTVYSSSKRYVEELSRNLYVEYRSKGIDVQLQVPFYVHTNMLSAAVKARMLLPAFVATADAYTRAAARGGGPXXXXXXXXXXXXXXXXXXXXXXXGGGGVDRPRPHRRPRRRPAAAVAPRRLRPGLRPQLLPPPQAPAAQGHPLEPQLIFLPPAHQSTLHFNFFLNTYNCIFNCCSSSIYKLIKVMKFANLLLHQPCFK >OB04G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:622106:624874:-1 gene:OB04G10690 transcript:OB04G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVTVVGRAEIDTRAPFRSVKEAVALFGEKVLAGELHAGAGRRLVGATYDQQQQQQQQNRAAATPPAIRHVPVTADQLEEAKHELEKERSERQKMAGCILSLQEELSNAMRELKKLKARDDDDGEGAAAKVIDLQVEDLKFIEIDSKPQQQSQQPPPSTRQMSAGHEAVKAVAAEQEAMTKKKKKKPLMPLVGALFMRKKKSSSSCCHDDSALNSRAAFDG >OB04G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:628491:631046:-1 gene:OB04G10700 transcript:OB04G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASALGVGVVYGTVKLSILKATKPHKKEEANAHH >OB04G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:636641:641245:-1 gene:OB04G10710 transcript:OB04G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKTQAQAEDLAAERDHLAAFVKIQHEFLVSRVYRLQATLQKARKVDAITRRYEAANMEVLLGDKEREARCYQKLAELTEDDLEDFKTSLTALATENYELKVKLKEMESRVELNESTVDHIHSPRDLRAEIRKLKQAYKTLSSKKDTEIFALRAEKDFVWNQLRVMEKDYTGLLKKKKIEAAQATEAAQKLQKSLEELQDQNKDNEIGRSQAEAVDAKKNILILEDKLQEMLSLVKEKDLEIEKLKHGQAKTSQSNTRDSNQTHRKTRSLGPPSRDKSTSSQATPVGRKVKTSRQYASSARQPQEKSRHNSRRQKVEEGDKSDVGQKRKRASPLSNGLRRCSARQQGKAPASPAVQPLLFSPSFKVPKVKTPVPQ >OB04G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:644900:648534:-1 gene:OB04G10720 transcript:OB04G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNOM-like 2 [Source:Projected from Arabidopsis thaliana (AT5G19610) TAIR;Acc:AT5G19610] MHEILQAVFGRLPDTPPPADVDADDLSVAGFGARCMADIFNFLCSLLLNAPDMVATPDGHGAFTTEEDVELFSLVLINSSIELGGDAIGKHPKLLRLIQDDLFFHLIHNATESSPLVLSMICSTALNLYHFLRRFLKLQLEAFLMYVLLRLGGGGGGPQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDCAAYAVDISEYRLFWVERWDAAAGGNHETWVDFVRKRKLRKKKVAIAANHYNRDQKKGMEFLKLCNLVPTPPEPKSMAYFLRYSPGLDKNKIGEFLGDPEEFSLKVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTTEVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINAGKDLPREYLSELFHSISGNAITVFSQAAASVEMTPTRWADLVKRSRAIEPFTPCDFKHKLSREVFVTVSGPAVATLAAIFDYTDDEEILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTDETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNIVDCLLKLKRLKLLPPSVVEQDGVSSSSSSERLGHRTKSDSGAIFPSSHRGAGTSRHVSGMIGRFSQFLSLDSGGESLLSVGSEFENNLKIIQQCRIGSIFTESGKLPDESVQNLGRALIFASGGKGQKFSTPVEEEETVGFCWDLIVHVSSANVHRFTTFWPQLHDCFAAVSQLPLFSPCPFAEKAIVALFKIAVRLLSGGQGSSNGDRMAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEHAGNVQTPLGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAVVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMADSVNWLIQWHKSGYSDPGNSWYSGTSSSSSSGGSGSSAAVAVAMEDASRMGNLATNMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAGGELEFGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGVGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKEKEVLVPREGDDLWEITHIQIQWIAPAVNDELFPD >OB04G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:653832:660257:1 gene:OB04G10730 transcript:OB04G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38890) TAIR;Acc:AT4G38890] MCPFTTLDQLCPYGLTCRFLGTHKDIHTASGNLSEKHEINALNKDIQKLLWKNKYKFPKASEQIKLLGLKEVIKSKPNGPNDDKKVNHDNLDKNGDEKTDSLCNPPVNVECDSTLCEQFNRSEGEPLIDNSIPCVEPRPTKKPKVEGDEINKNGAGTLDTKVEPEDPNLSNGLEVPSNNTSSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAFPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKGIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPHQLHVVGNGDVFSFTDWNKHISGCSKISTCMIARGALIKPWIFTEIKEQRHWDITSGERFNVLKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMVSDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >OB04G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:663384:668136:1 gene:OB04G10740 transcript:OB04G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSNYGAFMDSFVLRSPSPSSSSQLLPLHGLTFAIKDIFDIAGRVTGFGNPDWKRTHAPAAATSPVVLAALAAGATSLGTTVMDEMAYSINGENAHYGTPTNPCAPGRVPGGSSSGSAVAGAAKLVDFSLGTDTTGSVRVPAAYCGIFGIRTSHGLVSAENVIPMAQTFDTVGWFARDISTLSRVTKVLLPLPDDTVNQPTKVTIPMDCFQILGSVDDSTYQIVNVSVAKRFGSHAIIDNMNLGDFVCDNVPSIGKFIVAFAESELPSVPALSAISHVMFGLLRPQFKANHAEWVNSAKPNLGPVLHERIHDTIASEDNEPLEDFQAIMAEFKSALAALLKDHGILAIPTVPGPPPLAGMEASPDLENFQARALTLQSIASLSGSCQVSIPVGTRNGVPVSVSLVAQHGADHFLLSVAEQLYQTLLDEATKSWAS >OB04G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:670019:672710:1 gene:OB04G10750 transcript:OB04G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDPGYGAFMERFVLRPSSSSQQLPLHGLTFAIKDIFDVAGXXXXXANAPPYWKRPHAPAAATSPVVLAALAAGATSLGTTVMDEMAYSINGENAHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRTSHGLVSAENVVPMAQMFDTVGWFARDLSMLSRVTKVLLPLSDEAVKQPTKVTIPMDCFQILGSLDDCTYQIMNASVAKRFGSQAIDNRNLGDFISDNVPSIGKFIAGFSESELPSVPALSVVSHVMRGLQRSQFKANHAEWVNSVKPNLGPGLRQRIQEAIASGDSEPLEDFQAIRAEFKSALAELLKDHGILAIPTVPGPPPKLGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGTRNGVPVSVSLVAQHGLDHFLLNLAGQLYETLLDEATKAWAS >OB04G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:673988:678071:1 gene:OB04G10760 transcript:OB04G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTSKNTGSPTCRRGFVQFCYEPIKQIIKTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIFHLPSPFKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLDVGSQANTLVLDIRKRKGLKEQMTPLSEFEDKL >OB04G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:679465:683478:-1 gene:OB04G10770 transcript:OB04G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3LVA2] MAAPAEETVRASHILVKHEGSRRKASWKDPDGRVISATSRADAAARLAEFRDQILSGRASFADIAARHSDCSSARRGGDLGTFGRGQMQKPFEDATFALRVGETSDIVDTDSGVHIILRTA >OB04G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:687340:691098:1 gene:OB04G10780 transcript:OB04G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDLLTAVFRQPTLKGLAVELASLAAPLWVAVLVGLLIGWAWRPRWAVAVVGDNDQAPAGTPALPRRAAADDSCRKNGAAVVPRAAMAAAAPEEDEQLAVGTGDLMHLRRLVEEKDGGPSWIHMMEKTQPEMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHETLEECTRTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVHRPSVPRSNKPRRVDLYYSSWCIRPVESRNGDGSMTACEVFLFHHEDMGIPWEIAKLGIRQGMWGCVKRIEPGLRAYQIERTAGEPLSKYAAMAHVNTKVDPDELIAAEDKTEASSTNNVEAEKPKHWTGNIPKVLLVGGAVALACTFDHGLLTKAVIFGTARRFAGPGRR >OB04G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:691955:695637:-1 gene:OB04G10790 transcript:OB04G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate dehydrogenase E1 alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) TAIR;Acc:AT1G01090] MAAASSFTAAAKFLAPVAARSGGDSRPPLPASSSSAASSLRPRRKPAPRLRTALAVSSDVLPGNKAAPSAAAAHLAVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKESGPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >OB04G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:699237:699844:-1 gene:OB04G10800 transcript:OB04G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECMKITKLAAASPAKVQVQSEDGIGSRRQQVVKMEKAVSKQLKPRFALELDGLYCFETLVPR >OB04G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:702160:706732:1 gene:OB04G10810 transcript:OB04G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDEAIRDLDGYPFGPGRRRLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTKLLDRVISVEYAFRDDTERGDRYDGAKGGYGRRDDSPYRRSASPVYRSRPSPDYGRARSPIYGSYQRSPVNDRYRSRSPVQRSRSPLASRRAYD >OB04G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:708907:711018:-1 gene:OB04G10820 transcript:OB04G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57430) TAIR;Acc:AT3G57430] MLADGHPLTSFTLVSVLLACSHLPAGARLGREAHAYALKSGLLHAQERFAFNALLSMYARLGLVDDAQTLFGATAPGRNDVVTWNTMISVLVQSGLFDEAVETLYDMVALGVPPDGVTFASALPACSRLELLAVGREMHAYVIKDGELAANSFVASALVDMYATHEQVGKARQVFDMVPDSGKQLGMWNAMICGYVQAGMDEEALRLFAWMEAEAGFVPCETTMASMLPACARSEAFDGKEAVHGYVVKRGMADNRFVQNALMDMYARLGKTDVAHRIFAMVDLPDVVSWNTLITGCVVQGNVNDAFQLVREMQQQEEEDGFTGVAPNAITLMTLLPGCAMLAAPAKGKEIHGYAVRHSLDTDVAVGSALVDMYAKCGCLPLSSAVFDRLPRRNTITWNVLIMAYGMHGLGGEAMALFDRMTASGEGSPNEVTFIAALAACSHSGMVERGLELFHAMERDHGVSATPEIHACVVDILGRAGRLDEAYAIVTSMEAGEQQVSAWSTLLGACRLHKNVRLGEIAAERLLELEPEEASHYALLCNIYSAAGEWSKSAEVRSRMRRRGVAKEPGCSWIELDGAIHRFTAGESAHPASAEVHERMGALWEEMRRQGYAPDTSCVLHDMDDGDKAAVLRCHSEKLAIAFGLLRSPAGATIRVAKNLRVCNDCHEAAKFMSKMLDRDIVLRDVRRFHHFRQGKCSCGDFW >OB04G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:708946:710400:1 gene:OB04G10830 transcript:OB04G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPDVPEHDVAVKHLGHELGRLMAVVAHPQVLGHPNGGARRRPQQPERDGQLLAVAPEHGRLVAVVHVVQHARRVRRVPLPPHLLPERAHALVHLRARRVRRLAGREPVDRAVQLDPAAPRLLRHASPPHPAPHLRRLGPLPGGGVDVAEERVVAGLLGLQLQQPLGGDLAEADVLVQPARAQQRAPRRHLLLAGLHRGHDGVGLVEPAGAAEDVHHAGVDLRRRRDAVVALHGVEQLEPALHHARVAARRQGSDEGHFVGAPLAARRHPVEQRHGLAAEPVHAVRHDEDVPGDGVPPRQPVEHCARQGQTPALGVHVHQRAPDGDVSVERVPHRVPVYLLPLRRRCQHGAPRQERHQRDGVRRHAGEAVFFFLLLHLADELERVVDIALDDATGDEGVPGHDVGEVDHGEDPVRDVRLAEARVHVHERVLHESVVRHAALHHVPVHGLLPVEGLRAGACRQHAGHGGLAGYEAGLGLHPRE >OB04G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:725619:725882:-1 gene:OB04G10840 transcript:OB04G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSTASPAGGAASPPPPAPLGKEQQVARVGILLQISMLVLSFVLGHVLHRHRFYYLPETSASLLIGTTTTLAVKDRFVCGI >OB04G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:731450:731626:1 gene:OB04G10850 transcript:OB04G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVKDAASAKTSDTEKWLQMEQIGSLKSHIPSGRVLWMMRIYMLVCTTVGGCFHRWS >OB04G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:736125:745919:1 gene:OB04G10860 transcript:OB04G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLSKQSIFLTFLLHFFLQPPWLLHALKQTEPPTKIYIVYLGERQHDDADLITDSHHDMLASVLGSKKEALESIVYSYRYSFSGFAARLTKAQATKIRGLPGVVSVRENQIHRLHTTRSWDFLGMDYRQPNGLLATANSGDGIIIGVIDTGITPESASFSDEGYGPPPSKWKGICQVGPSFGAESCNRKLIGARWYIDDDARSRMDSDEVLTPQAPAPHAAPPAGGNVVRNASVVGLAAGTARGGAPRPRIAVYXXXXXXXXXXXXXXXXXXXXXDGVDVLSLSLGSPFEDPGTLHVVAKGIPLVYAAGNDGPIAQTVENSSPWLLTVAATTMDRSFPVVITLGNNDNFVVYIYINIDYFAFRVFITCMMCSCSCNAENIDRKVKGKIVFCFIEKYDEQPNYYSIAKSVKEQGGVGVILPQYNTDLVLGDTILVSVPFVAVDYEIAYRINEYIESDNDPKVKISQTRRTIGTEVSAPQVAVFSSRGPSPIYPGVLKPDIAAPGVAILAASPKTPSYSGIPYHFDSGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTALSYDNNGMPIQANGRVPKIADPFDYGAGFVNPNMAADPGLIYDINPADYFKFFNCMGGLGSGDNCTTAKGSVADLNLPSIAIPNLRTFQAATRTVTNVGGQVNAVYRAFAGGGVAVEPPVLVFSKERRVQSFRVTFRATRRVQGDYRFGSLAWHDGGSHWVRIPIAVRIVIDDIYSKIS >OB04G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:752066:752161:1 gene:OB04G10870 transcript:OB04G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSYSQHIAHFSFVLYYNLFLFLVLKINFILF >OB04G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:809273:824556:1 gene:OB04G10880 transcript:OB04G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLSKQSIFLTFLLHFFVQPPWLLHALKQTEPPTKIYIVYLGERQHDDADLITDSHHDMLASVLGSKKEALESIVYSYRYSFSGFAARLTKAQATKIRGLPGVVSVTENQIHRLHTTRSWDFLGMDYRQPNGLLAKANSGDGIIIGVIDTGITPESASFSDEGYGPPPSKWKGICQVGPSFGAESCNRKLIGARWYIDDDLRSRMGSDEVLSPRDVQGHGTHTASTAGGNVVRNASVVGLAPRARVAVYKACWSVVGCSGAGRLKALDDAVHDGVDVLSLSLGGPFEGPGTLHVVAKGIPIVYAAGNDGPIAQTVENSSPWLLTVAATTMDRSFPVVITLGNNDNFVAQSFVLSGQPASEFSEIQYYTDEDCKAENIDNTVKGKIVFCFLEKYAQQPDYYSITKAVKEQGGVGVILPQYNTDLVLGDSILVFVPFVTVDYDIAYRISQYIESDDDPKVKISQTRRTIGTEVSAPQVAVFSSRGPSPIYPGVLKPDVAAPGVAILAASPKTPTYRRASYHFSSGTSMACPHVSGIVAVLKSLHPDWSPAALKSAIMTTALSYDNNGMPIQANGRVPKIADPFDYGAGFVNPNMAADPGLIYDINPSDYFKFFNCMGGLGSGDNCTTAKGSVADLNLPSIAIPNLRTFQAATRTVTNVGGQLDAVYKVFLYPPAGVEMAVDPPLLAFSKEDKVQSFKVTFRATRKVQGDYMFGSLAWHNGGHHWVRIPIAVRVVIQDIYSKIS >OB04G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:830475:840340:-1 gene:OB04G10890 transcript:OB04G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKLRELNGICGLHQLTYLQLRSNVLQGEINPCLGKLHHLTYLDMGNNFLTGAIRQNLLRNLTQVEEIHFGDNNLTGTFDFSSLSNNSKLHSIVLSNNYQLEIETELVRWAPLFQPEYLNLSNSIVNKRSNGIIPSFLSAQESLSGIDLSVCSLQGRIPSWLLLYNISSGFLLLHGNNMDFLDISNLGVNTTSSLEVLDLSDNKISMQMPYNFGSIFPYLKYLDMSSNMLHGGVPSLAEATSSLQVLDLSFNMLDGKISPELVGNASILTSLLLSHNYLTGPMPPFHWSLGELIHLSVENNQLSNGLPPLLMNCTNLENLNVRNNHLSGVVPVGLLSFPNLGALLLGGNRFHGVIPWDICLNDHLHFIDLSNNQFSGEIPGCLYSVFWSELPMYYEDDPSIGNVTERRKTSVEFMTKGESLTYMGMPLELMTGIDLSMNHLSGTIPSPIGFLRQLKSLNLSHNKLVGSIPDTFIYLLEMESMDLSHNHLNGSLPVELGNLSFLSFFSVSHNNLSGEIPFESQLCTFSRTAFEGNEKLCGEIIDKTCTVNLGRSGDSDGEMHRLLSTDTMDTPLIYWSFVAGSFALGFWGIITLLVWNTACRNRFCSLTDGCMSKMGWFLVP >OB04G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:830635:830880:1 gene:OB04G10900 transcript:OB04G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDSNRCISPSLSPERPKFTVQVLSMISPQSFSFPSNAVLLNVQSCDSKGISPERLLWETLKKLRKERLPSSTGRLPLR >OB04G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:843661:845273:1 gene:OB04G10910 transcript:OB04G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSKIMKIKFAPGQTFMFGGIRLLIDQYGALSYADSDSFESKEEGEGLKLVKDGPWGEPRSYASIAMRDKLMIDVVIK >OB04G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:852647:853445:1 gene:OB04G10920 transcript:OB04G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACHGRLLLVVIVFLLLLQTSYVLCNQSITRKLYVVYLGDKKHEDPERTTASHHEIDLISTRNRANKKHRIRLSTATSMASLVLLPCLLNLKHRTLDFIQPNVRNEIL >OB04G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:857847:859129:1 gene:OB04G10930 transcript:OB04G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAIKLLKEAGAKGIIFATYARDGLDILEDCGSMPCVLVDFEVAQQIKQSADENTALLVKVAAARTSIGDEVFAPKISTFSSRGPSPLWPDFLKPDVAAPGSNILAAVEDSYKFFSGTSMACPHVSGVAALLKAFHPDWSPAIIKSALVTTAINDRSGLPILADGLPQKIADPFDYGGGFIDPNRAIDPGLAYDVDLEHYNSFLECFYGNSSCEI >OB04G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:858420:861452:-1 gene:OB04G10940 transcript:OB04G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMMQEQVVLISVIALNSRGRCFLYAKDFSGVERTEEAIVEFLLKTIDEIGLGHVLQVVTDNIFNCKSLGKETEKITNYSEEFLKLAMMRISNVYVELASAPKQIAPLNLVGHLKVTSADLMIAGDQSGWNALSNAATPDTCGQAIEVPEKNLYESSTAAKILDPGAATSGYRNLKVLVTEVN >OB04G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:863461:863763:-1 gene:OB04G10950 transcript:OB04G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEATGQRGTVVFSLRSLTTPTTQGHHQISSRFNRLAHCFWHWTTDETPASVCARREEVACAGRGRMRRRWGVAPAPVEESSSVGGGRRDSMGDD >OB04G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:863656:863928:1 gene:OB04G10960 transcript:OB04G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRNLVVSLRCRRLDPSHREAAQAEDDSAALSSRLQHLLFFFSTDHIFFFVVSIFFSFPAEHIFYFAVSNHWIRYFYSTDWVFLNPALRFL >OB04G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:865538:872381:1 gene:OB04G10970 transcript:OB04G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYDNGGSHCRLIIVFFLVLLLPLQISFVVCQQSAAKKLYVVYLGEKQHDDPERTTASHHDMLTAILGSEEEAHDSMIYSYRHGFSGFSAMLTESQAYDIAELPEVRSIKLSVVHPMHTTRSQEFIGLDYTKPNGLLHDANYGEGVIIGVIDSGIWPESSSFRDHGLGPVPSKWKGICQAGEKFSSNQCNRKIIGARWYVNHLTPKDFEGEYKSARCANGHGTHVASTAAGALVPNVSFHGLAAGYARGVAPYARIAVYKACWKALGCDDTAVLQAIDDAIHDGVDVLSLSIGLADFEFYGSLHAVQSGITVVFSAGNTGPAPRTVANASPWTISVASTTIDRTFPTVITFANSSSDIVGQSLFYETAGEDNWYEIYSTRYICCFNGTSININATLASGRIVFCYSPSLVSLVPPGTYLPSIARAAKKFGAKGIIYSTYSLDNLVIIQELCGSIPCVLVDFDAFHVLENALENTTPVLARFTPTRTWIGGEVLAPRISTFSSRGPSPLLPQFLKPDVAAPGSDILAAVQDSYKFKSGTSMACPHVSGVAALLKALHPEWSPAIIKSAIVTTASNDRNGFPILADGLPRKIADPFDFGGGFIDPNRAMDPGLAYDVDPKDYTSYFDCFSASNSSCESESRNLNLPSIAIPNLKASTTVLRTVTNVGQPDAVYKAIVQSPPGMQISVEPAILKFCQGKNKQSFKITFTLSHKVQGGYLFGSLAWYDGGVHHVRIPIAVRPVVSDNYADL >OB04G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:900269:902608:1 gene:OB04G10980 transcript:OB04G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEVRCIKPNRVLPLHTTHSQDFLGLDYIRPTGLLHDAKHGDGVIIGIVDTGIWSESKSFSDDGLGPVPSKWKGTCQVGEEFGSNQCNRKIIGARWYDKHLTAKDFEGDYRLARDAKDHGTHVASTAAGALVLNVSFHGLAAGYARGVAPHARIAVYKACWAARGGCDDTAVLQAIDDAVHDGVDVLFLSIGGANNEYYGSLHVVNNGITVVFVAGNDGPTPKTITNASPLGITVASASIDRPSIPYCYHTCQQHHQICAAILKLSIL >OB04G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:903572:905687:-1 gene:OB04G10990 transcript:OB04G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTITRFSEELRFRTRKLEFRIVVDFRSTILRVALLEWDNLIGGTGAPQPDWRHRSSVTRDNLRSGVTRDSCKDLTAQNESLIDLNDDLKTSFASMTSEINALKCNASMLCDSCVALHDELASAKVEIDNIKSLSKIECDSCTKIIAKKEKINLAYVSRVDQLEKAKAEINKFKASSYNMCSLIAIANDDHLTSCNHDKLHTCKKTKKRIEIVNLQNQGGKGRIFLQIKSWQQLDRLFNIGQTCIMGFRPCMVRRSDQSFVKLTDMNRGDLRSTVVNGEDYD >OB04G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:907440:907859:1 gene:OB04G11000 transcript:OB04G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLPILANGLPQKIADPFDYGGGFIDPNRAADPGLAYDVDPKDYIGLNCEFANTSCEYKYLNLPSIAVPNLKAPTTLLRTVINVAQADAVYKAVVQSPPGVHTSVEPSVLKIQARHEEAELQGHFQHDPKGSREVSVW >OB04G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:908587:908871:1 gene:OB04G11010 transcript:OB04G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKWKGISQAGEEFMSNQYCNRKIIGARWYDKHLMAKDLEGKYLSARDATGHGTHVASTAAGALVPNVSFHGFTTGYTRGVAPRARIAVYKVC >OB04G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:920034:926432:1 gene:OB04G11020 transcript:OB04G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPQTAAMAIVLLALLLPLQRLYVVAQQNESKKLYVVYLGPKQHDDPEKTTASHHDMLTTILGSKEEAIESIIYSYRHGFSGFAAKLTESQAQQLSELPEVRSIKLSTVHPLHTTHSQDFLGLDYMKPTGLLHDAKHGDGVIIGIIDTGIWPESKSFSDNGLGPVPSKWKGICQAGQNFTSDQCNRKIIGARWYDKHLTAKDLERNYRSARDIEGHGTHVASTAAGALVPNISFNGLAAGYARGTAPRARIAVYKACWKRQNRQGGCDITAVLQAIDHAILDGVDVLSLSLGGAFIEYYGSLHAVNNGITVVFSAGNEGPAPRTVKNASPWGISVASASMDRAFPTVITATNKQAIICVLCYINRSCIYAVLLELVCACRCQFGTLEVLNVTLAAGKIVLCYSPATVSSTSPTYTMGADINALKEAGAKGIIFATYAFDLLDGVQQGCGSMPCVLVDFEVANQILLSSVDATKGLVVKVAPARTWIGDEVLAPKISTFSSRGPSPLLPEFLKPDIAAPGANILAAVGDSYMFMSGTSMACPHVSGVAALLKALHPDWSPAIIKSAMVTTASNEMYGLPILANGLPQKIADPFDFGGGFIDPNRAADPGLAYDINPKDYVALDCEYTNTSCEYQYLNLPSIAVPNLKASATVLRTLTNVGQADVVYKAVVQPPPGVHISVEPSLLRFRQGKKKLSFKVTFSMARKVQGGYLFGSLAWYDGGAHYVRIPIAVRPVVSNNYADVS >OB04G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:932871:935112:1 gene:OB04G11030 transcript:OB04G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPFPFGLLLQCSGSGKFLLNCTSNQTLLIGIPPAQYRVVNISLNDGLLFVKNITMPTTATALHDLDLSGGQGIWRWAVTNKTCETAAKTEASYACIATHSVCVDAAPGYRCKCSPGFDGNAYINGGCQDIDECSMPDLCDGLCSNIEGSYRCIPCPKGSTFNPLERICVSNGHSLLPGLPIGLGCGIGVLVLTVGLVLFVRWWRRHMQRKLRREYFRKNKGLLLEQLLSSDESIAHDPKIFSFEELEKTTDNFHSTRILGCGGHGTVYKGILLDQRVVAIKKSKIMDHNEISQFINEVAILSQIVHRNMVKLFGCCLESEVPLLVYEFISNGTLYDLLHGEHNTTFSLRWEDCVRIALEVAGALSYLHSAASIPIFHRDVKSANILLNDNYTSKVSDFRASRYISIDKTRVVTIVQGTFGYLDPEYFHTSQLTEKSDVYSFGVILVELLTRKKPILLNCYREKQSLSHYFLQTLQNGRIMEIVHPQVAEEANASEIDELASLAEMC >OB04G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:936834:937418:1 gene:OB04G11040 transcript:OB04G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAPTCQFFLSPSLPSSPLSSSFLFFSRAASADRGSGGWPDGCVPAAIITASATLPYIPEVSVVRAEHLLHSGDAQPGAGLSLQGHIPLHHRDRLRGGRADRVREGAGSSMTAAARTRERERKVSYFIPSPYTDYGDGGQINRVGRNAKSATA >OB04G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:939117:944449:1 gene:OB04G11050 transcript:OB04G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHERTAPDNWTLHQRAVLRQQMLQGTTNMLDKLRRISLNIIDRGENLGAQGASPSQQQNVCTTTQDSSQVNQEQVGLMVVLNNNNILNINSTELSPVTTPGQFTQWPMQFPSQHQQQAKQLQPTNIAGCNPASLPMQLQGQNFQQNQVLEQNCSWAGTQQRQMAVTQQQQQQQEAQLMRLNQQCIDLMRMTNQQCIGENHQQQEQLMRMTNQQCIGENHQQQEQLMRMNQQCIELARMNQQYVVQNQQQSAELTMMNQQCMTGNQQQNCIQRNQILRTQQQGCAARIQIGHPELQKCQENVGMECHSLTPLHGQVVAAQQSVVCHSTQTSEQMISSGEEHWREEIFQKILSLKDSYLSELLEFDRMVKVPKISKERFSSLPASQAGKFKRAVQVKKAIRKMLGLLNTQKSDVHKGLEDEINKFINTMEQLRGCLVRKNVQNKATETGCQSQNCDQQPQISNLTGNASPFTCDESRHQRQEEQLTDAKTPKIGQAVMTQTPTVHQENHGYNLLGVPSPCLSPLSVQPLSTNPPEDCFIPSPVTSTGAVQAQAAASPSASVKSPVSKPGAAWCASMKSRLASPTSRPEAAHVASIQVDSPLPAAVPSELLQSPVGEPQIGEAGAPLAEHDVTEFLVHSTEAAAEHSGCNQAAPPTEQLEETAPIEAGHNAQDQVGHGDERMEADKPISRLIQAILSSSPQLLLDTANSMEWVIREASLVPLALSGTDVSSISIGFHDFSRSEHTAKRQKTQMNANDDSFKKKKANDALLHEIETTNRKLVDTVISIADEDEAEETIPENGGGTLIELSYSAVSLTPSLKSHLATSEMPIVTPVKLFVPMDYPRSSPMLVDNDGEDGNTRELSDFSLVVGVAFQRALAELPEPRTIEATARAWDGCVRRFVIEVARRHGGGTFSSVHGEWAVTSAQSG >OB04G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:953615:955481:-1 gene:OB04G11060 transcript:OB04G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPEGLTRTNHKPEWATITRVGESRQELQKTGARLARIATALGMAFEFHAMVDRLEDFRLWMLHVKRGKCVTVNCVLTMHRLLRDDSDVALADFLGLAHSTGTAILLLGEHEGGSLNSGRWEAHFVHALWYYVATFDAVDAAGLLDVSPARAKVEEMFALEIRNAVAFEGTERFELHESSAGWWRRVQEHRHRRPGGDAGPHDREDVRRPRQVQRAGAGRWRGAHAPVAGPAALHRGDVDIGQRRRQRRRQHRVGVHH >OB04G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:958972:959552:1 gene:OB04G11070 transcript:OB04G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKSPVRTPQGGGSDVSAGVHGGAMVAARSTGKVVFMHYLMLSDTNYGVWAIKMKLVLHHLAVPDAGMMAITDKDTAKEAWEAIEKINIGEDRVKNGRLQALKRRFDRLYMEDSETIAEFSPKLTALVGEMCSLGGKVKDRVIVKKLFSAVPDKFLQIGGTIEQ >OB04G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:961037:961672:1 gene:OB04G11080 transcript:OB04G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLMEFLVAATESVVSCVEWTLAHLVIQPEVQNKLRREVVDDDGEHHRSGSTPYLRAVMLESLRLHPPVPLLMREVRTAVGVPEDLFLPATGGARVHFMLGHIGRDGKVWKEPDVFRPERFMAGGEAEGVGPMPGPKEVRMMPFGAGRRSCPGMGLGMVHVGLFVAALVRRFQWTAAAGGVDLTELDGLFKTKRTPLRVHATLRRRAST >OB04G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:977113:977349:-1 gene:OB04G11090 transcript:OB04G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGEDCSFTKHMRVKSRLIIRRNLSRYQSPCPSFFENQKDPFRVSISSFGIWTLLSSTYFFGFILYLFHFNFSPLYSN >OB04G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:979759:980013:-1 gene:OB04G11100 transcript:OB04G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMKEFQQKMAEHRVEAATWNFKAAQEKEEKMLEYKNKLLEKFTELLAFDTSKMEAWAKAAHVRAVTNLSDQIWGGVGTGDAM >OB04G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:987993:989435:1 gene:OB04G11110 transcript:OB04G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVDMMLLPTLLVLTLFCLAVFRRTTRRARAPAALRQPTVEIHDAAVARRALIDYADAFLDRPAVLPFDDAALAGRRRSDNLTTVPYGPHWRVLRRNLTGGILHPSRVGLLAPLQHKAVDALVADIASRPAGEVLVREVVHDAVFPLVARLCFGEDIGERHVGDLTRVFEDFELDVAVKVFDGSTLARFVRWWQMRRFLASRRRQAEVFLPLIAARRAKQRRDDGALRRPYVDSLLDLRIPIGDDDADDAASGEGIEGAHSGRALTDDEMVGLVSEFLSGGTESVVACIEWTLAHLAIQPELQNKLRREVLDGDRGSTPPYLRAVILESLRMHPPVPLTMRDVRSAQALDDLSLPGGGARVHFILGSIGRDSNAWTDPDEFRPDRFMAGGEGEGVGPLPGPKEVKMMPFGAGRRFCPGMGLGMAHAVLFVGELVREFEWAPAASGGVDLTEVDGFFKMMRAPLRARATPRHDEPPRNT >OB04G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1001857:1003258:1 gene:OB04G11120 transcript:OB04G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLLLVTVVLLLFCLTVFRRATPPAAIHRRTIKIRDAAVARRALIDHADDFSDRPAALFVVPLVTGRRRRRSDNILSAPYGPLWRALRCNLTVELLHPTRLGSIEPLQRAAVEALVAEVATKARSRAGEVVVVRDSVRAAVFPLVARLCFGDGLGDRQLLALQRLMEDFVVAIGKANKFPGTSRVARILHWVQLRRLAAFRGRQLECFLRLIAARRKRQTRRGSDGGLIRPYVDTLLDLRVPCSVADLPDDTDGEEKEERHSRRTLTDDEMVSLVSEFLGAGSCVEWTLAHLVIQPEVQDKLRREVIIDGNDDHRRAVTSYLAVILESLRLHPPVPLVMRYAHARAALPVEEIGISLPTGGGRVHFMVRDIGRDGQTWTDPDVFRPERFLAGGEAEAVGPLPGPKEVIKMMPFGAGRRFCPGMGLGMLHVGLLVAALVREFEWAPATASGGGGGVDLTERDGFFK >OB04G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1011727:1013148:-1 gene:OB04G11130 transcript:OB04G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSGPDALRHRGQVPQQEPVRGDSVVQIGDDDGRHGRSPEERAPSPSAREKRQRRMKRFMEYSKRALLYEFPLATALLQHRAASFTGSTWAKVGVALLCAAFFADLMGSLYLSLVTRLDDHAAEVSASSCRWHGFRIYASGVLLMSMPFCLLMSLNGLYAFLAVALAPPLYLVLLVFAKENRQPSTADDDFPAPRGERQGMRYEDYDGKLKYQFDASAAVNTIATGAGLTGTFFGYSSDYSPDHAVTVSESLLFLTIVGGQFVMLITAARPLFRKESSPARLAGFLGQLVGSLPVLLSLSAFAGAIDFLGGLALLAFSIDFLELVFFFKAMFYSELEEPSPPTTAPPPEAADAPAAGETQNELKLLWLCVMCVYFMALEALYQEQGRRKTTLEWLDRGRVLIYFWAFCCCSLDGGKGKLPLLPPLDELRKQHRFSVVLAAARYAVMVLAAFDAVCRIAGPFLAPVLRRS >OB04G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1021225:1022577:-1 gene:OB04G11140 transcript:OB04G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3LVD9] MVLFPCDRMDSLFSPHTKLVSGPIIIGAGPSGLAVAAGLREQGVPFTMLERADCIASLWQKRTYDRLKLHLPKQFCELPRMPFPEHYPEYPTRRQFIDYLEDYRAKFDINPLFGHTVVSARYDQTSGLWRVRAAAAGGSETEYIGNWIAVATGENAESVLPDIPGLDAFGGEVTHVADYKSGEAYRGKEVLVVGCGNSGMEVSLDLCDHGARPSMVVRDAVHVLPREVLGKSTFELAVLLMAWLPLWLVDKILVVLAWIFLGNLAKLGIRRPTVGPLTLKNSTGRTPVLDYGALARIRSGEITVVPGVTRFGKGFAELADGRVISLDAVVLATGYRSNVPQWLQGNDFFSKDGYPKASFPHGWKGESGLYAVGFTRRGRSGASADAVRTAKDLGKAWKEETKPTNKPTGCHRRCISVIF >OB04G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1043212:1044246:1 gene:OB04G11150 transcript:OB04G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSKSKHNYTDIGHTHTMSTLILAQSSSVNCHGHNPMSASATYPYVDHAVVTRVSEKLSHVLLLIVARRGRVCLRHGSSPPLSKPVVANGPPPPPPPPGRRGRGRRSQAHPRSTLLSPPPVDKVTAAAAPQPPVAAAAPPLGSWKFLKWLIGSVKAQRKRAAERKATAPKSLSFASSALAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLADLLPLPVATGERSAPLSLFLPSSPPLPTSPCNR >OB04G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1057498:1058658:1 gene:OB04G11160 transcript:OB04G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFSLENGADVQDYKFTTTEKISYGTQWCRYPNLYIFTNSNNTENKTYFGHVRLGNLIANEKLFYLQQLRKFHLPKIDIFTKTVVDEHVRFRSKVLATEYVSAADDTGASGWELWNGDAFGNGSDVGGHAAPVARSSSDVGVAPRGVLDDRPPSGSGRVPSGYERPSAQQKNDKIS >OB04G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1059470:1078508:-1 gene:OB04G11170 transcript:OB04G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEASGKGVEGGGGASASANGGHPDNPAAASASSPADDRGLPRSSTMPGGIKDVEITNETAGPSNLERSRTERRRQNNQADDPARQLFNDKIPLKKKLKMLNRIATLKDDGTVVVDVPSSLETTVDGVDEGYGDVTVEEPLDAADIPMRPPMQIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQIKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPAKMTEIIVKALEATGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVLLVFSNFHVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPAPIPVDQFSFQKLVDAINFMMEPEVKERAVELAKAMESEDGVSGAVRVFLKHLPSRTEEATPPQTSSFLEFLGPVTSNYLIRRPVLSVYMEKWRGTNS >OB04G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1081538:1081741:1 gene:OB04G11180 transcript:OB04G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGESTTGHRGHRGGDGEKRRGETTGRSAGEKWREVVFFVCMAVVYAIYYVSESEWIPLIQQATVR >OB04G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1083533:1083772:-1 gene:OB04G11190 transcript:OB04G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGGGGASASANGGPPDNPAAASASPPAGDPSSRLTPSVVFARVLLPGGQDQPRMAAVFSAPVLQFLPPNPPPPFP >OB04G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1092189:1099084:1 gene:OB04G11200 transcript:OB04G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family [Source:Projected from Arabidopsis thaliana (AT1G66510) TAIR;Acc:AT1G66510] MSGFGGGAAARVDPEAAAELVREGATLFLLDVPQRTLLGVDAQVFSVGPKFKGIKMVPPGPHFVYYCSPNRHGNEFAPTVGFFLTTHPSEVIVRKWHTQEERLIKLSEEEEIRYSEAVRRFEFDSELGPYNLDSFGDWKQLSSYFSQSIIERLEPIGGEITIALESSWIDRAPQTDLERRLMDQLKDGKFTKNAPVRSEWKGCYYTTIPASIKHSSISGNELTALNLDKTSLLECVLAKNYQGQEELLLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAPLHTRTNMFVKFIKVIYYQLKHGFQRTHDSGGHEDMGNSLFLDEAWFSKDIFLYRLSKDFVAVILEAPIVDGDLLSWTRKLKSLLETTFGWDLDNNTVNLIDEDDEFAPVVVEMDG >OB04G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1109343:1113577:1 gene:OB04G11210 transcript:OB04G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILLLLPLLLLHLSFLLAAAAAASTSSEVAFLTQWLNASTAARPPDWSPSAASPCRWSHVACDATTGGVTSVTFQSVHLAVPLPAGICAALPGLVSFVVSDANLTGSVPDDLYLCRRLAVLDLSGNSLSGPIPPSLGNATAMASLVLNSNQLSGPIPASLGNLASSLKDLLLFDNRLSGELPASLGELKLLESLRAGGNRDLSGQIPESFSKLSNLVVLGLADTKISGPLPASLGRLQSLQTLSIYTTMLSGSIPPELGNCANLTNIYLYENSLSGPLPPSLGALPQLQKLLLWQNSLTGPIPDSFGNLTSLVSLDLSINAISGAIPASLGRLPALQDLMLSDNNITGTIPPGLANATALVQLQLDTNEISGLIPPELGRLAGLQVMFAWQNQLEGAIPATLASLSNLQALDLSHNHLTGVIPPGLFLLRNLTKLLLLSNDLSGPLPPEIGKAASLVRLRLGGNRLAGSIPAAVAGMKSINFLDLGSNRLAGPVPAELGNCSQLQMLDLSNNTLTGVLPESLAGVHGLQEIDVSHNQITGGVPDAFGRLESLSRLVLSGNSLSGPIPAALGKCRNLELLDLSDNALSGGIPDELCAIDGLDIALNLSHNGLTGPIPAKISALSKLSVLDLSYNALDGGLAPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNSGLCTKGGDVCFVSIDANGRPVMNADEEVQRMHRLKLAIALLVTATVAMVLGMIGILRARGMTIGGKGRGGGHGGGSSDSESGSDLAWPWQFTPFQKLSFNVEQVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPSTRTADAKDDAVCGVRVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERHGRGGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGPHAGERVRRRKGGADVLDPALQGRSDTEVEEMLQVMGVALLCVSPTPDDRPTMKDVAAMLKEIRLEREEYANVDVLLKAGASPPRAAATSTSSTPPCRQAGGPSNSNSNSCSSSSFSGMIYPSSKAKSPFD >OB04G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1110152:1111912:-1 gene:OB04G11220 transcript:OB04G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRMDPSVRTSAEKESRTRTPQTASSLASAVRVLGHSFLTAITSPVSRPTRYTTPEQPLPMMLASTRLRTTCSTLKLSFWNGVNCHGHARSLPDSESLLPPPWPPPRPFPPMVIPRARRMPIMPSTIATVAVTSSAMASLSRCMRCTSSSAFITGRPLASMLTKQTSPPLVQSPELPARHDVESCRNSFVSGRYPVKLLLDTLSVTRLSSPASGARPPSSALYDRSSTESLLSADIFAGMGPVRPLWLRLRAMSRPSMAQSSSGMPPESALSLRSRSSRLRHLPSAAGIGPDSELPLSTRRLSDSSLPNASGTPPVIWLCETSISCRPWTPASDSGSTPVRVLLLRSSIWSCEQLPSSAGTGPASRLLPRSRKLMLFIPATAAGIEPARRLPPSRSRTRLAALPISGGSGPERSLERRSSLVRLRSRKRPGGITPVRWLCERSSAWRLESEASVAGMAPSSWFCHANITCRPARRPSSGGMRPEISLVSSCSCTSAVAFASPGGMVPVMLLSLSMRSCSAGRRPSDAGIAPEMALMERSSETREVRLPNESGMGPVSEFCHSSSFCSCGSAPSDGGSGPESEFS >OB04G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1117411:1120929:1 gene:OB04G11230 transcript:OB04G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHHPTLIPLETWQVSDSLNSMAIYESMRYPKVLGDGMVHEQERYDAADGDSSLGGSSSSDLNLNENQDPDNDPASGRSETSTSNDQRAHPPRGPNRMPRGHYIVTEVAANGKPLVPLVAVKAWRTSCGYIVKNYVPIKFQAWRPNRTCPDLLVVPDVEKQLCWDKLKEKITFPPDSEHIAKRASLQSMGILFKKFKSDLFKKFVAKGETPNFKLPRWEKLADFWDEFVEYKTSKEATEISERNKANSLKNTNPHRLGPGGYVNKEAIWDQQQKELESKGIQPVVKGWSRRMRNYSMARGLTLSEDGGLILRGTRQAEVIHKIVEAYDKSSQGEFVPDRENDELTLALGNKEHPGRTRGVGLVPWKVGFPDSGNSYRSRSRGKAVESLSLQQEITAAVSSQLQARLDEHAALLSAQFEEKLQNALSQMQANSTKLPSPAGANLAGLRKNSCASTEHDVHFPSSFPVDEITKPTSCKLHIPWKHLSKEVAAGMAYPCEVGQVLHGCPLPDGYGRVDLELVRPQYLNRLLDIPPEDNVTTLGQAVHYPIAWLKQYIVLDDDDEVVSSPAHHGGILPVHETQQKSPTPHPPPPPQMRKQRSHRNTQKSTHMMQSTSSQKRKQELMGPPMLLDSKETSGSLPITSHLRTKENAKKGKKQKIQVAPHIQISLMKTSYYML >OB04G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1131010:1131255:1 gene:OB04G11240 transcript:OB04G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLKPSSKKFIPPPCLKPTVITFDSVLPPPFPLLGLRPVNHHCFKPPTNKSTIPPLKPIVSYLFPTKVHSVSLYSSGGLTQTS >OB04G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1141090:1141532:1 gene:OB04G11250 transcript:OB04G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGALNENNVVSYKDQINGYKRQISGLNTTIKSLEKQVKDLTEYKLNKQKRYKELENNYNNLNGRYNDLHRKYRELEAAHKNCPQRHVCQAGHSYYDFRHN >OB04G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1147822:1149336:1 gene:OB04G11260 transcript:OB04G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVACTQLARSSIKSYEAEIAALKHQIEALQMKVQALENYRHAKHKEYAGLKPNSRSSKLSTTGVIDVKTLYIDHN >OB04G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1158190:1159514:1 gene:OB04G11270 transcript:OB04G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHSASRRLNVDKVKSYEAQIAGLNQQISALEMKIQGLDKYRQNRNKEYCDLQKKYKDLEVQHADQDKKYKAMELKYAVLDKKYIALLEKHSECCG >OB04G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1166019:1167900:1 gene:OB04G11280 transcript:OB04G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LVF3] MAMKLTRAVAGAAVLSLCVLLAGCDGSVLLDTTPSNSSSGVEKAAANNIGLRGFELIDAIKAKLGDAVSCADIVVLAGRDAAAILSRGRITYALKTGRRDGVVSSAAAADATLPQSTFEVSQLKGNFAKKNFTTEELVVLSGAHAVGVSHLSSFKDRLNAATATPIDPSYAAALARDVEALKGQQNTTDPTERFNIRDMDAGFRNASGFDATGVNTTAVGVLDNSFYHATLQNMVLLKSDWVLRTDGEASGSLSDFRDDAAKWEAEFAAAMVKLSNLPAEGTRFEIRKSCRSTN >OB04G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1172099:1174204:1 gene:OB04G11290 transcript:OB04G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LVF4] MAMKATHAGAVAGAVVVCLCAVVAVQVQAAVAGGDGVEGTVRTLVEAAIKGDPRLGPALLRLVFHDCWVNGCDGSVLLDTTPFNGSSGTEKAAGRNIGLAGFEVIDTIKAKLGDTVSCADIVVLAGRDAAAVMSRGKINYTVVTGRRDGVVSSAAAAAATLPDSVFKIGQLKDNFAAKNFTAEELVVLSGAHAVGVSHLSSFQDRLSSSRSVSTPITAAYKAALGKDVEAQKAVQNTTDPTEPFNIRDMDAGFRNASGFDAAGVDTAAVGVLDNSFYLANTQNMVLLRSDWELLNATDTRRKVSVLGGNATRWEMEFAAAMAKLSNLPAEGTRFEVRKSCRSINNLN >OB04G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1174844:1176989:-1 gene:OB04G11300 transcript:OB04G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKLKFQGYKRDQHIFILEIIEFRDLLWSNKKYIEIPRLFLEQLVLEKENISATSPATPTEELDKILVLGLADGANFGEEALVTITDHLQYLLHSNLTTQQLQVSSVDYPKATPPNHAIRREMIVCLGQERPCRSLLKGDSDGDEAFSLVSQTHLLYLAVAKAGAMAKLGISGSQGATRDDDPLFPIWRDDDSSGGQRCGKVLVIPLPQPEIWKLKRYVATEVVAVETIACSEALLWCRSVPWRRCYGVSPSSTEFQVKTLFWLPNKRWRHLMSVLHGGTALENRFAQHGLLLDYLIGSEALHA >OB04G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1176148:1176345:1 gene:OB04G11310 transcript:OB04G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGGFGVVYRGHLKLLGRQVAVKKILKVIGDGHKGFFAEVSTISEAKHKNLVKFFGWCCRGRS >OB04G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1179458:1181874:1 gene:OB04G11320 transcript:OB04G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMVDTAAFYTLLCGALLFVTLVVKLKKATNPRQNGGVNLPPGPWGLPVIGSIHCLLGSLPHHVTMRNLALRYGPVMLLRLGHVQTLVLSSPEAAREAMKTHDVAFATRAVTPTASILTYGARDIVFAPFGKHLRELRKLCTLELLSPKRRDGGLGITLTKEIVSAVLFDLFAAGSETTPTTIIWAMSELMRNPHVMERAQSEIRQVLHGKSKVSEADIEDQLHYLHLVIRETLRLHPPVPFVIPRLCSEPNSKIMGYDIPLGTTVLVNVSAIGRDKKIWKDADEFRPERFKDDIVDFSGTDFRFIPGGAGRRMCPGLTFGVSNIEIVLASLLYHFDWKLPSETGECELDMSEIQGVTARRRTDLLLKATHVYA >OB04G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1183297:1184867:1 gene:OB04G11330 transcript:OB04G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPIPIGSGTRGDIKPENVLLDDSFNAKLGDFGLSRVANPDGARKMVSYGIALLEIACARRHREQIWDLYRSDGDVVVAADTRLDS >OB04G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1197791:1200348:1 gene:OB04G11340 transcript:OB04G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVIVLGLWCSVLEVGHRPSMQQAMDVLDREAPLPDLINSSVASADQNGVASHPELVQCDVLIEQGFMCLYHFTFSDEPLQNLLKNNVHLPRLYVLRCVVLAALTKGGKGLNAKFAVVPFPAVRATEWPFVTSAFCPRRGRQERKGRKMGCDCSRKEKMGRIFGDPQLGDGGNGTCGRRGELMHRQCGKSRQGYTGFGWGNGAGLSP >OB04G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1205743:1210152:-1 gene:OB04G11350 transcript:OB04G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAPHSLIAAAPVSFHYNFTGDDPSRYRNELTFQDDAREAQTNGPVNLTCRLIICKSSGRMSYAHPVQLYHQANGGLTEVASFFTSFTFAIQNSSCRGDGMAFFLAGFPSKVPYQSAGANLGLISDGNTNAPASDQFIPWFWANIDIGNEYGVDEERQDHIAIDINSVKNSVNKTILPNNLTLDGKMTADISFDGSARMLVASLRLLDHPSISAPPIQVSAKLEGLVTSPTLPPQVAVGFSASTGFCQELGQILSWSFNSTLPLIDKDRESRTLMIDGGLIAGVVVLALAAWLSLLCWMHANTRKSLRRGTGGARRFEYRDLATATDHFSVNYKVGRGSFGVVYKGHLKLLGREVVVKKVPKEVGDDHKGFFAEVSTISEAKHKNLVKFFGWCCRGHNRNILHLMCGCCWRKKNEELFLVYEFVSNGNLKDQLLKEPMLLSWPMRYKIAKDIGSAPLYLHHECNPFIVHRDIKPDNILLDGNFNAKLADFGLSRIANPDNVTQKTMAAGTNWFMDPQYRKHGNLKLNRSSDVYSFGIVLLEIACTAKMMWEQIWSLYAGGGDVVEAADAWLASNGGFDRRQMERVIVLGLWCLALEIGHRPSILQAMDLLEREAPMPDLVNSSVASQDHMNVVANHPDLV >OB04G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1245162:1248193:1 gene:OB04G11360 transcript:OB04G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEISPAARTMATPYLLILLFFFASPDSSHVVAAADFVPPVSFSFNFSNTSEYHLDDLNFLDDAEKPVDGVVELTSCTARCQGRMSYNRPVLLYRNNKNNTGVEVASFATRFTFAIEPIGGGCQGEGMTFFLASYPSVMPRNADGGDLALIDGDTDIAQGRDRFVAVEFDTYNRSTYDPAGNHIGIDLSSVKHSFRTALLPSSLNGSMTADITFNSSSGMLVTSLWLHDHPNSANNPFQVTAQLPNLVTLLPGPEVAVGFSASTGDCKEKHLLKSWSFNSTLAPIPPDGRKKLVTIVGGVIGGTIALVFLSWLMYSCWQQKRIRNTFNKGTGGTRRFDSRDLAAATDDFSEDRKLGQGAFGAVYRGELKQLGHHVAVKKIVRESSEGHKDFFAEVCTINEAKHKNLVRFFGWCSRGYSWWNIFRLMCSCFWSDKNNDLFLVYEWMKKGNLYDYLYKSEDAEVLSWQTRYKIAKGIGSGLLYLHHEHDPYILHRDIKPANVLLDDNFNAKLADFGLSRVASPDNATMETTAIGSQGYLDPQCMKHGKVSFNRSSDVYSFGIALLEIACARRHREQIWDLYRRGGDVIEAADTRLAIGGGHDRTEMKRVIVLGLWCSALETQHRPSMSQAMDVLERGAPLPNLNFIVNSTVASSLPGQDYDSTASAGNGYDGDEAPGNSSTHRAVP >OB04G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1253356:1253823:-1 gene:OB04G11370 transcript:OB04G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHAVGVSHLSSFQDRLSSSRSVSTPITAAYKAALGKDVEAQKAAQNTTNPTKAFNIRDMDAGFRNESGFDAAGVDTTVVGVLDNSFYLAKMQNMVLLRSDWELLNATDMRRKVTVLGGSATKWEMEFAAAVVMCIGWADLAVVMCMGGPIFQ >OB04G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1253933:1254217:1 gene:OB04G11380 transcript:OB04G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAHPVQLYDQASGRTEVASFSTSLTFTISLINNVTCRGDGMAFFLDSYPSKVPPKSAGGNLGFASEETTTVDGRGQPRLRLRSCRRPSYDSA >OB04G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1257049:1257834:-1 gene:OB04G11390 transcript:OB04G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPDAARSCFIAAAPAPVSFNYNFTGDNPSSYLHELTFQDDATTEPQTIGPVNLTCSLIICKRSGRMTYARPVQLYHQANGGRTEVASFFTSFTFPIGPIKGNCRGDGMAFFLAGFPSKVPYQSAGGNLGLISNGETNAPASDQFIAVEFDIGNEYGVDEERQDHIAIDINSVKNSVNKTILPNNLTLDGKMTADISFDGSTRMLVASLRFLDHPSLSAPLIQVAVGFSASTGFCQELSQIL >OB04G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1263388:1264379:-1 gene:OB04G11400 transcript:OB04G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFSLAVAQIKYNETYVRYIEIDGLTNYEQIENLWSNKQYQVVEVSQSALSDEYDLMGGGGRVLPDRRLRQEAGPHRAQRQRAVREVAADWGVDMRCGGVRLGGATRAGDTAAVRRVGVNARFWQIVVID >OB04G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1268285:1271918:-1 gene:OB04G11410 transcript:OB04G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLAALVQGPLALRPVLPPPSPSSSRGVARTXXXXXXXXXXXXXXXXXGDLRPAIDEYPEGILSGEWPDNFSLLSYADLRAYLESQIVTTDKMSPTAKLGDVMSRPVQVAMADQRLADVDAFFAAQSGMPVLDDEGRCIGVVSKKDKAKASNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKVLEASKV >OB04G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1278080:1278262:1 gene:OB04G11420 transcript:OB04G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTTPLGSSTSYCRGSQYVPTRLPSLGRRMRPSLLFCCLAVNVVILVLHLSSCLFIIIF >OB04G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1298732:1300891:1 gene:OB04G11430 transcript:OB04G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDKQLASSVKTSHELPNKVADIVNMSQGTSPLPKDKGPATDPGKTMGTKRSDAPSSPGYHNVYVRRKVESDHSKVSSSQELKGNGRDKTKEQEAQQSMQHDQTNKPELPSSVPVQHDQTSKPELSSSVTKQHDQTSKPELPSSVAKQHDQTNKPELPSSVTVQHDQTNKPELPSSVAKQHDQTNKQELPSSVVEQHDQNNKPELPSSVAEQHDQTNKPELPSSVPVQHDQTSIVSPKSPENPNEQTAPKKNDPPVAPGTSVQDDAHKSSNQYWNARFNRLQTYLENCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELQRMKALNVLGKVSPNGSSKQAPL >OB04G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1330125:1335714:1 gene:OB04G11440 transcript:OB04G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:J3LVG9] MARRWAAAVGAGLVLLLVGTVALSGGGSGGRLLAGLRAGTTAAASGTRRWLRDSSSAAAAAASTRRGGGDADASAATMTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPGDDDPVNPKPGTLRYAVIRDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACLTIQYVTNIIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQSIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >OB04G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1362916:1366258:1 gene:OB04G11450 transcript:OB04G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:J3LVH0] MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKNTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >OB04G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1367058:1369235:1 gene:OB04G11460 transcript:OB04G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLLVHLWKAWGIQILVLVSFMLQVFLLIFAGIRRRNASTAARILLWLAYLLADNVSVYALGHMSLNSRPQEDRLVAFWAPFFLLHLGGQDNITAYSLEDNQLWKRHLLTLLVQVSGVAYVLCLYVASAGRTLIPASFVMFVLGVIKYGERVWALKCADIENLGSSLDIPAGEYSKLDRRGNLGEEEEVLLGAHYLFSLCRNEFVDRKPTVAAYKAAAAIKQGRQFELKGAMYMYELSEVELSLMYDFLYTKAPVIHTWYGCCIRLISPLGLLITFLLFQLSGIKDAYSRIDVAITYLLLIGAIILEMTSLFRALGSTWVCASLHARRWDQLHALVLCVRRLVRAGGNRRWLHSIGQHNLLDFCTRDKTKLKDRITKAIGLGDWWSRSHYSSTISISVEFKELVINQIVKMMRDWSRWNIRYVRGRAILTDCGMFDDIGWSVDGKDLDECILVWHIATDIYLSCYKDDQEMFKPEMAPLVKAIKVLSNYMGYLLLVRPYLMPGGVRRSLYRDNCPYLEEFSSIISTREDKSNHSKGEKDMSSHSRDEKGSSHGHSTGDVDSVRISTGEQDMQLSTGEVNRQQSIEEVEDRNTISTGQDGDKNMVSTREVKDKIEISIEEDGSSYPLLTQSEKLAKYLLQRFSDESFQTAYYRGPHLAGKLIGNQWDVPNILEVIFGVWVEFLCYTAHNCTEVPHCRQLGRGGDFLTVVRLVIYHIELFGINR >OB04G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1378405:1382548:1 gene:OB04G11470 transcript:OB04G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLLVFFLLVACCSLHCSRAAATTNNPPPAPAPPFSFNFDFSNTYTYRLEDLSFAGNAAVHGTIVDLTCNVPQCTTGRMSYGRPVPLWDRATSEVASFATEFAFKIVTPNDKARGNGMAFFLAGYPSRVPPNPSGRNFGLIDGDGDDAGSGPDRFIAVEFDTYDDSFERPKPIGDHIGIDVSSVANSINRTSLNFSRSGAMKALIKFDNTTRMLVASVEFTEAAAGSSRSAAVQVSAKLGDPRALLPPEGAVGVSAVGFSAANGATFQLNQILSWSFNSTLSAPHPPFKGHHKKKGMAAMSPIIGALIFVLLLLWVILSWWKWRSSSRDIATRTGGVRQFKYTELAAATNHFSSENRLIGAGAFGEVYKGFFKELGREVAVKKISPESRSEAGSKDLFAEVKTISRAKHKNLVELVGWCMNRRWSIVDFMCWCSHNAQKNSIVFLVYEFVDNGNLRVHLHEKETVLPWTMRYKIVKDICSALVYLHHERHPFVLHRNIKPNNVLLDKEFNAKLADFGLSRTTTDSAGKASYLDPECRRTGKFKRSSDVYSLGLLLLEITCKKDENSYAKVWNRYMENSLMQLADDRLQGLFDERQMERVIVLGLWCCQLNINVRPTVQQAMDFLENDGPLPELAKQEASSSKIGNQE >OB04G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1391474:1400772:1 gene:OB04G11480 transcript:OB04G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LVH3] MEGWQAVGLLLGVLLLDAPHYSSSDASPAFSFDFDFANTSSYSAKDLKFEGDAAVHGGFVDLTCNDYVVDISQCKAGRMSYNHPVRFYDQNTKEVASFSTRFSFRIVGPVKSDKKKGDGMAFFLSGYPSNMPTDSQGGNLGLISNKIISSSGPKQFISVEFDTFVNSWESPKQTGDHMGIYINTVTEAKTTQLLNFTNGTMNAFITFNSTTSMLVASLNITENPNYHYNVSANLSNPRDYLPSDIAVGFSAAVDVAFEVHQILSWSFNSTLAAPEKGHDHKAITVGLSIGGPLMFVFLVWSILSWWKWRNTNLEFDKGTCGVRRFKYHHLVAATNHFSTDKQIGAGAFGEVYKGWMKELGREVAIKKILKECRSEGSKDFFDEVKALSRAKQKNLIELLGWGMKQSSSVKDFMRWCRQNKTEVFLVYELVDNGNLHTHLHEEAAAVLPWRMRYKIVKDICSALIYLHHDRDPYILHRDIKPSNILLDKNFNAKLADFGLSRSADNGTFQTSMVVGTANYLDPECMKTGMFNRSSDVYSFGLVLLEIACKKDENSYAQVWQRYIDKTLMLAADDRLQGAFDERQMERVIVLGLWCCTRNTVMRPTMEQAMDFLEHDLPLPQLAKPEASDLVILTVDSTGLEARSVDLR >OB04G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1417923:1419410:1 gene:OB04G11490 transcript:OB04G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTSQSDAAGETIFSDEWGWKEKFTVFQMELSLLYDIIYTKARVIHTWYGYCIRLVSPVATFVALLLFHLSDSSGCTGGAPRADVGITCFLLVGANVFDAVPLVTAAGSSWAYAVLVSRRPRCQWLYHRAVCSGKWHRLRRWLVRLRRLLNVDGRRNWSGAIGQHNLLQLSKKNHSSTCTCTCTTVHIPEHVMELVFKNMVAIILRLPDSDDADCGTEHSSSNSCCMQIVDPGDICGQPTQEISSCCTVSPDLPVDSQRSTIKADRGQLALLNHGLTQYLERYIRDEIQEQILIWHIATDIYLAKNAERDDASSQLVEAVKLVSNYIMFLLVERPSMVPGLALLRQYEATRDELLQKNTDGHKDADNHGRCLVTMKNMSSNNVSSGDRDRSALPLASRLASKLLKKPVKVKFLFDMWMEMLLFVSHRCSSEAHAKQLSKGGELTTIVWLMAKQAGKFYTDKRISGEDQDDGSDEEQNDPSETFFSNLENLEVS >OB04G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1423492:1425251:-1 gene:OB04G11500 transcript:OB04G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMKHTKLKIRCASRKEIQDAIHHDVPGLQNHKYSSDGSSLIVEIGGHVDVGKLYERLKKIAYSVKIESVVPGDLKAEMEDLESRLRRKREENKSLKEQAMAAEEETQRLKRDKEYLNSKLDKKRAEIKSFDENLKSVKREKEYLSSKLERKREDNTRLEEENKKLQRKIKELEQIHKEWTSGTLTRLDRFEYLDLNHHGVHHRVRKEVHRREFHLHQEVRQQGNLRLEGGHRRN >OB04G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1427853:1428011:-1 gene:OB04G11510 transcript:OB04G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEHAILQGDSEIAEVWFDQAAEYWKQAIALTPGNYIEAQNWLKITKHFDFE >OB04G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1431262:1437695:-1 gene:OB04G11520 transcript:OB04G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEQANRSGTSPPAGLPRHNTLELGANGQHTRGASTIHRKTTYRRFIIDPAHGDKKLTKFKVRGASETEVKHVLRREFKESVDYDKSNVKPDGSSLKVNVPGTVDVGKLYESLKKMASSVTIESVVPSRDSRRSISVIGDRIVTKLREKLRKTESIQERASKHKETEGRGGVRTNQEKGKMQGSPGKEKDRRGGLAKVDERQGKSQQKDRLSELKAREKGRRQRAPRGGKQETATKNQGTRGEAKGRGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGGIVGLRGGGGGSSGIGLRGGGGSSGVGLGKGSKVKEYVNDIGRLLVDNGATTIKTAAEEKDPSKDDGVLADTTGSKKSE >OB04G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1440993:1441934:-1 gene:OB04G11530 transcript:OB04G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAYPVEEVDQEKKEKKKKEEEKMAEETKPKPKPRMKPVEHHNVQKIRKLEEALDFLPEFQESILILHIATDVVCMYTESEQKAASSKPKHCVEVIKALSDYMMFLVAVRPGMLPGLKLRSLYEATQDALANIWSSKKESSRCSSITRAKCVADILRDMEKERKKRKRRQDKSDHWKPGYRTKNWEPDYTTELYEVSIVLSDGIKLADHLLQWLHRNYWLEFPRPESYEAKFGLMFPELRKLLNGPMHDEPDKWSKLLEHIFEELVRLLINASVKCTRDSHAKQLSRGGELMTIVWMLVEHAGVFRVDRLKR >OB04G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1442010:1443269:-1 gene:OB04G11540 transcript:OB04G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMIRMVFLLNSWVIRTLVLLSFTAHLALVFLAGIRRRKATGVWITILWAANQLGRWAATYALGKLALGSTPQELQLVTLWGAFLLLHAGGPDNITAYSLEDNVLSTRQRVEMILQVSGAFFAMFKNIVIRSGSGTMVWVSSSMFIMGTVKYWERAKAMQLANLENMRSSIKKKNKETSKRSLRNVWRPSSWMHDHKDEALLVAHGLLDITRGAFVDSLVNDHQIPEYAARKREIFPERGWGMMYKVVDMELSLMYDILYTKAAMVHTWHGYAIRAASPFATAIAFMLFWFDSRQGQRMTDVLITYVLLGGTALLDIRWLLRAVASTWTYSFLNDRPHLWLHHAFLCSGKWRLLRRLIVSLDPSLILAKEPCSYRKWSGKIGQYNLLQECTRDKDERTTDYLSSLVEKVASEDMWME >OB04G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1449362:1451638:-1 gene:OB04G11550 transcript:OB04G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGISDQIAGVGSASKLIMYNHDAVVRLVSAWEIEFVVVSSFLLQVIALFLAGYRRRSDSAVVKFIVSYSYLMTDSAATYGLGHLSVNSRPPERQQLVAFWAPFLLLHLGGPDSITAYSLEDNKLWKRILLKDFATQVLGAAYVLYKTFTAGSGPLLSAAWLIFSVGVAKYAERIWALYNGNISTIRTAVDKQNAAAAKENAIDDDDDDSGSEPQQARRVPGIDGNGDRSPEDLLLFAHSQFEVCKSVLVDSSSGENTSYLRKTIFSDEWDWKEKWTVFQMEVSLLYDIMYTKAGVIHTWYGYCLRVFSPLATVTSLVLFHLSRSTGTGTAMDSTPVLVDVVITYVLLAGAVVLDMVSLLSTAGSGWAYAFMVFRMPPRGARHGWLYHAAVCSGRWRRLHRWLESVRDLVGGAGRRKWSGAIGQYNLLQWCSATSKQRKYSSTSVEIPQDVMKLLFEDLIKVILRTKKTEDDQKKPMVTARLRVLGQKSQSHVHLQQTKVSMPSEGRTGDPNVPVGSTGLIKVVQGMSILKLNELVPRLGRFIRADIQEGILIWHIATDMYLRTPHFAMGSQDEETAKHVRAINLVSNYMMFLVLERPSMVPGLALRKQFQVTSNRLLRHVAEANGDPDRLADILTKEEVKKSEQGKKKDLRGNALRLAAMLARQLNALNSEEKFSMLQVLFEIWVEMLLYVSHRCSRESHAQKLSEGGELTTVIWIMAEQAGKFYIDSQQSGEDDVDKNEDKDPDRKMIDKKLYTG >OB04G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1471168:1474315:1 gene:OB04G11560 transcript:OB04G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVSDQIIAGTRSVQILYYNNAYALVQLLNAYQIELIVLSSFLLQVVLLFLAGYRRRHDSPVAKSIVLYSYLLADYAATYGLGHLSVITSRPPQRQQLVAFWAPFLLLHLGGPDSITAYSLEDNKLWHRKLLVDCIQQVLGAAYVLYKTFPASSGPLLPAAWLIFSVGVAKYAERIWALYNGNISTIRSAVDKQDTAAADDGGNEGQRSTQVPTVPGDRSPEDLLIFAHSQFQVCKGAMVDSSGVNDDTSKLGETIFSVRWKWEEKWTVFQMELSLLYDIMYTKAGVMHTWHGYCLRVFSPLATTAALLLFHLSRRTSSMGATATTAMNSPPVLVDVFITYALLVGAILLDIVSLMSAAGSGWAYAFLVVGKPSAAMCSGRWRRLHRWLESLRELVKAAGKRKWSGAIGQYNVLQFCVTTSHEKKYSSTSVEIPKDVMEVVFNKLTEVILRPDWKRKSEAQKDQKGHRRRNVKEVRHEDEYITVVIGDDDDITLVIDNDDGVPPLGLKRTESGTSELIMSKDDITVEMEPEEEEEVEPEVEEDDNISLHIPDDKFRGNIEQQESQVRSRSVGPTGRKSSPMNSLGLIKAERGQLALEKLVAKEYAKDKLKRYIRDEIQEGILIWHIATDIYLRTSEEAKKHDEDTGKLVGAINLLSNYLILAEFLTITENNPVLKKEEKLSLRGNALRLATKLAHRLADLKNKSPKEQSDSDTLEDKPVDTVGFLVQIWVEMLLYVSHRCSRESHTKKLSEGGELTTVVWLMAEQTGKFYIDKELSEEDDVIEIWLVTDKDIFPTRLGILIRARYVGFAYPRQGYK >OB04G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1477607:1480006:-1 gene:OB04G11570 transcript:OB04G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSIVKHNQGTKYSFTYHPTNEHHILIRFDERSVLGQAMALFDVPIRGLVIFSFVAHILLVVFAGVRRRKATSICTVILWGANQVARWAPISALGKLSAGGTPQQEQLVTLWVAFMLLHAGMPDNITAYSLEDSVLAWRQKITVINQLMGPVSPASILIMNWFFISSGDTMILISSVVCIMAIFKYVEGADYALSRGNLENMRSLRNDEKKMCPGGRRNSLQIARRGGRKLDDEQILLVAHDMLYITKDAFIDYLGEKNGDADEQEALSSTWDEAVYKVVSMELSLMYDILYTKKTMVHTWGGYAIRFASPFVGSTAFLMFWFHSKQGQAMVDVLITYVLLASTVILDIKWLLRAVASTWTYSFLNDRPRLWLHHAVLCSGKWRLIRRIIISLNLFRLIANKKPSSYRMWSGTIGQYNLLRECTCDEKEKTTGYRSSVLKRIAPENMWMEYEYHNLRGTQISRHLKEPLFSRIWENMKLAFPKRDPPPLQVSSHPCPPPAAAPPAPPQYALDPHQDINNALDFTPDMQETILILHIATDIFLLSENSHKIQASAWVEAIRVLSNYMMFLVAVRPNLLPGLALSSRYEAVVGALGEKWKEEKSPSLAGSNAREKRLADMLLEAESKKGLAPVRYYEWLGGNKEIMEPGGFLSVLYDSSYILSEGTRLAGLLLNWETRSGYKGDESNLHKTLRKKFERLFPDLMKSEGEKDELPEDVTDAIFREWVRQLINVSIRCSRDSHAKQLGRGGELTTVVWILAEHARILRVKQTRDR >OB04G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1483674:1484388:-1 gene:OB04G11580 transcript:OB04G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERILLVAHDMLHITKDAFIDNMSYEDDVNKEEIIRPEAWSENEIPYDRCGRHGALSCSTFSTPSSGVRKVGLQQPDVLITYILQASTVC >OB04G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1485526:1486392:1 gene:OB04G11590 transcript:OB04G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEASSSFGRSVTDDATDNFLDGVNIQFRSLHQIKTENRRVKRQNEKLSKEIEELTKKYEDLTKK >OB04G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1491696:1492242:1 gene:OB04G11600 transcript:OB04G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSEAISSSSSGSKSLTNNAAENFIEGINKQILGIEQYKTENRDLRRQNMELSKQNKELRMDHSNELMELAALRRTHEELTKRYESLTKKRNEEWAELKEKKEDLDKLRKTKEDQENDFKRRNICRMRYKNFATISDSSNAAAA >OB04G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1494523:1500307:-1 gene:OB04G11610 transcript:OB04G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute 4b [Source:UniProtKB/TrEMBL;Acc:J3LVI6] MDTHDGESDDLPPPPPVPPNVVPIKADDVESESPANKPTKPKRFPMARPGLGKKGQPIQLYANHYKVSVKSTEEFFFHYNVILKYEDDRLVDGKGVGRKVIDKLQQTYHSELSNKEFAYDGEKSLFTIGALPQVNNEFTVVLEDVTTGKTAANGSPGGSDSPGGSDRKRLRRPYQTKSFKVELCFAAKIPMSAIAQAIRGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRVRASPANSEFKIIGLSDRNCDEQMFSLRQKNGNDGDADVVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALRRSNYDSDPMLRASGISIAQNFTQVEGRILQPPKLKAGNGEDIFPRNGRWNFNNKKLIQTCSVEKWAVVNFSARCDVRNLIRDLIRNAAAKGIQMEEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNVLLKINAKLGGINSLLQIEASPAIPLVSKTPTIIIGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFALIVAQKNHHTKFFQTGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSIPVPELPRLHEKVRSSMFFC >OB04G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1520209:1522886:1 gene:OB04G11620 transcript:OB04G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPLELWNAWASQILVLLSLTLQVVLLIFAGIRRREAPAMLKFLLWLAYLLADSTAIYALGHLSIGGSAREHKLVAFWAPFLLLHLGGPDNITAYALQDNQLWTRHLQILVIQVLGAGYVLYKHMIRSSESTVLLLANISMFIVGLVKYGERTWALKCSNFSNIRNALKDLPSNQLRWYKGYLRNADKDDTETTKIIRKLRRELELSLMYDVLYTKAAVIHTWTGYSIRTLSPAAIATSFLLFHLNDSRDRHRGVDIVVTYVLLCGALTMETTSLLSALGSSWALDFLCAMRWSWLRHAALCTGRWHRLRRMVLSLRRLVTTMTGGYMNRSRRWSGTIRQFNLLSFRAAQIHATDRWLGKLAAMLGIDEWWDSTCYSWNEEIPEEVKEGAVEMVSRNDLNTMGMLRHRWGEVALDKKHPGLLAELEGWRHGVDFHESIITWHIATDLILAKRENKQPMERTGGNGVQRVRSIRALSNYMMFLLVTRPDMLPGLPQNWLYQRTCDNLDEIFQEHRGHLISSGSKVNNRIFTVLGALLRGHNSIRRFGLKQTNEFAKILLMGLSHMSGEFDPSVPRLKFAHQIAQIVLQWKEADPEDVLLDLWTDFLIYAANRCNRESHAMKLNSGGTKERIEYQIVSGRWIQQCTSWPETIWYREFVVRFTWQFGDLV >OB04G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1532331:1534565:-1 gene:OB04G11630 transcript:OB04G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWLNQTSLFVNDWAIRIVVLCSFAAHLLALLAGIRRRQATGVRALLLWLAYQLGGFSGTYALGSMSLSRTTPQQQQQLALWAPFLLLHLAGPDNITAYSLEDTVLAGRQALTVAVQIAGASYVLYKQIYSSSAGGGGGGGALLWVAVVMFAIGVAKYVERAMAMRQADLGNMRSTSKRSKLERRRFFRDVRELGNEHALLVAHDLLYITKGSFVDHLDDEHPLDLESVRSEIFRHGWKGVLRVVDMELSLMYDVLYTKAAVAHTWFGYGVRVVSPAVSATALPLFWFQGKDGHHSSDVSITYMLMASTVLLDIRCLLRAVVSTWSYAFLLDRPCCWLHHVHGLPARWRAFRRFILSLHPCRLLGKEPTSYRTWSGTIGQYNLLHESTHDTTSKWSALVKKVASDEQWMEYEYHYSRGVRISEYVKEKLFDCIWEYMWLAYPVIISKKQEGKEMGGALTCSAHVRSVTELAEALHFLPEFQESVLVLHIATDVLFCLAECDTNASSSKQLAMPIKVISDYMVFLVAVRPSMLPGLKLRSLYETTQLALEKIWTEERSSCNSTRTNEKCLVEILRAMEKEEGNKTVLKNESNWRPGYRTRDMEPDFVSKLYDSNMILSDGIKLAELMLRWLCFGYRENIPHTKSELKFGQMFPELMKFMRNDQRCSYSSNDKMTQLLECIFKEWVRLLINASVKCTRDSHAKQLSRGCELTTVVWILVEHAGIFRINSDGRDDGATTGRFIAA >OB04G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1549597:1550836:-1 gene:OB04G11640 transcript:OB04G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKARVISSWTGYCIRVISPLVTAGSLLVFHFNGKDGQNIVDIAISYVLLGGAFLLEVTSLLGALSSSWTFPFLCATRWKWLRHVALCRGRWQLFRRKIVSLRHLFKVMGISTPSRRWSGSMGQYNMLHFCSRRGTSCNLLGFLAKMVGLEDRWEMFHYSGTLEVPNKDEVNTLGVIRKNWGEQTLKHWKDLDQVITEQYLGAELQECIIIWHIATELVVVRSSRAKDHDAAPEVEAARALSNYMMLLLVSRPDMLPGLPQNRLYQRSINHLVQIWREAKVEDPTHRHPGENVWIMLMEQFRLRDNPNSDSWRPQREKLACYLLDEEIPYAHETSRTSYAIDLAKLLLKKESEGYFTNKIDPDPHCVFQMILEMWIDNLVYAANRCSRESHAKKLN >OB04G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1555296:1555607:-1 gene:OB04G11650 transcript:OB04G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRLKQFVRASPHYRRRSASSGSTTLSCTVMINRVTLRVGILTSTVGSVCSFLMTALINVVQVKLGCLDCGAPGGCWGALVLLAPTALLIYIGIVFYAFTR >OB04G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1562425:1564887:-1 gene:OB04G11660 transcript:OB04G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVGVVKYGERTWALRCGDMNTIRSSLKKELHTKCYYHIDDKPERRSFNEGHFKREADEEEFLVRCAHSLFHVCKYAIVDSSDDSGGDTHFRDKEIFNGLTDEQTYALMGIELSLMYDMLYTKTSVISGWIIGYCIRVISSLATAGSLVIFQFNGKDGQNRVDVAITYVLLIGAFLMEVTSLLRALGSSWTFSFLCATWWKWLNHVAMCSGRWHRFRRNVVSLRHFLKAMGISRYCLSSRRWSGSMGQYNMLHFCTRRGTSFTSRLIGRLAIMLGLEDWWETVHYSGTVEILQKVKEMVFQHIRNIVGKRDVNTLGIIRKTWGQETSELWKLNDEQRTHLMGSEFQEGIIIWHIATELFLAKPKITDDQNEQPTVQAIKALSNYMMFLLVDRPDMLPGLAQNRLYQRSLKFLSEEIWPQVISDPTYRHPSRNVYTMLKELFRLHDNPNSDSWRPQGEKLASKLWEVHKNYVDDKLNAILKPEGSRVIYAVNVAEELERKNEGSDPLKLLLETWTNFLVYAANRCSRESHARKLNSGAMFIGGILNIDVINLSSRAPYLPLSTERHGYKTGNLTEYGFCFYMSTWYLIETLFPVEGLHGNIYLSHISWLRLNAYS >OB04G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1577084:1577785:1 gene:OB04G11670 transcript:OB04G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPLKLWNAWATQILVLSSLGLQIFLLALAGIRRRQLSVIPRFFLWQAYVSADSTAIYALGHISLSSVTRDHKLVAFWAPFLLLHLGGPDNITAYALQDNQLWLRHLQILVVQVLGAGYAFYKRIIAGGQQTMLLLATAFMFAVGLVKYAERTMALKRGNFSSIRSSVKDLPGKQLRWFKGHLHNDDHYSSYIDNDESLLQRAHSMFHICKRGIVDSVIEVDMQKLETETT >OB04G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1577995:1579583:1 gene:OB04G11680 transcript:OB04G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSLLSALGSSWALPFLCATRWTWLQHTALCHRRWHRLRMGVLTLRRVANMTLGFLDRSRRFSSTIDQFNLLYFRASQIHEVDKNFGWMARKLGFEDWWDSAAYSWTIKIPERVKERAVTMVSRDDINTMGMLRQKWGELGLHKKRYPGLQQPDDVNSWHGLHFQESIIIWHIATDLILADRNQTSQNHGDGRKTSGNEALELVEAIRAVPNYLMFLLVTRPDMLPGLPQNWLYEQTCRNIDKRCKKNRDKLISSGGKASNNPFFRVLKKLLRGHSIRCTTPFGVKRTKKTRRNPTREEGEK >OB04G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1583315:1585342:1 gene:OB04G11690 transcript:OB04G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPMDLWNQWAPQILVLLSLTLQVVLHMFAGVRRREASPVERFILWLAYQLADSTAIYAVGHLSLSSAARNHKLVAFWAPFLLLHLGGPDSITAYSLEDNKLWKRHLVTLVVQVLGAGYVLYKNIAGNGVQITVAAILMSVVGTAKYGERTLALWSANFSTIRNILRIVPRDKHQHFYIESELPRQPGGDEFLLQRAHSLLHVCSRGMVDSVMFNESDDADDSDATAIRDLLEKEKDHSSMWMVMEMELSLMYDILYTKAFVIHTSHGYSIRVVAPIAVVASLLLFHFGGNGSNSGVDVAITYVLLAGALVLETRSLLTSLGSSWALVFLCTTRWSWLRHVGLCGGRWHRFRRAVLSLRRPARVVMPTSSRRWSGRIGQYNVLHSCYHDVTTMGTSRHSFKLLKDLSILVGLTDWKDMKHCSWNITVPGMVKTSLKEMHHRFKPYDLNTMGLLRHTWGKLAMSKKWGNKARHDLYKKMEDYRGLDFHESILIWHIATDLVLTEKEQDGPKVEAIRAMSNYMMFLFVNCPDMLPGLPQNWLYQKTKINIVEACKKSIGVTKELQHVGQKSSWIEQSKHLARILLISSHGEEAVKPGPKVPRLTYAQNVARDLSKWDKEDPVDVLFDLWIDFLMYAANRCNRESHAKKLNKGGEFLTIVWLMIEHSQQLAKAIKE >OB04G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1602102:1606451:1 gene:OB04G11700 transcript:OB04G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNGGVSPSPGQSKRDTCATNTTDGAHADSSTGEAEETGGDNSITATNNTEEHGFFDSGTIQLEYTLEVEATEASAPTRPHTRSRSGILKEKVSTDGEPQDVNEALGDKNSKEAMDAEYLALMKNKTWHLVPPQKGRNVIDCKWVYKIKRKVDGSLDRYKGRLVAKGFKQRYGIDYEDTFSPVVKAVTIRTILSIAVSRGWSMRQLDVHNAFLHGFLEKEVYTKQPPGYEKSSLSNYIYKLDKALYELKQTPKAWYSRLSTKLQELGFKASKADISLFFYSKGDVTMFLHIYVDDIIVTSFVPSVVPALLQDLGKEFALKDFGDHYFLGIEVKKITNGILLSQEKYASEKLYVNESNLLGVNDATQYRPRSIGRIRYLISLFSTRTLSELQKVNKVCQFLRAPTTQHWGVVKRILRYLKHTTKLGLKIYWAGSLDDRRSTGGFAVFLGPNLVSWSARKQATVSRSSTEVEYKALADTTAEIMWIQTLLKELEIQLADSTAIYALGHLSLSSSVTTRDHRLVAFWAPFLLLHLGGPDNITAYALQDNQLWLRHLVTLLVQALGVGFVLYKRIIIGSGVATPLLLATVFMFVVGLVMYGDRTWALKQGHLSNIQSSVRELPGKQIRWYKGYLQSEDHYNSIDEFLIQRAHSLFHVCKRGIVDSVSNVDTEIWETETTRTIINNLRRTPEKMWKVMEMELSLMYDVLYTKAAVIHTSIGYCIRVFSPVAIAASFLLFHFSGSEDLQNGVEVAVTYALLGGALVIETASLLSALGSSWALSFLCGTRWRWLQHKALCGGRWHRLRRLVLNLRWIASRVTLGCLGRSRSWPSTIGQFNLLYFRAAKIHKSNCCLNILGCVAKKLGYEDWWDTACYSWRLNIPEMAKDQAVKSLSKDDLNTMGLLRHKWDKLPVDKKRYPKLAKALKNLRAVDFHESIIIWHIATDLILSGDSADQTKKEAERVHSIRAISNYLMFLLVTQPEMLPGILQNWLYQRTCKNLDEKCTEYRDQLISSGSKGSSSNLACRLFWNDNSTATTFVGLKQTNELAKILLNMDAAFDPSIPRLTYARSIAKIWLNWNSEEELKGLDPVKMLLRFWLNFLIYAANRCHRESHAKKLNAGGEYTTVVWLMVEHIYQTKEKTTKSET >OB04G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1613433:1613636:-1 gene:OB04G11710 transcript:OB04G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKGHGGGLARDDDHPLLNMHPSEPGILDRRWGRDLPGHSARDARLLGNQWVTEAFLVPALGIEI >OB04G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1614867:1615334:1 gene:OB04G11720 transcript:OB04G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELELILGPAVGTLETEGEPLMFSSVSMRCAALQINHGGGRKGTDAAILARERSGKDHAGWGRRVREPRAFGGIAPLSTRARGTTPTIWCPSHGVSLSPFKLTKKPVSPARLGQPHRLWFTAVFAFSFLSGGFKGRAGSADCERPNVASVILPA >OB04G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1624836:1626977:1 gene:OB04G11730 transcript:OB04G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVMHLLNEWAIEILLLVSFFLQLILLFFAGFRRVGASAVLKLVVWPAYQLADFVATFTVGHLSVSSERRLVAFWAPFLLLHLGGPDNITAYSLADNQLWKRHLVFGLVPQALGAANVIYRSFAGRTTTLLSAAMLMFAIGVLKYGERTWALKYANLSSIRSSVNVKTPPPTTKRPLQYYPERSWLPRRDGGDVDEEELLLVAHSMFHICKRAMADSSVEPDSGEYDSKIFSYGWKEMCRVAEMELSLMYDLLYTKAAVMHTWFGFGIRVVSPLAVVAALGLFHLENDIGSYRQVDVAITYALLVAAFVLETTSLCRAIGSTWIAALLQTTRWAWLRHEALCTGRWFRLRRTVASLRRFVHRDGHRYWSGTMGQFNVLHFSTRDGTAERLGAAAEKAGLGSWWNKHVNAGSIVISDHVKELVFGHIQNMLRSVDDKSYPASKLDSIRMTRGQLALRRHGLYDDLVSSLGDEFQEGILTWHVATDIYLAVSGRATPRLTEAVRALSNYMMFLVAVRPDMLPGLQLRRLYQLTCDDLTRIWRQTKNGHESSPTSSFSFINVLSMLTRLLQLHVDDPTSTSTSTSRTTDIKTLAATLLHDNVHSHNLRHGDDVRSHVFAGALLADELLRKEKERRMSAGGGGGGTSLLPAIFEVWVEMLLYAGNRCSRESHAKQLNSGGELITLVWLLAEHAGLYRIDKTKQQLKYARNASVLV >OB04G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1638634:1641214:1 gene:OB04G11740 transcript:OB04G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LVJ9] MLPHFILFGLLFFSLHSNCPQCSAKNCSTLMSGQELTGANKLISSNGRFALGFFQSGTSKSADNTTLLNWYLGIWFNKISKFTAVWVANRDYPITDPIFKLSKLVVSGDGNLVILNNVTKSMIWSSQIESRRNASRKTLVVLSDNGNLVILDASNQSNVLWQSFDYPTDVMLPGSKLGRNKVTGQKYSLISKKNSDDPAAGLYCMELDPSDDRQCNAKLCNSSMVYFSTGEWNGRYFNAMPEMSSHVFQDSKFVDNDEEEYFTYTTIDHTVITICLLDVSGLLKQLIWVEEIQDWELVFFRPKDSCDVFSVCGPFTVCTDNALPLCSCMKGFSVKSPRDWELDDRHEGCTRNTPLDCSSSSNKSTAGLTDKFFPITSVRLPYDAHRMEAVASADECMQVCLRNCSCTAYSYGESGCSVWNGQLINLKQYNGTTNTNEDVLHLRLAAVELQSWGHGRRTMMGVAAAASVSAFSFLVFILLLMILRRKRRSCGHPVNRSEQGAGIVAFTYAHLQRATKNFSEKLGAGSFGSVFKGILSDSTTIAVKMLDGARQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDKRLLVYEHMVNMSLDAHLFKSSSTILNWRTRYQIAIGIAKGLSYLHESCHDCIIHCDIKPENILLDASFVPKVADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVAITQKVDVYSYGMVLLEIISGRRNTLEEYDSSGNQAVYFPVQAAHKLLEGDVESLVDPQLQGDINIEEVVRACKVACWCIQDDDFNRPTMGGIVQVLEGLVELDMPQVPRLLEIILSSPEAGDA >OB04G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1653611:1653943:1 gene:OB04G11750 transcript:OB04G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGAAADGVERWTGPQQPLRCFALQIWGDGAAAVRSSRRTGAVADGVARADWGGSGWRCAGRRGWRTTSRRGGRRWRGRRMQGLEPALASARTRLLPRLHAPNLARE >OB04G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1654938:1667153:1 gene:OB04G11760 transcript:OB04G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDSENIGCEFNCQRSSDQMCYQQFVDHFSSDEQLNPEETLALYCKPIELYNIIRHRAIKNPPYLQRCLLYKIRAKQKKRIQITISLPGCNNKELQAQNIFPLYVLFARPTSNVSVEGHSPIYRFSQACLITNFNDSGNNDHAEATFVIPDLETLIATEAYGLTFILVSRGTKKNKGRVGKNLVENSSSDNHVDLSSLQKFAGKCFWGKIPITLLNSSLETCADLILGHIVESPLSICMNPGYLEPKFLEHDSCLSFCSRKADAMGPYQLEVKVSAAEAGAKDILKSPYNSFSYSDVPQSLLLSIVRLRVGNVLFNYKNTQRSEVTEDFSCPFCLVRCGNFKGLECHMISSHDLFHFEFWISEDYQAVNVRLKKDNKRTEFVSGEVDNSHQIFYYRSRFKKSKRTETLQVAHAHPHITESGSLDDMQVESEEDVQEEKENASIDNSKKLHGSNHTPSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFVRKQSILADSHVPWACEAFSRHHGEELVQNSALLWGWRLFMIKLWNHSLLDARAMDTCNKILDAIKNESSDPKKH >OB04G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1673269:1673639:1 gene:OB04G11770 transcript:OB04G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEEAALAAAVVKGKRSKRQRAATMIKSSAAATAEESMESSLSLSGGAAGGGGGAPPSNTRGDEAVSGCVTEEEEDMAFCLMLLASGGHGERARDEVEEAVEAVAAAKEAKFRSRRPAGGA >OB04G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1677949:1678188:1 gene:OB04G11780 transcript:OB04G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLATQGQRVIKHKFNSIRYNSLSLIAYTHTYSLSLSLYCDLNIFPFLLSSFFHSITFKICSKDWEFGKGDVNYCYSCLE >OB04G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1685454:1686067:1 gene:OB04G11790 transcript:OB04G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWRGLTTRGRRRSRRTSQQEDEPLEPEQPPEPKQARQLAARGRRGGPSGGSVTEARASTGERSRHREELVRSAGKGLLRSAGGKMRGRRRRQGDAVAAAGGDEAVTSGGDEAAAALGRWGRRSGGWNNRWPGKGSSDLGQRG >OB04G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1693136:1693339:1 gene:OB04G11800 transcript:OB04G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPWHFSKYLQNNSIWHAVLKIPSRDIYKSRAQGWPAVEDNLSACLRVTETDERESGSRRAFMGM >OB04G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1706743:1708977:1 gene:OB04G11810 transcript:OB04G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11290) TAIR;Acc:AT1G11290] MTVCCVATASASFLSPPMKRNLHSQSHAYAVANDHHMHLREAAARSDLPGALAAFAAMSSASAGTARPVLRTFTSLLKLCAARGDLTTGRAIHAQLAVRGLTSEGLAATALANMYAKCCRPSDARRVFDRMPVRDRVAWNALVAGYARNGLTRMAMEMVVRMQEEGERPDSVTLVSVLPACANARVLAPCREAHAFAIRAGLDELVNVSTAILDAYCKCGDIRAAKAVFDWMPVKNSVSWNAMIDGYGENGDAGEALALFKRMVEEGVDVTDVSVLAALQACGELGYLDEGRRVHELLVGIKLDSNVSVMNALITMYSKCKRIDLASQVFNELDRRTRVSWNAMILGCTQNGCSDDALRIFTRMQMENMRPDSFTLVSVIPALADISDPLQARWIHGYSIRLHLDQDVYVLTALIDMYAKCGRVTIARALFNSAREKHVITWNALIHGYGSHGFGKVAVELFEEMKSIGMAPNETTFLSVLSACSHAGLVEEGRKYFTSMKDDYGLEPGMEHYGTMVDLLGRAGKLDEAWAFIQKMPMDPGVSVYGAMLGACKLHKNVELAEESAQKIFELEPQEGVYHVLLANIYANASMWKDVARVRTAMEKKGLRKTPGWSIIQLKNEIHTFYSGSTNHQNAKAIYSRLAKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAFGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >OB04G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1712825:1725559:1 gene:OB04G11820 transcript:OB04G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MAVGEECAAAAAAVGSQEFLSDARAYWVTRSLIAWNVNDKETSLYLYASRDARMHVSDGVIEGYDEKIELQSEHASLPASEAQKFPFISSYRIFRVPSSVDVASLVKCQLAIASFDAHGRRQDITGLQLPGVLDDMFAYTGPLGAIFSEEDVSLYLWAPTAQDVSVCFYDGPSGPLLQTVQLKELNGVWSVTVPRNWENQYYLYEVKIYHPSTSQVEKCLAADPYARGLSANGTRTWLVDINSGTLKPASWDELSDEKPNLDSFSDISIYELHIRDFSAHDCTVDCNSRGGFRAFTCQDSAGIRHLRKLAVAGLTHVHLLPSFHFASVDDNKSNWKSVDEAELAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYREMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRDINGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMRSTMIRAKSALQSLRRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDAVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRALATYADHIQIGLAGNLKDYVLTTHTGQAKKGSEIHTFDGSPVGYTSSPVETINYISAHDNETLFDIVSLKTPVGLSIDERCRINHLASSMVALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPRDKNEENWHLIKPRLENPSFRPLKNHILSVLDNFIDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSLLPGVIVMSIEDARTVRPEIAQLDKNFSYVVTIFNVCPYEVSIEIHDLASLGLELHPVQVNSSDALVRQSAYQASTGRFTVPRRTTAVFVQPRC >OB04G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1726048:1727005:-1 gene:OB04G11830 transcript:OB04G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLLQLISMAGNGGACRMVPAILFLQLLFRALGAEGASSFSFSNACQYPVWVGVLHGASSPPLARSGFYLAPSGTFHLAAPSSGTWSGTFWARTGCAVDSST >OB04G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1733538:1733978:-1 gene:OB04G11840 transcript:OB04G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGDEYKTSAALSNPSGPSSSSSSGAGAGKAKCYSCPAEGCRWNRRHPRFQALKSVVCAKNHYRRSHCPKMYVCGRCGGKQFAVLSDLRTHEKHCGELRWLCSCGTFFSRKDKLMGHVALFAGGHAPVPVAAASPSTTERSSRD >OB04G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1744043:1748243:1 gene:OB04G11850 transcript:OB04G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSKEEAVGEGAAPRGADWEVVSLTASAYAAAPGPGGAADRPPVAAAMAASQEGGSSANALFMSDHFVFPPSEHENLPIEAGFDEIQPEKDAREASTSVEDEGFKNVGGSYGDEPERIQFYDEGRSLSVDDVEMMGDAAEYGSFHVQDDFDNDDSHDKSDLPPESVDSKTRDSGTPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGHWHKDKLHLHNLKWRSRSAVRG >OB04G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1748531:1749951:-1 gene:OB04G11860 transcript:OB04G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YIF 1b [Source:UniProtKB/TrEMBL;Acc:J3LVL1] MYNNYGNPPGMQMPPIGQMPSAGQMPSANPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLHFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAIVSRLLWAYSYYVLMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIGA >OB04G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1752237:1752907:-1 gene:OB04G11870 transcript:OB04G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARNVLVATGLLAFAGTGLAFPFYFVKSKNRPIIDSSKPLPPQATFRGPYVNTGSRDIGPDYTDYPKK >OB04G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1765426:1769039:1 gene:OB04G11880 transcript:OB04G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OssigP3 [Source:UniProtKB/TrEMBL;Acc:J3LVL3] MAAGRWPLLRSIARGCLAGALIGVTVNDRYASILTVRGSSMHPTFEPHPAVRVLVDRLCLDARYGFSRGDVVVFRSPTEHRSLVVKRLIALPGDWIQVPAAQEIRQIPEGHCWVEGDSPCSRDSRSYGPIPLGLMQGRVTYIVWPPNRIGPVERKMPEGRVMQR >OB04G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1768881:1772117:-1 gene:OB04G11890 transcript:OB04G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:J3LVL4] MERTLMRLMRLMRRRARRAPPCFSTLQQEAVADPVQSPGVLPGIRIRDTASQLIGRTPMVYLNKVTEGCGARVAAKLEFLQPSFSVKDRPALSMLEDAERKRLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGEVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRNEAEAMQPVPVD >OB04G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1778223:1781073:-1 gene:OB04G11900 transcript:OB04G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPKLETIWVRGCWGLKRIPATSNSCPMVDCEKEWWEKLEWDGHHPSLFKTCHSKHYKKAQLSGCASGAPWWAACG >OB04G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1781092:1783599:-1 gene:OB04G11910 transcript:OB04G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFDRQDEEDFSGLDESSRAEIADIGRETYRAFMDRICLLILNNGSDDMVDIASFGFPLNDRFNPIDNRMLWTFRGRLRLNPNITQRVDSSHLFLYNLYYLSGDTWKGLLAREATEITRYSPQLLRLDGTIVADCCMYLLSLNYQGGDIMGYNWATHAYNCWVCDGIINVQEEEEQQDQAWKVAAALHQEIRLEDYSSNTVLDFGDILDTPQNHWIMVTDDGKRNNSTRNTISLFIAFKTVKSLPNYLFHEANNLHILRLCNCTFNFSSPPFNCCHSLRFLGLNKCKALLQEARQDKNNTSLALAIFQRLWVLDVCYTDCELVFPQESTEEQQMALSIREVHINKEKISHNNFAWQRLKNIRKLRVIEPTQPWGKIEEIYEFAHMLKLELLDLSRNNLIQVLPSLSGAANLKTLILDGCLGLEQVGPQGLPPSLSFDSGAGDKAKISSISLAGCSRLVSFTLRGPLPNLKDLDLSRTILKRLDLRDLQAPCIECIILLGCEKLYAILWPEERLPNLRVLHIDTFACHVETEHRQTYAVAMDIRSVQSLVLTSNASFCWSFDKIHLNFCSSSTPKDIALKKERKGPYNTQKVVGLPLHRSIVAMTKPICYKDAILDMIAIDPDDNCSPQQLEPLDLHVEIGQGISYANVVSEQALSAVAFVMNKAKSLHVHDNFSITSVNPKHAMLTGDKGIHWNYLQQCHIKRCGKLGTVFSTDYTNYVFESLKVFSAAELIMANCIWSTGKMNNGDDNKSFAQLRSIHLYSCPRLAYVLPISWAAPYSHLPSLETLHIVRQIFPVEKVALSKISTDHLEFPKLKHIYLHEVPKLQ >OB04G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1788694:1793079:-1 gene:OB04G11920 transcript:OB04G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGDVLSILSKLQFLGFCADLRIGDAAASDPSELFDAVLAAFLREVYPGGRVVRPLPAKLGDGSRVDLLRLFSAVRAAGGYDATSSSPGVWASAAESVCLDATLAAPVKLIYYKYLGALDRWIQRLVEARGPFLDGNGSKKPEQLFDSNGRENQELLLECSCREQQDAILKRKRNDMVGMLDWVRELAQDSCEAGTMAAGLTNGYYSLALAARKAVFGKRARRASMTNGAHLQEIFPVDCKCCMSSTAGRIEAPVRCSQKIQFVVPQPGSDINELTVVENISGSLVGMEQGNSVIGQVKYESRKHHNCDGWLFTSQQRNKIPVGSEFQAQVPQWTGEMPVNYDNVETRKWLGTKVWPPENENMKASRFCDPVGKGREDVCGCNLPGSVECVRFHVAERRFQLRQELGSAFYAWGFDLMGEEIALCWTNKEEANFKACVQLNAPSSGRNFWKHLHTLFQSKSRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFRFLGNHLGYYATKYDSSRYTVCIESTHCMDLDQ >OB04G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1808949:1809310:-1 gene:OB04G11930 transcript:OB04G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVCRGSTESSSSPLSYRGGDRTREEHSSVSIIMLILWRDLALVHGTKQSVHNKLNTVKSSMIDSQQKTINSTINPVSEFIPKLAKIKRFIALCKNQASLHLKSAGVNFAKTVLVRN >OB04G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1808974:1811120:1 gene:OB04G11940 transcript:OB04G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSPPRYESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSSIEYHKPTKPRVRHTRPWSSCTKSSNRGNFHPSSKLQTRVNLTKLGTPTLWRYWKHFNLVSMNPNPSKDQLFHGVQQHFQSQQLDELQVILGFIQAAKRLKTLYRSS >OB04G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1825492:1826674:-1 gene:OB04G11950 transcript:OB04G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGQLSTVDNRSDDEGEEEVGSKLYLTVEQWLVRMKASTDGWKQMEQSGAKYRAPEALEQDPHEGPRCGSQLLRHMRMFGYIDHVKGPNRNAKNMDVQSVKMYRMFDPVTRRVCVSHTIANTPATTTPVVDFDYDVECMLVREEPIKRDAKGAILKYKARLVAKGFVQQQGVDFDEVFPPVAIMETIRVLIAMDAHDVWRIHQTYVKSAFLNGELEEDVYVVQPPGFVVENQGLHRFAVATGYKPKFEF >OB04G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1827378:1828506:1 gene:OB04G11960 transcript:OB04G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTIVHRSAEECRAMAQVQLLQQLKRAAEEARRTKATASHHRHHHHLTGSNASCGCLPCNGSSNIISGNLLQYYYVGPRMPIFQINRFLMIVMDVWNVETWRYWCHLSKGRDFLSMLVALTIVLACHAHASKNENIIKPRWQARTRRGTPPARCRRGKGRRQRWDPRMRRSGSGCDGHIMHGSKKPVKGRLLSQ >OB04G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1844036:1846669:-1 gene:OB04G11970 transcript:OB04G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFMEAVQPPLFPTSTMGALALGLAAVVVIVLVHVAMNAFGRRGFPPSPASLPVIGHLHLLRPPVHRTFHELAERLGPLMHVLLGSTHCVVASSADVAAGLIRSHEAKISERPLTAVARQFAYDSAGFVFAPYGPHWRFVKRLCMSELLGPRTVEQLRPVRRVGLVSLLGSVLHAAPRTVDLTRELIRMSNTSIIRMAASTVPGSVTEEAQELVKVVAELVGAFNADDYIALCRGWDLQGLRRRAADVHRRFDALLEEMIKHKEEARAAKKKELEVDGAGGGGSGKDLLDILLDKAEDGAAAVKLRRDNIKAIIIDVVTAGSDTSAAMVEWVGGXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPLPYLQAAYKETLRLRPAAPIAHRQSTEEIHIRGYRVPAQAAVFFNLWSIGRDPAYWDAPLEFRPERFLAGGGGEGPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSSGGARAVLRLGMLNGVDGDRHGGGRRAGVRAEASAAAPRPPAPHPLPAAPLAHLSFYP >OB04G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1857307:1858435:-1 gene:OB04G11980 transcript:OB04G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGELFLVGAILRVMAGRAWYETVVYKCDIHGETPWWSKVTDAQGVALFVCNHLTTGFGVASISRINWNSVYLSEPKHCHREEEEEGSDDHHRLPTYHSGFRGSVLDSSESLELRGG >OB04G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1858735:1859435:-1 gene:OB04G11990 transcript:OB04G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRSVPDWTFGLPLRALETIAEKLPSGRDAAFFRLVCPPWSAALPFERFAPVLMLLPSESDPAPPPSRNASFYSVIDDECHDVPLPELRGGRVVVCGASHGWLALVDEAASVTLLNPFAAGGRRLRVALPPADRSVALASLKTVSMVDGAWVLHYTSGATKPIKLNNIRDIFFREIVLSAPPNNSRGATCNAMAVLANSTEIAFCRLGDTAWTLVDAKLDCPVTSVVYCHD >OB04G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1860069:1861707:1 gene:OB04G12000 transcript:OB04G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCGAAREAAVQFGAASHLGSRALVADPTLQIVLLRLAALRDKGSSKTCTRWYQAAPYGSKWYHMMSAGISRYHMVPGGINQYHMVLKWYHMMSAGKS >OB04G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1868902:1870119:-1 gene:OB04G12010 transcript:OB04G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRMRSLPDWSSGLPTELLEIIAKKLPSGHDAAAFRAVCSPWRAVLPFARFAPVLMLPYDPDAPSPAGAAATFYSLEEERTFELPLGPALHGKVVCGSSCGWLALADEAASVTLLNPFTGSRVALPPADEHVAEASSKSVTRADGGGWLLRHSSGDDSPVTLDMMEEVFFRSIVLSAAPNNLHGGGGFVAMAVLADSAEVAFCRVGDNAWTLIETNVECCVSTIVHCQDRFIVIGCLGEISTISTNIAGEDAAIPPTVRPVSSLPEAGEMCHRSYLRLNGQLHLVGVAMKVFLGEWPFHQHAVVYKCDLTGETPVWTMVTDAGDTAIFVSKYFNTGFGGPSVFKIRRNRIYLSEPMYSGQEEDSRDHSMELVDIAAGTSEKIAYPTMKGPEIISWIRPNLWT >OB04G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1876917:1878461:-1 gene:OB04G12020 transcript:OB04G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGWRQEKVREACGASGVVVTAYSPLGAYGAIWGSDAVMKSGVLHDVAARTGKTIAQVALRWLYEQGVCLVARSFNEERMKQNMEIFDWELSEEDKQMIAGMPQRRACRGEYFLSPDGPYKSLHDLWDGEI >OB04G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1881902:1887113:-1 gene:OB04G12030 transcript:OB04G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVSLRPSFCTWADGSPMHFFAVFDGHGGPHVSALCREQMHVILAEELAAAAREGEEVVDEERAWRAALSRSFSRVDALAAVACACGRAAPDRPDEKARIEAAGGRVVYLNGPRVRGILAMSRALGDRYLKPEVICEPDITITERTVDDECLILASDGMWDVISNETACDVARQCLEDGRPSSAGRAAAGSGEAASSSTGAPAAAVGQESEPRCYRAAALLARLALGRESSDNISVVVIDLQGRG >OB04G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1903804:1904066:-1 gene:OB04G12040 transcript:OB04G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQQQQRPWSCSFGMAAGLISWPPQRSSSSYTCGYCRREFRSAQALGGHMNVHRRERARLRQCPKRGPRQCPTPTPPPHPPPPPRLP >OB04G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1908345:1908959:1 gene:OB04G12050 transcript:OB04G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVGLQQLPHHEEHRQAEITVVQFSDEAVDKIITRYREMLRPADERVGEELRGWLMVLATLTASITYAAALNPPGGAWQADDAANHFVAGYPVLRDKSPWRYFVFYYCNATSFAASLCIIVLLAVTKLFTETKIMVFSVLVALDMVGLAAAFVAGSSSSKWFTAFNAALMICLVVLFLFWKRRCLMVGTCCLKSFKQLVRS >OB04G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1917909:1918626:-1 gene:OB04G12060 transcript:OB04G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSTSSTGSWQRWSDLRESVDPAPSFKSRGGEGTGGVGDGDSSELVDSKDEADETVRAIHGTPDLNYSCARCPHGSPQSSLIYAPGEHRAGELWPYGPNKASAYPWTGEVRWNSKARAVEVIGHADAVAIHGAQKPARSRPPPINGASPVSARKRRRGREGSIFLEQASSGRVRQTRGGDGRDMAVAGVDEQVG >OB04G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1937473:1937922:1 gene:OB04G12070 transcript:OB04G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPPIRQLFSGRPPSPLPCRRTPLPFPCRPPQLPPAATVRFARWVVEPNQTKSPTLFVVVVFFFHLIDSVSTQESVSACCRSTPASSAFSGFSKRSRRTSRIVGSCRLRHGSARRWPPNADLSWLDPWPRREERACGAGLVGGVRACPF >OB04G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1938633:1941623:1 gene:OB04G12080 transcript:OB04G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMVLTHQLRQMETEDSHSLSIPVDNPDVVEIKATKDAKVLGADSALGIASRGMLEAGPMAAIRMPMLRASNFKGGTPEVMDTSYAILVLVLPKDGSLGWGEEELEIVEVVADQVAVALSHASVLEESQLMREKLAEQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIVKTSIVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLIKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIILHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGYLLNSSSSQRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLRETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLNVILVDSDDTNRAVTHKLLEKLGCRVLSVTSAIQCINSFASAESSFQLVVLDLTMRAMDGFDVALAIRKFRGNCWPPLIVALAASTDDTIRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >OB04G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1954290:1955880:-1 gene:OB04G12090 transcript:OB04G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT3G23160) TAIR;Acc:AT3G23160] MATSSSSSSRKVRPVTGGGARGDAAEGTDDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSQASARKLPTDEARRALEQRTRWRRHDVRRLRDSSLWNWTYDKAVLLLARGVCAIYDRIRLVFGDPMQGLDLLAIGRSSRQCDQSRQLSGPVSANNSGYVRTNFGDAKSGPIARIDMDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLVPFSGDQGMSMTATKSGKIGRRARFGPKSTVTSLAPASTIGGSALALHYANVVIIIEKLLRYPHLVGEEARDDLYQMLPSSLRAALRKSLKTYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQLQ >OB04G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1981071:1985710:-1 gene:OB04G12100 transcript:OB04G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKQKGVSDKLFRKNPVKLDETEANMGRYCNRWILGDLIHDNHMENIAALKKMLSEENSRTQAMITVDSLKRLSIDHYFSEQINTHLESFYRSHKAFLETDFGVFDAALSFTSLREGRYDVSSDMFRKFIDKDGEFDKAISRDIKGLLKLHDASHLNMGEEVLHKAHGFTSKQLWSSLNYFEPSMSNFIREDLVHPYHLSLPKYRVKHNLKYFQGMLGRNHAMEELALAEYHHNRSQHQIELMQFTRWWRDLGLAQELPFARDQVQKWYMWAMSIIQPGVGSSKYRLEITKVISFIYVVDDIFDLVGSPDELSVFTDVVKRWDIDEDTNSLPSYMRTCYQALYNVTTDMAELVEKEHGYNPIQHFRKAWEKLFEAFFVETRWFANNQTPTTSDYLKNGVISTGVHVVLVHAFFLLGQGVTRDAVDLLEHNPPIITSCAKILRLSDDLGCAKDEEQHGYDGSYQDCYMNENPSCSSHDAKKHIMYMISKTWEELNTECFCTKSFSPVFQEVSLNLARMVRVMYTYNEKQKLPILEEYVNSLL >OB04G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1980457:1984139:1 gene:OB04G12110 transcript:OB04G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHQKRRNPFQGKPCGCDKMIPESIFFSAAMFSMWLSWIKSCLKRSKFDTISILGFN >OB04G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1987759:1987981:-1 gene:OB04G12120 transcript:OB04G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVVSSLNNCTAAPAMAAGRRRGRHGFCRWPVASSRQEQLPTNAPCSRLLQDQET >OB04G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1996984:1997238:1 gene:OB04G12130 transcript:OB04G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRTQNPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPP >OB04G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:1996999:1997358:-1 gene:OB04G12140 transcript:OB04G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGFNLWGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGG >OB04G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2000182:2000628:1 gene:OB04G12150 transcript:OB04G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding GADIKCGPNAGGKLCPNNLCCSQWGYCGLGSEFCGTGCQSGACCPEKRCGRQAGGAKCPNDFCCSSGGYCGLRGXXXXAGAATAPAPPPTWPPSSPTTALSLSMGSSSQSQSLISRCCMPGMHASRHARRSIERASLLPSINSLMTTT >OB04G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2002619:2003723:1 gene:OB04G12160 transcript:OB04G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPWLTPCASPPSLTVDDATIKTIADSAAEASAAVRDALSQHLPLSLALSALPALPATSDLHAATDINSTVPPAASPILPEVAALLARLSGSLPAPPNDAAALNNTSALPLQVLDADACHTLHAYAVVVLNVKDLIPVTLELATAKLLSMARPVLVILGKYALTDLVVSDVPLLDQVDLTQMECVDLLQEVMSPTATAHTVWHDLEFKFLGNCELCAVNLSAEYHTFQKGDLSVTEYCRRLRTMADYLIDLGEPQSECTLVLTLINGLSPKYSNLQYLLPMQVLFPSFLQARSQLLLEEITKGHCPTSDPTMAFAASTTGASVNTSSNTGNGGSANGGGNRCGNSRNSRNRPIVILQVG >OB04G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2028372:2032312:-1 gene:OB04G12170 transcript:OB04G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWRSLRIHISSCTPRHELDAFCGSYSSCASWSSEIEQRNSPCLSWIGAHKAIYFEGWAGVGASAVLRAIAENPGPSLRNKFDRIIHVDCSRALQWMNSSCFLSSMVATSWATTGQPMPTTVGLRFLGLDKCKALPQETGQDKNNTSLALEIFQRLWVLDICYTDWVLVFPQESTEEQQMALNIREVGPQGLLSSLESFSFDSRGDNAEISSISLAGCSRLDLDLSNTIVKSVDFTDKVVQVTCLRRVILLGCELLRAILWPEVGMPQLMLLNITIVGGQEVTKTSLFHGEQQGYCHSYVVVTDMRFFQSLVLRIDGSFRWITSNFSLYLRLSYAIEDNEKLAGSPLDRSITTMTKPICYKDVNLGMVATNPNDSSSPRQLEPLDIHVEIGEGISYANVVSEQALSAVAFVMNKAGSLHVHDNFSITSVNPNHVMLRKDKEIVWRCLQQCHVERCGKLGAVFFADYIDSAFEPLEAFSAADLMMANCIWSARKMTRTKDYRSFAQLRSIQLHCCPRLKYVLPISWAAPYSHLPSLETLYIVRQIFPVEKVALSTISTDHLEFPELKHIYLHEVPKLQQICDTRRMFAPKLKTIRVRKCWGLKRIPSTTGSCPVADCEKDWWEKLEWDGHHPSLFKTCHSKHYKKALPRGSFIW >OB04G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2038493:2039392:-1 gene:OB04G12180 transcript:OB04G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMYAKCAAMNHARRTFDHMPDRNMDSWHIMIDGYSLNGLGDVALQLFEEMKTKYGIAPTAHTFLLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPNAMVWESLLNLARMNGDIDIEDRAEELLVSLDPTKVNPKKLPTPAPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >OB04G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2040705:2041112:1 gene:OB04G12190 transcript:OB04G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTPTAATSDASSCCCCCAGGMSRRLLLRLRPDNTKAGHLERLGLCYLHSLPIEHASSGGDDNGDDYGDGNGDDCGNNDREQLAAVDGNCGIGDNYGGYDDGDGDDDGSGDDNDSDGREQPAAVTMTRTHQFFG >OB04G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2049596:2050084:-1 gene:OB04G12200 transcript:OB04G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCEASRTLCSTLHHCHLGHGATQWWPGASPLASPHMSSRTRLSDCPIHLNQKIHIFLSIVLWNLHDTLGLEWRTQITRRDASIIAHAVLLQQVLCSLILLSYGTACLCTECIEEYEGHNPSWPVFIVKTLKREYMLDNPLNLHRWTVLFFALCSLQAVMG >OB04G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2049734:2053230:1 gene:OB04G12210 transcript:OB04G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGGISSGGWGSRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVDDISVDGHDDIEGLDASAAHIANLLSSEPPDVKVGIGGFSMGAAAALHSAACYAHGKFASGMPYPITLSAVISLSGWLPCSRTLRSKMESSHMAARRAASLPILLSHGRVDEVVPYRNADRSVDTLRSSGFLYLNFKSYNGLGHYTIPEEMDDVSKWISSRLGLDRSR >OB04G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2065746:2065976:-1 gene:OB04G12220 transcript:OB04G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRQNRGQLPSTYVCRRNYVLKNNTNNRRKCRLVRKLTRYINYVRLFGMSREKSQMIYLHVKNNLCVKLLYTYS >OB04G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2072870:2074188:-1 gene:OB04G12230 transcript:OB04G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAVTKSSPEVVTPSTKPSPAAAPGQPAGDISLSSFDKALAFAPFTSFHVFKNAIAEPAMTIKRALSQALVYYYPIAGRAVGAAGDDGDRQLRVSCTGEGVAFVAATASCALEDVRMFDRPFAAMLKELAVEYGAEGCGEGDPLLLMQVTEFACGGFVVGVTWNHVIADGAGIAQFLQAVGDLARGLPRPCVLPVSCGDDSLPGLPPLVAAIEKTMMTLENKAFAFLDVTVPSTLIGRVKAEVAGDADYSGEPCTTFEAVTAVLWRSRTRAVVPDRDAPAPLVFAANVRKYVGAKEGYYGNCVTSQVTVPTSGEVANGDLKDVVRLIRRAKEQIPLQFENAAMNGAGARMEQQEIMGVLFGYDAFYVASWRNIGFEAPDMGGGRAARVMCHVEPAAVPSCVACLPREEAAGGASVLSLCVREEHVDAFLAELSSLG >OB04G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2080750:2081646:1 gene:OB04G12240 transcript:OB04G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDTTMTSPGGVAAGDDDEATCLHALELICAFTVPMTLKAALQLGLLDALTDAAAGGRPLTADELTVELPAADKAEAAASVDRILRLLASFGVVKCSTTEVGPGGEALRRYSPAPVCRWLSGGNNHQGSLAAMAMLAVDEDYMKPWHHMGAAVAAGGPPAFERAHGMPLFEYMGTNHRLNTLFNQAMAQKSVMVTNKLLERFRGFDDDGIGVLVDVGGGTGATLELITSRYNHITGVNFDLPHVIAQAPPFPCTSSASFIYYR >OB04G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2082300:2082488:1 gene:OB04G12250 transcript:OB04G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTKRLCEIPIGVSVDYTYTNSRCLPNRKAIIYVLVACRMPHTPCLAIDGLHASCTRHSHIH >OB04G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2086191:2087560:1 gene:OB04G12260 transcript:OB04G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGDAMVLFRVELVLCPYRRNLTVSDRSPNPAPCRLTANPSHCVKHISGDMFESIPNGDAIFLKLMLHLHNDEECIKILKNCHQALPNNGKVIAVEIVLPTTPEPIPAAQNPLRMDMIMLNLLRGGKERTEQELAKLARDSGFCGAFRTTYIAINHWALEFCK >OB04G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2096198:2096386:1 gene:OB04G12270 transcript:OB04G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LETIFIFLGSHLSAVATWRRDFQPTRLRSRAKIKLWYTVNKFSMVDHVAICSSSMDLFAAGC >OB04G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2096884:2103645:-1 gene:OB04G12280 transcript:OB04G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQLLFLAQLLCLCSSSADAAAAPGPTANSSRRKAVDRLPGYAGTLPFSLETGYVAVGEARFFYYFVESERSPEEDPLLLWLTGGPGCSAFSGLVYEIGPLYFDFHGYKGGLPNLLYKADSWTKISNVIFVDSPPGTGFSYATTAQGLKSSDTTVVHQLYTFVQKWLDDHPQFSSNPLYISGDSYSGIIIPTLAMEIAKGIESSDKRHLNLKGYIAGNPLTDPAHDANSRFPYLHRMGIIDDELYEGARKNCKGDYINPSNTQCANSVQALWDCISEMNDVHILEPRCEEDRSPILNNIDSHDRRARLLESAVSSICRNATYVLSKIWANEETVKESLGVHKGTVATWKRCNYEVPYTMDIHSAVEYHLSLITKGYRGLVYSGDHDSKISFVGTEGWIRSLNLSITDNWRPWYFNSQVVGFTKTYSNNLTYATVKGAGHTAPEYMPKECLAMVDRWLSGQPL >OB04G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2139900:2141120:-1 gene:OB04G12290 transcript:OB04G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATLRLTGMDSALSALPIAALFVFDRPVDQPAETLRRALSRALVLYYPIAGRLVDGGHDGLGVACTGEGVAFVAASASCTLRDAMLFESSRPPAIALEELAVTFDGMCMEDPPLVLMQVTEFACGGFVVGVTWNHAIADGTGMAQFLQDVGELCRGTPLPSAVPPTRWDYSLPELPQDVISSTEAIVSCEPHDYASSYITVPMSFINRVKAEFSRREAPSPCSLFEVVVAAIWQCRARATMSSDADAGDPLVVVFTANVRKQAQAKPGYYGNCFTFQMAVARRGEVANGGVVELVERLKDAKRRIPYTFEMDGRRQPGGAAGGVLMEALRRDDALLVSSWWNLGFDDVDFGGGSPARVMYNMERKMVPSCFLCGRKGKDGVGAMALCVGKEHAEAFHAELGRLH >OB04G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2166406:2170489:-1 gene:OB04G12300 transcript:OB04G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLPGRRGGGGGEVPCKDAKHSKFRIKLALAVSTIAAVLLVMSCIIVVVKSRSRAKFEMIIGKNSNRKENIEELLDNYGSLAPKRYKYSQLKDMTGSFSEKLGEGGYGTVYKGTLPDGNPVAVKFLHDLTSNGEEFVNEVISIRRTSHINVVTLVGFCLGASKRALIYEYMPNGSLDKFIYAENSKITLGWDKLYEIATGIARGLEYLHRGCNTRIIHFDIKPHNILLDHDFVPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFSRRFGVVSTKSDVYSYGMMLLEMVGGRKNLKSSVENPSEMYFPDWIYRCLADLGSLHTFDMEYETEEIARKMAVIGLWCIQVSPNSRPTMSKVLDMFEKSADELEIPPKHCFYSAIQEDSSEQGSYST >OB04G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2179788:2179979:1 gene:OB04G12310 transcript:OB04G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSFPSWKQNRIWKCCGLEGPSCSEETTIYKMFRPEWGYIHKNEWAHSCTHSIPFTSLHSKP >OB04G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2179847:2185948:-1 gene:OB04G12320 transcript:OB04G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain [Source:Projected from Arabidopsis thaliana (AT5G43530) TAIR;Acc:AT5G43530] MLGDWPESPSQTVLYADEIAAVRAVLGRDVREERVVAALSRCGGNAERAINALLDAADGGVVDGTHTSGCGGGAAAADKTAVAPVKAERDAGGAAPNKPRVKVEVLDDDSNGCSTKPRMVKREVPDDCPVKEPSSTPHRVKEEQRCDGVPPRRGAATAAASGGGISLVPRPKKRSRVGDEVETIDLTATHPLPYLNARPIRAVPPPEAMEMYDPPRSVRATAPPPSKDWRMVVAPPDAEFGEFPEERDWFLVGRSYVTGLSTNRGRRMLDAGELVHFSFPSFERNYGGIKVSNKKAAALAEIVRFSTKRAGEIGKLSPEWTKCLVPLVTSFKVKIHGKIVFPTVELRLMQEIMLYVSFYIHRSVFSAGRDNSSWDMLAPANADYSTNPLYGLFRLLKLRAFAKADITPEELSAGKRPRNLRGDDNDEDEPMGIVGLESRRTAGQTFPEQGTDEQAISEATLNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDGDQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGQATTQFQSVTQSARGGILADAMGLGKTVMTIALILSNPRGEIEHDMRSSRDRDTRAQSSRSPVRGGTLIVCPLALLGQWKDELDAHSTPGALSVFVYYGGDRTADLRFMAEHSVVLTTYGVLQSAHKNDGSSVFHRIDWYRVVLDEAHTIKSPKTKAARASFELTSHCRWCLTGTPLQNSLEDLFSLLCFLHVEPWCDSTWWNKLIQKPYENGDERGLKLVRAILRPLMLRRTKETKDKMGNPILVLPPANIEVVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLSNYANILELLLRLRQCCDHPFLVISRADTQKYTDLDELAQRFLEGVQRDSGRRSAPPSQAYVEEVVEEIRQGATAECPICLESASDDPVLTPCAHRMCRECLLSSWRTPAGGPCPLCRNPITKSELITLPSQCRFQVDPENNWKDSCKVQKLIMILEGLQKKREKSIVFSQFTSFFDLLEVPLNQKGIKFLRYDGRLSQKHREKVLKEFSESQGKLVLLMSLKAGGVGLNLTAASNVFIMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQNVQARKQRMISGALTDDEVRSARIEQLKMLFT >OB04G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2198633:2200331:-1 gene:OB04G12330 transcript:OB04G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAATVTFLVLLSLPILAALLRGRLAAASSKKKRRPPGPWNLPFVGSLLHLIGAHPTVAFRDLARRYGPVMFLRTGQVDTVVISSAAAAQEVLRDKDVTFASRPRILVSEIFCYQNRDVAFAPYGEYWRMLRKLCTVELLSAKMVRQLAPVRNRETLTLVRNVQAAGGRPIVISDLLMSCATTITTQAAFGQACSEDLKDQFLSALDVGLNLSSGFCFGDLFPSLRFIDAVTGLRSRLWRARAQLDAVYDRIIAKCEAQRGDSLVNVLLRIRDKEEEHEFPLTTTNIKAIILDMFTGGTETTASTVEWVMSELMRSPEAMAKAQAEVRRVFDDKSPDDHESLVEKLSYMKLVIMETLRLNPVLPLLLPHFCRETCEVGGFEITKGTRVVINAWAMARSPEYWDDAEKFRPERFEDGTGFEITKGTRVVINAWAMARSPEYWDDAEKFRPERFEDGTADYKGTRSEYLPFGMGRRRCPGDIFGLALVELIVARLLYYYDWSLPGGMQPHEVDMELVVSATMRRKNHLQLLASPYKPVPL >OB04G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2211354:2212538:-1 gene:OB04G12340 transcript:OB04G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAATLMLLSLPIVVALLSSRLAAAAASSKKKKKTRRPPGPWNLPLVGSLLHLVGAHPQVALRDLARRYGPVMFLRMGQVDTVVVSSVEAAQEVLRDKDVTFASRPSILLSEIACYGNLDVGFAPYGAYWRMLRKLCTVELLSAKMVRQLAPVRNDETLSLIRKIQVAGRGGDEPVVLTSLLKSCANTITAKAAFGQACSEELKEQFLSAMDLALEFSSGFCFGDLFPSLRFVDVLTGLRSRLWRVRSQLDAVYDKIIAQCEAQRGDSLVDVLLRIRDEGEHDEFPFGTTNVKAIIGDMFTGGTETTSSSAEWVMSELMRNPKVMAKAQAEVRRVFDNKSPQDHEGEMDKLRYLKMVIMETLRLN >OB04G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2236566:2237644:1 gene:OB04G12350 transcript:OB04G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLKRKIPSVSEKDNCDGGNSRRVDASNTRCTVAHPSLRISQNEVNLDELPYDPADRRKISDYIGQKLQDEIRRKYLLRGLAFRGHDESRESKNKGNFQELVHLLAEQNDKIKKVVLRNAPANNQMVASEIQKDIVNCFAEIIVKSIIEEIDGDVFCLLVDESADVSGKEQMAVVLRYVDKFGLIKERLIGVVHVKETSASCLKSNIDKLFGKYGLSIKQIRGQGYDGASNMRGELNGLRALIVRENSSAYYIYCFAHQLQLVIVAVVKKNDDASDFFEMISLLLTVAGASCKRKDMI >OB04G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2240097:2254141:-1 gene:OB04G12360 transcript:OB04G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] MAELGANLVVINPGSANVRMGFASQDVPFNIPHCIARHITQRNDDRPRLSVRDKTLNCRATPSLNAERERAYDIIASLLKIPFLDEDMPSASQALPPKMGRVDALSSQQSKDDSKFTWTDVMDRSIKSSTSVEMPVDKDADVDPLQRSSPDGSDHDSEEIMYKEMIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHIYSAILVLGETFDNREIKELLSIVLCDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGADISRCLLWVQHRHRTWPNFETDPVNKPIDMLMLNKIKESYSQIRSGSIDAVTLVHSYEHEKSVGHQKTNLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEETWQTNDSLYSSGNGGFSMWDSYPMFPTRLKKFENIGLVEAIVSSILSTGRIELQRKLFCSIQLVGGTASTPGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGVLDIGRDAWIHREDWAKNGVHIGSGRKYKDSYFLQAQAMCYYNT >OB04G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2255307:2256335:1 gene:OB04G12370 transcript:OB04G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATKELEACRVDGNLELGQASCDNTGLDATSAHAGEDDVIVVIEAIVKTATKSPEVVRAFVRRLTPATVARHINWDIICERTNNRADKNIFREGLRGVEDQQGCPDGDPNQVDFKYGSEFRINLH >OB04G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2261603:2262631:-1 gene:OB04G12380 transcript:OB04G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATGKSKVVVVMGATATGKSKLAIDLALRFGGEVINSDKIQVHDGLDVVTNKVTDEERAGVPHHLIGGVHPEVDYEVADFCRDAARAVGSVLARGRLPIIAGGSNRYLEALLDGEPGFRRRHELCFLWVDAQLPVLRSYMHDRVDCMVEQGLVGEVRELFRLDADYSRGIRRSIGVPEMDAFFRHEASKALAGADDDEELRARLLAAAIGEIKANTCKLAHRQLLKIHRLRGLAGWSLHRLDVTRALALKVERTSSKAAQHAAWDADVVEPAARVVETFLHGGNVAGHGSGRDEQPMVGTTGAMEVAAEARCGLRLAVETAVALASRSGRGGFHGMEAAV >OB04G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2267407:2267679:1 gene:OB04G12390 transcript:OB04G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGSLAHPWRRLQQWRLDLAAGTHGSVSGHSRRPDLVVVATRTMDGVCDGGSDGNRGRIRLRDNGKARSRVGGDDDDDDKPHTGQHRR >OB04G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2269527:2273061:-1 gene:OB04G12400 transcript:OB04G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFMQQVSKNLGMAVPHIDIKTVIAALMFLKGFGGLLFIISSSFGAFLLLVYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRTGKTKTN >OB04G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2284941:2290181:-1 gene:OB04G12410 transcript:OB04G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNLNVGAINQQLSSLTLPTLEVHTDGNGSVGNATAPIAVEFGNVKFSEDEKKKIVANMLLSEVVLTDPKRVKRILNNRAYVAKSKENKMKYIAELERKVHVLQNETARLHAQVIVMQRNNDGLVSHNNELKIRLQAMDQQAQWKDALTERLTAQVQHLKVVAGEISSDHNVATGSLQPLSSYMDQLHQLLTLRQPSQTQQDQQQ >OB04G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2292464:2294937:1 gene:OB04G12420 transcript:OB04G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAANWRYTLYLRIEPDPPPAADLPEYCRDVFFDYAKNVKGLFDTLFMLLSEALGLKQSYLTDIGCNQGQMILCHYYPPCPQPELAIGITRHSDSGFLTVLLQDQTGGLQVLHDNQWVDVVPIPGAFIVNLGDLMQMISNDKFKSAEHRVVAQSSGPRVSIACFPSNPASTRMYGPIKELLSDDSPALYRETLARDYVAHYYSVGLGPKKAIYDFLL >OB04G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2296381:2297034:1 gene:OB04G12430 transcript:OB04G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARDLSRRHQLHQTLELVTMPAAYDRAAELRALDATLSGVRGLVASGATNVPRIFHVHGEGEWEGGSPDQQVSSSGDQEPSAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAAPGRHAGDLPVRSHCSCLTFLLPLSTTLLV >OB04G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2301337:2303023:1 gene:OB04G12440 transcript:OB04G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVCRDELFEYAEQVKNLGNTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQIFHEDRWVDVTPTPGAFIVNVGDLLQLITNDKFKSVEHRVVAKNAGPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYRETLVRDYLTRYYAIGLDGKAKTALSDFRL >OB04G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2307922:2309781:-1 gene:OB04G12450 transcript:OB04G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIRPLLLLGAWSVAGNMGEGIIVGVLDSGVDPRHASFGDEGMRRPPPKWRGRCDFGGAPCNNKLIGGRAKTLEDHGTHTASTAVGAFVGNVEVERFNLGTASGMAPRAHLAMYEVCFADKCSSTEMLTETEKSAFIDGVDVLSISASDETQKPFYDDLIAVGSFSAVMSGVFFSTSAGNNGPTPATVTNCAPWQLTVGASTMGRRVLSKVQLGNGLVLDGEVPNSYGSVNNKPIVYVRGNFADGTLKVVDVRGKIVLCDRIDDQETLEKMIGDAGGVGMIAISDQRHLLATTPLGTNFMAVSRVSYADGETIKAYVISTANPTASLRFAGIALNASSLPAIAEYSSRGPCDLPNIGVLKPDITGPGTNIVAAVPDKRPGASTAARTRTFSAKSGTSMSTPHLSGIAAVIKKAHPDWSPHVIKSALMTTADVTHPDGTPVIDESTGQPASHFAMGAGLVNPTKALDPGLVYDLTAEDLIPYICGLGYNDSFVNDMIAQPMQNVSCAQSKKIQGKDLNYPSFLVTLTAAAPVAEARRTATNVGEPSEVYRAEVVEPRGVAVEVVPDRLEFGGALQRKEFTVRFKRGLKPAVNTATAGSLRWVSRKHSVHSPLAVLLVA >OB04G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2317548:2337483:1 gene:OB04G12460 transcript:OB04G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGALGVLVVAAVVGLLVGGGVGAVPAASGLRDGGGRVVLAEGGGGGGGRFGGGDGVVRGELPCRSYSASSRSCEELNGSGSFNTTCVISSSSSLDGDLCVYGDGNVEISPHVKIICPFAGCYIAINVSGSITIGHDVDVIAGSVSLYATNVSLDHHSTVNTTALAGDPPPQTSGTPHALEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQLGGDGGGRVMLRASDFLNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISASGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLCENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSLVQAPQDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGLGIYNGMRSEGGPQYGSADLPCELGSGSGSSESPDNTAGGGLIVVGSMKWPLSKLLIYGSLNSDGESHRGTQTNSNGTFKGGIGGGSGGTILLFLQGILLEKNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIAAVNGVVASSGGSGNDDGHFGESGTVTGKKCPVGLYGTFCTECPVGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAVILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVWQRNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHHHEHVSEFIDRSRKNITGPFKGSKQVNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLDCKRDYLFPFSLLLQNCRPIGYAETLQLLICIILLGDFSVTLLMLVQYYWISVGAFLAVLLLPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNTTSVINIIVAVIYGALYSGLSSLSVSSVPHVPNTKSFKSTEDHEWWILPIILFLVKSLQAGFVNWHVANLEIQDYSLFSPDPDRFWAM >OB04G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2345238:2348705:-1 gene:OB04G12470 transcript:OB04G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MGADRAIHVLHDPDPARPLLPLAVAKILHALALQEKPGLLILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILDKEKATVEREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLNVDIRSDMEVVQVTEPPKRKAGVILSSVDELIDKLKNEARVL >OB04G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2351791:2358974:-1 gene:OB04G12480 transcript:OB04G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHQASSSEQVEVAVERSPHSLAAAPSRDELLSMVKKHSHLIGWTVVDAEDDSSDVGMDDKFWLEMLDLFFVRGRVSNRREEDDLVFFVNNMKLYGNGFNGNMEDPPPFFVRRWAPMLERIININSAEVDWERSFYLNIVAHTSYTVTVAICSIRDLRNRVEKSKPLSPIYKVTKTVFASPSRVNFHLDRRKAVETTPAYPNICFSVDDFDDTFDAVQVLSDPEHCYCVVLNAHDGAAFPEETELKNTISNVPSGVNSGSNEEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSFLSLGHDHTKLDKLYMRGPEGRGEVEVAVSGIADQSHERLKKDPGDSFRILVHRAASAASKLAKHAYEAASTNKQFDDELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >OB04G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2371463:2375732:1 gene:OB04G12490 transcript:OB04G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTVGPICRTVADAVQVLDAIVGYDSRDAKVTRAASKYIPPGGYMQFLKPDGLRGKRIGIPNGFFNFPNGTVQQIVYQQLIDTVRKQGATVIENLDIANLTVIQDVLNNGEQIVLPAEFKSSLNAYLSDLLYSPVRSLADIIAFNDAHPIEERLKDFGQLVFLVAENTTGIGRAERAAIRRLNKLSADGLEKLMAEKQLDAVVTPNDSISTVLAIGGMPAITVPAGYGGRGVPFGVCFGGLKGYEPRLIEMAYAFEQVTMVRKVPKFLSTTF >OB04G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2383709:2384008:-1 gene:OB04G12500 transcript:OB04G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAGGRGGARRLGERVGVDLDDGVEERVEARDLVEVEADELHGGEGAVPEAELDGVDGGLVEVERGGGRAAAAAERGGGRGESQDGRPSQLRRQLSHHSA >OB04G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2383718:2385755:1 gene:OB04G12510 transcript:OB04G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPQLAGAAVLALAAAAAAFCCCCCSASAAFDFHEATVDAIQLGFRNGTLTSMQLVRFYLDQITRLNPLLHAVIEVNPDALAQAARASSPSCSGPLHHGVPVLLKDNIATRNRLDTTAGPICRTVSDAVRVLDAIVGYDALDAEATGAASKYIPNGGYGQFLRMDGLKGKRIGTPNGAENAESDRQLQLDMMSSDIHNPLLTIDTMREWESRTGIRASPKMGTDNIGQ >OB04G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2384276:2385238:1 gene:OB04G12520 transcript:OB04G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPFVLSADPCGSSSGPAVAAAVTLGTETDGSILCPSSLNSVVGIKPTVRFTSRAGVIPISPRQDTVGFSTDAVWIVFLK >OB04G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2389387:2393304:1 gene:OB04G12530 transcript:OB04G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPASKNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDAIVGYDALDAEATGAASKYIPRGGYGQFLKMDGFRGKRIGILNGFFVKPYGKKQLDVYQKHIAEMRKHGATVIENIEIVENLNETTKIHLWSIDLVLMQAEFKLSLNAYLSDLLYSPVRSLADVIAFNNAHPVEERIKDFGQSLLIDSEKTNGIGPDEKSMLEIIDYVSTEGLEKLMRTHRLDAIVTPNNDASSFFAIGGMPAITVPAGYDDEGVPFGICFGGLKGYEPRLIEMAYAFEQGTKVRRTPSFKA >OB04G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2394869:2395676:-1 gene:OB04G12540 transcript:OB04G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSVGSRALVLTVNYFTVPVYNLHSKMLIRAWPGSYSKTTPVYDLILRFAGRASQFDPITNKI >OB04G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2417579:2422118:1 gene:OB04G12550 transcript:OB04G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRLQLAAAIIAVAGACSAASASFDLNEATVDAIQLGFKNGTPTSKQLVLFSLDRLNPLLHGVIEVNPDALAQAERANAERRRSPFRCGHPLHGVAVLLKDNIATRDRLNTTAGSLALLGSVARRDAGVGARLRAAGAGILGKANPTEWSAFRPTPNGWSARGGQTLSPYVLSTDPCGSSSGSAEAAAANMAAVTLGTETDGSILCPASKNSVVGIKPTVGLTSRAGVIPISPRQDTIGPMCRTVSDAVHVLDAIVGYDALDAEATGAASKYIPNDGYGQFLRMDGLKGKRIGIPNGFFTEEIYGKKQLRVYQKHIALIRKHGAMVIENIGIAKNLTEVQNVLFSNEHTAMLAEFKQSLNAYLSDLLYSPVGSLADIIAFNKAHPVEERLKDFGRPYFIEAENTNGIGPVAKAAIQLLNKLSDDGLEELMKKNQLDAIVTPNNDGRVFFAIGGMPAITVPAGYDDQGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKH >OB04G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2417845:2418396:-1 gene:OB04G12560 transcript:OB04G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLGEMGMTPARLVSPTVGLIPTTEFFDAGQSIDPSVSVPSVTAAMFAAAASADPLLDPHGSVDSTYGLFIVWPPRALQPLGVGRKADHSVGLALPRMPAPAARRRAPTPASRRATEPSSASDPAVVLSRSRVAMLSLSRTATPWSGWPQ >OB04G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2422433:2424576:-1 gene:OB04G12570 transcript:OB04G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEFFNCFESSTRLLRMKGSKATFPNICASIQHLSERRFTYSHLAQLKYIMPEAIVINKILLRDETTCCMKPDLQVNLLVDAVEGVAKQKGETGYSALRRIFRQRLVDFFRDHPEGDDIPEHDLPHPFSQTRSSAPLAVPEDAPKPVCALPSSSVADRQPVAMSHMSHSFKRMFSQRSASAAAITSTASPLAKVEPSIASPLSRKSPLGSPVSGGVSVVDECHAQEKNSKDVTLKFGVSEGTPAKFVSTPVRLMAETPVLQTPKRPVSSTSCDTPPLKLAKRSARTKLFMTPTKDAGDLDEEKQSTSASALDGDDELLSFLPKSLLQSVKSKEKRALEEKQTGFADRIKREKLIASLPSIFDIIFLIYQSRQRSVMTKQELIHKIIASNPKIVDRGEVEDQLRLLEEIIPDWISEKTARTGDVLCCVDTAMSQAEIRQRLYAAE >OB04G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2433301:2434266:-1 gene:OB04G12580 transcript:OB04G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRRRFPPGYMHRDSLLPTQLWEDQLKHLILLTPPRDEHFYRKTLAKPNLLRQINEIPQNSAETPAPRSRPRKSPTDLTPSHTTTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEIGKMDGGWRGGVAACFWGGGWGKVRAMGAWAGIRAVRCGIRRPRRWVRGRVCRDGSAASGGRGRLCGV >OB04G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2437675:2444487:1 gene:OB04G12590 transcript:OB04G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHKITMLSSSEACHLGSSSNNQAMDQQNLLPSNPSADEQNLLPNTLEDEDYPHYLLSSHEVEMPNGRMAGQQNTSMNLWDSAGSSSMGYVADHDSLFHAKREHFAPPLSIRAPLVIGGRRREGSSSLPSQSLNIDLNLNQADQFESENVDVVQSNGQPGINAFPLNRSLSITEHVLRHTNSSSATGNSSQIANLSNGTTEQGVNIFGDDRSSCKRKNIDGSLAESSANGSSRNHQRNNNILEPSPSSHESTSGLTVPTSTNYVFSFPPAEQLNQNTNMSGNAMLSHHYSLYGGSHESERFLRNTRMRISPNEHDQSSSNLLPEGSLRCSVYQPVQQQSLFIPVQPRASSSSTSTLSRPYVPAVTEFSQNLHRASSSGNFGSRIGISPSSADTTNQLSSQDPSSSSVRNNFPEPLLLGSLFPSDSTELLSVPLGRSNQQNSSSTIRTAVNVGPQQIPGLNASQHTSSSRGSVDIARRSLHASSIPQSRSSSITSQQHRGHLSTSHEIRHHQPGSSSRANQLHYARAVTQSVDRQTSNYLDLQSFMQSITASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEEKIRTGLKQWKYASILFEEPLAGVEPCCICQEEYAAGDDMGRLDCGHDFHTACIKQWLVIKNLCPICKKAGLGT >OB04G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2444418:2446176:-1 gene:OB04G12600 transcript:OB04G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERKVLDALDTAKTQWYHFTAVVVAGMGFFTDAYDLFSISLVTKLLGRIYYFDRDSKRPGTLPPSVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGGPPPLASLRRAPQRRPGGGFTAAVFAMQGFGNLTGGIVALVVSAAFKARFDAPAYRDDPAGSTVPEADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVARNDKQAAADMARVLNVEFVDEQEKAAVAEEEARRRDREQYGLFSREFGRRHGHHLLGTTVCWFVLDVAYYSQNLFQKDIYTAVQWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDVVGRFAIQLGGFILMTAFILGLAVPYHHWTTPGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKMGAIVGAFGFLYAAQSTDPSKTDAGYPPGIGNTDPNNTDPGYPPGIGVRNSLFLLAGCNVVGFFFTFLVPESKGKSLEELSGENDMAAAAANSYRQTVPDSGQAE >OB04G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2444730:2445730:1 gene:OB04G12610 transcript:OB04G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYPASVLLGSVLCAAYRNPNAPTMAPIFPAADEMPWHVERSLAGKISAGTMKVVELGPKLAKKKVLRVVGHVQHEPAHRRAQQVVAVAPAELPGEEAVLLAVAAPRLLLRHRCLLLLVDELDVEHARHVGGGLLVVPGDKRRVARRLGHLHPPVVGEQRRDGAEHEHDAPRVVGFRHGGAGRVVPVRRRVEPRLERGGHDEGDDAAGEVAEPLHGEHRGGEPAAGAPLWGTPQ >OB04G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2446334:2446516:1 gene:OB04G12620 transcript:OB04G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKSWNILVCSCMDSFNLLWFVCMCAITLTQCSACPNLIGILAQLVSGLEHICAIFLKYTF >OB04G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2463448:2464671:1 gene:OB04G12630 transcript:OB04G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYANKRTRGAFIAAVFAMQGFGNLTGGIVALIVSAGFKARFDAPAYRDDRPGSTVPEADYAWRIVLMFGAIPAVLTYYWRIRMRETARYTALVAKNAKQAAADMTKVLNVEIVEEPDKAAELAQREQFGLFSRQFMQRHGRHLLGTTVCWFVLDIAFYSSNLFQKDIYTAVQWLPKADTMSALQEMFKISRAQTLVALCGTIPGYWFTVLFIDIIGRFVIQLGGFFFMTVFMLGLALPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPATASRRRRGRPAPSWGPSGSCTRRRTRTRTTRTPGTRRASACATRSSSSPGATSSGSSSRSWCRSRRGSRWRSSPARTRTTTICPRRAITGLRRRRRHDIQYILFTNNGHPCCVVQ >OB04G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2469701:2471272:1 gene:OB04G12640 transcript:OB04G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFPATAHPLPGDPFTIIPIRAAGFKENAKKDEKDYVFRFAKRLRSSAPGVTQRRREMQRLSMICTFSGPDEDVILGARGKKLRTRECGWCHMRDGHYANTCPKNPTNFAKKRKVANRGKGKRGRPRGGRARGHGTNLARAG >OB04G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2472449:2472685:-1 gene:OB04G12650 transcript:OB04G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKSCATETPKEVWQKPAKIDDATVEVDLPEELMARYELLEEFRVSEPPPPILPDPFPLSPTMEEGPVVTAEDLGYV >OB04G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2488077:2490450:-1 gene:OB04G12660 transcript:OB04G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGSQQQLRGLHAPALDMARTQLYHFMATVIAGMGFFTDAYDLFSISLVADLLGYVYYQGRLPRNVQAAVTGVTLCGTVPGQLVFGWLGDKMGRKRVYGVTLLLMVVSSLASGLSFSTRAPAHVVAVLCFFRFWLGVGIGGDYPLSATIMAEYANKRTRGAFIAACFAMQGFGNIAAGIVGIILCTAFMNSGLSTIDYAWRIILMLGAVPAALTFYWRMKMPETARYTALVSKNAKKAAMDMSSVLNVDIEPDDEAVGELASQDQYGLFSLEFLRRHGRHLLCTAVCWLVLDVTFYSLNIFMKNIFQDTRLALAVPIAVAVCATLPGYFLTVAFVDRVGRVRIQLMGFTMMTVFMLCLAIPYHRWHKHNNKYGFAVMYGFTLLFTNFGPNTTTFITPAEIFPARLRSTCHGISGAAGKIGAVVGVFAFLYLKKHSTRNFLFVLVGCNVVGFVFTLLLPESKGKSLEDLVGEIVESAPPDDGHEVGSPQYVHTLLL >OB04G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2499768:2500083:-1 gene:OB04G12670 transcript:OB04G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICSKIMSTARANFVVLAIIAFLLIGTEVGDAARGKNPGRDFTIGVMDGHNPTAYIPINTQSDSGDMSRKERTLAGHSSDRKLMASTDGGARHETLGWYCQYMGKK >OB04G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2511850:2512170:-1 gene:OB04G12680 transcript:OB04G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKANLVVLTSMALLFIGIGIGDAALLGNPAAELSLGRKDIGHNPTVYGANTSSNSGDMDRDQEHSAVSHSSERKLVGTDGQTDITDTARHYNPQWYCHYKGMC >OB04G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2528389:2528709:-1 gene:OB04G12690 transcript:OB04G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKIMSPARANLVVLVGMAFLFIGIVVGNAARGNNPGADFVLGRMNGHNPTANGANTHAISRDMNKGENSIAGHSSERKLAANTDGARTESLDWYCKFTGKKPCP >OB04G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2534607:2535464:-1 gene:OB04G12700 transcript:OB04G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKSTACSLSLMERVVHRMDGTTAIWVVRLLGHLRSDRSCLDALGEYTGDGSGFNLKIRDVRRSDIMWDT >OB04G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2556059:2556277:-1 gene:OB04G12710 transcript:OB04G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVGIVVGDAAGGNNQGTDSALGRVEDHNPTSYGANSHISGRKLAASTDTAHTESLYMYCKMTGKNPCP >OB04G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2570094:2570388:-1 gene:OB04G12720 transcript:OB04G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLSPARELSGYYDSTEFCQFGSSIYDFVFMDVDKLPFSSTLGWHSLNVNGEVQKRKGLRWIPRYPETRKGSDEMFRGVENKHRSGDSQIGQPFELP >OB04G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2576497:2576736:1 gene:OB04G12730 transcript:OB04G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLLLLTMVIANTCTVTSHMASWEEPRRVMKMTMATVEGTSIDNHHAIPRPEYDSWSSPGNMPGGGHDIGSQEANP >OB04G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2578966:2579373:-1 gene:OB04G12740 transcript:OB04G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWLSTLVPLPRACRRMMIRKEARINKRRMESNLEELNSIGKDQIRSASTVS >OB04G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2579186:2579449:1 gene:OB04G12750 transcript:OB04G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKSRIKIFAVLLVFSMVTQAMAIRGMGREKKEKTMEGTIAKHTLQALGSGTSVDNHHAIPRDQYSNHGGDDGGGSNGTGDMNN >OB04G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2591897:2592088:-1 gene:OB04G12760 transcript:OB04G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKLSKLQVNERFDHIQSCVALKTLYCSKPYRILRITMLEDIVNCTFALMFPSKLINNIWG >OB04G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2598078:2598332:1 gene:OB04G12770 transcript:OB04G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLVVLLLFINLCTGMPRNMAKDGYHGTNSIQKVMRNLMSGTDGRNGPPSNDHQCPLGTYPNCQGVSQSTHGTEQDVGGN >OB04G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2617968:2618680:1 gene:OB04G12780 transcript:OB04G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGAEINEVKHAGDVQAKAGAVNTPQRLEYLLFLFSNPTLTFKACPDTEYFSVQLKTVLTHPIALPMQTQE >OB04G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2620267:2620977:1 gene:OB04G12790 transcript:OB04G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAMNIQNRTAAIIRWAIAYFHHLAHAQYPYQQFAIWAAKCSYQRFQRMKPVFLTVIVLLLVTSLTALPRKFAADDGQLNGDSQAKMDVDIDGKPSSGYGEHVCPRDMYPNCSQRMKKPISSNHLG >OB04G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2621497:2622118:-1 gene:OB04G12800 transcript:OB04G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIAVLLLLVVTTEVVPSAEARECETASNRFKGMCMMVANCANVCLTEGFSGGKCSGFRRRCMCTKEC >OB04G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2632628:2632942:-1 gene:OB04G12810 transcript:OB04G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYSTAPPPETLKHELNLNSGAAAATSPLNGVSSRMKKLACCCSIALMGGIAEVGSAAVEEEPEAIDGKEVAALGPLTVCPTQRSDLHRRPHPNPFATGGGGC >OB04G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2632803:2633036:1 gene:OB04G12820 transcript:OB04G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQANFFIRDDTPFRGDVAAAAPEFRFSSCFNVSGGGAVEYDGAMQQPPAKYVDPTGSTKMIELNGDEESPSIDQ >OB04G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2635673:2636309:-1 gene:OB04G12830 transcript:OB04G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIAVLLLLIVATQLAPTEARDCETASSKFTGMCVIAANCEHLCKAEGFLGGACRGFRRRCMCSTMC >OB04G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2636662:2637358:-1 gene:OB04G12840 transcript:OB04G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRSGEQVSRTIVFDVLDGVKVAISFLDSALRDCLAVFYDGSSTSTLKRCAYKGGYRCNTRHIQNYVSKKINIVVDGAVF >OB04G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2656173:2664341:-1 gene:OB04G12850 transcript:OB04G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLEFWYYAALLILVGLLENAQFQLDIMSICINYEFWTMMVALGFSEAVSVRVSNELGARRQKEAKFSVAVASLTSAMIGTIFMSIFFIWRTSLPRLFSNDEEVVEGAARLGYLLAVTVLFGNIGPVLSGVAVGAGWQMQVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLAGTSLQMAIVLFIIMRTKWEAQAILAEKRISELGGQRATIDRT >OB04G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2678983:2679817:-1 gene:OB04G12860 transcript:OB04G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRKFFPAIVVILLVVVATGVSPVRAERECETVSLRFEGPCMVESNCANVCRTEGFVGGRCSTFARRCICIKPC >OB04G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2691513:2691878:-1 gene:OB04G12870 transcript:OB04G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCSTVLLLGLVLLFSNAIVEVKASQNKIALSRKGLKEERKLAAATGTAPSLGSLQGQSTTSTPNGGVSNNSADSTNADTGDSSSAYTPMGTATSTDSHHDMSVDQYRKIIHNNQMNKP >OB04G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2697650:2698971:-1 gene:OB04G12880 transcript:OB04G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLATPPRTNSKRLREYGSHLSSSVRHWGHTMGVADHTRMKASMVAVLVMMEMLIMSVIVYADDASSHVVMDEEITVVANSHGKNEGSVSKDGIAPGRKLISEATNTDSSTAGVSSTSSDAGNAGTSSAGASGTSSAGAGGTGIDSNYYVTIKGYKDYMKKFGHNP >OB04G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2703579:2703917:-1 gene:OB04G12890 transcript:OB04G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGINMVYFERKFYRIFVKSYKKPVATDGEMSRCNRDQLPDCFHQPSFSYISVQQLTLSVLHERKRIICEHELAILLLSQARVIVIFLKRKNDHGTHMLMTCINSTFFGKK >OB04G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2705252:2707519:1 gene:OB04G12900 transcript:OB04G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIGGWVASALISKLVDKICSYVGDQYEYQREDTRSKLISLKDSLLRIHEVIHKAERLQGKDTNIGNLLRGIKDAAYQAEDVLDLFDYRVLEAQAEVMDKATTQAEVMDNVTNQVEVMGKVTTSSISSSIADSYASCSSSSSSITTLGTASSIISSDSTVKRSILALKRFLFSDEDLTKLAVVVNIFNEIDNRMKTLLELLKLENRTPEQPVQWRTTTSMLGDTKFFGRVTEGKHLKNLLMKTNEKSRQPYDVISIVGIAGVGKTALVQKVYSYFYDKQVHFDFMAWLHVSDKLNVERLTKEMVQSGNLSKSADLSSISSLDQVQRILKDKLKGSRILVVFDDVWNEMSSQWENLCKPLQSASKGSKVIVTTRSQNVANINGATETIHLDGLEGEDYKEHFLRCAFHDADPFDFPRLKKIGEELVQKLAGSPLAAKTVGNLLKVRLDEYHWRTICGSKLWQIEQNEDGIMPALRLSYERLPDHLRQCIIYFTLFPKNYQFRGDVLVQMWRAHGFINKETPDETAYRYLDDLLQVSFIRKAANLEDHYVVHDLVHDFAESVSNGEHFRIEDNFHVSIPRNVRHLYVNASNISMVYASFEKDREMKNNLRSLIICKADACSWSTARTANFNYVLGETLKQLRSLRVLVLRHPHGILPNNIQHMVHLRYLDIKESYKFTRMPTSLFGLYHLQALSLQPHYENKMKIGLENGISRLTQLRYLQAPPGIISGIKLIGKLTILQESNHGNAKKRKRTAS >OB04G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2705183:2712451:-1 gene:OB04G12910 transcript:OB04G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAEREGRPRGLPEELVVWEILLHLPPKPLLRCRLVCRSWRRLTSTRAFLHAHHRRQRPLPFVTGYDIDHGGPTFVDFLALDRRDAAAPAARLQHVARFSDTAIFVKDSCDGILVLSGRRHDTYAVCNPATRQYGGLPMLAGFTFMGLYQHRPTGEYRILVVRRTMSTFDNISYHVYTLGSGDMPRCIGWWQWVAGCPPVLFNGSLHWFETLGDKILVFDTTAESFRWMRAPNIRCKFLFETDGTLGIFGGAAVVDIWVLQDYEREVWSHKYRVEMPVPEIRGKLDEGDNWEVTVFSDGGHLLLLVEGKQCLLYFDTDGKLLATFQHDGHTPWMTAVMLKQSLVPHAFFPLLEDNLDSFHFSILKHQFCGHNIIFQSYVEHALLVDAPLVLFGATNYQAMGNQ >OB04G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2717351:2718739:1 gene:OB04G12920 transcript:OB04G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMSHALTHCTQLTRRDMVRMAVTKSSPLLVGPAIEPDQRTTPPAAGVRTIDVSSFDKPLAFSPFTSFAIFTQAIREPAETIRKALSRALVHYPPIAGRAVVGAGDEIRIACTGEGVEFVAARASCALADVGLFDQPFAALLRELAVDYAAGHCREADPLLLMQVTVFSCGGFVVGTTWNHVVADGTGIAQFLQGVGDLARGLPRPSVPPVCCGDTPPLPELPPLVTALEKGVVSQEHKDFVYLDITVPSSCIDRIKAEYRAGNPDDEACTVFEAVTAVLWQCRTRAVMSDDSGAADTPAPLIFAANVRKHVGAMDGYYSNCITSQVVAPTSRVVADSDINDVVRIIKRAKKQILAQFIAPAGEEKGDGGVSAEQLADGALFGYSSFYVLSWRNLGFGEADFGGGTPARVMCHVDPSSAPSCVACLPCATKDGASVLSRCVKEEHVDAFVAELAKFSSKF >OB04G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2731699:2731857:1 gene:OB04G12930 transcript:OB04G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYAYIQLFKNYKHINASRLIELIKDKVNDMLHFTCAQVALYLCQSCTFSN >OB04G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2735021:2736349:-1 gene:OB04G12940 transcript:OB04G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGASAVSLLVFLVFSSATVSLTCGAGLHLELTHVDAGGSYTTAERARRAVERSQRRLGCKPSAGDVHAPVKWAGLAAGEDVAEVPIGDPARRVEAIVDTGSDFIWTQCTACLPDHCFKQNVPLYNYSASHSAKPVPCTDKLCKGDVAVYGCDRQDGSCVFKVGYVSGAFAGHLGKEVFTFPSGAVELAFGCVSRNSIGEGGFDGPSGILGLGRGVLSLVSQTAAGKFSYCLTPYASGDKVSSHLFVGDSANLTGESPVLSIKFVKNPTDRPYYLYYYLPLVGITVGKTRLPIPSTLFDLRQVGPGNWSGGVLIDSGSTISLLVDGAYKALAAELTKQIGGNPVPPPSTTLAEMLDLCFARGDVDKKAPALLLHFSAGVDMPVPPANYWMPVDNSTACMAIARSNDTSSIIGNFQQQDLHVLYDLEQGQLSFQPADCSKL >OB04G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2782501:2785675:-1 gene:OB04G12950 transcript:OB04G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKYDLPLLDYKTILSLWQVNMRAGLVQSLNLDEALESFSKRKDEWTAEEAVGSDWFSSYNYVQNGDVVYMGDDKPCEIVGIGSVQIKTHDNLTRTLKDRNLLVGCTQGNMKFYEHYIFDAFDDYCRDEGIVKHHTILYIPQQNGVAERMNKTIILKAHCILSNAHMNRHFWEGVLFSMSTSFVFNKSVMFNDSLSTNVTLDGSDEVHQHINMQVENVVDQETEIVDNDVHDIVQHSPPILQPQDQFIAHSRPKRSCGPPICLFEECNMVDYALSYAEPVENTYESELKEEKYMDEPEGFIVPGKKDYACKLKRSLYGLKQSPRQWYKSCAVSWKATLQPVIA >OB04G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2787948:2789075:1 gene:OB04G12960 transcript:OB04G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTALSQALAYYYPLAGRATTGAGNGGQLGISCTGEGVAFVAATASCALGDVKLFDPPFAALLKDLAVEYPEEGCGEADPLLLMQVTEFACGGFVVGMTWNHGVADGKGIAQFLQAVGELARGLPRPSVLPVSCGDDSLPELPPMVAAMEKSMVRLEDKQFAYLDITIPASVIRRVKAEFAGDGGYSGEPCSVFEAVAAVLWRSRTRAVFSNPDAPAPLVFAANVHKHVGASGEVANGDVKDAVKLIRRAKEQIPDQSKGAGGVATNAAAAGMEEKQLAALSGYNAFFVASWRNIGFEAVDFGGGRPARVMCHVGPTAVPSCVACLPRDGDGASVLLLCVKAEHVGAFYAELESLR >OB04G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2794027:2801572:-1 gene:OB04G12970 transcript:OB04G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMVTVLLLPLPAVVRGQQLQQPPPELNNLTRDVANFISDKFSFCVADPVADWNEAFNYTSDLGFVNNCMNETGGDLAGRLCTPEEVKFYFSSLYDRRGEKNLFLKTNINCNRSSWVQGCEPGWACSVGSVPASDKNSIPPRTSNCRPCCEGFFCPRSLTCMLPCPLGSYCPRATANTTTGLCDPYKYQITPNMTSCGGADTWADFGSTEEMFCPAGYYCPTPTKKEPCSKGHYCRLGSTTEDKCIIKGSCKQKTENENVVILGGCLVGLLFVFLLIIYNCSGQFLTIREKRKARSRENAIQMARQQLKAQEGWKAAKRLARKHVSDMQSHLSRTFSRRSRSFRQHPDPENSGHRLQEAPLFMGQELSDSAVFAARSTDEISEATLPSVVVDVSNDGEIVAGKAVAPKGKHRSTHTQIFRYAYGEIEKEKVRQQENKNLTFTGVLSMVTEQQKEITRPLLKVEFRDLTLSLGKRKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLAATTAHRNKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGINVPERVNPPDHYIDILEGIVKPESGINAKHLPLNWMLYNGYEVPGDMKDDLKAMGEQRPHMGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGVAGICLGTIAKLSDPTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTVVKPIVYLSMFYYFNNPRSSIADNYVVLLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQKNTPAILKRLCYAKWALEGFIIVNAKRYPGVWLITRCGLLYKTGFDINHYMLCILILFMYGLFFRIVAFVALILLKKR >OB04G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2824846:2829032:1 gene:OB04G12980 transcript:OB04G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTTASLPRYFSSQGRVRRARNGRTQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDMGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTSVPAIKETIEDHDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAEPAEGTLTV >OB04G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2830313:2834509:-1 gene:OB04G12990 transcript:OB04G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVSNLLMEIRNEWAVQALVLFSFTLQVILLSLAWIRRHSVSVAARLVLWMAYQLADATALFTLGHMAISSRTPEEQPLVAFWAPFLLLHLGGQDNITAYSFEDNRLWLRHLQTLVMQVLGASYVLYKYMPEKDDPVMAAAVLIFAVGILKYGERIWALQCARFDSIAKSLDEQDASIREIQGNKIVEDVLRQAPAGSGVGVEAVLMASHGLLDVCQGLFIGLRGAWRHNATSVLGYFKYHKCLDRLMEMELSLMYDTLYTKAAVIHTWYGCCIRVVALVATVAALFLFHRSDMDGHSRKNIAVSQILLAGGLLLEVISMVRAVGSTWTLLFLHRTGRRWLHGELLSVRGVFRVATHRRWSSSVGQYNLLMACAHGSASAAVLALTGDREPPNKATAWLLALAKAMDHYWARLFYTKYSVLTDSTKQQILEKLLGMREKGEVIGSLRGLRTLRKLKLEGRIGWTIGDIGFEDSIMAWHLAPAICLEGSGYCSSNSDQSRSELVNAISALSNYMMFLLAFGRYMLPGPVRRRSYEQVRDKLQEFMAGGVSSSSPRERLDWALREGLHARLHSSGPPEQFDVGVRLAGVLYHRFDKLDVIFGVWVEMLCYVANNCSRESHARQLSSGGELVTVVWLMARHVELST >OB04G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2839661:2842330:-1 gene:OB04G13000 transcript:OB04G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKGQLTQLQEGGFRRRSIDWQWRFMNHTKCRASAHTPVVKLVVHQEYAEKIKMGQVSNFLIDIRNEWAVQALVLFSFTLQVILLSLAWIRRHSVSVAARLVLWMAYQLADATALFTLGHMAISSRTREEQVFMAFWAPFLLLHLGGQDNITAYSFEDNRLWLRHLQTLVMQVLGASYVLYKYMPEKEDPVVAAAALIFAVGILKYGERIWALQCARFDSIAKSLDQQDASIRETQGDKILGDVLQHRSSMDVEDVLMAAHGLLDVCQGVFIGLRDGSRSYVRHVLQSFQMCDLLDKLMEMELSLMYDTLYTKATVIHTWYGCCVRVVALVATAAAFFLFQLSSLLLLDGHSRKNIAVSQILLSGGLLLEVISMVRAVGSTWTLLFLHTRRWQWLYDELLSLRRVFGVAEHRRWCGSIGQYNFLTACAHDTAAMAAEKGEQIGSLRGLSTLRRLRLDTHVRWTIEDVGFEDSIMAWHLATDICLFGDRSNQRDLVEAIRVLSNYMMFLLALRRFMLPGPVRRSRYELVRDDLYSFMRQDMSPSPEDRLDWALRKGFHAYLNLNSGGGHAEFHAGVRLAALLYHRHDRLDVIFGVWVEMLCYVANNCSTESHARQLSCGGELVTIVWLMARHVDMS >OB04G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2869089:2870187:1 gene:OB04G13010 transcript:OB04G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSIASLSLVPAFTVRRHRRSTAGFDSVEAPVFLEVIAEVLRGSEEGKWHPSLIILT >OB04G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2907880:2909235:1 gene:OB04G13020 transcript:OB04G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPHHRHSRRRTEPNFNLRNYLLLTKALAFALVGEKLSKAEYKPPYSTIVGHPINEPVPLSQQSSATSVDQLSRSKSQVLPELKSQVFATPGVVLKLKMESFKSQASLLQLLQLFLSRRRYPSIVF >OB04G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2965139:2966459:1 gene:OB04G13030 transcript:OB04G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKELEVALGDGGVVADDWREMEAAASWRAAGGNLRDVGVRATGDVGGGWSREERRYFIDVVRGGAPTGERPSVARRAVASTVTVDGEGGLDAMVAAGAVAVAADVGGTRSAEMACCTALTAAAEAASVISVAAAMSSAEADSSWDGALSGWPRRLHQ >OB04G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2968266:2971635:1 gene:OB04G13040 transcript:OB04G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIAAPAGGTAGRSEAVDLVLCLHHSGSSVPRKRARLLNEAVRVVVDLLGTNDRLAILPIQGALQPISDQVWVKARNELPEMAITSNLPLVTALGSAESVLFERSREERERSGHIIIISNSREEIGSQESLLPWRFQSLHALGFRSADNARAMYSIAANSRECTYGVLDDEDGRITKVLDATMKRITSMAGATMPIEVKLKCEQNAILSSIDSPLVSYFISSDKKAGIIWASALLSCSGTKFVVHLSSMGDGNFPPDNPEEWSNLLKVEVKHDQVSGKAHIKGQLQGEVVAVKKDMDGDSRSKKPKKVASKEVAAEMVRYMAVTLVTDIVATEKRDWEQLHAAAQDLCDRWATHKESSCGIEATEGGLISNLDENIKEMEIRMYNNYLWREYMLSWLSHQRWQIPLPPLFMDKQAVDELPIQLEIFAKAGTPDNQQQAHQGGLPMLLRVKVPETGLAKLKTPFVDVVMVLDKGRPRREMENQARERLQLLSKAVDVVMSKLRHKDRLAILPVDSSATTTPPKASCFLEMSNQGQRDTDNYMLDMTEQMTKVSTQNPIQTSIWRKQLNKTMKTLGNCLHISGTNTTTTTPSSSPKEQHTVSTTNSAAADGSSINQLTKAIMDARKVWLILFPPYFNT >OB04G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2986240:2986491:-1 gene:OB04G13050 transcript:OB04G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAFLPVPERCRLLSTNRSHQSCIARSRRLFRLHAVLVAAFDATGEHLQLPLLHSLPYNTAAGPPTPSLCIVRHPLPSSSL >OB04G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2986597:2986848:-1 gene:OB04G13060 transcript:OB04G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAFLPVPERCRLLSTNRSHQSCIARSRRLFRLHAVLVAAFDATGEHLQLPLLHSLPYNTAAGPPTPSLCIVRHPLPSSSL >OB04G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:2996288:2998303:1 gene:OB04G13070 transcript:OB04G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIANSSNGIYGVLNERNLITEAFKSCIDRITSIVVVDTKVDFTCTNDSASSAALLSTVETGQFKFSVADNHKSCSVWLGAIHATSVKNFIFYLDNMGGHGNMSKQFTAQVSCSPAAKSTEDVKENGEKICSSRSGIDRYDEEVEAGIARVAAVKMVTKITDPNFDQQLETCLPGEMETMQKIFASATEKLDANLEKKTADMNYNMKLMQRLPWEMCLRIYEYTREAGKARHEREKNKNKSRRSMMNYQHAKVLLCEYQTFSPYKGWSYTLYSTICTVSQNHVHNAVMEAVLVSELERLEANLDGQITEPSYYQLLVERLMKDLSPQGSGYSAQDAQTDGKVKLKKEKMSMEMENMEACFSIQITDPTNNKELVANLVERMCKSCAKHAQSTGETQLAMELEKMHHRLGKEIVAMICKEVTVPMYYKKFMALIARLKCLNVSERERVVGNARYALRMEKMEATLAREMQKMDTIYLMDYHQIMVVLLVREMFLSVCEHAQIAGGETRLIEDMENMENLLHNEMDNADYYKTLVKSNLSYLLSWLSFRRSCELKSHTP >OB04G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3012323:3018372:-1 gene:OB04G13080 transcript:OB04G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYREEEPEIDKRDGGDCWLEELYRKKREHFGNSASLSNGQPGLQLNGTREFDGQQFGPTGAHSVGAMVNHSPAGSAWIETKTNDAEFHRHTQSRFIPSMGKMNAFAIRDLKSAYLSDSDLSTSLSRVNLSNHVEYDEGSLAKELLDEMEMRNHKKCFSSKIADGSRSPLPGNAFCKLGSEHMDIHSLPTYGDGVLYRQNSNIDSSYVSRNNDHHVKSVECLSLADQLALMQLSNLHEENNYHSIADMVNLGNPLNRCNVADLDLARYKKQYLGELFAHRCLPENIFLPKCGPSYNDNIPYHDEPRFPFSRIQRPESHIYSHLRGIPYHGDQQQRLLSSSRRTPGRNMGSQIYQGNLVGNGLDASSLDIADRNGAVGLELINVVGHVMEVSMDQYGSRFIQQKLENASPDDRERIFPEILTNAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGRFLELSFQMYGCRVVQKVIDVVDLERKISIVGELKDSVLRCISDQNGNHVIQKCIECVPEDHIPFVVEDVLKKIYLLCTHQYGCRVIQRVLEHCHNPATQTAVMFEIVERAFDLTEDKFGNYVVQHVLQHGKPEERSSIIQKLSGHVVILSQQKYASNVVEKCLSFGTPDERDSLIREIVSSGQTFQALMKDQFGNYVVQRVLQTCDDKFLEVILSSIKMHLNELKNYTFGKHIVARVEKLIITGENRVRMGSKTSQCQQSPNCTDVDANPF >OB04G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3030130:3032182:-1 gene:OB04G13090 transcript:OB04G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAETTALLFFLYLSQLFMHVQDVGIDFGLLDGICGEILPVTWNVFKEAFRTAHISEDIMEIKRKEVRNLQQNNMSFMDFLNKFNYLSRYVPEEMTSEARKVNLCQERLNPDIKHQHSAHDIPNMNSLADKALHIEESAKEMIADHKRKWVAQKYASSGLDIILGMDWLSKHRGNIDCANRAISLVNTDGKVVNSTSDRASTSQQPSLHSLFVSELNLVPVVCEYPDVFPNELPSMPPNCDIEFVINLVPGTALIAKRPYRMTSENLVDLKKQIDEQLEKGFIRSSSSPWGCPVLFALKRDGTMRMCVDYRPLNEATIKNKYPLPRIDDLFDHLTSARIFF >OB04G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3048033:3056711:-1 gene:OB04G13100 transcript:OB04G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSVSDRSFIIDSDDEDDAGVEDGKRRGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGDESDNGSDYSSSCGSPRVTVAAAAGGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTAADEGAGRQQHHEDGRKSSQYLLPPRRPSSLHKIPEDQKPLVVGHHEVGPYRQCTYTQGVMNGVNVLCGVGILSTPYAVKQGGWLGLTILALMAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISIILYMELYACCIEYLILESDNLSKLFPNAHLTIGTFTLNAHVLFAILTTLVVMPTTWLCDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEANDGGTALNLPGIPIAIGLYGYCYSGHGVFPNIYTSMKKRSQFPAVIFSCIALSTFLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTTYPNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVLLCAFIIVVGLCCAGVGTYSSLSKIIQKYK >OB04G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3056255:3056641:-1 gene:OB04G13110 transcript:OB04G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA >OB04G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3069401:3069622:-1 gene:OB04G13120 transcript:OB04G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKPPSTTTESSYAESPTPTLTTHTTPDHDDKICDLPEEFCLSGPPTPSSLNPFLLPASMEEDGMIYAGHLG >OB04G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3074216:3086346:-1 gene:OB04G13130 transcript:OB04G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMVFLRNSWVIRTLVLFSFTAHLVVAFLAGIRGRRAIGVLITILWAANQLGRSAATYALGKLALGSTPQELQLVTLWGAFLLLHAGGPDNITAYALEDNVLSTRHKVEMILQVSAAAFAIYKNMVIRSGSGTMVWVVSFMFIVGTAKYWERAKAMQLADLENLRSSIKTSKKKGATSRRSLRNVCPPSSSKHDRREEEALLVAHGLLDITKGAFVDSSVNDHQIRDYASRRREIFPESGWDMMHKVVDMELSLMHDILYTKAAMAHTWLGYAIRAASPFATAMAFVLFRFGRKQGQRMADVLVTYVLLGGTVLLDIRWLLRAVASTWTYSFLNDRPHLWVHHAFLCSGKWRLHRRSLLFLSMEPSGYRKWSGKIGQYNLLQECTRDKDEWGRDYLSYVVRKVASEEMWMEYEYHNLKGIHISQELEKKLFDCIWHYMYSAYPVEDVEEKEEMEDKKKKYMEKRPEKPLMPVEHHYVQKIRKLEEALDFLPEFQESVLILDIATDAVFMYTETEKYAASSVESKENVEVIKALSNYMMFLVAVRTGMLPGLKLRSLYEDTQDAVSKIWSKQKCCRRRSRRREKCLADILQRMENKERGNNLNKSDKWKRGYRTRNWEPDYITDLYTVSIVLSDGIKLAHHVLEWLHRDHWVKFPKDEHLYEAKLGQMFPKLREILNGPIYDHPDKWSKLLQHILMEWVRLLINASVKCSRDSHARQLGRGGELTTIVWILVEYAGLFRVDRQRR >OB04G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3092413:3095409:-1 gene:OB04G13140 transcript:OB04G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRSIRKAGSDYVKLEGNSSSYLLAIWFNKIVDKTVVWYAKTCSYGPEDTIPVQVPSGSFLKLADGALSLREPSGNEVWNPRVTDVGYARMLNTSNFVLLGTNGTTKWESFSSSSDTILPTQVLPLGMALHNRLLAIDYSNGRFQLSVQQDGVDSMGDFFHHTTLDTDGVSRQYVYPKSIQTRRLWPRYKFFDDERKYKGCRLDFEPQSYDLDETTAMLQYEMTPVNRINWPLPDYERHNPIDETECRRLLPLSNGNMDSTLQAIVLLMVPRSSNSPSVLSNSSNKWKKDKKYWILGSSLLFGSCVLVNFLLIPVLLNARGCGGAEDETIGVGFVAPISIGISFNYPPVMGLPALGQTMLGLMARINPEINPLICDPETVT >OB04G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3094649:3094906:1 gene:OB04G13150 transcript:OB04G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYEEEFPSKSQKPNAKSAKAEIHVVFIPWGVKEDPALMFISDCADVVQYSKKSWSKAKNKRGAAAIDQCFAPTDDNKLIALG >OB04G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3106011:3106498:1 gene:OB04G13160 transcript:OB04G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASNLYFFLHEGCSYGSELIYDEGSGGVGIWTAPSSICVTLSMVGRIVGSSWRHQSATATKRSTFFMLKMASSSPSTTHDLKKDHPKAININLGSDPYVLEPFRGNISSSTPNAGVCLCLIQLKKLC >OB04G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3106058:3108496:-1 gene:OB04G13170 transcript:OB04G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LVZ2] MARLHLFLLFLQLLLLCCTTSTQAQTNITTGSSLTPQGANTSWVSASTDFAFGFRPVEGNSSSYLLAIWFNNIAEKTVVWYAKTSSNGQEDTVPVQVPSGSTLKLADGSLSLRDPSGNEVWNPQVIGVGYARMLDTGNFMLLGTDGTTKWKSFGSPSDTILPTQVLPLETALHSRLLVTDYSNGRFQLSVQKDGNLVMYQVAVPSVYSHDPYWASSTVGNGSQLVFNGTGRIYFSLINGTQVNITSAGVDSMGDFFHRATLDTDGVFRQYVYPKSIQARRLWQQEWRAVDALPGNICQAILTEVGSGVCGFNSYCTFDGTKNMTSCSCPQRYKFFDEERKYRGCRPDFVPQSCDLDAAAAMDQYEMTPINRVDWPLSDYEQYSPIDETECRRLCVIDCFCAIAVLDKSTNTCWKKKLPLSNGKMDDSVQRTVFLKLPRSTNSPSMLSSGSNKWKKDKKYWILGSSLFFGTSLLVNFLLVSVLLFGTYCSIISRKKIQELQSLHNCGLPPKIFTYNELEKATGGFNEVLGTGASGVVYKGQLQDEHGINIAVKKIEKLQQEAEKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSKGSLNTFLFSDNRPHWSIRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDNNFAAKISDFGLAKLLQLNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLEIVCCRQNVVLEAMDEEKAILTYWANDCYRCGRIDLLVEGDDEAIFNIKKVERFVAVALWCLQEEPTMRPTMLKVTQMLDGAVQIPTPPDPSSYISSLP >OB04G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3139790:3147076:1 gene:OB04G13180 transcript:OB04G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCDIGAELAGIRTLDEIYGAQASITFNEPKVRGNEDRTSINSSEAIGAGSMAVATIKLQGIWACYDHFCPGFVQVSSVGLGGSMQPVSVYDGPQYTLDILFHKLLMANYFSHLKYYKFGMKKYAGDIWNNSAVLPKDCPKYLKYEDTPEWVKVEGAGWQEHRCCSRWLRCGRVCFWILRDGRRWSSFEAEPRWVDLLLHCALETMFTDAKLHFLCRVGFELAIVEELQLQLRRLMVKQKHGPPILGGDITIFPNEVDVVTVCKPASVPDPTTNNWWIGTGPECYAIGYWPSSIFTAMKDKATGSFSGGLVDGPTYKTNPSAMGSGHFANEGYGKAALISNLQFVDAAGHYFTPDNKKAIAGTTREGCHTVDNFGQDSTGMHAFFGGPGGCNK >OB04G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3147515:3150824:-1 gene:OB04G13190 transcript:OB04G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLELPVIVIFISYFLLAAGRQEERYVKHGALVSKIIEVQYSRHIFRTEDGDVFHCIDMNQQPALSHPLLKGHRIQMEPTSYPSELKIKSSSETTTTEAHLPTIACPKGTIPLLQNSKVDLKTQFSFDPMGNTRSYGGERAGCTTYDEIYGTQVAINVYEPKVRGDNDFSASWALMVNGPKGNHEGIGAGSIVWRNYRGDNFARFHIYWQADSSNMPCFDHICPGFVQVSQSVGIGGRIQPVSVYNGLQFEIIVTISKDPKTGNWWLAYGHDKTPLGYWPSSIFSYMNENAKICFWGGQVHGPTVQLHLPEMGSGHWASVGPGKAAYVRSIKVINKDNQYITPGTHNTFSSSTREFCYDAGDIKFNDDGVHLLYGGPGNCTK >OB04G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3154762:3155878:1 gene:OB04G13200 transcript:OB04G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSAPLVAVLGCLYLCSAVLAARELGDDDAAMAARHERWMAQYGRVYKDAAEKARRFEVFKANVAFIESFNGGGGRKFWLGVNQFADLTNYEFRATNTNKGFIPSTVRVPTGFRYENVSIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIVNNGGLTTESNYPYTAADGKCKGGSGSAAATIKGYEDVPANDEAALMKAVASQPVSVAVDGGDMTFQFYSGGVMSGSCGTDLDHGIVAIGYGTAGDGTKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >OB04G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3156718:3158114:-1 gene:OB04G13210 transcript:OB04G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTWRAKTIGPSLPSFYLDDGRLPSNKEYGFNLFRSTVPCMEWLDKQPPRSVVLVSYGTVSDLDAAKLEELGNGLCNSGKPFLWVVRSNEENKLSDELRRECGGKGLIVPFCPQLEVLAHKSTGCFLTHCGWNSTLEAIANGVPLVAMPHWADQPTIARYMESVWGMGVRVRMDKNGALRREEVERCIREVMDGDRKEDYRRNAARLMNKAKEAMQEGGSSDKNIAEFAAKYLN >OB04G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3160298:3163174:-1 gene:OB04G13220 transcript:OB04G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVCITFTNHELIRLDRFVLRNSPGYEADELRFTRRADRPQATLHCANYWGPPYSKEKLRVTGFIDRLCSATHSDSSSVIRWRSATADTATVISVTALSVKTRF >OB04G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3170054:3175031:-1 gene:OB04G13230 transcript:OB04G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMNSDARHTKAYLRGVNGFMAFAFRNSAVGDKILCPCSSSYVNTDNGDGVELVEESSEEDDISALLRDLACGLDDRGDFDDNSSVELPKELVDLQKLVEANNQELFPNCKKYTKLRFLIRLLHIKLLGGWTDRNFDLILDLFNDVLPEGSTLPRNYYETKKLIKSIGLGYISIHACENNCILYWEEHANSESCPKCKDQLPERLLPQGSKRSCQQGCKWKFGLDNKLKIRTELSSNALQNWDWKGRHKEGQMLKGQMLKSCHNMPLIHDSVWLKCKSVCPPSRPIVAQSARNITTAPSDIQQPYFANDTHAAIEQSMLPPRLTTSRSSINMSIAQTNDILPRFANDTHAGTDESLLPYRPDATHSPIKK >OB04G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3180341:3184606:-1 gene:OB04G13240 transcript:OB04G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLTGLLETNLMLFGMVDTESTEPQSLRTSWARSKLLNTIDLIGQANEGHGKKVLHDTVIAPYHLNDRGINLEELSGVDTVTVLDWARTLRASGCSVGSQRKPGTGPWRRAMVGDAWPPAGGVRPQPASCLLRLAQRTATRGALPASGCASLPKKGSPSAPRFSVRVASPFEAEKNVIKFASCMDDVELRRLSGMIERDKNTLKAPSMREASLVPASVDSCCPNDDDDVEGNPLDGTLKKPCGRKVAKRAKSNVGQENNAELSDVVEKNMVKKNEIDSMEEKRKEERVKAASMKTKAKAKSKDIELNRMVEEERIMSIDTSTLNGPRKMYYEFLQNEFLVRRLKELE >OB04G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3185587:3186771:1 gene:OB04G13250 transcript:OB04G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERHHGDDDRQKLERSQVLVQVTHSQLMDKPTQFVASHFQDSSAKNDDKLSLKKDESPKLFANEYDNNATLMDVRDQINQRRVMPRRFPKPNTSNRPATTNSLTMEDEITLTYISKSKDNRLLSTIKGINIFSEHLKPLVRPDEVTNKTKWLNDTVIDAYIEILKDMQPNKPTALLQNASQCQV >OB04G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3204002:3206334:1 gene:OB04G13260 transcript:OB04G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNDRPSAGAGAHVLLVPLPAQGHMNPMLQFGHRLAYHGLRPTLAATRVDLAPADVPPFVAAPELYPKYLDVSIRQFEGLDDADDVFVNSFHDLEPVEAAHMESTWRAKTVGPTLPSFFLDDGRLPSNKAYGISIFSAAGNAPPCMEWLDKQAPGSVVLASYGTVYSLDSAELEELGNGLCNSGKPFLWVVRSNEEHKLSEQLREKCKEKGLIVSWCPQLEVLKHKATGCFLTHCGWNSTMEAIATGVPMVTVPQSADQPTIARYVETAWEIGVRVKLHEKGLVRKGEVETCIKKVVDGKRGAEYKKNAAKWMQKAKAAAQQGGSSDKNIAEFVAKYL >OB04G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3207748:3208023:1 gene:OB04G13270 transcript:OB04G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLSYEKIVHVPTHKTCRFEATVMPLHHIHYFMLTQTNISQFHCIFLLGIANLTVRPQERHLILCCQRMTMLGLKLHNKPIASLLIKFD >OB04G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3208991:3210209:-1 gene:OB04G13280 transcript:OB04G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPYSSKEVRTNPHCAATRSRTLPIADSRRPPHAEFCPLPPHATLCLPPSVRRSLMPPSAHGTPPAATSHHPVQAERRTPPGARRQQPAAGWPGAAGVVDGGQTLRHRLVSRCSVSRRRLTQRWNSCCLLYHRSN >OB04G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3209768:3210043:1 gene:OB04G13290 transcript:OB04G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQWKVRWQLRQGRWVRVLTCSTVESTGGAKHCSGLPGGAAKSGRHLRPPQHRASRRRVAGGVRRAACGVLLAPGGVRWRQAAFRVQRVA >OB04G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3211640:3214504:1 gene:OB04G13300 transcript:OB04G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPAPAEAPCAVDAIYGEVWAGRAGLPVVDGSALRGKLSVELGPEDVPSFVNAPDSYPPVLELVLSQFEGLETVDDVLVNSCHELEPKEADYMASAWRFKTIGPTVPSFYLDDDQLQPNKTYGFDLSDSTSPCIAWLDNQPACSVVYASYGTVADLNAAQLDELGNGLCNSGKPFIWVIRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVIGVPLLAMPQWTDQPTTAKYIESAWGNGVRLQRDNNEGMVRKEEVERCIREVLEGERKAEYRKNADKWMKKAKEAMKEGGSSSNNIAMFAAKYTSH >OB04G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3221759:3222189:-1 gene:OB04G13310 transcript:OB04G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVATTTTRIRYRWYDTVHDATSIRYDTVKVSYMDRKNLSRNKGLPLSKKLTKTCYLNHVAPVSLLP >OB04G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3232805:3233786:1 gene:OB04G13320 transcript:OB04G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTASKHQNMSESVVGSHGCRSFLSPSAMFVVGFNDLWDLFNLTQLDTGLLRCYSLMCWRESRKKGLRVGFLDPSLINERTLKSNLDSTVEYIGMSLWAHQDKKAIFLAHNQQAWKPYVTKGGKHEAKRKGLTHKLDFPIAQQTGLMCGFHFCHHMSNLYQQVNTLDPELASVKSTTFDASKIRGEIAAFLLTDVINPKGQFHASKYKG >OB04G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3252126:3260016:1 gene:OB04G13330 transcript:OB04G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAYTNHWKQVLAVASLLVAVACVRTIAARELVDAAMAERHERWMAKHGRAYADDAEKARRLEVFRDNVAFIESVNAAVGRHRFVLEVNQFADLTNAEFRAARTGLRPSSRGNRAPTAFRYANVSVGDLPASVDWRSKGAVNPVKDQGSCGSCWAFSAVAAMEGAVQLSTGKLVSLSEQELVSCDVEGEDQGCEGGLMDDAFQFIINNGGLATESDYPYTASDDKGYEDVPANDEAALLKAAANQPVSVAVAGGDRHFQFYKGGVLSGSAGCSTELDHAITVVGYGAASDGTKYWVMKNSWGTSWGEGGYVRMERGVADDEGVCGLAKMASYPTA >OB04G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3252736:3260004:-1 gene:OB04G13340 transcript:OB04G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRHLGEATDALVVGDAPLHPDVPSLSPRRAPRVLHHPVLGPVARRAVADHSNGVVQLGGAAGGAGEDAALVELEVPVAAGDGHGNGLVRRGLEERGLVVGRHVLVASDGGGGGGPVGGGALVVARRVRVVGLRGEAAVVDDELEGVVHEPALAALVLALDVTGHQLLLRQRHQLP >OB04G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3282404:3287027:1 gene:OB04G13350 transcript:OB04G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHERRRAMEVRLLELQDALEEQGYTDAEIAPASSRLARLPLPTPTPPPRLAVNYSFRKVIQILILLSNMYLKNESKRYLMKVIRGKKVIIGKRYNVKDSMEVTEGNPIDKEAYHRLVGCLVYLCHTRPDISYAVSVVSRYMYDPRTSHLDFAHRILRYVKGTAAHDFQDQGTPWHSEGVWPIFTNKEEPREKSTMSHSRTRHVDHVDILIASNHHHAAKVGVAIGHPIGGEVGVDEDEWGGAPDVTLAGGERGYAHSVLDGEEGDHPTEERVEEGAESVDIVVRAKRGEAVLGGH >OB04G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3295048:3295388:1 gene:OB04G13360 transcript:OB04G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVAMAAVVALMLMAVALAPPAELVLAHAAVAGEGSAAGTGRQQQRPPPPALFVPFRARVHRRRPPAGRAVKGCMPRGHRVPPSAPSRYVNYHTLDAGMCDDHGGGRRKP >OB04G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3302450:3313325:-1 gene:OB04G13370 transcript:OB04G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRLAAVVGDWGARLVGERHCVGLGREAARRAGGGGRSDDRGRAPTAKVGDSASEAAPVGMWESLWRTSDRGFGVHDWAMGMWPIVYGLYGLWVIVRAVDLAFLLAAGYLAVRSRRGTATITNGGHAEEPLLAKPPPPPTSEGTLPRRGRFRHRIALAASVSLAAASLVLLALALFLLPWTAWLAAECAFLAAHTVAHLAATRVVAAEKVAVSRAHPVHLRFFWAGTAAIAALFSGSAVVRYAAREPIFPDDVIAFAGLVMSLPLLYFSVTGSTGLGDAEIANGEDRTCVPDHGAAATSYATASWLSLATFGWINPLISKGSRAALSADEVPPVAPDDSAEAAYAVFVSNWPAPAPAPGSKAGHPVVVALLRSFWPQFLFTAVLGLAHLSVMYIGPSLVDRFVNFVRRGGDLTEGLQLVVILLVGKAAEALTSHHYEFQGQKLGMRIRAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVAFANRRNLEYQFKFLGKRDERMKAITELLNYIRVIKLQAWEETFGAKIRELREAELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCLLTGVTLDAGKVFTATAFFRMLDVPMQSFPEAIASATQATVSLGRLDRYLLDAELDDSTVERVDDTETLPDGVVVEVRDGVFAWDARGKKENEEGEGGDDEEENEEKDVEDTPTLEIVLKGINMEVRRGELAAVVGMVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDGERYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSNIFKECLRGMLRGKTILLVTHQVDFLHNVDNIFVMRDGMIVLSGKYDELLDASSDFLALVAAHDSSMELVDQSRQAVKTEESEPKAVATTPSLRSRSIGKGEKVLVASDVEAATSKIIQEEERGSGQVSWRVYKLYMTEAWGWWGVVGMFAFALVWQVSDMASDYWLSYETSGGIPFNPSLFMGVYVAIAAVSIILQVIKAVLETVLGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDIVLSFFVGLTISMYISVLSIVIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVQGATTIRCFKKDKEFFQENLDRINSSLCMYFHNYAANEWLGFRLELIGTLVLSTTAFLTISLPSNFIKKEFVGMSLSYGLSLNSLVYYAISISCMLENDMIAVERVNQFSTLPSEPAWKIKNHRSTNWPTHGDIDIDDLKVRYRPNTPLILKGITVRINGGEKIGVVGRTGSGKSTLVQALFRLVEPVQGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYTDAEIWQALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKQTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVEEYANRSSNL >OB04G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3353641:3362336:-1 gene:OB04G13380 transcript:OB04G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLPRWMATAACSPPPPGGSFADLLAFLFLSPCPQRALLGAVDLAFVVASLVVLIARWRRSRGSGGGGGGTGDGPEREALLRKPPPSARPFRAAVRYALALGASVVFAAASAVLVVLALFLLPSTTWRRGESAFLAVHFVAHAVAAWTVASDRVLADGALPGHLRVFWVVTALVGALFSALAAVHWAEGSLLFPDDPLAFAGLALSLPLVYVAITCSSDDVSGTCGGEPANHTHAAAPATPYDAASWLSRATFSWINPLISKGYASDSLAADDIPPVSPGHRAEASYALFESNWPAQGSRHPVGVALWLSFWPRVVLTAALGLVRLGAMYVGPSLINHFVDFILHGGTAWEGLRLVAILFAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHGSGAIVNYMQVDAGTVSYTMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGGKVRELRQTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGTYLAAGGELDAGKVFTATAFFSMLEGPMHNFPQTIVMCMQAFVSLGRLNKFLSDAEIDSTAVERIDSSAEDAAAVKVQNGVFAWDVPVDSADDGRQGHGAENGREEAPTMDTVLNGIEVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKISGTVSICGSTACVAQTAWIQNGTIQENILFGQPMHSEKYMEVIRACCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKKKTVLLVTHQVDFLKNVDTVFVMKDGVVIQSGSYSQLLISCPDFSALVSAHHSSMEMPGATEQTSDDQTTVYPQATTASPKTPARSKSSNGTSVAPSKEAGSSKLIQEEEKESGRVSWQVYKLYITEAWGWWGVLVILAVTVLSEGSSMASNYWLSYETSGGTIFDTSVFLGVYVSIVAASIACDAISTLFVTFLGFKSAQVFFTKMFDSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFALSMCISVLSSIAVTCQVAWPSVIAVLPLVLLNIWYRNHYITTSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFRKEDEFYQINLDRINSNLRMSFHNYAANEWLGFRLELIGTLLLSITAFLMISLPSKFIKKEFVGMSLSYGLSLNSLVYYTISISCMLENDMVAVERVNQYSTLPSEAAWEVADCLPSPNWPSKGDIDIKDLKVRYRSNTPLILKGITININSGEKIGLVGRTGSGKSTFVQALFRLVEPAEGHIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTIRSNIDPIGQYSEDEIWQALERCQLKDIVAAKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDATIQRIIREEFIDCTVISIAHRIPTVMDSDRVLVLDAGLVKEFDAPSKLMGRPSLFGAMVQEYANRSYSTEASD >OB04G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3377776:3377997:1 gene:OB04G13390 transcript:OB04G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQYIPGVYTKFLNIDGLRGKRLGILGKYFFQFVHFNHRYFSFRVFVYLSNPGFDLDRNVLSKLHPVKYLVF >OB04G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3391001:3391690:1 gene:OB04G13400 transcript:OB04G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPSRVRTPLCLPPLRQLRPPARLPNPSHRHSAALPPLPPSLPRGTPVLLPPPSVRSPGQRPSTAADAAPPPRCRCSTTPLATDADAASPRPTTSRADALRAPFPRARSSSAPSTYPAPPPSRWPSPTSCPLVTRSPLSPRSPPPSGQTRAARAHAPRPHLNRFDRRLLPHRRRLEVAAGDGGPTHNDRLTGSQWERQIQQVEARRYVVLAGSFEFYLGLCGQLIS >OB04G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3436718:3437272:-1 gene:OB04G13410 transcript:OB04G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGIVCRPVGAFHSLTDYNYATLKANVNMLHLIQLGLTFSGPRGELPALGDDRRRCVWQFNFCEFDDARDIFASDSIELLRRSGLDFRHNAECGVNARRFTELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCNSLPDTQVGFFKLMKIYFPTFYDINHLMKFCNSLQCSSAIACTVG >OB04G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3438137:3453583:1 gene:OB04G13420 transcript:OB04G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 [Source:Projected from Arabidopsis thaliana (AT4G19490) TAIR;Acc:AT4G19490] MSSGLAACLREVPALFFKEDFALEDGPTFEAACPLGDDALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGGIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDIIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAINALIQSNVKRKASAPLNGTEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARPMDSDSVNGDRATDSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIVEWFMGNLEGSLSSDGSNPVLQHSVSDFSQESDYVVTSRVSSTLTRSNSKFPFFQGKTNDMSNPNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFVAASEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRMTKIKAVLDQETWVAVDVPEEFQAIVLLLSSTYSSANGMEMPSTDDNSKLSEHQAMQEPVNSSENNTDNGNVVHENKAESTSQTENSVVGHVRSTQQTIVHGGIGYHMVNCGLILLKMLSEYIDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKTHRDEIHTKLVQIMRERLLANLRKLSQIVESWNGPEDNDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQAIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDIQHILVCIRKLPAENFSAETIPNYGLLDDFLAEMFGTKVDE >OB04G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3460998:3461565:1 gene:OB04G13430 transcript:OB04G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAQEYNLRALLVVDIKVNQMILSAMLRKFQVETTVVHNGKEAVQLFLEGETFDIVLSDNLMPMMTGPEAISKIRAMGTTDVTIVGVSVDTNSMEAFKAAGANVCVPKPMTLEILEGILQEVMSKKNVASAYS >OB04G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3466816:3475817:-1 gene:OB04G13440 transcript:OB04G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding STVHDDSRRTTDGEPQIAASSSSRGGAGCKSKTRAESPGVIWPTHINEREESEFKHRLIGALSKPFSQEEYDKLYGMPSIRNPPMKERQTRSGVKAYKCPHGIGKSYFDHYPDLAEQVENTSCSNRLALLRGLFFWLENVAHEHQFRPWSNDNKCLKVI >OB04G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3490144:3491575:-1 gene:OB04G13450 transcript:OB04G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVIWPAHINEREESEFKQRLIGALSKPFCHQEYDKLYRMATNRNRLMKERQMRGRVKYYYPDDGIEKSYFDSYPDLAKQVEETSYPKRLALLRGLFFWLENVGQDHQFRPWRDEHRRYKVI >OB04G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3495538:3496200:-1 gene:OB04G13460 transcript:OB04G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPRHQATAVGVIESGRMTRGKICPTSALAPRASASTQGAAGSQKKISMEDEEKGSSTVPAMYDVNADIEEEYRLFLENARVYENEDFVVEYGGKVIRYGEAVDDDGCTEVPVEKEKKMVVVISSDESSDDCAMGMTDHTLLECEMPQKKAKNVEDEKKRSILPPDGEEAPIEHAEKNI >OB04G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3528697:3529975:-1 gene:OB04G13470 transcript:OB04G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQSHHAPAASDKRTATLDESKIRVEDAENGSADIEAEYRLFLENVCIYDNEDFVVEDEGRVIRYGGEASTGGSSRDPPAEAEKKKTISSDESSNEATIRTSPRCSNLHCGVLLQKAKRVHKEKGMKIKKNDNIVIPLKMEDGRIKAKREKKDKKGVEKNANIVDTKMEDGRIQGVEKVQIMLALEKSRTGTNLTNPSDGHETTSQKSRTRTTVTNPSDGAKTERYMTSVRISCTYCYYQFLLLVSCMTNITFVMCALSMLVIGV >OB04G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3543230:3546661:-1 gene:OB04G13480 transcript:OB04G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHVRCQVPPELKPRKRVAMHRRDVSFVKLETESRQGDFSGGCAGNQEFISPSRGGTHQLIYLEFFSRVHVHQVRVDSRLSGLVTSCRESLPPHNTREKQLIVFPTPLYGVACMDRTSQGTQKDNVDYVTIVLRNNRK >OB04G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3548412:3553012:-1 gene:OB04G13490 transcript:OB04G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPRHQCPSKICPTSALAPSASMSTQGGTGSQNKIGMEDEEKGSSTVPAVYDINADIEEEYRLFLENARVYENEDFVVEYGGKVIRYGGEAVDDDGCTEVPVKKEKKMVVVISSDESSDDCEMGVTDHSLLECEMPQKKAKIVEDEKKRSILPPDGEEAPIEHAEKKSSGVIWPAHINEREESEFKQRLIGALSKPFCHQEYDKLYRMATNRNRLMKERQMRGRVKYYYPDDGTEKSYFDSYPDLAKEVEETSYPKRLALLRGLFFWLENVGQDHQFRPWRDEHKRYKVIFS >OB04G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3555076:3557067:1 gene:OB04G13500 transcript:OB04G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEDVKAEVDHPMGCFMGGKHAGSIGVDRFLSPQFIGLVNGGEWWWWQRQPRWQRCVPPAAAGSVLGGGCDDRAASVNPLDVCHPINVTSAFVAAVVSNFSRSSVKTEDSSEESGLRYSKRRSQDEDKSFKSQALLLQLSQAFRAIDVILASSFSRCLMVNARSDIKRNNTGATGATSLTAPPNLGDEILFKGVDLSRPELYPS >OB04G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3609851:3615867:-1 gene:OB04G13510 transcript:OB04G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKQRTPSSAADTVAGGPIDAEWQYFLDNVREERGSYSVLAPADGANPSYYLQYEKPRDGSRPPTKAGASTSSPGQGGCKRRRMEEDESSCREPALHCADPNIEEDYREFLDNIRVVGKDDFVLELGDEVIRYGGDAVDHQGSSEASVMGKEAAVTSSDEPLVRAPETNRTGRRAPRDKVAGMADNGREGRDVEEDGKAEDQRKKEKKGKKVVAVTCKGEGGAMAAEEVEKKPKKAVAFHSKGEDSTMAAENLKDKKNSGKKEVVVPADMGKVGSHGLMLVTVCQSLVIKVEEEEGHEQLQILPAVEKRWATSSLPNSGHCHESEPHIASGPHGVIWPTHINDRAESDFKQRLIHVLNKPFSQGEYDKLFGMATIRNPLTKERRTRCGVKYYYSQHERGKSYFDSYPDLGKKVKEANYPNRLALLRGFFFWLENVGQEDQFRPWRVDHKRYKIMPL >OB04G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3621011:3636505:-1 gene:OB04G13520 transcript:OB04G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) TAIR;Acc:AT3G54860] MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECPKILYLVRSQLSFMKLIANQIKNDEPKGHQREFFLYLVPRRTVACEKILEEEKVHQRLTVGEYPLYLVPLDEDVISFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGAQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQESIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGIFKRQESRSNWIGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLELKRGSSAMNSLEVQQGLGLQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKELMI >OB04G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3639382:3641824:-1 gene:OB04G13530 transcript:OB04G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSADTPYEVPKLTLAIPATSRSGKPIEYSTSTLLALGHGAPSPSDYIKKFSGPPSPLRRPKRSKKVTLALKKKKAKTMAVALPIPTSSAELDAAIDAAAEEDSDTEVRQKSPVVQLDDSTTMPVQAVDPTPTIDVTTIPANPDPTSTVADTTSGASTKKKLILALYIITTSHGAFVDHHASSFGQSYPYVYNRR >OB04G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3648162:3652351:-1 gene:OB04G13540 transcript:OB04G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDDGGVRGSGRGVRQGPRGRRGGDSRPRKRHRRRCGEGQGRVMRRPERHGGVGQSWLRAVDCRANWMFVDIGKWKNPLDWKLFSQYLIVSSIEAVVIVLSETCYARAKDSLDLQKMIQVIAICFCSIFWRKKKLQNNDLQKFLEKRSSEEAGPSYSANTAEQIQDQYTPDVKSTCQISTNPVYFVMLRRSSTLPQKEEYLMETMHRDTAQVHKAAEDSTCFAEFEGTLEWKMLSYRNDFYKSLISANNTTSSLPLCPNAPLEDVRDNLLNNIQIHDYVKNGIICRMLQIEKHYFTSSAERN >OB04G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3653674:3656115:1 gene:OB04G13550 transcript:OB04G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRLQPDGLQQEDLSPSPSPDYSPSTPPHSPTPVNPPEFLLRGTIAACRGAPPFYMAAGGSNSSVLAPPSPPPRIGRIIKTGHVPAKMSDGGKDFKTVDEYNHAVHKKCSKLRFCEKEPSEADKIEKTLSTMLPSKRIITQQYREKDFIVYSSLIQTLKQAKRNHELTVWNSNQRPLGTAPLPEVHANAKTNVPKGNNQTRKSSGNGKNKRSKKQHGTDKKGKSISKQKNDNSNKTTCFRYGCYNHIAKKCRTPKHLVELYIKSMGRSDNNKKYEANFASQMLENGAMDPIPHGAGPSMSRPEIPHTNF >OB04G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3653841:3654629:-1 gene:OB04G13560 transcript:OB04G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARWEQAGPIGRLGQRTKGGRGGGGTGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDHLLLRSQASLSPEGGGRLTLPPSLILAGTCPVLMMRPMRGGGDGGASTELLEPPAAM >OB04G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3667401:3667595:-1 gene:OB04G13570 transcript:OB04G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLSKHQAQLQLIFLEGQCINAHYFLAQFSSLCQVSSSLGSICPDHAGCRRNRVGLEYLITV >OB04G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3674846:3675703:-1 gene:OB04G13580 transcript:OB04G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGKKDSEGRGQRRLDHHKDFIRMAPKVEQRDFILAWPMGVRGVAFLDVSY >OB04G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3679788:3682607:-1 gene:OB04G13590 transcript:OB04G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT4G35000) TAIR;Acc:AT4G35000] MSSAAPVVDAEYMAEVDRARRDLRALIASKSCAPIMLRLAWHDAGTYDKATKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFTPGRKDSSDSPEEGRLPDAKKGAAHLREVFYRMGLSDKDIVALSGGHTLGKARPERSGFDGAWTKDPLKFDNSYFIELLKEDSEGLLKLPTDKALVEDPTFRRYVELYAKDEDAFFRDYAESHRKLSELGFTPPRSAFIKSCQKPRTLLIKTAAGVAVAAAVVGWAYLCESNKRIG >OB04G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3685278:3688408:1 gene:OB04G13600 transcript:OB04G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKELVQDYRKVKVINYNKMPVKLIVFTYYIYMHHGTVNPNINVWKLHQGHAIVKPWASTRADEWNVNKLAWEGTLKAISKGEECIKLEDKNTVWCMFMVILDLIGVVLLILSYTKEKDGIMFTHPPMVAELNDVLPANVSKLSVFAHV >OB04G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3688827:3697206:-1 gene:OB04G13610 transcript:OB04G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3LW36] MEKKRVVIVGAGVSGLAACKHLLERGCRPVVLEADTVLGGVWARTPECTALQTPRPMYQYSDFPWPETTHKADFVILCIGRFSGVPNIPTFPTGKGPEVFDGQVIHSMDYSKMGINNSKEMIKGKRVTIVGYLKSALDIAAECAEANGTDHPCTMVVRTKHWIIPDYYAWGVHISKLYMNRFSELLIHKPGEGLLLSILATILTPLRWVFSKFAESYYSIPMKKYDMVPDHSLFKALVACLVAITPKDHYKRLEEGSIVIKKSKTFSFCKEGVVVEGESLPIKSDIVIFGTGFRGDQKIKNMFTSEYFQSIAVGSTSTTVPLYRECIHPKIPQLAIIGYSESLANLYTTELRAKWLAHFMDGGFRLPSIAAMQNDVLEWEKFMKRYSPGYFRRSCIGILHIWYNDQLCRDMGCNPRRKKGFWSDLFEETIQKNSENRKKQRTQHVTGSKSFSQTSYEKRDKETGEMPNILGLWQATNMRDGQWSNTASEDVYPEKKKVIGHFKQSAMKGFDSKKKNEMQGLKCKKNCVRKKKCSRRCAQNGYWANNSIVTTAVTQNHMTQQYKTKAFKAALVRALFGNSNSQGTTSNAQKTISSHCVRDAANNLVRSHIDQVTI >OB04G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3722997:3728844:-1 gene:OB04G13620 transcript:OB04G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10370) TAIR;Acc:AT3G10370] MTPCFNWFEVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAGVLGAAILNYAEVVSLIKDESGERVIGARIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAVDPSAKSTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVAAIAQDEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAGRALPRIIEILAMEYKWDKARQKLELQKGKEFLETFKSSKNAQFRDGKHNGQ >OB04G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3759570:3760330:1 gene:OB04G13630 transcript:OB04G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRLPLDYLRVHLTIRVPTPTSSQLPRSSSSSKTPSMALFFLEKNLQQGKKISLHFTNTMASSTAMFLPRSEAKSIPFSSNDMPVILARLGVGRGSGDAAVMSRTLHYCELPSLESMVDFVTSTSGFETRDVNAESTVLVSKARSPPAQEYTVAGVKPMGGTGQLIACHPRTYVYAVFLCHRTEATRAYTASLVSEDGTAARAVAVCHTDTAGWNPEHAAFQILGVKPGTVPVCHFVKPDAVVWTRTG >OB04G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3776496:3778632:1 gene:OB04G13640 transcript:OB04G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMEESIQKKTRVPNGIVTCILRDRYPEALFIPEDGSESVGRMEPATNWKHYQAAVDPLEEYGNLLERVEADFWARYRWKEGHEAHAKKVLFNVIKNKIGQMLYWTLIQAVLRYYKEILKIPMKEEEACTIYLTQEQYIQGQKEGREISRLEGYIYGHRSKTSQDPNVLCNDNSTNRLASYKGVLRKKHGPTCDWMNQPIDGSAVYQACGGKKHGRFGICDGLISTSSVLHNVRAETSSGERVQQERDRLDREVDERINKTLEDRMSQLEERNRVQNNQAQEQIREQVAAAVHATNEHWLKYIQH >OB04G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3801036:3802472:-1 gene:OB04G13650 transcript:OB04G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRRGLRLDGFAKELHYLHVTLGYSQEVEYNGVTRSPVDKEGSPRSLWKFHPSHGGPGEHAGFRTTRQEDDTTIMLLACMSSSCLDKTKLQNFRPTQDSQDCYSNSTLLKLKQELDQVKEELRKLKIAQGDVESSNTSKRRCAHPSLKITARKSTPSVEDS >OB04G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3807468:3808635:-1 gene:OB04G13660 transcript:OB04G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFRFTKAQEGTDRLGVKCDNEGIPCARLAHDDCGPDTRAPEKKGAKGSAPSRPRVPRVRPERKAASKSRGTLTPSGAWDAACGPTTCQA >OB04G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3819988:3822515:1 gene:OB04G13670 transcript:OB04G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTENEDRVICSMFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLIGAGAAPPRVQRHQNHHHRPLSMLPHTTPSSFYSAGGFSSAAGGAGFHQDPAMPTLTLPTTAQEYLVSSPPGLPMASATALLHHLHGAAATAHHHQLQVKEESSNMIVFGSDQQSCSSSDGMPHGGHHGRELMIFDGYSYGGYGSCIDQVVDNKLFQQQDHQAAQPPLEYNFDEIKQLLMNTTTNAAQDHGGSIEGMIASQGKVTMM >OB04G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3852756:3856120:1 gene:OB04G13680 transcript:OB04G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSICSSMSLIRAIVLLVHVIILLSCHAVKQSAAQSVNSSEMDRQALLSFKAGISSDPLGVLRSWRSDSLNFCSWSGVMCGMALPLRVTSLVLNSRQLNGTLSPSVANLTSLRQLDLANNQLWGGIPEKLSMLQNLQSLLLASNKIAGDIPGLLGTTSKSLRVVNLANNMLSGGIPRSLATSSSLTVLNLTTNLLSGTIPATLFNGSSHLAVVDLQRNSFSGPIPNFYKMSALQVLNLADNSLSGSIPQSLGNVSSINHISLAMNSLEGSIPETLSNIKNLTVLNLGYNILTGYVPAMIYNSSSLISISLSYNSLSGNIPSSIGYSLPNIERLIMSDNNMEGFIPASLANASKLQLIVLDNNKLAGPVPSLGSLSSLYGLSLGYNYLESEDWSFLKSLTNCTQLEYLAMGGNVLNGTLLTSVGNLSKSLAFLHFEKNQLIGRIPAEIGNLVGLISLKLGQNQFVGTIPIVISNLLNLQRLDMGQNLLSSEIPSVIGNLPLMIILNLSGNKLSGQITTKIGNLSRLTELYLDNNNLSGNIPASLSYGEQLVLLNLSSNNLSGSIPLQLFNSTYSFVSLDLSNNLLTGSIPTQIGRLINLVVLDISFNKFSGEIPSSLGQCVSLGSLNMKNNILIGNIPQLDKLKSIFLLDLSRNMFVGQIPEFLVNFSLLNHLDLSNNYFEGPIPTGGIFQNISAVTFDGNTRLCSSSSTSIFGFPSCPTVSPVKRRNNAHLLIIVIPPVTIAIFSFFCFMMTLMKGKQAHTNSCYKETMKKVSYTDILKATNWFSPVNKIRSSHTGSIYIGRFQFETDLIAIKLFHLDELGAYNSFHIECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVFEFMANGSLDMWIHPKLHQNSPKRGLSLGQIRIAADVAFALDYMHNQLTPPLIHCDLKPSNVLLDYDMTARVGDFGSAKFLNSSSCRSECLIGVRGTIGYIAPEYGMGYKISTGCDVYSFGVLLLEMLTGKRPTDPLFTDGSNLHKFVSMVFPDNLQEILDPHMPKEEHHSCSALLMQTYVETLVEVGLLCSMESPKDRPGMGDVSAKLIAIREAFSEVLYVEKYSMY >OB04G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3860020:3860440:1 gene:OB04G13690 transcript:OB04G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAGGEVPKVLWEIDLSRLEINDFIRQELSGTLFCGKYHGRDVAVKLLEWGRDGRSTPEQIAQLGEPLRDVANAWHQMDHPSIAKLVGAFIGNSPPPDTTSFVLVEHLTGGTLKDYLIKHMERKLSYKNSSTLHWQWREG >OB04G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3877737:3878264:1 gene:OB04G13700 transcript:OB04G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSYLHSRKIVHRCVKTENMLLDDNLNLKIADFGVACIDYDPKDMTAPAVTPCYMAPELLVGKPYNHKCDVYSFGICLWEIYCCKILYMDVKFDNIKSAVLKKHLRPEIPKCCPRDMARIMRRCWDAEPVSRPDMQEVVAMLEKLDTKKDHRMVPVGQPSGCFCFSIRRRSF >OB04G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3880035:3880238:-1 gene:OB04G13710 transcript:OB04G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVGASEVGAGRLGCAGGRERRRMMGAVDAGVRRGRSSGWGHSEEDGGSRRRPVDGGSGIGRFAG >OB04G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3881349:3881552:-1 gene:OB04G13720 transcript:OB04G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVGASEVGAGRLGCAGGRERRRMMGAVDAGVRRGRSSGWGHSEEDGGSRRRPVDGGSGIGRFAG >OB04G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3887012:3891269:1 gene:OB04G13730 transcript:OB04G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAAAAMAAALHIPACRFTVHLAQPDHFVFVFSSSGDMDLALARSPIPAASRQLVLRPWSRVASASAFSLLFRVSLDHVGVPAHASNPSTAAALIAPCRLLALDMAAGAGRPVDYRRLGLSALAPDPALIPRERLLLIPEPSAHGDGAPPLLQYNVVIHVRATSQVARGPEADSPQLLAQQELQLSAAFASHRPFSSGTRLSSAPPPPELFFDELDALAAEEDVAPVAVAGDNDHLSSPGGSGSAESGTLLDVPVMAIDVEGRPSSVVLPSAAECAALRVFLARCCKPLPPALLSAPPPPRPLAPVPDVSCIYYQWVNGYGDHKNVFIPAYPSGEGICLITYPQVWCCADVVPWWLSVVYGPQEEPHKLRFLDELRTLRSSLAGPWVVAGDFNLILEARDKSNSNLNRRMMGRFRRLTDELDLRKLPLWGRRFTWSNERAMPTLVKLDRVFFSQEWDELFPSCLLQAASSVASDHCALLLHSCLLSPKAHRFCFEAFWPSLDGFLQVVQDAWRPPVHLHPLAALAWCLSSTATRLQRWSDCHVGSVRLQLIVTPRNSSHEFLNLIVY >OB04G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3913988:3917759:1 gene:OB04G13740 transcript:OB04G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LW49] MASYHQVFVFLLLLVCFCKSDDSLTPARPLSPGDVLVSGGGAFALGFFSLTNSTSELYVGVWYNKIPVRTYVWVANRNDPIKTSSSSVKLFLTNGSDLVLSDSNGGGTAVWTTANNISTGGDGATAVLLDTGNFVVRLPNGSEVWRSFEHPTDTIVPNVSFPLSYMADRSRRIVAWRGPDDPSAGDFSMGGDSSSDLQIVVWNATRLHWRRAAWTGAPIFGVIQSNTSFRLYQTIDGDTADGFSFRLTVPDGSPPMRMTLEYTGVLTFQSWDSNASSWTAFSEFPTTACDQYASCGPFGYCDGAEAAPSCKCLDGFVPVDGLDISRGCRSKEADLGCGGGGAGDGFLTLTSMKTPDKFLHVRHRSFDECTAECSRNCSCRAYAYASLSSVDGTMDDRSRCLIWTGELVDTGKFRDGTGGENLYLRIPSSEVNKTRSRSVLKIVLPVMAVLLVILASTYLVCKSRGKQRSKKVQSKHSLQGRKDYPSEVGNENVEFSSVGFDAILAATNNFSDDNLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGAEEFRNEVVLIAKLQHRNLVRLLGCCIQEDEKLLIYEYLPNKSLDAFLFDAKRKDVLDWSTRFKIIKGVARGLLYLHQDSRLTIIHRDLKPSNILLDTEMAPKISDFGMARIFGGNEQEANTTRVVGTYGYMSPEYALDGCFSVKSDTYSFGVILLEVVSGLKISSAHLKVECSNLIAHAWSLWKDGNASDFVDASIVESCPIHEALRCINLGLLCVQDQPSARPLMSSVVFMLENEAAVLPAPKEPLYFTMRNYETNDDYSRDSTRRSLNRMSITTLEVR >OB04G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3945750:3948879:1 gene:OB04G13750 transcript:OB04G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSAPGAKSPAASHPASFLLCIVLIFLSCNTITPSSAQPSNRSESELQALLCFKQSVSNDPTGAFSSWSVSVDFCRWNGVTCGRTSPAHVVSIDLTSMKLSGALPDCLGNLTALQTLLLARNNLEGTIPESLARSLSLVELNLSRNNLSGEIPPSLFNGSSNLVSVDLQMNNFVGKIPLPRNMAALQFLGLTGNKLYGRIPASLANISSLSSILLGQNMLSGLIPESLGQITNLSTLDLSINKLSGYVPATLYNKSSLELLRIGNNSLIGKIPPDIGHTLPNVKTLIMSMNSFHGSIPASLNNASNLEMLDLSSNLLSGFVPALGSLRNMNKLFLGNNSLEAGDWSFITSLTNCTQLLELWMDRNKLKGSLPKSVGNLSTHLETLKFGGNQISGTIPDAIGNFINLTLLEINSNMLSGKIPQTIGNLRKLFILNLSVNKLSGQIPSSIGNLSQLGQLYLNNNNLSGNIPTNIGQCKRLNMLNLSVNILDGSIPVELVKISSLSLGLDLSNNRISGSIPQEVGFLSNLGLLNFSNNQLSGEIPSSLDQCVLLLSLNMEGNNLSGIIPQSLYKLKAIQEIDLSENSLSGQVPMFFEDFTSLAHLNLSYNNFEGQVPTRGIFQYPNSVNLEGNKGLCALISIFALQICPTSSAKKKTDTRLLLIVIPPISIALFSILSMIFTLIKGSETHQSSSYQETMKRVSYGDILKATSWFSHVNKISSSRTASVYIGRFEFGTDLVAIKVFHLDEQSAHNIFFTECEVQKRTRHRNLVKAITLCSTVDFDNNEFKALVYEFMANGSLEMFVHSKMYQGSPKRVLTLGQRISIAADVASALDYLHNQLVPPMIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSSCTNPEGFDGFGGTIGYIAPEYGMGCKLSTSGDVYSFGVVLLEMFTAKRPTDTIFGNDLSLHKYVDLAFPDRIGEVLDPHMPLHEKKVVHDFWIQSFTLPMIEIALLCSKESPKDRPGMREVCTKIASIKQEFDRNL >OB04G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3945552:3946300:-1 gene:OB04G13760 transcript:OB04G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRGILPTKLFIWRSTLTRLDDPLNRLGGISPDRLLRERFNSTSDKLLAKDSGMVPSRLFLASSRVCRAVRLPKQSGRAPLSFMEDRNMRTMQRRNDAG >OB04G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3947123:3947419:-1 gene:OB04G13770 transcript:OB04G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDNRRTHWSSEEGISPDNWLLEKFRSPRLLRKPTSCGIEPEILLLERSKPREREDILTSSTGIDPSRMFTDKFSIFSLLHCPILVGMLPDKLLLFR >OB04G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3954246:3959396:1 gene:OB04G13780 transcript:OB04G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGSGLSSSLLLLVVVIGCAAAQVPIPARTDGFVYGGGKPAPAIGETVVVEAYLDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLPYHSNAFISCRSIHTVNKINPLFVYPLLERFFKYQEGYYNQPTYGKTRATVVEEVTNNLVAPIIGKTKLAAYKAGFNESQSDQATRISFKYGCARGVTGTPYFFVNGIPLSGSGSPLDYNKWISLLDPLVGKM >OB04G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3972678:3973085:-1 gene:OB04G13790 transcript:OB04G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATHLVLVAIALLVLSSDISRKVSATEECHSAPITEVTKPCVDEVCKAVCTQKYHTSRGHCFTTDGLCYYTFCAGNLSLAQTALN >OB04G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3985631:3986352:-1 gene:OB04G13800 transcript:OB04G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDDQAELCFKSVRDTSVLRHAFDDDAWRPSYCVAVADDGVGAHKKNVKERDRWLVTTARGCTLGRRALVATFYGDVRIWAQGSGSGGKSDDGTMRVGLNRRGGCHWFGKGRRH >OB04G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:3986714:3987112:1 gene:OB04G13810 transcript:OB04G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLHAPFPSFYFVIQPPELRRRRLSRRCSASLCSPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAPWDGVAWTPRSRIRRSLGRIWSPPCCRHPPWPGTSL >OB04G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4010296:4010721:-1 gene:OB04G13820 transcript:OB04G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATHFVLVAIAILVLSSDISRKVSATEECHSAPITEVTKPCVDAVCKAVCTQKYHTSRGHCFSTDGLCYCYFCAGSPPLQQTALN >OB04G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4028894:4035906:1 gene:OB04G13830 transcript:OB04G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSLSSTSQEVPCNSEFCELRKECSTTSQCPYKMVYVSADTSSSGFLVKDVLYLSTEGALPQILKAQILFGCGQIQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLISNSFAMCFSGDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISITEITVGNSLTNMEFSTIFDTGTSFTYLADPAYTYITQSFHAQVQANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGGVFPVIDEGQIISIQQHEYVYCLAIVKSAKLNIIGQNFMTGLRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGFSPSAPENYSPQETKGGNPASVTQLRPLSNSSPVMWYDSLVLKFLLVHLAILV >OB04G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4036494:4037850:-1 gene:OB04G13840 transcript:OB04G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATLLSPASDDRFWDRLRTRVDTILEDRRLVAPPAAASTCAEESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVNALATCSSQARECERVADDSFDDEEPKAKRQCGLAETASLDGETPAAGSDAGEGSSKEAATDAAIQATSEVAQSTNLKRARNLAVSMAGRAASLARELKNIKSELHFMQERCGLLEEENRRLREGYDNGAPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLAESYEDVMEGVRLDFSSPLGKIDSDDDEEGGEREDRGVPVAPADKLEALGSADE >OB04G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4044751:4045662:1 gene:OB04G13850 transcript:OB04G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAATSCARSFVLALLATCLLFDGALCFTASGWNRAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTALFNDGASCGQCYRIACDYQADKRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVLYQRVPCAKQGGVRFTVNGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFQVTSSDGQTLTFLNVAPASWTFGQTFSTSQQFS >OB04G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4065551:4066717:-1 gene:OB04G13860 transcript:OB04G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRVNVKELISNVSVYSSITESSSGMSLIIKRWATKKTAGSTKNGRDSNPKYLGVKKFGGERVEPGNIIVRQRGTRFHPGNYVGMGKDYTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSSIAAEMEPL >OB04G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4101823:4103158:1 gene:OB04G13870 transcript:OB04G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVTGWAAMDESGKITPFVFKRRENGVDDVTIKVQYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVAGFKVGDRVGVGCIAASCLDCEHCRRSEENYCDKVALTYNGIFWDGSVTYGGYSSMLVAHKRFVVRVPDTLPLDAAAPLLCAGITVYSPMKQHGMLQAAGSRLGVVGLGGLGHVAVKFGKAFGLNVTVISTSPAKEREARESLKADNFVVSTDQKQMQAMTRSLDYIIDTVAATHSLGPILELLKVNGKLVLVGAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDVCGEHNITCDIELVSTDRINEALARLARNDVRYRFVISVGGDSKL >OB04G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4137051:4137395:-1 gene:OB04G13880 transcript:OB04G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSEAKQQAGEDGGGPTTECCVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDGNKPAAAKHAGEQPLAEDMVIWFSTMLVPGF >OB04G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4137231:4138867:1 gene:OB04G13890 transcript:OB04G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQAPRRLADADPGEADATLRRRAAAVLAGLLLCLRRYNIPELAKKYELGLLNSTDQFGDPNRPLEETAPTAEESAVSTLIMKPLKEAFQLVVLGFLFWQAKQPARVEKVLKSVYKDTTNVDDYTNTGEAYYRLMSQFMANQSRYTL >OB04G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4144001:4144390:1 gene:OB04G13900 transcript:OB04G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSRYTLYRLLTKLPCPLLLLCGDLDPWVGPAKAARIREFYQDTTIVNLQASHCPHDEASERSTRRCSSGSPPMSPASRRSPSPQARRTGDAEKRERERGEKEEKGRKEVERKKLICGTDQTPHQQN >OB04G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4174829:4181759:1 gene:OB04G13910 transcript:OB04G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G42150) TAIR;Acc:AT5G42150] MRSIRAAQALSSRTLLLSSRALHGAAASTATSAGPGGRRLGAQPLPLSQAASSSSSRAMPGGIAGAVSFSLTFATMAAAEAKAKERPPLDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEQLVDSSDIINILQQRIHPDDKVSNEEEEKWRRWVDEHLVHVLSPNIYRTTSEALESFDYISKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNIIDERASLYDAANTWMEALNGRDFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVEHTQIGEWYQRMEDEIGQPSRIQE >OB04G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4184380:4186291:1 gene:OB04G13920 transcript:OB04G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRDMKIPEQVQIAAEPIMDILQGLGVKMFDSVRRTQKRFFFDISDVSYSWDHRRRQPIGGEAFGSAQRQSGGRLRLLRQHGGPQAHGMKGNNGRLQWCGIKKAVEEITLSCGDWSTRGLQCGAFCFGVGAAVHSINCE >OB04G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4188154:4189548:-1 gene:OB04G13930 transcript:OB04G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSMPRTRRHMKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVSTVEVTAKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >OB04G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4199917:4210751:-1 gene:OB04G13940 transcript:OB04G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSDFHVLMDAKKSTVTSSEWGGDNKCSISKEITGTLKKHEPKESMTLVISSEVETVPAVAEQEVYSRFVKGSIYEEQIEGNKNVGLQAGIGSTSVAKRDDVKSSNGDIPSDAGTEKMHEDLGLQKQSNQNSSNLQAVGVSDLQANQSKPLNIKSEATHDHERTEAIQFISDEHKSGKQGRGDAASFSILQRHPSKLASDLVSQHPKSETQNQMQIIPEHPNSSLEMSPEGSSVRLIKKDQTRLVSSADSENDIAKIPEESSQERTRSSEKVQSKGSVPSAPKSSQASRTYVSSVKHRLIVPKEQSQKTASEGSIPPRSLQGEAPFHSRNKGMPLSFYQRKDKIHHRSTHTTHETSNCSVSTELQSTEMTASLSDEQLALLLHQQLNSSPRVPRVPRCHQATTTQMLHSTGASVFSKRSSAHGGRDQAPVLKKRNKDDAWRDNDDTKRTGKVSYVERRHRDCSMEHALAGKESCKFAENIESEQQNRGICSTGPTTGLGKDAPMDSSFLRDLPGLIDEIISKNINITYGELCNAIRQHQGDLSKPNMEDNANPSFSHAVNDCLRNRDDWVHLLDQAPMMNPNKRRKVEESDSLSADVLATEKMSKGAKRGPEDVNAELHQDILPRGKRKARKCRRLELKGRRVNDTRRRSSFGSASDDDAATLSDSGSDRNDTVNKSLEDSLVAPDHGGYMEAKSADSSS >OB04G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4211001:4211673:-1 gene:OB04G13950 transcript:OB04G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRLERPAAAHTTTATPAPVPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYLRGVHISFSCHNCKAKRAPSTADEAEVAELLAELPTHRPRP >OB04G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4215099:4220409:1 gene:OB04G13960 transcript:OB04G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAAPLRRSLAPFLKPSRLSSSLAPSSHHLSLPRALRPAGPLPSDAEDSDDSNAGDGSAEPLRKSRNNLKREARRAVQWGMDLAKFSPLQIKRILRAASLEREVFDALMLVKRFGPDVREGKRRQFNYIGRLMRGAQPELMDTLIQASKDGDDNKLLALMSDNTLLMEDEEIEDLPHDEEGDKEYIEIADRWFEGLLCKDISVTNEVYAIHNVEFDRQELRKLVRGVHMVQDNKGNKHSEEESTKKLSGAKKPLLRFLRSVAKKAYAK >OB04G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4222609:4223260:1 gene:OB04G13970 transcript:OB04G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRLPSVLVIYILAAPLLPARGSEPSSTPVPSVDVTAASHLASGHADFICSYLDSGIIPQWDPLPS >OB04G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4223428:4225943:1 gene:OB04G13980 transcript:OB04G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEFKKGHVENSLNVPFLFFTPQGKEKNTKFVEQVALHYYKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYTAWVENGLVVNKPQANDEL >OB04G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4228638:4234651:1 gene:OB04G13990 transcript:OB04G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:J3LW74] MAMASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKAEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITASEYLKFKETLYDDKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLSGKAESMKPLLDYLITLNCRGEKLMINDTVDSVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPINMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPRILVLTRLIPDAKGTKCNVELEPVADTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDASVKILDILDGKPDLIIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRFATGINVFDPKFNIAAPGADQAIYFPFTQKQKRLTDLHPQIEELLYSKEDTDEHIGYLADKNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHVNPMNGREAGSKIADFFQKCKEDPGYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQFRNLAKAVPRAGEQARQATTGVAPSEIVVRPKERKPQTRIQRILTRLGAQKPPISE >OB04G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4240781:4241906:-1 gene:OB04G14000 transcript:OB04G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEWLNDLMICYTKKQIFRSIRDEKIIQHFEEMKERQMLVPHKKLVVMKNNRRLAFNTIYWALLSDLNRT >OB04G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4264466:4264864:-1 gene:OB04G14010 transcript:OB04G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSIWAILNRNTACTLRSSSTAARTGGYRRSLGSHRLATLAASSSFSKTPTHRRPFLLFLRSPHNVGCRRLWMAMAGASFLRTSGRIGKGFFHTSKRAADLPPPVSTHSVSYNMRRRRRVAWEHELDPSMK >OB04G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4268168:4274876:1 gene:OB04G14020 transcript:OB04G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT1G78560) TAIR;Acc:AT1G78560] MPLLHWPTTAPCLTQIHHYQHLHLFNTHNPRLRATFSSLPAICLHIHDSNNPVRPFPSIPLSRCHAATDPAPSKIPVGDGSVLEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWQPSAFLWVSPMAQIIGISFTMLGMGMTLTLDDLKTALLMPKELAAGFVLQYSVMPLSGYFVSKLLNLPPYYAAGLILVSCCPGGTASNIVTYLARGNVALSVLMTAASTFAAAFITPFLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRMLGIDISSSRTISIEVGMQNSVLGVVLAGKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >OB04G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4277876:4280093:1 gene:OB04G14030 transcript:OB04G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLLFCCQAVWVSFLLATRGHEPGSVSAQILATWCAGVVRPIVCITCLESVVLPARYSRSAHTFLLPQPFPWGSLDLLPLPFYSLLLSDHINLCHSTSHFRPQYAAGPMVIVRTSHVLILNDVVDQCMLPSPIYSWEFHCDERLLVGVELLIPSAVNPGQLEPIFFWCPAYDRINHAHEAVAKEAVRFLQARYGFAVHDYNFAAMIAYRRIARDAIDAAMSASSYVARFRSSYAAIPSHCDDILRICSSL >OB04G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4285121:4296204:-1 gene:OB04G14040 transcript:OB04G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LW79] MALLRRLFYRKPPDRLLEIADRVYVFDCCFSTKTMEPFEYKNYLGNIVLQLREQFVDSSLMVFNFKDEGKSLVSGMLSLYGITVKDYPCQYLGCPLLPLDMVLHFLRVSERWLMLEGQQNLLLMHCEKGGWPVLAFMLAGLLLYRKQYNGEERTLVMVYKQAPKELLQMLTTLNPQPSHLRYLRYICRMDSKLEWHTQRIPFSLDCVILSEVPNFDGVGGCRPIVRVYGQDFLMVDKNYKADNVPVKLNVGSCVQGDVVLECLHVDDSLEDERLMFRVMFNTCFIRSHILLLNFEDIDISWDAEQWFTKNFKAEVLFSELDGESDVSTEVVSDYDNEIEVGSTDEFFEAEEIFSNPDSQEGQRDIDVISTTSTECSPSVRQMKTTPISLFDLEIGLHTSQENKFNDMILSLEIPHNNTTCTSTKEHFTHENKTGVMISCLDSSTQGDRYTRNPYSSNYRGKEDDCILGNNNSIHEDLGIENVLVKEVIISESNSPKDIQMIKEVIISEVTTSKPVMDLDTMVIELSNVVQNSETVALAEANNQEEFPIAPKQDEGDNLVEECIVCDNSITIKQQEDKEKEKTSDSSTIGVVLDSTQDNTRMNLLLSGKPDLQIMGNYQELNCLEQKIMLLNLPGSNYVEEQMEETRASISNSNDQVPEEAFLDDATLSITSKACKEFLQCKIINGALPSTIHSKENRDIPIPPPTPPHCSSDLTTPPCFILEDHAKREARILIPPPEGNHGILPLSSTECIKASAQPPPRSLSEDPCNPSKCLPNALSHYFHEENRGPPPPPPLPPLSKETTLIVGFQDGTTLPLASGTYAEAPLPLLPNPRASADAPPPPHPPKGIGGVPPPPPVGGLGGPPAPPPPAGFRGGVPPSGQGGVAPPPPPPRGHGGVGAPVPPMPPGAPTPPMPPSVPGGPPPPPGGRGMPAPPGGRGVVGHGLARSLGPNSAATARKSTLKPLHWVKVTRAMQGSLWAEIQKQADTNSHSEFDVKELESLFAVAPKTKGGSKSDGAGKSLGSKPDKVHLIDIRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKSNYVTRFFYQNYSGDKETLGKCEQFFLELMKVPRVESKFRIFAFKIQFQSQIRDVRKNLLAVSSACEELRGSEKLKVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFHEDFVNLEAASKLQLKALAEEQQAVVKGLQKVEQELAASESDGPVSEVFRKTLKEFIDASGADVRSLSALYAEVGKSADALAYYFGEDPAKCPFEQVTSTLLNFVGLFRKAHEENIKQIETEKKKAQKEAEKEANQDRTPVKSKDGLVDRSPRSPFR >OB04G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4322603:4322833:-1 gene:OB04G14050 transcript:OB04G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGVCGCSNLVQATGYLQVDTFGGWNFFALVAGTQYFVVGWDLCSWMVDGSFLFMLCFLYEHIGYCILKHAFVCA >OB04G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4322601:4324940:-1 gene:OB04G14060 transcript:OB04G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVQQGKVDVEFGQDGVEMGSHIGKRHRVVRPGWVGDAARSGEGERSAALGGEVEVECDQRQGEEIVLGRGGTAGCGQGEERLGKTQGVTKEASVEESTAEEANEGGRWSVHGSGATLVVLCMTASCFLPSCTQRLRVAYGKRRLGMHRASGLGQGQRPATDKAATARREGGQAQCRYPGVPAHHFPSRSQPTSVSILLPAQLHTEAVEGWGLRLGAPELARPASCMCSLSNGSANNKE >OB04G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4349744:4365251:1 gene:OB04G14070 transcript:OB04G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKKLKETTSLSPPLLPAARKALVVPLLLLLLIVSVAPPTASDGVRYDYRAYTECKSHPEPALYNGGILRWANKVTDFRKEDDGNYSPAFVLYNMSAATVYSFSCWVKIDGTSTAHVKAKILTLANAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQTASPNASTISIRSASLQPFSPEEWNQHREDRIQLNRKRFVNVHVADSNGNRLVGAKVTVHQITRDFPFGSAISRTILGNKPYQEWFNKRFNAAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVVRGHNIFWEDPKYTPAWVKNLTGSQLHAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGSNATVEFFNTAKRADPLATLFLNDFNVIEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFSKPNIPYVRAVLDKLGTLRLPIWLTEIDISSSFDPKTQAAYLEEVLREGFAHPSVDGIMLWTAMDANASCYQMCLTNQNFSNLPAGDVVDKLLGEWQSKETLGTTNDRGSFNFSAFLGEYKLSVTYHNLTAEGTFSLAHSDDTKHINIRYNGSLGSPFSLNPLPHLVSYSTRMGPQHMALPGPGLQMVRNTKA >OB04G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4369361:4381778:-1 gene:OB04G14080 transcript:OB04G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLFPYADHSTCTLSVNSPNIFTSSSERIAACTLYRDRGPEPWLRKTCSDAWLSTGIAEAIDGNGSSSHDQSDIYCIICYGSGKLEIFQVPSFRCVFSVENFISGDALLVDSFSQLVYEDSRKERHDCTKASLRKEAADSIRIVELAMHRWSGQFSSPFLFGLLNDGSLLCYHAFCYEPSEGIEKKNPLSPQGSADHRNASDCRLRNLRFHRVSIDITSREDIPTLGRARITMFDNVGGYEGLFLSGMRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHATPHQVTYYAEHSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDDLQKTYTVDEFEVRILEPEKAGGHWETKSTIPMQLFENALTVRIVTLYNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFMRSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGPLDCFATEFLIDGSTLSLVVSDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLASEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDVVPHACGLNPRSFRQFHSNGKGHRPGPENIIDFELLANYEMLSLEEQLDIAQQIGTTRSQILSNFSDISLGTSFL >OB04G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4372028:4373105:1 gene:OB04G14090 transcript:OB04G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHKMCNSSRWCFLVAKKQSRSATAAAAVLNLISFTIASSGKIPSTPTSSSYELYSRGPAVLGADALVWCSSIRSNSLSQPVDTISPSLLQSSLPHSSRRCRQQSTGSRRPSPCSSSEHNDRPPRFQKMDIPKYDDKSDPLAFINRNESYFHQQRIVEEEKVWMASYNLEDGAQMWFIQVQQDEGTPQWHRFTKLLHLRFGPPLRCNPLGELMACKRTGSIVNYQDKFEALLSHVGTMTKEQCIQIFTIGLQPPLSLDVEIHDPQLLAFAMNLAPKTTLLPMPPQWPILPAPQPLTAPTHRQ >OB04G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4388209:4389887:-1 gene:OB04G14100 transcript:OB04G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKHQFDGFVVPDTVKYAHLQLDFVLKILVHFCVRLASPSCMQSADIEGDLPFSKRLKRIPSDVLQDVTSVEELPFQNNIAPNNLESAQKISYVVRDALINVGPLKDFSYGLRGNADPNATGNAKQSNYELHQYYYNQGFKFYL >OB04G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4392087:4392293:-1 gene:OB04G14110 transcript:OB04G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGMIFYGSSLSYCLCYCYRTNRRTESIITCFLLFLFRVVQRLDLMKSKSSVLSSVRYTRELICFDF >OB04G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4406994:4409451:-1 gene:OB04G14120 transcript:OB04G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEDRLLAALLGLEKVDGVEVICDRLDQQDLKLLQHGDRLKAIQHKHMHLHMRGQRLGDILCDEIPCPLCPVAPDLPILPREASDAARATAHGWYDDSMENYQTQFDLYKAWLDEDARASAILVASMEVHLTAKVVNLTSAHLMWTHLRDRYAPTGDALYLAMHSHLDLRRIYDFLTRLRSEYESTRAQLLAWHSCYYDGSSCRDSFRGDSSSGGGYSAGSFFGVSCSYYDFFDYCATSYAFCSFVFFIRHVLLPQLCHLLGVTSTATIVIKMAMWSLFALGRRIYTVAVLRRVLVVLLRRILEVQIHRKFLCYYVALLLLLRQVLLAPLLCHLHNLVLLFLVLHLPLRDHHMLQPANSRTMAAMIVARVSWLVLALAAVTLNVFGSLTGFVFLPLRLPVFLHPLLPLRRLSHSCIID >OB04G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4433184:4433672:-1 gene:OB04G14130 transcript:OB04G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAVYKMMHWPTGVDHCAAGFITHSPLTPLPSPPPPPAVVAGPGPVGDIDSVAAEAGRPRRLGPTPNLVVVAANVLEVYAVRADTVAEEGGGGARRPPRSAPCWTVSPRRAWSWCAITGCMETSSP >OB04G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4421601:4428517:-1 gene:OB04G14140 transcript:OB04G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDVSRHRSLVRRSSGRRWEVLERRHCPPCRCRSDGGVAEVDLRRRQGHCSIALYRLAHATTALRGPGPGQPRRGSPEEGVVDDRSDYQMASGDGEEGAAMVRVDDQERSITSRMAIGGGNLALGLRRKELPGFSSQVNISASDIKRLADRVIARSKETYDAVGAVPLDKVSFSNVTAPLAELDAQLFPLVQACVLPIMVSPSDDLRSSSAEAEKRLDFHFLRCSDNSAGPGITTAAPDGSDIPGTAPMAAESVDQCCCYFYPWYSGIQPYSKKR >OB04G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4448909:4452220:-1 gene:OB04G14150 transcript:OB04G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYIGKSALLLGWNQVERTPSLRPCDSLVLGSPSLSRSRKTKRRCWPKPRRSMRNTQPSELEQFRKCSADLEAEHLRLIKGKEATIAELLGWAMYNAAPDWPVEGVTTRRRESKDVETVDNVFYEVPHMRKSIMVIGLASVHLVNLSMVINSVFTEAWLSQSPDWIDCEIFFPSSASMQRMRMPIALRRRSSPSENMSWVPDGMYIVVVQVGPYVKFTNNGRPEYHVTRNLNWILDKDATYWTNVLADINDEVKHSPEQDLTRRITTKIWCQTEEADPDYDYELGSDKDDDDLAVDGVEGCKSVIHITDVENPKIAMGVTFEDGECFKRCIKKHTILKEVGLAVPYCDMRRYRAHYKAKRCSMITPRNLHQLPDNSMH >OB04G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4457651:4458637:1 gene:OB04G14160 transcript:OB04G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRDVSGSSTTSDGRQGRARLHGEWQQLRFDERSGETGGERGGGATVATLYPSTPHDGGFGPVRLGLHHEEVTEHAEVRRNAEKSLTNINKNKNMKDRIGVDMVEFEFVKIKQAMEEGGNKKTEPSLEEGGEDDDFIGAGEWGWETAHLRLDTTPPRPVWGSAGLMLAALHFDSRQSTSAMPRVAALDKCLTEKPSMNQIPIYSQGSVVEFGDRDVAGGGSKVIGSVTALV >OB04G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4465216:4465827:-1 gene:OB04G14170 transcript:OB04G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAAALPQSPYAAPPTWSSPQSPSTPLGSVAPVPSTAPRPAVAALARLCCPVASAALAWRTHKHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHVGRTAISSTSVTAATTTPGHDTGVAIRIGPSQATDCRNYTRRELPARERREEEERE >OB04G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4465304:4465558:1 gene:OB04G14180 transcript:OB04G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMATPVSWSRGLDDGGHVLSLLALLEVAGRQEAVHGEAPDVEVCIHVPHLLHTCPGVVVAAVTEVDEMAVRPTWAMLTPSTAR >OB04G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4465923:4466267:1 gene:OB04G14190 transcript:OB04G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFISSDYYMNPFVSAEPEVRCMVERTNKDEFLILASDGLWDKVSNEVVSKIAGNCFNGRTTAMFLDSVSGSSATDAAAPLADADAELSISRGSMDNINVVVVKLRRLKTRAA >OB04G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4521158:4522700:-1 gene:OB04G14200 transcript:OB04G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRFPSDLFLLNLRRPAGFIANCILHNTQAQSWRLPEEVTSKRLFLKRYPATTQRFPDDLFLLNLRRPTCCKTSKGAAFLMPLTVTTTSSSIDGDNGNGFGDVGGGGPDT >OB04G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4542165:4542539:-1 gene:OB04G14210 transcript:OB04G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFCFVGLIFWGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGVGGIPHQSNDC >OB04G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4554040:4556371:-1 gene:OB04G14220 transcript:OB04G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEAGGSSAEPSGSAAPGAMVVGAGGEEAAARKRYDALMQSAALVLSGGKEDLGALAMLEDSVKRLKSPVASPGAMLPRQQAEAALALLAEWFLESSGSVSLAAAEHPKLKAFLRQVGLPELSLAELVGARLNARFAEARADAAARIREARFFQLAADGWRDQVVILCVNLPNGTSVFQRAVPTPAPASSDYAGQLMLEAVSSVSASSELHHCAGIVSDRFSSKALRDLEHKHPWMVNLPCQAHGFSRLIKDMARELPLFHSAVANCAKMAAYFNTAPTVRALLHKHQVQEHGHAMLLRVATPSSFDRGDGNGSELTAAFAMLEDILTSARALQLAVHEESYKLVCIDDPAAREVGGMVQNVAFWTEVEAAHSLVKLITDMVREMEAERPLVGQCLPLWEDLRGKVRGWCRKFNLDEGIAMNVLDVRFRRSYHPAWSAAFILDPLYLIKDVSGRYLPPFKYLTPEQEKDVDRLITRLVSPEEAHLALMELMKWRSEGLGPLCAQAVQVRQPDPSTGKMRIANKQSSRLVWETCLSELKSLGKVAVRLIFLHATAKGFKCGPPMSRWLTAPGSSAAGIARAQRLVYVAANSKLERRDFSNDDERDVELLTEGDDDMLTETTTTAIVDPSSV >OB04G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4555436:4556509:1 gene:OB04G14230 transcript:OB04G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWSRHPSAASWKNRASRMRAAASARASAKRALRRAPTSSASDSSGSPTWRRKALSLGCSAAARDTLPDDSRNHSASSASAASACCRGSIAPGDATGDLSRFTLSSSMANAPRSSLPPESTSAADCGGGGRAGGDGEETDEGSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGARRRDEHGLQVRPVPGALPLHRLGTHLHQRVVPLPRRGLLPARAHHHCPRRRRPRRLRRRAPRLLRRHGVTRPSCPARYVGWVRFLGGFRGVSNVSTREATRARGLPYYWMGG >OB04G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4568171:4568380:-1 gene:OB04G14240 transcript:OB04G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKTRKGIYRTRTFLKRINQPSLQIYANYQGICKVLSGMGIAILSTFRGIMTDREAQLNRIGGEVLCYIW >OB04G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4581540:4582562:-1 gene:OB04G14250 transcript:OB04G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTASMMAGWASRFTDPAGASIFQLLGAAEERRAGMPRYEWEQKASFFVVHGSSGMSVAKRCALTMERCTVAAMRSCPEWEPEALRQVATGMPLIPLGLLPPSPDGGRRRAGMTGAAGEGQGSIHLWLDAQPPGSVVYVALGSEVPLRVDLVHELALGMELAGRFLWALRKPRAVADVAAILPLGFPERTRGHGLVATGWAPQLAILAHAAVGAFLTHCGRNSLVEGLAFGKPLVMLPIFGDQGPNARLMEEKKVGLQVTRDADDGSFDRHGVAAAVRAVMVDEETRRVSVANAVKQQAIVADRELHERYIDKFLQQIASYVVHGDSKTPGPATSS >OB04G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4591523:4592329:1 gene:OB04G14260 transcript:OB04G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPIPDDLAASVENPYLQRGGDGGLQSRSSEIFLASCGTDSGGEEPSFAVIWMACCRGKLVAFFFSSESQQWRALSPPEHYALSMRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTRRMEFFVADISPVLSGRAMMFSNQISTMDSMDGSTTVVVADVFRPDKRCVLYLYKFMYFSDPWQLQSKINLPEEWGYRFRGIVGAYEGSLFMKLDHPKQNLRDPVQQNITYFVFDIKTMQLGRFCEISSGSSEAYLYTGFPPSQTLPCV >OB04G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4601756:4602142:1 gene:OB04G14270 transcript:OB04G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEDKSKEAGSKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAVAVLNFPGEAGRTRTSSAGSSSSAAATPRPAPATATDKVELVYLDDKVLDDLLAEDYSYHNKNY >OB04G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4609334:4614167:-1 gene:OB04G14280 transcript:OB04G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTRRRRRRRGSGRWCGSTSRCTASNLQDFVPVLGLVDIGGARRRAVRLSRTRNAWAQRLIDEHRHRHRAGAATEARTMVGDLLEMQASEPEAYSDKVIRALCLSILQTGTDTSSSSIEWAMALLLNHPSAMAKAREEIDEVVGTGRLLEEADLPNLPYLQCVIRETLRLHPVAPLLAPHESSAECSVGAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGKWMLPFGMGRRRCPGEGLAVKVVGLALGTLVHCFHWSRVGDEEVDMAEGSGLTMPKAVPLEALYWPRPEMAPTLRAL >OB04G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4617706:4620043:-1 gene:OB04G14290 transcript:OB04G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-tetrahydrodipicolinate synthase [Source:UniProtKB/TrEMBL;Acc:J3LWA4] MSRGKFSVAAITLDDYLPMRSTEVKNRTSTADITSLRVVTAIKTPYLPDGRFDLEAYDGLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKVKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPGVIEAVSSFPNLAGVKECVGHDRVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHSLMYEGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLALEKRVEFVRIVESIGRENFVGEKEARVLDDDDFVLVSRY >OB04G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4622312:4622476:-1 gene:OB04G14300 transcript:OB04G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPPPGYCCPFPFGAFSFVPRSVRLLRGHDPVRPGWMGVSVCVWIEDLWWGFFF >OB04G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4624489:4625043:-1 gene:OB04G14310 transcript:OB04G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDAAASRGTVGPGATTAGVPAGTGAPMGDGAVRRPAEGSRSAEASRPAEGHLGDDRGSLCGGGGGAICYGGQESWCGGGGGIWCGGGQPRSRGASRIWCDGRGSCGCSCAVRFLPSTLVTLAACLETTAGQLSFHGLHHGNALLTVFTLLYVDVLATTGTMYYMAVRPVHR >OB04G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4628237:4628919:-1 gene:OB04G14320 transcript:OB04G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAIAALKERTGSSAHAIAKHMEDKHGVSLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDSPKAKPAAAPRPAKDAAKPKKPAAKPKKAPAAAAAGSKRKAPEKKVVAKPKKSPAAKLKAKPKTVRSPAAKKARKVAAA >OB04G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4636579:4646755:1 gene:OB04G14330 transcript:OB04G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LWA8] MHRSAGAAMAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVLCNYGPALLAGGASTLGALAVLLLFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETVPLSGSDFTSQMNSQQSMAHNDTGHSRARYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHVSLVSANTTTIEAYEKKTTPRWMYDLGRKRNFVQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPVRTDLDGQEL >OB04G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4650552:4656377:1 gene:OB04G14340 transcript:OB04G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFLLHAACCLAEEGWAARGWPPLPRPLATLLVMAVLAGSSFWLFFPPICREGGEEMLLEEWAAVAAFFQDAGRKLLRFAILKRKGTSDKSSNFIKAENKWYISEDGQNEMDATASVEDAKTGEGAEAGSGLPGPEENYTTYGKREESGLQSHCRTRPRSNNLPLPVEATTAYHHEKEDTEARVGFLQEENLGKMLQMDVTGENKSKIQAKDHLYKLLLTESLFTLKKFQLTQIEKFILGIE >OB04G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4657728:4661289:1 gene:OB04G14350 transcript:OB04G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVKFKSSLFRKASAGGSGGDGGEEATPPSSPPSSSRPRMVHKKVAKSSGDCLFMSAQNECPLYIGRNDSAPLTMHNYLALRKKWWRLLAGKRTYLRPPKSHLGHDGAFKCPNARPSTLGCQEPLLALVHLSTEKHIIKQKIKLLGEQYALVRQVRKDGSSFYRAFVFSLMENLGQMLEKQVEIARLMERVDMSLEKLSDLEWDKAYFLNPAAYFSSVANEFDDLVKSVADGLPADELYKSSLQEIKSSRTLSLLRLLTEIEIRTREEDYLPCPLGYTDALEFCVKMVRPMDIEPGVTQIKALSNALGVPLRIEVADSSSQFGAVQVTRIYFFPQSEPTDPSESSPGKATETLEQQTDSVPVERAGECPSGNFLTSGGIPLVSLLYRPAHYDILYLK >OB04G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4662783:4674596:-1 gene:OB04G14360 transcript:OB04G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVGVAPRRSSRLASSSAPHAGAAQPSSPLPRRRRRGASPSGCAREVHNVPHPVVMLDDNKADQPVEAGELKKQGEEGMEAEELEEMDKDVEVSEELPSWLPDGWIMEVRRGDNGSIYRYYTSPVSGYTFSTKMETLYYLFSEMDERVLESQACADGNELHRMHTWLPDGWIIEIRAGGKKMEKMYKFYAHLPTGVRFLSKENVLRYSIEGKISRCDVKVLCDTSSEDNILATVEFNPDGLPEGWVKEMIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGEITKHAYIPRRSVTDMYSFERCTDLPQSMLKRLKIQGKAKKKFVGASVIDKKLPNGQTSNNCMSSELDPRFEPEARKFVTVKAISESKEADNSDIPKRPRGRPRKILMPTAESSKAEKALVTSEASKRSRAEDVTSCLNRLSEPKEEMVKATGTTGKESVSSNNAKRPGGSPPKKFKHITDCSLDCPKSSNEESDHIVVTEGLGIGDEQQMPKENTLEYANMNEHVLVIQELGDTSNREKDKLSLVADRDLHEHENVKFTEAAGKLACTAVHKFYMRRSSNHTVALRKG >OB04G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4678517:4684106:1 gene:OB04G14370 transcript:OB04G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPTSPAAAGASGRASRLLFLRCPSTRFRLAAGRSATALRAQPAAAEVVEQSVNTIRFLAVDAVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPKNPYWFDRDRFVLSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLNIIDHYTYVILGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSTDIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGSKEVEATRNNLSWLHEPFHVPDEVKRHWSHHIDEGSSLEAEWNKKFGEYEKKYHQEAADLKSIISGELPSGWDNALPTYTTENPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAISNGIALHSPGLIPYCATFFVFTDYMRAAIRLSALCGSGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGKETSGAYKIAILNRKMPSVLALSRQKLQHLKGTSIEGVAKGGYIISDNSTGNKPDLILIGTGSELEIVEKAADELRKEGKAVRVVSLVCWELFEEQSEEYKESVLPCEVTARISVEAGVTFGWEKYVGQKGKAIGVDRFGSSAPAGKIYKELGLTVENVIETAKSL >OB04G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4684572:4685096:-1 gene:OB04G14380 transcript:OB04G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGAIARALHHLPHLRQLALKGVIKDDRTVRSISTLLRSTPGLDVLTLSLVRPQKPKPYYLGIDSDDDEDDYSYSDDDDAAAALDTRVRLPPALWEAQVECLQRLRKMKLLNYKGTPCERMLARFLLSKASALQQLEVTLPAKTAKDRVKKLTDELRFWRADNRTRLLYFV >OB04G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4719990:4720493:-1 gene:OB04G14390 transcript:OB04G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLHHLPHLRQLALKGVIKDDRTVRSISSLLRSTPGLDVLTLSLVRPQKPKPYYLGVDSDDDDDDWYSDDDDDDGAAAAALDTRVRLPPALWEAQVECLQRLRKMKLLNYKGTPCERMLARFLLSKASALQQLEVTLPAKTAKDRVKKLTDELRFWRADNRTRLVYFV >OB04G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4742702:4747666:1 gene:OB04G14400 transcript:OB04G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQGLRRRTARQITWIYQLIDGHVVRRRRSRETNCRTAREEDLLDVMLDMSEEQQNNDDESSLTVNLGVIRAFMADLLMAGSETSSAVIEWAMAELLQNPQTMTKLQEELKRVIGSKTHIDEEDIDQLPYLQAVVKETHRLHPAIPLLLYKAAVPVEIQGYTIPEETAVIVNVWAIHNDPEIWTEPEKFMPERFVQKEISLSSGSTNMELIPFSAGRWFCLGYPLANRMLHVILASLVHQFEWTLPEEVVKKNNGVDMAEMFGITLSMATPLHAVAKNTM >OB04G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4754319:4764986:1 gene:OB04G14410 transcript:OB04G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRNSKQDGGRRSLAGTAALVTGGSKGIGLAIVEELASFGATVHTCARNEAGLRRRLEEWSARKLAVSVSVSVCDVSVRADREALAGRVRAMFDGKLDILVNNAGMFFLKPAVEVTGEELACVMASNLESCFHLSQLMHPLLKSSGKASIVNISGISSITGFPTLPVFVFSAAKGAMNQTTKSLAVEWASDGIRVNCIAPGIIDTPLIDTFKSGGDDPQYHGVSGTDFVREDMARTPMRRVGKPEEVSSLVAFLCMPTASYITGQIICVHGGPIIGYKSTISIF >OB04G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4774343:4775394:1 gene:OB04G14420 transcript:OB04G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQSSQEAKIAVNLCHQKNLDALMSLEHNWSEELTGQFYARAYFEDSDDGSEEKVKRLMEGLEYSITISQFASVLGLDASNLSKIDLHLQPALSPKTIRKLYVDDSNKLTLGTVKGLLPHFDLLLKMIKTTISPKSGDKLALTARYAALLWSMRTYAPPFSVMKYIWNEIQAIVLDPSKGVAYAPFQHIMIHRKNVVKIKSNEHKINQILRDASHEIPQESEDEDYIDLFKAYEADLAARAAGASSSRTPQDSDEDTEEDDDDENNDADDDDVSDPLIPPYNASFLVP >OB04G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4777404:4798049:-1 gene:OB04G14430 transcript:OB04G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3LWB8] MVMKQLAALHFCLLSLTVISSGNIRPKPYYCLDLHNYVFSMVKACNSRMYMKGVSPNYKGKWKVPWIDNPEVGMTQDSDGQFRDVINAYLEPKCQWPYSEAVQREKFMGKNDEVSWRNIDVLQVVLVLPGDPVRSGFPVWLRDIPAIEFRTDNEPFKAEVQTFVTKIVASMKEEKLYSWQGGPIILQQIENEYGNIQGNFGQAGKRYMLRAAQKEIGLDTSIPWVICRQTDAPEEIIDRCNAFYCDGFKPNSYNKPTIWTEHWDGWYADWGGALPHRPAADSAFAVTRFCQRWKLTELLSENHSTGLVYLEEVSSLNIFIVKHIKNMVLLQYLVGTLEADFVPRHDAYKGILKQPKWGHLKDLHAAIKHCEPALIAVDGSPQYIKLGSLQEKISPTLPRSPCLAAAPSGIGCDSCWQRRPCPIRVTLPLSAAQGRAQARPLHAARLYTVATETCVEEVKDSQIEVLQAQDDLVKCMLEAIGKELLYISRDHHSHENLLRILIFQPKDWDEEYIEDLDEVKPEVSCLRNGFKWKFVGISYFSCVYVTLKKKPFFANFGATVTFTFLGTFIASVLTRVLVSCLSWWARISNVQTSIVEYLMFSVLISATDPFTVLSIFQELGIDVNLYALVLENLFQTMQFVFTSRVHAMVRVQMAISLYRSASFDYTCGKVMKHYTYSQSLKQLAALHFCLLSLTVISSGNIRFYPLTTRENGRSHGLIIQGCDAAEAGRMVMWSPAPVMELAHLAIDYSGDPASIHYALNATMLLGPLVGLIVSLSRYDLFHGHLFLSSGIGGHDQYILPKIAERTSKTFSTSSAVGIKPLLSEIPVPWLVSAIVKQRKVHGKE >OB04G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4800933:4802241:-1 gene:OB04G14440 transcript:OB04G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATETCVDEVHVCHLGENGEKGGADVVLKGHEAEGYSTVDFASVILDIEHEFVLLLHSSLAILNASTNT >OB04G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4806919:4813112:-1 gene:OB04G14450 transcript:OB04G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRVNVVLFLLGCSCSAAAAGEGVVRQVVAERSDDGGNFFESFNVTYDHRAVLIGGKRRMLISAGLHYPSATPEIFSWSSCAARILGVETSGFRSIRAMHFIAMVSSQILTISQQYGLNIGMDENHSTGLVYLEEVSSLNIFIVKHIKNMVLLQYLVGTLEADFVPRHDAYKESLDSVIFRESLLQMLLICCEGSPIKYLNGIEVKAKRGTQLLGKQNNEEAKIPSYKNHYFGRTNFPRTAGGPLQITSYDYDAPIDEYGILKQPKWGHLKDLLAAIKHCEPALIAVDGSPQYIKLGSMQETNI >OB04G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4836290:4843775:1 gene:OB04G14460 transcript:OB04G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3LWC1] MDHSTSLLRLIFLALGAALVVLVVRSSFHLPDGIDATTAAASSLFDGASCTRFSPWACRQQGRRPSSRESDVPRHPLDPLTGTANFYMRPIEGLTVLVDMDTAEVLHVSDRGAGIPIPAAANTDYRHGHSSPPTSAEAQGWLGFQKVRAPSMEPPAGSPGFELVDGHTVRWGGWEFHLKPDARAGMVVSRARVQDPATGEHREVIYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARFLDAVLVAADGRPYVRDNMICVFERYAGDIAWRHSESPITGMDIRESRPKVTLVARMAASVANYDYIVDWEFQMDGLLRIKVGLSGILMVKGTQYSHMNQVNQNEDMYGTLLSENVIGVIHDHFVTFRLDMDVDGADNSFVKVEMARQDTAAGESPRRSYLKATRRVAKTERDAQVRLKLYEPSEFHVVNPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKTEEWAGGLFVYQSKGEDTLATWSERDRPIENTDLVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILRQRPTRENDLPVCAAATGTTAA >OB04G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4843100:4843502:-1 gene:OB04G14470 transcript:OB04G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHHDHARSSSQAAVVPVAAAQTGKSFSRVGRCLRMGLLSKKFTGLRSKLDDTVGMMGKSSWHGTWWNPSVYHSTRSVFSIGRSLLAHVASVSSPLLW >OB04G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4846534:4846794:1 gene:OB04G14480 transcript:OB04G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVVAAGVFFMPTGIPGVTQLGAVASLAARVVVRARPMSQRRNIDVRGSLDGFSNATNLCFRELYVMLFHTELLVSYIVSTSCA >OB04G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4862451:4869145:1 gene:OB04G14490 transcript:OB04G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein [Source:Projected from Arabidopsis thaliana (AT1G18070) TAIR;Acc:AT1G18070] MAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVSKLIVVINKMDEPTVKWSKERYDEIESKMAPFLRASGYNVKKDVHFLPISGLLGTNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSVANPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKDADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAVPPAGNPTFSA >OB04G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4873473:4875046:-1 gene:OB04G14500 transcript:OB04G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVGRCFPNTKHRLCRWHVLNRHSDPLNIVFARNDRIESEMMPCINQTYTPYEFETSWDNSIRTHQLENCATMVALYEIREKWVPAFFKDVYRGRMTSTQHSESMNKLVKHKFVDHQTSLHRFTSRMLDVIVDRKEEEAAETRAGSGSPSLTTRWLFVVQMSRLYTRGNSILSLTVTLESFHVNANSGSTQLEKIPASYILKRYTKKAKSDVLFDRRDRETTCPDGVKESHRSNMIMIEAFGVVRAACKSKVAYDRAMSVLKGLRNQVEEIPYDKYETLEEHHQPNGEHFISKDPSPNHIQKVEHGGPDEEITLGARGKKLHTRECAWCHLRDGHYANTCPKNTANFAKISKVSSRGKGKRGRPVGGSGSGQGHHTAMTAAECSDLTIKTRSRRADLSALGRCLDDE >OB04G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4876284:4881092:-1 gene:OB04G14510 transcript:OB04G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFQPTGHTNPTAPHPTDAALLSPPLCLLRRPWSSPPPRRRSAQPLLGLTELTLPAPFDERTPRPPARXXXXXXXXXXXXPADAAALDGVLHQRPRETTPSTLATRRRSRASHHTTLMGTISALKGCGSTVVRYVQPYR >OB04G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4881551:4882488:-1 gene:OB04G14520 transcript:OB04G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKCILIFVSSPSPRCSHPVAGEDQCRLSPAIDISAWAATTATDPNPRAPTAAFPSDYPNPRAPTTTYTSNYPDPGATNITTTSDYLNSRAPTTASSSDYPHSRAPATTDPSNYPNPRAPTIAITSNYPNFRSSTITTTSNDPNPRTSAIVTTSDDPNPRPPTIATASTDYCRSTITRVLTITFEKFMVDDDQVSSR >OB04G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4885659:4886334:1 gene:OB04G14530 transcript:OB04G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGISSRRRSTPTQPSHRHCCLGCRHCHSCHRSKSQGSHHCLPFRLSKFQCSHHCLPFRLSISRGYHHCHHFRLSKSQSSHHCLLFPLSKSQGSHRYRPFQLSKSGAPTIATTSSYLNSGSSTITTTFNYPNPRSSAIATISDDPNLRSPTVATATTDCCRSRITSWTSSAFDACSYCRCSTIVR >OB04G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4889011:4893791:-1 gene:OB04G14540 transcript:OB04G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADCQYEVAQAAYVKLALHALKHPAAAVNGLLIGRLLDAGASPSAAVVSVADAVPLCHHPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANARRDDAELPPVAKRVGDHVFRNFPRAAVLLLDNMKLEEAVKVKSREPVVQLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQVVDFDDHLDDISKDWLNQGLLA >OB04G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4894664:4894894:1 gene:OB04G14550 transcript:OB04G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGISAIVGAGKLAFELDKCLSEAEPSYEPYAHHRIFHDKVIVRAFFEAEEAHRRKPMSCGAHKG >OB04G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4915004:4916417:-1 gene:OB04G14560 transcript:OB04G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVVGGGGVVVDGDDRYVVEPPPFLMSMAAHCDNAAGLAHGHAHDIMMLNSGATTLAPTLAWIRATVGSPEEGGVCSHMIPTGTTPVVSPGTIYVLVPEAHLNAKAVEACDTLRRERSVATHSMTSASRRWQRRRHAAMVKAGRTQAMAEAGGWHAGTCGRRDGCGFTCRI >OB04G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4917041:4930077:-1 gene:OB04G14570 transcript:OB04G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 1 [Source:Projected from Arabidopsis thaliana (AT5G55760) TAIR;Acc:AT5G55760] MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAIMVRQSKHLVVFTGAGISTSSGIPDFRGPKGIWTLQRAGKGVPGVSLPFHRAVPSLTHMALVELEKIGLLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPNCKKEYLRDFEIETIGLKDTPRRCSDKSCGARLKDTVLDWEDALPPEEMDAAKEQCRTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKRASLVIHGLVDKVIAGVIYMMNLRIPPYIRTDFVQLSLRHSVKKKCVRWTLRVTSIHGLRAPLPFLRSVEVSFPERPDMKPVVLKEQPFSLQRETSMNRSFVMLLTFNFSDGCSCLSSCIEWPVDFLKRKETFVRNRSIVLQELQYAAERQSRAGQQAILEREGLPRAETSIHALVTSIVRYDTEDVKAAVPVVTLMNSSGGSLSKRHMDAIGCNPAASSKKQKLVASGDRRKGLNPKTQGA >OB04G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4934376:4936664:1 gene:OB04G14580 transcript:OB04G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSLSGSANALFNSSYIEALDLSYNQFTGALSFFGGQFKYSSSYLYDSHYDMKGFTFTTKGNTYTYGHNIFVLMSGIDLSANMLSGEVPEELGNPSHIKSLNLSYNFLTGKIPATFAKMSEVESLDLSHNRLIGPIPWQLTRLSSLEVFSVAYNNLSGCCETKGVLNRVVMKKLADSSECVGLTRVRS >OB04G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4947332:4948888:-1 gene:OB04G14590 transcript:OB04G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGSIRIVLFPFPGQGHFSAFLSLAAFLHRAHPTADITLVSTPRNVEGLRCGSAGSPTAQYLRFHALPFVPAEHGLPGHGESADTVPVHRFIELFEATESGSLQEGFDGFVRDLTGDDGAGGAGARELETAGMRMLRRTMGVPVYPIGPLVRSNHADAGDGDAADVIRWLDAQEERSVLYISFGSINTLRLDQMVDLAAALELTGRPFVWAIRPPVGFDTNGGAFSVERPPMPEGFVERARAKNTGLLIHGWAPQVIILAHRATGAFLSHCGWNSALESLAHGLPILAWKLMADQFFNARMLEEQWGACVEVSRGNGPGSPAPGRQRLADAVEEVMGSSTAVGDTMRRRADEIREMIGRALEGGGSSVTALDEFLALTSRDRTMAQKFSHPKI >OB04G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4949658:4950402:-1 gene:OB04G14600 transcript:OB04G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLLGRSWAVDVARGSLVNVQKGNGWQTVPIKDLNRAKTLTLYSIGRGVDSELVTFE >OB04G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4984317:4985204:-1 gene:OB04G14610 transcript:OB04G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEELFWRASMAPRVEEYPYQRVPKVAFLFRTRGPLPFAPLWERFFHGHEGLYSVYVHALPEYRLNVSSSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLVSESCVPVFNFPTVYEYLVNSPESYVESYNINVPQCAGRYNPRMAPDVLEEQWRKGSEWFELSRDLAADIVADRRYYDIFRKHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWSRGGPHPARFGKATVTPAFVQAIRNNGTRCTYNGKPTTMCYLFARKFAPSALRPLLNMSTTLLEF >OB04G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4985249:4985467:-1 gene:OB04G14620 transcript:OB04G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGVLKSRRSNVGDEEEGSGGGGFPAAPRKECWLSLGILLKAVAALLIMMAGVLIGLAASASLSCYYVDGR >OB04G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:4996522:4998353:1 gene:OB04G14630 transcript:OB04G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTTTETSTSMGTKTPTEAALPQKPADGHFQGLFRNSLPTITSITRIPMKGAMGDFNDMDRIGGVEAPLAPMLPPLGGFRGMTYETVDGSGTMDLYSITRG >OB04G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5000112:5001548:1 gene:OB04G14640 transcript:OB04G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LWD9] MGSATGKNVVLFPFPGQGHFAAFLSFAGVLRRALPDDVAITLVSTPGNVATLRRRASSGAAQECPFLAFRELPFVPADHGLPPGCESADGIPPIRIIELLEAFETLQPAFDDFIAGAGDVVCVVSDPFVAWTVAVARRRGCAHAFFASCGAFGSAVVHSLWSRLPVRPDAAGRDLLPEHPDVVIDRSQVPSYSIRDDATAGDDPAAAFFGRQIPLGYQTDTVLINTVEEFEPTGLAMLRRALKLPVFAIGPLVRASSGPISPGTDTAAAVVSFLDSHPPSSVLYISFGSQISIRAEHMAELAAALETTGRPFVWAAKPPDGHDIKGAIRPEWLEERVTATKKGLVLHGWAPQVSILAHPSTGAFLSHCGWNSVLESVTRGVPIIGWPLAGEQFYNAKMLDEEWGVCVEAARGNAEGAAVEKGALAAAVETVMGPTAKAAEMRRRAGVIKAIMEAAREEGDGSSARKALEESFKAMKLK >OB04G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5008044:5009006:-1 gene:OB04G14650 transcript:OB04G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGREKGRRTRAAPGAPAEPSPKSTREQKKKKENKLQLLEARRTAKELLTVGEMFMCLAINRFVASSLKLLNGLENTGPLDYLTMFDA >OB04G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5009061:5009180:-1 gene:OB04G14660 transcript:OB04G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMFSRAHVVSYDLQGTDPHCATSFPDPHRRSSPTARGK >OB04G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5019979:5024321:-1 gene:OB04G14670 transcript:OB04G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSTQPQGQPDFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVNTAGKNLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPKADAAASSCC >OB04G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5056179:5056820:1 gene:OB04G14680 transcript:OB04G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRWRDAPPPGSVLYVSFGTNGMLRAEHMLELAAALESSGRSFVWKIKPPADEPGHDHHHHNNDSWLPEGFEERVSILAHPSTAAFLSHCGWNSALESLAHGVPIIGWPLAAEQWYNAMVLENLGACVEVARGSGDGTVVGRRRVAEVVETVMGDTAKGRDMRRRVQELRRVMLDAWSDDGGSSIEASQAFLEAMKLK >OB04G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5062313:5068356:-1 gene:OB04G14690 transcript:OB04G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSSTLILDYEKVNFSKKETEKRQQEAEGLEERPGWLPDGWIMEINLGGDGTIYRYYTSPLSGLTFTMKSEVLEYLFSGMDERFLESKNYAAHNKLVMTHEWLPNDWLIEIRAGGENTDKMFKFYVYPPAGVRLFSKEDVLTYINKCKITPFDTNGQCDTRTKDNILANVEFNPHSLPKGWVKELVFRKTKDAVRKDPYYTDPVSNYSFRTRRSAMLFVQTGKVPRRAFIQRTSVHDLYSFEKSADLHESLRKRLYFTPTTNRRPRGSLKSKKSSLREEILSDEESSYSSEDDDFFDDSSDSSNEIGRNKVQVAKATRKPRKVMSLNDIKRPVGRPRKQPNETKGKQCDAEIKRESES >OB04G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5069874:5070773:1 gene:OB04G14700 transcript:OB04G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPQVPDKRWYWLKSCALATVGNWDALEKFSKEKRPPGGGQSPDLVIYNLTDLIYNLTFTFFHTRSATGSNNPFALQIEHPLVVHEDIATYEVSLKTMQYTKEKANYHEEVYTK >OB04G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5072569:5079074:-1 gene:OB04G14710 transcript:OB04G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEAFRRIIFCVLFLFLLLFCMGCKCLTSEVNGTQLAVLKVDVSAQNARKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSSIEPWSIIGNEYTISVATDRSSCFSRNIIALRMEVLCGDCPAGGVGIYNPGFWGMNIEDGKSYSLVMYAKSSENTELIVSLTSYDGLQNLSSATLRVPGTSNWTKLEKKLVAKGTNRTSRLQITTNKKGVIWFDQISLMPSDTYKGHGFRKELVSMLLDLKPQFLRFPGGCFVEGNWLRNAFRWRESVGPWEERPGHFGDVWGYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNEEVNTAAIDPFVKDILDSLEFARGSKNSTWGSVRAAMGHPEPFPVKYVTIGNEDCSKKFYNGNYLKFHSAIREAYPDIQMISNCDGSSKPLDHPADLYDFHVYGDSNTLFSMKNKFDNAPRTGPKAFVSEYAVSGNGVGRATLLASLAEAAFLTGLERNSDVVQMASYAPLFINDNDRAWNPAAVVFNSWQQYGTPSYWMQTIFRESSGAMLHPVTIDSVYSNSLAASAITWQSSNGSFLRVKIVNIGFNPVNLMVSTTGLKASVNTRKSTVTIFTSKNPSDENSFSNPTNVVPVTRELPDAGEELSAFLGPCSFTSFDLALGQ >OB04G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5080778:5088531:-1 gene:OB04G14720 transcript:OB04G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: YL1 nuclear, C-terminal (InterPro:IPR013272); Has 279 Blast hits to 279 proteins in 147 species: Archae - 0; Bacteria - 0; Metazoa - 94; Fungi - 133; Plants - 35; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38495) TAIR;Acc:AT4G38495] MESEVVKAEMVLAPSLPFKKVQTADKYPKGQSRGRQWKHLRLLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRILPDEYVQRYLALRNAAVVLR >OB04G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5113580:5115488:-1 gene:OB04G14730 transcript:OB04G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRARAAAAFGEIEAVLWYQGESDAESDAATAAYGANLETLIANVREDLGLPQLPFIQVALASGNKKNIEKVREAQLGINLPNVVTVDAIGLSLNEDHLHLTTESQVKLGEMLAQAYMSNFLPATC >OB04G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5121786:5131126:1 gene:OB04G14740 transcript:OB04G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRRVVHLRRLPQFQPPAALPYSTSAATPHLSPPTCPLLTPSHPFASSSSTHRVEPPVRLLPSGSAGDGFFSRRCGLKLYPRIGVVLVGQAAIFLGLCNDSALAQDDSVGQGATRNEQTEESATGLQRFEDGSVISNVHTVKWRIFTDNARDFLLKRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKQYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFAQAQTCHE >OB04G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5127441:5128278:-1 gene:OB04G14750 transcript:OB04G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLWHMQHPKKKREVKQFPGWQRPRNGWHKCNTNGAYYEFYEFDRARATGCVIRDQDSWFMARRVAWHNNTSGVLVTEAEACKSGLILAQHLGVTKLCLESDCLDHINLWNKLDDQRLIVNLILQDIQALSGNFDDFTFVYISRLGNKQHLRDVAYSSLAQLDQVPGQAQAYILKSESLKFMEKPKKA >OB04G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5137093:5143231:1 gene:OB04G14760 transcript:OB04G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAALRQLGSEGKKLLQGCSAGKRDPEAAQSAKGQQLRLFLSGRRYQGADAEWCGIHLFHGSTACSCNMIYSGSCFFSERGESRRILVWSMGLELSLRNIWSIGLESGVMGTSNNKAERECHGDQVRSADQTILGNANQQPLDLSGNANQQPLDLSVKSNLGNMLLFWSMKQDAFVDPLSLHGGCPVIKGNKWSSTNWMHVHGYKASAF >OB04G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5160335:5160544:-1 gene:OB04G14770 transcript:OB04G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSFHLLRPYKMQPLHPPPKPVVVLQTSTISKAKSDENLLPVLWLVLEMVSNLSNDMPHRQKSNMT >OB04G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5161193:5167607:-1 gene:OB04G14780 transcript:OB04G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3LWF3] MMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDKFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLNGLSPAENRKVPPLKYEYYFALLRDFPDVQFTLNGGITTIDQVNASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRNSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLQSFLEETLEAIPDSVLDAPIGKDPCSEEAHFADMDSLLPPRYTSLTNSSHESSVLVTAST >OB04G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5181291:5196430:1 gene:OB04G14790 transcript:OB04G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01460) TAIR;Acc:AT2G01460] MEDYRTVAGGDDGSDVDAIDFDALARNLQDLVKGKDTLMPLIDFQEKIRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVKHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQVYISYLCLFVVSYHLIPFFKNFSCYLLVQIRIDNSFVCSFREPYYKLKCKNELPDGQNLYLFNQNEAETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYNVVVSFKRTCTSVGRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEGSKLGIKGPWITKSYLEMILDSKGVPRLNTPPPVSRKLLTESQEKKIVAPKPIRVSTDTVANFDDFVQPWTRSPPKKFDQEPAMGKWQFNPDSSSGSNIQLTPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIKEIRKNHKAEVPCFDFEKCRRKKFEKLQVSDECGVVIFEGVYTLHPSIRKSLDLWIAVVGGVHSHLIARIQRDKNRAGFSISQSEIMTTVFPLFQQYIEPHLIDAHLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDTTKVCSSVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDQLQGEVNPYLQIKGTNKEIVSSAASALSLDGSYTTKSYLQIILESLPADENVHTGIHNQQAARLQEIIEFIQSQGGSFNSDLSSPTREVSSTDSTLDDVQSRLRRLERWNTINMVLWTILLSALVGYSLFQKRSR >OB04G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5199989:5200210:-1 gene:OB04G14800 transcript:OB04G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTAKYIYVKCMLLSAGKMSRVRMRPVQKFSAALKQKTCDRKWRARNSLMRQNREKRSYCASNRERERAGAN >OB04G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5205566:5211488:-1 gene:OB04G14810 transcript:OB04G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCPYCQCNRDVRKDPDKNFIFCVTCGAVLDDQVYDGEPTFQKGADGQARLAGCFLSSIESSHSISHERTVNKGIEEIRQIVNSLHVSGGETIINRARLFYELAVDKSFTRGRRTTHVAAACLYIACRRSKKAYLLIDFSDHLQISVYVLGAVFLQLCQVLLLTEHPFIHNLVDPSLFIHRFTERLLGKRDNAVSNTSLRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKADVVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQEPAIRISPKPGEVLCKHKDRDAEHFAHGLCEKCYNKFIELSGGMEGGSDPPAFQRAEKRRLEAAKNATEAAATEVELESACKVHDSDVGSNITTSRKNVSGDNQSTITSGEVASDSVASEDPEGEAIGNTEVEV >OB04G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5213627:5218765:-1 gene:OB04G14820 transcript:OB04G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:J3LWF7] MSGGSRVIVVVVCLAVVAAAAARPAPIAGGTSSSRAAAAAANGTCQSRAAPFGYACEEHTVTTEDGYILSLQRIPSGRGETTATAAGGKVPVLLQHGLMMDGVTWLMNSPDESLGYILADNGYDVWIANTRGTVYSRRHISLASTDSAYWNWSWDELASNDLPAGGKDGYSQAGQQKMHYVGHSLGTLIALAALSEQQQEVVGMLRSAGLLSPIAFLGNLSSPLARAAADVFLAEALYWLGLSEFDPTEESVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQATATKNMIHLAQMIRGGRLAKYDYGDTGGNMAHYGQATPPAYDVAAIPSGFPLFLSSGGRDSLSDAQDVRRLLRALAQSPRDGDELTAQFVADYAHADFVMARDAGERVYAPLMAFFKLHEK >OB04G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5242182:5243419:1 gene:OB04G14830 transcript:OB04G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3LWF8] MAVMLRNSQTNEYGAVSYSDLGGFKYLVYANGLCAAYSLASAFYIAVPRPATLSRSWIVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGSFCRQARTSVAITFGSVACYILLSLISSYRLFSAYDPPQPSLGNKGVEIAAFPR >OB04G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5248194:5249552:1 gene:OB04G14840 transcript:OB04G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGKEHRRVVPRPPPLSLYIGREQGEVAAPAAMAARMLQQQVGGTTSGGGRRILSKQLSLKETTREVKWEKRRRQIQRRRSSMALQDADQEEASSGAAAAMFGADGADAGSGGERAPKSLTDEDLDELKGSMELGFGFDEGSGGQNLCDTLPALDLYFAVNRQLSEPRMRLSTSSLPSPTSSSSTLCGGASNPGSPVAPSSFVDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >OB04G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5261540:5271097:-1 gene:OB04G14850 transcript:OB04G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVWVFEDGMVRRADSEAPSRGRGGGGAXXXXXXXXXXXXXXXXXXXXXXXRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDAAAAPPP >OB04G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5310113:5310316:1 gene:OB04G14860 transcript:OB04G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIFSYGQRYPRVFIIREHKAQPPSVRCCTPSRWQSCHGSHPPHCPSASSLSSHHSQLLPLMPLLLT >OB04G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5310159:5310488:-1 gene:OB04G14870 transcript:OB04G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPAATHAPSSSYPRPSASTAPSNRSPPRPSPQPGRHALALLGGLLVVVPTGPLPRSIVAASEEATGSDGLREMKQKGNEEDESRGNSANVMAYSTEQMEVVPCAPE >OB04G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5310515:5310922:-1 gene:OB04G14880 transcript:OB04G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLVQKARCPPAAAIATCSPVIFPAVAVTDSPEDRPSRETLLAPRTEAGLAALVVALLPSASPVIAALGLQLTSAHSPTSRRCSPRPDLCLGRLAQRSHGSRCHPQIGLAPRADADDTLLKLDWGGADPI >OB04G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5311428:5312294:1 gene:OB04G14890 transcript:OB04G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMAALAGLVVALAFASAAAAAFKFNVTEILDEFPEFAVFNGLLSQTGLAGEINRRQAVTVLVVDDSAAAAITSLPDDARRKVLAVQVLLDYYDPVKLDGIRAKTALLATMLPAAGRAGLVNYTESPADEQMAFGSAEPGAPVSSQLVKVVASRPYNLSVMQLTAPIVPPSIASSFSSSGGGSGRTPPYSPAATADGSSTTTTSEVTVPASGTDAATVMSDYDDDPIAPAAAVVDTPTTTTSPSNERNGTADAAADGTRTSAGGRVVAGASVGAIMAGLLVFIWI >OB04G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5313444:5314649:1 gene:OB04G14900 transcript:OB04G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGEYGASVRIMISYGGAIVQADGKAAYYAGGAHRIVKVGMSERLSDLRARMAALAGCSDVFVRYALPGEGLGRLRDVANDGDLWSLVSLQLYYHEVSKPGRVRLFLFGIDATPRTPAPPLRGASASSSSPALPALVEEGDTTPASGGACAAPLTHGMPRTASSPAMAAASGGTAVRMKVSYGGEMIQRDGSAASSYYAGGVHRIVRVGLSERLAELRPRLSALAGCPDVRIRYALPGEGFGGGLRDVSSDGDLWTLVSLLFFHEAVITSTPKQGRIRVFLFGGVDAPAAAAPLIRRGVSSPLLPTLVEEDGDGDTADDTTPTAGGDTVTTQGMRRSVSSPALMATSSSAGATSASAPVSSRDTPAMVQFAPVVFVPVMPAAMVVCPVIPVYAIRALGY >OB04G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5320582:5323849:-1 gene:OB04G14910 transcript:OB04G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRGKPDPPPLPAARLSSSSPRPPPPVQVPLPSARLSATKPPPTPPPPVQVPPPQFEKAGPRPDGSMLALFWDTVARVQEAHASLDEYISNWFGLDQSKYQWALNEYYETTGKEMDSGKSAAPKELGSKMQV >OB04G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5326565:5333937:-1 gene:OB04G14920 transcript:OB04G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: chromosome segregation, cell division; LOCATED IN: chromosome, centromeric region, nucleus; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Centromere protein Cenp-O (InterPro:IPR018464 /.../ 43 Blast hits to 43 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 11; Fungi - 0; Plants - 31; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G10710) TAIR;Acc:AT5G10710] MHVDQLRRRQHSVSELTYVRDEDTKLETTRARLSNVLKRHEDLKERLSRDSDKLIFERLQREFEAARTAQTKEISIDDEQWNDGLLATIREKVHMEADRKAMPNQANAPPDPQLQLRTAYRTKNKVIYCLDGARIGIQYETFFAGEPCEIYHCVLESKSFLEKMTVIEHTLPFFLPMREVESDFLSSNAIKFIDHLEEILQSYVDRREQVRLIKELYGNQIGELFHSLSYNLIEFVMEDFECKVTVSIRYSDLLFTLPSQARVLAWPLRPSRGISVAERRSSRAAAAQLVPFRLHYAEDALKTLSLPEAFAEIVLSLPRALRQILSPQESD >OB04G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5350969:5352114:1 gene:OB04G14930 transcript:OB04G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPTSVQPAPTVQPLPSSTVGQRTRIDLREIKSNIIKRIGPGRANKYFQHLERFLSSKLNKNEFDKLCLVSLGRENLPLHNHLIRSILHNACRANGPPAVDVPKLTGDVTNSEHSLPLVWNNGSVSNQHVRDNISLTLEQEGKTVVRENGVPNSSDLKRRQQVQNGEHAESRSKRSHFEKVPPHFHEPPHSNDPSAISYGESLGSENIHRIQGPVRAPLGIKFSPVSFGGIQKPSSVPSNDSSVSCYELGELCDTMPLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLNHASLKQQLSRKLINGISLKNHVHGQGIIVPAGPNSISIQDLKTVSELSPHLLGVNASFVHEKINSYD >OB04G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5352920:5353150:-1 gene:OB04G14940 transcript:OB04G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKYLCCTYSTIAILPFLGIHTVGSHPSINVTNVKSYPLKGLTAKSPARNGIKITVVCPPWHWTWQCGVKLDLQ >OB04G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5355035:5355415:1 gene:OB04G14950 transcript:OB04G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQNSVDISLRFPMRTTILVGIICLGYTLYRIYFIQFPNLAGTNIPLCSSQLSFTGKLPPREATFIYRRNTISHSDGCSILKDMMHPLLLYHECNMYILHVGSVKFFLQISENIHDCVPLLTVSTP >OB04G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5358286:5359439:-1 gene:OB04G14960 transcript:OB04G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVGNGGSVRMMVSYLGEIVQGDHGPGGGRAEAAPYYAGGVHRVVKVALSERLAGLRARMAALAGFSDVRIRYALPGEGLARLRDVADDCDLWGLVSLLLYYQEASDAGRVRVFLFGVDSSSSLPRGASSPSLPTTSGGAYGGREHAIAASRSRPKHGRIRVFLSGADAPAAPLLGRSCSSPFLPALVEEHEDDVAAAASPPDVSSVSTIPSVTQGMRQSVSSPELLTPPPSGSNNTATPTPVAVAAPGDSAGSKDDLAAVVWVPAATVLIPVYCCYLVDCPRVFVSH >OB04G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5361688:5362233:1 gene:OB04G14970 transcript:OB04G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQNIRFLLFGTMVVFQTSMSENISLTIEQEGKTVIRENGVPNSSDLKIHRIHGPVRAPLGIKFSPLSFGGIPKPLAIVSVPSNDSSVSCYELGKLGDTMPLTSWKITAWETKPCITILNSIVFHTELWYSTPNKLKTQNSL >OB04G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5367214:5368240:-1 gene:OB04G14980 transcript:OB04G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKNASARRRQSEVLQVERETINERYLGLPVYVAPSKMKTLSYLKDRILQRIQGWKEKLLSRAGKEIMIKVVAQAIPTFAMGCFDLTKNLCDQISKMIGRYWWSQQDKENKIHWIRWDVLTKAKSKGGLGFRDIYAFNMAMLAKQGWRLLTDPTFLCARVLKSKYYPDVSCLEARARSGISYTWRNILMGLDLVKKGMVCRVGDGHDIRVWDDPWIPRGSTRKPITPKGRNLITRVADLIDPITNTWDHELLCQTFWDEDVRVIESITVHVDMEDVIAWHFDIKGRFSVRSAYKV >OB04G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5370643:5371755:-1 gene:OB04G14990 transcript:OB04G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGCGGSVRMMVSYRGEIVQGDHGPGGGRAKAAPYYAGGVHRVVKVALSERLAGLRAGGMRRSVSSPELMTPPSGSNNMATLTPTAAAAPGDSAGSKDDMAGPVPAQLVAPVVWVPVTSAATVVIPVYYYPVDYPRVVLN >OB04G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5375905:5376964:-1 gene:OB04G15000 transcript:OB04G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKDPPSRDRAARGSPNLRPSSSSEPSSAGHGVRRARAQPFPKPTLGRVKSEKATTSQRPPALVVPASNSKAPSTFQKSKMSPRPSPDKAAAAASPKPTTQRSPASVAARGGRTPIVSSTRAPGNTAAAKRRAEAANGGGAAAANSKARNGAAQRAMAASATAISKEKEDEPSMQFDESESISSPSIEDHLHEQLPDPVDLKPLDMSASDQAPPTFHIPEQQCKGEEAKESFSEDKDQVAGGNELHNGGQVADDVAKDATTGTDKADDESQQAEKEEAKAKAEKVWRKDEPKSNEVIEETKSKLLEERKSRVKALVGAFETVMSFKE >OB04G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5377156:5377407:1 gene:OB04G15010 transcript:OB04G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSKSPAPSRRERKQKRHKKQGIISLRIPQLKMVVAQAPIIQQPPPLILILSPVSIFFLLFFSRPYEQSQQTLYRNTNKQWPI >OB04G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5380452:5382456:-1 gene:OB04G15020 transcript:OB04G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPWQDKTSVSFIGNTGLMKTHDEETRRFFEGTDMRCFLCPRNADASLTMVQHVKILGMIGVVGSTQANAQMMH >OB04G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5391473:5391988:-1 gene:OB04G15030 transcript:OB04G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSNHRSPELASNLDRWFFNKSHLFSGRMDCRIEQKEGNLPCLCFRPRSIDESVGRKASGPCRSAGRRAARAARTGESAAGGMAQIGAGRAPGNGLRRLREGDSPPADRRRRAALEPAPITLGHLTSHQEGESKQSSQSRTSRHSTIHFKFPINKNPKIASVVPSSWRL >OB04G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5392534:5396881:1 gene:OB04G15040 transcript:OB04G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNAVATLLVILVALREIQPASASVRRQGLIHHSHPSTATLVSCPKSCGQLNIHYPFGIGAGCFRQPDFNLTCDNSTQPPKLFLHDGTTEVVGGGIDSSDDMDVGLSEWINVNISTTIPMVLGVAEYKYSWNLSSFGILDASLNITGCEFDTYIINDKSYSPTTAICRNSCPNEGITETVARQSCNGTGCCRISVGIGVSNLQLRFVRHGGEGFLGGNSSLSSLWNRVNIVSSYASVMWGISDQPTCASTKDNQTDYACLSINSKCWDMDLDLGYLCECNSGYVGNPYIFDGCTRDEGYLPVQQKANCSRWCGNISVPFPFGLEEGCSARKLFELNCTNVTSSILEFDRGHVVTYIDFTQGAVGIKLASYFEEQELSMYKSEEPSLYASFGEAVISVHWAAANLTCQEAQKNLSRYACVSPNSTCLGVNSTYGYVGYRCKCVDGFTGNPYIDNGCEDIDECRKTPGICNGICHNVIGSYHCMECPYKTAYDATAMQCVSSKKQNLLIGVIIGLSVGFSVLLFLLCGMLLLRRWQRNIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKATNNFDPSRILGRGGHGMVYKGILSDQRVVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASNSFQLSWDDCLRIAIEAAGALCYLHSAASVSVFHRDVKSANILLDANYTAKVSDFGASRLVPINETHVVTNIQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPILTSETGLKQNLSNYFLWERKVKPITEIVAGQVLEEATEEEINNVASLAECCLSLRRDERPTMKQVELALQFLLNKRLNSCSTVQTYKQEMEQFMMAKTQPIAEKRYVKFLGNKATLSSYQRGLEQEFMSSTTIPR >OB04G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5396972:5399060:1 gene:OB04G15050 transcript:OB04G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPGSPNRRLPRFLSEYGNGSYGLPLLSRVCGAFKTAKPTQISHKALQPKLSSTNPIPRRTISPSKRLKSVIVDWLRRTPQQRSSSDSTEQNIKGSWSPTRSRARLGTTRASPPRLERRRKGNMAANGTQAGFSKAVPPSGSRRERRHRLPE >OB04G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5400352:5403097:-1 gene:OB04G15060 transcript:OB04G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESMLLIAFGKITAALSADVLQQIRNLLSKEVSLFAQLSGRMNRIKKELSVIDAFLSQANLQRFDSHSRTVEAWVDAVRKVALDVEYIIDEYAHLLGQQKGGPFTSLTFRRSQHLSSWHQIVERLKEIERDLQHMSEMKERWIQTSTELLGRGNLELENDYHCAVPYSPWCGYFINSDDMIGFGNYKSMLMNWLAEKDLSTSVMVIFGMGGIGKTTLASNVYESEKSRYDCRTTIRDCFKNTKEMPPNVDMMDLHSLIVGLREFLKGRSCLVVIDDVWDQGSVDTILKAFSHSEHINKIIVTTREIQVAKCVDQSYMIHMEKLKESEAWDLFCKRAFLNEKERSCPEELVGIANDVMKWFCGLPLALVTMAGLLSLREKKNSEWKHVYNKLLCSFDNDPSLNHLKHVINLSYHYLPEYLKDLFLFCSIFPENSMIKRKHLIRLWIAEGFVKDKAGTTMEELAHDYLSELIHRGMLQVMKRNENGRVKHCRMHSIVRDVTISLCKSKGFHVIWGNKESTSAYVARRFAIHGTTQSTSEILPDLPHVRTLLSFDVTMSDTLLSRIVCSSRYLTVLDVSGAIFINEVPRQVSLFNLRYLGLRRTKVKKLPSSLGRLTNLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDCSFQSLNACGGVSAPSGICRLKSLQTLFTLEASNGFVKQASELVQLKSFRITKVRGSHCSELSESVKRMNQLVYLDILASDEKEMLNLDISPPPSTLEKLCLRGKLNGSNLHSFFKAFGTNLTCLFLGWSGLSIDPLPFLSHMTNLAFLWLQQAFNGAHLRFFQGWFPRLRRLHLKDMEHLNSLEIEKGSVVSLEVLEMTGLNELKEIPRGILFLNNLQEMFLDSIHKDLHNHQKEGENVEDVPRIIYSHSPNFWRGGWFDLN >OB04G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5408242:5410096:1 gene:OB04G15070 transcript:OB04G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSSSAFRRAPALLPTSPPTLRASTTTSSSPSPAGRWTAAIRDRLDSGLPAAAVSVFAAMLRAGTRPDGYTLPLLEAGLVGAAHSVGVRAGFAADIYFCNTLLDAYARRGMAARAGKVFDGMPARDVVSWTSLVSAHAGVGDVREASRLFSGMRVDDCAPSAVTLAVVLRACTAKEDIAGGRQLHCYAVKSGLSDDLLVINSILTHLCRMHALNDAVALFEQSPRRDAVSWNIMISEYSSEGNICKVTDMYHRMRTEEVCPSCQTLTTVVGTFSKYKCLPEGEKLHSLAIRSGLSDAILVASFVDFYAKCGRLDSSVQLFEEFSETGSCIWSAMNWGFIYCGQFTEVIHLFGRMLKSPFTPSVDMLQGLIISYKELGALRLGKATHGYMIRNNYDAQSDNSALETSIVKLYASCGSINFAQRQFDSIDKKDIVAWSSMIEAYAIHGYAMEALALFHRMVDEGVRPNGVTFLNLLSACSHSGLVSEARDLFDCMTRTFSITPELGHYTCMVDVLGRSGKLDGALQVISDMNVMPDGRIWGALLASCRTHSNSKLASYAAEKLMELEPGNVGYHVVFSNAQAGSDRWDEVESIRSSMVEMDLQKLPAWTCVAETGSP >OB04G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5420258:5421493:1 gene:OB04G15080 transcript:OB04G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERSPAPAREREQEKSQRQQQQQQVDCREITDMTVSKFKKVISMLNRTGHARFRRGPGGGPPGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPFPRWSQKKGKGEISLSVIIIKLPLEINFLFFFFYVFTASM >OB04G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5442662:5442910:1 gene:OB04G15090 transcript:OB04G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYINFYRIIKIKYQWLNVRGNKYVVLFIVKNKVFFDEYSHTQFSIRVACEYISSHVFWDKGRVNAKVYKDILRLFDFFNYV >OB04G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5443090:5443806:-1 gene:OB04G15100 transcript:OB04G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNTMGNAMLLLLVPLLSLIMASSTPSTQALVQDFCVGDLARGDTPAGYPCKPEAAVTADDFSYRGLSATGPTIEPFNIALSSAFSPRFPGVNGLGISAAIVHFGPGGVVPLHSHPGGSELLFVVEGTLSAGFISSVTNKVYTQTLRKGDLMVFPQGLLHFQIATGAGAGGNDDNATAPAAVAAAVALSMYSSSNPGLQILDFALFANNLPSDVLSKVSVLDELQIKKLKSLFRGTG >OB04G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5452942:5457490:-1 gene:OB04G15110 transcript:OB04G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRQHTSMAGQNPSSSSSSNAETPPLHLLLFLHLLLVAPAATSLSFSYDSFVSDDFRQEDDAMVTDGRIELLGEEFSARARGRALYKQPVQLWNGATGEEASFAAGFNFTIRSVAGRGNGLAGHGMAFFLAPFMPDMPQECYEGCLGLFDQSMAKSGAMGNATGAASFVAVEFDTRRDGWDPSGRHIGVDVNNVDSRGNYRVLPDDSLVDAGVMSATVAYDSGTRTLGVTLAVGGATYNLSADVHLRSFLPEQVAVGFSAAPGDEFASNHTVLSCTFSSTLPTRRTRTTPASTSLARPAHISAAVAAAGALMLLLAVAVAVLIRRHARRRDGSKYHASTSDGDDPLGVGDEEDLESGTGPRPIPYAQLAAATGGFSEDVKLGQGGSGAVYGGRVRELGRDVAIKVFTRGASTEGRREYRSEVTVISRLRHRNLVQLVGWCHGRRRLLLVYELVRNGSLDGHLYGNDDALTWPLRYQIIVGLASAVLYLHQEWEQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASAESDMYSFGVVLLEPSAASTMKYGDSMSSVGSAAVGYSSTSLATATATASSNSSLPPAISGSLSPRE >OB04G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5461553:5461744:1 gene:OB04G15120 transcript:OB04G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYDYGSNTCAAPPAPAAGAGSCERDTXRNTTQLGCGLVVCGSGDTLLVCDYFPPGNYGTGRPY >OB04G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5469664:5472444:1 gene:OB04G15130 transcript:OB04G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRGTADLGCARRFCGDGDATIVVCEYYPRGNHRDEISHHVSAGLPLPRRRPVARAAGLRAVAGGGVHLGVRPPSRWPPLPPPPAVPVSTSQQFLQVHNEARAAAGLPPLSWNGTLLLDAMRYAGELRRDCRARPLTAXXXXXXXXXXYDRGADRCTAPPGRCCGVYTQMVWRATTQLGCARRTCRNGVDTVAVCEYYPPGNYVGQRPY >OB04G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5477888:5479934:1 gene:OB04G15140 transcript:OB04G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNLPCSSDDVADWRCPDCSSSDATTVTYPPPSSHVVHPAGSNNLITAIREIEADPTLCAQEKAHRRQQLLLGRSGDAAVGSSVEDGKSNSPLFVLNKNISCSFCMLLPERPITDQIKPNRNYMQYVPI >OB04G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5485878:5486349:1 gene:OB04G15150 transcript:OB04G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVSCLAVILSLLALSSAAAAVVPRGPTTVAKFLSAERRWYDAGTGACAAGKQCGDYRIMVRPASTQLGCAVAVCASSVSGSGSDKTIMVCEYYPGH >OB04G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5491966:5492265:1 gene:OB04G15160 transcript:OB04G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3LWJ1] MPKRSCRRRLLPSADATAASVLDDDDFPHRRAAYCKKQVRVTPYSRPEKWASGMYADQSTDDDGLAVWSERNRAIRFVRGDVAEAEDYFNHLVRRDFVR >OB04G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5498643:5508187:1 gene:OB04G15170 transcript:OB04G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MKGLDRSKMATLIVTVNPHSTKKGLDRAGRPPSNFCPMPMGEVQPWIDLCHPRVVFCTYAWGREGLSLLRLLHTYNGIAEDVDRHSSRCPCLLLTLSVSSDYLQYSLWRAVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVSLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRANLVFSEYLLSGQIPSVKEVNDEEPLFLNLSIGAPRKESKILSPEAKDTAEIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYILTDYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYMGFKPSDVASECRPGGRLEVSLDYTQREFSHVKHDGSNGGWVMDGLIARPLPVRIRIGDALS >OB04G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5507172:5507450:-1 gene:OB04G15180 transcript:OB04G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDGENQAAYSISNQIMLALCLIFTCLHSMPLEQEQGDQILIWFNLVIGYLLVMVSIFAPSAKVREAAAQVEYCPSWNCSSSEPDCILCPC >OB04G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5516780:5521170:1 gene:OB04G15190 transcript:OB04G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGILRRRSSSGFSPSSTAEEVTAGIDGSGLVAVVTGASNGIGAETCRVLAMRGVQVVMAVRNPSAGARVRDEILKQAPAAKIEILHLDLSSMSSVRRFAESFVALNLPLNILINNAGVAFVPFKLSEDGIELHFATNHLGHFLLTDLLIEKMKVTAMESGIEGRVVIVASESYRFPYREGIRFDKINDESGYNKFLAYTQSKLANILHSNLLSSHLKEQDAKVTVNSLHPGAVATNILHHWGFLYGAFFAIAKFFVKGVEQGAATVCYLALHPQVAGVTGKYFVDCNITELKSPALDMELAKRLWDFSLNLIR >OB04G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5528380:5532394:1 gene:OB04G15200 transcript:OB04G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRGPSGFSASSTAEEVTAGIDGSGLVAVVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGARVRDEIVEQSPAAKVEMLDLDLSSMSSVRRFEESFNALNLPLNILINNAGIAFVPFKLSEDGIELHFSTNHLGHFLLTNLLLEKMKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKFLAYGQSKLANILHSNLLSSILKEQDAKVTVNSLHPGGVVTNIMRHMAFINGMLSTAGKFFVKGVEQGAATVCYVALHPQVAGVTGKFFVDCDITELKSHALDMDLAKRLWDFSLNLIHS >OB04G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5534172:5536229:-1 gene:OB04G15210 transcript:OB04G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEIWARQQAENENRTLKQRIAELEEERKAQGRTNVEVLSQHGSNSRQCAVGKDVILFTILRSELPAARGKVISINPNTMVGGQPLGNEYCELVVNVVMKRDAMLPRSYGDMKTMASALKMSIAWPYNKIKECMKKECKKASTTPLKGIAGCVGQSLT >OB04G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5542391:5542830:-1 gene:OB04G15220 transcript:OB04G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGFIGSASRRNGRKVTINAKRFNKRQRGEQLDISFPQPFGKVCGKHAKLFKSEVTVIVGKNVPLQEKKWKTIEKQHPGTKAVVLKKLKDAFPELRNEDEDCAKDQPMLSSSLLIP >OB04G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5544548:5544853:-1 gene:OB04G15230 transcript:OB04G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGTGTGDDGGDCTIARHDFGPRCFAGEAFFVPSNDGDEDDGYLVCYVHDEGTGESRFVVLDARSPKLDVVAEVQLPGRVPYGFHGMFVTQAELLVQQQ >OB04G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5544901:5546394:-1 gene:OB04G15240 transcript:OB04G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIGVMTCPYSAIVPWRKACSISPAAAAGGAHQGGTGAGTRRQRAHDHRLSAAAPPSCSVLLASVCNTLDALIDRLIDPPELRPSVHPGRVLSGNFAPTDELPPTRCPVVRGSIPSSLAGGAYVRNGPNPRHRLPGRTHHLFDGDGMLHSLLLPSPPSPTTSPSSLSEPVLCSRYVQTYKYLLERETGTPVFPNFFAGFHGVAGLARAAVMLGRVLAGQIKLKHGFGVANTSVVFFAECLYALCESDIPYSVFISPSTGEVTTLGRCDFDGRLAIAMTAHPKKDPITGELFAFRYSILQPFITYFWFDAAGNKCADVPIFSSEQPSVLHDFAITEHYTIFVESQLVVKPSSGSLVGFDPAKVPRIGVLPRYARDDSEMRWFDVPGFNMLHTTNAWEEAAGDEVVLVAPSHLSLEHMAVGDMELLESRVYMVRVNIRTGAVSRAAVSPESLEYGVVHHGYVAAMGILE >OB04G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5579748:5580674:1 gene:OB04G15250 transcript:OB04G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVIPMLFLLCASLLTFHQLITAVSSLSFNVYISRFGYDIGELLFHQIDPANLTSPYPPPVTDLVLPYPKNHRPVAARCDCSRIDAVIYARPVQIVSRATQEIASFKMTLCATTNHEDSSTHGAGGLVLFMIPYPCSCSENGVQRIDVELDRSCMGRRKREEDRSSTGLGSDDDGVVCAHVRYDGATQILTTDVRTGDHRSCVTRRRVHRSNMPREAVVGFASKAAGHPIRLQNVLTWAFHSTFQSKEDDDSSSVDWGQQRLRFDPWNRNAELSLRYQRNWQRNLQLTCSLSISLGYGNTNDASD >OB04G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5598507:5601921:1 gene:OB04G15260 transcript:OB04G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSCLSLLSSPLPAAVFSPSSLLLCSSSPHIVRVLAGAAAATHAVRCAAAKDSILHALEYDEIFNSAEVIQWESGKSINSIAVAQGIRIRRRCLLRYPSEGSSTDKAVSRNILEQIIWDKEVEVSQVKFYPMMAAAVSDGGGDEVLAWAKEKRPSGLAFSARPSVFRHGGNQGRRGAEIAGLKKPYRLFIKTFRKIANPSPHQLVSDL >OB04G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5615116:5615352:-1 gene:OB04G15270 transcript:OB04G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSLVMMQGRTTAPQLTRSAQAGRRRDAEEKNGRMRGGAPVLGRRKGERGGGELAGRLWRGVGREGRGAGRADGED >OB04G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5615029:5617517:1 gene:OB04G15280 transcript:OB04G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein / Rpp14 family protein [Source:Projected from Arabidopsis thaliana (AT1G04635) TAIR;Acc:AT1G04635] MVHFKNRYMVMEAFFDATGKGQADPLVLTQLNVTKAIRDSIQLNFGECGIAASLGSLQVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYLKLAAGDRITPKFLETMESCFDKIKGLES >OB04G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5619273:5619641:-1 gene:OB04G15290 transcript:OB04G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inhibitor-3 [Source:Projected from Arabidopsis thaliana (AT2G31305) TAIR;Acc:AT2G31305] MATRAPATGSVTVTVDASVPSSSSSSAPAASSAAPPAAESVVLRLKRRAKKKVTWKEGTVDNESLGRKSSKKCCIFHKEIPFDEDCSDDEPDAGRRSPPADAGEGTSGGGACCSSSSHGHAH >OB04G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5651640:5659768:-1 gene:OB04G15300 transcript:OB04G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MAMGKYPSGIGTPYPYPPLKFRPTKIPIPVTGYSLRVAKGKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWLSTSSHVMLAELVHSIADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVKKGAEAEGMSIWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDSELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIRHVDIEAHNPEGLSL >OB04G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5684790:5700561:1 gene:OB04G15310 transcript:OB04G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRSRNRFSLEELRYLTDQLQKVHIVYEANKDFVVEALRSIAELMIYGDQHDPAYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIYYIFSNEHINFLITFPFDFQIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSHAPLSDYFSDMVNHFQKQCIDLDRLVLCSVRTADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGKFITENILQLLVFRFLLPSLQRQKTDLGISVTTSMYLICCILHIFKNKDMASTVAASLFHQPDCPDRKQGTPNGYTSEHDNGISDNQGTSISDMDQPNENKLDSLSLSCLRCLPDDSSPSDCCEGSTLREHLLSYITSGDDFQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLTDDSTCSDFDIYVRKLQDNYGLKCHHPRPMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDSCTNLLDESGGCWRDLLIPIVKEAWKNCKKAIEASSPPKGSKSTILPLDSCSFGGDSSIAMAERIYEMVKGFVLQHQVILFCLGETLTDQPPIYSPIDSPVNNRATLAGFDASIPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSKGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPKIDEKHAKWLHLRIRPSTVPFLEPEKYKGKTKKYLVDGRWILAFSDEQSCKEAETMVMEEMKLQEDVVREQLKLLVEFDMPEDGLQHPCSSREATSDDGS >OB04G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5704318:5707214:-1 gene:OB04G15320 transcript:OB04G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNQNQQQQQLIASPFAVAGEPTAFAAARDEQEDKLLALCTECSRNYEREASAVKAEAADEDGPRSGGLPGWLATEAPKENYLIELKRKWSRLCRKLHLCGGGGDLCSAAAFGAGVYGSGPSLPWWSASCLMPAGGGQAKPSIAGFLGMEALRWTGKDFAMPISPVALNGGNWFQTPNGLYVKWDNEEDG >OB04G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5772070:5773701:-1 gene:OB04G15330 transcript:OB04G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRRKRLNEKLYALRAVVPKISKMDKASIVRDAIAHIEKLQAEERRLLEEISALESTVATKSAAGAGDDDDGVPLLPRMKKPRTTPPPHDGASMAASPPLQILQELIVMNNPRSQLQVSKVGERTMAVSIRCARTRDAMVKVCRAMEALRLKVVSANVAAVDGSIVHTMFVQISSLTDPSSNAS >OB04G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5773984:5774166:-1 gene:OB04G15340 transcript:OB04G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMMSMLIDLSRHSPHLLGSLDLAAVAADTDRYARTPPYRSIAIAIAAGGGSVEGAVV >OB04G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5791236:5796945:1 gene:OB04G15350 transcript:OB04G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRTEEDSKEKGATEDGDSVDEEVLKLKRLLASLSLVSVQPWLLISHGGSSEFFHGVFNWMCCRRIFKEWLNFLIVVNEALASIGDLFGFPSWRSSAAVCGLQITVIVTIGVFVQAEKQ >OB04G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5808882:5809067:-1 gene:OB04G15360 transcript:OB04G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWCCSRCFCDTPLRDGGGCFTVRTILWLDDKLSMLGPTVTCFHECFYINLEYADICTSY >OB04G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5808827:5811253:-1 gene:OB04G15370 transcript:OB04G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDSFAYYWETQRYLESEELDRKNGERHITHMGWASHHVDHMDSVQMKQMVETALSQLVATGSPLSSMAVR >OB04G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5827762:5830632:-1 gene:OB04G15380 transcript:OB04G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLYAFSSVPKELNFIDHTSDLGWKEHERFEKLIVDPSLYMDSNSELFPVTEPRQMPDAFKIFTGSPWVILTRNFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTVICNSSKFRNTTVNGDLRYFVWDDPPGLEPLTLDESHFGNMVNSSAAFARRFAEDSPVLKKIDEEILNHSSDCASLSGRRVMDVGSCSKWGDIDVLRPSRAGEQLRRFISEISQTRGCS >OB04G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5844666:5846729:-1 gene:OB04G15390 transcript:OB04G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSSCMRVIKEEIFLAVRHLPHSSAMRSSNLIFCDCAVALVAVVLAAAAPPVAGQRYDYPRANLSTRWINSAAALPHSIPYSDGSAVRAVVLRSPRTSFGPSFAAGFFCAPPCQAFLFAVFIVQTNSGAGITLTVNGIPQVVWSANRESPVGENATLELTGGGDLVLREADGKLVWSSSTSGRSVAGMEITENGNLVLFDQRNATVWESFQHPTDALVTGQSLLQGMRLRANTSATNFTESKLYMTVLPDGLYGYVESTPPQLYYKLQLSTNKSGKDPTRVTFTNGNLSIFIQSTQEGNPDRSIALPEAKSTQYMRLESDGHLRLYEWSGEKWTMVYDLTKIFPDDCAFPTVCGEYGICTSGGGQCICPFQSNSNSSYFQPVDDRKANLGCAPVTPISCQEMKNHQLLTLTKVSYFDVSQIIMNAKNRDDCKQACLKNCSCRAVVFRYGLDDTNGECQSVTEVFTLQSIQPETVHYNSSAYLKVQLTPSKAGPTQNKNKIILGVTLAAAITTLVLAVVVAIYIRRRRKYQEIDEELDFDILPGMPMRFSFDRLRECTGDFSEKLGEGGFGSVFQGKIGEERVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRNNNAPLDWCTRCRIIMDIAKGLCYLHEECRRKIAHLDIKP >OB04G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5887736:5890258:-1 gene:OB04G15400 transcript:OB04G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LWL5] MLPANLFFFVVVVFIALATIALPISAERFDYPTANLSTRWVNNAATLQHSVSYSDGSAVRAIVLRSPETFYGPSFAAGFFCAPPCRVFLFAVFIVQTNSGAGITLTENGMAQVVWAANRDLPVRENATLELTFNGNLVLRDADGTLVWSSSSSGQSVAGMEINDLGNLVLFDQRNATVWQSFDHPTDTLLPGQSLMDGMALRANSSLTNSTENQVYMTVRPDGLFAYVESTPPQLYYSHPVSMNKSRKDPTKVTFTNGSLSIFGQSTQPSNISLPLAKSAQYMRLEFDGHLRLYEWSNSEGKWTMVSDVIKVVPDDCAFPMVCGKYGICTGGQCSCPLQGNSSLSYFKPVDERKANLGCAPLTPISCQEMQSHRLLLFADVSYFDVSHTVLNATNRDDCKQSCLKNCSCRAVMFRYGQNDSDGTCFSVSEVFSLQTIQPEALHFNSTAYIKVQLSPSASASTENKTKTILGATISAILILLLVVTVVTAYCVRRRKYQEVDEELDFELLPGMPVRFSFEKLRECTKDFSKKLGEGGFGSVFEGKIGEERVAVKRLESAKQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYHHNNAPLDWHTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGIVVMEITSGRKNIDISQPEESVQLINLLREKAQNNKLTDIIDKHSDDMVSHHEEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENCLDYSFFNANSVMSGQDQDNPPAYSAPPPPSILSGPR >OB04G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5889780:5890049:1 gene:OB04G15410 transcript:OB04G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDWPELLLDQTRVPSASRRTKLPLNVSSRVAFSLTGRSRLAAQTTCAIPFSVKVIPAPLLVWTMNTAKRNTRQGGAQKNPAAKEGP >OB04G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5894578:5896508:1 gene:OB04G15420 transcript:OB04G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G59980) TAIR;Acc:AT3G59980] MMAAAARMAFASCGRLQALSVPALPRTRRTVAKPIHFLAARSASVLCYCSSSSSSDAATAAPQAVGEEEEEKKLAASEVPVEELAALLDIRVGRVVKAWRHPEADTLFVEEVDVGEEQPRTICSGLVNYLPLEQLQDSNVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERIWFGAEDDKDRQSEAASPNQVQKKKIWESVQPHLRTSENCTAFLGEHPMRTSAGVVFCRTLQGARVS >OB04G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5896799:5904414:-1 gene:OB04G15430 transcript:OB04G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFAVLDLDADDDREEVEEPTSSSKTEAAANTETGKSRLNRTMIVNYDGENLASSSSDYNKPLVWIDLEMTGLDISKDRILEIACIITDGKLTKRIEGPDLVIRQSKECLNDMNEWCQIHHTASGLKEKVLQSDISENDAEKQVLNFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCNRWFPKERKQVPRKEKNHRAMDDIRESIKELQYYKENIFKSRQSK >OB04G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5906803:5911330:-1 gene:OB04G15440 transcript:OB04G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEKRTVFVTGGTTCFDALVQAVDSERVKEALLQKGYTHLLIQMGRGTYAPSKVTGNSTLQVDYFTFSPSIADYIREASLVISHAGSGSIFETLRLSKPLIVVVNEDLMDNHQSELAEELAERKHLFCARPQTLQETIQAMDLTTLQPYVPGDAKPVVTLINKFLGFPGE >OB04G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5914208:5918550:-1 gene:OB04G15450 transcript:OB04G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLRSAAARSPVRSPPPALTTRDDGFDEEEEEEETSRAIAVSDQRTIYLVNMFIANTVEFLNSFAATCNDKLSLLHRKIVKLDSSLTLLEAKLHSIDDANALGHSTNQKAHGLFTNDERFDLGESSRSGDA >OB04G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5921816:5934309:-1 gene:OB04G15460 transcript:OB04G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 2 [Source:Projected from Arabidopsis thaliana (AT5G58140) TAIR;Acc:AT5G58140] MTGYSPREVVGRNCRFLQGPDTDVAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNEMPVSLIRYDERQKDKAMSSMTEVVQTVKQPRGARATNQQQGDAALPTPPKMSDADKTAAMSPLVAPSTPSGGGGGAAAALKSPLWDLKKEESRLSRLASGRKSGRTSLMGFKIGKRSSVGSREAPAVVAEEAAPAPAAEVARTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMPVSPKPHKRNNSSWIAIEKAIKVGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDKQPMKIFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILIQEDGHVVLTDFDLSFLTSSKPHVIKPSISLKRRRSKEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAAHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHPFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDATLNLDTF >OB04G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5934660:5935031:1 gene:OB04G15470 transcript:OB04G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRAPRHGCRPTRLPPRDQPSYNLTPQPSASPILAAKNNKQTNKKHKIQLESQTMIRATNPPTKSRTPRIQKSQRELSSGFLRLSTRSIIKKKVPTFCSRFRLQKAKEQQPDRSTEQRGRHSN >OB04G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5945381:5948437:1 gene:OB04G15480 transcript:OB04G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVARCLRDGRLDGEHAPALAVGSTLRCSPLAARAMLHLAAAVASHAAAGKAQARGLVIVAFDRSPEVYLDFMRRRGLDQNALNRCVHILDCYSDPIGWKEKIRSQQQHESSVDLCATNKANVIVFRNVKDVDKLLCSSIDLGRGFEGTGKICFSIAVDSISSMLRHASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLTTMVASLEPAVVDSVHEEEIPGDVSFLEENYSKAKFYVRLKRRNGRVKHLYEELHVEGNEVRFVSMPSVSTEVNQSLLPKVQFNLELSEKERSDRANVVLPFEHQGKGEPIRIYDGRRSLPEDQRDPNLTASALLDEVPKSATPKGEIHYIRDSDDEQPDSDEDPDDDLDI >OB04G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5948795:5949059:-1 gene:OB04G15490 transcript:OB04G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVARTPPPLPPVDDAIDKLLHEWKVGHSGKTDSKGALEAELFHGEYSITVKHHKLKDHHVQTVDVDSKADAKIKAP >OB04G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5949337:5953342:-1 gene:OB04G15500 transcript:OB04G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCVVYTKVQVETHKLSLPVHGPKYLQSNVLRRLSCESLGQVQLGAWFSLMLSRDSASKCLGIQTVKNSHAFFYLAIIYLYRSFKENFKNEECDPYKSCADNRRVQNSLLSFNALSSFPRRQRPFIKAASNVKAILVTPDGKFNTADMIVTQCNCWTMLKGGATSYAAGNGDIFEEKMKVKITVEGTDGKVLAEVEVKLERVAKVFLLGNEMTKEILDMSEYERWFTSWFQYATLENKMKWYSTEFHRNQDYRVVDKMVELAKKHNISLRGHVFWDDQTEQMEWVSKLSMPRLKEAMAKRLTNVVTRYVGMVIHWDVVNENLHFSFFKGKLGKDTLAQIFKDMAKLDGKPILFMNEFNTIEQPNDPTP >OB04G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5969544:5972718:1 gene:OB04G15510 transcript:OB04G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVLQIYAERNVGRRLAGEERSGPLNLSSANLGGGSIAGKIREVEEMGRGKIAIKRIDNTTNRQVTFSKRRGGLMKKARELAVLCDADVGLIVFSCTGRLYDFASSSMKSIIERYQEAGEEHCRLLNPTSEAKFWQREVTTLRQQVQNLHQNNRQILGEELSNFTIRDLQLLQNQVEMSLHSIRKTKDQLLAEEILELNQKGSVVQKENIELRKKFDIAHQHNIELHKKLKYGESSSGEQATSTNSKDPGESSTRRDSHVHIDLEMSQKGLEDE >OB04G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5974775:5976862:-1 gene:OB04G15520 transcript:OB04G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLITLLLLLLQLQGHGAAVELPREWPVGDGEGWSMGVMGWPNFKPFKAGDVLLFKYKAGQHNVMAVRDPVAYSLCQFPPNATTYSSGDDRVTLAAGMTFFLCGVADHCTNGMKIWPSQLPN >OB04G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5978573:5979973:-1 gene:OB04G15530 transcript:OB04G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSRSSAVEEGWLSRSSSPRALPSFFGCTNIPNATLGQWRSRVAVGYCRVYYELIKLPLSRDAMIPNDINWFTPVISFGISYALVCETHLSTKAVQACDTLRRERGVVAHRAASAEAGRRWGGGRRQRHVAIAEARQTQGMAEAGG >OB04G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5980801:5988667:1 gene:OB04G15540 transcript:OB04G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESEALLQGLLQNGRLTFDQLVERTISVVPEGSIKPAREEIRMNFNKLIFEHYVEPCPKPEPFFNPLVDEQPTSTRKRAPKTVEISLSIDKKVVNTAALSDAERYSEIPCIMEDASNANDSPHSSISGAKRKRNAIEVDAELNSTIAQNEVLYRANFEKFMFCLKKKFCAERKKPKLKVGTHPIREAFFEASLIERDNNSVTSPINGILERLGQKGGTSMTLDHITRVLEELNCSPSSEDPNSFMLDLSRIIEASRNEEIESLVKKKYGQEAFIIFRLLVREGCPVETDKIIDTTILDKQIVHGTLYKLWKDEYIDTEGSENPFWNRNGNSQFFVWRVKNTFREQFIDNLYHAALNLQMVNYIAELLLEGSKDDTKLRSRKTILILALIRHDDSLMLFQDF >OB04G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:5994599:5995525:1 gene:OB04G15550 transcript:OB04G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERVAFTASAFAMPLSSGARAGQYLVRFRVGTQAAQPVVADSGSHRAAASSKNTSSLVRHLQGVPPLLPRRLRLRLPDIDMHAVLRVLFVCCCMHAAGVHI >OB04G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6022406:6023095:1 gene:OB04G15560 transcript:OB04G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGMTLGLGTGSTAAHALDRLGELLRSGELVGVGGVPTSLKTEAHAARVGIPMLPLGGEPAVDLSIDGADEVDPELNLVKGRGGSLLREKMIEGSGGRFVVIVDESKLVPRLGCTGAVPVEVVPFGCDHTLGLIRKVFDGLPGFSARLRTKGGGGKDGESAGGPVVTDNGNYIVEMFFDDGIHGDLNDISDRLLRITGVVEHGMFLGMATSVVVAKKDGTVVILHRK >OB04G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6027894:6028142:1 gene:OB04G15570 transcript:OB04G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGSLFSIFFIYLFAKNLASKIKKKPILYISCFIFPLCPYLQQPQSPIKLVIETPGSRLLHAMNNQVKTKANKEKGMKTG >OB04G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6028891:6029082:1 gene:OB04G15580 transcript:OB04G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLFKKIFGNRLTQCNNLNLIMIIFLLQKHGQCFIYHSTRSISIVRNSSYIRYEAYASLS >OB04G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6030451:6035093:-1 gene:OB04G15590 transcript:OB04G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGNVWDRLGKPAIKDHIHAAESDEMHVQNGLHKKAKLMVTEHDLRCRMNSSTEGDLFDKANSRRFPRSYPDVDTVQAHEHAEKSNRSRFIGRINFGDIERNQLQVRDVIRQKSSSTLPVRSVPLQGRNGFTSEVNSSLTTVSEPVCHVSKSTKGHVSGSSKLAQQATQRNLETEVLPSQKVSSPAQSKTGSSVHEDGGNCCNKPIKDEMLDMKLKLKKMELDVLKLRSKQVQMNNVKQGVLSSGPHANLEEDTDSRTVLVTNVHFAATKEALSVHFMKCGVVTKINILTDAITGHPKGAAFVTFFDKESVEKAVSLSGSSFFSRVLTVMRKAEAPQGFLASVQPIGRPLQSWNSPPIQKGVSPRHTPSYHLQWKREQSAMEKSPASCPTS >OB04G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6040899:6047359:1 gene:OB04G15600 transcript:OB04G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAATVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGLQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKPSGGNSEGIAPFLQLPHFTEAIVKKIARKKIRSFQEFCDMPVEERATLLTQVAGISDEDAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGNHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVTEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIESGSDD >OB04G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6051120:6051942:-1 gene:OB04G15610 transcript:OB04G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGWTRAMAETGGHGQWRRRAACKACTYVRETGRMRISGVRKCGAATRRRRVRHVDTRDMESWRRHAWHVSAEGMSNVQRLRSLYEWDTL >OB04G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6051758:6052084:1 gene:OB04G15620 transcript:OB04G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSTCRTRLLRVAAPHFLTPLMRIRPVSRTYVHALHAARLRHCPCPPVSAIARVHPAFAIAACRRLHYHLPAFADVALCVATLRTRRSVSHASTAFAFRCASRTSA >OB04G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6052490:6052822:-1 gene:OB04G15630 transcript:OB04G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3LWN8] MLSTSLRVSMPRLSTSSLSSSSLPRRPVYLTAVLKYLATEVLELVGNAVRDNKKNRIIPRHVLLAIRNGKELGSTI >OB04G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6055178:6056457:-1 gene:OB04G15640 transcript:OB04G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPVPLQFCLATASVILSFGHVTEQSFTGTILFWLSTNWYVSKSQPVMFIAAYPRMKDFPGASHDMLYTLTFDFMEMTWEKATSIYAGDRENEQRMSSKSGCLKQWEDPMPKG >OB04G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6055643:6059643:1 gene:OB04G15650 transcript:OB04G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFLCSYLCFTLAHIVQASNEIVSLPTAATLASCQRSCGNLNIDYPFGIGSSHCFRQPDFELICDNSTQPQRMLFRNSTIEIIGFSDPAYIDVAFSQVISMKSNVNVYNMSWDAPGKSFILGYAAINITGCDFDTYQLVLNQNKIVPVKLCSVTCPNERITEAVARQNCNGTGCCSISVNADANGYQFMFIRHGKGYNPFQQKKNCTRKCGSIDIPYPFGLEEGCSARKLFQLNCTDMSSSSLQLNDIYHVKYIKVNEGIVGIEDTSYFKQDMYQMHVLKEPQLYIGSGESASVQWAVANLTCQDAWQNKSGYACVSNNSKCLPVNSTNGYVGYRWKNNIQKQLRRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRAKDIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGPSSHFCLIWDDYLRIAAEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPVDQTHIVTNVQGTFGYLDLEYYHTGQLNEKSDVYSFRVVLVELLLKREPIFTTVSGLKQNLSNYFLSELKSRPIKEIVAPQLREEANEEDIKSVASLAEMCLRLRSEDRPTMKQVEMSLQFLRTKRSMSCHAALENGEEKQSFLHTRSEVCYESLAINMGGSANAESGNSHKCYSLEQEFVSSIGLPR >OB04G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6058323:6058481:-1 gene:OB04G15660 transcript:OB04G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFHLRMKNNVLKLTSKMPKPQLSPITIPVVSMLISSGCHTVLCSYPFHDQ >OB04G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6068960:6075256:1 gene:OB04G15670 transcript:OB04G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSALPLLLLVMFVAVNPMAKSSSVPGNQGINSTGVHAAATLGGCPQSCGNLTFEYPFGIGSSCFRDPDFNLTCDNTATSPRLFLQDGTTEVVDSIDVTGYGRSSDYLFMLVDVDISHTIPMRPGINTYNMTWKAPGRSFSLEYAMLNITGCDFDVYLVDQNRNSSVMLCMVTCPDEEMTDMVARQNCNGTGCCSIWLEASLTAFQLKFVRHNNVELKTHSNQSLLWNRINITTVGMNLGWSIVDQPTCARTRDNMTNYACASSHSKCFDSYVTTEFGYLCGCESGYWGNPYILNGCQRDNGYIPAQQKANCSRMCGNISVPFPFGLEEGCFARRLFQLNCTNATSSSLQFDDEQQVAYINISEGLVGIRYTSWYEQLEFKVYVAKQPELYIGSGKSSSVQWAVANLTCQEAKQNTSGYACVSINSTCLAVNSIDGYIGYRCKCLPGFEGNPYVQNGCQDIDECNTPGICKGVCHNTIGKYYCTDCPYKTKYDTIQMQCISSKSQNLLLGITIGLSAGFGILLVSLSATFIYRRWKRDTQKQLRRKHFQKNQGLLLEQLILSDENANDKTKIFSLDELEKATNKFDPTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSSSSSDFSLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKVKPIKDIVAPQVHEEATEDEINSVASLAEKCLRLRSQDRPTMKQVEMTLQFLRTKRSNSCHPTPENDEEIHLLQPIRSEASCEQLAINLAQSTNSESPNSHKCYSLEQEFISSVGLPR >OB04G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6076685:6080595:-1 gene:OB04G15680 transcript:OB04G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEENSHAPATRSADASARRQDDGDLTSKQDAVRISLRIPDQEMRPWERSSEEFLTCWSSLSESTDEETTRTTSESMFYIRLDGRVRRKVRSWSRGRFLGRGSFGMVFEGITNKGVFFAVKEVYLHDQGSYGDAQHCILQLQQEIALLSRLDHSNIVQYYGTDKEDSKLYVFLELVSQGSLASLYQKYRLRNSHVSRYTRQILDGLCYLHDRNIVHRDVKCANILVHANGSVKLADFGLAKEIGKFTALKSCQGSVYWMAPEVVSHKKTYGTPADIWSLGCTVLEMLTREHPYPNLEWTQALFKIGREEPPDNPEYLSKEARDFISQCLRLNPDDRPYASKLLDHPFVNRSIRSIMSIMAS >OB04G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6084481:6084696:-1 gene:OB04G15690 transcript:OB04G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIGVDETDCVLSVECIICHKHLEAMFGPNNILRVCTVIIRFMCFLCKMNVYIFTIIDKGDKLYIMRRDK >OB04G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6085251:6087365:-1 gene:OB04G15700 transcript:OB04G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWNCHWPHGKNPPLEIGSLPWDSSALQSLLPSLHGSSAESDSNLESILVTICSLELRASRVCPAWCCPRHHASVVQETWSLFPTTDEAPQIGALFDLVDVVWKTGMTDVWAVHHFIRRQIQPLKDRVHLALDYMGETDPTHESPEMIKSVDLTARVRRLCAPRIDIPTQAEDFPRPFNASFQPPVDNHQFPSHSPRRAVPEQKRAAVASSDEPASQRLASDPDAEFEVLEVLKEGDAPIAAKPTPSSRSFKPTKQFFFRQGTRRSSDIDLAGPSAEASVAQPPTNPTPPTSAPDLTIRMSTPKKP >OB04G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6094105:6099003:-1 gene:OB04G15710 transcript:OB04G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGNLSRGVKEIRFLFCQSSPASAPAREFVKKNYGDIKARNPTLPVLIRECSGVEPQLWARYDLGVERCVRLDGLTEAQIDSKLEELAKAGGSLKSK >OB04G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6100036:6101027:-1 gene:OB04G15720 transcript:OB04G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVGGVRGHGGGDGGGLFINYGEMLNIFGSTIATEKFAKDSSSVLGNEDVEGTENGVNDNPTTTDYDERSSTSKPKRQKTNDHDDKGLIGAFDRASDKLANAIINSSTVGNKLPSDLWDNLNSLPGFEQHHISFYYHYLVANPYHYLVANPYIARAFNGLPFANKLDWIAMCITEKFPSVM >OB04G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6103111:6103278:1 gene:OB04G15730 transcript:OB04G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLYSNFINSVSILVEFLNCSSCTICYAVNCCEKKLSFFEYISNLIHPIGWWM >OB04G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6108685:6108963:-1 gene:OB04G15740 transcript:OB04G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLFFAYKYIVLLFFYKTPNQSTRLPRSIKPYCCSVSLCINAAALCHFCANMMLFVGSWYHIGLSQKGLLSYVRYSSRLQYKHFYDLNFIIQ >OB04G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6110464:6111033:-1 gene:OB04G15750 transcript:OB04G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYYKKKEETERTVDGKGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPAVEDAAVFGVPDEEAGEVPVACVVRRRGAGEGEAEMMAYVAARVASYKRVRTLHLVDAIPKSVSGKILRRQLRDEFVQRIKPAAA >OB04G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6134436:6135169:-1 gene:OB04G15760 transcript:OB04G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATIAVVGEGDGEEEHIFRSRFPPMAMPEGVTVPEFKLAKLKRPLRPKIGLCNPKFRKEENKTRPSNLQLQLAKTAPHHRHSRRRTEPYFNLRNNLLTQALALALVGEKLSKAEYKPPYSTSNTQERENNECNRVSRIG >OB04G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6156104:6157650:1 gene:OB04G15770 transcript:OB04G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSRNLLEKQRKQRQDLVSTSAESDGDNSPRVQYPRGRIGKKKVDEVSPRRRGKRTANRQRPNGRIRIEEPSSSPPPTRSTRRACDAQRPNHEAAVGAIRLYTKPVLTVAIGHETPRKVVDYLKNMSRARTDLQVDWYNSLFLGRKKSSIMEMKWIDWDFMQKQSNQVAKTAVNLCHQKNIDALMSMAYNWSEELIGQFYASAYFEDSDDGLEEQVRWLTEGIEYSITISQFASIFGLNAVDLSKADLHLQPALSQETIKRLYVDDSTKVTLGTVKGLLPHFDLLLKMIKTTLSPKSGNKIALTARHAALLWSMRASAPPFSVMKYIWNEIQEIVLDPSKGLAYAPFLHQMIQRGIRTTSSSSSSPITIALSAIFGLCKKNAMKIKSNERKINQILRDSSHEIPQESEDEDYIDPFVAYEAELAARAAGASSSRTPQDDDNDEDDDDEDDNDDDDADDDEE >OB04G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6169228:6170034:-1 gene:OB04G15780 transcript:OB04G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPNAFCNELDEWIWKEFTGSIVMIEFRPVDAVAVNDRWNKFDPIPSDDDDKISERKRLSADYSCSTGFIMHDDGKYIWVLTCAHALGPIFNNEKPITISEVAELFKPVWFYVIIRRKGTGGLWVLLLGLPRECMLKQTCLKLAVRWI >OB04G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6187861:6196468:1 gene:OB04G15790 transcript:OB04G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFQSVANKSSINTEIRIGVLNNEMGDGQAANIALVMASGWELLGVATLVIMPLGVRCTRGRAGPGRAGPLIHFARTLWNSSPAPEDLVSNEPPPQPFARAIVSAHEKLQSKYHAKRDRQLKLASLDDSVPRSCLVDSRLMHSRESATKIVLQIAKVVLGLSSYIDGKLLRHCSGFVIEWDAESKIGIILTSALVIQSKSPSADEWLARDEYAPHAKVCVHLLDKAGTVVVADLVNYDKHYNLALFKIVVDLSTQIPYFTSEVKCAEEIFIVGRDEGQNLSIDHGLVEYKGPSTLQRHHHMFVGCRINKLCIGGPAINFQGQIVGMVSLPQVAFIPSSIILKCLQMWKKFRCIPRLHVGMKFSAIGLLDPARIEKISRKCDVDSGLIVTQVSNESVAEKFGVRNGDIIQSWNGENILTTIELENFLLHMGEKHLDKGNSIGSSVDLSIGIFHIRKDSRRTIKLTVNVSDDVEVVAKGTYDVTPRDCTLVDDDDDVVQGEESTHETAADEVGDREISTFSEHGITGVCEVEVYGK >OB04G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6195476:6195631:1 gene:OB04G15800 transcript:OB04G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYSDQIGCARQTMSLVFCSKVTNCVGRLTGDYSCTDQRGAWQIVSIIFL >OB04G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6205524:6206096:-1 gene:OB04G15810 transcript:OB04G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYYKKKEETERTVDGKGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPAVEDAAVFGVPDEEAGEVPVACVVRRRGAGEGEAEMMAYVAARVASYKRVRTLHLVDAIPKSVSGKILRRQLRDEFVQRIKKPAAA >OB04G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6207173:6207385:1 gene:OB04G15820 transcript:OB04G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMSQCWLVQASRVVPGTMAGARPFVESGARRRGVAEGWRKEGDGMVVVAVVARRAQFLCVAEKSGV >OB04G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6228379:6241886:1 gene:OB04G15830 transcript:OB04G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRAVKTEATAAVAAVERPRPLAVAVGGGGGGPPTVEQRLIELSSSDSDSDGEGGGVGGGGKRWRGLEVGGPAGKRARVSAAGAAGDVPPGFLDPLPQAVAAGEVEVEAPSKSATKQFWKAGDYDGKPLGDGARQPSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENDKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRHTQSIGMLSYTFLRSTNKEDIVVPMIDYENEQGWKRKLRTTSADWNTSLQTIITWSPYSTEAELLEQFSSIKDQGTRIIIYNLWEDDEGHLELDFDADINDIQLRGGNRDEKNIDMAKKFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVVPNGAPKDSNMVADVTIGFVKDAKYHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYIGTRAGRYNETEENSPEVTQSVQQSPYPTGKDYGKSNKKQSPYPAGKEYGKSNKKSGKASTSFHIQRRTEKSATTKVSGRSVMDGLLDTSDESDSEFVCAAPPSSSRSHMLNLHRKSFQNGTTLATPSSNGLTEKERNRSASQPVGLNVASNGVHTIDDHETIIKQLRDENSSLKERLLRVEESLSQELVIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERSRRDQEEENLRAKLKDASSTIQDLLEQLNAVRKGRKVTTCLVIPFVVFQFKLHIVVSNRSQFFCVRAFIFHVFVSDSETVLTKLYGCKGG >OB04G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6241607:6241774:-1 gene:OB04G15840 transcript:OB04G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLNWKTTKGITKQVVTYKITYQSLTVAFIGIPCLYTMLEVIRNAKMKLPSYQL >OB04G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6247157:6247327:1 gene:OB04G15850 transcript:OB04G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYSKFVILGCPPANYPFFRCLVANFAYFVLFCFFAATCVYFRWTRGTHRRAGMRK >OB04G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6251821:6255651:1 gene:OB04G15860 transcript:OB04G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRLLAAVAALLLFAAAATVVRSAEEEDALIEQLLDPSASHGVTKFSDLTPAEFRERYLGLRGGGRTSLASTAEAPILPTDGLPADFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHYLATGKLEVLSEQQMVDCDHECDPSESRACDSGCNGGLMTTAFSYLMKSGGLQSEKDYPYAGRENACKFDKSKIVAQVKNFSVVSANEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGKHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGPHVQNKCGVDSMVSTVTAIHTSKME >OB04G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6252377:6258877:-1 gene:OB04G15870 transcript:OB04G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVREREARALQKEVDAYRRLLGLATEDDDDDDEDDMVTPHSTMVDGEPSSSKSVYKNSRNDGMAQLVNDYGFSLKTPFSPEELVSPINVDHIKGVNEDKLLAGTSENIPVVGLKPEDESCENDDTETVEILPLSARSLDQIGNVEAVAVVGTKTTEELPGELQEVDCGGCIDKIGGDSAGSENDANIYDVHVVDDICFPTEVKGLIGRSFSDATMQAEKLQNRVAADDLLGRSLNAIKGAQDKIKLAASERKQTLQLQLLEDIANQLQEIKDAAEAGRQLHCASPRNLKKS >OB04G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6259555:6259833:1 gene:OB04G15880 transcript:OB04G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASPRVGGKWWRRSRGKEEEEEEENLVSVCLVAASAAVKGGGENWADGAVVDGGGSVVFAGGGCIGRVYAREGEARLFSAPRFPACERVQ >OB04G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6263659:6264594:-1 gene:OB04G15890 transcript:OB04G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRSLPVSKVAHLAPDLGLAMDFRATLCPRHPDLFTFVHTSHGHALQLADPPPPPPPPLPPFRTAAPSDRLIDRPRRFPHLHLRRGLNLRRAHRDYLLWFHSLPEASPFEPLEDGASLEMVERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLHAMLVRHPELFYVSIKGLRHSVFLVEAFDDDGRLLVEDDMLVGRDRLEELVREGKRMRRAKKKGLLALAGDSDQDDDEEEEEEDDLGEVDGESGDLFKDGSIGEDWEEVGDQGEASDDDDDAELDAMEEFWVKKAVAEGLVDSGSELDAW >OB04G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6281576:6282783:-1 gene:OB04G15900 transcript:OB04G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRKYDSGAEKRKKKQRLDAAAQSQRGALHRFFVKENLDPTADDGHTNVIEEVQANAVEGDDAVEANVDEGQYIGALDVHRWPAWRSAVVSFSLHEGSVEITVFQNFGFAVQSVKGSVRIFRDVRGLYYYQTLFVQIKLHDLQRRSMLVPHQFS >OB04G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6284990:6286009:-1 gene:OB04G15910 transcript:OB04G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPLPGAGTHVVERVFLPEDGGDDHAITLVYVRLVARRLTAQVHVLGSGGAWEAPTTAETELPAVAPSGDDDDGDEAVEAVLPPINGEVYVVTTSGYTYTLGLRKSSTSLSVLDLPNAVRSCNFRLSWSHEDDDGGGAGGSRGRLCLVHGDGTQLSVWHRKTATIAVGVGWELRHTFCVREACQRIEWLPERWWTGRASVMAVGGDAEFALLDLEQAGIVIYVHLQWRTVKKVYERKTGGGAEDGRSAVRVFPLTTVWPPTFPEFDTEAQNSGERSVDGSNDDPDGLVGAVVMSCHEGFSATSPTYVAARGREDDAGVAAGWFQRLAAVVAAIADCIA >OB04G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6304864:6306334:-1 gene:OB04G15920 transcript:OB04G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRWAQAGPPGSVAREAFSFHSAARRRISRPFSPSVASRIVAANSPRRTSPPISIPTPHSVRLYRQLVCCSAKKGQLSIGTPAERLSRVEFQPECVRNTPTAAWSRTFSWAHHVGRMPRPSTAALNSGGSGAVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQPLGLAHDEVEHGVCAIRGADEAWEVAELDAVAHAERAVDHGVEVPVSELRLVPERHEATVNEPAVEATPQEAARARRPQRRARHADSLAASYANDMRPSATRHVTGGDPDAGSSSRASSARRWPRQFVAVDWQSAGMSWGWAASAPSSSSAAAAGSPSGIVAKTKPGTPSSARASRAASRRRWLNSVLTKVTWKPLAWSSLARCSVGVTSPCAGYGTHTACGFSSPPAAGPPTIAMA >OB04G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6304868:6306413:1 gene:OB04G15930 transcript:OB04G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGGPAAGGEEKPHAVCVPYPAQGDVTPTLHLAKLLHARGFHVTFVNTEFNHRRLLAARDARALDGVPGFVFATIPDGLPAAAAEEEDGADAAQPQDIPALCQSTATNCLGHLLALLARLDDPASGSPPVTCLVADGLMSFAYDAAREIGVPCAALWTPSACGFLGCRLYRRLIDRGLVPLRDKAQLTDGYLDTVEKVLDHAAVGVFLTHSGWNSTLESLSAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVRRGELAATIREATEGEKGREMRRRAAEWKEKASRATLPGGPAWAHLDRVIHEVLLSSKDKAVRVASSESVGSQTN >OB04G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6323413:6323847:-1 gene:OB04G15940 transcript:OB04G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHQQRRRRRLRPRRDRPAGGHRAVRRGEQHGEAVRHGAARGRRPGLPQHLLHGAVLAGHFAGGVPQVPRGRAGTVVAAFPAQRRGREGRRREVLPEVGAGRWAILHRSADGAPAGRWALAYAFAIVIISLSNKSLGEMTAPTKI >OB04G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6334799:6338006:1 gene:OB04G15950 transcript:OB04G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14600) TAIR;Acc:AT5G14600] MMVPLDPSAKPTSQRRIAEGDTVVVYERHDAMRAVAVRAGAVLQNRFGVFRHDDWIGRPFGCKVHSAAAGGGGRKGGGKGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVCTFDFHEQRAASAREDFEKNGLTSLITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTYEILLRTYEVREGALKGATTNEESNAGPLPQKRRKLVAPAETLDDIQNSSCVMVRPCSTARGHTGYLTFARLRVHGG >OB04G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6340687:6341604:1 gene:OB04G15960 transcript:OB04G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSVRLRDFPSFIRTTDRDDVLLNFLMHEVERLALPDAVVLNTFDDLERPALDAMRAILPPEKVIEHPAVGVFLTHSGWNSTLESISGGVPMLSWPFFADQQTNCRYKRTEWGVGMEIGGEVRRGELAATIREATEGEKGREMRRRAAEWKEMAARATLPGGPAETNLTRLIDEVLLKRRNNKG >OB04G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6344039:6345233:1 gene:OB04G15970 transcript:OB04G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYGDGNYDEERAVKKLFRVILEKYKQIAHSIESLLNLSTMSIEEVIGCLKVVASDEPQPLSGPITIDEKLHLTQEQWGHVKVTSKYHIVADTSWYHLDHRYCAIRTTWRMQAEIGVGTRGSTEERHHHAGQHGGVLPEHGEAMPHARGVEEHGGDDAG >OB04G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6349396:6350884:1 gene:OB04G15980 transcript:OB04G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LWS3] MGSEAETMTAARRHHAVMIPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLVVSRGAAAVDGVAGFDFAAIPDGLPPSDPDATQDIPALCHSTMTTCLPHLQALLARINDAGAAGSGVVPPVTCVICDGVMSFAYDAARQIGVPVAALWTASACGFMGYRHYRQLVDRGLVPLTDAAQLTDGYLDTVVGGARGMCDGVQLRDFPSFIRTTYRGDIMLNFLIRESERLSLPDAVIVNTFDDLERPALDEMRKILPPVFTVGPLLLHVRRVVPAGSPLDAAVGSNLWKEQDGLLAWLDGRPPRSVVYVNFGSITVMTNEQLLEFAWGLANSGYPFLWNVRPDLVKGDAAVLPPEFLAAAEGRGLLTTWCPQAQVIEHAAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARGGGVGAXXXXXXXGEMRRRAAEWKEMAARASLPGGPAERHLDRLIDEVLLCGGKKGGNLN >OB04G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6363360:6363947:-1 gene:OB04G15990 transcript:OB04G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRGYPIAHELICSYCLPLFLTFLQIKINQFIKHLFFCFGSFPHCTLQLLDAKFCPSILSNPNAHASFNSESLLISTRAQDRSDKASFGQPFQGTHKVANKHSSVIQEKYNTVQNKTQSISTETNITLPVRMSGWENCHLEPEKVSVVYAGKPPPPPQHIASLQKNLAPSPTHKKQVYKPQARSTQCKLTPSSAH >OB04G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6364049:6367723:-1 gene:OB04G16000 transcript:OB04G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEEETPRRLRVIGARLCSLPDGDELLSLLQEASTLLYKVDQNELDSMHSALFPVMSALIKTEILEHTDPGVKLAVASCLTALTKIRAPDPPYDDDVMKGVLKLVVEAFCELDDVDCPSYGTRVSMLRTFAEIRGCALLLDLNCNDLIRDMFHHFFRIIPLYEEVYIYFSNVHQKNVTSYMESVMKSVIEETTEMERDLIQDLATCLLQNVKKGKKESLPESYLLAEKIIGQCHEKLKPVFIKLLQGTPINEYTNLVTSLFQDATDAGDNNVDAFMHGMVDHYNITNQEHSNEDIGFQVVCLLPVDVLRFYNRFDKSSDASLKTQQVNIFYRDLLRSNEKYLEVQDYNPDASPDMYVKLPL >OB04G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6370051:6374680:-1 gene:OB04G16010 transcript:OB04G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60770) TAIR;Acc:AT1G60770] MATRVKDVARRSSKKYIEEALYRRLFRRGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRLRKQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKELMTEKAEALMEKMKKLNFAFTAMCYNSLMTLYTKTNQHEKVPSVIQDMKADDVLPDIYTYNVWMRALAAREDIQGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEAALKELEKRNTSNDLEAYQFLITLYARTQNLVEVHRIWRSLKRNQPRMANMSYLNMIQALANLKDISAAESCFKEWEARHIHPAKTNTKDSGTTKTSNNESAAKATNDKGTDETDVKHPKYDIRVANAMIKAYITEGMFDKADAVKKRAKMRGGRLNAKTWEIFMEYYLKAGDLKMAHWCADRAIKKGHSSGRIWVPPTDVTDTLMDYFEKNKDVDGAEKFVLTLKHVKKDLGAVVFESLIRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDSVCVDY >OB04G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6386429:6387730:-1 gene:OB04G16020 transcript:OB04G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVIRAARDLGLRCATLWTASACGFMGYYHYKLLFDHAIFPLKSEEELRNGHLETTVDLVPGVPKDLRLRDLPSFVRSTARDDVMFHYFIDVTATMPAASAVILNTFDELDAPLMGAMAALLPPIYTVGPLHLAVQNGAVPADSPVAGLGSNLWKEQEEPLRWLDGRPPRSVVYVNFGSITVMSGEHLLEFAWGLANSG >OB04G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6395440:6398913:1 gene:OB04G16030 transcript:OB04G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRGNVKELISNVSVYTSAAESSGGMSLLFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPAAGHVLHPVYASDSTNAVEMEPL >OB04G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6402809:6403051:1 gene:OB04G16040 transcript:OB04G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKRLKEYRLNCSARTSGRLDAKVESKGILSLMQTMVIYHINYVSRCSCNKLTANESWCHSVNKPILRYICWTDCCSLL >OB04G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6403567:6403761:1 gene:OB04G16050 transcript:OB04G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHKTCVNVWNIQCYSTIMDYFLTLTWNCAKPSICVWLCLSLFLMNKMIILFNCWYYCEDVM >OB04G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6404467:6407637:1 gene:OB04G16060 transcript:OB04G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYGHWKEHSSDLWGSSDAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDDGVGLMEDMVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWAEKLSSSSLQLTDITNGFSELFAVKDASEITCVKKAAYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDDTEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGARYGNYCSNIARTFLIDATPTQSKAYEALMKAQEAAVEALKPGNRMSAVYQAAVEAIEKNAPELLPNLTKSAGTGIGLEFRESGLNLNPKNDRIIKEGMVFNVCLGLHNLQAETKSEKTKQYSLLLADTCLVPLEILTATCSKLVKDVAYSFNDEDEVLPVTKAVVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGTSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGNRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDNWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSESEETGESDQGYEPSDAEPESESEDDDSDSASLVESDEDGEDDSEEDSEEEKGKTWEELEREASNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKKPKFR >OB04G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6404304:6414430:-1 gene:OB04G16070 transcript:OB04G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LWT2] MNPMKSYGISMECLFPYKFWRKFNKRYVTVDEARGRRLFYYLVESERDPTADPVVLWLNGGPGCSSFDGFVYENGPFGFGRAARPGGGGLPDLELNPYTWSKVSNMVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYISGESYAGIYIPTLADEVVKGIEKNLKPRINLKGYLIGNGATDHEHDFNSFVPFAHGMGLISSELFEGVSTACHGTFWGNVSELCQQKIDKVDWELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETERRFPVRKRMAGRSWPLRAAITDGRMTMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIDYYHDSGSSMVKYHKKFTAMGYRVLIYSGDHDLCIPYVGTEAWIRSMGYRVIDSWRPWYFGEQVAGYTEGYEHNLTFLTIKGAGHTVPEYKPKETLEFYTRWLSGEKI >OB04G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6416300:6421390:-1 gene:OB04G16080 transcript:OB04G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKKGVNQDAMVVWENFCSKEDTIFCGVFDGHGPDGHLVSKRVRDLLPMKLSANLGRDERKETSSIVTGSMTEDGATKHMDRDTETPLGTDDNGEYPNTFAALRTSFLRAFYVMDRDLKLHKNIDSVFSGTTAVTVIKQGRDLIIGNLGDSRAILGTRDEDDQLLALQLTVDLKPSIPSEAARIRKRSGRIFSLPDEPDVARVWLPKYNVPGLAMARAFGDFCLKDYGLISMPDVSYHRITEKDEFVVLATDGVWDVLSNTEVVSVVSQAKSEASAARLVVQSAQRAWRTRFPTSRIDDCAVVCLFLNTNARNKSSGSGTTD >OB04G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6427851:6434077:1 gene:OB04G16090 transcript:OB04G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVLEVQPKFTIVGVGGKGSDIGVLQNHDRNHHRRHLVAADLPLGGMDTGDLAGSGIYYTEIGIGAPTMQYYVQVDTGSSSFWVNGISCKQCPHESSVVSKLTLYDPLESITSRAVQCDDVFCTSKHWGVQPDCNRSSKCPYYFAYGDGGATVGWFYKDLVHYDQLSGNNGQTQPTNASVIFGSAAQISGSLNISEWAVNEIIGLSNSNDTVLSQLAAAGKTKKIFSRCLDSRKGGGIFAIGEVVEPKVKTTPLVNNKSKYHLVNLKSINVSNTTLQIPDNILETTNTKGTMIDSGTTLVYLLLIGN >OB04G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6434810:6437394:1 gene:OB04G16100 transcript:OB04G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKLQSLPTLLKFIFVLNLGVHNYLEQWIGFPEISLGTEAIGDGFPKITFKFEGELTLEIYPYDYFFEFEDNQYCVGFQDASKDVGMGEDGVILGVWTTDLIDVELSCSHTWRNAPLFYGDRSPPSFLS >OB04G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6454639:6455349:-1 gene:OB04G16110 transcript:OB04G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGANSLKAVKKVSLVAAIVAAAILSDTACGAGRTVWSPRRRSPSPPRSGRRGPAVELPPVCSFGWPCDPEPEEGNSTVVFSCDVENRMGDTIYLQCDGDFWAFAVGSGETVRHRLYDDRSKVSCAWAFDGNYKSGVAAWDPNWPEASSCRVDAAAAGRQDCRLLFENREAVLLTAPGGRPVLGGLQLCIDKPTPWYARAPWADSCAAYPNTTTRPYVGTVQPSWMAALLSMDH >OB04G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6460254:6482936:1 gene:OB04G16120 transcript:OB04G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLMFLAAKLLALVLASFVHGTMANGVLEVRRKFPIIGRGGKSSDIGVLQTHDRSRHCRRLEAADLPLGGVGADGSGIYYTEIGIGAPAMQYYVQVDTGSSSFWVNGISCRQCPRESSVVSKLTLYDPLESVTSRAVQCDDVFCTSKQSAVQPNCSRSSKCPYYFSYGDGGATAGWFYKDLMHYRQLSGNNGQTQPTNASVIFGCAAQNSGSLNITELAVDGIIGFSNSNNTLLSQLAAAGKTKKIFSHCLDSRNGGGIFAIGEVVEPKVKTTPLANNQSKYHLVNLTSIDVDNTALQIPANIFGTTDSKGTMVDSGTALAYLPGIVYSELMLAIFAKHKNITLRNVDDLECFEYLNSTNDGFPNITFKFQGDLTLDVYPYDYLFEFEDNQYCVGFQDASRNVGMGEDGVVLGEMVISDKLVIYDMENHVIGWTEYNCSSSIKIKDEETGATYTVNANNISSGWIYQWQMHWIMPLVIMVSSYLV >OB04G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6498592:6500874:1 gene:OB04G16130 transcript:OB04G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLLKMLQHLLQSSVFMSPMVVGVPPQWPPSDMIHLVVKELMDDIEALEEGRKRNNGPRRYVAKPHEEANQLLIDDYFTQTPIYNSTIFHEGSG >OB04G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6505090:6511484:-1 gene:OB04G16140 transcript:OB04G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCDQGFCSATYGGLLPGCTTSLPCEYSVMYGDGSSTTGFFVSDLLQFDQVSGDGQTRPANSSVTFGCGSQQGGDLGNSNQALDGIIGFGQSNTSMLSQLAAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALQLPTHIFDTGEKKGTIIDSGTTLTYLPEIVYKEIMVAIFTKHQDITFHNVQDFLCFQYAGSVDDGFPKVTFHFENDLPLNVYPHDYFFENGDNLYCVGFQNGGLQSKDGKSMVLLGDLALSNKLVVYDLENQVIGWTEHNCSSSIKIKDDQTGATYTVDAHNISSGWRRFHWQKPFILLLIAMVCSYLIF >OB04G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6523493:6538690:1 gene:OB04G16150 transcript:OB04G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHAPEERKAAEASLNQFQYAPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPEEKHIIPESDKSMVRENILGFITQLPPLLRAQLGESIKTLILADYPEHWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNIFSNLVPITNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQILWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFIHFIVEIFLSYNEAPIEMKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVMSVLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPSLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWSLWPLMMEALNDWAIDFFENILVPLDNYISRGTNQFIACKDPDYQQSLWRALSTIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRATKPYLKCLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGMRANFKREHDKKVCCLGLTSLISLPANHIPPEALDRIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEEIESDKEMGFDDEDGDEVSSVNLQRLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQAMQVSDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANVQ >OB04G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6538065:6538307:-1 gene:OB04G16160 transcript:OB04G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESASLPRTLHQNWLPKSSRPSCKQNSLPVITESQDTDKCQCHISAKLTIQPSNDPSLHICLFQLLLFNFNLSFLSMLS >OB04G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6542820:6544689:-1 gene:OB04G16170 transcript:OB04G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILVKRKSDRQRGHAIPIHKRAKNLVKRKNLEESGSTDQEISNHIATIKAKELAQAKLEEVEWKKKNEK >OB04G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6543955:6544266:-1 gene:OB04G16180 transcript:OB04G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFGIPGKGFFGLNINCASSSSKKTSVRGILSINDGTASVEQIETELNHIFSDMTWEWKVKKLNDREFLVSFPSKNIIRQLSRPNSFDFDCFQIKAVWLRQL >OB04G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6554793:6568036:1 gene:OB04G16190 transcript:OB04G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLNQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPEEKHIIPESDKSMVRENILGFITQLPPLLRAQIGESIKTLILADYPEHWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNIFSNLVPITNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMICFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNTIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDTDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFIHFIVEIFLRYNEAPIEMKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLNEISPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPSLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWSLWPLMMETLNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSTIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRATKPYLKCLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGMRANFKREHDKKVCCLGLTSLISLPANHIPPEALDRIFKATLELFLQGSSCRCSFFFCSFLESKRENEAAAEDLDGFDGDEEDEEIESDKEMGFDDEDGDEVSSVNLQRLREARGFQPHYDDDEDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQAMQVSDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >OB04G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6574015:6576413:1 gene:OB04G16200 transcript:OB04G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFDGPPKPEPDMVALIRHAVAAGVTFLDTCDVYGPHTNELLLCKALQGGVRDKVELATKFGKSFVPFADVKVEIRGDPAYVRAACEGSLRRLGVDSVDLYYQHRVDKRVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHLITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGGGFFCSGAKLIDSLSQQDIRKSLPRLQPENLEKNGQIFDRVNAMAIRKGCTPAQLALAWVHHQGTDVCPIPGTTKIENFNNNVGALSVKLAPEEMAELECYAAAADVWGDRYPLMANTWKDSETPPLSSWKDE >OB04G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6577315:6580703:1 gene:OB04G16210 transcript:OB04G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTFLDTSDIYGPHTNELLLGRALRGGVRDKVELATKFGISFADGKREIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLVDSLSDQDFRKHIPRFRPENLEKNAEIFERVNSMANRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPEEMAELESYACADDVQGDRYPQMANTWKDSETPPLSSWKAE >OB04G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6588557:6593430:1 gene:OB04G16220 transcript:OB04G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSQGLEVSAQGLGCMGMSAVYGERKPEADMVELLRHAVAAGVTFLDTSDVYGPHTNELLLGKAVTAPAVREVVQLATKFGITPEMDRVRGDPAYVRAACEGSLRRLGVGCVDLYYQHRIDPTVPVEITMGELKKLVEEGKIRYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEQDIVPTCRELGIGIVAYSPLGRGFFSSGAKLMDELPDDDFRRNLPRFQPENLEKNAAIFERVSAMAARKGCTPSQLALAWVHHRGADVCPIPGTTKLRNLHQNVGALSVRLSPEEMSELESYASADDVRGDRYHGGFLNTWTNSETPPLAAWKGRV >OB04G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6606020:6610972:-1 gene:OB04G16230 transcript:OB04G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAGAFGLQQRPSCCYMMHVSGKQRSEQWMRERANKLKEHVRQLFASSKDILEKMKLVDTIQHLGIDHLFENEIQTALQEIHENEFATNNLHDVALRFRLLRERGFWVSPDVFNKFKGDDGSFSNELANDPVTLLQLYNAANLFIHGEPELEEAISFARRHLESISQHGAGLKSPLADQIKRSLHIPLPRIHRRVEMVSYVPEYDQEDGHDPLLLELAKLDFNILQRVHQKELNELSRWWKDVSAYMGLHHIRDRVIENYTWSYAVYHEEELSLARVIFAKIVLLIALLDDTYDVYAFTSIEECRLLNAAFQGWDDSAASLVPEYLRKFYEITLRCFREFEDLVPSNQRYLVAFSKTEFQKLSSYYLEGAEWAHRKHKPRFSEQVALATMTTATRPLAAGLMVGLGEGVTTTAAYQWAVASTDAIISCGKTGRFMNDISGFKLGSQNKADMPCSVETYMEEHRVSMEVAIGKINELVEEEWKTTNQSRIDHRGVLPVVQRMINITLAIPFYYSDGKDGFTFGEGLQEVLQKLYVKPMPL >OB04G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6620317:6625524:-1 gene:OB04G16240 transcript:OB04G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMVESNFEPSLWSNYFIDYELKPLQRSEKWMRKRAEKLKEDVLTQLEDCEDMQERMNLVDAIQQLGVDHLFKKEIDNALKDIHTSEFNSSSLHEVALRFRLLREHGLWVSPDVFNKFRGDDGRLSDVIAEDTRGLLSLYNAAHLLIHGEPELEEAISFARHHLQSMSSVDPILAAQVSRSLHTALPRTSKRLGTLQFMSEYEQQEGFNGILLELAKHDFNLLQNLHLKELKYFSGWWRDLYRHVGLNYARDRAVEGYLWSYLAFYESGLQAVTRIFFAKMILLVTMLDDTFDSHATFQECRQLNSAIQSWDVSAVTLLPEYLKRFYTTLLSNFQELEDQATDIDKYRVACTKKELQKLSSYYIQEAEWVHQRHKPPFGDQINLSAMTSVVPLLSVSGTIGMGEALTKEAFEWAASRSAVTMASSKIGRFMNDIAAMKRGRNRGDVASSVECYMNEHKVPVEDAISKIESLVEDNWRTLNQARFDDDTTLLPLVEQAVDVTASMSFFYEDRKDAYTFATALQETIESLFVNPVPI >OB04G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6647531:6648796:-1 gene:OB04G16250 transcript:OB04G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSVDATREKFSRRNVLHLRTLYLALCTFMAAVVPFFDDIVGVVGAVGFIPLDLLVQYIGLGFFLLGSAPSSAQKHKETNHVKRSQLEKRDAVAAVVQCSRRRSRSHHQQGRRTRGEKDATIGLVVPSSMVLPSYALLCGLAHVDDEVVGDHVADGEQRTQATENFELISIEVDFVTTIDANAHGDANKLATSH >OB04G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6650453:6658949:-1 gene:OB04G16260 transcript:OB04G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P4H isoform 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) TAIR;Acc:AT2G43080] MGMARSRAQRLLPLLTFVTLGMILGSLLQLAFFRRLDDHSHVNHLENDPEAALLRLGLVKPEVISWTPRIIVFHNFLSSEECDYLRAIARPRLQISTVVDVATGKGVKSNVRTSSGMFVNSEERKFPVIQAIEKRISVYSQIPEENGELIQVLRYEPSQYYRPHHDYFSDTFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGKMVKGLCVKPNKGDAVLFWSMGLNGETDPNSIHSGCPVLEGEKWSATKWMRQKDFV >OB04G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6669405:6672260:1 gene:OB04G16270 transcript:OB04G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRIYATAWAAAAAAIFGRNNGGHRPEKPNGGGRRSEPPVAAAACRRTRRGGARRRVDGAARSASAVRRKGDAVGGGGDRAGRGRACTCPKIRCDVFGSEFLELNSPLSPKIRRKKGYVI >OB04G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6673989:6680933:1 gene:OB04G16280 transcript:OB04G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAWRPLVRVSQDLASNGAAPEKALGFEGSRSQEWMRQRANKLKENIRTMFWNSDDVVERMNLVDAIQRLGIGHLFDDEISCTLSDIHKSEFTSSSLHEVALWFRLLREHGLWVSSDAFNRFKGDDGRFISELANEPRGLLSLYNAAYLLTHDEPELEEAISFSRHHLKSMMQENSLKLPLVDQVKRALHFPLPRAYKRAEALCYFLEYEQEEAHIPILLDLAKLDFNLLQGVHLKELKAISEWWKDPYGYIGLSYLRDRMVENYTWTYMMFYEEGLSVTRIICAKMFALITIMDDTYDAHATIQECRKLNEAIQRWDESAIPLLPQYLKKFYIKLLNNFKDFENQVSVNEKDRVVYAKKEFQKLSHYYLQEAEWLHQNHVPSFQEQVALSTKTSGVQPICVSTTIGRGDAVTKEAFEWASSGTAIIACAKILRFINDIAAFKRGKNKGDISSSVECYMTENQVTSEVAFSKLYSLIEDEWRTLNQARYEHHELLPVVQRVVNFAVPIMFFYDERKDAYTFSSYLHEIVRSLFVNPVSM >OB04G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6693272:6701772:1 gene:OB04G16290 transcript:OB04G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAWRPLVRVSQDLASNGAAPEKALGFEGSVWGDFFIHHTPPQLQRSQEWMRQRANKLKENIRTMFRNSDDVVERMNLVDAIQRLGIGHLFEDEISCTLSDIHKSEFTSSSLHEVALWFRLLREHGLWVSSDAFNRFKGDDGSFISEVANEPRGLLSLYNAAYLLTHDEPELEEAISFSRHHLKSMMQGNNLKQPLVYQVRRALQFPLPRAYKRAEALCYFLEYEQEEGHISILLDLAKLDFNLLQGIHLKELKTISEWWKDLYGYIGLSYLRDRMVDNYTWTYMMFYEEGLSVTRIICAKIFALITIMDDTYDAHATIQECRKLNEAIQRWDESAIPLLPEYLEKFYIKLLNNFKDFENQVSVNEKDRVAYAQKEFQKLSHYYLMEAEWLHQNHMPSFQEQVTLSTNTSTAQLICVSTTIGRGDAVTKEAFEWATSSTAIIACAKIIRFMNDIAAFKRGKNKGDISSSVECYMTENQVTSEVAFSKLYSLIEDEWRTLNQARYEHHELLPVVQRVVNFAVAIMFFYDERKDAYTFSSYLQEIVRSLFVNPVCM >OB04G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6702398:6704009:1 gene:OB04G16300 transcript:OB04G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:J3LWV5] MVLQNDIDLLNPPAELEKRKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKSD >OB04G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6708569:6711320:1 gene:OB04G16310 transcript:OB04G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVGLGEAGKGGGGGGGVVEAAVTLEALRKKMSDFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWGEREVEHLGEELADVLLYLVRLSDMCGVNLGKAALRKMELNARKYPVGQCRGSSKKHTHYSVAASDSGASGDDNNGNVGADSGKGQC >OB04G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6715149:6723377:-1 gene:OB04G16320 transcript:OB04G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSPLSKFWRIELQKDQLGMIFRGGWXEYAAAHGLGVGWFVVLRHEGGGVLTAKVFDTTCCLKEFGDSTPVLTTINGRARGTSCKPQFLSILLPDFMDKMRIPDKFVQHYITEEHLNSNMAYISSPPGKFWRIGLEKDRSGVFFCGGWLQFLSFHGVSQGDVLLLRYRGNLVFKVEFFGPNGCRKDLKTDDTRVQQYAGIHQDEPFRRRKCIEKKRKIDEDNGNQHGTASSSGRKDSIKKRRTDGSERERRKKSQSIYEIGPPSWIKKKINEYILERCLVSLALTFCSSIGFAKKSTITLTMEMEMTSRGGGGGGNSSRRRSWEVAGRRYSHACYLLGDGWKRFCHDNGLKVGDVCVFTVVHTTLWHVGIERC >OB04G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6743667:6750118:1 gene:OB04G16330 transcript:OB04G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGERVKELATELGTVERTLAQPGLKERERSALAHKQRQLKYQLQTLCGYDKLNDVLEYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLQLIRGELSKLGIVDGVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILQGCESTIEMLRGQYAHSVGCFDEAAFHFLEAARLTESRSMQSMCQVYAAVSYICKGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFAYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCVQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELEERENEMENSEYERKKEDDLQRRLSEAHSSPFHPELVEKTRIQVQQLHNSSAEQQGMPGTTMANADLDIPESVGLSARQSSSVKRLIDPSSVRRSNRRRPS >OB04G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6754888:6760086:1 gene:OB04G16340 transcript:OB04G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETFALVCSMLVEILKLPSADDVEKLPSFIEEASKHAISSTFSHEYDCQFLIPHSLLLLKEALIFCLEGNKDQILHKKVLEDSIVETCKTYLFPWLESAIVDGNDEETLSGILQIFQIILSRASDNKSFKFAEMLASSSWFSLSFGFMGLFPTDHVKSVVYLVISSIVDEVLGFNYGETIRDACIYLPPDPAELMYLLGQCSSEDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGGKFPHEIPGSLMLTLLVHLYAFVRGISFRFSIPHSPEAEKTLFHAMTLKEWDLLSSRVHPIGLKWLFQNEELMEPLSFQLLNFCKTVTLSSSGQLVDIQMIAELVYSGETCISSLLVSLLSQMIKEDAEDEVLSVANVITEILVIFPCTSDQFVSCGIVDALGSVYVSLYSSRIRAVCSLLVFNILHSASAMTFTYDDNVWIGLTMKLLDSFNCSLDCTSNDQERKILIGILCLILNHSANKVLIEPAKAIVLNNCLVLLMDGIVQEACAKGPSLFQHNQETAFGELLVLILLLILFSLRSLHVILEASIDWQDFLQYSDDTQSCSFVGIPCHDLCRLMHFGPSPIKLLASQCLLELLNRISFQRSCLNAELRCSAKYLKSIIAVTEGMVFSQDSRVAENCGACLSVILGWERYGNKEKVVIRESKWSRLILEELAVALTAPGLTSKSFTNQQKIAANIAVSLLQLSQVPEWLTSLFNGSLVSGIVANLSARNVTAEIVNLFSELMTKNYLNQEDIAGLHDLFQVCRRQAYEGGGSNAQLPSPEKKAETAVARSADEVCGLLFGMMLDQRTGSCNAGETEQQRLLREIDSFFFQESSLRGQREAAQVSRA >OB04G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6765040:6765423:-1 gene:OB04G16350 transcript:OB04G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDGASGGVVHIVTINADGVSRKFYLGDKLPL >OB04G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6766670:6771110:1 gene:OB04G16360 transcript:OB04G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSFSRNPLRSSAGPVPFHCDEERPCVELFNWWLERVEGDDRKVRVAGHAERGRKLHLFTSAPIVKRHEACFLEAEDSVIVLINGPLDLSQMQKHGYSLEVCEKFMVGFPYFWERYNLGSQASSCKTSKLQDSSTKFYLEKFQLGNFIDKVGYSFIASLLNNGTHFSGDAGSFENVFYLSNKKPRFEEYACDIDISAKENTTAFNEGNEGSSAVCNKVGNGKIDLIVESTSKDRDHGVTSTEEFTRDETSEQAGNQNEFIHPDVEDKEAASHLVNSDSIYDKSTDNMTFGMGDGSANAGSSVGQGSKEVLATVLPERANFSSDDCLDNILPISTCNSNNCVENQTCLEIAQHITLNEEVVQNEDMSTSVHSDGESLRNQRGIIFMMSSSYLACLSVVFLMIHMYKRLDNIYLEKQPAGPAKEQISKSNVLQGAQRWPKKHVGSAQEQRPERYMSPGATRSPMIRTAIPYAHCSPLTRAKAKSSSVSTPESLELRRTRSGRVVVPPLDPGRQRIIYDKETLKHHASGEQVKDSCEEEKGSLSIFHSPRVAYILFENVGSLSATFCRSIWNS >OB04G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6774194:6776851:1 gene:OB04G16370 transcript:OB04G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVNTVKKLSGNNADAIPLQRCSCLPNRIPRLDKYTFDENGSSVTRKSTSAINNPINGHTEVVNEEMHLATDCTSKLECNGDTCTNKERETQNETNHTDLRQNMDTKDANNSDNVVLICNQTAGHISYELGGESNRSSGSLAGVNSEVLVSKAPPEKRLCTGHEEAGDLESTPNTRVRKRSRLSRVSPANNLFDHEASDDFICRGHNLDCSHTPNLHDEMVPNEDKIPCASLDVSGCENTPKTSSKRRVNKKRTKHESSNQTTELYGNTGALVVIQIPLTRTKAKALCLATPEYLRRSTRSGRLIVPRLDPGSQNIMYDMFCSQDGSIFGITNLELPQLQGPYSEPPSRRRKRPRCSSPGHRRLLLF >OB04G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6779597:6784010:1 gene:OB04G16380 transcript:OB04G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVEGEEGKVRVVGSDTTTSRACRKFTSASIKTRHANGDIETADSVIIMTVGPPDISKMHQNGFPHEVSKYFMLGFPVEWEKYINASMSEMNDQPQSPLKSTEYYIEKFLQGNLKYSMGLFAWDYLNTSERSTSDTDRFPSQRHSNSSNRRHNFEDYAFVQYQESSAGPSVDTAKNARNQVEQDADIQQENMHRYSSEQQIVTHSNESALINNCTNEVSSGLEDWETPKCGKASTCQGTKDALDITTEGMNPQLGVNQGSEDNTVRRLRNGKVFGMSSSASLKKVVCKRGRMQVKTFLEKIIPIEDVTHPADLISREKVGSVAVSMSAKLQIHDSPRKGPGRPSKRGKRKRS >OB04G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6793631:6795844:1 gene:OB04G16390 transcript:OB04G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLSSLFAAAETSAAPASTAPQEHPRSRAAYAAFRQRLRSGTLGPEDARQLFDELLPRAPAHAFNALLADLARAPPSAACKDGPALAVELFKRMDRRASPTIHTYGILIACYRRAHCPGLGFAVFGRLLRTGLRLNVVVYNSFIDCFSKDGKVDKAYQLLHDMKELGIMPDVITYNSIIDGLCKSKAMDKAEMVLEQMVDAGIQPNNRTYNSLIYGYSTSGMWKESVRLLKGMSSSGLIPNVNNCNTFMAALCKHGRIKEAKDIFDSMVLKGPKPDVISYSTLLHGYATEGSFTDLHNICKLMVTNGIMPNHYVFNTLLDVYARCGMMDKVLLILEHMSKQGFNPDVVSFGIVISAFCRMGRLDDALNKFSHMIDIGIAPNIAIYHILIQGHCNHGDLVKAKELTSDMINKGFPPPSVIFFNSIISDLCSTGRVAKGKDIMDLIAHTGQKPDVITFNSLIDGYCLVGKMVEAFELLDVMASVGVEPDSYTHDTLLNGYCKNGRIEDALTLFQDMLHKIVTPTCCSYNTILHGLFQAGWTVSAKKIFHEMMESGMAVSIDTYGIVLSGLCRNNCTDEVIMLLEKLFAMNVKFDAIIFNIMISAMFKVGRREEAKELFAAMSTYGLVPNICTYSVMITNLIKENSFEEVDNVISSMEDTSFPPNSRLLNKIVRMLLKKGEIAQARNYMSKIDEKGISLEASTTSLLISLFSEKGKYREYLKLLPAKYQVFEGVNIS >OB04G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6797819:6799089:1 gene:OB04G16400 transcript:OB04G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNEQPQSPLKSTEYYIEKFLQGNLKYSMGLFAWDYLNTSERSTSDTDRFPSQRHSNSSNRRHNFEDYAFVQYQESSAGPSVDTAKNARNQVEQDADIQQENMHRYSSEQQIVTHSNESALTNNCTNEVSSGLEDCEAPKCGKASTCQGTKDALDITTERMNPQFGVTQGSEDNTVRRLRGKVFGMSSSASLKKLVCKRGWMQDKTFLEKIIPNEDVTHPADLISHENTAEPKCFTIKVVQFDSTLFYLLLDFHVIDIHMFLF >OB04G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6803066:6807246:1 gene:OB04G16410 transcript:OB04G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >OB04G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6809006:6810849:-1 gene:OB04G16420 transcript:OB04G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNPFFFLLLLLLELLGYGCLLSFPAYECESAGSSARSRSHGRICAEAATVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OB04G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6816444:6817064:-1 gene:OB04G16430 transcript:OB04G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVEPNSYIHDTLLNGYCKNGRIEYALTLFKDMLHKRVTPTCCSYNTILHGLFQAGWTVAAKEIFHEMTESGTAVSIHTYGIVLCGLSRNNCSDEVIMLLEKLFAMNVKFDIVIFNVMISSMFKVGRREEAKEVFAAMSTYGLVPSIHTYCVMIINLIEEKSFEEVDNVISSMEDTSFPPNSRLLNKIVRMLLKKGEIAQARN >OB04G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6820199:6821842:-1 gene:OB04G16440 transcript:OB04G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAEMVLEQMVDAGIQPNNMTYNSLIHGYSTSGMWKESVRVLKGMSSSGLIPNVNNCNTFMAALCKHGRIKEAKDIFDSMVLKGPKPDVISYSTLLQGYAAEGSFTDVHNTFKLMVTNGIMPNRYVFNTLLDVYARYGMMDKVLLILERMSKQGFNPDVVSFGIVISAFCRMGRLDDALNKFSHMIDIGIAPDMAIYHILLQGHCNHGDLVKAKELTSDMINKGFPLPPVIFFNSIINDLCSTGRVAEGKDIMDLITHTGQKPDVIIFNSLIDGYCLVGKMEEAFELLDVMASVGVEPNSYILDTLLNGYCKNGRIEDALTLFKDMLHKRVTPTCWSYNTILHGLFQAGWTVAAKKIFHEMIESGMTVSIHTYGIVLSGLSRNNCTDEVIMLLEKLFAMNVKFDIIIFNIIISSMFKVGRRKEAKELFAAMSTYGLVPSIHTYCVMIINLIEEKSFEEVDSVFSSMEDTGIPPNSPLLNKIVRILLEEGEIAQARNYISKIDEKGISLEASTTSLLISLFSEKGKYREYLKWLPAKYQVFEGVGIS >OB04G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6821887:6822384:-1 gene:OB04G16450 transcript:OB04G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPRLSPLFAAAETSSAPASRAAYAAFRQRLRSGTLGPEDARRVFDELLPRAPADAFNVLLADLARAPPSAACQDGPALAIELFKRMDRRASPTIHTYGILISCYRRAHWPGLGFAVFGRLLRTGLRLNMVVYNSLIDCFSKDGKVDKAYQLLHDMKELGIMRM >OB04G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6829562:6832710:-1 gene:OB04G16460 transcript:OB04G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKSQPSATATGKWKKPPHPTKTLSKKPTNPALATRTKTKKKLNQKKTIPPAKVVASGDEPVGATAGAVLLSAEMPPARQLEFLLRSFECAAKMHLSPLELDAYSERCMAPLAEGASQDVESFGDHVKGAFGGLWKEELCEGTLEEGVVDAGCPALLVICSAALRSLELLRGLKMFTKECRPVKLFAKHMKVEEQVALLKTRVNIACGTPSRIKKLIDMEALSLSRLKLVVLDMQRDAKSFTLFTLPQVSNEFWDLYKGYLDQKVKGGDARLCFYGAVSEKDVKKVLPSAE >OB04G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6839134:6839802:-1 gene:OB04G16470 transcript:OB04G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRFFTASSTDSPNYTLQIPILSLFILFDHSNSFFISPVSPSALSLSINLSLSLPLSFSLSFSSSKKRQRQATAKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVPGAGGWSSTSTFHTWDPHLATPFWAIFRQVREEFGQVYGFGHPVDNSVKV >OB04G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6840777:6848474:1 gene:OB04G16480 transcript:OB04G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 5 [Source:Projected from Arabidopsis thaliana (AT1G09770) TAIR;Acc:AT1G09770] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNASDPSLVEELGEGSTATRALLANYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGVTPRIGMTPSRDSSSFGLTPKSTPFRDELRINEEVDMQDSAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEEEKEEAEEKIDEDMSDKLARERAEEQARQEALLRKRSKVLQRSLPRPPAASIEILRQALIKGGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKAQKDKKKGSKRQVNGVPSVPEIEDFDEDELKEASSMLEEEVQYLRVAMGHESESLEDFVKAHDACQDDLMFFPNNNSYGLASVAGNADKIAALQYEFEIVKKKMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTGYQRIQEQIEEHKRQLMIQEEMEAQKRAQEEEMEAQRRAQAEQEKERENHSAEEEAEQMDKSADEEAAGSNQVDEDQMDVDNSTADGEFVGPIPPGPDSEGDNNAVAVEQNSSSHNGDNATTDDAACSMIDDASKLGGQDHTDSKSELPTVGAKVDEGNAAASSDQDASSEANATVPE >OB04G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6851682:6854692:-1 gene:OB04G16490 transcript:OB04G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LWX4] MRDPVVIESGQTYDRQFIEEWFSAGNQICPQTQQVLSHTILIPNQLVRTMISQWCMENGITLPQIESQNGDRVICQNEDRVICQNGDRDICQNSEQKKFDEIFVKITSATSSGERKQAIKDLRLLTKRNSEFRVVLEQRPDSIAQMILARSTPGLQNDAQVLEDMVTIILNFSIHDSNKKIIGDDSEAIQFLIWALKSGDMGSRSNSAAAIFTLSAIDSNKEKIGELGAMEPLIDLLEHGNIIAKKDAASAIFNLCLLQENRSIATRSGIIDVAMRAIEDHSLVNESLAILALLSRNHEMVEIITEFNGTASMLRSIRESDCKRSKENAMVVLFAICTYNRTKLKEVEADESINGSLALLAQTGTQRARRKASGILEKMKRTMHNRHCSC >OB04G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6867693:6870337:1 gene:OB04G16500 transcript:OB04G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVGNSPDGVFVIIVDEDKCHANYARSLLSSLNFHVIVYTSPVNALLFLENYAQDVAFVLAAVDMNQLSGFQFLEAARGQREDLQVIMMSAETTMPTMTRCVQLGACFLVKKPLTEATVGDLWQHVDLKFLRMEKIRELLQDPGQDTMDVLSYDDQISGGTEADEAEEAEVNSTEAKKNVKSIEVASNERGHGNAKIFDAAEGTMYKTTSKLSADQKVSTYNVQVLPKENEAHFEESKGSSCSGDHVPCKSDAGIGAGLVDYPDSDDDETNKSTST >OB04G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6874808:6877952:1 gene:OB04G16510 transcript:OB04G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEVLPAGRISAMVIDEDKCHANSTSCMLSAELNFCVRVFTSPLKALDFLQNHAEGVGLVLADVHMEEMNGFEFMKAARKLHKSIQVIMMSTEITMYTMKMCAELGARFLVKKPVDVGTIQSLWQHLDLKVLRTEKIKDLLRGVGDKSTCDSEMNSFANNQKDDNKKKYYLMWTPHLQKKFLDALEILGEDASPKKIKMIMDVDNIDRRQIATHLQKHRLKLKMKLNKALFTNGSSNGSSNSGTEPAESHPRCRSTTLQPHPCSAQAAKITMQILPEDDDEHDDIYAAMRRALQDRTVFDECQYSMDPCGDEHDVGGGYGCAGEANARDSSSGDHHQVAAVAEEDMINNMPSPEDMQATRGKAPVFSLVDYSDSESG >OB04G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6877806:6878036:-1 gene:OB04G16520 transcript:OB04G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEDNKLESLFLVNERICLQQFVHRLCLQAYHIPNISECVCNFSCNSFNMQSYFASRKGYINYHAGACMQWPTV >OB04G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6878830:6883962:-1 gene:OB04G16530 transcript:OB04G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRWDLPRWEAALRRWVLASSPRHGVAIDAATRDHVWVLVRLCLKAAASEACCSLERSMNGVVGGSGFDPMAVRFECPRLVEGVSWLGAQLAILYGEGSGRSFAIAAVREAILQVGSCLAVGVGDAVDSGSGGEAGASGGSGVQGSDSGNVEKHSVPVAQVAAAIVALYERFSLEEKIKALRAPHPSKYQLLLEYSQALQRGHEERSKRPNYRAVLEYDGIISRRVDSQESDRAKTREELLAEERDYKRRRMSYRGKKVKRNPKEVLRDIIDAHMEEIKQAGGIGCLLDVPGDIAQSKLKHSPHEGTYHGSYNPTSSSYDNEVSGLQSVSCEKLPCADSFGIVSSRNHGTRDSYKDLRNGSHQRRYQKVSDNENRRSKDSESKVDQRYSRNHENSRHERNSDDHRKYGYKYNKDGSDYYSESTGCTRDRMSRVRSNDMSIASHTRRRSVSVTQDKFSDRYDPQNPYSDVDPATSMFDDGSAGQPELYHDGMHHRRKRDCQY >OB04G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6886887:6889626:-1 gene:OB04G16540 transcript:OB04G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:J3LWX9] MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAVEGQEGEAAAEETKKSNHVVRKLEKRQQGRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >OB04G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6894501:6895787:-1 gene:OB04G16550 transcript:OB04G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRDKQKPRKDGAMIVVVEGKGVVQEKEDMLLSPKVVDAASKVLGNKDLLKEILVRLGLSIPLVRSALVCKHWLHVVANPKFLGEFGKLHPRYLLASYIFTASGRHALVPHQGLPTEFASILSSAKRYFSDLEKKWCGDDFDILDWCCGQVLISVENSTTKFQQRLAICTPLNPTKDFTFIPQYRPVVPQGYTNIDMYDFLSFKNGSWCDSCTSAAIDLPSRWLQRKNSGLLIGNKFYMLGPSRYILGLDLLSMSLFIVDLPNRMEHNDQEKLQLSRAEDSKLYLIHLNGLQLHVWFHVIDNNNNNNNNTGNWVLIDKVCLLEVFGHIANPGWKLEVNVKIVRGGSSVDFVYLDMGDDVYLVHIKRRVVKKVSDNGKIVRSYPFMMAWPPTFPSLIM >OB04G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6910502:6913735:-1 gene:OB04G16560 transcript:OB04G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSSHGRRLQQQHLLLLAIQLSCSLGGASSTSSNQTAKVVPVRCRPEQASALLRLRRSISSTNDSACTLASWRAGTDCCCGGGGGWEGIACTGGRVTTLDLGGCGLEIAAAGRPLHPALFDLTSLRHLDLSGNSLSGSELPAAGFERLTELTHLNLSYSGFSGAIPRGIRRLGKLASLDLSDWIYLVEGDNDYFLPLGQGRWPVVEPDIGSLLANLSNLRELNLGNVDLSGNGAAWCSAFANSTPRLELLSLRNTNLNAPICGSLSTLRSLVHVNLKYNKLHGEIPESFADLASLSVLRLAYNLLEGPFPTRIFQSRSLTAVDVSYNFRVSGVLPDFSSDSALTELLVSNTNFSGPVPSSVSNLKSLRRLGVAAAGGFPQELPSSIGELRSLTSLQLSGSGIVGEMPSWVANLTSLESLQLSNCGLSGQVPSFIGNLKNLRTLKLYACNFSGQVPPHLFNLTNLEVINFHSNSLIGTIELSSFFNLPNLSILNLSNNKLSVVVGEYNSSWESVDNFDTLCLASCNISKLPDTLRHMHYVEVLDLSNNHIHGTIPQWAWDNWINSLILMNISHNQLSSSIGYGPVISANMFVIDISHNLFEGRIPIPGPQTQLFDCSNNQFSTMPSNFGSHLSSISLLMASRNKLSGEIPPSICEATSLMLLDLSNNHFRGSIPSCLMEDMSNLNVLNLKGNQLHGRLPNSIKQDCAFGALDFSDNQIEGQLPRSLVACKDLEAFDIGNNRIEDTFPCWMSVLPKLQVLVLKSNKFVGNVGPSVSGDKNSCQFIKLRIFVLASNSFSGLLQNEWFRTMKAMMTKTVNETLIMENQYDLLGQTYRITTAITYKGSDITFSKILSTIVVIDVSDNVFYGAIPQSIGDLVLLSGVNMSHNALTGPIPSQFGMLHQLESLDLSSNDLSGEIPQGLASLDFLSMLNMSYNRLEGRIPESPHFLTFSNLSFLGNMGLCGLQVSKACSNMSSGVVLHPSEKVSIDIVLFLFAGLGFGVGFAIAIASTWVTSRSSSIALQTVRMSHQDNALSSISPATSPTQLQYLKRGHAGSSRPSKQESSSTQICVPVSSS >OB04G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6916234:6916922:-1 gene:OB04G16570 transcript:OB04G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDDKPLSLDASMIASTWPTSRRSTHPPRPTRPEKVEDGGRLREANFAFGNTALKRLNYVCPNFFRSILLMTTGL >OB04G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6927123:6927776:1 gene:OB04G16580 transcript:OB04G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEQGALVVTYCSIINGFCRSKTMDKAERLQQMADAGVQPDSITYNSLIHGYSTSVMWKESVRVFKEMSSSGLLPDCNSLMDALCKHGRIDSSKTYFFDSMLQKGPKPDAISYGILLHGYATAGCLTGINNLFNLMVCEGIVPNHHVFRILINAYSRCGMMDKAMLIFEEIQKQGVSPDSVTFVAVISTLCRMGKLDDALDKFNHMVDITG >OB04G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6935583:6935957:-1 gene:OB04G16590 transcript:OB04G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3LWY4] MAASATSLVLLLLLVAGGEAKQGGSGGAYYLQGGAREAHAGAGAGRPFDAVVVVKPWLKSKELVSFAPSPK >OB04G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6945287:6951604:1 gene:OB04G16600 transcript:OB04G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LWY5] MWGPLSALSEDSTCSERGLTPRVFEQLFSRIKEEQGKHADKELTYHCVCSFLEIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSVNADSSRSHCVFTCVIKSESKNLEDGSNCTRTSRINLVDLAGSERQKLTNAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAIVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSSGSFSTGWNARRSLHLLKMSLSRPTTFQTIPEDSSDVEMEIDENDVEKPYNQENIVISPLGKKELQASVKINGGASLADTFEGDDPMPNKRSCSDDRLKLNLAASIQRGLQVIENHQNNGAWRRASIGFNARIVDIQPCKVDVAIQTEPEESDARDNPLALIPSRLLETSATVSNGPNACRDLQLVPDDTGMTCDEPKQQQILKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVLAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKLLQQKYDNHPELLRAEIELKRLQEELEMCQNYIDEKEVLQEEIHDLKSHLHFMLSSSASIRRLWPPVQLSQGIATSPVTNDADGDTNVVDTPNWAEAESKWVTLTDELRVELEAKKSLVGRLQSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRRIREGVEDVKARAAKAGVKGAELRFINSLGAEIAVLRAEKKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQKRALLAEQETEKAYQEIDNLKKNYDQEIVALNHRLAESSHHEETALTMEPCDMETAKYDTAGSPGEQQWREFNYEGSGGSFEVSKSTDLNSWFSGYDKCNI >OB04G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6968477:6971041:-1 gene:OB04G16610 transcript:OB04G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKPAAAEAPARKRKSSAKGKASSSTMPAATAATTTNASPKRTKVITGSGDGDGDDAAAEEKPEPPKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDGHYLPSKDMSHMSLPAYPPNDLTTATAFSYIDAPTTGDPFTTYNCWDQLDLHTAMQMGATATDLNQDSPVATIAPSSSPLPHHPPPHHRFYGRQQQQGTTVNHMKAEP >OB04G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:6994102:6994302:-1 gene:OB04G16620 transcript:OB04G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAKVALLLLFLVHLTNVIGGAAAARPLQEGAAAGGRSAISMVTELLRAAKSGPGGGGTHFP >OB04G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7004352:7004631:-1 gene:OB04G16630 transcript:OB04G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALLLLFLVQVMNVLGGAAAARPLQGGAAADGGSAIAMILKALLNSINYISI >OB04G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7007105:7007302:-1 gene:OB04G16640 transcript:OB04G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAKVALLLLFLVQIMNVIGGAAAARPLQERAGCGWAETAIGMVTELLGGVKSGSNPNTHFP >OB04G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7009226:7009420:1 gene:OB04G16650 transcript:OB04G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVMARTVVLVLLLVQMMSSMAVSARTMKGEGWLEDGIGMVVDMLGNLKSGSNPPTHCC >OB04G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7013746:7014411:1 gene:OB04G16660 transcript:OB04G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSRRSSGCQSGWTLYLDHSGGGGGYHLHPRCRQCRSLSCKNRELGVLMTAGEEQEAADDSMVSDASSGPPPSLRGRCDDDEEEEELRDQARQRQQRLLNRRRHLHGCHGSYCDAGSTGVGSRSTPSSHSLAEAKSWGRRKRSAVDVDAAAIVVVLRHGEHDARGDDDLDDTASSSAVSSGLQPSCVFSAIRLQQWSSSPAAVQGTSVLHGSYAMLHRN >OB04G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7019693:7023667:-1 gene:OB04G16670 transcript:OB04G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LWZ2] MAADDAYDFPPVAGDEEVGDVMEGLDDDGGLAEEEEAVYSPAAMKVGEEKEIGKQGLRKKLLREGEGWDRPETGDEVQVHYTGTLLDGTKFDSSRDRGTPFTFKLGQGEVIKGWDQGIKTMRKGEQAVLTVPPELAYGEAGSPPAIPANATLQFDVELLSWASVKDICKDGGVFKKVLAEGQKWDNPKDLDEVLVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKGEKALLTVKPQYGFGEQGRPASGDEGAVPPNAILHIDLELVSWKIVTLIGDDKRILKKVLKEGEGYERPNDGAIVRVRFIGKLEDGTVFAKRGHDGDEPFEFKTDEEQVIEGLDRTVVTMKKGEVALVRVPPQHAFGSTETKQDLAVVPANSTVWYEVELGSFEKEKESWDLKDNAEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKAAKFVEYDSSFSDDEKKQSKALKVSTKLNNAACKLKLKEYKEAEKLCTKVLELECTNVKALYRRAQAYIQLADLELAELDVKKALEIDPDNRDVKMVYKTLKEKIKEYNKRDAKFYGNMFAKWRKLEHMENKKVPGKQEAQPMAIDSAA >OB04G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7023912:7024088:-1 gene:OB04G16680 transcript:OB04G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYIHITKIDRVLKNQYKIYIILNIININYTPKLQFGSRQHIYYSKFKKPQTFWNHRR >OB04G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7024650:7026461:-1 gene:OB04G16690 transcript:OB04G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVHGQVVKSGSEDVPIVGASLLDFYSSCLDLNASRALFVSLHARNALLWSPMVVALVRFNLLSDALDFLRRMPPPRDVFAWTAVISGYARGAIEYCCKALELFVQLLADDSVMPNEFTYDSVLRACVKLGALVFGRSVHGCLVRNGFESEQLITSALVDLYCRSGAVDDALLVYNDLQMPSLITSNTLIAGFISMGRTEDAKLVFSRMAEHDSGSYNLMIKAYANEGRLEDCQRMFEMMPRRNMVTLNSMMSVLLQNGKLEEGQKLFEQIKDEKNTVTWNSMISGYVQNDQFSEALKLFAVMCRTSIECSACTFPALLHACATIGTIEQGKMVHAHLCKTPFESNGYVGTALVDMYSKCGCVSDARTAFGCITAPNVASWTSLINGLAQNGHWMEAIVQFGRMLKHNVYPNEITFLGLMMACARAGWVDKGMRFFHSMESNGVVPTVEHYTCAVDLLGRASRVREAENFISKMPIPADGVVWGALLTACWYSMDLEMGEEVAEKLFLMGTKHKSAYIAMSNIYAKLGKWEDVVKVRTRLRRINAKKEPGCSWIEVKDMVHVFLVEDKTHPEREEIYLMLEDLVYNISLCSETDDGLYGPY >OB04G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7027861:7028328:1 gene:OB04G16700 transcript:OB04G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKLRRGMLTQEARGLGVVNLEKFARALRLHWYWYQWVDQTRPWMGMTLSVDSKDANLFSALIRVQIGDGRRASFWTDNWLSHAMLSQIAPTLFAKCKHKRMSVREVLTQDRWVQSIQHSINIELVEEYYGIWEKLSTTNTDDLFRDDVQDNIH >OB04G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7029535:7042559:-1 gene:OB04G16710 transcript:OB04G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3LWZ6] MKRFVYINDEARQDSYCDNRISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSSITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANEREVWVIKDGSHRQIQAQDIHVGNIVWLHQNEEIPCDLVLIGSSDPQGICYVETAALDGETDLKTRVVASICANLPSDQLCNVKGVVECPNPDNDITRFDANMCLFPPVIDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTVAIFVFQIVVVLILGFAGNIWKENQGLKQWYLMYPAEGPWYDFLIIPLRFELLCSIMIPISVKVTLDLAKGVYAKFIDWDEQMFDPETCTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFRRCCISDTLYGENNGDALKDGRLLDAVSSSDPDVIKFLMVMALCNTVIPIKCNDGTITYKAQSQDEEALVTAASKLNMVLMNKDSSTADISFNDTKYHYDLLDILEFTSDRKRMSIVVKDVQSGKILLLSKGADEAILPRSHRGQQIRTYLEVVEMYSQLGLRTLCLGWRELEEYEYKDWSKTFQDASCSLENREFKIAQVCNSLEQDLHILGVTAIEDRLQDGVPETIKLLKSAGINVWMLTGDKQNTAIQIGLLCNLIAPEPNGQLLSIDGKTEDDVLRSLEKALSAMKSIIFCWKDCAFVVDGRALEIILKHSKESFTKLAMLSRTAICCRMTPLQKAQLVGLLKSVGYLTLAIGDGGNDVRMIQEANIGVGISGKEGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFLSGLSGTSLFNSISLMAYNVFYTSLPVMTLIFDKDISEATVLQYPQILLYSQSGRLLNPSTFAGWFGRSVYHALVVFLTTIGAYADEKSEMEELSMVALSGCIWLQAFVVTLDTNSFTYPQIILIWGNFVAFYMINLIVSTVPTLQMYTIMFRLCSQPSYWITMALIVAVAMGPVLALRYFRNVFRPNAINILQQIEQSSRHVQTNRNLESRIKSAGSYLTHLLTDLRRNRDANYQPLLSDSVALH >OB04G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7048357:7050364:1 gene:OB04G16720 transcript:OB04G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3LWZ7] MDTTAIVGCFRNRSILITGSTGYLGKLLVEKMLRVQPEVRKLYLLIVDNDLFDVLREQHGADFQSVKNKIRPLAGDMSKENFGLGSSEIVHMSLQDVDAIVNSAATTNFYITLFA >OB04G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7050721:7054934:1 gene:OB04G16730 transcript:OB04G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3LWZ8] MKRKPTGYKNSIYMKKIFILIGPIYRYDVALASNTTSVSHICEFAHKCPRLKMLLHVSTAYLTGYEVGLLPENLFQIGEARREGCHLDIEAEVQLMHKVKSQLRMSSSDDKLEKKTMKDLGLERAKHFGWQNTYVFTKAMGEMFLGHLGQDLPVVIVRPSIVTSTIKDPMPGWIDGLTRGLDTIIVGYNYQKLPCFVVDDDAFIHAIFFVNKKERYYMLEIPGDMVINAMMVAMATHWGKPAHVLYNVSSPLHASVVLESMYSSFRTNPRTRANGRIIKNKRIPMFKKFADFRAYMILRYKLPLEFTGLIGNYLVKSTQLLHSVNVLLGGVFDQYYRKAHKGYNSLMLLAKLYAPFSLFKGCFDNTNLKKLSMAMATSCENDDASSLFHLDTSCINWSSYLINIHIPAVLEFANASTQEKKAGRS >OB04G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7062364:7062606:-1 gene:OB04G16740 transcript:OB04G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQKSILTRYFILTEYAKVQIDKVHSKHAQLELDIATLEGVELLKNAVNQFILEHRRDIICSSESMRVNADYRFNLFD >OB04G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7066161:7074152:1 gene:OB04G16750 transcript:OB04G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3LX00] MAGRSIAESFRGRTLLITGSTGFLAKMLVEKILRVQPNVRKLYLLVRAPDAAAAEQRVLNEVVEKSLFDVLREEHGAAGFHSFIKNKICPLAGDVVHENFGLGSSEIQQLKQEVEIIVNAAATTNFMERYDVALETNTLGVVHLCQFANRCDNLKMLLHVSTAYVAGEQAGLLLEKPFQMGEALRKGYHLDIEAELQLVDWTKSELRMKSSSDKISEKTTMKKLGIKRANHFGWPNTYVLTKAMGEMLLGQLGRDLPVVIARPSMITSTIQEPMPGWIEGTRTVDAMFVAYNDQTVPCFIADDNVLFDLIPGDMVINAMMAAIASHWNERSQVIYHMSSAHQNPLSSSFIEESAYIYFDKNPRTGKDGKIVENKKILMFKRFSYFHAYMVLRYKLPLEIMHVVSMLLGGLYSKNYSKLNRGYNFLMTVAKFYAPYVFFRGCFDDTNLRKLWKWTAMDQNDASAFNFDPNCINWSSYLINTHIPAALKYDAENRKAKSVQ >OB04G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7079437:7079709:1 gene:OB04G16760 transcript:OB04G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFWSTRCGSLYSGRRRRSSEYRLFWGLSVVVYNWIMDQRRSLYRSSFSIISLYAILNRGLVTSTTQMKTQPFVVQKRGISSQSSFVRTFRD >OB04G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7079889:7080116:1 gene:OB04G16770 transcript:OB04G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVEDVSSAPEMIGTELEELQRRILGGGVGDGALVPNQARCLKKGCPAPGGPYVGRGCDAYNRCQGGTPSKLRK >OB04G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7086375:7086755:1 gene:OB04G16780 transcript:OB04G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTRMLTSLLLLLLAAATALAALAATPVAGDMRVVVLAGSKGGGHRGNVDDAVRHLMTSTTRVEDAVAAELGVDMELHRRILAATVGAAALKPDRAACPQACPARGGSYTGRGCKSVYRCNNGGG >OB04G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7094712:7101482:1 gene:OB04G16790 transcript:OB04G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETEPTRPRSTWRKRPSQKGKPRLRLAKHAATTQRASAAARVVKRVAAAAERAARRKQKAGERAARRRQKALERVARRKQRALAKAAAAAARKKRKAVDGGGGVVGGRPVRRRLDVDGERGPPEREDPPNSCRANLMDNLRYLIELNLAGELSEEPQVKQEPPSTPPVQQEQTAELPGSTPPPTPENPPPTSTAIVAVGHNLSGAGATQVKKKPKKPTTVDKLILVPNEIQGDRKFSEWGGSVVTSVVGTFLTQNVTDNMSSNVFMTMASKFPPKNSSNVARNADSAPLLLTDGHHEQCHAHLQSTTPSPCSGCSETCVAEPEPEPEPAGDAIEHQSDASQQSEKKKKKKKKKDEKQKKKDDDLDALLSALRSGEISKWTQNDVMEVMSNSFGQSTAEKIRGKKEKEGSFTAFFLKDTSEWDSLRQEAVDRGYSKVDDDAPDMVDWEALMNAPMAGVLDCVKDRGQHSLIAFRIMAFLIRLKRDHGCIDLEWLRFIPRAKARKRAICKKVKPNCGACPFTSDCKYYKSQLGRAVLALPEFSQQYAAKEAGMDPAKLGDLIFRTSSEQMQQFLIENGQNTQGRHCSEPTVEIPPSPLNVQGEISDEDDEDELNFFDDDDDGDDIEDVARDYDMEVDLRSLNLTSNTRQAGATPGNEIIRINPQAKPTPNQRKFSLRTEYTAYVIPDDHLILSKFDPRDPDDRNPYLLVVRSFDDRYAKVTILIPSRTANRGIFPLNGTYFQENEVFSDHSSSRSPIEISRDLIVQLQLQFQTCTVHFGASIHSVTKGYICTREFDRRTKAPKPLSVNIHATNVNKDISKKRARSKSKFYSEEDSEEDW >OB04G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7106375:7108600:1 gene:OB04G16800 transcript:OB04G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHWLLIPLRVQTREAFCSGIMAAAGGTPATMRAVQYSGYGAGAGALKHVKIPVPSVKKHEVLIKVEAASINPVDWIIQKGMLRPFLPKFPFIPASDVAGEIVQVGSGVHELKVGDKVLCRLNFWKGGGLAEYVAAPESLTAVRPAGVSAADAAGLPIAGLTALRALLSIGTKFDGTGTGANVLVTAASGGVGTYAVQLARLGGHHVTATCGARNMDLVRSLGADVVIDYKTPEGAALSTSSPTGEKYDYIINTAVTVDWSAMKPALRSHGRAVDITPNPRNYVAAALTMFARKKIAMMALVSLGKAELRFLMELVGEGRLATVVDSRHPLEKAAEAWEKSMGGHATGKIIVEM >OB04G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7124027:7129640:1 gene:OB04G16810 transcript:OB04G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPILQLRSRLPPLRRPPPTGAGPVVCKRGGTSDCTVTNTYGSFPDRAVCRAADVTYPRTEQELVAAVAAAAAAGRRVKVVTRYSNSFPRIACPGGRDGTALGKVAREKQGVTLAMEPLFKRSVKFDDRDIAEKVAIWGSLHEFGDMVQLPGQGKVIYREDDRVDVSTPDDGLNDYLGFRLQPTLSMVGFRVIGGADEWLEENNGRFTDSARCVASRVVTATFELMAYGFTNDGAAFTGYPVVGYQHRVQASSSCLDVDDGGLLFSCPWDHRIRGVFAYNSGFSVTLSRAPAFVADVARLRDLVPAAFCQLDAKMGVLMRYVGASSAYLGKAEASPRAHADVFDEIEQMALRKYGGVPHWGKNRNSAFAGAVSRYPNAGAFLEVKGRYDPGGVFSSEWSDPVLGVRGSPVVLGDGCAMEGLCVCSDDSRCAPELGYYCRPGKVFKEASVCSLKDAAAAGVPANRTE >OB04G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7169426:7170981:-1 gene:OB04G16820 transcript:OB04G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKLLRSVSVRRLLAQQRNSVEFYRKSQAWCSTASFPNVDDKNGMGGHAEDDFAHSKPGHIFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRIGGAENLPMPAQWHRISVHNEQLGAYAVQKLVKNSAVYVEGDIETRVYNDRINDQLKNIPEICVRRDGKIHLIQSGDSDLSKSLDELNDRMLFYIIE >OB04G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7210755:7214780:-1 gene:OB04G16830 transcript:OB04G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLLHHGSTTFLCLAAATVVPPPPAVNDVAGAAASSSSCQRKCGDVDVPFPFGIVADEDHRGCAKPGFELTCTSNDDVDTGRGGGAAAAPKLLRSVKPLNRSVEVVSISLPRGQVRMRMPMSSHCYNATTGAMDCADNGWMDLTGSPFTFSDTANRFTAFGCRVLAYLGAGQQSDIGSNLTIGCAASCGDDPVAVAVGGGGCSGIGCCQTAIPKGIKYYKTWFDDRFNTSGMNNWSRCSYAALVEESRFDFSAVYGSSSRFNLDTLSTQPPFVLDWVMGNVSCQAAQGDVDSYACVSNNSVCLDSDSGPGYICNCKDGFQGNPYLKGHDGCQDINECEDSRKYPCYGKCINKLGGFDCFCRAGSRGNASVGPCRKDFPLAVGITIGLGVGFGILTLSLSVVFLIRKQRRDIQKQERKKYFRKNQGLLLQQLISSDERARDNTKIFSLEELKVATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVVILSQVNHRNIVKLFGCCLETEVPLLVYDFVPNGSLHEIIHVDTTNREFSLSWDDCLRIAIEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKLPIFDDGSGMKRNLSIFFLSEIKERSAIQIVAPEVLEEAIEDEINTVASIAQACLRLRGEERPTMKQVEISLQSIRNKDLSSGSASPEKNGERQVSLPETYVDQHQSLGVDMNGIANLPSSYCYSLEKEFMSSASFAR >OB04G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7233485:7237377:-1 gene:OB04G16840 transcript:OB04G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAQPMPPSLLSRATAAALACLAAALAAACLAGAVDAAVSCQRRCGDIDIPFPFGIGGQPGCSMAGFELSCNDTAGSGADARPTLLLRGVEVLSISLPLGQVRMKMDMSYDCYNTTRHEIDCVDRVDLNLKGTPFTFSDAANKFIVFGCRMLAYLGPGEQNDIGSDLTIGCAATCGVGDDLVAINSAGCSGIGCCQTNIPRGIQYYKVWFDGRYNTTGIYNWSRCTYAALVEASSFSFSEVYHALSRVNSNLGSQPPFVVDWAIGNMTCEEAQRNGDSYACVSGDSVCLDSRNGPGYICNCRSGFQGNPYINDSHGCQDINECEDTSKYPCYGKCINKVGGFDCLCPAGTRGNPYIGTCRKDIPLPTGIAIGLAAGFGILLLGLSVTFLIRKQRSDIQKQLRKKYFRKNQGLLLQQLISSDERARDSTKIFSIEELKEATNNFDPARVLGSGGHGIVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHCNIVKLFGCCLETEVPLLVYDFVPNGSLNHIIHADTSNKEFSLSWDDCLRIATEAAGALYYLHSAASVSVLHPDVKSSNILLDANYTAKVADFGASRLIPNDQTHVFTNIQGTYGYLDPEYYHTGNLNEKSDVYSFGVVLLEMLLRKQPIFDCEDGTKKNLSIYFLSEIKGKPVTKIVAPEVLEEASEDEINIVASIAQACLRLQGEERPTMKQVDMSLQSIRNKSLSPDIASPESNYEMHPPLPGRYVEQQFLGVDINGGVANLPYSNCHSLEEEFMLSASFAR >OB04G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7241229:7244475:1 gene:OB04G16850 transcript:OB04G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASATSLLLSLAVATAACLSAAAAAAVAQPPQASKCGDVDIPYPFGIDDDAPGCYLGHGGGSYGSYVSCNDTGDGVLKPFIWDVELLGVSLLDGEVRILMSIASYCYNRSSGAMDDPDNNWALDFTSSPYRFSHTRNTFTAIGCRALAYIGGDNVDADVGSLTTGCVATCRPGDLANLTAGGACSGIGCCQTSIPMGLQYYFVWFDDRFNTTSIHRTSRCSYAALMESSSAFRFSPDYVTSSAFNDSFNGQAPLILDWSVGSESCEQARRNGRPDSYACRSSNSECVDSPSGTGYICNCSRGFRGNPYLNPSDPNSCQDIDECIDQNIRNNCYGICRNTPGGFECICPPGTRGNASVGECQRVLTHGVLVAIGICSSAFVGLLLFLGIEWIRYKRRIITQDLMNRRDAYFRQHGGQLLIDMMKLESCISFRLYDREEIELATDNFRESAILGQGGQGTVYKGYDLDPDNNPVAIKRCKGVDENKRMEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPNRTLHYLIHGQSEPSIRTLQIRLEIAAQSAEALAYLHSLDHPIFHGDVKSANILIGDKFVAKVSDFGCSIFRAAADENVNVVKGTIGYLDPEYLFNFQLTDKSDVYSFGVVLLELLTRRKPLAKEVSLASVFQEAMKKGDLDQIIDREILHEDNMELLHEFAELASQCLVMEGENRPEMSRVAQTLWRLADTASQQQTDAFQGIGSLRLLGNSSASISDSGYSTVETTGYDSRKTSMSIGFAR >OB04G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7249917:7256206:1 gene:OB04G16860 transcript:OB04G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQHLLLLVSLLLLITPSQEGHGGRAPSKAAAAAALAGCRNSCGDLTFVYPFGVGSGCFRSPDFELICDTTTRPPKLSFRDGVTLVTRSINIVTTEYMGSSTEASVSVAFSDAIYTGNASVVSWSLTPKPIGDSFVSVYLSGLSFFGCGFDVHWLNRPSSGGGTPNCTATCPQGGGGESTAGTVPSAEQSCNGTGCCSIYFGDNTIGYASTVEFRIVRRRDNGRESRHRQSSLRDTIYVTDIFDQQTISWKIVDQPDCPSARKHRTSYACVSNKSICVDMGHSHFEQNGYNCRCRSGYTGNPYILDGCSPDNDAKRNSSGYACVSTHSECITTKASDSYVGYRCKCGQGYQGNPYISNDIDECLEPNVCPEMCNNTVGKYICVPCPHKTEYEPLRRTCIKKHQNLLLGIAIGLSVGFGILLLCLSGVFLIRRWRNNIQKQLRKRYFEKNKGLLLRQLISSNEKPSDNKIFSLEELQKATNNFDRTRILGSGGHGIVYKGILSDQRVVAIKKPKVIKEGEINQFINEVAILSQINHRNIVKLHGCCLETEVPLLVYDFIPNGSLFRMIHADASNEEFLSWSDSIRIATEAAGALCYLHSAASMSVFHRDVKSSNILLDGNYTAKVSDFGASRLIPIDQSHVITNIQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEAIFINESGSKNNLSNYFLWEIKTRPIREIVASQVREQATEDEINTVASLAQECLRLRGEERPTMKEVEMTLHFLQNKVLRSFNAKESNKERRSMQATRPPHHKYITTDIGNKKNLESSSCYKLEKEFMSSASIPR >OB04G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7260531:7262103:1 gene:OB04G16870 transcript:OB04G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3LX12] MDSISVAVGDVVMWRRGDVSAALLAAAVSAWLLFGAGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMHVSQQVVNELAALLHSGLNTVFAAFHDIALGKSSVLFYQVFLGLWIMSIIGSLTDFPTLCYTSIVAVLTIPALYQRYEECIDRYMRFAYMNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >OB04G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7267435:7269897:-1 gene:OB04G16880 transcript:OB04G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVLIGVVVCVLAGLFGFLGWEVIRHKRNIRKQALLRQTDEYFQQHGGQILLEMIKADGNVGFTLYKREDIETATNNFNKAHIIGEGGQGTVYRGVLDGVVVAVKKCKEIDESRKMEFVHELVILCRVNHPNIVKLLGCCLQFEAPLLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALAHLHSLPHPILNGDVKPADILLAEGLVAKVSDFGCSTINEKTQVVAKGTLGYIDPDYILEYQLTAKNDVYSFGVILLELLTGKRPLSKGRKSLTLMFQEAMEDGTLVELFDNDIVDEANIRVMRQVAELANQCLVVPGTTRPAMATVAAKLRQLALADEVQRCPPQQPLVLEDLSYMEMGSTMSTWYGQSRTSGVYSLEDKPVLSVEFAR >OB04G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7272812:7275827:-1 gene:OB04G16890 transcript:OB04G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIIGLTERATEVFVLKVSLTIERTWCDNPLGSVQQGEEYSTNQALSQQPCRTASADLSLVVYSSLYTNPHVPRRNELAQVSDRKKGTVQRSTAHPRRAAMVGIRAAFCGSICLLVLLLSPALAAEPPAGGATATADSRNTKCGGVDIPYPFGISSGSCPVEPGFEVDCTDTGNGVRKPFVGNLEVISLQNGWARVMNHISSSCYNSTTEQMNPADQWILKLTGTPYRLSDSANKFTVVGCRTVAYIADQDDVGKYMSGCVSVCRRGELTGVANGTCSGIGCCQTEIAMDLDYYQVLFDVNMNTSGIYNRTPCSYAVLMESAKFTFSISYLTSPLEFNNTYGGEAPVVLDWAIRSASSCKDAEKNLKSYACKSHNSVCLDSSNGPGYICNCSTGYQGLLP >OB04G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7287225:7294180:-1 gene:OB04G16900 transcript:OB04G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALDQTAWIEDKQPVRLVARGVGTRVVRRRMQWWLLRYSELKELPQIGKMKHTVHLSISSHRAAAMVSIGTAFCEIIWLWMLLALAAELLTAGATAQCQNTSCGGVDIPYPFVISSSGCATASSFEFQVDCNPVGNGVYKPFIVGNIEVFSINVQLGQARVGNGISSSCYNNSTEEIRWRLNLTGTPYRFSDTANKFTVIGCRTLAYIADQDYVGKYMSGCVSVCRRGELTGVANGTCSGKGCCQTAIPKGLDYYQMWFEESMNTSGIYNRTPCSYAVLMEASNFSFSTTYLTSPLEFNNTYGGQAPVVLDWTIQSANTCEEASRNHTSYACKSKHSRCVDSFDGTGYICSCETGYQGNPYLEGSNGCQDINECEDSRTYPCYGVCDNKPGKFDCFCYPGSSGNASIEGGCRKDLLSPKTRLAIGAVASVLAALFGFLAWEVILHKRRIRKQALLRQTDEFFQQHGGQLLQEMMKAEGNDGFTLYKRGEIETATKNFHKAHIVGEGGQGTVYRAVLDGAAVAIKKCKEIDESQRLDFVQELVVLCRVNHPNVVKLLGCCLQFEAPMLVYEFVRNKTLLELLELQRSRRFHVTLGTRLRIAAESSTALAHLHSLPRPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKAQDVLKGTPGYIDPEYLLEYQLTAKNDVYSFGVILLELLTGKRPLSKERKSLTLMFQEAMADGTLVELLDSDIVDEASMRVIHQVASLASQCLVVPGTTRPAMTRVAAELRRLAQADEVLRHPQPPLVLEDLSFMEMGATTSTWYGESWTSGDYSLEKKAVLSVEFAR >OB04G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7305989:7310024:-1 gene:OB04G16910 transcript:OB04G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSESWCNDGLCCFRIHQDSCHHRDFFHPLRRLRNVTPAPVHLRRRRLHPLHVLLVVVRRDAHDAAAEPLADAPEPGARIADELLERRVDERVVRAARQVDSHAGADEPVHSALHLGHVEPAGEVLSGIIWYQKISTCINNVIVVHLDDDGKVSAPALGEDVSKEEAAAILATADTDGDGLLDHDDFLGLDGQPEEEEMGMRCLRFGEARRKCRGGTRQHGGMRRKGGKMIKY >OB04G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7307259:7307843:1 gene:OB04G16920 transcript:OB04G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAYRKQRMRKSINDLVGSPHGQYSTASRYMYLSGRFDMAEVERTVHRLIRSGMAVDLPCSPYHAFIYTAFQELIGDPSSRFRLPVQQKDSTNQCKYTRKSKITS >OB04G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7308159:7308410:1 gene:OB04G16930 transcript:OB04G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQLEPPSGLPEEEKGSSKEEEEANVLLVEGAATGKEEGGAWWRPPSPVGWLRKLARAWCRRYRISQGLGGSIMRVATDYY >OB04G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7315509:7319025:-1 gene:OB04G16940 transcript:OB04G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNESWERVVLPEAYRLSETENMLTIVGCRTVAYIGVGDAAVVRYISGCTALCGPDGNLTTTSQLAGGACSGAGCCQAAITKGHSSYAVLFDPTYNTTRIHNVSRCGYAVLMESARFTFRRSYAISPEFFDSTGGKAPMVIEWAVRNASSCAEAKKDPGSSACVSSNSVCVNSSSGSGYICNCTKGYRGNPYLLNGCQDIDECGDRDKYPCYGNCKNIPGSFLCSCPAGTRGNASIEGACQKNWLTPGVRAAIGVATCVLVGLFGFLGWELARYKRSIKRQALQRQTDEFFQQHGGQLLLEMMKVEGNAGFTLYERGQIEAATNNFDKAHIVGEGGQGTVYRAEIDGAIVAVKRCKEIDESRKMDFVQELVILCRVSHPNIVRLLGCCLQFEAPMLVYEFVQNRTLHELLGFQRRSRRCHVTLGTRLRIAAESAAALAHLHSLPHPILHGDVKPANILLTEELVAKVSDFGCSTIDERTQDVPKGTPGYLDPDYLLEYQLTAKNDVYSFGVILLELLTGERPLSRERKTLTSMFNEAMENDALLEILDPEIVDEDRMGVIRRAAVLASRCLVFPGTMRPTMRDVAEELQQLARPDEVQRTPQPPLVLAGLRIMDTGRTCAVPSWHTESKTSGVYSIQKEITFSTELAR >OB04G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7319164:7319361:-1 gene:OB04G16950 transcript:OB04G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLLWVSVAELAAPLALGADLAPRPAAGGGNCSTACGGVEIPYLFGVEPGCALPGFELSCRDTADG >OB04G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7327126:7329906:-1 gene:OB04G16960 transcript:OB04G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCVRSCGGVEIPYPFGLEPRCAMRGFELTCNTTEDGRKPYYITVELLNISLLEGQARMRMPIANYCYNSTSRGMNGTGWSINLTDTPYRLSDSGNKFTAIGCRTLAYLSVNGTLTTGCVATCQEHPERLVDGVCSGIGCCQTAIPKGLQYYWVTFSSGFNTTQIYKTSRCSYAALLETSSFNFSANYSTTSAFNDHYGGRAPLLVDWAIGNETCEVAQDRPNYSCVSGNSVCVNSRNGPGYICTCSRGFHGNPYLRPEDPGSCQDIDECEEPNTYPCHGKCKNKYGGYNCTCPFGTRGNPYKGPCDKGLAIGICASLLVALTTLLGIEWIKYKQRTKRQDLLIKRDEYFHLHGGALLTNMMNAEINISFKLYDRDEIELATKSFCKMLIIGEGGQGTVFKGYNLDQVNNPVAIKVCKGFDENSRTEFTQELLILSRVNHENIVKLLGCCLQFEAPVLVYEFVPNKTLYYLIHIQNDPSIRTLEIRLKVAAESAEAFAYLHSLEHPILHGDVKSSNILLSNNFIPKISDFGCSKISRADENDNVVKGTIGYLDPEYLLKFQLTDKSDVYSFGVVLLELLTRRTPLSTRKVSLTLVFQEAMKDGLFLELIDPEILHEDNTKLIGNLARLASQCISMTSESRPTMSMVAEELQRMARQVQQDGGVLTCISSLALSHLTADTSEYFIGKSSTGYDSLRRVSTLSIEFAR >OB04G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7347887:7351824:-1 gene:OB04G16970 transcript:OB04G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRALEILCLTVAFAAALLAGGTKGQCPNSKCGGLDIPYPFGIRSDNCSLPGFAIDCNNSIPFHGDVEVLGISLQPAQIRVMNAISSSCYNTTSKGMDSTRWELDLTSTPFMLSDTNKFTVIGCRTLAYIGNRDDADNYMSGCVSSCMQGDLSSATNGTCSGIGCCQTTIPNSLEFYQVWFDDTMNVTSRVYNRTPCSYAVLMESSNFSFSTTYLTSPLEFNNTYNGRAPVVLDWAIWSAPNCTEAKKNLTSYACKSQDSVCFSSVLQAYTCNCSKGYQGNPYLKGHDGCQDIDECEHPENYSCFGECRNNPGGFDCFCPPGTRGNYSIPGGCRKKFFTPKVQLSIGAAAIILSCLFGFLGWEVIRHKRSIKRQALLRQNDEFFQQNGGQLLLEMMKAEGNAGFTLYSRQEIEAATNNFSKVHIVGEGGQGTVYRAVLDGDAAAIKRCKEIDESRKMEFMQELVILCRVDHPNIVKLRGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRYHVTLGTRLRIAAESADALAHLHSLPHPVLHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAIAKGTPGYIDPDYLVEYQLTAKNDVYSFGVVLLELLTGKRPLSKERKSLTLMFQEAMADGTLVELLDSEIVDEASMRVINRTAVLAAQCLVVPGATRPAMTLVAAELRRLAAEDEVQRCPQPPLVLEDISFMEMGATTSTWYGDTKTSGVYSLEKKAVLSIEFAR >OB04G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7366229:7366516:1 gene:OB04G16980 transcript:OB04G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIPASSGEVRGWGRFRGVVRRLLHAQVRPRHPEVAARRAADVGRTEEQRVAATPVGSGGDLALESEGKEAHEHGEGDCYLDLGGWRWQRRIS >OB04G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7369543:7371649:1 gene:OB04G16990 transcript:OB04G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLNGGGFAEYAVSSASLTVKRPPEVSAADGAGLPVAAGTALQALRSIGARFDGTGEPLNVLVTAASGGGGLYAVQLAKLANLHVTATCGARNVELVRSLGADEVLDYRTAEGASMRSPSGRKYDGVVHCTVGVGWSAFEPLISAAGGKVIDITPNASAMLTSALHAVTLRRKRLVPLLLSPNRADLELLVALVRDGKLRTVVDSRFPLSDAAKAWQKSIDGHATGKIVVDMEG >OB04G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7371940:7376429:-1 gene:OB04G17000 transcript:OB04G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTGSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGEGDQDPEQEPELDQPLPPPQQNLAQEADSVSAPAANGTSVPREQEAFSQPEQHVAEPATATHPQEADPNGEEAYNPPNNPEEPAVEETSIPEVIDEVPNNVAVATPSPPAPVPQEEAPKKSYASIVKVMKEAPPQISAIPSRPVPPKQERQVAPVPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRSLPLNATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSAVQSAIAGSPVMISDRQCFVEEKRTNGSRGGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGSSRGGGDVGYQRVDHSGAAGGRAGRVPSGTSAAAK >OB04G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7390871:7391077:1 gene:OB04G17010 transcript:OB04G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVHSTIVSLFSAPLFPLLDRPAQKLAPFFLEMLFPWLAFSSIVYYCEHLLLIYMCRVWPCPFPAPHEM >OB04G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7391842:7395228:1 gene:OB04G17020 transcript:OB04G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3LX27] MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGVVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCLAVVVALVLIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYIPTWFFIVVVIICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESPSLRGECELQNH >OB04G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7397614:7399963:1 gene:OB04G17030 transcript:OB04G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXDAPRRGVAEVLDGVGALVFDDSLHALLRRHEEECGCTGGALPPKLAAPYRPHLAPGPADAAIGDDGCRSLFVTFSKGFPLTREEIEEYFTERWGDCLEKVMMEKTPAAGGPPTYGRVVFRRPAMAAAVLGGERLVKLVINGRQLWARNYVPKAA >OB04G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7401587:7404047:-1 gene:OB04G17040 transcript:OB04G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LX29] MCADDDEERSPVPHLELFDCAFRALQAARSDEPHRGADFRKYWTGSVSVPEFFLCPISNKVMENPVVISSGKTVDVLALDKWWSENRRVCPVTDEILDDNIFIPNILIALCITLWRRENDIADVTAIAEPANISSDEEALLNEISLLAHSPSISDKTFDAILRLHELMNNAQCSFLHLLGRSPGMITKLACILPETCLDPDPELDGIILKIIAKTASYSPNKVILGDDRYAIPVLIARALLGPVATRVKCAQILGLLADNYYNKIKIGELGGFAALMELLLLVADRDVKQTVAMAIASLCEAQENWGRFLREGVADAAISLLRDDNLVDEARSILLQAKGFELAMTQILDKLKSFVGDDNCEKMIESLWDTFIQAKIQRCVNIARASASKRSASDTSSETSSEGILEMPIDTDLTEQTKKDIRVIVSWLQRRSFHPRTYRYIG >OB04G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7413225:7416025:1 gene:OB04G17050 transcript:OB04G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3LX30] MAQPSMVEPLLGGNWARKGGESLVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQHHLLGVYKQRAILVLGLVSVAVAAVWAYTGQLLLLFGQDPEIAAGAGSFIRWMIPALFAYGPLQCHVRFLQTQNIVLPAMLRSCAXXRPPLPVCWLLVYRAGLGSKGAALANAVAYLANVAMLAAYVRLSPACRSTWTGLSPEALRDMLGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMGLGLVVSVMVGLTMILVRHLWGYAYSDEEEVVQYVAKMMPILAVSFLFDDLQCVLSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMTN >OB04G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7417843:7424412:1 gene:OB04G17060 transcript:OB04G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPREPTAGDVTDSLAPELLSEILLRLPPDDPGHLFRASLVCKAWLRAVCDPCFLRRYRAFHGSPPLLGLLHRLQVLQGDPAPRLARTTAVPLSPDPAFRRALDCHHGRVLLHASDDDWYFIVWDPVTGEQHRLPEPGIPWLIYSAAVFCPVGGCDHLDCHGGPFRVVFVATDDDDELVKATVYSSESGAWSTPIILDYVSPQQRLQDIYSGELFAPYVLPRRGALVGDVIYFTLRKKDSTIIEYNWNMNCISKIDGPARDVYAVALMEMENGSLGFACIQGSSLYVWSRKVNSEGAAEWVQCWVIELEKMIPVANGDRKPFVVGSAEGLGLVFVSTGVGLFTIELKSKQVKKVEEPGVYYSVLPYMSFYTPESILLLSGRAEADISDPILLWHIIIASEDLLIFDVKLKSNILQNGQIHHLDSAAIDFLQSYYYELIYFLVGSTIMNNASQCGCRVEFILPIMKALAIFSLIGLASFSYCLVLCWAQLSDKNISLKVFIRLGRLLLNWQNIFRYGSLSTRKVPKLRKDYIDGVSVQLIWKRNLLLEDYINEVSACSNDLGA >OB04G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7428284:7431939:1 gene:OB04G17070 transcript:OB04G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYVFGILKGAAGLAADANAFHEFFKWVKPHILAATRTQRQVDSGGLASASAGDNRTAAQHQIQDEDKLEKLEHSLWAIQTTITSTMYDLIDRLEWQSHKETEARHLRQIKDVVYDAEDLLDEYNYYALKVKVEASKNDLGQDHRHKTFLEFLDSVNFSKVMEIQDRLKLVLDQSKDLDLHKTPKKFDRLVRPETCRVLDEPKIFGREKELEELKQMLGVHQRKRGRPVARPTTGEARRAELPVLPIVGMGGVGKTTMAQQICEDGAVQKHFGCIIWICVSDEFEVNRLTKEVLKSLGEKNSQDTDSRDTLMVNLRDRVKSKKFFLVLDDMWDDVLKDEKGWRTFHRALSNGLEGSKILVTTRSSKVANLVSNSNHYELKGLQEDILWNFFKLCAFGSNSSPNNPDLECIGRDILPKLKGSPLAAKTLGRLLKSNLSIEHWEDISKNELWRLQQDETDILPALRLSYVYLPQYMKRCFSICALFPKDHIFEKEFLADIWVAQGYVEPQDASSCFDDLANRSFFQHAARQNNKYVIHDLIHDTAQLVSKDECFIIQHVSDLAKIPSKVRHLSISTDGNISCEQLVYICTQHKKLRSLVCKKSYRGRKGVAPMIDRWFMELDNIRVLIFNLSTVQELPKSIANSKHLRYLGLFGSSTFETLPSSVSLMYHLQTINAKDCKFKRYPERLGNLISLNKIISRGFRYIKNNFDELYLSWPERNNDETQMTEEQIKLLPHPHWNFQHLIIEYYPGESFSSWHWPDLLSMLTSLRVTGCKNIQSLSLTPISVSEASRNCTVEVFSSLSKVIINRCDALLSLDEFLMPAYMPALKSIVVDACYQLASLPVDQLHRFSRLEELGISACPELNMQRIMTLPSSLQKLCLWNCPSIERIDNSHLGSSPAPQLLGLHLRRCPDLTSVLGVISLPEIGNVSIDNCPKLTEIQQPFRRGYACNLAY >OB04G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7436620:7437680:1 gene:OB04G17080 transcript:OB04G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLLTALLSLHAPAGSSATDTISAGQRLGRSDKLITRNGSGEWNGQYFSSVAEMSSRGFFNSTFINNDKEKYFTYTLDDATVVIHRFLDATGQTTMRIWSESSMDWVMVYGQPKTQCDVYATCGPFAICNDNELPYCNCMRGFTVRSPKDWELGDRTGGCLRDTPLDCITNRSTSSTDMFYSMPCVKERSNLGPRLWNGKSFGERLY >OB04G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7442442:7444732:1 gene:OB04G17090 transcript:OB04G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAHHGSPHSSATSVLPMHPSKLCCEGHHLAGQTFAETDKLVSKNGRYALGFFDSTYAKGYQKTAGRWYLGIWFNQVPKKTPAWVANRDKPMDDPSSVELTILHDGNLAVLNQSTRTIIWSTQAKITSNNHTIVVLLSSENLILTDPSSSSENSIDLATGAYCKELDPAGVDQSLLTPLDSFIPYWSSGAWNGEYFVSIPKMASHTVFNTTFTHNDKESYFTYNLMDEKTVSRYILDVRGQSKTFLWFEGSEDWTMIFANPKTPCDVYAICGPFTICIDNELSNCDCIRGFTITSPEDWALEDRTGGCSRNTPIDCINNKTITHSSDKFYSMPCVRLPPNSQNLGSAKTSSDCAQVCLNNCSCTAYSFNDGACSVWHNGLLNIRKNQCGDNSNTAGQTLYIRLAAQELYSQEVDKRGMVIGVIFACSAFLGLLLLILLVMIWRNKTRFSGGTAKDSQLCNGIIVLGYTALQHVTNNFTERLGGGSFGSAFKGSLSDSTTVAVKRLDHACQGEKQFRAEVSSIGIIRHNNLVKLIGFYCEGDRRLLVYELMPNRSLDHQLFQTNTTMTWNTRYQIAVGIARGLAYLHENCKDCIIHCDIKPENILLDASFVPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGVPITPKVDVYSYGMVLLEIILGRRNSCTSCSCGGDHDVYFPMLVAHKLLDGDMSGLVDYRLHGDINLKEAKKAFKVAC >OB04G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7447780:7449578:-1 gene:OB04G17100 transcript:OB04G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGAARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >OB04G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7460325:7464774:-1 gene:OB04G17110 transcript:OB04G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT3G25120) TAIR;Acc:AT3G25120] MATPVGEHPGAETPEGSAPFSADWKERILVPAAVAGVVGAGFGLLSRHRARLGAARATATYAANLFIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTAMDYAALKLSPQWHALKEQFSRDQDWFKLPEWSPIQVLDEEAMAKKRAREEKLFAQRAFGKLSKEEP >OB04G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7467864:7470007:1 gene:OB04G17120 transcript:OB04G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPTGTRSDMNFDPWVTESGAPHMGGEILLSTGERVTRSGCGYPVGSGTGIEFYLFSNRVLVQLLLLHANDNKAFYSSSTGHLFLSNTFCLGPQQALI >OB04G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7474795:7475374:-1 gene:OB04G17130 transcript:OB04G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSANIRPLGPWQTLVNIKENLKIMTKIGLILGKELLGYQCLQKDWKSNCIGSWKG >OB04G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7482058:7483815:-1 gene:OB04G17140 transcript:OB04G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >OB04G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7492510:7492993:-1 gene:OB04G17150 transcript:OB04G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVASYVSVGDVWVAFDGVAVVAEKLAFAARCGLRGYFLWPVNYDDANLTVSRRASQVWTQSKLASSEFKNVTGGARQTQAPVQLPPALQSPAPTAPTSPSATSRLSWTTLVLLVHIHLGALILFSYQV >OB04G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7498556:7512434:-1 gene:OB04G17160 transcript:OB04G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:J3LX41] MASPAAAGAPAAGVRSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >OB04G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7502871:7506128:1 gene:OB04G17170 transcript:OB04G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:J3LX43] MASPAAAGAPAAGVRSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYGFCTSVAISWCSMVHKMPFFYGIA >OB04G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7512959:7516291:1 gene:OB04G17180 transcript:OB04G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:UniProtKB/TrEMBL;Acc:J3LX43] MASPAAAGAPAAGVRSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYGFCTSVAISWCSMVHKMPFFYGIA >OB04G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7516272:7516536:-1 gene:OB04G17190 transcript:OB04G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWDWQAAEHCESNVASHDASRFVWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDG >OB04G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7530319:7533237:1 gene:OB04G17200 transcript:OB04G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTHEVLSLDGLTNPFSNMGAPETSSTNMAKEAQESSTPNKEKEVDVGKKRKHNQVALVLEDYLEFKKEQAKRAVGKIVEASRHEIDTSISKCIATIESIQELTDEEKAKALGLFRCPLNREIFMNTSIPSVFGVTSSSTSEEVITEAQTGKLHKLATGCPTLERREITGHEGQGGGGLRRWIHVLKTPLLGYTYIVQEFLLNANFSATSLNVTFAPSLHQPSGDASGSDYYLFVNDIELVPTLDAFTTPVPTFADGGRPIQAPLRAEKPF >OB04G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7535694:7536893:1 gene:OB04G17210 transcript:OB04G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHLLIHTAISTWGVDELEVAVKPAPRRRRNLHYFFKVEHVGDEPRRLTKLTLRNCPPAESLRHLRALTALVLHDLPKSTQTFVYDRLFTLGLPHLKKLHLKNCRCDSFVLVVSAPPSPATATAITELFVDSCSFLVIELRKAAALEDLACIDDAKPVLIQFTNAPRLTRVHLSFSASSGGGTVGDHAQYPPLIYPRRYNLSWHVRSEHMSSLAVRFTGPERWILPARITTRLLSLRRLLVADVLPTWDVSWPRLLLQAAPSLETLHIHVASQARRRTTSEDEEEHVEPGREIIWPPATFRHRRLQELVLAGFGRTLAQVRFVRYVVRACRVLRRVELLRRGGVRYDGPWEWEVVRQQQGGGGGGERHWSREEEAGIKKQILCGRSWFREDVEVVLG >OB04G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7538914:7543217:1 gene:OB04G17220 transcript:OB04G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKVVDLAELRRLACQGVPDAAGVRPVVWKQLLLGYLPTDHALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTISKRNGHNSEGTGVLPRAEIVHDEHPLSLGKTSVWNQHFQESEIIEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPEENNAESAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTICKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGHQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPVPY >OB04G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7544572:7546539:-1 gene:OB04G17230 transcript:OB04G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTSCLLITYSPEIIDGVPLHVSSNCLPIKACKYEPAGHSFHAAALKLLGLGEQEDAEADDRSVSSDDKSQDFSAASDTFSSKGKKKSGSQQQDHYALLGLAHLRFLATEDQIRKSYRDMALKHHPDKQASLILAEATEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDVPTDCAPQDFYKVFGPAFMRNGRWSVNQTIPSLGDDATPIEEVDKFYNFWYNFKSWREFPHADEYDLEQAESREHKRWMERQNVKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKMQEEEAARAAEEERKRKEEEAKRAAEAALNQKKLKEKEKKLLRKEKTRLRNLVAPVVAESHFSLSEDDVETACSSLDMEKLKKLCDSMENIDVSEKARLLRGALSKECSSGTSNDGKKIQANGVDGSTAKPASSGEKVAQGNTLSSYEKKEKPWGKEEIEMLRKAIQKYPKGTSRRWEVVSEFLGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETAGLPTEGSGNASSKAPAQPASSKTADEQAGAAPVSNGTPSVTDPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVAEMQKNFRSKKGAE >OB04G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7558527:7558949:-1 gene:OB04G17240 transcript:OB04G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVFVFSGVPASPTAIQRRRPLPGSPARPTPHVFVFTTNYKDRLNPTLLWPGRIGYCGWDTFKTLVHNYFVIVDHPMFQEIQRLLGAVEVTPTEVSKMLPCSEDVDVVLVGLVDFLEEKKKAIARGQVASLHLEQSASK >OB04G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7559935:7564262:1 gene:OB04G17250 transcript:OB04G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQISTGRKRSVHDRLDGDLPAGAGRGARNPASKRQRQTDEKWKHDLYREDAEPASKSIDPRDLRLKLQKKSSQQGFAGQRGSGVRDLREMLSGTMHPQPINADPPKAKPASEVVKVTRRENADEIPVRQTKKVPKLSSSKKISQPKVESPLDIFLKSLGLEKYSVTFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIMLALESRA >OB04G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7575934:7584909:1 gene:OB04G17260 transcript:OB04G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31740) TAIR;Acc:AT2G31740] MATTPPAGAAILDTLGDFTSRENWDNFFKLRGTGDSFEWYAEWEDLQAPLLSLLLQVGGGGGGTGPEILVPGCGSSVLSERLYDAGYRRITNVDFSRVLVADMLRRHARARPEMRWRVMDMTDLQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFACLTLAESHVLALLLSEFRFGWDMSIQAIVIESSKKSAFQTFMVVMVKGKMGVVNPVKSLLDQSAKFCNMKQANDVIHSLEKENTIRESYSSGVDVVLSLRDLQLGAVGDLKVIIPGRRRVFILGEQGSSLYCYKAVLLDARKRTETFVYHCGVFIVPKSRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYESSDEDQSSMTETMYRRLIFKRNSGLVQSEALLVRDSTSDKADEKNKKSPSSSKKRRNQKKGPSGSKTILRIDHSYLGSSYHISIISGLSLITSALSSASVSGTKVSTTVIGLGAGSLPMFLRGCLPFLDIQVVELDPVVEELAKKYFEFSTDEQLQVHLGDGIKFIGDIAVANSGTTTQLNAVKILIVDVDSSDLSSGLSCPHPNFVEDSFLLAAKTYLIEGGLFIINLVSRSPAVREKVVSRLKVAFEHLYSIDLEEELNEVLLASPSERYLDINNMDEAVIKLKAMLKFPVDVESDIKKLQKLQ >OB04G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7593685:7597238:1 gene:OB04G17270 transcript:OB04G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSEIPAFGSWDTTGNTPYTQKFENARKNKKPGISSHPNDPRRHPEPPTRSPLHPAYTPDTQGQNPLNPPHGRRHEADPHRRHSLPQRDVRGSAANAPRSPYRMVPGSASPAQPSNPSKPRQRAAGMHTPERRSPSEGQGQHTPRRSRMKQGGRGYDMPEDDVAVPPFGEWDESNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTSRSQANKVKQTCPCCIL >OB04G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7597554:7599839:-1 gene:OB04G17280 transcript:OB04G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-photochemical quenching 1 [Source:Projected from Arabidopsis thaliana (AT1G08550) TAIR;Acc:AT1G08550] MMSRQCGNGALLAEGAGTVHGRKSRGAAAAAKRNHGAGRFHRCCVRAHLWRRARHLPLHAKIPACSENKVHTVLQVFSGVKEWSRLQLFTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSALVKTFNMADFNGKWYISSGLNPTFDSFDCQLHEFHVEGDKLLANLTWRIRTPDSGFFTRSAMQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPETIVPELERAAKSIGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVEEIEGEVKELEEEEVTLFRRLTDGFMEVKQDIMNFLQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >OB04G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7600749:7607239:1 gene:OB04G17290 transcript:OB04G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRKEVSLAEAENRRLPVEICSIEETTLKDMIQLDADIAALESSLKKIDSEALKHLEASHTTELSVATNSFGDQTNFDKDYKNEVLELDHQLEKNENDLKMLENLNNSMQRVEAMWELESMLSEAKVLDFKDNCLRVFLKAPVLTPECLMYGQELDCSSISFVSDHELLIEVDEESMEPKKVQIFPDDICVDTLIDKLKASREIISTASLGWLIRQFQHHIIINTLRRSLVNDANNPRRSYEYFDKDGTIVAHLAGGIDAFIKISADWPLSSYGLKLISIQNSEAQSKDITLSLLCKTKELANGLDLQTRRHLVKFVDAVEDILFQEMRPQLHSSSVS >OB04G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7608862:7612735:-1 gene:OB04G17300 transcript:OB04G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:J3LX55] MAFQASHSASPSAPPARAAAQRRSNGVSPVVAMASTINRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPEPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEFDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >OB04G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7629558:7631909:1 gene:OB04G17310 transcript:OB04G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEKPVVVADASPDQDSATNGSKDESFFESRPWLDSDSEDDFHSVRGDFTPSRGNTPDRHRQSPFAGRISVDRSEPSLAEKKQRLLELLQEKQQYDDDDAGGETENGAVHAEEYLKSSKRGAKASKASKIGCCFPSSVWKIKFRGCRKKRKEQDAKLN >OB04G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7634504:7635102:-1 gene:OB04G17320 transcript:OB04G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTKSGFGVVVLDGKLFVMAGYVVEYGKESVSDEVYQYDSSSEQISNLSIITPMPSSTDGCSACLEWRNPPARDLQHLGRKIPAARASTLFSLGVLLHGELLLLLLSREEEPGWVSDADVRSPGLRLQDLNGAPAVRAVLRP >OB04G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7660715:7664219:-1 gene:OB04G17330 transcript:OB04G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSQAQGPPDFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNAGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAAGSCC >OB04G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7672322:7673272:-1 gene:OB04G17340 transcript:OB04G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGIWDAIEPTADKVVKMKKDKVALACQEEDGERGLADLEDKGIDRLKAHEERIHKPDDKNVAPRSRTGLRQCATTVKSLDTLYMNALREKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKITLLAQRELMMSQLVSE >OB04G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7672371:7672574:1 gene:OB04G17350 transcript:OB04G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF >OB04G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7686491:7688739:1 gene:OB04G17360 transcript:OB04G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVVQDFAAPARSFNLGLMVRLSERTDLLGTMIDCMQSASSTTHFRVVAEDIRRELVQLPTQDFTWMPRSPYAHTKYWVDVHTTLTRWYRPNPLCCTTHQTLPRDTTAPSPSPSPAAPLSAAYPEHVIQVYLHCCVPAAKPAPAAACNNNGEREQTPPPPPLELTVLFLPHDHHGTHEDRGEEEEDGATKRKQHPSSPPYHAVEVVDEDQIEARRVQQHADGGGACRLQDLDERLLPRAVDHLRTHTASRTYQVCLRSGHGAAHVCVEKATNASGGGGSTPRGEGRRRSRSKMRMRFSVEGWRDMSARPLLKLWVVRESEKLRASISSWTIDTISHK >OB04G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7689449:7698949:-1 gene:OB04G17370 transcript:OB04G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAEAPATVGQAVIPLVNRLQDIVARLGGGGGAGLELXEALVGRDFLPRGPDICTRRPLVLQLVRHSAAEEWGEFLHAPGRRFHDFEQIKREIQLETDNEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRAMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSIKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNMILLNHITHMLPGLKSRINSQLVAVAKEHAAYGDTAESAAGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIHTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKISRGCLISELQKYPILKKRMGEAVSNFLRDGLRPAETMITHIIEMEMDYINTSHPSFVGGSKVVELAKQEILPPKAPASATIPKDGSTISSEIQLTSDRSQKSRAVFARDATRGATSNQGVQPDADTGTGPAGRIQRGHSLVAGSSASKSVSRVQSLDNLFSIIQLKEPPITLKPSENQPAQDATEVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVIIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSLGEYATGLPKIHGLSNGDPSFIYGSSPNHNPKKASHADQHSSDSTSYPDANGGFLSI >OB04G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7703506:7707968:1 gene:OB04G17380 transcript:OB04G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLEVVAQQPPPAPAPRDARPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSIPSEKVAGTLETHTGDIADFIRKEISLPPVLIGHSFGGLIVQQYISCLQELLHPSLAGAVLICSVPPSGNSGLVWRYLLTKPVAAVKVTLSLAAKQYANSLSLCKETFFSPEMDDELVQRYQGLMKDSSKLPLFDLRKLNASLPVTSVPDKTVDILVVGASNDFIVDAEGLAETAKFYNVQPVSVEGIAHDMMLDFSWDKGAGIILSWLEKLTP >OB04G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7712020:7712512:1 gene:OB04G17390 transcript:OB04G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPARSAAALMILLAVAVAPLAVAAEGQGGGGDGHGQQPGGGESVVAAAAAWSDRPWEGEGEEGGVAAVAVGGGAPPMSTDGGESTLSRGPCIEILCAAACILQLHQGGRCHSHGLFFSSCSCFICL >OB04G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7715180:7720596:1 gene:OB04G17400 transcript:OB04G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVGSAPPGREQHLGGDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGMIEDVFERRTEVADPPVANVDRLILLFSLDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDDQTIAYWRDRMKSWGYDPLFLSVDQKSGLSALEEMLEGQTTVIVGPSGVGKSSLINALRRNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLTKVTKKGLAETFPEIRKMLAENEPSKCLFNNCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDDIDDDLDEDDWFDVKQRSRKR >OB04G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7732092:7744223:1 gene:OB04G17410 transcript:OB04G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLQLSSPPPPPPAHLTMSPGFFDVGVAGSGDWVEELMRLGELFGVGVGGGEDGGGNAAAVVEDQVLCVWQECEGGGSPEQPSCGGEVSAPCGGEVACRDNDGENSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLREEVESSTLGCSPDRVVSTLTFKVASEAEDDAAAISECTGKLGVMAALLKEGFRPQPTQLVVSIWIGANLYQIHVLAIKDDSEWHYIWDNASYKSKRVYDLHFEHFQAEVPLVLIWESKRTMKYKVSLWNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALHRKKVDIQEALKTPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYSPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKMRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVLVDVPFPLQKEMSTFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >OB04G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7748412:7750004:1 gene:OB04G17420 transcript:OB04G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPTTPHSLEGGPDTARSASLVANPFEDQVFDLVRPEAIDDLRSIAERMDRAGYASELAQVYCGIRRDLLEECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKTVARSLLTSERRICDQVLAVSDELRDECFVESTKGCIMQILNFGDAVAVCTRSSEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVIYSDTLDKLLDDDSSRDVDHDDSHRDADEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRVSWTKVLSFLKDDAHSGRSGSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENHLSDLFEGSLGSANHSRRR >OB04G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7751954:7752136:1 gene:OB04G17430 transcript:OB04G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNLDIYTNYIHSSINKSRQDYKVLRYETEVAVIRMGSGTLVLIFIAMLLVSITGTNIC >OB04G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7753673:7759527:-1 gene:OB04G17440 transcript:OB04G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRARAFQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAIWLLCQSEAAANGTNGSAAGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETTAVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDMGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPQKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLHEQHEASPLLGSVITCKRDDAIKDIILKLDSEKIHRIYVVDDKGSTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTV >OB04G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7765093:7765707:-1 gene:OB04G17450 transcript:OB04G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKSKASMFLKQMVSTIMAVVKAKSTAVRAKTSAMKTRLLVLGVLRNRKLLVSAINHKIHAIMGGQDSSSSSNFTSSGGAAGEEEDGGGKKAIVLYNSAPSFLTERGYDAAAAAGEEEDSDDYLTHSLFQEEEEEDDDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >OB04G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7775812:7777889:-1 gene:OB04G17460 transcript:OB04G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGADNNSGDSAVPSSSAKTSFLKTCFNGVNALSGIGLLSIPYALSQGGWLSLIVFLAIAVICFYTGLLLQRCMDSSSLVTTYPDIGALAFGRRGRLAVATFMYLELYLVAIDFLILEGDNLHKLFPEASFRLGGVRVGGKQAFVVAATLAVLPTTWFSSLNVLAYVAAGGALASVVLIAAVLWVGVFDGVGFHERGRLVNWAGMPSAMSLYSFCFSGHAVFPMIYTGMKDRRRFPMVLLICFAVSTLSYGFMGVVGYLMYGDSLMSQVTLNLPSGKASSKVAIYTTLVNPLTKYALVVAPVAEAVEAALGGDVPKSRPLRMLVRTGLVVGTAVVALAVPFFADVVALTGALLSCTATMLLPCLCYLKVRSKMGYRKPRWETAACVAIVAVGAAIVGLGTYSSVKQIVRRL >OB04G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7781143:7786365:1 gene:OB04G17470 transcript:OB04G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 11 [Source:Projected from Arabidopsis thaliana (AT2G05170) TAIR;Acc:AT2G05170] MYQWRKFEFFEEKAAGRGVPGEIAARVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSQSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEAARDGISSPITGLGFRLEGQAHQLFAVTPNSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMRLLMIPSPMDFVNIFVHSPKYLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEHNIKETKGKEVANGYKSGSREKGNLGKEDMHISQDIVDRQSKGLALLKSAWTSDMEDPLYDFDLALIICNANAFKDGLLFLYEKLKLYKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTTCTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGRS >OB04G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7793532:7793894:-1 gene:OB04G17480 transcript:OB04G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSLSSLFSPCHSPSSPLPASLPLPRRSPSSPPFPLVSPCNDYDAGGPRDNDKDTDDLTSKAEAVKNETVMSVVETPLLRSAFYFKEALRLALSPTSDVLAPSPPTPRPVASSRSPCL >OB04G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7797951:7799228:1 gene:OB04G17490 transcript:OB04G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLDPFQVVTCSQDDVSRCPQEKTIHLQDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALCSELDLARSHVRKLIKEQKSECNELDSLKKQLVHEMESWKIKQKEKVANALQYTVSELDSEKKSRKRAERINKKLGMQLAKTEASLQAATKELEREKKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAISLLHDELQAYLDSKKDHEPTNDQTLPRYASENGREIASNIQNIQKGNGGGCSDEDEGGEDDGDSTSEGSGMHSIELNVDGNSKSYTWSYTPATKERKRVASRSHGSFSQKGMEMDSASSRGFDRKFQETGEELLEGDWAEGCSNGILNFEHDEERYQAIKNLREQMLAGSGFIVSQGRELADREFCGL >OB04G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7801934:7802311:1 gene:OB04G17500 transcript:OB04G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHATVAYTALLLLLLAVAAPAASAAKLVVGKAAALVEDDDEVAAGMNVLQADHAAAPLAAMMMVASSSSAAAAEVHRRALQAQGGYLNQALVANQQRCINGCPAQGGSYTGRGNKCYYQNKAC >OB04G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7805832:7806191:1 gene:OB04G17510 transcript:OB04G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNATVSYTALLLLLLAVTAPAASAAKLVVGKAAAWVEDEDVAAAVGMDVLQLQAMMMVAASSAAAAEVHRRVLQAQGGYVNQALEADHQRCLGSCPPPGGSYTGRGNKCYYQNKSCS >OB04G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7817255:7817506:1 gene:OB04G17520 transcript:OB04G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALPLLLLLLLLPPASAAGEAGAWRPALEETVVEAVPAELHMSVLLLQSTTAYDPLTRDTLHHVLKVVTTYMLANATYSVT >OB04G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7842032:7842208:1 gene:OB04G17530 transcript:OB04G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGIIKLRKAGVASLTSQPSLSLNNGKIISLSLPIVLYHSVFFDLFYFKPLTFGFIL >OB04G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7843040:7843737:1 gene:OB04G17540 transcript:OB04G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPVQRLALKQRTTSYVAPSPSTVIPDRQSPHQPPVRPSLVVGKAAAWVEDDDDVAAAAAVGMDVLQADHAAAPLATMMMVASSAAAAAVHQRVLQAQGGGGYVNQALVANQQRLPGAGRVLDREGQQMLLPEPVVLSFMISARSIG >OB04G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7867956:7869562:-1 gene:OB04G17550 transcript:OB04G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYCSPCQDGPRRKRRRVGVAEGPAPAGSGAGACGDMFDELPDDLVVSILADVAASARSPADLAGAMLTCVRQRERQLGGNKVVLARASPRCVAVRAGAWSDAAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPRVPALLRLRRGELLLPRMPGAALEDGAQGRVHAHGPVARQRRRPECRRRHGRSGPMTMDRSHETPSPSFPRRNRLTLAAGRRDTDAAAQWNYYHHSAFFLFFHPEFSLLLYMNMGSLNAEVKVKRQKKKKKKI >OB04G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7917199:7919040:-1 gene:OB04G17560 transcript:OB04G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGDHLLRICRREKSIKSLLSLAMASSTTRAIDRLDWMEAAVESALEVQAAGRSGGDADGGAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHAAHGGGAVHRSAAAADDDDIDPEPEVSLLPPLSLAPPMDLFAIATPASIPRPADATAICATTTTIVVVVDRRAWLAS >OB04G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7917239:7920196:1 gene:OB04G17570 transcript:OB04G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAQIAVASAGLGMLAGVAMANRPPVPVSDLFMRQLVSYKQVAKMFSSPGRIVRINSGGASLNSAEIIIVAAESEADLQPTNQPNHIIKSIDTSMRAPT >OB04G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7927413:7928078:1 gene:OB04G17580 transcript:OB04G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSWYCAAATVFPVVESTSSSSSSSSPSSSAQSLQPPAATILYLALFRPGEAGRQATFRCRYHWHMALGHQPDMAKFKASAIATVQRM >OB04G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7946934:7949583:1 gene:OB04G17590 transcript:OB04G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQVNSSTFMNWNCNQLLNIVMSSCFSEYIINGLVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTARGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >OB04G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7950202:7954329:-1 gene:OB04G17600 transcript:OB04G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCVSAARLGLPDVGLISYGEMVEQGRLITEAVSVPVIGDGDNGYGNAMNIKRTVKGYTNAGFAGIMLEDQVAPKACGHTEGRKVISREDAVMHIKAAVDARKESGSDIVIIARTDSRQAVSLDEALWRVQAFADAGADVLFIDALASVEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELKEIGFSLVVYPLSLIGVSMRAMEDALIAIKNGGVPPPSILPSFEEIKDTLGFNRYYKEEKQYVVQQAQSPPNGIVLRLKITEKSGAQKINEGIPAGILEKMSKVIPGLAGVNFTEILQGADQSQKGKVLLDREDASGDRIQVSIE >OB04G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7957938:7958881:1 gene:OB04G17610 transcript:OB04G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISLAVGPRSDPDHAAERQQQQPPSTMLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPVATTVYLLLLSRLAFPALHSKLPPSSASSSHEIFRGNSLFQVYVVLGTTVGLFLPLAHVLGGFARGDDGAVRSATPHLFLLSCQILTENVVGAVGAFSPPVRALVPLLYTVRRVFIIVDWVYDAWANRRGATVQDAAWVWFGRYLAVANLLYFSTNLLVFLIPKFLPRAFDKYFRMRDEAYAKTAEDHPERRHHHAAAADAPAAADATPESKKAD >OB04G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7959286:7959963:1 gene:OB04G17620 transcript:OB04G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSVATGGGLESRWRELELDWDAYKTSRRHRRSRSASATVTPSSAVVTAEHLDAPGGLLGLRLLPGSPKHLVSSLQRTSSSGSRTASAGATVEQVKNQTCRYDDVDAASIVSSVEAGPKAAAASCSCSCSCSCACAVPGCGHSSNSSCSSAGGATPLFWLGDEEAMGGKPSKTQEPRWAATDSVGRFVAIAAVGVVVVLVAAMTTAILELAMDDGQAEFLVPT >OB04G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7961542:7962414:-1 gene:OB04G17630 transcript:OB04G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRVGDTGVLAGNGCAFPALLWGGRRKAELGKGNPYRWRTAATWRLRPAPRKASCHCSSTLLVVVVFAAAVSRRAGRGSRWRGVPPVRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTEEAPRPGVLPLLGKPYFTCIMCKSHVQPPFQVVVPRSFAPFLPSKTAPATVTWRGRSWGMRFTGGRLTRTXXXXXXXXXXXXXXXCVFELGDGGGDRVEFRVQVLRAEIPARITGRAGGCTSATPIVID >OB04G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7972660:7975220:-1 gene:OB04G17640 transcript:OB04G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSTCRLVHCFAPFFYMFGHETSVPSKVLQNGVRHARKGQTEEIDKEERDQKDEQRTMKRREKMNGKSDDMMGLE >OB04G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7977337:7978197:-1 gene:OB04G17650 transcript:OB04G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVYLGKYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYIYIYIIRHR >OB04G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7978912:7979121:-1 gene:OB04G17660 transcript:OB04G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLCTLPGRGRVAEFGSMHTSGLAVLVHAVLYFALLTIFLIAVGVHIYAG >OB04G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7981852:7982070:1 gene:OB04G17670 transcript:OB04G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVLLSPGLLVELPGTHRWVDFGSLRVTGRAAFIHTLLFFLLFAIVVVACKLHIYTGAVN >OB04G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7994101:8004172:1 gene:OB04G17680 transcript:OB04G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFDINVLHKEAKSRWLKPSEVYYILQNHERFPITPEPPKKPPSGSLFIYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPAESLSVLSHPNAAYGNQYLGSTSGVSDGSESRQSYSNLSSVTEVSSYSANNEYNNDTGILQSIPELGQSIAVGGPACGQSSLEQNIEVCRVDNGNPTNKSGLNRALKQIVEQLSLGDDEDDDYIYVNQIQPFDFITNIEAPDRQRGHASTNVSGDDQAKQIRAEEMQNGLGRGISSSWEDVLQSSSGFPAPSIYQQSGAHYPQNSEYQPLGSLYNSDMQQISAAKRFLLGPEDIDSPSYNYVTREEVNNGDYTLSAHENSLQSSLNPDWKRTAPLTLQSTSHGSEISGLLFDHHQFESLSSGENTRLTLGQKQRFSIREVSPDWAYCYEITKVIITGDFLFDPSSSCWAVMFGDSEVPVEIVQPGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRTKSTSSSSLDIPPSSRSLKSIEELLLLAKFVRMLLCENGSHVNSNSDPQSGQCPKLKMNDEHWQRLIDELKGGCENPLNVTDWIMEQLLKSKLQQWLSVRLQGYDGTACSLSKHEQGIIHLISALGYEWALSSVLSAGVGVNFRDTNGWTALHWAACFGREKMVAALLAAGGSAPAVTDPTAQDPVGKTAAFLASERGHMGLAAYLSEVSLTTYLASLTIEETDTSKGSAVVEAERAVESISQRNPQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEEIDELAAASRSYYQSLAPNGQFYDKAAVSIQKKYKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMTEAGEEDGDDDDDDFNDDEAVKMFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATAE >OB04G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8005288:8008670:-1 gene:OB04G17690 transcript:OB04G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAGGGPGGAPGSGGSLDEARHRYKVAVSALRASIAAVSSCAQEMGSTEHSADQAEIERLEEHASSLRKEIESKNKHVKLLIDQLRDLISDISMWQSPCSV >OB04G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8014155:8018395:1 gene:OB04G17700 transcript:OB04G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGERWRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGSLSATLPAWAMLLIGAAQNFLGYGWLWLIVTRQVPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLYTVLHTPDHATLVFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNVVVFVTVVLFILLILPIAIPLTLTFLSKTENPIEEALLAEPSKGQASTSQEKEPDVFLSEVDEEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRKRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTMYVGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTAANPTGSLIFSGVIASNLYDYEAEKQAHHQSSWSGQSLRNMNLLAEGPLKCEGPVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVRT >OB04G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8019781:8020002:-1 gene:OB04G17710 transcript:OB04G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDVNLMAADLSAENLIFFVSLMDLLLFLDGHLMLTRATPHGKVSHISSGGAHHTLEIKLVMYLYTDTYTHA >OB04G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8021364:8023571:1 gene:OB04G17720 transcript:OB04G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDYNMDEALKARGVAESRFHARDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLSAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVVYDQKRKDHSVVNVTNGMYTYDKKANKRARKNAAAAAAAAAAAAAAAAEATTRPAGVDTFWTSCNRCRMQYEYLRVYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHAYSSTSRSSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPTAGTNSYSTQALEKPKRKHDESYNYNYSASGNSYGHERATSRRGRFSKRRRHSNDGYTTMDFGGDNRETVTASTETTAFADVAVAHVNGNSVEKLRSAVSGRRANVLREISQIDTRALLIEKAKATIQEKLQEWNISSSLHADRGKSQGKVYPSDNNIKQNGVLFDKHVKGLKQCSSRSVDIQASTADEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEQGLTVIPLLKVAGFKAVFHRHMDPTEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDATTQPAK >OB04G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8024497:8024736:-1 gene:OB04G17730 transcript:OB04G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKICVSINKPVAPHQISVVFFSSLLINIHQPHNICVVNCCDPVNLLHPLLMMFHHWCSCTSFQALSILINRLRIYSGQ >OB04G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8025612:8029240:-1 gene:OB04G17740 transcript:OB04G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKSGAGGGGGGGGGGGAVKTPSDFLKSIRGRPVVVKLTSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGT >OB04G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8032948:8034222:-1 gene:OB04G17750 transcript:OB04G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTDAFQETPIIELTRSITKHSYLVLDADDIPRIIKEAFFLASSGRPGPVLVDVPKDIQQQMAVPSWDTPMRLPGYISRLPEPPPAELLDKVIRLLGNAKRPVLYVGGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLGMLGMHGTVYANYAVDNADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAELGKNKQPHVSICADVKLALQGMNAMLEHASTRKNLDFSAWRSELEQKKIEFPLRYKAFGEAIPPQYVIQVLDELTDGEAIVATGVGQHQMWAAQYYTFRRPRHLLTSAGLGAMGFGLPAAAGAAVANPGAALVVDIDGDGSFAMNMQELAMIRVENLAGEGGGGAEQPASGHGGAVGGQILRGQPGAHLPRRPGRERRRDLPGLGDDRSRLRHPCCSRDKER >OB04G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:7707557:8055560:1 gene:OB04G17760 transcript:OB04G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLSRALASPSAGPVPRYFHSTHPRRFASPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATTSPGLSGQTIQESMRLLLLVRAYQVNGHMKAKLDPLALEERPVPDDLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDKIETVNPREYSYDRRQVMLDRLIWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPADEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALELYQKSLLESGKISKEDIDKIHKKVSTILNEEFKNSKEYIPNKRDWLSAYWAGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFEQRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVVHDQESGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINCPF >OB04G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8062842:8066344:1 gene:OB04G17770 transcript:OB04G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKEAKPKEEEKPKPEEVVMRVYMHCEGCARKVKKILKGFDGVEDVIADSKAHKVLVKGKKAAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAQGIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVAPLEKAAAEGGDEKKAAEGGDEKEEKEEKKDGKDDGGNGGGDEKKEEKEKEGGNADGEEKDKDKEKDPAAIAAANLYLQYPRFAFPAGYYPPGPSYAYPPPYPPSYPPSYQPSYPPYPSHPAHNPSQIFSDENPNACSVM >OB04G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8079502:8079720:-1 gene:OB04G17780 transcript:OB04G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding TASSASSSALHSLLAKFDTNASALLGNRGCTWRLIPWRGTTQLFLPLDTIIGPEFWSVLQRSFEVWVFAVIF >OB04G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8084209:8084379:1 gene:OB04G17790 transcript:OB04G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKERQPVYSIKKTGANTKSLKRGVKAILDFKIWARTKLSLLLHIYCLVFSSKHPEDG >OB04G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8091795:8093449:1 gene:OB04G17800 transcript:OB04G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGTFYVSFFDGTTGCTWRNDDGRLSRGDLHILFVTVTSGEWTESTPGKLGEAEGEIVLTIVPKVVKKSHMHRTFVSQIISQRSTCMNEYLKMVNTNLFSFTLPLRIHDVLGSSVFNMEGKWAGYVTHHPTTSAGMRFGMRGDDFQHTLPKWMGEKQWEDIIQPYVEHELYLESIFDWQ >OB04G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8101336:8110519:1 gene:OB04G17810 transcript:OB04G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYLPRLHNALASIGSERAMAAAASVVRVYRTNTSWPRPPGPASSPPPSPSPAPAAARSRPGCSTPTKPPTWPCSPLFPTAPAQPRCSSPPPTATPTSQPAPSRGDAVLEGGVHCIRCDYTAAAGVEGAPVIKDVHERTYGIKQLIVNPIHGRLEVASLARAIEVRKMLTPEHLTTKRKQTGEDTRCSIENQNGCEKGDLIPGSICSDIVSEAGSGNRSKSGMFIPPESDDDPTSILTSASLIRSSVDESKILDNLTIKVRLQNHLVVIGWLDHYDLKHDLAVVNIECLRPFRAATIDSRSQLQSESNIKAVAVGRCFNSGMLTTTSVMEISRLRDELDMAFLGGPVLGSKCGRIAGISCHGGKMAVLLPLDKVIECLKRALKAARLPGYNCSAKEHGTNVYPRFPAPFDQYRNYDISINASNGQLVILLQKTTTSMTRVQDVDHVWRESFEEKFEGDIWSELSKELPSAFSECVVALASFDEDGMLFACTGIFINHYPARILTSASLVRNYDNKSKIDDTLKIEVRLQNKSCVAATLKHYDLRYNVAVVDMICFRSPYAIELKKAIHLAPDIDVVAVGCCFEGCKLMATKGMLVDGRSKLDCKALGVSTCKITKAGIGGPLIDNRQNFIGMNFYDKEETPFLSRKQIQEILRQFDSERHSAAKTIDRGDPYRFGFILSFSCIACENFVK >OB04G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8124487:8129539:1 gene:OB04G17820 transcript:OB04G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTYELIGTDYPGNRAIDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFLWNCFKWFFSGVGDSCGFDNFPSLGLEAFKNSFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNAQSKQGKLPLVQVQDGDEGSKLSAEEKFRNETFLNDRIPSWLAGSGYVGLAAISTATVPMIFPQVKWYLVLCAYVVAPLLAFCNSYGAGLTDWNLSSTYGKIGLFIFASLVGRNGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLSICYGFFAAAVVINLLRDVTPKSVSKFIPLPMAMAIPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAVLSILRIDPPICMYFKPSLSS >OB04G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8131607:8136505:1 gene:OB04G17830 transcript:OB04G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGLRGGGPPPPPPRRRHGGSSSPSAAAPPRRGSCSRHGGGGGGGAVGGGPPPPPPAAMAGAAPPPRRRRRGGGGGGSFGGGLPPRLNLTVGVIPAHNVASGLLAFFLATAWRAAAGRLGLGRGAPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGPDYPGNRAEDIRNPSLSWMISFMFLIGLIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKAEADLAGRKVHCLVKYLGLSFFWSFFKWFFSGVGDSCGFDNLPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSSTDFRGLYGYKVFIAISVILGDGLYNLLKIFLIIAKEICNAQSKQCDLPLHDLLQDDDNSRQLVDEKLQTEIFLKDSIPTWLVVSGYIVLSVISTVAVPIIFPQMKWYFVLACYLLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGIIMSVVCSAADLMQDFKSSYLTLSSPRSMFVSQMIGVALGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAVIFREMAIIGIEGFAALPKHCLEICCVFFLAALIISLLKDVTPNHVSYFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKINQREADDYAVAVASGLICGDGVWSIPAAVLSILRVDPPICMSFRPSAVSV >OB04G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8135433:8143234:-1 gene:OB04G17840 transcript:OB04G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSWTEMSLRRRVPVLIILSLMLAAGASCSYEQEAPIGLPGCLDKCGNISVPFPFGMNRGCFRLGFEVECNHTFQPPRLFFAKGPWSPGNYGPTQETRDWYTLAGQEIQEIEQFLPLEILGISLSEGLVRTHGDISLDCSINETFIWVRGQQTYLSGPYLLSTRNVATAIGWSFDAKLTQSLRGSGYMKSCSVRLEASNMGRNGSCSGEGCCEVTVKENLNSVSVFLVQTSPYPGIEPNSCSYGMVAERGWYNFSTPDFHGFALLHKYPRGVPLLADFAIRDDLCPPQGQGHACVSSNSFCVNVTNGPGYICKCHHGYDGNPYISNGCQDIDECALRIKHPELQDIYPCSSGGICKNTPGGYDCPCKSGLRGDGKSGTCTEKFPLPAKIAVGSILGLLVAAFFVFLVLLQKERRKMEDFFVKNGGPTLEKAKMIKIYKKEDLKPILKSNNFIGKGGFGEVYKGFLDNQLVAVKKPIFSGNVTDVEQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLSKGSLDDILHGSSQVPLDLNARLNIAAESAEGLAYMHSKTSNIILHGDVKPANILLDDNFVPKISDFGISRLIAVEKQHTNSVIGDMSYMDPVYLQTGLLTDKSDVYCFGVVLLELISRKKARHSDNNSLVKAFLDAHKTQKGATELFDKEILEPKDLEFLNKILDIAVECLDLDVDKRPEMTEVAERLLMLKRSRNK >OB04G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8145983:8146213:-1 gene:OB04G17850 transcript:OB04G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRGRRSGERAAPLLPSAAAAPHHRLPASPLNKRRLPTVHTLSAPLCPQARASTTPPPPPPLTLQLGAWGVR >OB04G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8150298:8151089:1 gene:OB04G17860 transcript:OB04G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYFVLACYLLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFSSLVGSDGGVIAGLAACGIIMSIVCSAADLMQDFKSSYLTLSSPRSLFVFQMICVAIGCIIAPLALWLFWTAFDIGDPDGEYKAPFAVIFREMAIIGIEGFAALPKHCLEICCVFFLAALIVSLLKDATPSHVSSFIPIPMAMAVPFYIGAYFGVDMFMGTLILFAWQKMNRREADGYAVAAASGLICGDRVWSIPAAVLSILRVDPPICMNFRPTSASV >OB04G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8152702:8154721:1 gene:OB04G17870 transcript:OB04G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSMLKVGYTLLRSETPATDLVNAFMDWAARRSLLLLAVFMPPYCAYRLASSALAAAAPEDVAGKVALVTGASSGIGEQVAYRYARRGARLALVARREASLREVAARARELGSPDVLVVPGDVARPDDCRRFVQATVEHFGRLDHLVNNAGLANVCWFEDVPDVANFKQVLDVNFWGTVHPTHAALPHLRESGGKIFVNSSAAAELAMPRMSFYNASKAAVLSFAETLRVELHGEVGVTVATPGWVESEMTKGKHLSGHGAVEVDQDVRDAQVGVFPVERGERCAEAIVDAVARGRRRVTVPAWYRALFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPCCSRRRCAARRSRWSSRRRRRRRWLLACCWLHV >OB04G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8155609:8157685:1 gene:OB04G17880 transcript:OB04G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQLAGRLAGAALRVALAAALALLLPAYRVYRLTASLLGALLHEDDVAGKVVLITGASSGIGEHLAYEYARRGAYLALVARREESLREVGDAAAALGSPGVLVTPADVSSPDDCRKFVDDTIRYFGRLDHLVNNAAIWQVGKFEEVTDVNHFRKLMDINFWGHVYPTRYAIPHLKKTHGRIVGVTSNSSYIFIPRNTFYNASKAAVLNFYDTLRMELAGDVAVTEVVPGVVESEITKGKMLTKDGAMAVDQAERDAILGTAPAERAGDFARAAVRGACRGQRHVFEPRWYRAAYLLRVCLPEALEWSARLLAVRRVGPATTDTVGRWLLGLPGQRWLAQPASLRSPEIKAR >OB04G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8160443:8165149:-1 gene:OB04G17890 transcript:OB04G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MSGPLRPVGEFLRGLGLRLRPEWLESCAAGVPGFYSLGSVEAKARRCFEQFLFADMNACGAGVLPEGVGSMHCAVLDGPFVLQVDEIANLSAPLRERYRDAHAGPKRCLKLLMTDGIQRIYGMEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVPEVIEILGGVDDELEEARNRLVVEVNKPPRGKRKQGGLPLSSRATLAAWPTNVNVTNDAEQGTSMPRTVDTPHPTGSGNASHASQAGGTTRPMVANNFNHHVVESTAQGQSRHVQEISMQGQSTSLNRHNKEASASISYRNNAQYSISGTTRAMVDEYAEPSSVANNVHEQMQRVQDIAMQDPVTAFTGTKREFSASTPSGYDSRLGPHGVGNTGRNSVEATRSSGVDDGINNIEHPISLRGENEKPFTYIFNMLADWGVQQDTIPYIQVKIKGLITSVKQFQYKQCMRYDLYVYIDDGSFITEAFVDRDIVRNMIGHSTEEVAAAISSGGPAQANIRKAMKGFEHFLMNFEGIMLIELNRNSSVPIVREMNKGCSSSDAWLLLRRVKTFSGQGYMRSLDFMDTTP >OB04G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8186502:8192208:1 gene:OB04G17900 transcript:OB04G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVAAAGRVDAQDEYAKLVRGMNPPRVVIDNEASGDATVIRVDSVSSHGTLLAVVQVIADLDLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDEETISYIQTTLEAEDCYYPEVRNTVGIVPAEEYTCLEAAIERRTADGLALEVRTCDRAGLLSDVTRIFRENGLTIRRAEISSDGGEAVDTFYLSDPQGHPVEAKTIEAIRAQIGEATLRVKHNPFADAAGDRTRGGGATDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >OB04G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8195572:8199139:1 gene:OB04G17910 transcript:OB04G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;hydrolases [Source:Projected from Arabidopsis thaliana (AT2G35450) TAIR;Acc:AT2G35450] MPPKLLWVIAGLVHAVVGVVDHEQAADKHPYFPGQEPALRGDIDFLLECMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAIRFNPSLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISSYIQEIEELCTDYPKTIVIFDHMAFCKPPTNTEEEKAFASFLNLARFPQIYVKYSALFRISREAYPYEDTAQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVASKIPVSSSDLEWILGKTATQLFQGAWVTA >OB04G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8201067:8207747:1 gene:OB04G17920 transcript:OB04G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRARRQGMGRSGSGSGAGRGRGPAGXXXXXXXXXXXAASSLRGMVAETETEEEARARERAACQIAHEDATRACNPDFTTPFASVEDAIIRLLPYHVFAEYEDDEIYVEDEPPVKNKSSVQEWDERQEDEATRMAKRFEKYVLGFNFVVRKLAATHSEERLLVNNLLLADEQQRSERVRAAVRQQQQMVVQQQHPQQMQQQLMLDEQQVAGMQQMQRQQLQQQMMRSPPQQMVMQQQQRQQMQQQQMVVQQQQQQQQEEVAESSPHAHGPAIMQQQGQGQVGGDGGGGGGA >OB04G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8209415:8213284:-1 gene:OB04G17930 transcript:OB04G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:J3LXB8] MAEGKVAEGTREGEERGGAEADEEVEVKFRLFDGSDIGPVRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPDKKTNKLPKTTRCSCTIL >OB04G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8231503:8231748:1 gene:OB04G17940 transcript:OB04G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQPWYMPAAMAAPVVVAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERA >OB04G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8237798:8243242:1 gene:OB04G17950 transcript:OB04G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSRLEEEEEVCYRKGAGGGGNGAAASSSGVGGGGGGGGGGGGGGGGEGPECAXXXAPRVERISAAAGACRSGKGNATVKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQVVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPNVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNATPSSKKVGGGGGGCEGGRAALSRNDEAGSSGHKSPSSKDSPRGPGPGPGPLPGILNDRERMVAEARMWGENWREKRRAATTGNSSSAQGSLDSPTETG >OB04G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8247835:8252782:1 gene:OB04G17960 transcript:OB04G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIAASRLLRRSNATLGLLRSYTHARNYSSQLSALIPIGSQNSNLTRRHYYLPNVSQYQLWSRSFASDSGDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPTETHVAPSEDATPKETPPPKAEEKKPKVEEKSPKVEPPKMQAPKPLPPKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDDIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINTLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >OB04G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8250043:8250488:-1 gene:OB04G17970 transcript:OB04G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLNVVSIANVFCESFKRFAIRFLSLGIGTCKSLSFGGSCGSVGEVFGGRGLGACILGGSTFGDFSSTFGFFSSALGGGVSFGVASSDGATCVSVGAADFDMIATLVPGVTVSPSLAIN >OB04G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8252910:8259359:-1 gene:OB04G17980 transcript:OB04G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G27700) TAIR;Acc:AT3G27700] MIKESSSPAFDAEKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGLLKKRQKISGGADSHGEAQKDFLPKFKRRPGAGAHSRGPRVNQPFRSDSSSSVAPRPPMTRGRGRNGAPWTQHEPRFNTLDMIDFASQMASQGPPTHPNLFMGAALPGGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGIQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVAVPNTQGLGIQNEAGAAPVNTSSLGGSKGVPAKDIKSGVTNDVLKLNGTTTSAVSVSDADVYDPDQPLWNSEHPDASCAGFAHNDGVWNAESSGYEAVREQGNQVFAADSSQNSKSSVWGRIASKKQGPGKTANATSTSATGNKRNESYDEMTPSTVHVNPASAKDTNGQSNSRIFGDMGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFIQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEGEGRIPAKSSHVTTPLANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGHGTVPKMLSANSVKSVPPDSKRQESLELLEELRKKQEILAQKRDEFRRQLERLAKQKGLATSAKQAEGGGKEVSNDVQSTTDSKSMNTRTEGHQDVAGTLQKRTSGELASSAHKPSATSAQKSAVAAKQTSPLLAPSQSRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNNDATLKPSLSCSACVTYTTRSSAEKAFIGGKSCKGHTLRFMWLTASPGSSNHSRFQKMSIPARTSSFSSQTQNMPSDSSIPVGKISSTVKSGTTKKPHSESMQTAATAKASVEIHKALSSSSSLSSNVECPSEDNDARNDTFRDSDVSQ >OB04G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8263312:8266228:-1 gene:OB04G17990 transcript:OB04G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGKVKKETDGSLPPPRKGGLKFAPKVRPKKPPKVIPKTKPADESKDETVDKELLMKLKTSQSIDPFARKVKIEKKEMHTQVAFGQGNSSYARSFPMPRTSADGSASKLPKDYVEPWDYSHSNYPVTLPLRRPYSGDPEILNEEEFGESSSTRDQDGELTAAEELGLMDRSDEPQLFFFQMPTSLPLPKQPDSVAEKDTGAGADAKPKNTGSKLTHKDPVTRPSSLVGSRLKELPGGFIGKILVYKSGKVKMKINDLLFDVSPGSDCKFSQEVAAINTREKHLCALGEISKRAVVTPDVDHLLDSLDKMEE >OB04G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8272846:8280120:1 gene:OB04G18000 transcript:OB04G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHHHHHHHHRERKDLRPPPAKLDELAYAAQEMEEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKTALNYDDDDNGRVLMMLGKAQFELQKFVDSYRTNIISTITNPSESLLKELQVVEEMKELCDHKRQEYESMRAAYREKGRARHSKTEALSSEQLQAYFLEYQEDSALFIFRLKSLKQGQFRSILTQAARHHSAQLSFFRRGLKYLEALEPHVKAVAEEQHIDYPLNGLDDDTDNDECSSYQGNQSDDSELSFDYEINNRVKEFPASRSSMDLDQACSPERLKEQKQEHVEQINTDFAAPRLKQEIGTQSAPISADNVFDPSTRFWKMNLPNRTNYSYKLPTPADDKDSTSAHTHRSPHSDQPESKSHVAENLWHSSPLIKGFKPNSMSSGPVKMPSSTEGISSPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPSFSATDLRSSMNYPRAMSTKSYGPVWQSVAPKVTPRITSLPTTSPRISELHELPRPPANVGTARPGLVGYSGPLVSRRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRPTAEKVVENTRIKETM >OB04G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8282061:8282524:-1 gene:OB04G18010 transcript:OB04G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAAQLTRWRAGVGGGTRDFVEHQGKLLALRPEDYYRSAATGELEDADDDDRRERVLMDGRLYPVADEMTVCRGGALLRCVEFCPGPGMAPLLLTVTTAGAGGXXGRGERYRAGGTVQHVVDVEGEREAFVLLVSVREELARIVRVQRLT >OB04G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8288145:8289091:-1 gene:OB04G18020 transcript:OB04G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLEMVAAWLQQQNREGPAGTVGEEGEANIGSMDLRAENSSSEVPPTLQQDQPYDSEAGHVSHAPWMSHSYTSSNLFDSTVGMGDMAFNSIDLFDSSEDEDTYGSEDLYDEESSDYSVYTPTSVLSPALSSILGTTDDESDNDDDVSDGGSSASVPYSNVVVGCCICHVFFMVPKQTNVCPQCGTGNLVRFDGNAII >OB04G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8292530:8293713:1 gene:OB04G18030 transcript:OB04G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLRYFTDGITRIHNLYPYPQPRVYPPPPVSEGHGYQTYFSEENPSHGWSQQQSAAPSDGPYNYGYHDDPDCLTFLRGCLAGLCCCCLLEQCCY >OB04G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8295283:8300773:-1 gene:OB04G18040 transcript:OB04G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPCQKPCVGSIILSRLVCEPLRARTRVATRFFRVRAAVEAADNYWPRGRTTTSRRRRNICLGSFGEARVARCVADSDESQGRSRSSAHPLFSPSARRGDRRGSRMHSLTASPMAPRFFMAKSSPRLYEINLGGLLISWAGPEPDQNPQEVHVPTPKPVTASETSDAKRKVYIWRSAAAGKTLLEPKIMASLADSFLADLDELSDNEAYPEEENAEAVGMEEIGDDDMPDLESLNYDDLDSVSKLQKAQRYNDIMHKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRSLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSTAPSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNLLGSGTQSTYFSETGTFSKIKRT >OB04G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8303134:8305682:-1 gene:OB04G18050 transcript:OB04G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQRDRNTASLVCKVWYEIERLSRRSVFVGNCYAVRAERVALRFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCAALEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAIASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILMRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPACLSFIYPLCVQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGFSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTNQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMEENHGELPKVEKLYVYRTTAGARDDAPNFVKIL >OB04G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8326494:8331802:-1 gene:OB04G18060 transcript:OB04G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRRILRGPARGGRPQQHPPLEDPPPRAAAARGLPVAARGGRGGRRGRGRGRRRRHGSRRGRGRGRGGQRLGSQGSSLTKEGRKGWLPVLDTILCLQGRDMFPLRWSWRV >OB04G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8343568:8348387:1 gene:OB04G18070 transcript:OB04G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGNSEEEVMGGACGDGGEEEDGVVIVARRIGGGGDRGEGIWEEVEVKGIGGGNNKGEGDRGGSIVITTHQKIGLPTCTSSGIRAFVARFYIVESCVALSSRVAGLRVFYPVITCFTISSEEDRASPPHGASNIHQKEKNNMIKMPSPSLTNEKKKADASTLSEEGMNDNSMEKIMRYVKRTIWKNRGRFSVKKGRMMNKVDLHRYHNSPKDWATPVHIMKGIGGFGNKDEGYGCCGGEGNGASDVVGKRVRLRG >OB04G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8357709:8361574:1 gene:OB04G18080 transcript:OB04G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:jasmonate-zim-domain protein 10 [Source:Projected from Arabidopsis thaliana (AT5G13220) TAIR;Acc:AT5G13220] MSATGAPAGVELDFLGLRAAPDDDGRHTKSSSSIRGMETSAIARIGPHLLRRVIAGSPSTPPPPEQEEEEVVKAAAAASSVMGGAAPTPMTLFYNGSVAVFDVSHDKAEAIMRMATEVTTKAKALDHCNAIVGNFAKDMPLARTKSLQRFLSKRKDRLTSLGPYKVSGPGVGTTKSGRVKAEDGTAA >OB04G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8363871:8369558:-1 gene:OB04G18090 transcript:OB04G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGEAWNVLHRLRSKGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEVSSFNTYARGSTSNQIYTSKSPHCSKNDYMRWKNCQGRDFTINGLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEVNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSNRFKRKDKGTNMLLVLFSKLDSFLAPNRPCHNSLWISILALHEALVRKPCDPLVVATFALALYLGGDMSLALDIGKSINRQHNAEFSELLEPKVWDDQHLLAEVQSLAASMRRALTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKEHGYEPKSDGNIDYHDLSHGTPAEVRNVFTLVVFDTIYPPKMESQHDASS >OB04G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8411725:8413267:1 gene:OB04G18100 transcript:OB04G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVWFVLQAAPHQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEP >OB04G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8422785:8422952:1 gene:OB04G18110 transcript:OB04G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEITCRGRQLLPILTLQHVRDSIWCQRDAVSPSFVPDVSTADHIMVLQYGRRP >OB04G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8440372:8443779:1 gene:OB04G18120 transcript:OB04G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3LXD7] MRGAIAAAACAVLLLAGGNGVLSAMEGDRDKIGALPGQPNVSFAQYSGYITVDAAAAKKRELFYYFAEAELDPDTKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMMYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDATYRSFTAVCNYSRYVSEYYRGSLSAACDAVMGAGATETSRFVDKYDVTLDVCVSSLSMQSLSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLDGGVAKWTVCSSVLEYRQLDLQIPTINTVGGLVKSGVPVLVYSGDQDSVIPLTGSRTLVQRLAARLRLNTTAPYRVWFQGRQVGGWTQAYGGGGTLAFATVRGASHEAPFSQPERSLVLFRAFLAGRPLPDSFE >OB04G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8444976:8447993:1 gene:OB04G18130 transcript:OB04G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:J3LXD8] MPKATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALTQVVYMGIVGSFPFNSFLSGILSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >OB04G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8449346:8455510:-1 gene:OB04G18140 transcript:OB04G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVQSAIAPTIYRRSSTARFRVRARATMMRTMPTRTLTLGGFQGLRQTNFLDSRSVVKRDFGAIVASQISRHRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLEPALSA >OB04G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8458285:8459103:1 gene:OB04G18150 transcript:OB04G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIMDDGMNMSINTVVPDILDDSIEEIHRFSRDPRADLSAASARLKEYDSSANDGPCAPPETKECPTGGIQAMKTEDTNVRPILKRKEEQGDSKPRKRVKFASDVKDQSAELPEQDEDSPMVPQSMDLVIGKDSSTPSMSPGVPDYVKNPTKYTRYTLDAPECNDDSNKRAFAGLHDLLRRMEPEPEAPVEIPSSVMFIPRKKSVDVMTVDEGPKSSDSNSSLIGLAAGASDETEQCEMDEDDPKASPTPQVQTNTKVNSRRYRSSRTDDE >OB04G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8460969:8462174:1 gene:OB04G18160 transcript:OB04G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDELTEKFDVSHKCCHQKKIYFFATKNSNFDHQLAQIHQIQFFQIQTRNFFSFFFSCQQRTQESINLYNVQEWSKHAHRLLAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPAPDMPPATAS >OB04G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8470310:8473502:1 gene:OB04G18170 transcript:OB04G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSPATAGSGGGGEAERLEAEAQADLLRDRLRLAVIKIATSEGRKAGMEVADPVVACIADLAYKSVEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQQLKGKEPSSERKRKKSSKKDDNMMQI >OB04G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8494508:8495855:1 gene:OB04G18180 transcript:OB04G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAHVIRAAPGLQQLPAPSAAGPHAPGAFYPTAAAASSSEHHHHRLGHSSSSSSASAAAADEELGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPPPRLPGGRGECPPPPPMTAQHQQQQTVVPYPDLTQNAQLLQGGVRAEVQAGAAEATDLVMMGAGVNLPFGAVSPSSTISSSSTSPQILDFSTRQLIRASPPSPATAMSGSGVTAASSTSSTTPASSPGAWPYGPERKKKGPSS >OB04G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8515205:8520133:1 gene:OB04G18190 transcript:OB04G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKAAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTLIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSESEALDMKSALESKGEVEFKVCTLGKDVTIKNNMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTTAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAADEEAS >OB04G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8522643:8523050:1 gene:OB04G18200 transcript:OB04G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3LXE5] MSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >OB04G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8526183:8528915:1 gene:OB04G18210 transcript:OB04G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRTGWALLLAAVSLSAVVLAAAADSGDADRAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVTKDPRCLSHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRSTLVQTFGQENHVCLKEGSIIIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPGEKHLNWTAGPVGDLKAW >OB04G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8529522:8530418:-1 gene:OB04G18220 transcript:OB04G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQAPRLLIAQQESMPNQAISLPLLSHFLQIIIIYIFFTFPQHQQREEGENTSQPSSMASLRTIPVIFGILFYVLAGSTATATDAPDYLVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHYGTGNIERAVDGVTDETGTYKIELKDSHEEDICEVVLVKSPLANCGEVQAERDRARVLLTRNVGICDNLRFANPLGYLKDIPLPVCGELLKQFDLTDDDNE >OB04G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8532619:8547101:-1 gene:OB04G18230 transcript:OB04G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEALEDDLRDSCGSYMRRFFALLDGAVTYHAELCSYLSDLQEGLYVHCTLDGVLESNCASQLLTESMTLFGCMVLLMEHRMSGLLRERLVVAYLRYDRCFNSPNLERVCELSRRHATTPCSPGAPGSSLRSAEIISVQKPENLLRRFQFPELVVDAVITCLRNGDVYNNIRFYPDPQHRTTALSLQGGHLYVLLFYSRDLLHNGLSMREIVDRFFKDSWVVPIFLHFSVDLLVSWDAFKEAKSSLVSSLSATFIRDHSLHHHTKVSSLLADLDIHMRVVNKEYVLDNSLNLLFVIRECNYTLRWLLLHRMTIDKKARDLVICLGSTQHADEGKLLQLLMKTAKLEFVVKKLNAELLKTRKDMWYEKRHDALECIKDLSQNYLGTWAASCKFKNKSIRDWLDHLSSEVSSLNYTSIGSSGRTIHRVLSTLKDIELLHQIKENVQIKHGFSKIQKYLHDMIKVLNLNQEASSIYSVITDAKYSWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQCESPDLQCVSSYYASKYAAKIFAVLDIIPAILLKISISVNCIDAEQSTHLINRINKETLEGLMQLDQQLCQAKQAAKLCMVSEGLLNMSKNFDDLIDLNLGGWLKQMIKKELVSQLQGKLKALSLNYGDIEGNLMALSNYMLSQMQRMEFLQQILHIDGCSIWEGTLTAVLEECAKREVLEFMRCMQPSTNMVKRLDHMSNLGSFFGRLLQYIVHSTDPSHSMFIEAMMGWFDAGGNELLGMRFFHLLESCVGQVGLACLDSLIHVLVKQSVEHTMKDLHTLVDVKCQEELYKLDDLLGPPISIPLMGLPPFKEMVKTLHSSWGPLVEKLATIGQLQLVRNLMSFKLRSACKIRAYTISSAVDILSSSVYLHNGRFETGNEDHNFRLFLSNIKNEQNFCGLFSPLQASYISEEPPMFLTRLLCLFSISQLPKYVLDVHLGNLTSPLKKSVSDFSAVVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFHPTAVTNKGSTHFSEAPKALYWVMSFCKYMDVSMDLFESCLPSSSMAILQS >OB04G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8550281:8550571:-1 gene:OB04G18240 transcript:OB04G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGAGSRVLLFVLVVLLLLLAVVLGAAGRQSDPDPGNFRSSLVDCMTECAAEVVSCASACARKPRDEAPTCAADCVHGDISCLAGCGAPAPPAA >OB04G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8551310:8551846:-1 gene:OB04G18250 transcript:OB04G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNELGDWTRRFFLCLSFSSSAGGFSRSMSFWSTCKQTKNALISGLGAMGGRGRGGVRAKRSGSRTMAAGRGLLAGAEDDPWRRRRRRRGAESGEGLGLGWDVIYSRDVRSPAPSDRIGFRFWMGCDEISCWAVGVGSGGPNTMVRLLVGPSLKFFFCSVEKVHVDFLGYGLWPMGRV >OB04G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8551600:8553558:1 gene:OB04G18260 transcript:OB04G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:J3LXF1] MAPRPLISAFFVCLQVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >OB04G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8554110:8556067:-1 gene:OB04G18270 transcript:OB04G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGARRAEVKERRRRCEWKEREKLSLGLSRTLAVAKLRCEGDGEAPRVLGSSSLEVGGQWRCEGCVVAHQRCGAGKQPIRQAVVVARSSVRAPPLRVLAQNGGCVFWRWYDPETTPYLRQVLNDLHDVIRDLKEENLEMRASILSARAQIDDRTAQTESILFSASWELP >OB04G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8563974:8568322:1 gene:OB04G18280 transcript:OB04G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEVVAAARKGRLRQRYDGEYRLVAGCVPYRVRASGGGGEVVEVLMVSTPNRADLVFPKGGWEDDEDVHEAACREAMEEAGVRGHIDRVSLGLWVFRSKSSSGGGGGEMSTSPRGACKGYMFALELSFFLFDELLFLVHLHANECTLITVDVFE >OB04G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8580908:8583167:1 gene:OB04G18290 transcript:OB04G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKETTASVNMSLIVFIAKATMPFIASRAELNSIVIYLQINILAHHPRVEGNQTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSITPYLSPDLTDGSDQLPQPFYANPSAALPIPAAGVDVGSGYMMYGGANGADMSALMDDIAMPDDLPPMAGGGFRSGGASGGGGGGMLDVSMYGVGNGGANANGGGWCDASDFTSYSSSPAPGSHGMYFEEGYVHSPLFSPMPAGAAAGADGFQLGGSSSSYYY >OB04G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8589130:8592509:-1 gene:OB04G18300 transcript:OB04G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYEEDKRLSSTETILFNLLAIAVQRNSASNVFSVCKHNKAAFVILMGASILVITVDLKCCRCKAKIIKVHHCLKVEGIEKTEFDDKEDKVIVRGDFDAGKLSARCGARAARSSRRSRSSTPGRRRSRRRRSKTRSPNRHANASWCRTHRRRRRAAARARRRGAARLPISGSATAAPSRRRRRRRRASAANATAAANLQSSSRRAAAPVRAASTAAAHAASASRQSAAAAAGDDVATAGVLAAAA >OB04G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8596704:8599632:-1 gene:OB04G18310 transcript:OB04G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKYCIEKIEYEGEKVIVRGGFCAEELRECIWRKAGCKIVVNIIIVESSYNKHNENPQIRV >OB04G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8601046:8601930:-1 gene:OB04G18320 transcript:OB04G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKYCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKIVVNIIIVEVWPPPPPPKPKVEVKADGTAAVSARAAPPPRAGGGPAATAAIEVLMKERCCKPAGGCKLVPCPYPVHYPVPCKCGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPCPPPCCPQRHCQSPWGSCSCNVNVMVCEQEVGPCSIM >OB04G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8607109:8608109:-1 gene:OB04G18330 transcript:OB04G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVIHADLKCCRCKEKLSKILCSLRAKYGIEKTEYEDKEDRVIVRGNFPPDKLRSVILCKAGGKLVRDIAIVDVWPPPPPPPPPKKKPDEKDDKKGDKDEKKAAGGKDDKKDGGHGDSGGWCDVRRPINCPPPPCPQQPVWPPPWGGCRVACEQDENACSVM >OB04G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8614718:8616305:-1 gene:OB04G18340 transcript:OB04G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVISVDLQCCRCDAKIRRVLDCLEKEYCIEKVEYDVKINKVIVRGKFDAEKLCKKICCKAGKIIKEIIIVEVWPPPKPPVKPPEPPKPCHCCTCEKPKPCHCDKPKPCHCEKPKPCHCEKPKPCHCEKPKPCHCEEKPCEKPCKKPEKPPEKPPEKPPPKPECKLVPYPYPVPYPYPGAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAGVAAARRRLPAADGVLHRGQLQRLLHHVITHLVVVVVVLLLLLLDRNHGLMFFDLFMPPAGGGYLPCLGSRNKLNVRRTLIQCCLCSVRAAWLISIV >OB04G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8619514:8619672:-1 gene:OB04G18350 transcript:OB04G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRRTRRRPWRTRPCCSATRARSTAPAPSCSSPCTRPRDRVIDRSSSPLIPP >OB04G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8627592:8629025:1 gene:OB04G18360 transcript:OB04G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61800) TAIR;Acc:AT5G61800] MAPPRNQPLSLPPSPHSLRRLLHARLPPLLLLAAHALAAVAGHLSGPDPRHPHTLLLLAYSRHASSWPRARLHLLLLFRSSLRVPVCPTRHTLPLAVSAAASAHHFRLALSLHALAVIRNLVPFAHVSNALVSLYARNALPDAARRVFDGMPAPDVVSYNALMDGYVKAGRLGLAMREFEGMPERDAMSWGTVVAGCAKAGRLEEAVRLFDRMRKEGFRPDDVALAAVLSCCAQLGALEKGREVHDYVRQNIPRPNVFLCTGIVDLYAKCGCVEVAREVFEACPEKNVFTWNALIVGLAMHGHGRVALEYFDRMLVEGFRPDGTTFLGVLIGCSHAGLVDTSRRIFCEMEGKHGVHRELKHYGCMADLLGRAGFIEEAMEMVKKMPMEGDSYVWGGILAGCRMHGNVDYAEVAAQHLLELNPEDGGVYSVMAGIYADASRWEDVARIRKLMDERIGKRNAGCSLITMECKDGIKIMS >OB04G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8643071:8643259:-1 gene:OB04G18370 transcript:OB04G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLACLVLLTVLQLFSAQLHPSSIHTANVFPVYCLKWLVSFSCSVLEQVTSSAFLVFNLIPSA >OB04G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8649960:8653616:1 gene:OB04G18380 transcript:OB04G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LXG3] MSSSLEVEGSQGGAAEPTATSRLKRHDSLFGDAEKVSGGKHHGADVSWVRTLHLAFQSVGVIYGDIGTSPLYVYSSTFPQGIRDNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLENSRVAKMALFCLTILGTSMVMGDGTLTPAISVLSAVSGIKEKAPSLNQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPVISVWFVLIAGIGLYNLVVHEITILRALNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPAPMFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSEKYEGQVYIPEVNFMMGLASIVVTAAFRTTNSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHIVFILLFYVVFGFTEMVYLSSILSKFVDGGSLPFCFAMVLMTMMATWHYVHVKRYWYELDHVVPTGEMTALLEENDVRRIPGVGLLYTELVQGIPPLFPRLIRKIPSVHSVFVFMSIKHLPIPHVMLPERFLFRQVGPREHRMFRCVARYGYSDALEQPKEFAAFLVDGLKMFIQEESAFAALPGASEAEAXXXXXXXXXAARPRRSTSSAVHSEEAIQPSRGSSHSGRISLQLQAASPPMDVEEEKRLIDREVSRGVVYLMGEANVSAGHKSSLMKKIAVNYIYTFLRKNLTEGHRALAIPKDQMLKVGITYEI >OB04G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8660584:8667176:1 gene:OB04G18390 transcript:OB04G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3LXG4] MDPETDRQEHGHAKRAGAKLQRHDSLYGDAEKVSGAQHHGHEDSWVRTLRLAFQCIGVIYGDIGTSPLYVYAGTFTSGIGNIDDLYGTLSLILYSIILLPMIKYVFIVLYANDNGDGGTFALYSLISRYAKVSLIPNQQAEDAMVSGYGLDTVSAPMKRAQWVKKILESNTMAKVAIFLLTILGTSMVISDGVLTPAISVLSAVSGLQEKAPQLKQGQIVWISVAILVVLFSVQRFGTDKVGYSFAPIILLWFMFIGGIGLYNLIKYDVGVLRAFYPKYIIDYFRRNGKDAWISLGGILLCFTGTEAMFADLGHFNIRSVQLSFSFVLFPAVSLAYIGQTAFLRKHPEHVSDTFYKSIPAPLFWPTLIIAVSAAIIASQAMISGTFAIISQSQTLGCFPRVKVLHTSKIYEGQVYVPEINFALGLLCVIVTLGFQTTTNIGNAYGICVTSVMVITTILLVIVMLLIWRVSVWLIIPFCLVFGSIELVFLSSVLYKFKDGGYLPIVTAAVLVSMMATWHYVHAKKYQYELEHIVTNGDMIELIEKHDVKRTSGVGFLYTELVQGISPIFPHLIEKIPFVHSVLVFVSIKHLPVPHVENSERFLFRKVRSKNSKMFRCVVRYGYSDTLQGSEEFAALLSEHLQLYVEEEQQMITSMMPNQETEVLQTSSMVLENDNARPSHRAAVGSTVYVEETLRPGEPTEFTQPCISNLSGRISEEQYHIIGEEKQFIQREMQKGVVYILGEIEIKARHNSSFIKKIVVNYVYSFLRKNFRQGEKAFAIPRQKVLKVGMAYEI >OB04G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8668168:8668635:-1 gene:OB04G18400 transcript:OB04G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSTTAFLAGSGFPCFAADLPGHGRSHGLRAFDPDLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPPPPPPPPPPAAPTASARSSPTSTPPSLTCSPSSTPSGGGRSTPGCRASCLGVAPPLRRSSHSRSRR >OB04G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8669768:8673102:-1 gene:OB04G18410 transcript:OB04G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSGRWEGSDAALHNEMAMTTGRRSSSRRRRRIFYLLGSLGRGYGLLGDGLCYWATLTCERGGIAWAQLLRWMAANGCDGVTADSSRWAGADCRRSDSIPASESADEEDETPQAVAGSRSKETADDPIPFQVRVVAPRADDSAASESADEEDETPQAVAGSRSKEPSDPIGRFTIKPSSRVVSPRRRPFLTLKSDPMGTDIAAGDGEARSPLPVPDGLDGNGFPTTNSDDASSSSFAADFYRSGADWSSLRAAPAPLEEGSGKKERPGGFLVQRNLFQAWGIEKPRRREEARGGGAGASSSATPSPCRKRHRGGEMEVKPLACPFYKKIPGTPFTVDAFRYGVVEGSHAYFLSHFHHDHYGGLTKKWCHGPIYCTVITARLVKMCLSVNSEYICPLELDKEYVIEGVAVTLLEANHCPGAALIHFRLGDGKTYLHTGDFRASKSMQLHPLLQIGRINLLYLDTTYCSPKYKFPPKEDVIDFVVRTAQRYLQKEPKTLIVVGAYSIGKENVYLAISKALEVPIYTDPSRRRILHSFGWSDLSKRICSCNQSSLLHVLPLSSVRHENLKRYLETLNQRFVAVLAFRPTGWSFSESTGKQLDLIKPSSSGRVTIYGVPYSEHSSFTELRDFVMFLRPEKVIPTVNVGNATSRDKMRAHFQEWLRGL >OB04G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8680555:8685682:-1 gene:OB04G18420 transcript:OB04G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTELEGVAMNIITMITASIHAIAQGQSSLHDPSALHMQHVKRCDLGNHNQKRKDDGHANINLVRLGGYLDVLKDEEWGKPGLKGVAEELGLVDQEYHRLKQALGETRYYSWGMMGDGSDELDNYLDRLVPRINSKLSSKRTCTNPSRPVTSQTSSCFLLLAGNTLSTTSQEICNKSKSEGDEIVDFLDDYVIMIIIIIIFALSQSAKHISKAVGEEDNEEHRHHVALRCFLYALLLSPQRHEPPDAGNNNNNNPMVTKDELIRQWAAQGFLTTTSKPRALVQEDFHSKGIRHHDDAYQIGNLIVQTFQEHSVLKLPFSPATEGEEATKTAARFLAYHGLVTDNLTEGDIFQEEQWLQDKRWIGMTCKQGMKDQAWHTNMKWLSKEEEPSGTAALVLRGCSKESSWFTKLNHLLPKLPSLLVLDLSYTPVESVPPSVWCLPNIQFLSLRGCSNLETLSSFYNDERTTSQEDRNINTNLLYLDLSYSAIKSFHCALFQNIPNLQELVLISCDNLVELPPSITALSSLTKLVVTGTQIKYFPENMFQDMKNLQSLELTDDKRLTEDIY >OB04G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8709685:8713130:-1 gene:OB04G18430 transcript:OB04G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRALLLLPLLLSALLVQIRASDPLFYEPFDESFEGKWVVSGKDDYKGLWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAAWDAKEFDNDTPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEAIKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAGADGLSEFQKKIFDVLYKIADIPFLEPYKTKIIVRISILALTCATAVDYSLLYLWKITLPLFPVQDVIEKGEKQPNITIGIVVSIVVVLVTVLFKILFGSKKPVAPVKPVAEVKKPKTNESDAAGSSGDKEDEKEEEEKEEAAAPRRRSRRET >OB04G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8714817:8722524:-1 gene:OB04G18440 transcript:OB04G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGGGAVQAAAAAPRWLRGRVKSVPSGDTLVIMESAAKTDKIPPLEECVTLSCIITPRLARRGGIDEPFAWKSREFLRTMLIGQEVLFRVENAPQSSGRKFGTVYFGEKNVACLVIAAGLAKVKDQARKGDLSPYVPELLRLEDLAKNQNLGHWTKDLGALEESVRCLPPSAIGDGRAFDAKSFVAENKGKSLEAIVEQVRDGSTIRVHLIPSFHFVQVYISGIQAPSMGRRITNPNAQVEAVGNGEANGEASATPTPMTAAQKLMALPAIPADRFGEEAKHFTETRVLNREVRIVLEGTDNFNNIFGSVYYSDGDMAKDLALELVQNGLSKYVEWSANMLDPQLKIKLRNADLQAKKEHIRMWTGFKPPVTNTTPIKNKNFTGKVIELMNGYCIVVADDVGPYGSPSAERRVNLSSIRPPKLEKYSEENKSYEQFARVVKEFLRSRLIGKQVNVSMEYSMKINTTDGKNGETRVLEYGSVFLPSHVDAETAPSTASPENQPGVNVAALLLSRGLADVTRHREYEDRSHYYDALVATHARAERAKKGYHSKKDLPVIHITDLTTAPPKKAKEFLHLLRSRRHPAIVEHVFNGHRFKVTIPKETCTVAFSFSGVRCPARDEPYSNEATTMMRRRILQRNVEVEIEAVDRFGTFLGSLWESNTNVASVLLEAGLAKLGPFAVDRIPEAQVLIRLEKMAKQKKLKIWENYEEVEVPNRPTHDGNKEIINVIVTEVLGAGMFYVQSLADDERVKFIRQQLDSLDAKDPGETLEVKDQTSKDEHSSPVADLEIKDLPETLDAEEPCSDVAKDEEAITSKDNVESVAPLANASITTPFTPSMGEMVLAWFSFDHSWNRAMVISEHQGATELEFEVFYIDYGNQEHVPYSCLRPIDPSISSIHPLAKLCSLAFVKVPGLNDYLGEEAAIHLNSILVDKTFEAVVEERDDLGGKLQGQGAGEILAVTLLDSETENSINAEMLEKGYARLERRRLDLGERRIGIKKLEKSQEEARKEQLGVWGQENARNHVLDDDKEYPALARALAPPKK >OB04G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8730750:8733730:-1 gene:OB04G18450 transcript:OB04G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02070) TAIR;Acc:AT3G02070] MTHMFPYDGASSSSTSLGSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHIPRINTYFPTYSDATLDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEVHYNSLYDARDLPSKYKPRKKHWLLF >OB04G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8739435:8740774:-1 gene:OB04G18460 transcript:OB04G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADGISQGLLSQLYHHDVEIDALVRLERCVMEACCSREEHDSKGFYRLAVPDGAKV >OB04G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8749650:8750698:-1 gene:OB04G18470 transcript:OB04G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVLKLRSKSHVSTRARAARPPAAPLARSCGCGAPRRAPVTAMLAEDEMHLFAGAEDGAVYMWRMNQEQQSFDEVAALDGHDKAVVSLRRRQHPGLGPRDPPVHLQPRRPLVGGHRITTPRLG >OB04G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8802464:8803642:1 gene:OB04G18480 transcript:OB04G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYLSHAFPHDFYGLRAMEGATAVGSLFLDDHGGCAPGSAGIGHTVLSDLPRSELTCNDNNGGGYGFVPTKRARVEADEAGAALMAAAQQRMVIPTNGLVVPGDVQGRAMGCGVASTSGRIANAAGLSQGLLSQLYHQGVEIDALVRLETERMRVGLEDARRRHVRAVVSTVERAAAGRLRAAEVELERARCRNVELEERLRQMTAEGHAWLSVAKSHEAVAAGLRATLDQLMQSPGALNGNTGGEGDAEDAQSCCFETPEGDQAGADDAASKSVAALCKSCGAGVASVLLLPCRHLCLCSGCEAALDACPVCGAKKNASLHVLLS >OB04G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8816811:8820546:1 gene:OB04G18490 transcript:OB04G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) TAIR;Acc:AT1G76130] MGQMVSDDKFEEQAARNGEIIKNGREILFQAFNWESHKHNWWSYLEDKVVDLAKSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLKALLRKMNENNVRAMADVVINHRVGTTQGSNGMYNRYDGIPLSWDERAVTCCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRENIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGAMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPMVFYDHFYDKGDSFHGGIAKLMEIRKFQDIHSRSAVKILEARSDLYSAIVDDKLCMKIGDGSWCPSGPEWKLAACGDKYAVWHK >OB04G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8820948:8825904:1 gene:OB04G18500 transcript:OB04G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAEPPPERHRDQPSTSGGLGYFHLRLHAAGAPVLLLLRSDRLYSLSFSRRRGPRLRLLASPGRHHSRRRLRRDPVLSTSGCVVRLTHCFSSDDAVRVNGRPLLRGRCPVDLAVGDEVSLLRRGARYGFSVEKFVSCEGPEFVAAEPCGEVLVLRAESLLKRLRAISESHDPLSFLRDSNCAETCSEDVPVKRAREDDFLPLNTPINPIAEENLPLEHCNLDQGKLEQCTDAAIAKEGIDESIQGTKGCSNGNTEQKDCSNGNTEQQYNEGCYSDGSTFFLNRLTGFGPATRVEKQSGVTLPQLLHPVDSLERVFIATFTSDVSWFLDYCKVPQNLPVTIACHNKERCWSASQESRTATPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRIVVTSANLVPRQWYLITNTVWWQDFPCRSSPDYSALFSKAEEPKSDFASQLVSFIAFLVNEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYAHRPHYLESNYCLSRKQILRTKLAHRVFLGFVQTSVVGLSHRFHMPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFKFSGFIYPKEALEAAYGVTNTKVQLLLHVSKGAEFSQISGLIQDEHLPLLCSLLASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRSNPDLESEESDPEWGCWTTNHELKKPSINLLFPTIDRVKNGACGIQLSRHLFSLPERTWQRLRSTGIFRDAIPHPYHRIGHPMHVKVAQRRFRSRLGRHSFGWTYCGSHNFSPAAWGHILPPPKANPSEVRAVSSGPRLHICNYELGIILIVPPSSMSKQTSGRRREINDIALPFVVPPPQYKPGDRPATSLAMREAMAEASILQSNDLVDLSEDTDEDLPNEDNDDEHLIELSDCSPEEKEEEKIYAETLWEQVDSSQSQEMDQNDH >OB04G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8831573:8831824:-1 gene:OB04G18510 transcript:OB04G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIHEDYVNRRNEVRREQRRKQMQLLQVEQALAEHGVSRPAPAPRESRRVPAQCLTPTGGPSITVRSPAASSTAAAAAGEGG >OB04G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8832683:8835414:-1 gene:OB04G18520 transcript:OB04G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHRNSYQRFSLDTDEYDISPKKRLETNSMMASQNGSFTCLSGAAISANFTLANTNICNGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSGSPGSTGSSIFEISKNIWRSSAPTTVSSNFVTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSSEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASMPSLGMDKLKAVQLTEIHSLENPLEYQKLLAAHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >OB04G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8840528:8841213:-1 gene:OB04G18530 transcript:OB04G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELLVEGVATLSNDDMEFATSPQGSSASCVKEVAEAAICLVGILATMSFATLKSELLGRLGG >OB04G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8840988:8842067:1 gene:OB04G18540 transcript:OB04G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGRKHHDNASRKENGARRRHRCQAGPNHNKAFASVFTPPIKASFTLTSKEQSKSLHMQSNLSQTYGSANKPLPSPSAGRRPSPPSNIPPQPEQGPAIEGREIRKEGESPAGSEEDRLPWTPVPFCSTPTAAVSRPPPPPPRRGQPHPGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPMPPRG >OB04G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8850129:8850509:-1 gene:OB04G18550 transcript:OB04G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRDRKREQKYERQVVMASNYVDPAGEEGRFHGHHHHSSGTTPTGAAASPKMRRSWSSAASASSGGGGHGSAPKCVCAPATHAGSFKCRLHRNSSHGHGHPSSSPTATAPAAAVQSSSSRTVAAQ >OB04G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8857018:8857703:-1 gene:OB04G18560 transcript:OB04G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTLDPPFNPYENSLNFLLASYIIPYVGLTGYVGANPKLLTPQARKLVAGLLGVESAQDAVIRALLYERGLSRVASYGVGVAELTAHISELRNALGRKGIKDEGLVVAPGQGPEGQTVGNIIAGDRFSLAYDRTPEEILGVVYGSGDPAKAGGFFPQGADGRIARAYIA >OB04G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8863368:8864475:-1 gene:OB04G18570 transcript:OB04G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDVRSFLTVSPTSCSQLAVLRGIRQWSIRGLSEFPPGNIRGSSKLTYNRRLTLATNTDAAGGDQPEVVTSATGGKVFSGKWQVDEPDSLTIAPPSSAPSKCFAGSRFWTLGGISKSLDEEDEPTEPELDVESREGRDSDAVFLERAMAEGFTLDEVLRAGEHLLLAPKHIPRSCSKNKRVGRNGELARRIVDEVASQNDIRCKPWR >OB04G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8867779:8884936:1 gene:OB04G18580 transcript:OB04G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:J3LXI3] MAQLHIYATATTCRGCARARAVIPLPAAPAPLQRFPSPSSSSSSSSSWAMSVSSPPLLRRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASQALVSSTQQYQEMYRRSIDDPAGFWSEIAEAFYWKHKWNPDEVCAENLDVTKGPIKIEWFKGGRTNICYNAVDRNVEAGDGEKIAMYWEGNEPGDDGKLTYSELLDKVCQLSNYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIVDCKPKVVITCNAVKRGKKLIPLKDIVDVALADSVKNGVDVGISLTYENQSAMKKEDTKWTSGRDVWWQDAVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVAYGPLLNGATVLVYEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGQEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPAVVDQLIALGDS >OB04G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8883380:8886932:-1 gene:OB04G18590 transcript:OB04G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGAFLPVINHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFFVGLVLQGGFFHGVRSLTFGVDMQKIRLMGILQRIAIAYIVTALCEIWLKGDDDIDSGFDLLKRNRYQLFIGLIVTIVYMAFLYGTYVPDWEYQISVPGSTEKSFSVKCGVRGDTGPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSVNSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHKERIMKWLTPSFSMLILAFSLDFFGMRMNKPLYTVSYALATAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLVACNILPIFIHGFYWREPKNNLLRLIGVGA >OB04G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8898653:8904161:1 gene:OB04G18600 transcript:OB04G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETVAPHHLLLGLVAEDRSPLGFLASGVRVDRAREACRAAVGKEGLPQAPVGLATDVPFSGPSKRVFEAAVEFSRNMGCNFISPEHIALGLFNLDDPTTNTVLKRLEIDPNQLAKQALTRVQGELAKDGREPVGLSSYKVRQKFTPGGGKSAIVKYSNKKKEKSAMALFCLDLTMRASGGLIDPVIGRKDEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARVTSLIREVHKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEDAVKILLGLREKYEAYHKCKYTLEGINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHAVALTNKVKYSLNENDQDDVVEVEVLGEDKTNPVSMLSTSTNEPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFDDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISSGRRSIGFQTQTDSEEKSYATMKSLVMEELKAFFRPELLNRIDEVVVFRPLEKTQMLAILNIMLQEVKGRILALGIGLEVSDSMKELISQHGYDQSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNNQTVQLSDPTPTL >OB04G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8904269:8906918:-1 gene:OB04G18610 transcript:OB04G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFYMIGQKFSQPPSEDIYYSRGRNMLKELGLQNYEKNFKRGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQRDPEITKSK >OB04G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8909807:8910730:-1 gene:OB04G18620 transcript:OB04G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISGDVVVPESARKLAGKVALITGGASGIGECTARLFAKHGARVVVADIQDEAGARLCGELGEGNASYVQCDVTVEEEVAAAVDHAVARFGALDVMFNNAGIGGEACHSILESSKADFERVLSVNLVGPFLGTKHAARVMVPAGRGGCIIGTASMAAAVAGAASHAYTCAKRGLVGLTVNAAGELGRHGIRVNCVSPAAAATPLATRYVGLEGEAFEAAMEAVATLKGVRLRVEDIAAAVLFLASDDARYVSGHNLLIDGGFSVFNPTLGIFNDS >OB04G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8917448:8918599:-1 gene:OB04G18630 transcript:OB04G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETTAAAAVAAAAAAGPVVAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGDDDNEYESTQQHRIKCAGFFGGLGAAPPPTSSSSYWLSSADGAAAPAPTRTHGTRSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRAVSNNGSMPMAAVATS >OB04G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8921601:8921946:-1 gene:OB04G18640 transcript:OB04G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHAGTEITRASGDAYIHHSLTPSARFHLSQIHSKLFLGGDDYRADTKIVV >OB04G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8923576:8928098:-1 gene:OB04G18650 transcript:OB04G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MIRVVSPPPPSAVQPRGGSGGGSAASSSSICRFKGSRSGGVAATSWGSGRSRRRRRCDVSCCSAEDGERSRGTGPPAAPPAPSEGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLKPKSQKPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNLVEPVNDLPTFGVGHSLGSVIHLLIGSRYAVQRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTIRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQILPDVPPAMADAVNRGGELLTNLATGTPWEAVAKEVGTTLGADPGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >OB04G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8928559:8929779:1 gene:OB04G18660 transcript:OB04G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGAGGDLVGREDTDTETNTTSSTSSSTVAASASSGARGNGAAAAAVEGWGSSPVSGATINLSREYTLAIHTSSYNEIWAKIHVGGDVQRADGLGGGEEEEEENEDRSTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLALRRALRRARALYGPITDLLALIPHAPPLDAPHRDCAFDAFLLFDQMPNPFPAPAASFQGMHRSFVGLKNHLDLRLLRARRRRRLLRCATRGSGICLIACTTGAAIAGLVLATHAITVLVAAAPACVASRGSCCPAAASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGQHHTIEEVVRQVRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVNHLSAQSDPD >OB04G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8933853:8937714:1 gene:OB04G18670 transcript:OB04G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate/glutamate/uridylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G10030) TAIR;Acc:AT3G10030] MEYRKDREEWTDGAISSLLDSYTDRFEQLNRGNLRGRDWEDVAAAVTDGQGKGSGGKSVEQCKNKIDNLKKRYKVECQRLGGAGPSAASHWPWFKKMEQIVGNSSSPASSKAPVISDDDKSRQQQQNSSKRYAPSGSGTPTLGGGSRLAPLSNPKWKRVLLKIGGTALAGAPPQNVDPKIIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYPIGMMASVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFGGIGAGNGNPLFTTDTAAALRASEINADVVLKGTAGDDDYGCPPRSNSNPQFEHISFRELAARGISRMDMTAITCCQENNIPVVIFNMLEPGNISKAICGDQVGTLVDQSGRIT >OB04G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8938642:8939767:-1 gene:OB04G18680 transcript:OB04G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEWSVADMVAAAVRLYVKEGRRPLLPSTDPSDFGLHFSQFSLEGLDPREKVMELGSRSFFLCLKPPATVHAPSPSCSSDGASRVRDREAPARAGAGPAWASYMQFWPMM >OB04G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8939736:8940371:1 gene:OB04G18690 transcript:OB04G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLHSADAMTCSGHRLRCTATLTSTLLGVLLLSASGGSSSPPAAAGSNLALPLTPASRSGSVLGLCFASWCRGSVPRPWNDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPRHGRRRQGARPDGEQLAHAERSTPRAAAAPAAMQEPAKPRHKKNQSTHAQPKKPS >OB04G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8958967:8960298:1 gene:OB04G18700 transcript:OB04G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:J3LXJ5] MATASLIKAPVGQSPGTGGRMVAGRGGGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAGLGPAVNGRAAPSFVNGHVAPLVPEPAAKDGAGLDLVPVSSVNGGGAARNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFSAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVISHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSSDSSSPPPPSPSST >OB04G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8967347:8967634:1 gene:OB04G18710 transcript:OB04G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDLHGWLFHAVDPSPASSCAVFTFQTRGASETKFWIEDDGDADADDELEKSQPPAAPRGHRQKLAGGGGGGAPSGQGFCLLIQGFRSSSKIIA >OB04G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8972787:8973017:1 gene:OB04G18720 transcript:OB04G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFQKQHAINATCQTQATDISSHHNIANFPLANSTIKKAMFLLNQANVLYVYTHNIFPTGNNPVHFPPMSHKKWK >OB04G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8973807:8975525:1 gene:OB04G18730 transcript:OB04G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGDHMARIARKLFDALAAELGLDGPQTASYLAERRGFLRLYRYPPCPSSHSRLGMDPPPDSSVLSIILGQDHAGGLQVLRGGAWHDVSPAPGELLVNLGDMMTAISGGLYQSARHRVLASRPSTERLSCCYFAFPRDDAVLEAPGGISSAYRPFSYREFREQVQADIKATGTKVGLSRFHATTTR >OB04G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8975842:8976033:1 gene:OB04G18740 transcript:OB04G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRACQHGQRHLVTRRGEMKVREDSDLWDPFRFLCTKKWHIVKKLFMFDCNFVRANLKGYME >OB04G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8982666:8983994:1 gene:OB04G18750 transcript:OB04G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRTLVVISSAELVHEALVEKGREFATRPAESTTRTIFSSNKFTVNSAVYGPEWRSLRRNMVSGMLSATRLREFRPARLRAMERFVARVRAQAAASQDGASVWVLRNVRFAMFCVLLDMTFGLLDLDEELVVRVDAVMKRVVLAVSARIDDYLPFLRPFLWRQHRQAVALRREQIDTVLPLINRRRAIVRDMKAGSPPDPSVAAPYSYLDSLLDLRVEGRDAVPTDEELVTLCAEMINGGTDTTATGIEWAMARVMDNPSIQARLHDEIMQHVGDARPVEDKDTEGMPYLQAFVKELLRKHPPTYFALSHAAIEPGSKIAGYDIPVDANLDIFLPTISEDPKLWDRPTEFDPDRFLTGGETADITGSAGVRMIPFSAGRRICPGVGMGTTHIALMVSRMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMITPRKLSF >OB04G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8995361:8997814:-1 gene:OB04G18760 transcript:OB04G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEVDQQPMQMVLRVKHPSSLGGGGGGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEAMADPTRKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILESYNEKNKEKALLVSRLIELVSESERMRMKKLEELNKTVDSLY >OB04G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:8998649:9001407:-1 gene:OB04G18770 transcript:OB04G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSFFTRTVSLTICWVGPPAHLPKIHPSHHLHHHFRSPSLSNRSCVLPSLISPKFQNFPSPAPLNPIRSSNPFSLVPDRAAASSGAAQSRRKSLVREVLVAAMAEQFADSANNVVIEEVSKGLNPGMIVLLVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >OB04G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9002353:9004391:-1 gene:OB04G18780 transcript:OB04G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFTDSANNVIIEEVNKGLNPGTIVLLVVATLLLLFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >OB04G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9006403:9010450:-1 gene:OB04G18790 transcript:OB04G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALLTHTAHYIFPDPSPPWSSDAKACIHVFVPVGALVATFTTADDTATSRIRLQLFFGDLMSIEYPLGNQMAVEENKGTGEVQESIGVVIVCSEVADTTKQQHGKVEGDQEKEGEQKEKETKKRKAYTSRSDVWESYVKIKIGDELKKARCKFCNRELLCDPKRNGTLQVQPIKGDGSRGTISTWKFDLEDLKNTFAEMIIEDELPFAFCEHPGFRRFMAKACPRFIVPSRRTTTRIVAARCEVQKEKLKEFFKNYERVSLTTDIWTSNTHQSYMCVTTHFIDDDWKLRKKIIGFFLVKGHKGEDIGKSLEQCLLRWGLDKVFTITVDNASANDGAVNYMRKVLNNSECSIAEVTDGLKEVDVSITRIRAAVKYIKNSTSRLDKFKKYAELAKVDSEAFLRLDVCTRWNSTYLMLHSAIVYEKAFPRYDEEDPYYALELGGEKGLGVVEPKDWVSAKKMAEFLKHFYDITLHVLATQHVTSNIFFHEIVELLLSIREWCSSDDKIKKDMGSRMLKKYCKYWGNPENMNMLIFIGVALDPRNELWDSVHTCFHGLFHDYQKLYGPSDKAPQSVVSEQPRERGSLLMKAVIAENMKSANGAIDTSKTEAEKYLAEENEEDHKGFDILQWWKINSARFPILSRLARDVLAIPISTVASELAFSTGGRVLDDFRSSLTPSMVERLVCTQDWLQSLPPTSIEEDPEKLAQIEEELWYFNSLLSSTAKDDRDTIVSSYWEKLDPIECVMNHLNSVRLESKFNNCNMLEFACFLLARAQVLQIMRIQSKMCGSPEWVTDQRNLLSQIHMASSEAEIVFEDMRRSDWKDLSIDLVNALPDPFDSA >OB04G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9013034:9023668:-1 gene:OB04G18800 transcript:OB04G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYENEFREKFTYEIKNLNYSEIKNPNPVMTIIFFKEKRVREEPTVITSSQRMWLRRAPHRWFAISSSPTQPAPPAASSAQEPREKATRWGATLPHPHAQQVCSSLPPPPPPHTLLPPLLLPLLVLASRLISPSPLGPFLSTPSCSRAASSLLGSRRHLRREGSARARACRACGRAGGGGGVCAGEGMASFAVSGARLGVGRAGGGGXXXXXXXERSGGVDLPSVLFRKKDSFSRSIMGCAGAPGKVLVPGGGSDDLLSSAEPDVGTQLQPEESQLLQIPDDNKVKPVAEDEAIPAEAEASIKVGAEEKLESSEAIQDVKETVAHAVIKEAEGPSVEEKPRIIPPPGHGQKIYQIDPMLEGFRNHLDYRYSEYKRMRASIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAQSAALVGDFNNWNPNADAMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQYPQPKRPKSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHSSNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVSIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEAWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKMIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPSSFSVYAPSRTAVVYALSEEED >OB04G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9024924:9028440:-1 gene:OB04G18810 transcript:OB04G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLPPRTVICVGDVHGYISKLKSLWANLQSALPAETFAAALVVFLGDYCDRGPNTREVLDFLIALPAHHPGQRHVFLCGNHDLAFAAFVGALPPPPDGSPFSATWAEYIQNEENEGWYRGPGHEGMHAQGRRWGGIIKEKRNLKRGSLYLGSIYDAQPTFESYGVAHGSPDLMKAVPEEHKKFLHDLVWIHEEENVPIDTNEGQLICKLIAVHAGLGRSIDLNEQFRVLRTKDTRVSKVAMLSGRQDVWNIPKDLAGKQTIVVSGHHGKLHIEGLRFVIDEGGGYADKPIAAIVFPSKELIRSTEGTTSQN >OB04G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9031853:9037397:1 gene:OB04G18820 transcript:OB04G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3LXK7] MLEKDRIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYELHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYENELRRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDFFFPGTGDIKDIGEREGKYYAINIPLKDGIDDSCFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFAPDYTLKVSNLNMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDFDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHEDGAR >OB04G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9037836:9042156:1 gene:OB04G18830 transcript:OB04G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVFAPPPPRLACPRASSRKGSAPCPPNSLPGRHDHAVKRPGGGDAASGRSVNGAAPAPRPPAAAPPAPAPKRKQRRGPQDVEDEAWGLLRESVVRYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGVAFLLRGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKIRVVPLDGEDDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSTAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGYLVAKQLLENPDKSRILWNNEDEEILNALNRMTDASNLKRRRGRKVLKKTYIV >OB04G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9040295:9044302:-1 gene:OB04G18840 transcript:OB04G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVELVDEMKDARPGGVTHLRVRVKPVGEEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPISSSEIVESVSLKQALRKMCITQASEMAAMKRMSKPTVVSNTTPEAGAIKKLYATVVVQTDEEQDEKNKFGKVSVLPEKDVVSSLVKSTEGKTKVRSKSPAKKNVRSASPTKTKVQKTRIQDVISNKSSEGIEDPPMVAKQRRGKSKTSSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAAAVLSHKPCDAKGSNSHANKKQETLQDEPKTPAPINKKIAVSSITADVADSGTKGCGVGGIHGSKPGELSRSKEKGECSQSSKSSMGDYSTSTSISDDSYGSFSANGCRPHMSKDVRWGAIRHMAIQQGNLGLKNFKLLKQLGCGDIGTVYLAELVSSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSYFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRARRPRGEPMKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAASLTTAARKKKEGKCLEFRLNGDDIEFELF >OB04G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9068934:9073984:1 gene:OB04G18850 transcript:OB04G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAYLSILMMSQQAGAQEVGSSCRIGLFAGVKVHWANMDVDKQETMEETILVGDDLMRGLPSPVIPKEIASHVLDGVEICDGILKNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERTTQLQSEVTLLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALNRGMEKRVGQSSPSQGTAPAVRKRWFFW >OB04G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9074101:9075272:1 gene:OB04G18860 transcript:OB04G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRPCAAASLLLAAATAFLVGAAGGQQLSPDSPILRDPNVIPIYMTPGSSPTVASCYNQSNPASGPDCTLAPRACPRGCRDMCYVHCPSCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKRNAASARDFTWVQALGIRFGGHRLYLGVRRTVRWDAAVDRLVITFDGAPVELGAAPAASWSPASAPALSVFRTGPANGVVVRLDGQFRIVANAVPVTEEDSRIHGYALTPDDSLAHLNVAFKFYSISADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAGKYVVSDIFATDCEVARFAGEDGALTSPVGMVDEPADALCGSGKGSAGLVCKK >OB04G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9077692:9079700:-1 gene:OB04G18870 transcript:OB04G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3LXL2] MTRRVDLHLVAGTLLVSAVFVACAAAATEYARPPPGRVIFTEHTKPASHPQQVHVSVVGANRMRVSWVTEDKRAKSVVEYGKVSGNYTASATGEHTSYRYFLYSSGKIHHVKIGPLDPGTVYYYRCGMAGDEFSLKTPPAALPIELAVAGDLGQTEWTASTLSHVGRSDYDMLLVPGDLSYADAQQPLWDSFGRFVQRYASRRPWMVTEGNHEVEAAMALPDSPRPFTAYAARWRMPYEESGSATSLYYSFDAAGGAVHVVMLGSYADFNSSSEQYSWLARDLAAVDRAATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDVVFAGHVHAYERFTRVYNNEANTCGPVHITIGDGGNREGLAFDFRKNHKLAPLSAVREASFGHGRLRVVNATAARWTWHRNDDADSTVRDEIWLAADAACRQGSGGGAANYPADEL >OB04G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9097755:9098351:1 gene:OB04G18880 transcript:OB04G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLSELKQRLAKTAATKVNSNGNAAAGGRAPLPDLNSAPPAHGHDKGAPKSKKTMANDVSAALAFLSWLSTAPLEPEYANVIYCLFDDNVPG >OB04G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9109288:9112306:1 gene:OB04G18890 transcript:OB04G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRKEYSHIPEACEEVGLKHRRLRRLPGFDTSVGLPTSPEWLEDRGISCLLQRPRNA >OB04G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9116006:9117417:-1 gene:OB04G18900 transcript:OB04G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHEFFPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFVLVLFKQKRRQAVSPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >OB04G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9131827:9133086:1 gene:OB04G18910 transcript:OB04G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGPAPNALTFNTAFNGLLWLGQLDAAHEVLEEMWSGCGFVPSFTTVDRVIKKAVSGSNFDLALKVFDLMLRLCYLPTLPTANAIVSILLKSGGAETAYEVFMVLVNRKFVPDVYMYNQILFGLCKSGCSNKALVLFCNLKKRGLPLNVYSYTALVFGFCKEKMLAEAYRVLEMMCNEGCKPSVVTYTVVVNFLCKDGKTDDAMHIFRMACKRGCCLDSTICNVLLHALCCEDKILEARVIIDVMEETGLIPDYFTISSLAAGFLKAGHVKTCQNFVRMVCNRGNLVDIVTWNIYLHSLCCDGQVKKALSLVSGMMERGLLPSTSTCNTILKGFCMELDLQRALQMLDYFSSTGVLYDSVSFNTILSAACRQQNASVIHIVLYRMNVEGINLDAISMTCLLRYFHRCGKFSQMWEVC >OB04G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9137167:9138917:-1 gene:OB04G18920 transcript:OB04G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMDGTHSPCSRLRSGWLARSLGERSVTTRGRGRRGGGGRSQVQQRRVTPSGQAPPRLGFINGPFCADQHHGHAVVCKTASPAKHRLAATWPQKNRVGDPVALMPRSHPLSAGHMRKMGGRSKQATRRWRRRQHQELDALEQWMVMTSYLEISFGFWDARLKVAHLSWPPGDVVTGESPGELGRILEEANGTI >OB04G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9138916:9145611:1 gene:OB04G18930 transcript:OB04G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAPRPAPASSGGMVRKLILSLAVFLPVLLYQQLQPPPPKICGSPGGPPVTGTRTRLKDGRHLAYLESGVPKDQAKYRIIFVHGFDSCRHDTLPISQELAQELGLYQLTFDRPGYAESDPNPDSSEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVALLGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNTQKLFPASSVIAYNPALFSEEDKLLMPKFAFRTYMPQIRQQGENACLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPTSGHMFPLADGMADTIVRSLVLGVDQPPQAS >OB04G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9153278:9153916:1 gene:OB04G18940 transcript:OB04G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVEEVPEPGTDHPPEPCMGVGSGDDLEPTTEETSRPLAAETTSDHHEAAQPEQPAERSTSEADEEKVPVPVAVDTTSDKYEAPAVIPNAAAERASSTTEEEEEKVPVPLPAAKELQAAPEDDCHQESARERLKRHRREMAGRVWVPDMWGQEKLLKDWVDCSVFNRPLVPPDLLTARRALVAEFCARRPDRTPPAGSGPLRVQNSCS >OB04G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9160911:9161174:-1 gene:OB04G18950 transcript:OB04G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLHFDAMAKQNTNRIERTPKKPIAQEGIITVRNAACRDRFRVTKRRAVIKPGKAGERERERAADLAKRAADSQPGGAGAESGAG >OB04G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9165506:9166249:1 gene:OB04G18960 transcript:OB04G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:J3LXM1] MATTTVTAPILCNLSAKPQNSLRLTRSANDGTGRRLYLSSSRSTPTTLAPAGGGVRDRSDFRAAAAVYKVKLVGPEGKEGVTVNVQEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGTVDQSDQSPPAPPPGGGVRARSDFRAAAAVYKVKLVGPEGKEGVTVNVQEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGTVDQSDQSFLDDTQVGAGYVLTCVAYPTSDCVIQTHKESDLY >OB04G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9178756:9179102:1 gene:OB04G18970 transcript:OB04G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLTIMGKNCVYIYVATSETLKIKPPKLAPSPAAFLLGNLWSSKIPARRAKRNIYDSKHREEQGHFGSRDQSVEADLHIAK >OB04G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9179060:9180725:1 gene:OB04G18980 transcript:OB04G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLSSFACDSGGVKFGYTGSVGPAYWGNLSSNFTRCSKGKQQSPINIKTSELVHDPKMEPLHRNYTAANATLVDNIFNIALRCGDAAGAVSINGKKYTLKQMHWHSPSEHTINGHRFPLELHMVHSDDNGNITVVAFLYRFGRPDPFFSQIQDKLAQLYAEGCKAEKGTPLPAGFVSMLSLRQHVHMYYRYVGSLTTPPCAENVIWNIPARVREMTQQQAAALMAPLEEGYRRNNRPTQRTNGRTVQLYHRFWGNKKSP >OB04G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9196837:9197642:1 gene:OB04G18990 transcript:OB04G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLNLDSLFCGGGGEVGSRVVCETIALPGCSDDGGRGDANAHANDADAPAESRCVRVGDGAAWAELAGAVLERGRSTKGRSNPKAAAAASAKGKGGSRPSAEGRGLPIGKVVVVIGGLPAGKMVAQKRRSPCLGRGWCRPAAGSCRIFASEAVETDPGSPKVSCFGAVHSERRAATATAPALAPAVEDEERSSGCWASVAAALHHLCHPNNPPECELEASESKAIAPAPPSVTALSPPRPLAVKLGEVKRLASRRWPETMAGPVSA >OB04G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9199356:9200219:1 gene:OB04G19000 transcript:OB04G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPKKSATKRMNTPGKENSPLQRCSGNNNKAGDVEVDRVLRPYNSIDNSKLLRASKRFSPASARIVRKAGPPETGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSDKPKHPKAAPPAMVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVTKLEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGERKETVQCGECNENGLVRCPICS >OB04G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9200832:9201611:-1 gene:OB04G19010 transcript:OB04G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLHVPFCYKTPVEPWPGPRPATHSRPPPHLRPSSGGLDLLTEALAESFDPDDVATAAATMDDNVAVVDALAPPCKRSHVLLTEGGGGGPPPQAHPHPARRGGGGGPPPPPSVRRTCDLLHGGARASATATLSSMVAAAGGHVAGGGARPPPEEDVSQHGVMLLRRTRTGRAAFPPPISVIGKGGRPWLTLRAHREDGRLVLREMRLPSQELLQPCKEDGRFKLFIHPEAGRCGGAGAGAHVGLGREGRHSALES >OB04G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9206335:9208838:1 gene:OB04G19020 transcript:OB04G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVLVALLQMTAPAMVRASHVVYPELQSLEAKHVDGTLRTGYHFQPPKHWINDPNGPMYYKGLYHLFYQYNPKGSVWGNIVWAHSVSTDLIDWTALEPGIYPSKTFDAKGCWSGSATLLPSGVPAIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIINPDSGINASAFRXXXXXXXXXXXXXXXXXXSEVNMKGLAVLYRSRDFKKWVKAHHPLHSAHTGMWECPDFFPVAVAGGSRHHRRGVDTAELHDRAVAEEVKYVLKVSLDLTRYEYYTVGQYDHATDRYVPDAKFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRHKGWAGIQAIPRKLWLSPDGKQLVQWPVEEVEALRGKHVNVSDKIIKSGSSMEVSGFKSVQSDVDMAFAIKDLSKAETFDPAWRADAQALCKKLGSDVKGGVGPFGLWVLTSGDLKERTAVFFRVFKANESSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDITKTKKIALRTLIDHSVVESFGARGKTCILTRVYPRKAIGDEAHLFVFNNGETDVKIANLDAWEMKTPKMNAQQ >OB04G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9207602:9207961:-1 gene:OB04G19030 transcript:OB04G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLTLTCLPRSASTSSTGHWTSCFPSGDSQSFLGMASTDLNAGPALVAVVGDSVGLVGPAPDDPATLGWVVERLGGVEVAVVVAEAVVVVGEFGV >OB04G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9216477:9222779:1 gene:OB04G19040 transcript:OB04G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGRVAWAWLVQLLLLQQHAGASHVVYDDLELQATAAAAEGVPHSIVDSQLRTGYHFQPPKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIKGDKYGCWSGSATMMPDGTPVIMYTGVNRPDVNYQVQNVALPRNRSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSKDFRRWTRPLHSAATGMWECPDFYPVTADGRREGVDTTAVVVDASGGAAARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDNADGDEHHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKKPVILKDRVVKPGEHVEVTGLQTAQADVEVSFEVASLGAAERLDPAMAYDAQRLCSARSADARGGVGPFGLWVLASAGLEEKTAVFFRVFRAARAGGKPVVLMCTDPTKSSRNPNLYQPTFAGFVDTDITNGKISLRSLIDRSVIESFGAGGKACILSRVYPSLAIGKNAHLYVFNNGKAEIKVSQLTAWEMKKPLMNGA >OB04G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9218001:9220671:-1 gene:OB04G19050 transcript:OB04G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFFPLSLSTSSIGHCSSCFPLGSSQTFLGRVVNYLDSGPALGHVVGGGVGLVGPAPEDPAALGRVVERLGRVEVAVVVPEVVLVAVGVVRHVPLGLPVVRADGVVVVPAQVEAVLEHVLDARGGAAGGVDDDGGGVDALAPSVRGDRVEVRALPHPRGRRVQRLCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVQRLRHPRPPPEVLAPVHVRHSAGLPREAADEQPPVPVGAAPRGGRVAELRGVDAALGHDHRVVPRLDPLPEQRVRPVPRERHVLDLVVDVGAVDAGVHDHRRAVGHHRRRARPAAILVALDARLDGRLERHPVDEVT >OB04G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9228288:9228943:1 gene:OB04G19060 transcript:OB04G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAFSSIRSSAFFSAFSPLYYLLHFLICTLLVTDPVVICTSAHLTYNFQADVVVSFEVTSLEAGERLDPAMEYDAQRLCSAERRREGRRGAVRPVGARLRRLRGEDRRVLQDVQGGARRRQAHHAHVCTYRLPPST >OB04G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9230855:9234663:-1 gene:OB04G19070 transcript:OB04G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGELVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >OB04G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9244336:9245079:1 gene:OB04G19080 transcript:OB04G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRPRRSPASERFIGMFASPSPSSSPTEPSFVAGDEFHEDDFLFSSSPAAPSSTRPEGPGNPSRVPQGQLGLLAALHEGDKRLLLRRGGGGGGGGGGGAAAAAAASAGTLLRRKATIAAAAASASGGSLSPTQSPTSAARAIPMTPRQKNTGPAAPYHQSAPVKVPVRPPRKQEMVPWDELDDDDFLRNGDAAMLPPHEMVARASTGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >OB04G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9247214:9247378:1 gene:OB04G19090 transcript:OB04G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCLELMPTEELPDACPPIDVTRFPPRSAFPLQLLSYSRVSLTVLAAETMGWR >OB04G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9260708:9260890:-1 gene:OB04G19100 transcript:OB04G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDEIIRATTRKASPNLKLIYFLCGDSDFVCYINIRSESVQVYNDPANNKSDSNHELRIQI >OB04G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9264406:9266245:1 gene:OB04G19110 transcript:OB04G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNNPQMGDIIDRNPGLAHVLNDPRVLRQTLEAARNPEIMREMKQNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAANPFSALLGNQGSNQPRDPASNAPNTVSESTTGTPAPNTNPLPNPWSSNTGGAQGATRAGLGNARTGATGGLGETLQQVLSFQQTLLSQLGQNQPRQDGSQGGNATDIGKQVWSPSPEFALSRCGLMPCTNKQSASSKRRKAAEECPTMGFAPVKKMVPLPMGDVKWILAQKREPYTDPDTLPESCRKAIRRSNIIRRVDDDRFFEFQNKVKAAIESDGCYMVDSDFVARRERCRAKINDAWTKLLDGIPLSDSDSDEDEEDEEDMARLVAMGLELSD >OB04G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9267126:9268768:-1 gene:OB04G19120 transcript:OB04G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALIISPAMGRCMPLTCFWSDVVSVSPSGDLPRPWHVALNAASHEWQSALNAVAVRRALGALHATCPLMHGPDPSGQATWIRGGPFRVAKIVGQSSQRRSFNLFIEKNMTQAHHQNSKQRQETVPVFSQIHLKSIDAFHFGFPALLGAGTTGASRLQQPHCHSSDGLPSARVFCSLDRKPHFSTTYDLITSRVISGVKPFFGTSAMAQLKRAIYSRTASVLSNITSSHTNQQITAEDLSKNRK >OB04G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9267220:9267531:1 gene:OB04G19130 transcript:OB04G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSWAIAEVPKKGFTPLITLEVIRSYVVEKCGFLSREQNTRADGKPSELWQCGCWSLEAPVVPAPSSAGKPKWKASIDFKWIWENTGTVSANIHNHNYDES >OB04G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9298856:9306296:1 gene:OB04G19140 transcript:OB04G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGNHDTLSFEDVKSNLLSKEKFDSRDGNSTRGFGSPADTRSYSTLPFWGQFVTIAVFVPKNPPPTPCSTGRSSATDAFIRIAVLFHPINQCFLEACFAMKVTGIMPERVKRTRFADLQNECDVVLPKTVDSRGYSSSKGSGEDGHRNTKRRHRSSSSGGFETSDDVSTPSPYIFSQPPFLLWERCPCEFVWYSADMKRGNLTWCSATIAFEACCVLSIMHHEKLEIDVVSLASMSLSRFEKPGGKDISIPSGKVLRLVHDSITQELGVPSTSRIAAKQLIQAIKSRPDDPEAVCFFIMVMMSKILLPTTDFYVPKSDVWVASDLEMVASIDWSMVVFQAIRDKVLYVDNLLPPQDIGMDLTFTPHIHMYTKDIVEQLLAADCESGGEGAPTFGNLPLLPIDTTCYAIKPSGSGAVPASELIRAPSYKFPNMSYIIGPHLETLPDMHRVGLLQSIQEYDKQAKECAVEIKQFMIVVDKHHLLCQRVIDAIQNCRSRQAQPGSPHSGGPCAPDEFTGTEILVVGHISPIEEHPEQEEVQQGGQEQQHQNKHYDQQQYGEEHEMHAQQVEGMFRSCCFKVEVWRSIYFAKIAVFVYNCETFLGIPPHFNLFRHLFAVKPQPNRSHPSVVGGTGILLREGSKKAWLSLPMKTSLKGWHAAWFYCSNLANSLLPYVGHAPVAQEAWSSLPTTEEMPQVNSLLNLIENLKTAGLTGIWVTRHFIRCRIQPLKDRVRTATSSCPTASRASPMLKRGSEASADEPAAKRMAFDPDTELAEAPEDNDAPTPTHSPSPPLQRHLRRPAFNTGPRVLSRKSSNIDPTAPTGFEDVQPPGPSAAIVPVALSAAPVPAADPVTGPATEASLTIVPGPGHHPGPDRRAGNCRSAPSHKLHEAECRGVRPIGHDNDLGGARAEGPRRPRRSGQGQPVRRLQGHRED >OB04G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9308740:9310565:1 gene:OB04G19150 transcript:OB04G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQAGGGGVAGGRALGAAVVPRAPLDLDPDWKYNWSMGNVNRGDHKRKAASLIDDDEEEDKEPLPYATNPQGLADHRKEELIACTLEPKYMCHDEKLNRSNRELVGLYNQIGLRRRNLIRKAYRRVSLGRDLKSQLKDILQLLKVAKNLHKDILDRGGTPAPIPAHFEDKKRFY >OB04G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9317068:9322395:1 gene:OB04G19160 transcript:OB04G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGAGPPAGSAAPAAASPQASTTPSSAPTGGLGSLFPGLGGTGTAGTRPAGLLGSGFPELDQMQQQCQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMGDIIDRNPGLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRGMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAANPFSALLGNQGSNQPRDPASNAPNTVSESTTGTPAPNTNPLPNPWSSNTGGAQGATRAGLGNARTGATGGLGETLQQVLSFQQTLLSQLGQNQPRQDGSQGGNATDIGKQVWSPSPEFALSRCGLMPCTNKQSAASKRRKEAEEEEECATVGFAPVKKMVSLPMGDVKWILAKKRETYTDPDTLPKSCRKAICRSNIICRVDDDRFFEFQNKVKAAIESDGCYMVDSDFVARRERCPKERCHTTNNSGDYTCADGKPSELWQCGCWSLEAPVVPAPSSAGKPKWKASIDFKWIWENTGTALCQQRSTSCYRSDSGANRFEYKLVALQATLPTKHSDILCLFVVIQNPKQEYEYATGEYFLRQFKGPPRIHVAHPEVLGPGPRRQSPSWPSPSGPRLLIKGHVAWKAPSVQRTATAFNALYHSRDAAFNAACQGHEGLSSHM >OB04G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9327849:9329237:1 gene:OB04G19170 transcript:OB04G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMIQVLGCKKEPLLMNYLGLPLSCNKLGLADWAPTIARVDKYLSGWELSLLSYSERITLINAVLNAVPIYSMCAYILPASIIHAIDKKRRAFLWTGEDKCSGAKCLVAWEQVCKPKQEGGLGIRCLRTQNKALILKKLCDLTNDTSPWASWIWQEYENDTINNRKSLGHHWRQIQSLVHNLQLLTKVSVGNGKRTSFREDKWIGDQPLSEKFPALFSHVTQTTTTLHTMHTEGLQANLLHRLTRQAVVEQQSAQHILGELQLQGTDDVRITEERKITSSKYFYNKLLTHQENCKNFAFTWQNKAPLKGQFFTWLATKDRLPTKYNLHYKNIVPTPNCDLCNHQQETATHILLTCPFAVAFWRAIQINPGITSTTNLYRIRPYAPLPAQHYQVFFILCFWLLWNHRHEIVFTNEQPSLHRILRAAISNCTLWIQRLNQSDIAALEPAWRGIFNSAITRLG >OB04G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9332984:9337373:1 gene:OB04G19180 transcript:OB04G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAKGNPKRNAKANLSHKTGSDSHACSPCLVPLLSVGLPPLESPNPTRGRGRGAAPAPPPITERPMRLPVEPMGAPEIFAGGSRGAVRRAVVIGNGCAGAEDQCLGLVRALCLADRLMLYRVIRPTGGINKWLHFLPISLHKLVDQVLRQIISSDRCATLFQGKKLAQYSVCNGQPFGLSSVLEADTKRIVTMVNDTFEKEGLALVIACGRDTISYASSIRCLAPDNVFVIQIQHPRSRLDKFDLVVLTVGALHQADSAALHTAACDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKQLIISLHNVLKTCGSVRISFSRRTPLNVSDLILKEFSSHPKVYIWDGEGPNPHLGHLAWADAFIIAADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNTLHERGAVRPFTGLEDMSENWSYPPLNDAIVVAARVREVLIERGWTVG >OB04G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9339327:9344465:1 gene:OB04G19190 transcript:OB04G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT2G27350) TAIR;Acc:AT2G27350] MTRIFVQRGAAGSSSSSGRSGSQTLQQQQQQQATSSVAREEELPQQPHQHPTELLSSDDITDHLLEDVDTISNSNKALRLDDPTSESSSSAEERAVREKPPKDDSNVIDPAFLVEELTGLQFSDQFEEENSVQSSIGPSQIAGVASHSHPPPPPAPPAPPVPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVWASASGSRPSSPRSLADSEGYNSADEQGPCYASSFYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPETYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQLNFRESSTSGAEPSSSAAISGSSRSPGTADRVGEECFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >OB04G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9346511:9347665:1 gene:OB04G19200 transcript:OB04G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAALRETTRRLVSRREYPAAARPLLLTHSRGITYRLFIGGLSQFATEDSLADAFSQYGQVLEATIVTDKMTNRPKGFGFVKFASEEEANKAREGMNGKVLNGRVIYVDIAKAKMNRVADSSPGATGPPKPPDNS >OB04G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9348317:9349661:-1 gene:OB04G19210 transcript:OB04G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGIDWSALYKAGGAERRIGRRDQGRGAKDGGDYDRGAAPRRAVDAGELGGGGGGDGLLPTELVSAVDVYYRGAPLLSRVVETASSSSWFLGSPFRVEAFECKKPVADAVLSPAELELSWVVVDPVRGRAVNLSSRRAVAVDRHWYTGETLVRFAVVLGGCKFETTVTCSEGTGHISEVSLAVQDADGAAVSGERSLRLLAAAMEEQRKGGDRERDEAKRRYDEFVKSKKGRMESKARREALIDLCCSAASAMAVLSFVAAVVLR >OB04G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9357573:9359247:1 gene:OB04G19220 transcript:OB04G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit O [Source:Projected from Arabidopsis thaliana (AT1G08380) TAIR;Acc:AT1G08380] MAAAAPPPWRPPPPPSPASPAPPSPTGRPSLPLKPERDDSFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWLAPSSVPAINGNSLTGLFFSSIGQELSHFPSPPPLDSQFWLWLVTWHLGLFLALTFGQIGFKGRTEGYFDK >OB04G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9359688:9360134:1 gene:OB04G19230 transcript:OB04G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTVVHWNAMVDGYVKCGDLELEGARKLFEEMPESTPVVYTSLIVGYSHAGYKGAARSLFGKLEYCNLFIWTMIRGYARNGHPGEALRIFSEFQKQDICPDEHSLLLVLCQHAPNWVTSHGKLDSRLHLDLPNRYEQYPCWLVSLT >OB04G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9368782:9369249:1 gene:OB04G19240 transcript:OB04G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTSSSMEQWCKGFPFDQPAEKHFFFYLMGAGREVPLGMSRSNKKSSRGIDLKLNLSLPARGDSSPRRAMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNGNNNVNSNSGRKSRGG >OB04G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9369013:9369447:-1 gene:OB04G19250 transcript:OB04G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYQNCATNTWIHASLTILVRIYHGYTGGNRQNKRELLDLGLHIDAFFLMLLLCQMESCPFLGGLSSPPPALPAAIAVDVVVAIVLLQEVEDHGALALRAPWIILREHDVDEAAWAGGEHHGRRLRAVGPLQAVLVLRRQARAR >OB04G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9370692:9372185:-1 gene:OB04G19260 transcript:OB04G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVGEEDGPGEKWCAVVCTVCQCCGRKKANCTSRLLLHRRHCCHGRRFLRSSESLAVMLIPVTGFIGTESIFCWFFLLFLLPTVILDHET >OB04G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9378452:9379567:1 gene:OB04G19270 transcript:OB04G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPPHLHFHRLRKRTRAAAGGCGGGGHHHQAPAAAAMAKKGLAGILYKLRDVHHRPQPPQSVSPTSPSPSPHCHGRHRLCYPPAPPSWPWPSCRHPRTSSFRWPALGQEDDASVYRTVNTVYDTSSEHFNQRRSSLDEASPCIVDRSFLAVEPAAAEEEEEKELQLRETAVVRGVRSERLFFEPAGAEFLPKQRFQEMARGKNDEATAMDIAPENDDVDEATTTTAGKNEAAIATEAAVLKGGAVVLTVESEDPYGDFRSSMADMVAAHGLRDWEGLEELLAWYLKLNGKGVHGVIVGAFIDLLVSLASSPSPSLPSPSPSSSCITFEDYSSATMEESCS >OB04G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9385888:9388091:1 gene:OB04G19280 transcript:OB04G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3LXQ3] MYAEFLTKALTVLFGYALPALECFKAIEQRPGRTDQLRFWCQYWIILVILLIFDDIAGVLTSKIPMYSELKLAFLVYLWYPQTRGTDIVYETFLRPLVMQYEPNIEERLRYLRANAGDILIFYLKNFTERGYDLFLRAMEYIRSQASRGSRTRRWFSFGGDRAERPVYVDDYVAGGGDRRSTARHRRHRDDY >OB04G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9388297:9388824:-1 gene:OB04G19290 transcript:OB04G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSTATLASHSFQSQPLLSHSLSDNNGFTAGGGGGGGGWRSSLAGPRQPKRLHSYDDLVLAARDGEGNDDDGGIEAYFNRCHSTPGYVSFEDVIHSAEFKEDMSRRSSPEACVISDPLVRSTSRLYATRVQPRHRRRSPGPLGTRRGGAMYRLIKKYVLPCLGFVADIIRRTG >OB04G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9408508:9409317:1 gene:OB04G19300 transcript:OB04G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKGVLVVLALVAVAAAAALRGADAAGECGATSPDKVALKLAPCASAAKDPKSTPSTGCCTAVHTIGKQSPKCLCAVMLSNTTRSSGIQPEVAITIPKRCNFADRPIGYKCGGPAPSATSAEITPCHEQLVSASRAFCHLLSLIVLLSKVVFALCMHAACSSVSVSTVKHQIKSSHLPWDRDTVCRVCLSRFRIVVYLIYQEAARKLM >OB04G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9411781:9413809:1 gene:OB04G19310 transcript:OB04G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIVFQLQSLIAAPLTVAKESHSQLETPQGIALWYMCVSVIISPHKSYLQDAPRPTLPNKGEPGGGGFYQEGRPQKHQSAACLCAVLLSDTVKHSGVKPEVAITIPKRCNLANRPVGYKCGRYTLPSLQD >OB04G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9414526:9415309:1 gene:OB04G19320 transcript:OB04G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAAAALLLAPNPAAPAAARAAGQGRLLPQGRRRHPQVLAFRAPLSALQETKEGEAETAEEITEKYGLEFGLWKVFSSKEEGGGEGGEGKKSRTEQAKELLSKYGGAYLATSITLSLISFTLCYLLISAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVANWIGKIRRGGD >OB04G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9431959:9435464:1 gene:OB04G19330 transcript:OB04G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGKSLRPAGGGGSRSRARSFVARAGGEGDLPLVGNTAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKINTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFSAI >OB04G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9439184:9442557:1 gene:OB04G19340 transcript:OB04G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVAPQRRGSVETQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQAVCSTTTTTATSAPSQNPNPSSTAATSPAQEIKAKLPLLDQARDEDVTAVLDCLAATRGKRRVVVVAESTAAAEATARAAVDKVKRGEAKRHDALRGAQVVSLRVSSFREMPRDDAERRLAELRCLVKTSRGQVLVVVEDLKWAAEFWAGHAGVRRAGGYYCSLEHVVSEVRALASCGGEHGGIWLVGFGTYQTYMKCRAGQPSLESLWGLQTLAVPAGSLALSLTCAFDDSALGAGAVNQSMKASPDTDGNGPASCWPLLGSSHRQLVSRCCGGDCSAATHDTKASLQRSFVSSSTSLPSWLQHCRDQQESTHFTDLGKTWGSICGRPSQRMTLHFSAPVSPASSISSYEHGHHQPHHSWLLADLDAKHPWKPKREEDDEKAKSHDSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDRSRSTEAKEETWLFFLGGDAHGKERVARELASLVFGSRKSFLSIKLGASSPSASGSTEEHRSKRPRTTTSAASEAYLERLHHGRLYDAVSENPHRVILMEDVEQADHCWQVGIKEAIDRGVLRSQTGEEVGVGDAIIILSCESFDARSRAGSPLTKRMKVEKEEEDKDHHTSDHDHKEIQAGASSCFDLNLDMGIDQPEGLNSCDVCLLTAVDRALLFRRQEDK >OB04G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9448797:9450261:-1 gene:OB04G19350 transcript:OB04G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHRDWILRRGRGAPPPRGRPPPPPPRDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRNIDLSDPAISLNLQVTIASRNPNDRVGIYYKTLHVFTTYRDEPITVPVELPAIYQGHKDVSVWSPVMSGESVPVGQYVADAMKQDISAGYVLLHVKVDGRVKWKVGSWVSGGYHLFVTCPALLAASGGNVGGAFAMSATAGGVGAGNATVSLKFAQAADCTVDV >OB04G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9455931:9459945:1 gene:OB04G19360 transcript:OB04G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESPPPISTGGGADGGGAFAFISKGWREVRDTASADLRQMRARADRELEHLLTSASALAGPPLPPVAAGAPIAEVEFVRKRIQPKIMELRRQYSSMVHEGGWPPKAGASLRVDLSGITAIRNAIVAEGRLVRWKGHTGDERRKEWEVVSMIRSGLKEFERRSLSSDVLGGFRGRGEFVEKFKLSLKSLNKESHESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIAETLYSKRNGRLMYYSHSADRSLIGNESMIDELDLRIARVLESTGHHTEESFWKDPAKYKSSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNMTFSSPEEQENYIRNWLHERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGAFQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVICNVHGVNPKFLKVGEKVAADRECGQQTFSKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFDIDVYGNGEDSQAVHTAARKMNLRINFFKGRDHADCSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNRNGYYGQDGKRSKMRKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >OB04G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9461824:9464279:-1 gene:OB04G19370 transcript:OB04G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHSAAVEAAAAATEHQTNAGVVVGGGGWLAGCWARLRALLEMVGRWVAGFARKVAGIAADDPRRVVHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAIFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLTAGNLDKLAQFLEGMEIECFGENASAENLEGKTFLQAYKSILNSKATEDSLCNFAKWEPGHGKFSFRHPWSQYQKIGALSRQCASSMEAMASYVITLTKSQYPEANPELSLKVRTACGEMSSHSAQALRELSAAIRTMTVPSTTNNSMSAAIKAAKALRSEISEDKALLQVMHAAVTASLLSDLVTQVKKIAESVDNLARLACFKIPEKSQKDVVVVINIMS >OB04G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9476816:9478117:-1 gene:OB04G19380 transcript:OB04G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAVEVPSYFVCPISLEIMRDPVTLSTGITYDRESIERWVFTDGHGECPVTKQRLAPADREPTPNHTLRRLIQGWCAVHAVERFPTPRPPVDAARVTAIVEAAGPLRRGQQELMASLGELADIVAESDRNRRCVQGAPGAVEFLVSVVKEHVCVETTFKISQDEVCGVQDSPNESSPEEAALIILHSLKLTEESLKRVLEGSGGDDFLDTLACVLRRPSYLSRMQGIHLLRSAVGASGDRTRARAREMLKMHARVWRDSPCLASHLNASYPR >OB04G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9488717:9491159:1 gene:OB04G19390 transcript:OB04G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSPSSSALVMAVMQIRKHLHPRLFSPLPFDASCISWLNELSPILRAASAGAASPGSGGSGGNTSNGGASRPPSSYYADPFVPSPRHLLATPTVPSPATCAELFSNLPDL >OB04G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9494752:9498113:-1 gene:OB04G19400 transcript:OB04G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSESDRDDIFFDAFDDISSTREPSLSDDCSTSDEGLASRRFEYDIWANEPISVEERRQKFLKGMGFDEFVATKVDFSQYQGEITTAGSCSDLEEGSTRDISSLDSSVPENESLSDGSCCIRHLDNGQRYAVQNDGYGELTSVLKEVASHKVMSLLEFEGFPGISQSVQKLLRKLYSSSLEEKGRTLNGKKKGIKSLCKSFVKNRSFGGICKYDVNVKSCTTGTPSRTRVQYRKKKIVEFSAVQLGQEIQAHKGIIKVMKFSPSGWYLATGGEDCVVRIWQIMEVETSSKLFGDKPYDYEDKITVIKTKLGRGQNHALAVLPKKAFRISETPLHEFQGHTDDILDMAWSKSDHLLTSSKDKTVRLWKVGCDGCLALFKHKDYVTCVQFNPIDERYFISGSVDGKVRVWDAMDKRVVDWVDTRKIITALSYQPDGKGFIVGTTSGECRFYNQSGDNIQLDKELLMQGKKSAVHRVNSLQLCTSDSSRITITSTGSKIRVADGADIIQKFKGPWNLKALSSPSLTSDGRYLISAGLDSNVYIWNFDVTSIAEQKGEAKSVRSCEKFFSKDVTTAVPWPGVHQERRAKMTPSLTEEPVSSPILHRQGERRSPSARCFTDSMKGIPTWPAEKLPSAKTADASRLSDCLSTISPAWNTVIVTASRDGVIRSYHNYGLPVRL >OB04G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9510277:9511998:1 gene:OB04G19410 transcript:OB04G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRHRVAVVPLPPLLAGAPLLPMLRRAQEGRRRLRGSRRRHAGAVLRLGGPARRHPRRRKRVGRLIGGVCGMDDRSIGPCDLLGDHLLHPYSELMQ >OB04G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9514911:9517278:1 gene:OB04G19420 transcript:OB04G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G47420) TAIR;Acc:AT1G47420] MENSISGNNGFSWNLRRLFSSNEKHLPAISDPEVESAFKDLMAASWTELPDSLVREAKKAVSKATDDQAGQEALKNVFRAAEACEEFGGVLVTLRMALDDLCGLTGENVGPLPGYIEDAVKSAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVELRA >OB04G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9523069:9523338:-1 gene:OB04G19430 transcript:OB04G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCYWQRRNCRKTKVLLQLCRSTLLPVLLLLLASSCHASRGMQTFKARPLERGASNHFLGFLPRGPVPPSGPSRQHQPLRLDSQLRTP >OB04G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9540444:9541685:1 gene:OB04G19440 transcript:OB04G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKESTTSMRLPPQHQGLEVKIPSFFRCPISLNVMRSPVSLCTGVTYDRASIQRWLDSGNNTCPATMLPLPSTDLVPNLTLRSLIAHWSASAAPASPPPAAGSVGSGAGPSPACLVRQVASSDADPSTALRALAAYLSDDDVDEFEKNTLVGAGGAAETVASVLRRKGEQQISVEGAEAAVRVLAATVALDGIEDANKKRVAAGLAADASASAASLARVLRGGSGLEARIDAARLVEFLLANAAGEAKEAIAESSELSGCSPAARQMALGLLKIYKVNAKSCLAGYDSKTTHIMPF >OB04G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9548118:9548486:1 gene:OB04G19450 transcript:OB04G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTALLVALVAMGLVLETPAAAGYGGGYTPTPTLLKHDPKAETTKPQHKPKXXXXXXXXXXXXXXXXAAGSGGGYTPTPTLLKHDPKAETTKPQHKPKPPPPHHDKPVTSHSHRPPASTPP >OB04G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9559602:9560768:1 gene:OB04G19460 transcript:OB04G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAALVMALVAMSVVLEATPADAGYGGGYTPTPKPAPKPEKPPHKPPHHHDKPPPKEHKPPSGHKPPAYTPPTYTPTPKPTPTPPTYTPTPPTYTPTPKPTPPTYTPTPKPTPPTYTPTPPTTYPKPTPPTYKPTPPTYPKPTPPTYKPSPPTYPKPTPPTYKPSPPTYPKPTPPAYQPQPKPTPPTYPKPTPPAYQPHPKPTPTYPKPTPPTYPKPTPPTYPKPTPPAYPKPTPPTYQPHPKPTPTYPKPTPPTYPKPTPPTYQPHPKPTPSYPKPTPPAYPKPTPPAYPKPTPPTYQPHPKPTPSYPKPTPPTYPKPTPPAYPKPTPPAYQPHPKPNPPAYTPTPKPTPTPPTYTPAPPTYTPKPNPPYHKPPPTYTPGPPPPY >OB04G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9565457:9566288:1 gene:OB04G19470 transcript:OB04G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEDAAACRRCKEASVVGARDGNGDPRSEIRWVFTLLVYGYELNILHVGILMFLACVLGSSRAIKSIIVPDKAKDGWARG >OB04G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9570118:9570888:-1 gene:OB04G19480 transcript:OB04G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAEVLPISHLLIRWWISTEIRWRGRHCQTHRVRRRRRELPVATWRRCCFAMGSWRWILLWWRRSMRRVAGRRRGRQVVSRGRRRGVSRWRRRMGWRRVVSWGRWRRVSRRRSVVMSWGWRRRRVVVRRGLRRWGLMVLMVAAAAVVVAVVLLLRRVVGRRFSRRQLTVVMLPVPSSMLLHQWRLGVGSRSLRWCLDWREGIVRQGGGGAVRRERRRKSDEEGNAHVVLDV >OB04G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9570184:9570849:1 gene:OB04G19490 transcript:OB04G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNHMGIALLVALAAALSSHCPASSLPHYAFPPVEAPSEAPAPYAEAPLMEQHGAGHGKHHHRELSPAEPPSYNTTEQKHHGHHHRRRRHHEHHEAPPAQPPSHHHTPPPPPPTHHNTPPPAHPSPPPPAHYSPPPHPSPPPAHTSPPPPAHHLPPPPPSRYPPHTPPPPQKYPPPAPHGKAAPPPRGHRKFPPPSPHSVSLAMAPSPSYFSADPPSN >OB04G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9573905:9574417:1 gene:OB04G19500 transcript:OB04G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESGKACPTKRAESVKPGNGPTHQPSPPPPLPCCSPAMAAALPMVCLGGGGGGGGMDLGTRKLVLGRSRRSGTCTRRASRSRSPSRRRGLRGVRRCHGRRPRAVTCQFRIEILCFLSSSAASTSLGKRAPAPPSLRFLFPPYVCEIVMTRSLGNWCCQCLGSSPNASP >OB04G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9574301:9577786:1 gene:OB04G19510 transcript:OB04G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTYLCARIGYEMQVTSTPKWALSLYMLVFHDRNVQICGQMSTHGALRSRIAVDGPSSSKATSQPPIC >OB04G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9578706:9579314:1 gene:OB04G19520 transcript:OB04G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPSQPVSSATVVEGGSPGTNFDANIVMILAVLLCALICALGLNSIVRCALRCSSQMVVDHEPNRVTRLAQSGLRRKALRSMPILLYSAGLKLNAVSPMCAICLSDFEAGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSESEGSQAEPVPALPVLVPLRPEGLVTTYDF >OB04G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9581369:9581566:1 gene:OB04G19530 transcript:OB04G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSFVYKHAIYPFFLRKKKIKQSSLSGELEYDSAAATGTKSWATKCDEHTSHLSSLIDRFLHF >OB04G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9584980:9585390:1 gene:OB04G19540 transcript:OB04G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:J3LXS9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEASLGGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB04G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9589534:9589870:-1 gene:OB04G19550 transcript:OB04G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVASGRRVSVGWSKLCHSTSPPELRRTRFPLSRRTSTVVVLALMLAWLDQTVALGARFTTARLPSPENASAGEVGHSTGLSRLATHTGVSLGTLLNHTPKCHSFRASV >OB04G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9590134:9591030:1 gene:OB04G19560 transcript:OB04G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRTASFLDVPGQAKVLVWHHGRQDWVRVFTHPGDQYCEVHAACGSFAVCNDTTLLSCSCMNGFTTEELSSPGSWDPAEDSIGGCTRNTLLDCSSSSGGGNGTMGLIDKFYAMAGATLPFDPQQIGHAASAGECEEVCLSHCSCTAYSFCNGGCSVWHGELLNVNHHPSDGATSNGEALYIRLAAREKQFRARRNNGVTIGVFTIVASSVTLGILALIIILRVMRNKRRLVKSSHVWSGLIPFKYKELQRATRNFSERIGAGGFGAVFKGLLDESTTVAVKRLYGSYQEEKQFWG >OB04G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9597368:9597595:-1 gene:OB04G19570 transcript:OB04G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYQLEALWEDSLLVLKKPSANLPLLETSMSLPVSAFPAETVSVSVALQDAGKWRVKTKGTSTMMGRDMVKQNG >OB04G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9597387:9600564:1 gene:OB04G19580 transcript:OB04G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LXT3] MSLPIIVLVPLVFTLHFPASCKATETDTVSAGKALTGNDMLVSSNGKFALGFFKTSSESSHNASNWYLGIWFNQVSKHTPVWVANGDKPVTSATSPEATVYGDGNLVILDQATKSIIWSTQANITANTTMAKLSDDGNLVLQNTNSSLVFWQSFDYPTNTHLAGAKLGWNKVTGLNRRLVSRKNSVDPASGMYSYELIDNNGSARFILSAHSSISYWSSGEWNGHYFGSIPEMTGKRLIDFTFVSNDEEEYFTYTLLHNTTIMRFTLDISGQAKIFLWVEQAQDWVPTYTNPKQCDVYGICGAFTICEENKLPVCSCMKGFSIRSPNDWDLGDRTGGCMRNTPLDCGINKNTSVPDRFLPMPCVGLPNNGHNIEDATSSGVCAQVCLSNCTCTAYYYGNNGCFVWNDELINVKQLPCDDNTDGATIYLRLAAKEVQGIKSNRRPITIGVVIGASVASFALLTVFLILMIRRNKSWLSGHRVKKFHDGSGIIAFRYADLQHATNNFSDKLGAGGFGSVFKGFLTESNIIAVKRLDGARQGEKQFRAEVRSIGIIQHINLVKLIGFCCESDRRLLVYEHMPNLSLDTHLFHSNTTSLKWSTRYQIALGVARGLAYLHDSCRDCIIHCDIKPENILLDSSFVPKITDFGMAKFLEREFSQVLTTVRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGRRNSSKEFATRDDYEYFPVLVAHKLLNGDAGSLVDQNLHGDVHWEQVERAFRVACWCIQDNELDRPTMSEVVQYLEGFLEVGIPPVPRLLQAIAGNPYSE >OB04G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9603937:9606338:1 gene:OB04G19590 transcript:OB04G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LXT4] MPLAVTLLGLLLSLRISARCAAMDATITPGQALSGNDKLVSSNGKFALGFFLQTGSSKSSSHDTSTSWYLGIWYNRIPKLTPVWVANGDSPVRDPSNSELAISGDGGLVVVDRSNGSIVVWSAQTRTTMNDTVAVLRNSGNLVLRSSSDPSDVLWQSFDHPTDTFLPGAKLGWNKISGLNTHLVSRKNSADLAPGRYRVELDPSGANQYIFTASSSSTPYWSSGVWNGQYFPSIPEMAGPFVVNFTFVDNDQERYFTYNLLDETVVFHHFLDVSGRTKTFVWLEGSQDWVMTFAQPKVQCDVSAVCGPFTICDDNELRFCKCMEGFSVKSPQDWELDDRTDGCVRDTPLDCASSRSTSGLTDKFRSIACVRLPQNGYSIEAATSVDRCALTCSSNCSCTAYSYGNGGCSVWYDELLNVKQQQCDGMADSNGRNLYIRFAAREEQSRKKNTRGSLCFVALFMLATALVIWWNKRKRYSCTSNNVEGESGIVAFRFIDLHHATKNFSEKLGEGGFGSVFKGFLHGSRIIAVKRLAGAHQGEKQFRAEVSSIGLIQHINLVKLIGFCCDNDSKLLVYEHMPNRSLDLHLFSTDKILNWDTRYQIALGVARGLSYLHESCRDCIIHCDVKPQNILLTESFAPKIADFGMAKFLGRDFSRVLTTMRGTVGYLAPEWISGVPVTPKVDVYSYGMVLLEIVSGRRNSSGGYSTSSDEDVYFPVEVTHKLLDGGVESLVDLNLHGNANLKEVERVCKVACWCIQDNESDRPSMGEVVQILEGLFEPDTPPMPRLLQAIAGSSCSTSR >OB04G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9611192:9613618:1 gene:OB04G19600 transcript:OB04G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LXT5] MCFLMPLLHHVLLLGLLLLLSLYTPASSTIADALSPGHALVGGDRLVSNNRKFALGFFKPSSDTNHNSYLGIWFNKVPKLTPLWTANGDNPVVDPTSPELAISGDGNLVILDHATKSIVWSTHANVTTNDTVAVLLNSGNLVLKSSSNSSEIFWQSFDYPTDTLFAGAKIGWDKVTSLNRRLVSRKNSIDQAPGIYSLELRLSGDGYLLWNSTVPYRSSGDWNGRYFGLAPEMIGVVMPNFTFVHSDKEAYFTYTLHDDTAIVHTGIDVFGRGFAGMWLEGSQDWLIHYRQPVLYCDVYAICGPFTICDDSKDPFCDCMKGFHIRSPKDWELDDRTGGCTRNTLLSCDNSKGMTGLTDKFYPVKSVRLPHNAENVQVATSEDECSQVCLNKCSCIAYSYGKGGCFVWHDELYNVKQLSDDSSGGNGEVLYIRLAAKELESSDTKKSGKLIGVAIGASTGGALFLMILLLIALRIKEKWFTYTLQRHEGGIGIKAFRYTDLQRATRNFSEKLGGGSFGSVFKGYLSDSTIAVKRLDGARQGEKQFRAEVNSVGIIQHINLVKLIGLCCEGDNRLLVYEYMPNNSLDVCLLEGNGIVLDWTIRYQIAIGIARGLAYLHNSCRDCIIHCDIKPENILLDASYVSKIADFGMAKILEREFSHAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIILGRRNSSHQNFRDSDCSFFFPLHAARNLLNGDIESLVDANLKGGINLVEVERACKIACWCIQDHEFDRPTMGEVVQYLEGLLELDMPPLPRLLNAVTGGSHLATPQYFESL >OB04G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9617297:9619720:1 gene:OB04G19610 transcript:OB04G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LXT6] MPLLHHVLLGFIFLLSLHTPVSSAATDTVSPAYALAGNNKLVSNNKKFALGFFKPGNESSNTNHNTYLGIWFNKVTKLTPLWTANGENPVVDPASPELTISGDGNLAIFDHATKSIIWSTRANITANDTIAVLLNNGNLVLRSSSNSSNIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRLVSRKNSIDQAPGIYSLELGLNGDGHLLWNSTITYWSSGDWNGRYFGLAPEMIGAVMPNFTFVHNDQEAYFTYTLYDDTAIVHTGLDVFGRGLVGIWLEGKQDWLMNYRQPVVHCDVHAICGPFTICDDNKDPFCDCMKGFSVRSQEDWELDDRTGGCIRNTPLSCDSSKDRTGLTDKFYPVQSIGLPHNAEIVRAATSGDECSQVCLSNCSCTAYSYGKGGCSIWHDDLYNVKQLSDTSDGNEGVLYIRLAAKELQSSERKRSAKIIRVAIGASIGALFLMILLLIVWVRKRKWFAPTQEQPECGIGIVAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMQNRSLDVCLFEANNIVLDWTIRYQIAMGVAKGLAYLHNSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYMAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHEYFRDGDYSFFFPMQVARKLLYGDIGSIVDANLKGDVNLMEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFDSKSAC >OB04G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9621299:9625157:-1 gene:OB04G19620 transcript:OB04G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEFESEHEAYEFYRYYGWKVGFNVRKEYANKSKKTGEITSRKFACSREGYRANVKRGNQMVPMPDSRTGCNAHLVIRRKKPGARLEVYAFQPRHNHPLFSTSCMPNPLQPNIVHWTTLPDAVTPPDLLMNGGELGGQSSSEENGNASAREARRQPLRTRRQWEMKYGEAGALLNYFQEQSLANPSFYHAVQLDVEDKVANVFWADQRMITDYSQFGDVIAFDVVSRNSISLRHFCSFVGCNNFGEPIVFGLALMYDETAESFHWLFETFLHTMSGQAPKTCFSHQDMVIANTVSLVMPETIHVICAWHLKHAAARNINQLKGDSDFMKEFKACVNLYEEETEFLTSWDTMINKHNLCDNVWLQKVFEEKEKWARPYMKGVFSAGMKGTRLNDCLQSDVRDHLRPEVNILILLGHVEAVINDRRHKELEVEYSSRLKLPYFKIKAPILIQAFEAYTNMIFPLFQEEYEEFQSAYIVNRDESGPCREYVVSVVEKDKQYTVYGNPTEQTVSCSCKKFERNGYLCSHALKILDAMDIKYLPDRYIMKRWTKYARCLTFGDSQGQAIQADKLLESSSRYQHICPKYVRLVARASECEESYRVLDQCWVDLSNKVEEILQKQTSVDATLSQTDVQSLKISLPSITNDTEAENIMDKSSGTTAKESKKKGQKNKVQSRNCIEKGLRKKQKVHSEQPAVQYTLLDGSQSGNMFQAFEGPPNMSPLGSQTPTYKTYRGIDLSSPMGPISYDEMPSGLDPTFTPHLGFATYHTSQVSSSSQHNQVL >OB04G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9626868:9634131:-1 gene:OB04G19630 transcript:OB04G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin interaction motif-containing protein [Source:Projected from Arabidopsis thaliana (AT1G43690) TAIR;Acc:AT1G43690] MEEAKEVEVEVEVEAAAGAGGGGGGGGADKLWVMVFGSKLQKEVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLCNPEVSDPFYALGQRRFYQSSFAARDDFSSLTDDRKTRALVHAMLEILFLCGTRKRAVVASIGDVNRVDTAAFLEGLSVDSAIDLQKVLRISTFTSRKDAFNNLLANISLFESRLGAMLFLISALLSRGLESIQADRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDFGGGMFLKGIPNDVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYSVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANAGGSTPIQRPRLCKLTVSVPPRWTQDEYLADVVSASTSGSKDDGVLSLAPPVQTSQHAPLADCIRTRWPRAVCSWIGDVPSIV >OB04G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9640408:9651873:1 gene:OB04G19640 transcript:OB04G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTTTSPGAGSASTRPRVRELREARAAPTVDQKDAATAAQKALTSIQTLPRGVEVLDPLGLGVMDNKSLRLITDASVSSPVSREKAQGLDPNMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQRISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTIDHEARMEVLQNKIREKMLSDSKWRQLQQDSNKSLEVDSATGDSFQDDQLSANIMADEADNLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQCTFRDFEECNILRPFMGDTIKEIAKACHTLEGKDSSPTAVKMLRTLHFEMTKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDITISAMDRIDFMILNLRNETAKSYDISQQLLEIQESVRLAFLNSFLDFASYLERFGGELAQGRSNKENNHTQNGYANGIDRETYASMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSLVRDNDERSADMRDLMTSFSAIEEKVLEQYTFAKSNLIRNAATNYLLDSGIHWGAAPVVKGIRDAALDLLHILVAVHAEVYSGARPLLEKAMKILVEGLIDIFLSIFHENKIKGLRLLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVAEALENPGHHRRPTRGSEDAASDDRQSISPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYHSYQAPAAHQPVQVSSPSFRRQQTSTNSPVISRRRR >OB04G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9653033:9653275:-1 gene:OB04G19650 transcript:OB04G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPYFSTVIILLNHEYFFPYITLLHFSAINYFTYSQCMHYVFCLHVYFSHFLKTYLCLCSFKPVKLVIKDKVFINHLTK >OB04G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9654463:9654948:1 gene:OB04G19660 transcript:OB04G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKTQVYSDLNKPSLQTQTMSPLCSSPLDASSTSNLVHTLVDHITNPMPILATGKEEEERARQVDVRMESGNGGNKDTSFGERLAPDLARVDAELLEERWLVVEGGAGARATGGRRGTRWQPGGAWPRGRRSVAAAGVRGREVVRAWKERWAVSSREVA >OB04G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9656348:9659083:1 gene:OB04G19670 transcript:OB04G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKRSEIHALVELLEARNPTPEPTDKLHDKVDGCWRLIYSTISILGKRRTKLGLRDFISLGDFFQMIDVKEEKAINVIKFSARALKILSGQLTIEASYRITSKSRVDITLESSTITPDQLMNIFQKNYDTLLAIFNPEGWLEITYVDDSLRIGRDDKANIFVLERADPSEV >OB04G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9661098:9661647:-1 gene:OB04G19680 transcript:OB04G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACGRGGADKACRFVHLGLLLDVVLALRRGVQPAAASQREWPVGDSSGWTFGVVGWPNYKPFKSGDVLVFTYDPSSHNVVAVSDVDYALCKVPANATTYSSGDDRVTLSRGVAFFICGFPGHCDKGMKIAVTAR >OB04G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9663351:9664007:1 gene:OB04G19690 transcript:OB04G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVDADPAALVCGIAVKRTASGGDGDPHGEQSKRAAATSQVGQDAGQQVQKAVFSDEGKQPGAEDDHGDGSAPALRAAKKMKRDEGLPVDIINKELRDNDCLAIIPLAGAGGGDVPPSLRLRRRRLLEIKKRGVEIEEQRLALERRRLRWVEACGKGDTELEKMRLENGRLRVENERLWMRLFRRGELELGAGVKSEREQGRRDGAAEMERETKPLA >OB04G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9667104:9672154:1 gene:OB04G19700 transcript:OB04G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3LXU5] MGSAAEAARPVAAMVALQFLFSVLQIVIKLALNDGMDARVLVAYRFMFAATFLCPIAFVVERKKRPPLTMKVVLQLFLCGLFGFSINQNLYVFAIKLTSATFVTAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLIGMGGAMLLTFYKGPAIMLGLPRLKLAHITENHQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMAVCVHRDLEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALLSSVVLEEALHLGSVLGSVLIVGGLYLVLWGKAKEAAGLSQDENLGKESIPVTATGESEIQ >OB04G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9672417:9673437:-1 gene:OB04G19710 transcript:OB04G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLLCCSLAVVLQPSRALFDLRGASVSLQLRAAAGGGGGYGEEKVPMTVVVPDYSPRPAPFGRGDGHPASSQDGAAARPSSRHDAVLLGSLIVRSPNHAFVKTVNPMHFIRLVFHGIPIWFLKC >OB04G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9677985:9679535:1 gene:OB04G19720 transcript:OB04G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPPGTIRFSSRLTSISTEPAADGSSPELAVVRLDDGSVIRSKVLIGCDGVNSVVARWLGLSEPASSGRSCVRGLAVYPGGHSVKKELRQFLSHGLRAGMVPISDTDIYWFVVNNTIPAGKPPVKLTKKEAGGDPEMILREVTDNLGRHLPEEFLDVVRHSDRDNLTWAPLLYRAPWAILTGRAARGPVTVAGDAFHPMTPDMAQGGFIFPLLADTMWFNCGDLTAPAPPTPRDAEEEAGKKSHAHYQ >OB04G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9681077:9682423:1 gene:OB04G19730 transcript:OB04G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTPVLNVEEEYISTLHTRSNARFFAGKQQSIGRESMEARFDPQQQDVVPADVQRMIHRRTSSEIELAMAGYFDASDEASEICRQLLVNIKNAQSNYLSMDSFLASISDSVAVAAAAYAGADATTSRLALKPFAARSNPFSAATRSNFRRIHDRYSSILHAIKSSHGKVARKLRLARAVKKPPRRARGGGAVPHGAEAEGDVGPGRDLDTVSHLVARLSDGIERENAMAWCCAERVADGGGGGRFPVQEMVNELRRSCSSSRKLAEELEEHVCLCLATIHRARVLVIREISKQA >OB04G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9684873:9685203:-1 gene:OB04G19740 transcript:OB04G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPIRRCSHPSPRRRPPLAHRRPSGHGNCPIDVLELRVCANMLNGALGVNAGHGPYDCCPLLSGITDVDAVVCLCTAIKANVLSVNLDIPVDLSLILNKCGKTCPSDFTC >OB04G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9685233:9685688:1 gene:OB04G19750 transcript:OB04G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLITTATELFLGSLPAGAHRAASWHGRRAVRAVHVRAAVCEHRPTVDFLDCLAVAEDAPPARARQSHRGRWRRGKDGTPSPPAVAADSAPVRHRPHPSPVVARYSPTAVRPCPRRSWSTAVDPSLPATARSPPQDREERGEEEREGMIK >OB04G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9695117:9699811:1 gene:OB04G19760 transcript:OB04G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYFDASDEASEICRRLLVNIKNAQSNYLLLSDMHRAPPPPCRCRCRRDDFTQAVRRQKQPVQRCHAKQLPAHPRQALVDPPRHQVEPREGGEEAEARQSGQAVQDRVAAAAAGAARHGGQGHVRAGQGPRHGEPPGGAALRRHRAGERHGEVLRGEVPGAGDERHGEVLRGEVPGAGDGEPAAEELLELEEAGRGAGGARVPVPRHHP >OB04G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9704900:9705193:1 gene:OB04G19770 transcript:OB04G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRTGSLLRLQEQLDTAAKGTYVLGRDLDTVSHLVARLSDGIERENAMARCCAERFPVQEMVNQLRRSCSSSRKLVEELEEHVCLCLATIHRARVLVI >OB04G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9708629:9710423:-1 gene:OB04G19780 transcript:OB04G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRSGYGRSGAGDDYEYDRSGNEEYGRNKSGTDDYDRDRRGGDDKPVGDDEYGSGGGGYRKPSAEADDEYDGSSRDDSEKYRKEEKDHKKKERFGEVGALAAGAFAMYERQQVKKDPENAQRHKIEEGVAAAVALGSGGFAFHERHDRKESKQAAKDAEEEQEEEESGRGEGKKKHHFFG >OB04G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9709307:9709525:1 gene:OB04G19790 transcript:OB04G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPAARAPTSPKRSFFLWSFSSFLYFSESSRDDPSYSSSASALGFLYPPPPLPYSSSPTGLSSPPLRSRS >OB04G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9714072:9714737:-1 gene:OB04G19800 transcript:OB04G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAEVATVNLAPMSTAVAVATSPTLMTTVAVAATRSPVPATMAAATRTLAPVTMVVVATNTRRQAAMMMATAEAATSRTLAITTVVRTLLTLMNMVAAATATNQAQKTTAPAATTTRPGPVAMATTATANPPPMAMVAAAAATTNQARTAPAGAEGAEHRKLRHRRLRRQGRGRWLWRRPLQQIHHRWLWWRRRRLQQIKHGRHRRRRRQRWLQQVEHR >OB04G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9715641:9715883:-1 gene:OB04G19810 transcript:OB04G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISLIFFRYHYLHDNVCMCCIRTSIHFEIRASWLGCVEILLVRRVCFFYHGLRFCTKLLQCINGCSLLKKKISCIVQMS >OB04G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9717669:9725457:-1 gene:OB04G19820 transcript:OB04G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAFLCEAISVRFVSAVMGLQWHSWISLSASSFEFDLIVEGFFYGVIWVFTFKNNNTFSILTTLIHYHLQYVPCFKSAAPLPDTGQWLLLALNEKLPQSVVDLLRAHIITLHHYLMLFIMLGFSVLFDCIKAPGLGIATRYMFTMAIGRLLRTVTFVATILPSARPWCTAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTHGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTKFIWSAREASRARRLAKLDEVQNRLIHAAKDSDIDEIRGLLKEVELAGQENQGVSQRAILAFAAATIIFTLTCVVLALTLTSDGKRLNSVEFSIFLFDLTEHEGKAAQKRALGCAVLSRRKPPPRQATGWRGAPPWSGTGEDPRCRRLRNEVSQNMAMGMRASKSVDMSEDLSQAIAPYATALHDALLHSHCSSCFRNIPTQPPYAMSCMTCGYVRYCCSDCCISDCEVHSSSGECCFFANHLREASPSYLTEETSNIRAALRLLYSLEMRDLVSFDSVSSPNRIGGLSASGIGQVMEEGGEIAEMIMEGSLLMLSARKLRMQTTLGFSNGLTIEKVALWAVMTDSVEVQISEGRALGIAVYGPRFSWFNHSCCPNASYRFVLASRNEDCTSDKPESCVVPICKGAAPDVWHAWQSEEDDSAHAQCRYGPQVVVRCTKSINKGDEVFITYIDLLQTREARLSDLWSKYKFICSCERCTAVPKPYGDLILSCDARNLNTSDDAVTDPAIKDLDNILQQAISECSFLDDPKACCDVIESMLSENLMSNLQQEEQSPRKYILHPLHHISISSFMVLASAYRCSALKSSTDSLHGENCDVVFRMTKAAAAYSLVLAGATHHLFLSECSFMTLLSHFLLSTGQSMLDFAECIKRETRKNISQTIFSFASTNLAKHDTVQYDQFRSTCEKFSKHMFSLSLGCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSANLSCKHGSATLIKEQKECILSLAVCCITYSKYLADICYGPQHYLADRAKYLLECINIVQ >OB04G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9729962:9730129:1 gene:OB04G19830 transcript:OB04G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVSKHACSHARRIELERSLPAPSNPLNLALSCRDLTSPIILFFAFASYKMTITLP >OB04G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9730215:9731252:1 gene:OB04G19840 transcript:OB04G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LXV9] MAATMRWGRGALVVAAFAAVVAVSGLGVAANYGAPGGGGFLFPQFYQHTCPQMEAVVGAIVAKAHAEDPRMAASLLRMHFHDCFVQGCDASVLLDADGSGRVATEKRSNPNRDSLRGYEVIDEIKAALEHACPRTVSCADIVAVAARDSTVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLATIVGKFRNQGLDLVDLVALSGSHTIGNSRCVSFRQRLYSQNNDGKPDFTLNPAYAAELRGRCPRSGGDQNLFALDPVSQFRFDNQYYRNILAMSGLLNSDEVLLTQSHETMELVHRYAASNELFFQQFAKSMVKMGNISPLTGHNGEIRMNCRRVNHF >OB04G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9733331:9739838:1 gene:OB04G19850 transcript:OB04G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15570) TAIR;Acc:AT4G15570] MAVDKAGGGASSSSGVATSAMDRFHKIVLSWDYVRLAADSKGMEQAKGLRRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFRDMVSENDLLLLSKEKFEEGATPSAYAFALVEQRGGRDMISLRTFMAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSAMHSVASLPFKDLILSASEKNRDGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVKKHGQELDIEGKHAHWMKASPWLLGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVEPATLVPLIHGCKQVFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGALEDGEGLGKKRPWHSYSCFGPFCFFDVDGIESQPSGSGSWVNEDEVEFITLLYHQMAMHYPELKSSSQVAVISPYRYQVKLLKDHFRSTFGDQSKEVIDINTVDGFQGREKEVVIFSCVRCNKEHKIGFVSDFRRMNVAITRARSAVLVVGSASTLREDKHWNNLVESAKERGRYFQVPKPFTAFFVEDKLKTMKVERAPPEVRNVQALEAINEAVVRQELMDVDDAGDQEDEGYDDDPLDVDDGGGDDYPFYCHRGI >OB04G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9749273:9757420:1 gene:OB04G19860 transcript:OB04G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWTECFPNSYKELSSNENGEIKSSITEAVPSSTSCFGSPAVNRMCSLSAKKKDVNVYKRMKMDKESTSVTANGEFKEMMAQNCTSSEDHSSLLLPVSLDAMISNSTPPILEHDEPAGVSLVPNSAVNDRSSVSSMLPHFMMLDKKDATECSSSNIGPTEPTTGFTSARDLCIAILREDGLITESRTKITIQEFTDYDANLLFPCNTCGESDHPLKMLICDSCEAAFHLSCCIPRVREVPTDEWYCPPCFRKKPKGLYGKLSEGKVRPSGNINQRPHGMSHIEFMLKDTEPYVTGVRIGGDFQAEVPEWSCPTSSGDVYFEEPSEFCPADLTKLNWSKTNIQNRPSIGNWIQCREILSGGDSDKPTVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELDTNEVLRQLKYVNLLKNRLAYRNHKPKLQG >OB04G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9825664:9828079:1 gene:OB04G19870 transcript:OB04G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAYRSICADVHEASSLLWGIGCIRNPKSTQVSLTMLESLARDIGTTLQEQVKSLETTLSQILTVALGIGMGDTGNLVETNDEDISHVVWDRCPKPTFKPEIIPLSHLVIEGAIWIKQRSKSLDWKIHFGEKNLKSTLNASTLLATQQYLHQIWLWDNQMRMAKQRRRGQASW >OB04G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9835893:9839261:-1 gene:OB04G19880 transcript:OB04G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 [Source:Projected from Arabidopsis thaliana (AT4G14110) TAIR;Acc:AT4G14110] MDLSAVHAALSDGSYAAVALLCDDLFLEAASRGAATDDWPYAVHLLAHLYLSDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYTAAQGFEWGPQIADFVSAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDASAKMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >OB04G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9839390:9839575:1 gene:OB04G19890 transcript:OB04G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAAPNLEKFTESGKKRKKKERRVSLAHLTCPAQYGPCYIFGSNLIRSYCLRLKLIAFCRFR >OB04G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9840951:9843944:-1 gene:OB04G19900 transcript:OB04G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGYQPPIPADQVRPPTDFDQEAEAVPSGRGRGRGRRGRGRGRGFSNEEYDDEHGESEAPQGYRGRGRGRGRRGSFGPGRGYAMEEAGGYEDGEPNAPPAQGHEGGRGRGRGRGRGRGRGGGRGRGPPPPQE >OB04G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9855904:9860313:1 gene:OB04G19910 transcript:OB04G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MEEESMEPPLYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDGCLNVLTSTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLADDNIMAGQFVIEYCGEVISWKEAKRRSQAYETQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGASSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILLIKGEPNTQGSNSNTVLSTENLTVASSNEFTPMIVEPSIASSNEFTPMIVEPLAASSNEFTPMTIEPLNAIPMGVDFTQNGSTEYGAQYSEDAPQNSTHEIANLQNQSAPQDNHHTKLVVVKRRPALRGGKAKRGMPKQLNVADICDRLASDVAREEILFCEEMKKQAAAEIDGLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDVPPKEQNGVMYLENGP >OB04G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9861683:9865224:1 gene:OB04G19920 transcript:OB04G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFERGNLLVSLGEGWYHYSLAAAAAGLAIGVAGLCKAVQSGLVMPWVSQKRLSPDSERLYYTGGLKNLGNNCFLNVVLQALASCDGFVSSLDNLLESSDVLPEERSEGMPLIFALCSLIKDLSIVRDGRTELSPHKVMDALSFYVSHFNLTRQQDASEAFHHLLTSLRDEFSHCYVPYRSSLADITLFHSKVYKQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFCCLPLSPVADINGDIINGCSLVDCLEHFTMLEHLDNYRCDRCWHNVAAKYLSCKSEVDEEKISKLRTCVNYDTCSCRHIFSPEEMPCSISSQATKQLAITHFPKILCIHLLRASVGLNGELVKRGGHIFFPLLLDLSPFAGGALTPGQGPRPSAMNMQRHGQHALHLWRQLNLEMPVNMLSSATDGDSSSHPPEDESINRPGHSYYVGNRDTDSRFLPSSSLTDKLYGLKSVVEHYGICGGGHYAAYRSVKPNSYSNESVQSLASSSKQWLYVSDDHVSHVSEDEVLAAEATLLFYERL >OB04G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9872091:9874678:1 gene:OB04G19930 transcript:OB04G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGTDLSQETHDHTFIMVSKTPLGLTKKDHSKSNKFDENNTSSNPTISVVSGDDIKGRRQDLFITNKKGEGDGGGGITIGVSEPKCKSSSDEGDCELMGVVTGTSEGCIGGNGNNEVKSSADVNHMMHAWTERGRRKEMNNKFDLLHALVPNLSEKVSLLATVGTWNLHLDLYLDPSCGVSVIERFLLTQTKADKATIVEATINYIKNLQNEVYKLETLKMQQRKRMSKTRTLRRTPRRRPRPPAAANADGEGSATPVPAPPVPEEEEAVAPTRETALADMVHVWEEEEVAGGGARHGWRGSPAPLQTWTGSNMTVSLAGDDAFITLSLPRGLSVVTGAVWILERHHIDVRTATLSTPDQDSSLLSLHCHLSPACSSSQNLTPVDKYKLAVSELMLWIAS >OB04G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9877356:9883151:1 gene:OB04G19940 transcript:OB04G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEGANLLPREADESPGHADAFTAGVSPVRSIKTEKSNSSSSSGDPGEINSGLEVASPPVIGVSTRIKGAGKNSAAVKREEGGGCAGVRNGVGRRGSNGKGKSALDMEHALHIWTERERRKKMKNMFSTLHALLPKIPGKADKATIVGEAIGYIRTLEDVVLKLEGLKMERMRAQHWASSAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPGAGAADVVGAEHHADHGRRGRVHQHVPPAAEGVLHHGGVRAGEAPDRRGDVHHVRRPGQELVQRPCPSERSLSSKPRGSDA >OB04G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9883569:9890131:-1 gene:OB04G19950 transcript:OB04G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSTRGGGGGGGKKTRRMPQERVALGLGRRAAWRVAGVAVLLLLLALLARRAGGGGGGAVWRVAFVCEAWFTVLCLLNLNAKWSPVRFVTYPDNLDDRMDELPAVDMLVTTADPVLEPPLVTVNTVLSLLALDYPAAGERLACYVSDDGCSPATCYALREAASFATAWVPFCRRYGVAVRAPFLYFSSTPPELGPLDHKFLEDWTLMKDEYEKLARRIKETDERSLLLQHGGGEFAEFLNVERTNHPTIIKVLWDNSKSRIGEGFPHLVYVSREKRPMHRHHYKAGAMNVLMRVSAVMTNAPIVLNTDCDMFANNPKALLHAMCLLLGFHDEINSGFVQVPQRFYDALKDDPFGNHLECFFKRLVRGIQGLQGPFYAGTGCFHRRKAIYGVPPNCNNSSGSSCHKEVQTKFGNSEELKESTKPIISGDLSSTKPMADVSGRVDAAKEVSACNYEVGTCWGQEVGWVYGSLTEDILTGQRIHTKGWRSTLMETEPPAFMGSAPVGGPASLTQFKRWATGLFEIIVSRNNPVFSAMFERLQLRQCLGYLIVYGWPVRAPFELCYGLLGPYCLLTNQSFLPKASEDGFSIPFALFLSYNAYSFMEYKACGLSARAWWNNHRMQRIVSVSAWLLAVLTVLLKTLGLSETVFEVTSKEDKAGTPSNGDDGGGIDGATRPGRFTFDSSPVFIPVTALAMLNVVAIGVGAWRVAFRGADDPAVPVPGGAPGVGEFVCCGWLVLCFSPFVRGLVGKGSHGIPWSVQLKASLVVSMFLVFCRRN >OB04G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9897167:9897607:-1 gene:OB04G19960 transcript:OB04G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVRMAYTSDDTPMFTPVSAADTAPGYQPCGSAVTGSTTLAAGGYHAGSTIRRKQKQHYCYKTTLILGRAVRGAQVNRGGAEQEKANQAARALSFGDLSANAFGVELRQVSAKQFGAEISATSTAGLARSPRWQGSSTNTGDVFI >OB04G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9900128:9900433:-1 gene:OB04G19970 transcript:OB04G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGDGDDPLSARFSGYVSNRTGFHLAFMLSSHTNANHASQASATRHAAPPPSSPATRRARSATKRKRTTKSASSAVRRSGTLSCSFLLIPPPTTAAAIIA >OB04G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9900135:9905418:1 gene:OB04G19980 transcript:OB04G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVGGGMRRKLQERVPLRRTALLADFVVLFLFVALLARRVAGLDGGGAAWRVALACEAWFAFVWLLNMNAKWNPVRFDTYPENLAERGSIDELLAVDMFVTTADPVLEPPMVTVNTVLSLLAVDYPAADEKLACYVHDDACSPLTCYALREAASFARLWVPFCRRHGVAVRAPFRYFSSTPELGTAGGNEFLDDWAFIKREYEKLVRRVEDADESTLLRQGDGEFFAEFMDVERTNHPAIVKILWDNSKSRAGERFPHLIYVSREKSPSHHHYYKAGAMNVLARVSAVMTNAPITLNVDCDMFANNPQVVLHAMCVLLGFDDEIYSGFVQAPRKLYGTLKDDPFGTQQEVIYKTLGSGMAGIWCTFYNGSGCFHRRKAIYGATPNSSIGARREGTTDSLPPKEFENKFGSSEELKESITSILSGNLPVEPIVDISCRVEAAKEVATCKYESGTRWGREVGWVYGSMTEDILTGQRIHAAGWRSVLLETEPPAFMCAAPIGGPACLTQFKRWATGLFEIIISRNNPILMTVFRRLRLRQCLSYLSIYAWPVRGFIELCYALLPPYCILTNQSFLPKAPQDVFGIPLALFLTYNTYSYMEYKKCGLSVHAWWNNHKMQRITSASAWLLGFLTVLLKTVGLSEAVFEVTPKEKITSDGNGGGNDDDDDDKVDLDLGRFTFDASPVFIPVTALAMLNILAVAAGAWRACFGTAQDDLPGVSEFMACGWMLLCFLPFVRGLVGKGRYGIPWSVKLKASLLVAMFVLLCRGK >OB04G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9906352:9907378:1 gene:OB04G19990 transcript:OB04G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGAGLGGASSQPQRSLCGVGNAARGRPEVLMPVPEEDAGVDLLKNDAVLRLAAMVKAGAGSLLRPFMYGGVAVAVEPDEVSSSAIGGGGCSKVAEDAEASVLLVSRRHVEAVLMISVGDTKTTASATTTARAMTMSRASNRMTSRASKSAKTTAAKLATTEVAVDVAKGTESPSILCNKTEEQD >OB04G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9907789:9908007:-1 gene:OB04G20000 transcript:OB04G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQGYACLPPAYAHRPSVGRRVEGGDPLPSLPGGVFPGEDSTHEPNKNRRCMNAVHPPTHSQEIPPSHNSH >OB04G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9913888:9916881:-1 gene:OB04G20010 transcript:OB04G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIAVELNLDASVIAIIDKADEEDDFDGVDQGSRGEIMRVAEEIDRAFKDHRFMVVFHNGSGDYIDLNSFGFPSFTIFRGYFMLWTFRRRFQGSEGYPEMENKVQNTHFFAYETRYDILGKRILFPVLQNEAVAIAAKYPCMREINPEKIVDCCVYGLFLYLCLPEHLENEWAARASAYWMCDGIIQGDQAWEISSALSKEIKWDLCPSVLEVRGILIESSSENSTCCKRLNGTYFDENGISYPPMAITTSNSKVPLTPHDIQTVSADMSSYFLAPDEISNDALLVLADGLFDQSTNLRVLQLSHCSFSFASPPFIACQNLRFLGLHHCKDNETTKQIDPRKWQFLHGLWVLDLIYTGWYQVFSKEIAHLIINLRELNIVGPECWKYIPALHEQLPDVQMLRIVKSMDQPNASAYIDNYLMDKTKLELLDMSGTRNMARLPTNLSKARSLQVLILDGCDGLGNIVSDGLPHSLTSLSFDGYGPATNWTSTVELPTRDLRPSSVNIKKHIKTSTISLKGCKGLGSLFIRELPNLVELDLSGTAIKILDFTTMVLEVSSLKRLFLIGCEKLRSIKWGNSGSKIEPDLELLCIDTRPGIERPRPSFDKSNNNFKLQIHAVIEDARLARSLCDPIYSYHEGWYSDVSLSIHTSTLYDGFVQDEGACKDKIGQINDQVNLQQQQPFSVGQYHDVLSKVGDAPMQSFPHPPTTSLSRHIEIARGSHNLESELDGNWQFHRNLAYLMGRVSESLHVHDVLTTASMPGGDWYWDYLRWCRMERCPKMETIFPTDAVGFFRLETIWMADLPMARCIWSIGVRLFSNGSFEKLRHMHLRDCPRLKFVLPVWVPSFPRLCTHLCNIFVLDGHYPWRITVDGVAFPRLSTIHLHDLPMLQQICDVGFKMVAPALETIKIRGCWSLRRLPAVGEDGPKPAIEIEEDVWSALEWDGVEAGHHPSLFQAPLHSRYYKKKLPRGSAIRLIRAPSLFACLLILLVKHSFSAS >OB04G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9930761:9931039:1 gene:OB04G20020 transcript:OB04G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGIVLLPSFVLSLPQIQINELIPLPCIFFSNQRLVEEMGRLLASLGKLVASQPHQLVHGSARQLQRTTEPNAPMDLFCDELATAFSLAWN >OB04G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9939752:9947550:1 gene:OB04G20030 transcript:OB04G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGATSAGANGSAAAAHRVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEHDISFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKALTSKDGSRVCKDFISLVNEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTIEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINNRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGTSNKPRVELPSLDEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPVGELQ >OB04G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9953081:9953344:-1 gene:OB04G20040 transcript:OB04G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHVVCLNRYSYIFSVFLILYFTRVFLSKCYVFSFHAFCQSNILKWVIPTMFFMFFHPLDLMLLNMNMMPKFFTLPMLKPCAPKKS >OB04G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9955402:9958786:1 gene:OB04G20050 transcript:OB04G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLASRRRRRRRGVPVATPVLHLTTVAPNARHPKHPSKPPKDIQEVPSRGGAAAAPKAVPEVSHLGWGHWYTLKELEDATAMFADEKVIGEGGYGIVYHGVLEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTGKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPTEMNVEVAKLQVKQNREKCRQVNLVIVVGTIRQKKHRKIHTCHLKCVIIQHPRLNPQIGRYCTSRMK >OB04G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9961495:9964930:1 gene:OB04G20060 transcript:OB04G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >OB04G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9964571:9966956:-1 gene:OB04G20070 transcript:OB04G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPVVMRVLAVAAALLLCSPAGVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVNPVDPQTKARDLPFNRYAWLTTHNSFARLGTRSRTGTAITTPWNQQDTITDQLNNGVRGLMLDMYDFRNDIWLCHSFGGVCQNFTAFVPAVEVLGEIERFLARNPSEVVTVFVEDYVESPMGLTRVLNASGLTRYLFPAWRMPKSGGDWPRLSDMVRDNHRLLFFTSKPAKEASEGIPYEWRYVLENQYGTKGMIKGRCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLAMLTACHDLSADRWANFIAVDFYKRSDGGGAAEATDKANAGLICGCDSISACTGNGTCTPARHGGAPKGIFNTTSDATALRPPPARLQWQQLILVPSTLAALVLSL >OB04G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9972192:9976542:1 gene:OB04G20080 transcript:OB04G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSVATPLNSAHLMGSLRPPLYHQGGAVALHLAFQGYRCSSVSLGLDLAGREGAPPNGEAGLHMLFNLGQLWYCPLDLSWPNYELIASVCSDENGHSKCCRYINAVIAVSSAMYANTTGILGVPDELSDACIANISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTILQMLQSPNFSDVTRSCATLLPDDVSCKKCLNTGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTLDTASCFFSVQGLSALQVNISVPSPAGLIAPDIAPSPLGMQIPGEHVTGVLSKHRRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGGSTIFDRFSYRQIKKATRNFGIVLGGGEKGTIFKGKLSDGSVVAIRRIESSAKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRYDRFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDIANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSSGDSSTNSSTLVKVNIQATPGYMDPCYVVTQVLTAKSDVYSYGVLLLELVTGKAVVQDSRNLVEWSRELIGTDCRLHELVDPAVADAFDLDELQVMADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAAGEEACYYYYGSGRKGKEEAEVELRRGGGGDVLQLSGEARCLPSSSSTSRSYCSRSVLLQCNSPEAPQSPNPNGAPPP >OB04G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9976894:9977250:1 gene:OB04G20090 transcript:OB04G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALMKRWETSWPFNSCVHRYVIVLQLVGAAARAAAASTRGVARHLARAVVHRWRAAPTKRRRGAGRPDRSIPRARATVAVQPVHGKSPTRTDALGQLPWAEPVFFRRFQDKDYDSF >OB04G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9981343:9983309:1 gene:OB04G20100 transcript:OB04G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGNHSRESSSSRFSGAKGGAGSGSSRRGGVGGGGGGKGGAAGEAGRGGRRSPWMKEEAIEEEGLLMEDDDDAAGGGVGGLPKRWRYALGFVGAFFALFFFFALILWGASHNQKPVLSINSITFHNFVIQAGTDASLVPTELSTMNATVRMTFRNTGSFFGVHVSAQPVTLYYYQLLMASGNVKYFYQPRKSSRHLAVEVLGDKVPLYGGGSGLSSTPVKGAPPAPVPLQLTVRFRSRAFVLGKLVKPKFRTDVQCSVKLDMAKLGKAVSLNKACSLV >OB04G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9991198:9993537:1 gene:OB04G20110 transcript:OB04G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRWLLAACMAMAVAAAAAAGVDGDDRRPYVIRMDVSAMPAPFATHDGWYRSVLSSVTAAADGEAAAEHLYTYSHAMHGFSAVLTARQLGGGGEVRRVEGHVAAFPETYARLHTTRTPGFLGLGASAGVWPASKYGDGVIVGIVDTGVWPESASFSDAGIATPVPARWKGACEAGASFKPSMCNKKLIGARSFSKGLKQRGLNISDDDYDSPRDYYGHGSHTSSTAAGSTVAGASYFGYANGTATGVAPMARVAMYKAVFSADTLESASTDVLAAMDQAIADGVDVMSLSLGFPESSYDTNVIAIGAFAAMQKGIFVTCSAGNDGSDGYTVLNAAPWITTVGASTIDREFTATVTLGAGSGGARSIQGKSLYPGSPAIAGAALYYGRGNRTKQRCEYGSLSPKDVRGKYVFCNAGAGVQQQMEEVQEKGGRGVIAASNMKEIMEPTDYTMPVVLVTLSDGAAIQKYVTATAAPKVSVRFAGTALGVKPAPAVAYFSSRGPSQISPAILKPDVVAPGVNILAAWVPNKQVMEIGRQKLFAKYMLVSGTSMSSPHVAGVVALLRSAHPDWSPAAVRSAMMTTAYVKDNTNGVLVSLPGGSPGTPLDFGSGHVNPNQATDPGLVYDLAADDYIAFLCGELRYTSRQVAAITGRRTSCPAAGAGGGANHTDLNYPSLMVILNKNGSGTRTFTRTLTNVAGSPAKYAVSVTPPAGMKVTVAPTTLSFGGKGSQQGFTVTVQVSQVKRSRGGDNYIGNYGFLSWNEVGGQHVVRSPIVSAFAQ >OB04G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9997056:9997406:-1 gene:OB04G20120 transcript:OB04G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3LXY7] SILFVCTAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGTIKCYKLDTDDYPQIATSYSIERIPTVLLFKDGEKVHSITGTLPKAVYVRAIEKSISDSDQ >OB04G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:9997633:9997800:-1 gene:OB04G20130 transcript:OB04G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATFTKQMESLKVISNIFSGYLRVTTVVRYTIVYFLNFSSYSFRPKMLSTFKI >OB04G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10004908:10007271:1 gene:OB04G20140 transcript:OB04G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVSPSSHGGGEGIGKGWQALGGDKALPFEFRALEVCLEFACKSLEHETSTLEKEAYPALDELTSKVSTLNLERARQIKSRLVDISGRVQKVRDELEHLLDHDMDMAALHLTEKLACYQSAGQSSRFDVEKEASELEERSIKEEEEEEAEEGGAGGFSPNTDELEILLESFFVQIEGTLNKLSTLREYVDDTEDYINMMLDDKQNQLLEMGILLSTATLVLTCGITVTGFLALNIHIPLYDTPPGATFWRATGGIVGGSVALFLAAVLCYKRSGILP >OB04G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10014027:10019644:1 gene:OB04G20150 transcript:OB04G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRLAAEYLVAKGVLPPGSLQRRGSGWVQLPPPPTLPPAQETPAFYDGRNGRRRFDDGDGNTNPRSRWNRSGESNDNDSSSYNGRGKRKFGNYNRYSDWGRDKGRSRGHSDSRSHDDGDDDGPPGYRRERRGSGRFDDAGNGMSWVAASRTEATGESELEDTGSKVSSSSNFRKSADALQEVDGENEANKMHVDSNPSNSEVVEQGINGENTSNNASSVVEEAESKTKHLPVPLDDKASDEKPDDSSVSNKKIEDDGTLAGKVEASDEKVPGAENNLLNFCSFANVPTKRRSILARRNAAPAHRGVAVAEQIDLVSSEDETHMATDVAGHGSSLANVPEGNKDNPVCLEHMDTSTTCNQMVDPVKFQAEETQIATDDSRGHKNIEQHHAVCEAKEHNEIPPMTSRQNSLKQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKVEAIVTKEEEKLGQSSSFKICDLNLVGSPDVSELRNDPGLGHFSIAGCSMEPQNQQQVDFRTTTGNSTSDTNMCAQVPLDNKVVQVIDIEDGSPFEDGTCDTLKTKSETYASEENMMNPDMSTDAIPDIQDGYNLAISDYLGADISCYQSMQTDLHNGMSLNDSEGPAVMDDSIYGSLSDIGFMEVWDQPPQDQDYEKFF >OB04G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10017487:10020249:-1 gene:OB04G20160 transcript:OB04G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:J3LXZ1] MAATFCAAGSPTLRRPRTLAPPAAAPARVAPSRPAPSLLSLRRSNAAPPLRAAGADPKIVNGEDFPPMKDLIRLYKKAFLDGNNEALGGIESAIIAMEKERSSSAAQLESITTEITSGKDKFLRINADLENFRKQTEKDRARFTSNIQVEVVQSLLTLADSFEKVNQEITPETEKEQKISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGIVSHEVRRGFLLRERLLRPATVKVSTGSGNQETSSPSSEKPVEDSKEDAAV >OB04G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10024388:10031475:1 gene:OB04G20170 transcript:OB04G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) TAIR;Acc:AT1G21760] MLCSADVSVDSRSDFNSFDHLRSMRYVLADRPWLMLYGIRVQPVAPFSSLCRRPDPALIHQSLPDELLFEIFARMSPYSLGRAACVCRKWKYTVRNPTLWRNACLKTWQRSGMEANYRMVQSLYDSSWRKMWMLRPRIQYDGIYVSRNTYIHTGIAEWQFTKTVNVACYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYLLSEDGQIELALLYPGHRYTLVRMHLRLRGTTLGANNRLDVVKILTTGVNATELRNWKGSVLELVESWGEDETHDPEVPAVSHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >OB04G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10026973:10031970:-1 gene:OB04G20180 transcript:OB04G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRGAGEREAAAEEILWREEARRFETPDGGAYLQDRLLAQPRSASPSCGGGGGATAAAVMDLVHTYVPGSKRGRGLAARLCDAAFAHARRRGMLVLPTCSYISETYLPRNPAWNELVLTDKEPKPSSM >OB04G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10041602:10049443:1 gene:OB04G20190 transcript:OB04G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKNVYWSTLDGAVQGILKYFVKKQRDTRVIYFDGWRGFGASAVLRAVADELKSRGACPELRLDKVIHIDCSTWKSRRAMQRAIAVELNLDASVIAIIDKADEEDDFDGVDQGSRGEIMRVAEEIDRAFKDHRFMVVFHNGSGDYIDLNSFGFPPFTIFRGYFMLWTFRRRFQGSEDYSEMENKVQNTHFFAYETGYDIIRNGILFPVLQKEVAAIAAKYPCMREINPEKIVDCCVYGLFLYLCLPEHLENEWAARASAYWMCDGIMQGDQAWEISSALSKEIKWDLHPTLLDEVRGILIESSSENSTCCKRLNGTYFDENGISYPPMAITTSNSKVPLTPHDIQTVSADMSSYFLAPDEISNDALLVLADGLFDQSTNLRVLQLSHCSFSFASPPFIACQNLRFLGLHHCKDNETTKQIDPRKWQFLHSLWVLDLIYTGWYQVFSKEIAHLIINLRELNIVGPECWKYIPALHEQLPDVQMLRIVKSMDQPNASAYIDNYLMDKTKLELLDMSGTRNMARLPTNLSKARSLQVLILDGCDGLGNIVFDGYGPATNWTSTVELPTRDLRPSSVNIKKHIKTSTISLKGCKGLGSLFIRELPNLVELDLSGTAIKILDFTTMVLEVSSLKRLFLIGCEKLRSIKWENSGSKVEPDLELLCIDTRPGIERPRPSFDKSNNNFKLQIHAVIEDARLARSLCDPIYSCHKGRYSDVSFSIHTSTLYDGFVQDEGACKDKIGQINDQVNLQQQQPFSVGQYHDALSKVGDAPMQSFPHPPTTSLSRHIEIAQGSHNLESELDRNWLLPYRNLAYLMGWVSESLHVHDVLTTASMPGGGWYWDYLRWCRMERCPKMETIFPTNAEGFSRLETIWMADLPMARCIWSIGVRRFSYGSFENLRHMHLRDCPRLKFVLPVWVYSFPRLETLHVIHCTHLCNIFVLDGGETTVDGVAFPRLSTIHLQDLPMLQQICDVGFKMVAPALETIKIRGCWSLRRLPAVGAGGLKPAIEIEEDVWSALEWDGVEAGHHPSLFQAPLHSRYYKKKLPRGSAIRLIRAPSLFACLLILLVKHSFSA >OB04G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10050217:10052598:-1 gene:OB04G20200 transcript:OB04G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSVAGGAMDKLVLFARKAWFVVRVMSGYEERRIRAYRLQMQQRIERVKGKKEKLQKQPEQIILSEVRQMVQQMEALNQQLEETETAIDEYFKPIDKSAQIIMDMQLEKEETQAKEMAKIMKEQIAMQREIASKRAQATFIRSKDAQQSEKVAECSPKEDAIK >OB04G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10062710:10068423:1 gene:OB04G20210 transcript:OB04G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTFSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDTKKAEEEHEEEEDGEDEYDKQVKQVHASGEFQLS >OB04G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10070490:10075172:-1 gene:OB04G20220 transcript:OB04G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLGIDGGAGAVAAKKKRKVVGDGGVMHGGDGVERGVRFVTRTRVPQARDRMVKKEFAHFTANQAIVEAFESGGRRRLHVVDFDVSYGFQWPSLIQSMSDAASTTTSSSHDDDGRCDGPVSLRITGFGSSADELRETEARLTRFAASCPNLRLEFEGILNGSNSLHERIRLDDDATVVVNLVFPAAQNATNSSREACVATAMAHIHSLSPSMVFLIEKHDGGGDPTGGDNASSASVLPWFAANLRYFAAVFDSLHECLPADSAERLAIERNHLGREIGDAFDILDSVKQTDLAVTLNALVVERQHWQCKEVTLIAKEQTLPKFQRQKTLNSLQPEEPPSPVIDRQLWRLGEREIFAEYLSVEFSTRQRLLGGRRSEEELCSGNPDRKNGASWAAGGGISGASNVCRFR >OB04G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10079759:10096362:-1 gene:OB04G20230 transcript:OB04G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQAPKQKQKPKHSSTSSSSSSSSSAAAAAAAPAPRLQISSENERRLRRLLINSGATDAQAPAPSDAPAAARAESRELKARRLRGVYDKLALEGFSSAPIEQALSALSDSATFESALDWLCFNLPGDELPLKFSSGTASSSGAGLLGTEGSVKVVSTAKDNWVPQSRQSEEVQGSTEGLEIRVSRRREEDLTLDDGRSSQAAWIRQYMEQQEEEDDVNSNDSYTWEDHFSPSFATVEAKPSRQKKKGKQEKSSSGNSKEVLSSSDKTLNADIANVEGGQVDSGATGKKCESPVHMDEGSNLDKRISKDVDETSTKEAEEEEVELDNLFFEDSSAWEAVAPDILKQQKLEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELPEQDKEYVSVEEAQNRVAAFALYQFFADLSLRPLLIEPYASLVLRWQEGELSSSSSRVMDTEDNRRAGFVDMLLDMDTNTTPHQVGDASNGATSVDSRSIEESYSVHEKKETYLVNRTGSRSPEQAESRMLKKQLQNKMKDSSYLKMLEARASLPISKLKDHFLQLLKENDVVVVSGETGCGKTTQVPQFILDDMIESELGGYCNIVCTQPRRIAAISVAERVSNERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGSNDLSDVTHVVVDEVHERTILGDFLLTVLKSLVEKRSNQPGRKLKVILMSATVDSSLFSRYFGDCPVINVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFQQHGEKWKNASSTVNNRRGKKNLVLSSWGDESVLSEDYVNPHYRTDCYQSYSERTNQNLKLLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGRESSDWILPLHSLLAPTDQRKVFQSPPESIRKVIVATDIAETSITIDDVIYVVDTGKHKQNRYNPQKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFEKMMRPFQVPEMLRMPLTELCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIELLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTEDNKESDHLLMVIAYNKWSRILRENGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDISKDILRPVDGMRKNNLESWFANMSLPFNLCARYSSVVKSVICAGLYPNVAATLEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAAQYPFLVFLEKVETSKVFLRDTSVISPYALLLFGGSMVIQHQTGVVIIDGWLRLTAAAQTAVLFKKLRVTLDAVLKELIRRPEMAAFVDNEVVRSIIHLLLEEEKAQQA >OB04G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10102441:10103467:1 gene:OB04G20240 transcript:OB04G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLYEIWPPPDTRKQGEPLRAFMDIEPTRKRSGHEDIFIGYHADDDVQVTYAGKFFRINHNYHVATHKRDDVLVYWSSSRCFEHRNKIARELFRHLPAHSFGRCENNVGGGEQARERYPGGGRGEGQPPSEWWDHLHCAMSHYKFVLAIENTIAESYATEKLYYALESGSVPIYFGAPNARDLAPPGSYIDGAAFASVEELARYVREVAGDPAAYAEFHAWRRCGVMGAYGATRRRRRRPTPPPPLCRDDGTRPTLTARLEERSVVCVMANAFE >OB04G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10106223:10110389:-1 gene:OB04G20250 transcript:OB04G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYESMYSPGGGLRSFCGTPASSTRLSFEPHPLIFEAWDALRRSLVCFRGQPLGTLAAVDHSSDEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDRLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDLSLAETPECQRGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKADAAEGKETMERVATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPGRGGYFVGNVSPARMDFRWFALGNFVAILASMATPEQSAAIMDLIEERWEDLIGEMPLKISFPAIESHEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYVGKQARKLQTWSVAGYLVAKMLIEDPSHLGMISLEEDKAMMKPVLRRSASWTV >OB04G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10120863:10123794:-1 gene:OB04G20260 transcript:OB04G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTARALQPLLPLFLLHILLLSSASEEFPRDGRVIELDDSSFEAALGAIDYLFVDFYAPWCGHCKRLAPELEEAAPVLAGLSEPIIVAKVNADKYRKIGSKYGVDGFPTLMLFIHGVPIEYTGSRKADSLIRNLNKFVAPDVSILESDAAIKSFVENAGTSFPMFIGFGVNESLIADHGGKYKKRAWFAVAKDFSEDLMVTYDFDKVPALVSLHPKYKEQSVFYGPFEGSFLEDFVRQSLLPLTVPINTETLKMLDDDDRKVVLAILEDDSDENSSQLVKVLRSAANANRDLVFGYVGVKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVVEGSERLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILIAVIALLGVMMYFAGQDDTPQVRRAHEE >OB04G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10125638:10127419:-1 gene:OB04G20270 transcript:OB04G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALNRGLRSGIRLLATGAEASKTASRGFHATSVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKPKVYLSVLGAVGIGIAVPLYAVVFQQKKTASA >OB04G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10127821:10129815:-1 gene:OB04G20280 transcript:OB04G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTIQNLLRPFRGPRRAPALLLRFYSLGPLPPPPHRQAGYIFQLNSIINAHLRAGRVTAARRVFDEMSERNVFTWNCMISGLVRNRMLAEARKMFDAMPMRNSVSWAALLTGYARCGRVVEARELFDQMPDRNVVSWNAMISGYVHNGMVKRARELFDMMPSRNDVSWLTMISGYIKRKHVHEARELFDSMPSPSTSVCNALLSGYVELGYLRAAERLFGQMHTRNLVSWNVMITGYARAGSMGIAQRLFDQMPEKDIIARTAIMRGYLKNGSVDAACKVFQDMPHRDLVAWNTMMDGFVRNERLDDALRLFSKMLDKDQISWNTILQGYVQQGDMDSANTWFKKMPNKDETSWNTLISGYKDDGALSLLSEMIRGGLKPDQATLSVVISICASLVSLGCGKMVHLWAIKTGFEHDALVMSSLISMYSKCGIIGEASQVFELISQRDTVTWNAMIATYAYHGLADEALKLFEMMTKAGFSPDHATFLSLLSACAHKGYLYEGCNHFHSMQQDWNLVPRSDHYSCMVDLLGRSGLIHQAYDFTRKIPSDLQTNAWETLFSTCNAHGDIQLGELIAKNVLQARPSDGGMYTLLSNIYASKEMWSSAASVRGFMKERGLKKETGCSWIELKGEVVSFSSNDSTHPLIEQICQVVDGISAMIEEAT >OB04G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10130427:10131519:-1 gene:OB04G20290 transcript:OB04G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGSRKTVRSASAIVAAKESGQHLLKIDGYSRTKDELPTGSDVKSRPFRVGGHSWYIRYYPNSDTSENAGSISVYLALDHNVTKGVRARYKFSLLDRARRPSYSKSSGPDVFLSSGWGWRAFISRDELEKSEYLRDDCFTIMRWRPRTSTSPPQHHAPPAPPPPAVVVPPSDLRQHLGGLLATGEGADVTFEVDGETFAAHRWVLAARSPVFRAELLGPEKNAGAAVVRVDDMEAQDFVALLCYMYTDCLPERDGGEAAAMLPDLVAAAGRYKMERLRLVCEQRLCEYVNARTVVAMLAFAEEHRCNGLKEKCLRFLDDPVKVREIVRAEGLENLIKNHPSVLKDVIAKLAAS >OB04G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10136362:10137486:-1 gene:OB04G20300 transcript:OB04G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDTGSTVARSASAIVSSTESAQHLLKIDGYHRIKDAVATGSCVQSSSFCVGGYNWRIYYYPNGGGASVSDSVSVRLVLATYVNQPVRARFALSLLDQALQPAPSYTYRYGAQAFSGFSSEGPWRFVQKAVLERSPEHLRDDCLSIRCDLTVIKAPEAKDIKPATTTPAPPPPSPPVSVPPSDLTRHLGGLLSTGVGADVAFEVDGKVFAAHRSVLVARSPVLHAELFATQAGKEYQNGAAGVVVRIIDDMEAQDFEALLHFMYTDSLPETMGGGDMAAMLPDLVAAARRYKMERLRLVCEDKLCEHVNVRTVAAMLAFAGEHRCEGLKKKCLQLLDDPANLRKIVETEGLEHLTRNYPFVLKDLIAKLAMKL >OB04G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10141321:10142454:-1 gene:OB04G20310 transcript:OB04G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASGKLLRSASAIVGSTESGQHLLEISGYSVVKDAVATGSCVQSRHFHVGGHDWYILYYPNGFNSVVSDCISIYLAYGGNPSYQGYYYYHSGPAVRAELTLSLLDQAGEPAASYTYRHGVQAFHGHGSQQGSPRFVQKAVLERSEYLRDNRFTVRCDVTVVKNPEAKDVVAGGRVTPPPPSDLAQHLGGLLATGVAADVAFEVDGRAFMAHRNVLAVRSPVFHAELFAAADEDHAAAGAGAGAGVTVRIIDDMEAQDFEALLHFVYTDSLPEKMEGGDMAAMLPDLVAAARRYKMERLRLVCEDKLCEHVNVRTVAAMLAFAGEHHCHELEKKCLQLLDDPANLRKIVETDGLEHLTRSYPLVLRDLIAKFATKP >OB04G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10148864:10153134:-1 gene:OB04G20320 transcript:OB04G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASTVNKSASTIVSSTESGQHLLKIDGYSRIKDTIANGSFIQSCSFTVAGYRWCIRYYPNGSHSYWPEYISLYLYLASYVNQGVRAQFSFSLLDYDGGEPVTAYRNGGFTFTNHSDVEGARLFFNKGVLERSGNLLRDDCFTIRSVLAARSPVFHTSLFGGEPEEKEDGDAAPAAGGAAAVVRVDDMEAPDFEALLHFIYTDSLPEMGGRGGDEAAMLPDMVAAANRYRMERLRMVCEDKLCKYVNVRTVAAMLAFAGEHHCHGLRKRCLEILDDPASLRNVVETEGLEYLTKSYPLVLKDLIAKFATKS >OB04G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10162402:10167669:1 gene:OB04G20330 transcript:OB04G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MAPSLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLSVRIAQCFLLNGFIFLGSLLTLKSVVIPMLSWILPEECSQLQGQHLCGHTVAVATYSFLRSVLIQIFYVFWFYPLYIFSFILSTLWYNDIAKHALDVVKSKSLDATKALDAHTISESTERPEGFDGVAIGIGEQVYSILLLTIFFIEVSVIGYVPYFGKAINFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGSPCVLPIFFFSPLASYGVMAILYPLFVLTAAGTQAERVIDQLKPSHGGKLQRIPVFFVAKRLTTQVLQLFPEVQKEQ >OB04G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10187355:10191348:-1 gene:OB04G20340 transcript:OB04G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYMLKSRRLVGIADAWSVDDADGIGEFPEAMSAAHKQMVTGLEEAMVAKLELASHSSLFSSSDDSFLPGSSSLDTSTSEEKQGLSESTTERPGNNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRVKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMGVLMRIVANDKREELKIFSQEIIRYGNRCKDPQWHNLDRYFVKLESENQPQKQLKETAIAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLMGKGSSDRFEKDNLPGENIQIVRIELKSQRNYVKSLKKRSLWSKTLEEIVEKLVDIVHYLHFEINASFGSSDGGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWAKSGTERIGRRPGQPDVIETLYHADKAKTDAYILDLVVWLHHLVSQSNRPANAKDQSTSNQD >OB04G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10197788:10207056:-1 gene:OB04G20350 transcript:OB04G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRNNLTVGSTCYDKPRVNWQDHANAIQSSCIKDEFLSSSFLFSLPTQRPDQGVGCTGMLPLRSTACKIQGLERLQVSSIEKAWRSLCNTQVARTNYLRPGLSTKVKYCDSNHAHTYGTSSSYHVNIVDTLSRNRNPSQESMHQQTESGTMEKSGSHLPAGTNSCTRTYLNNHVVQADTITPTNQSLVRTGAKLFSTAPFADMCDDSKLDAMDEDELLASIDVDRIVMEHYQATNTPRGSSRAPLGKCNLNGSDENNLPQELSVMCNHGSKLAFCPEAKSHLHDLKDNLLLISNELIEGDLSPQRTNELHQKRALLKKQIELLGEYTVRLTQDEERQMSHSMASTTALQGHHPIVNQSSSCVKDTNRFQSPIYMRSEPTNSGLCFSSAPYSYMDGLSTPLPSVQRDYNRRIIDINYTEGSGDKRWSSTHFAWTKELEENNKRVFGNHSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALICNGVTLVVSPLVSLIQDQIMHLLQASISAAYLSASKEWSEQQEILRELMSPTCAYKLLYVTPEKIAKSDALLRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQNLGILKQKFPQTPVLALTATATATVKEDVVQVLGLANCIIFRQSFNRPNLRYFVWPKTKRCLEDINNFINANHFKECGIIYCLSRMDCEKVAAKLKEYGHKASHYHGSMDPEDRAHIQEQWSKDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQLSSCVLYYSYSDYIRLKHMVTQGVVEQVTSASRGGSSQEQALETHKENLLRMVSYCENDVDCRRLLQLIHFGEMFDPSHCAKTCDNCLKELRWIEKDVTNIARQLVDLVMMAKQAYSASHILEVYRGSVNQNVKKHRHDTLSLHGAGKHLAKGEAARIMRHLVTEGILIEDVKKSENMYGSVSSVLKANHKQVGHLLSGKHNIVLKFPTPDKASKMGVLDESSIPQINKTIQQQSHLDESLSSELFEALKCLRTQIMDENRCLAYHIFRNETLKEISCRIPRTKDELLEINGVSKNKLNKYGDRVLATIEEFLTKNPNSTKKSSSGGSNEHSEAVKKRRGFTATNASSNCDDFEERTVQSKKRAAKMRNSRQEVSDAASVVQDVRYIDLELDGYEQGDDLSYRYSAPKPVSSGRVLPTWQSAKIS >OB04G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10209759:10216290:-1 gene:OB04G20360 transcript:OB04G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDFLVEIGMKEEDITTMLFGKKVIELTEDAFDSSKEERKIFEELFFRTGSSGASIHHPCQGGKTTSLKVASKELISTNTPSSSVSASNHKSVRCRVVESFTYGNLSSYLVFCNNVNPDVVSASELVIQWTPPAIERVYTRRAVARRSQRAKLCSFLDLERVDITRVGRRKDGGQLKDGYGHGVLWNHLRLHANLLMMDAGWKIDGKERWDKSKVDHVYESPDKVMRLFSLPRAWKCFGQWLLMNSSSFGGNDYGRIWFNIHDFLSDLKNTMLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVKALKKGVALKAVNSTVTFVSCTEGKHLSTINADNPLGLNHSNNYNRTNPRSQKNLLPLFQSDDIEGNSSLSKQLSTVGKNKSSQYEMDQRLPSMTGINERNTRSTAHRIVMGLQDSIAFPGSRTTCLNMKNKLSYSKSSLTQSIQDKSDPLYFPPNYSLDDLDENVQTKDLSFHACDMIGSAKVDKSADSPSDELLLGANLLFSHEVDEMLLEPMDDISNEHRAIAAVSEPQAAHTDFGHGSSGPSSLLSEKDIALKTNKDYVNNEQHDAAVVLESELTNKDVVAGPGALSFLPRTDTVLEGNEISSEQMAKGGQLSCVATDNVMAISEPQLLFVSPQDGTLSFMKDSICSQEIRSCLNASNDNMETNMQLDIHSSVYEASLIQGFLYLDNEGSPICWTVINPEPPRQLICSGPISEGYELHGEMNMEGGTVISKPKQMSKLHSRKTNQKRSKRAANIEDKGSQKKQKVNDVPKSYCTLGECMNHTTENPAGCLISTEKEYFGSASTEQVSLNLLPGNSSYKKQGREMQVPIKNNGSDQARVRIGSTEKIRPEKTPKKDVKRQKAPWLHKCKFDDNDLLMTAVIHKLTARYRNRFSRRLAKKSGLKRLPRCRWGSEERCDRKALPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNILKDGKITKKGIRCRCCDAVFTMSKFKYHAGLQQEIPSLNLFLGSGKSYTLCQLQAWAIEHKARKERAKYTMPLQADQNDDTCGLCGDGGELICCDSCPGSYHQDCLPCQDIPDGNWYCYRCLCDICGEVINLKELRSSLPALECSQCERQYHAKCTYGKLLCNEEGGPCAWFCGRRCQQIYINLRSRVGIPNHTGDGFSCTILRNNGDQRVSTAAAIAILAECNLKLVIALSIMEECFLPILDARTGIDIIPPIVYNWRSDFVHLDYKGFYTVVLENDDSIISVASIRLHGTIVAEMPLVATCLENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPSLVDTWTSAFGFVPVDGRDKMQLSKLRLVSVPGTVLLKRNLRGTDNTVGDEDGSDENPVPRGGDRSAADRRGGAKSRQLPPDDDCSYPACPVDSLVDELRSLRITSACEDPRFLLSKTAVDSSAVCDEPGGR >OB04G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10224421:10228160:-1 gene:OB04G20370 transcript:OB04G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT1G72090) TAIR;Acc:AT1G72090] MEMEDIEDVLGPAGFSGGGAPPGLRLPLAAVAVKPKRPRSSRVAQTRPQPEARIPGTQTIYVKTFGCSHNQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGESDEDFSQTVNLVKEYQLPQVHISQFYPRPGTPAARMKKVPSVEVKKRSRELTSVFESFSPYRGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKETHKQNHAELQEEYRPSQVEEATCCATDSCGACACSDAAKQCSSGPQRCEDPANAPQTSSGDAVQSKLVRRNVEGTAKSSESDPAEVVVAKVQHLNVATRRFPNVDTILWGGLAVSFATTVALIVVLAYKMSSTLSY >OB04G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10228654:10229816:1 gene:OB04G20380 transcript:OB04G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLALAMMNVVAIGLVLSTLAAAGVWSPAPTPPTAGQHGGEHVVREGRRVVIVEYEREHPLYPGDSVKETHVLPADALDGGEGMGGLTDKAKGVASDAADKAAEAAEGGKEALSDAKESATGKVFGAVKRCKDRICGAARKAEDGAKEKASSVDSAWCRANCSRCTSAPWPTASASPSRRTCSAASGAPSPRAPRA >OB04G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10242217:10243615:-1 gene:OB04G20390 transcript:OB04G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHLSLVPYEGSSAGGGGGGKYKECMRNHAAAMGGQAFDGAGATGSGSFGRKRFRTKFTPEQKERMREFAEKQGWRINRNDDGALDRFCLEIGVKRHVLKVWMHNHKNQLASSPTSAAAAAAVAGMNPLNPGTGIGLSTGVGVGGAGDDDDTDDSPPRAAVSSPSPSPISV >OB04G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10249167:10259974:-1 gene:OB04G20400 transcript:OB04G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21730) TAIR;Acc:AT1G21730] MVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPCGENDEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYILPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKASLRRRHSFGEDELAYLPDRKREYSIEDDEVSLDSEFSIEGKLDSNNPDESLRFDRRNRKRGMLGWFKLKKSDQLAGLSPGVDSESTASGSPSFARSSQQKHLLLDLKDGRRKSMTRKGDDPALTDFFPERTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQVVNNPDDSQIQEQIEKLKNEINEKKSHIRVLEQRMAESLETTEDPVMRTEMSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVSENAELKESVAQLRQEIDNLLKTAKSEDNVASMQSSEPSTTSSNPRDLTSHANMSSRTTEDHIESPLKSQVLMQAVEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENAKLNADLAAAKEQTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQKARRENELLKIIDDAKCREHDLENELANMWMLVAELKKENSQEDLFEFKATQNGFHSSKTDTARMMSEMEASDNRNWDGVSSFEEAKMAYNVQRRRCKELEGIVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMANQVL >OB04G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10263609:10268210:-1 gene:OB04G20410 transcript:OB04G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLAAFHAAAPAYSSSSASPNGRRAARSSAAFPPVALPRATLRAAASRLPQKAKAAGSGRSVTVRCMAAAGVASGAAELRAAREDIRELLRTTHCHPILVRLGWHDAGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRVDVTGPEQCPPEGKLPDAGPSAPADHLRGVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEVFFKDYAGAHAKLSNLGAKFNPPEGFTLDG >OB04G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10270808:10272653:1 gene:OB04G20420 transcript:OB04G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT2G28605) TAIR;Acc:AT2G28605] MPLVGCPAPRLTGRAQRPPPPRSSAPRRASSPPPLLSRRAASAVSLLLAALPFPASSLRLPAASAKEEEASEARRGGAGELELERYADRDEGFTLLKPASWPKVEKAGATALFQQEGQGSNNIGVVVNPVRLSTLTEFGTPQFVAERLIQAEKKKESTKSAEVISVGERSGHDGLTVYEIEYLLDSTRGGMKRIFSAAFVASRKLYLLNITHSDSQQKPLDSQTRNVLEQVLHSFDSV >OB04G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10277221:10277436:-1 gene:OB04G20430 transcript:OB04G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERVFCSE >OB04G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10277612:10281269:1 gene:OB04G20440 transcript:OB04G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPASLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVILLPLVANTTQPASYVFTHFETAPEVTGISSSAYAAILSLLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQVCLAAAAAAGLRLPVRPEQRDGRHVRAGADPVRRVPRPVQQLRGRHRAAVRHLGLLLLRRPLHHHQRRPGGVRAVPGQGDPAVVGVAADPPAAQGARQRGVAVRRRVRAAGPAHPVDQRGVHGDHLHRHHWVGGRLRGAHLRPDGDAGGRLLAGPVLPPPRQPPRLPRRLPLDLLHLLRLPPPDHLPDQRWQLQLRPRRARRLPRPHRPLVGARRPQVVQGPRQEHRRPPRQTRRQQRQGLAFFNQV >OB04G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10282779:10283072:1 gene:OB04G20450 transcript:OB04G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMREADFSPGPFYLRRASRPVCLVAFLWICYTCSVFLLPTTYPISAGNFNYAPVALGVCLGLIGLWWVLDARKWFKGPVRNIDDLHAKPDDNNGKV >OB04G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10291471:10294467:1 gene:OB04G20460 transcript:OB04G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHFMRFQIKWCPSAVHSSADASCIQDRLNKEFSKSGMWNDQRAMCTNISSSRYGFRLVSCIKSLRFELFCRISYSELAMQYYASF >OB04G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10306701:10306985:-1 gene:OB04G20470 transcript:OB04G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKALKLFPPPAMEVEIWFGFSRCLPFLSFLSAPSSSFGLRYCFSEEMIHILDSLRSLKAGSEEEDERANEQWAWEHEGRLNPIEIRAFLS >OB04G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10307347:10308744:-1 gene:OB04G20480 transcript:OB04G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G77290) TAIR;Acc:AT1G77290] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHLNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYIDRLAVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAESPDLASMYHVKLREAYETEDRLKDPDIMKQSEEELSELLDDVEAQLNNGKYLAGDEFSPADSMFIPILARITLLDLDEEYINCRPRLLEYYALVKQRPSYKVVVGKFFSGWKKYRTLFKTSFFLCVRTLFRKY >OB04G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10319680:10324379:1 gene:OB04G20490 transcript:OB04G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIMSAADDAGAAAAGRAEPPPFFLKLRLGVGSARFAAGNALCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITSVICGADHTTAYSEVEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGGGESWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGEKMVLVACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHELEALKDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDTADHCFPVQVKFPEEQKVAQVACGWRHTLAFTEKKNVFSWGRGTSGQLGHGEIVDRNTPVMIDALSPDGPGCKKLEPSTAVPFAAKIWVSPSERYAIVPDEKVPNSGEGTARGNGADANVPENDVKRMRVHS >OB04G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10325092:10325283:-1 gene:OB04G20500 transcript:OB04G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLVNNDTGIASRCITRISTLQRYHQLLLELELAARVRRRYGYGAKPERRVEGEEKEAERGEKYE >OB04G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10334186:10337430:-1 gene:OB04G20510 transcript:OB04G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04290) TAIR;Acc:AT1G04290] MDPEAVRRTLEPTALSKEIAGSATRIYDTFVLTGIRIDAAEHGRLLCSFVVTPRIASPAGYLLSGVTATLGDQLGSAVFFCSGLRASGVSIELSLSYVDVASVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKYLAVSSKL >OB04G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10338380:10341333:-1 gene:OB04G20520 transcript:OB04G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:J3LY27] MALNLILPFALSIAVMLSSGPAVADAESAAVELQEAVLALDAGNFSEVVAQHPFIVVEFYAPWCGHCKELAPEYEKAASGLRNNDPPVVLAKVDAYDERNKELKDKFGVYSYPTIKIIKNEGSDVRAYGGPRDADGIVEYLKKQVGPASLELRSAEAAVHSITDKGVILVGVFPEFVGREYKNFMAVAEKMRADYDFFHTSDASILPRGDQMVKGPIVRLFKPFDELFADSEDFGEDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFSDDRIESFKSQIHEAARQFSANNISFLIGDVADADRVFQYFGLRESDVPLLFVIASTGKYLNPTTDPDQIIPWLKQYIYGNLTPYVKSEPIPKVNDHPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAISLQDDQDIVIAKMDGTANDIPTDFAVDGYPTIYFYSSTGKLLSYDGARTAEDITSFINKNRGPKAGAAVGVDDKTQTDAVEEEVTPSSSEPVKDEL >OB04G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10341970:10344027:-1 gene:OB04G20530 transcript:OB04G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13600) TAIR;Acc:AT2G13600] MARPHHHGLHLVSHLRASAPLADLLRSAPGLPAARAAHARALRSPFASETFLLNTLVSTYARLGSLRDARRVFDGIPHRNTFSYNALLSAYALLGRADDARALFGAIPDPDQCSYNAVIAALAQHGRGGDALRFLAAMHADDFVLNAYSFASGLSACASEKAWRTGEQVHALVTKSSRWSDVYIGSALVDMYAKCERPEEAQKVFDAMPERNIVSWNSLITCYEQNGPVDEALVLFVRMMNDGFMPDEVTFASVMSACAGLAMAREGRQVHACMVKSDRLREDMVLNNALVDMYAKCGRTWEARCVFDRMAFRSVVSETSMVTGYAKSANVEDAQAVFLQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNSCANLANLPLGQQTHAHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGAKVFERMAARDDVSWNAMIVGYAQNGRAKDALHLFERMLCSNERPDSVTMIGVLSACGHSGLVKEGRRYFQTMTEDHGITPTRDHYTCMIDLLGRAGHLKEVEELIEGMPMEPDAVLWASLLGACRLHKNIEMGERAAGKLFELDPDNSGPYVLLSNMYAELGKWADVFRVRRSMKHRGVSKQPGCSWIEIGKKVNVFLARDNVHPCRNEILDTLRIIQMQMTRMSVDAGIADDLMNFYSEACG >OB04G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10345457:10347622:-1 gene:OB04G20540 transcript:OB04G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26540) TAIR;Acc:AT3G26540] MAAAAAAGTTASAVSALVASGRLFTALDALPASYSSSQIPSSVYASLLRLVTSCRSLAAARRIASHLASSSAPSTSASSTSTSTSSSPSFLFNRAIESLAVCGSLTDARELFDAMPRRDGGSWNAIISAYSRGGDPAEAVSLFSAMNSRGVRPKDVTLASVLGCCAKCVDLRGTQQLHAHIAKRDFQSNVILGTALVDVYGKCFALSEARRAFDGIAKPSAISWNVVIRRYLLAGMGDMAVDMFFRMIWAGIRPLVYTVSHAVLACRDNGALEEGRCIHTFVLRHGYEKHAHVRSSVVDMYAKCGAIDAAQRLFNLAQMKDVVMSTSIVSGLAACGRITDAKQVFDGMEEHNLVSWNAMLTGYVKSMDMTGALQLFQQMRQETKELDAVTLGSVLNACTGLLDLGKGEEVHAFAFKSGLISNPFLKNALTRMYSKCGCLRSAERLLLFEMGSKRDSYSWNSLISGYERHSMSEAALHALSEMQYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILRSALIDMYSKCRLFDYSISVFEAGQSQDAILWNSMIFGCAYNGKGEYGLELFFEMSKQGIQPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIVPRIEHYECMIELLGKHGHMVELEDFIEHMPFEPTTAMWLRIFDCCREYGNRKLGERAAKCINDSNPLTPVRFEIAPDCNYSDDDSEESMPTSWEGQN >OB04G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10350615:10350872:-1 gene:OB04G20550 transcript:OB04G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGFMSALTAQFSSLMDVFLLMSCWRHPSPTCSFIPLDCSYYHQFWHILALASCREFSEVVVKYKERHLWMKPLKFLAFYAHICKLL >OB04G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10352455:10353390:1 gene:OB04G20560 transcript:OB04G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIVHFLRRKASLLPCRPDIVPTLTLNLYDPWELNGKALQSGNQWYFFSHATQTRASPNGHWKPIGSDETVVSGGCSIGLKKTLIFFMGEPIEGIKTSWVMHEYHLMDGNTDCSNSSTSSSSSKWPHKKKGQSETESNNWVICRVFESSYDSQVSFHEEGTELSCLDEVFLSLDDYDEVSFAK >OB04G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10358640:10359047:1 gene:OB04G20570 transcript:OB04G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGSPFFLVLEDEDEVSFSGRSSPGYAPVALGTPRRVASPDYAPVGRPLRVASPDYTPESPPRREASPEYTPASPLRRAASPDYTPESPSRREASPDYTPESPPRGRAASPDYTPESPSRREASPDYTPESPP >OB04G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10365559:10367058:-1 gene:OB04G20580 transcript:OB04G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPQRHWKKIRRRSHGFEQLQQRPGLGLRPGAALALEKHQQLRHHPGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAAPPAGCSGSCCCCWLWCCWSVDSQRSMRCRSVRFGTSTVASPSGCIVTGQVRWPAASHRSMHGRSYVCPVHSVTGSVKMSRLIGHLNRCGTLIFSTHKSNQQRPIKKCSKSMNPQEHNNTGTYHNSDHKTDHPDAKYTHIKSHQIKSSSRNPATNLQCAAKERFLSLFQALTPMPLPSGIHGHVYC >OB04G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10371334:10372002:1 gene:OB04G20590 transcript:OB04G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHLKTRRFQECVDEGSEVLAYDPGNVKAYYRRGQAYRELGKLEQAVADLRRARELSPDEDAIAGALRDAQEKLALEMEAKDHPRPRGGVVIEEIVEEEEPSSSQTRSSSTSGYIVSEPSDPAADAQESMMMSFFMNGAPAMSPEDLDRAVRLVVMDGARQVAEAARKAKELLLGANGLVLPIAVLVLAVVFHQLGFVSARWLQFVAIAVVRRVLALRGFK >OB04G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10372610:10381557:-1 gene:OB04G20600 transcript:OB04G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVALGRSASGNEMSQTEMLVDAIALREEDHELVERVAGAHGRCCCGGGGLGEVGYKLPVPVGDAGPEPDPAVRSPHEENGVAGDVSWEDSAASSVDSSDEAPDQHACRSTDPVTVIRGRNMLSDSHKILNEDNHFISHSMSREHHKFFEVPVTNMGELHLHTDDPSTSETSCSRGRMLPEDFLAPRTRSLSPGPKGHTFAVNNVNSREFGFSPRSPVKMMDGLKSPPHPLPLPPAPAACSPLPPSPTSYSPHPLSPTCLQSESQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEYVSGGSIHKLLREYGAFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSCAQIRSLKGSPYWMAPEVIMNGRGYHLPVDIWSLGCTIIEMATASPPWHQYEGVAALFKIANSKEVPEIPDNFSEEGKSFLQLCLRRDPASRSTATQLMDHPFVQDHPAVRAAKSSALRNAFSAPADGRHTVSNREFLSRRSVAPLKDLGVSARNFTGFSTAVPSPHTASSPVSTLRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSHGPASHNLSSYMSSEMRRIPTISDTWQDISQLKVQSPYGSPKRF >OB04G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10384817:10385763:1 gene:OB04G20610 transcript:OB04G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAMPWSLLSFVFVLVPDDVLLPVDVDLVGDVDQVHRLPRRRPGLGVLLHAHVVVDHIIFHHHRLLLLLLPPPPRQQEQPRDQPEEHQPGDRDHHRYHHGNVKHASSSSIN >OB04G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10384841:10390191:-1 gene:OB04G20620 transcript:OB04G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHDTRIPRHLGRTCHGDIILEAHFGVNRMRRRGQYQPITVVVPIAGLVFLGLIAGLLLLARRRREKEEEEAVVVEDDVVHHHVRVEEHAEPGPAPGQTMNLIDITDEVDVHREQHIVRHEHEHEREE >OB04G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10392432:10392650:-1 gene:OB04G20630 transcript:OB04G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGRRGGVPPRARRGARRGRAVGGDAEGARRHRRGRRPRAHRPARARARHRRARVTTVITTKHEHNLG >OB04G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10398710:10399194:-1 gene:OB04G20640 transcript:OB04G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTYETPPPPGNYTPPPVPSPPPPTTHVTIIVIVVPIVGFICLGLLAALLFVLFRRRRRRGRCDEEEEAKVDEVEDVEVKVTEHVRIVEGVVGEAGVVGVAGAVAASAGGGCEGGXXXXXXXXXXXXXVVVDDEIKVEEHVVKVTEAAAARRHRDE >OB04G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10401008:10403843:-1 gene:OB04G20650 transcript:OB04G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G77122) TAIR;Acc:AT1G77122] MDLVAGRAMGGCSPSWERIRSPRSPLLLTSLARRPAAFAACPCPPVVCRNASVVVPFAKKKRKGGSEEPPGDEEEEDSFDDSEGGGDEEEVDVDDEDLLDDDEYDDDDDYSFEDDFDNDDEQDLYVGDGGAGGGISFAGTGWDKEALSLAEEVSTSFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGRIPPNISLEVSSPGVERIIRIPDDLERFKERAMYVRYTTTSDGSGTPQEGDGVFRLISYDMDLCECTWGIADVKINRQQAGKGRPLNKKQREWRLQTPFESLKLVRVHSDC >OB04G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10403993:10405423:1 gene:OB04G20660 transcript:OB04G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3LY41] MPRSHGHGHGYHHQAPHQLSHRRLAPIASAAAVLLLLALLILLPAAPPGGPAAPASLLRAAIAAHPAPESYADPCADHLALSLRRLGAAASSLESGDLPAALHLASASLQYQYDCSHLLSLPAFPSYSLTSRFLASLTPPPLAAGTKPSPSSSAAAFLASVRADATVCKANLAARTCDYSTVQAAIDAAPNYTAGHFVIKVAAGIYKESVMIPYEKTNIVLVGEGMSATLITASRSVGIDGLGTYDTATVAVVGDGFRAKDITFENGAGAGAHQAVAFRSDSDKSVLENVEFRGHQDTLYARTMRQLYRRCRIVGTVDFVFGNSAAIFEECVIRTVPRAEGARKSARNVVAANGRIDPGQTTGFMFWNCTVDGSKEFLALFRAKPGSYRLYLGRPWKEYAITVYARCYLGKVVRPEGWLAWRGEFALRTLYYGEFDSRGPGANHTARVEWSSQASEQLVGVYSVENFIQGHEWIAY >OB04G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10408459:10410372:-1 gene:OB04G20670 transcript:OB04G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGSGGAWSSDAGEHPLRGLRNLVMVAGHSIYTSGSCGRIDREDSWFLEPYQKHPGQAATFLAHIKEGVDIAARDEEALLLFSGGETRKDAGPRSEAQSYWAIADSKGWFGNDESVRNRALTEEHARDSFENLLFSVCRFRELTGNYPHNITVVSYDFKEERFAQLHRSALGFPEGRFFFSGTPATPTAREAAIKGEAAVRSQFQEDPYGCLGSLHMKRLKRDPFHRAIPYPNGCPELKGLFSYCGLVPFPGQLPWTQ >OB04G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10413605:10417614:-1 gene:OB04G20680 transcript:OB04G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRLDVQRNIERLQDLYLLNPSKYSTLEEILEKEVEDNTAKKVDSCARAVLWLSRSMDFTIALLQRLEEDSDQKCFGQLVESAYMVTLKPWHGWISSAAYKIAMKLIPDRKMFISLLVGKCQDYAALKEEIRKLAKLLQPFLDDIHAMMAKFRLDRLKST >OB04G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10420014:10424315:-1 gene:OB04G20690 transcript:OB04G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCRDFTRGRCSRSANECRFLHHSPVEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPPMRDIPMQFPDMVYMPPPPLGVPMMMPPSAPAAFAGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEVMNAQVEVCRDFKRGECNRPACRFYHPPASSNSIG >OB04G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10438989:10444859:1 gene:OB04G20700 transcript:OB04G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRQAVAMEIPVEEGAAASSAAAAGRGRMPLRIRRRLMEGSRGGGAPASAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNVVEMRIEKEREELETRVESRVRKAEANRMRLLHIHMQRRAALKERTARSLVRKATSERKYTELVRSSILQKRAAAEKKRMALLEADKRKAQARILHIQRAAKTVCSQRETERRKLKEQLESKLQRAKRQRAEYLKQRGSPRNSVHADYIKHADFLSTKLARCWRRFVKSNKTTYALVQAYDALGINDKSVKSMPFDKLVILMQSPTNIQTTKAVLDRFEKRLLLSELAGSSSAENIDHLLKRLESPKRKVPPSRTRVASKKPARSSDSSGTSRLSRYSPRVVLCSYMILAHPSAVLSGQGEKEKLLMESAEKFIKEFDTLVKTVLDGGGSRQSTNTYTAESSSDTAGQRKFRNQLVNFDKAWCAYLYRFVVWKLKDAKSLEEDLVRAACKLELSMMQTCKLTSNGQSHNLSHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMNSALLDTRSKFFEAKENGNPLATPVANISTPLSINSSGHVPPASKPTLEGSNFTAETSPVASSSSSTSPMKLPTDNEQMVNEMLHEDGSFAGNSDHISAAEKDFQAKVKATMEKAFWDVVTDSMRGDKPDYTHLINLVREVRDSLHELASKGLKEEILENIDIEILSQVLEAGSLDMRYLGQILHYSLDMVRKLSAPAKEDDMKKSHEKLLNELAASSEGTDNGTNSFVIAVIRGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPLDTSASLPITKQWISATKNIMEQEWSEHLDALEVLPAGDNAQRLVTVLRAGHGAPGTQTSLSAASSSDLPECKGEKFDKMIRVGLLQLVSGMEGLQMQSTPESLQLNLVRLRAVQEQFQKVIVIATSMLVLRQALMSKNSKITPPELENTISELFDALVKLLDHNPEAGTSEIAEAMASSLASAGSLPDEQQIQATKELATKMLLKSLQAGDTVFGKVSQAVYCAFRAVVLGGGGARGRKLAEAPLRRVGAAXXXXXXXXXXXXXXXXATVSEKVHGPWYNALA >OB04G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10445479:10450611:-1 gene:OB04G20710 transcript:OB04G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRRPFLLLDPPKDLNPYEKVFQVRFTKEIFRDYHKYLNRVNLYRERVWTCKVSGKSNLTYEEALVSEHHAAEKAQKLPRELIEPVLHMIQYSTLSLTDLVNKIYGTLQEEFFERLELNGRKDGSVSACKILKVIIGSGNTKTYEVGWIGQDNAVTSTSVVQADDLLIRKKARAGRSMLKIFIRESTSQNSPWIIHANLAKKYGITTEPPKDLLNGQGLPKLRGLENGTTTDGRKKLKKGEPIDDLLLKPTADDPNLSKKHPLSTDFRVPVDYVGDLLMVWDFCMSYGRILCLSPFSLSDMENAICHKESNLALLVEIHAALFNLLIKDRGGYFTFLQNKRRKSKVTLVTWAEYLCDFMEMVSKEEFSSSLSTVRRGHYGLLHTAVKLKILRELVDEAITTSAVRRNIDEKIDHQQAIAASKRELARNIKEGEKLTMEGVAEKETSQTDAAQNVNGSVNGQVVEKEGKEKKNKYANNKMGEGRHLGTELDKQSIQTDSLGKDRLYNRYWFFRHDGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTISNALEKRTKDVTQKMLLEEVTLRRSSRVQAQPKDNPSMSFLKYVNKWKEN >OB04G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10466334:10466486:-1 gene:OB04G20720 transcript:OB04G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFTDLDSYDNEVISSELNLPASFSSFLYTVINLPYAAILCNTFVPLKL >OB04G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10467810:10470264:-1 gene:OB04G20730 transcript:OB04G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPHRLLLAPLASLLLLSPPLLLASSSFPLPTIAIAATTNSSSPTTQKHLLACALVASGAASRVSCASVSNRSAAPHVYSYGSGDGTSSPFSAVVAGDGYLCSAGPTSSPPMSMRWWDLNEGHDESKRVYRGEVLSAVSGGGESVCGLVGETMQCWRCPWGQGEASPPPALAQKQFVALEAKGKVVCGVLMSDYSLQCWGGGVAGGARKVFDRVLPGPCAPSKSCSCGVWSGSAELCGGGSDVSVCYPCGYTPPQMALAPASNSSSTSQSKGKHRPSNLVIALISAGAGSGLVALLAAVVVVYYLRRHRGSSSPVSGRIHAEPTGPAVPRVERRLSALLSKGPNTTVEQFPLAALRAATEFFSPAQRIGSGSFGAVYRASLPDGREVAIKRAERRDTGGPSSSSAAAARRIDHEAAFVSELALLSRVNHKNLH >OB04G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10476199:10481270:-1 gene:OB04G20740 transcript:OB04G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MSSSTNSENISTGTGALPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPTAPSSYPYSQPRKDTSPQAATVDVSATKVESTGTSEEADVAEKPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKERETVEATEQKAPTNGTAFKMNEDSASGTTESSNSGPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >OB04G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10484299:10486467:-1 gene:OB04G20750 transcript:OB04G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPSVFAYDEVYDEMKEKAARPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYGDKDKFVTSAYRKKLEEERKWLEEEKQRQLQEEKEDVTKKKDLSDFYFGLQNNVAFGAQTHDNTKHAKPEKVDNKEQVKISKVGAEASDRSPKRKRDSSAGTEMANESRTVEEPAATQSRDSAAARSTEQSADVSLDASQTQTPQNTQPAPQTESPQNSQPAQITDEHYKRNADALAAARERALARKRAKAQQI >OB04G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10491939:10498734:-1 gene:OB04G20760 transcript:OB04G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MAAARAHVKDPAHRTKVVLRRLPPAIAPQAVVDQVDARFAGRYDWSCFRPGNASQKNHRYSRLYLNFKSPEDVVEFAEVFSGHVFVNEKGAQFKALVEYAPSQQVPKLNSKKDARQGTIMKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSGSSRLSRKVAGAVTSSPSPSKRGSEKRRTSASTQYVLRDNAKEKPTYILVPKKDEHAQREKNTSGTSDATIGGISGSAQVAENKKEKIVLLKGRARVDSNTSDVTTQQQSGTPMKNAALPSSRQDPRLEGSGRIIKTILSNKEGRHVVMSQHDQEGHIITAEKRPPRIPNPRSTVKDQLVENAEKGHLDDKHSHLHGSGPISEKTERHARNRDRPDRGVWAPRRYDKSVSGGTHSPSEFSAMQQLSGENFSQHADGHGERKIDPRGHGGIRGGPVENGHKHANRRGPPRGLKEMEISASTSDGKPSKRGSANYGAHERQVWVQKSSSAT >OB04G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10510397:10510806:1 gene:OB04G20770 transcript:OB04G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIDNDQSEFLLDRAAAAEGDWQRQRGGVEDFADGLLPSHDTSVPLDRFRLHVARVVACTNTGRWIRRGLARRPAALDVSYDHPGLGRRPAHTFDFPSGAGARLRTLRLSRVTLSFRGVIFQSAPSEDLLV >OB04G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10512973:10514866:-1 gene:OB04G20780 transcript:OB04G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGTALRIRFGSSGRVLLRCRCRLGDDEPQPSLIHSWVCSPIYILSYFRSRSPYQPAFPFITVPSSDARGGGDKCKKSLARDENPIHPRFVDHEEPVECTIIENIDACIIGLFEKRASTREAAMVCLIRALEGFKVVEDVRCKYETIVSRCIFSLKKGSIKEACLAYRALGILALNVGGGNGGDATMGSKHILAEAFPYLSKTVEASSDMRRVLPALDSLAAATFTGEIRDEEIERSMDTIWDSVIEPTSGYSEPARKTTPQVLAAAVSAWAFLLTVVHDRYEAEPGESCKDKIAVLAELLYNDDRTVRMAAGEALAACIELKLAHDTSLKDMAAISTTVSDLAIESTGNGADDKRQLTDQRNVFVQIEEFLRTGKCPMKWVRPASNRQHVLTVSTWTKLLQLNFLARFLGNGFERHLLENPLFEENFEIVNDEVEGLLVGRNRLLTDRKMRRKARTLELKKRRADVWEEKNKFGLPEKEPESDTMALVLLPTPPSQLVYQARRNRERLLPPATTRHMLPPPPPPIL >OB04G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10518192:10519475:1 gene:OB04G20790 transcript:OB04G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDLLTTVFHTFNDPADLLRCTAVCSSWHAAAESIRERHPPPPCLVYTAAASSNGDDNTRAATIFPLAGGGRTFEVTLPAEPPIHNRFWLGSSHSWIVTADADSAELRLVNPVTGQQIDSLPPVDTIQHVRHRSQSAAAADDDEDDYDYEIVQYDWTMEQRDDRPPTEVKADELAEYLLMRAFLSSDPSSDSGGGCIVVLLHRPEYQLSFARVGVDERWAWVNLPDSDFYTDVVYNDRDGMFYAVTHQVAIHAYDFSGGPSAVRRTIVLADQLHGVIDLETKYLIRGPEGGEWLQVWRMLKPVRAGEVHDAGAATHNDTTRTTTVYRKTTIWIKVYRVDLAAQRLKETATLGDGGDNRALFIGCSQPFWVPAGGGDGECPGGVLPNHIYYTDNEEDYALLYPEAPRDIGVYSVVDDSFSPFRPTQP >OB04G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10520452:10522340:-1 gene:OB04G20800 transcript:OB04G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRWRDLWCSTPYINIDQPEFGIRINVREPIQEEVWAKFEDFTTNMLLFHCNTVSLDKFRLCAQSQHRRAVERWIRLGIRCCPRVLEILVRGVDRFPLQLPHLGSSSCRLERLYLSSVALDSRFAEGLCSRFSVLETLELVTCITSFENIASSTLKKLVLDSYEHQTEQPLVISTPCLESLELTIHDRCYQDEPSDYFPVEKQRRLLASLFNAITLDLNGFNTMAFLKKNLDEFPIYPITRTLSIGHCFLDDYDLNDKVEALGTFLQNAPCLEKVIIEYSMFIVDPDEEWDMKSITLRRDIKTFHCPKLKLIEIWYESGVDLRVTKLVWCLGRILPDASIKVSMYR >OB04G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10525363:10527909:1 gene:OB04G20810 transcript:OB04G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGGSGVGNAHDDDDWEQLGAAGAAVAADVTLVLVGKVGSGKSATANSILGSEAFASRWSYAGVTQTCQMRNTVFQDGCASRTVNVIDTPGLFDMDITAEDVRKEIVKCMDMAKDGIHAMLLVFSAKSRFSCEDEKTIESIKLFFGDKILDHMVLVFTHGDEVGGETNWKNMLSATAPPYLQNILKLCTNRVVLFDNKTIDDHNCQTQLKRLLDAVDLVISSNNGNPFSNEMFTNIQEARHRQKDINSKVYSSKEIDGEYISLVTNMVEEKLNSTIQSLQQQLLKEQEARLDIQNEMTKVILRSEEDTRRLREILEKAQQESNNAREKNKKLMESEKARQEKEKKRKEEVRRLKDNLEKVRLESEHHRKMFENKKECTIL >OB04G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10528318:10533564:-1 gene:OB04G20820 transcript:OB04G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQMFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPPCSMAAMDGSCEQATGVKASIFFAALYLVALGSGCLKPNMIAHGADHFCAGELIALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAAFYRNKPPQGSIFTPIARSSRRVRVAPCIMVVSLSYSAVHSVVRNQIMDRVVFASSCSSDLVFVAAYTERKQICPSSSSDPANAGVCEPAHLAGGNFRHASKFRFLDKACVRAVQQAPNTKPERPWRACTVAEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALGGGSFRIPPASLQAIPYAMLLLLVPAYELLLVPLMKRATGTRSGITPLQRIGVGLCTVSLSMVAAATVERRRRDLSAAGAPRMSVLWIVPQFLVFGVSEMFTAVGLIEFFYSQACAGMQSFLTALTYCSYAFGFYLSSVLVSLVNRVTAASHGGRGGVLNFFCYLLCARWYNSGADGSDAASAQVAAAEGDVKEII >OB04G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10529165:10529683:1 gene:OB04G20830 transcript:OB04G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDAGGMRNEPPPSAVSMALPCCTENVCSCASTVLKTMVHAKMGVTARSVFACCTSATVHARHGRSGFVFGACCTALTHALSKNLQTDFATDQSVTQLQSNVHGGAAPPVPETRSSPEFAGVAEVAAGEVCRLANSGIGRIGGAGRADLLPLGVGSNKHLQEQYQYCHDC >OB04G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10531383:10531954:1 gene:OB04G20840 transcript:OB04G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHINLLTHCYFKFPELMVLLSYNKCALILQPCKYYSYPCNRREDAALRGLVPVEGGAGHKADEPGRHGGGGDAEADVHPGVRLHPDQRRQRDELAGAEAEVGRVEVGGEPLGIVRRHSPPPPAGAAAGGELVGAVRDHVGLEAAAAERHQVERREEDAGLDARGLLAAAVHGRHAARRRLQLRQVRLH >OB04G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10560892:10566078:-1 gene:OB04G20850 transcript:OB04G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWYFAAKFFGPKRPPADPGMLMSNLFQKGEPMDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTRTLTFYPSEAVKHNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHSVVAYLRKPKDGKKKSLLGDSSESGEQPPPKEDKESVDKDEGPVEYISYWKPNVTINLVDDFTRYPQNNAPPNVAPYLNVDPSSGNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQLFLQMEQSFQVHRSYGSMLEGEADELKRVLLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRDSYAQNKTKEYDAIAMKYLTYVLFFLVICFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDSAAAAQQTGAEAEAETSTEDKKTK >OB04G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10575572:10575823:1 gene:OB04G20860 transcript:OB04G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACRRDSFIPHRVFCDALAAETVRLNAASSAVAAAHVHHAARPPRRHVRRHGAHHPELPMEVDDRSGPVRYCLHYSGVQQLM >OB04G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10579102:10580250:1 gene:OB04G20870 transcript:OB04G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCQRASVSVTPRALTASRHDGRTDVAEGVEYRTEPGEEDDASSVARVAPPRPLTGHVETAAACQETPGTNQPTNQPTGAIGKASVSLSPPPIPPLGAACLLRLVQLPSCDRDRRSLSKPSDQGGGRLTSSSSSSYQWQPKAKATTTPTRPPPPPVPPASSFRQPAAPGSDRAWAIPTAIPSLFGGAAGYWEESSCCCCCCYGALSAAAATGQSRSVEMELSWERRSQRQS >OB04G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10580321:10585606:1 gene:OB04G20880 transcript:OB04G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3LY63] MAAAMEMAANPGGGSGSCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAETDSDEVYAQIMLHPEADQSELTSPDPEPQELEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRDENGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTRTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSTSPWADSDWKSLKVQWDEPSSIVRPDRISPWELEPLDTTNPQPPQPPLRNKRARPPASPSVVSELLPSFGLWKSPSEAAQTLSFSEPHRTREIFPSIPASIFSASSNAEFNSKKEPSMLNNQFYWSARDSRADSFAASTNKVRVERKQEPTTVGCRLFGIEISSAVELLPAATVSGVGYDQTVVSGDVDSDQISQPSNGNKSDAPVTSSEHSPLESLSRQVRSCTKVIMQGMAVGRAVDLTKLGNYGDLRRKCEEMFDIQGELCPSLKRWQIVYTDDENDMMLVGDDPWDEFCSMVKRIYIYSYEEANLLAPKSKLPNIGDTIQFSGVNSSPESVGLDSHASVTNKDC >OB04G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10589137:10590286:1 gene:OB04G20890 transcript:OB04G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSPGEDELAPPGKKAFPVRVFSSADGKWRRGALAPGRCAPEHLYDRVMALCRLRTEVKDARVRRWRSAEYCRGALYAHSESHVLVVLRWAEGTYDMVELPDGGKAGWVRYPYMHVLAARPLELVSSSPDGGAVRYAKVTMSGRVRIWALEESSSDDGGGGGKLEWTLTHDKDLAEHPRLLEPLDDDTLDSLRMMALSRSPGNDDDSASAAVTAADDDEEEDAVDGWSKRWSWDEAMVSMPEVDTEPKDEAGRGRGPPARQQCGRSTWWGSTRARRCSSSPPARSTSWRTTSGAASSFPSLNAAKSRRRY >OB04G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10592264:10594627:1 gene:OB04G20900 transcript:OB04G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MDMYGRIGALADARLVFDEMSCSDAVCWNILITGSSRAGLFNDVFHLFRTMLASGVDESMPTAVTVAVVLPVCAKLRVLRTGRSIHGYVIKTGLNFDTPCGNALISMYSKCGGSRAMDDAHIAFSTICCKDVVSWNSIIAGYSENGLYEEAFALFGQMISDGCLPNYSTLANVLPFCSLVEYGKHYGKEVHGFAVRHGLEMDISVRNALMAHYSKVCEMRAVESIFRSSKTRDIVTWNTIIAGHVMNGYPSRALELFRKFLFTGMTPDPVSLISLLTACAQIGNVKVGMRVHGYIFRHVELLQETSLMNALVSFYSQCDRFDAAFRAFITIQNKDSISWNAILSACATSEQHIEQFFRLIGEMWHDVTQWDSVTILNVIRVSTFCGIKMVQEAHGYSLRVGYTGETSVANAILDAYAKCGCPHDAETLFRNLAVRNIVTDNTMISCYLKNNCVEDAEMTFSQMAEKDVTTWNLMIRLYAQNDMCDQAFSLFHQLQSEGLNPDTISITNILLACIHLSSEQLVKQCHGYMLRASLEDIHLQGALLDAYSKCGNITSAYNFFQVSLHKDLVIFTAMIGAYAMHGMADKAVELFSKMLTVGIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARGGRLQDAYSFALDMPSHVVNANAWGSLLGACKIHGEVKIGQLAADHLFSMDTEDIGNYVIMSNIFAADDKWDNVEHVRKLMKSKDLKKPAGCSWIEVDKSRHLFIAGDVQHQDRSSIYNVLGSLYQQIRSTQGQNI >OB04G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10596417:10605903:1 gene:OB04G20910 transcript:OB04G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQKTPNSGWAAFDRKWRSKDGRGDDADVNSFPTLSDYIAPSAASSSVAENSRPKPKPFASVLRPSLDFAADSNGNGNKHFTVMENANCGVKSAPENKIKLLSSAHSWADNNLIEDVLASVNNDVDQASALLKTMASPCFPRMDDELPDQLSSEINNTHGLSSANGIEDNSHVNGSQSLPLPMNMSSVPIEPELEELDDDYLNHRKDALKMMRAATKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEKLNKKAAEEIFRLRNSNNSIWKLDMHGLHASEAVEVLEHHLHRIEFQQPGNNAASTGGLAKSEPAMAGSSIEPGPAKVVFVRPRQVVLEVITGIGKHSKGQASLPAAIRGFLIENGYRFDELRPGVFSVLPKFRRRVSFSNYKSIKRKNHKGIEAGEVAKINEAAAGSSVLRRWGARHVAAFFAASPDLWLPPARASFRFWSGLRGPQVSVACPSPVLLLLPRKKVGMHAMASPAVATRHPSPSFFGPDPRRRLLRHRGNSPSKLGFRRLGLQLKVTAIFGWIRGDTTTRELNPSAESYPLTGSASEVDTKPREVSVNVVSSIMDIPSADWDACAVDSVDPDKFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENESIVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYKDQVFEALVKALKSLTTKLKASSLHITFPSEGEFSKLKDNGFMQRIGMQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSGHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLNPGFGTAIGDYLAHETAQVKHVIKVLHDSGPYKEDILNDFLLEQGDGV >OB04G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10606393:10608928:-1 gene:OB04G20920 transcript:OB04G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGRAEEGVTRVLLVDDSPVDRRVVQLLLSSSACAGSFHVIAVDSAKKAMEFLGLKEEGKEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISRCLNAGAEDFIVKPLQSKDVQRLRNCSSANAQCCNAGSDGKLLLPSDHVVVDASAAAAASTPSSRRRARFAGVAMVLHSSSVELSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLDLWCA >OB04G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10619372:10619536:-1 gene:OB04G20930 transcript:OB04G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSEKSMGEREEREKVNLVGSTSMRGVALSYVALAFSLESLLQRACIVDAFRLS >OB04G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10631263:10636631:-1 gene:OB04G20940 transcript:OB04G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3LY69] MDGHPSPRSHHQLPPAERDGSFGYDIESMDGGGSAWRGVATGRNGSSEALLQYDDEGDGGPRQPLLRKRTINTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMSTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLAATASAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRALIEFCRSGKCGLFGKGGLIMFDLSSTIPTYTAQDVVAIVVLGIIGGVFGGLFNFLLDRILRAYSFINERGPPFKILLTMTISIITSACSYGLPWLAPCTPCPVDAVEECPTIGRSGNFKNFQCLPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFIAIYCLGLVTYGIAVPSGLFIPVILAGAAYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADCFNKGVYDQIVVMKGLPFMEAHAEPYMKHLVAGDVVSGPLISFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGKTFMKEQAKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEELEMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHILGLFPNLSKSH >OB04G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10637507:10637731:1 gene:OB04G20950 transcript:OB04G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVPIPLTTHHDHILQVLHRKRNSTVELIPSTDQFKLHWHHLSSSFGTRICKEFKQDMLESGWGLASHERHLST >OB04G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10643271:10647387:1 gene:OB04G20960 transcript:OB04G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGSGYLFLYPLFSLLFLRLPWCHLVYSTTEPCLVKLGSQLEQEEKKRGGQSEKERSRGECPENPDARMMPEESPSLLLECYGVDRLDQRLPSMEGLSSGEEGEEEEEEEGGSGFHDDGTGLSPETADDPVPYVGQRFPTHDAAYEFYSGFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNSPAKPFAADADARPQRNRRSSRCGCQAYMRIGRSVVAAGDPEWRVTGFSNHHNHQLLGQDQVRFLPAYRVVSGADRDRILTFAKSGISVQQMMRIMELEKRVEPGNLPFTEKDVRNLIQSFRKFDQEENVDLIKMCRKFKEKDPDFKYEFTKDANNRLENIAWSFASSVQSYEMYGDAVVFDTTHRLTALDMLLGIWVGLNNYGMPCFFGCALLREENVQSFVWALKVFLNFMNRKAPQTILTDENMYLNEAIEKVLPGTKHALCIWLISARFPSWFDAVLGELYNDWKNEFYRLYKMESTMEFDLGWNDMANCYGLNGNRHIASLFASRTRWALPYLRGQFSAGLLASGVTSKSINAFIQRFLSAQTRLAHFIEQVAVYVEHNDQSEEQQMMQQNLQSIPCKASAPMEAHAAAVLTSHAFSKLQDELAAASQYASFHLEGNIFLVRHHTKTDGGCSVRWSQREELISCSCQMFESAGILCRHALRVISTLNYFQIPDHYLPVRWRRTQPSPSKSLNGPHCGESPERVRALQSMLSALVTEASKSNERMDIATQEVSGLLSRIRQQPVVVHVSGDGVHKQR >OB04G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10647942:10648427:-1 gene:OB04G20970 transcript:OB04G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAAAAAAASTFLLFVAGLAVPTASAADPYDPPTVPELMDRFGLPRALLPDTVRRYLLHDDGSFQLFLDGGGCGVEAGGSGTGYGIKLSGAVAPGRVTGLEGVRVRVLFAWVPVTGVEAAGGEVTVRIGPLKKSFPAVGFKSSPRCIAGAAAATATDS >OB04G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10650873:10652667:-1 gene:OB04G20980 transcript:OB04G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3LY73] MMMLSWSRTTISPSSSSQALVLVAAVLSYLVSYSGHVAAAAVAGHPDYADALAKSILFFQGQRSGRLPPDQAVAWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTATMLSWGIVEYGGRMQGRVRDARAATAAALAAASLAFKAADPAYSRRLVAAAKDTMAFAVRYQGKYSDHVGGDVGAYYASYSGYQDELLWGSAWLLWATKDASYLDYLTSLGDNDGVDMFSWDNKLAGARVLLSRRALVSGDRRLDSFRRQAEDFLCRILPGSPSSTTQYTPGGLMYKSGHANLQYVTSASFLLATFAKYMAVSNHTFSCLSLPVTAKTLRALAKKQVDYILGANPQGMSYMVGYGARFPQRIHHRAASMPSVAAHPARIGCQEGFSSYFNAAGANPNVHTGAVIGGPDKNDAFPDERGDYDRSEPTTYTNAALVGCLAYFAESYKS >OB04G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10657530:10663897:1 gene:OB04G20990 transcript:OB04G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase methylesterase 1 [Source:UniProtKB/TrEMBL;Acc:J3LY74] MEPAPLASLQEEGEGEPDESPSSSAFSADAVPPRPATHHSLHKYAPLDWSAYFDEERRVAVPDTEDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSGDVIAVLHTLYGDSPPAIMLVGHSMGGSVAVHVAARKVICNLHGLVVVDVVEGTAMASLVHMQKILTNRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESRNCYTYRTPLEETEKYWKGWYEGLSEKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASYILNFIARNKIGPNGVEIPGLIKKWQH >OB04G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10668409:10669569:-1 gene:OB04G21000 transcript:OB04G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQILHWLMFVGPLPYTDSPSANNLCLFSPCSNALLMFILLAGSWRSLCFDRSEDAWNSPVGVLYVMHPLTFFTNIIGVVTIVLISIVSILGIICLCHSVNFQLLIKRRRRNYYRANNEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQANVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEFGALNRKWNQKTICAVFMLCSPSLIWEACVVFIGPHVASDDSQTKASKYWYSASTVHNGDVACTYPLLSSIFLGAFYTVLTIYVIFVGGQILSLAINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFISFLVLMLAAMLGIVILVYFPVAETFEVRDQEHVELQTSHPMPFDDC >OB04G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10682504:10689564:1 gene:OB04G21010 transcript:OB04G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVTRPAHHHPRLLCTTAPAAPAPQGRSRPPRARARPRTVSLVGRARRPPSSAGAGETETEAAADATSSTASGNVLSFLCPLLKFLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENDVIPTSTPTLQLYEFGKNIGSLVRIYWHANFSFFQNVLNNSFPIEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFGQYGQGKNPSIGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNCGEGSSKIDLLQRIAGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEMGIPFLETSAKDSINVEEAFLAMCAAIKKQKSGSQAGLERKASNLVQMKGQPIQQQQQMQKSSCCSS >OB04G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10689819:10691884:-1 gene:OB04G21020 transcript:OB04G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMAGLIERYKSNLQEAQIESSEQNISQVVQQEVLFLRQEVDLLQNSLRYMYGDKYINQMNLGELQSLESKLEAWVHNIRTTKMQIMSKEIEMLKNKEGILKAANVILQERILLAINFFRETALFKILFCSCRNLFRIRIRILK >OB04G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10697259:10700722:-1 gene:OB04G21030 transcript:OB04G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSAAPLLTPYKMGRFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGYKDTPGIWTKEQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSNNTFQPNGQAPISSTNKSMKPAVRANGIDVATFSTPRQLETDEIPSIVNDFRVAARNAIDAGFDGVEIHGAHGYLIDQFLKDQVNDRIDKYGGSLENRCRFALEVAQAVVDEIGADKVGIRLSPFASYSEASDSNPEALGLYMANALNKLGILYCHMVEPRMAKLGEKFETPYSLGPIRNAFKGTFIAAGGYTQEDGNKAVSTGYADLVAYGRLFLSNPDLPRRFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDV >OB04G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10710325:10713411:-1 gene:OB04G21040 transcript:OB04G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFQREEVQKGESPNMEPISLLSPYDMGKFNLSHRIVLAPLTRSRSYGNLPQPHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTYNPIEKTAENYFLDFSNPRSLPVEEIPDVIKHFTLAAKNALDAGFDGVEVHAANGFLLDQFMKDGVNGRADEYGGSLENRCRFALEVVDAVVAEVGPDHTGVRLSPFSRCLDCADSDPDALAVHMARELNARDVLYCNVVEPELAAAAANSGGAGTRVPHRLRGVREAFDGTLMVGGGDDRAEGDWAVADGYADPVVGYTDYPFLDGDGDSDDGI >OB04G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10717505:10726239:-1 gene:OB04G21050 transcript:OB04G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSVYVHTYLEMHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEFTSYSLMWLSLMFDQFFSTVGSPIADMDCLFDSSRGASERAKMEHVPLLSPYEMGRYTLSHRLKLVLFGGCKEQTIELPTIVLFTLFLQNCAGTINKIKIIW >OB04G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10732904:10736653:-1 gene:OB04G21060 transcript:OB04G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G26230) TAIR;Acc:AT1G26230] MMPRMAPPPPPLSGKPPTLPFSPNKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIEKTASALVSELRLMSREVEDHEIAHVAAVSAGDDYAVGNMISDAFKRVGRKGMVRIENGRGTENGLEIVEGMQFDRGYLSPYFVTDRTNMSAEFTDCKILLVDKTITDASEIIRILDSAVKEDYPLLIVAEDVEEKAMADLIKNKLKGTIKVAAIKAPSFGEQKTQCLDDIAIMTGGTVVREDMGYTLERAGKEVLGSACKVVIGKDSTLIVTDGSTQHAIEKRVAQIKGQIENSNERYQKKILGERIARLCGGIAIIQVGAQTVIEMKDKKLRIEDALNATRAAIEEGVVIGGGCSLLRLSMKIDAIKESLDNVEQKIGADIFKQALSYPTALIASNAGVNGNFVIEKLLLNDDSRYGYNAAKDRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVVVEAKESKPVRIRPPMPPRNLIPPIPASVSGIRV >OB04G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10737590:10748038:1 gene:OB04G21070 transcript:OB04G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDHKARDRTPAQEARKGQSMAEEFAAPPLECAVCIAEVADGEAARFLPGCGHGFHAECVDLWLGSHSTCPLCRVAVDDGRSRNAASATRTATAPPFALPPVQPEPANYAAATSLPTNVLFWGTHGAVRTTTARTIHVDGRHTGPCPSSGGEAATVLVMEVPATTTTTTTATATTALRDGGAAAKPQGSSARLVGSLRRMWS >OB04G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10789565:10790380:1 gene:OB04G21080 transcript:OB04G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLSPRNGADESPEEIQELIGDKPKSDLASSGAVASSSDMENGRGKAAAGGGGSRPSSSPPATDQRARCGSGIREAEAAVASGGIIGRYFSVESFLLLVCVTASLVILPLVLPPLPPPPSMLMLVPVAMLVLLLALAFMPPSSSSAAGGRAAATHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRRRRPPPAAAMRQLRRDRPTCR >OB04G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10794748:10796029:1 gene:OB04G21090 transcript:OB04G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-ATPase subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G29150) TAIR;Acc:AT1G29150] MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPETSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLESQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >OB04G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10796978:10797409:-1 gene:OB04G21100 transcript:OB04G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGTFAARMRERNVTVVTTTLDVDAPFSRFVASRGLVPLHLTLMQRLPFADGVLDIVHSMQLSGWVPSGVLEFALFDVYRVLRPGGVFWLDHFFCLGPQLNGTYVPILDRVGFRRLRWKASRKLDLGAERNEWYVSALLEKPMT >OB04G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10800410:10805178:1 gene:OB04G21110 transcript:OB04G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEREPLLENGNAAGGGAKGSPTAPLPSLAKTVLKVLMWAVSLTWAAAIFFYPTKPAQAALQNWMAVTKHSLFGITGSIFLAFSAPILIVAALAYVYISVFPSDHVHVEKNKLKSLCFRLWTFPVLVDGPFGVVSAVEFIGIVLFITYVVYSMTYYALESVSLISKFDMTSLTHSELLLYIIGIRFGSVGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMILFTLHGLCYVIAWSFEGNLLKEMAEWKEIGVANLPGVISLAAGLLMWVTSLHLVRKRFFELFFYTHQLYVIFVVFLAFHVGDFAFSIAAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPANLRYNALSFVFVQVRELSFLQWHPFSVSSSPMDGRYHMSVLIKVLGSWTERLRGIITDAQEQTRSGSGSESGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIVHRIEEGRPCMPKNVLVLWSVKKSSELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEDGIVGDDQKATGMFVKNGTTMSGLVGTGDSFWAAMYFAASTLGFVLAYALAQAYYVPRFHVVAWWHLGLVFVLCMTAGVALPGGLVVLLWHLSEKGKAEDDSYSYD >OB04G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10805761:10808170:1 gene:OB04G21120 transcript:OB04G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGYGSVTIVHDARSPEDVFQDFRSRRAGIVKALTTDVEKFYELCDPEKENLCLYGMPNETWEVSLPADEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKEARKQLFAMISTLPTVFEVVSGSVKKQSKTANNSSKNKAGSKVSTHPPKKPSSDSKPPKQYTKDNSSRGNGGHEDQGETSCGACGEAYTNGEFWICCDICETWFHGECVRITPAKAQHIKHYKCPNCSHKRGRE >OB04G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10807516:10814840:-1 gene:OB04G21130 transcript:OB04G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREMAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSWHGSSALRLPKDLYDSLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPEQLLDLECVQLVFLADVAVHFFLAYRDSHTYRMVYDKRLIALRYIKGSFALDVLGCFPWDAIYKVTGRMEVVRYLVWLRLYRARKIMAFFKKVEKDIRVSYLFTRIVKLITVELYCTHTAACVFYYLATTLPPAREEGTWIGSLTLGDARYINFREIDLLTRYITSLYLAIVTMATVGYGDIHAVNTREMAFTVVYISFSILLSAYLIGNMTALIVKGSKTERFRDRMTDLIRYMNRNRLGSDIRSQVKAHLMLQYESSYTRDRVVDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVVKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEDIISELRPYDIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTRILQIYIKDNGQILSNLLKGKETESKGKQLESDITYLLAKQESELVLGVNNAAYHGDIFCLKSLISAGADPSKSDYDGRTALHIAALRGYEDIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDKITSLLVEHGATLNLEDTGGYLCRVVRDGRINLLKELLKFGISPNCRNYDQRTPLHIATAEGLHLVASTLVESGADIHAKDRWGNTPLDEGRRCSSKPLVRILEQVRSVAAN >OB04G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10812497:10812850:1 gene:OB04G21140 transcript:OB04G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVIYLVQLILFSHLPVHGASPTPSSLFRLLSLSLLYQCHIPLYMHCKFSMQRNEQDGAYVYVSIHLSMWPATFCCRRAREKQLCACTNGTTGIEAQLGSILVTNAVVINPISSPD >OB04G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10814071:10814343:-1 gene:OB04G21150 transcript:OB04G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVCPALQHNAAILSRSHLKDTPNGPRLMHFQTFSRCMQALIKRFRELQLNSALFCLVKLPRVVVVHYRQPARILTLSKHQSAESLAKG >OB04G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10820165:10820679:-1 gene:OB04G21160 transcript:OB04G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMVSTAFSARRLHTTASCATPARGARRLAAAVRASASEAMATEKLGVRVERNPPESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPDGAGEDFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >OB04G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10823300:10823813:1 gene:OB04G21170 transcript:OB04G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFVFSLLVVVVVAGCSHAALASSSVVEATCTKATASGNRRDLAPFCVAALQAAPGSGXXXXXXXXXXXXXXXXLVGARAGRARHVPAAVRRGAQRRAQRHPRAERVPDEGVRGGHGRRPERRHRLRTRPYLRGGGGPRRRVAAAQGGRRRHQPDHHRHADRHHPVTDP >OB04G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10825356:10828001:1 gene:OB04G21180 transcript:OB04G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGMRVDVANLLALGGDLVGVLGESKDGEALAQACAGARMLRSACRSESGDLEVQLKKYQEKIKSCKEKIEKTKSETIDDDELNALQKEMEEELQDEQRLREDLRTVRQELDNLDSQRASIEQRKDAVRKKEKDMMKAQNMLSMCVSVTKIMPNFDDHDKISGYIVDKSMKKLERFEFDKMTPPLDICDKLWNWKMV >OB04G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10832083:10832454:1 gene:OB04G21190 transcript:OB04G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRLDFASELESQRVQFFLNTQMELSQVKNLSSSPANAVAPPGATTTGGTSRRMASVNDAGASGNYHRRYRVSESGRHRHPPPRPHYQYHENNVAAAAATAAASDGEQSDEEDEDEEEEIQ >OB04G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10833932:10837899:-1 gene:OB04G21200 transcript:OB04G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:J3LY95] MGLVSVFGNEVDAFYDRLLAGESGVGPIDRFDAGSFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLGKGSDGHSKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADVIIAGGTEAAIIPIGLGGFVACRALSQRNENPETASRPWDKERDGFVMGEGAGVLVMESLHHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITTSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIAAVKAITTGWVHPTINQFNPEPEVDFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >OB04G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10840502:10844032:1 gene:OB04G21210 transcript:OB04G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDEIFLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELVWFWIEQDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >OB04G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10854424:10857747:1 gene:OB04G21220 transcript:OB04G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVISKIFCSSTLTTLMIRRRPIVVNGGGFVVTDLSNNVVFIVDGCGMLGSKGELLVKDGDGEEILFISRKGGIIQALSTWNKWNGYSMDYQGKKKLVFSLSDPKLCITKGAPTRIHIEHKRHCKNWDFEISGSFADRDCTITDCSGKIVAQMGKKEQIGCKDFYHVVVQSGCDKAFIIAVMAVLDNIHGESTRC >OB04G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10858249:10859493:-1 gene:OB04G21230 transcript:OB04G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06000) TAIR;Acc:AT2G06000] MTTHHGYLPDDRFLSFLISSCTNANLLDASATLLSKAPGFGCRVEAYAYNKLMSLLIGHGRVHNAVALFERWIQDRVYSPDVWSFNVIIKGVCRVGYVQKALELVERMNEFGCSPDTVTHNILVDGLCRINEVSRGHEVLKRLQRDGVCMPNVVTFTSVISGYCKAGKMEDAMAVYDDMVVSGTMPNAVTYNVLINGYGKVGDMGSAVQVYQQMMRLRCPPDVVTFSSLIDGYCRCGQLDDALKIWSEMAQHHIQPNVYTFSIIIHSLCKQNRSEEAIGLLNELNLRPDIAPQAFIYNPVIDVLCKCGKVDEANLIRKGMEEKGCRPDKYTYTILIIGYCMKSRISEAIMIFHQMVEAGCSPDNITVNCFISCLLKAGMPNEVDHVMRLASGGVSSIQEVPSPVRQRLDISVAL >OB04G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10862169:10865481:1 gene:OB04G21240 transcript:OB04G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 [Source:Projected from Arabidopsis thaliana (AT2G06010) TAIR;Acc:AT2G06010] MASSSRAAGGGGSGVDGGVGDGPTTLDELYQINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTLSMFPGFDLRIGWRADYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDRY >OB04G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10864262:10868902:-1 gene:OB04G21250 transcript:OB04G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAGAAATPAKASPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLGNWKLAIGAAVIAIALLAQFYPKKFPQNREFLLGCIALYVVLNVVLLILSYTKEKDAIMFTHPPAGSFSSTGLVISSKLPRFSDMYTLTIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDIERLIDDYNSERKSK >OB04G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10872455:10872658:1 gene:OB04G21260 transcript:OB04G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEADCTRCSDMTRLCGRSVTVKRKNGFYNVVIGKMQWSYRIPALALQFTAIGIIRSRVVKKKPRT >OB04G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10875282:10879659:1 gene:OB04G21270 transcript:OB04G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3LYA2] MSSFWGAEVKPGNPYTHTHRPRLGRLRLTQATLGGEPGKVVKGGGGKNNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSIHLAGYYIGDVYGEEIDDGDTGSDSLQGSDDDAFLDTDDDDSGGDDDDTLLIPLSRGSSDGEDDDSEYDSEDDDSEMIYNQPRGKSTVVIEEIQEDDKPAGGGGQKGSDKKQISENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKGTKGNSKKTSNLNKKITAEDRKRKNGAVNDHDSSGEVKDENDGVSKKTKKAKAKKTSVDSVGKESKQEDSPADLVDAKQKKNKKNTSEAGTHQNADKTNHVHNDAEEVTAQEASKKKKNKKKKTQEKNASENQTPKTQEKNASGKQTPTDLTESESKKQPLQTRTFGNGMIVQEIEIGKPDGKKAAPGKKVSVKYIGKLKNGKIFDSTVGRRPFDFRLGIGEVIKGWDVGINGMRVGDKRRITIPPSMGYGNQRAGTIPPNSTLVFDVELVNVK >OB04G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10881042:10882044:1 gene:OB04G21280 transcript:OB04G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATENDSTTLGDSAAQTTSMLLYPCGIIRGALINLGILCSDTADMSNLPASLNPGK >OB04G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10883696:10883977:1 gene:OB04G21290 transcript:OB04G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEADYLLLYSTEHWGMASPSMKAFAGGQAAAYKMFDTINRKPEIDGYSTTGRGKGRGRGRGRGRGMGAWFPYVIVVNYLLLLAICILEMIMG >OB04G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10894483:10895808:1 gene:OB04G21300 transcript:OB04G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQPNAYTYNPLIHALCKRGRVTDALSVLDDMLCRGCAPDVVTYNILVEATCKGRGYRQSMELLDLMRAEGCTPNNVTYNVIMDGMCTEGDVDDALELLRNLPSYGCSPNTVNYNTVLKGLCSAERWEDAEELVTQMLQENYPPNEATFNAIITPLGRKGLLQKAIELLEKMSKHGCTASIVTYNAIINGLCEQRNVDGALGLLSSMKSYGCKPDIVTYNTLLKGLCGAARWVDAEELMDNMAQNDCIPDNVTFNTLISFLCQKGLMEDAVEVFKQMPYKGCTPNSITYSTIIGGLAKASKLGQALELFNEMGHKGFNPDKIYQLLSECMNEEDKIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAHEGYLNEARELLNKLCTRDVLVNRLIRSEALLLDQNIRA >OB04G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10897664:10897834:-1 gene:OB04G21310 transcript:OB04G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTRTWFQLLSLVSAYHRFEHPWFQTLVKVRASCFPDLNRFETITLKQIRIPIPLSL >OB04G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10922731:10925003:1 gene:OB04G21320 transcript:OB04G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3LYA7] MLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVHKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQFFPPVSSLDPAVYGSLESAITEEHIIGHLDGMSVQEALDGNKLYMLDYHDIFLPFLDRINAQDGRKAYGTRAIFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRVCMEMSAFAYREIWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDSPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >OB04G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10927034:10929086:-1 gene:OB04G21330 transcript:OB04G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3LYA8] MALSTAQTGESVHSSTFASRYVRAALPRFRMPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAGGGGPVGPPGAIMLAGLAFKRKWQNRRKAEGKPYDNPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMNPEKAVEMVDENTICVAAILGSTLTGEFEDVKMLNDLLAAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGYFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRSLAERLIADLTKVMADMDAHAGKKAAAEPAKKTVREIEKEVTTYWRRLVARKKSSLVC >OB04G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10931311:10933781:1 gene:OB04G21340 transcript:OB04G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYLVHWPMSVKPTKPHYPMRREDIVPMNLRGVWQAMEECHRLGLSKMIGVSNFTTKKLQELLTIAKIPPAVNQVELNPVWQQKKLMEFCKEKGIHVTAYQPLGGQHRTSTINPVMHSDVLKEIAEAKEKSVAQISLRWVYEQGASMVTTSTKPERLKENTEIFDWQLTEEDRLKISEIPQHKRVSVLSILCPDGVSGVVDLSEVDVVEV >OB04G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10933135:10933365:1 gene:OB04G21350 transcript:OB04G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNWGSALETTAFYESLDRICFTHSKIILFFTHHTRPSIKVKRLNYPPFYQISIQLSPTYLFLMNLFISFTDSNVIT >OB04G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10937145:10940452:1 gene:OB04G21360 transcript:OB04G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVPEVALQHGDERARPMPAVGMGTAEFPVVPERTRGAVLAALEVGFRHFDTAVLYGTEAALGDALVEAARRGLLASREEAFVTSKLWCTQCHADLVLPSLRESLRNLQMEYVDLYLIHWPISVKPGPIVLQAKKEDAVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDNLLAVATIPPAVNQVEMNPVWQQKTLREYCAKKGIHVTAYSPLGGQNWRGDNNNVMESPVLAEIARARGKSVAQVSLRWIYEQGVTPIVKSYNKERLKQNLEIFDWELTEDDHRKINQIPQKKIVTAALLFSPGGEFTSIDFSDIEIVEE >OB04G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10945655:10948760:1 gene:OB04G21370 transcript:OB04G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVPEVALQHGDERARPMPAVGMGTAEFPVVPDRVRSAVLAAIEVGYRHFRYATEAPLGEAVAEAVRRGVVASREEVFVTTKVWCTKCHPDLVLPSLQESLRNLQMEYVDLYLVHMPISLKPEASGFPLKKEDVVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAEMSPVWRQRTVREYCATKGIHVTAYSPLGGQNWGGHGNDVMGSPVLAEIAEARGKSIAQVSLRWIYEQGVTPIAKSYNKERLKQNLEIFDWELTEEDHLKISQIHRRRFHLQNCFLRMVSSHQLIFRTLKLWRSRW >OB04G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10950912:10952132:1 gene:OB04G21380 transcript:OB04G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3LYB3] MVLTHVEELGDAGEAAAAAAVFASRYVQEPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDKLILEAVNKNYADMDEYPVTTELQNRCVNIIARLFNAPVGDGEKAVGVGTVGSSEAIMLAGLAFKRRWQNKRKEAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMNPEKAVEMVDENTICVAAILGSTLTGEFEDVERLNDLLAAKNKRTKWDTPIHVDAASGGFVAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGTT >OB04G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10950912:10953419:1 gene:OB04G21390 transcript:OB04G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3LYB4] MVLTHVEELGDAGEAAAAAAVFASRYVQEPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDKLILEAVNKNYADMDEYPVTTELQNRCVNIIARLFNAPVGDGEKAVGVGTVGSSEAIMLAGLAFKRRWQNKRKEAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMNPEKAVEMVDENTICVAAILGSTLTGEFEDVERLNDLLAAKNKRTKWDTPIHVDAASGGFVAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYKHVMNNCMESARTLREGLEKTGRFTIISKEKGVPLVAFTFKGGAGAGPLAFKLSAGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVRMTLDEMDLAAKAPVPKVRLTIELGPARTADEEASVRVVKSEPVTVHKRVPLVAGGGKTKGVC >OB04G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10953756:10956029:-1 gene:OB04G21400 transcript:OB04G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSGRSKRPERKSCGGGGKNQAAVTGKEKVAGPGARQRNGRCSRALCCGASRLSVSSSASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPEEFRRSIAEVITSKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSRKC >OB04G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10960676:10964028:-1 gene:OB04G21410 transcript:OB04G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGVWEVAEARQAREKDGSGGVGRSSSDADRVGGGHRRRVTRSRLAAGERRPGQFGWAGGRVGLREESWLQWVFVSSSGSNLDDQYEDTYAHITFDEFSIVEIGSSEFSQEMARTPRDRNQKTRKASKKNGLQLTSEKKDWKSATCSICLEHPHKAVLLLCSSHDKGCRPYMCDTSHKHSNCLEQFKNAYLRGTLACELSGAAAEPSKKLEEMDLACPICRGEVKGWTVVQPARKFLNRKRRSCMHEGCSYFGSYTKLCKHVKSKHPSSTPREIDAARLAEWKELEYEKERQDAISIITSLNPGSTIVGDYFVDPGSDSNDSFDSYGYSSDSLTFSDSSDSESTDFDSHGSDGERPRESTVNVSLGDPGIQRNGSSSSRRPFRVVSPSARVKH >OB04G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10966063:10966332:-1 gene:OB04G21420 transcript:OB04G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRIGGEKFARIRGISGGFRGPRREDWKSGIGATISGRDYSLSLSLSLSLYLSVEFFVPPARVSEFRGRERRRRAVVGVSGFVWCACLF >OB04G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10966845:10971917:1 gene:OB04G21430 transcript:OB04G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLVRGNGVVAATSLWAGTSSPRADSDQVRNISSRNQSSGRDRERSSQQSISRRSSGSVGPRRHDRDGTAKSRGYASFGRSNRDRGCEKDSDSRNWESKLGPPDDPLYDGFKPFSSCRTEKDRLNHTRLKVDTLNQSIGESLDSGVRSVSRKVTGGISFEREFPHLGFEDKNGKQDVGRVPSPGVSNPIQSIPLGPVPDGRNSALAEVPILGGPTNSTVSSSLLRTGSSKQMEGPNCGTALSMAETVMQAPLKISTTPQLSIDTHKIEERTMKQCILRPLTPSSNKISVSSSSDKLKPKGARAGDSNVPIKVAPQVPIQISGSFIRAPVKHELVKPSQSGSFQVLSREQNGIVNTAKDSTSNPVSPVLGRSSSVEPLRKPFVNQKLKGVTNGLPLQLQGSFNERKSSAKDKHKFFELLRSKSLNGSCTSTVSSSALLDEQHNSCLELFNSGVKCMEHGSSSCEEANSCEGSQQHLSDNDEINPPWEPRDVFDEGMQEILSDNRDFNSSSEIADAEDVYMKPHTNNAVSTPSIIPAGMDNGSMGFNCSDDEADLLFEPIGTGEEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >OB04G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10973907:10975076:1 gene:OB04G21440 transcript:OB04G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT2G03200) TAIR;Acc:AT2G03200] MSRLVARTTGVAMSSSSKAASGGVGGADLQVPVHAGNGEFLMDVSIGTPALAYSAIVDTGSDLVWTQCKPCKDCFKQSTPVFDPASSSTYATVPCSSAFCSDLPTSKCTSASKCGYTYTYGDSSSTQGVLATETFTLAKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGPLSLVAQLGLEKFSYCLTSLDDTSKSPLLLGSLADISESAAAASSVQTTPLIKNPSQPSFYYVSLKALTVGSTRIALPASSFSVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMALPAADGSGVGLDLCFQAPAKGVDQVEVPKLVFHFDGGADLDLPAENYMVLDAGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVGHDTLSFAPVQCSKL >OB04G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10978231:10979343:1 gene:OB04G21450 transcript:OB04G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDPRYPSYYYLNLDGIAVGDNTASLMKPSNATSTAATPSPNATATAPPGVGDANKYGMIIDIASTITFLEASLYDELADDLEEEIRLPRGTGSSLGLDLCFILPDGVPFDRVYVPPVSLAFDGRWLRLDKERLFAEDRESGMMCLMVGRAEPGSVSILGNFQQQNTQDIFTLGKGRGVNAILQQDSIVSEYLRTAFASSWTCGSAAPAKKT >OB04G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10978849:10981619:-1 gene:OB04G21460 transcript:OB04G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein [Source:Projected from Arabidopsis thaliana (AT1G44790) TAIR;Acc:AT1G44790] MAMWVFGYGSLVWNPGFAHDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHRPGATCWGVAYRISREQDKQTALEYLEVREKQYDEKIYLDLYTDSSPKTPAVQNVMVYLATTNKETNENYLGAAPLEEMAKQIYLAEGPAGPNKEYLFKLEDALSKIGAADPHVQELANAVRKYSDTMLSC >OB04G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10982800:10985229:-1 gene:OB04G21470 transcript:OB04G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSPISGGRFTWGGGQKREWTGSGGMGARRGSVPAVKWSHAEAMKKKPTGRGDVAVTGEGGGWLRQLEAEKAELELDGPSCGWGGSGMSWSEAAATRVGRTATATGEGDRFWFRWEAEATKARSLDAGEWGGGGEAGGDEERVYEWRWTEAVSPEILALILRGRVAADEVARGAALVCRAWREAAAAPDMWGDVDIEAWCRRVNCRARADAAVRRLVARAQGTLRRLSVYRVGDATFAHVAASGKLLNVLQIPMSEISDQVVKKYAECLPALRVLDISNCLKISSSGIEALGRHCKLLAQLKRNMPPPELPHGYNAVPNVVNEEALAVSNTMPRLEHLELAYGLFSDLGLDAILSRCLLLRKLDILGCWNVRLEGDIEERCCALESFREPWEPMYTDCSSTGSDNDDDENDSDN >OB04G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:10985346:10989793:1 gene:OB04G21480 transcript:OB04G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSGRADVRHVYNRTVEKWLLFAFLSPFGSGCPSKSRSHPSHAGEWRRAPRGSGARARRCAQSDPPPRALLFETSPSRKTFAAAALPPCHRNARPWLSYPRSWAGPAVWARAPSEPSGKIEMYSPAFYAACTAGGVVSCGVTHMSVTPLDLVKCNMQASLRNFHLLYLDNCFIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKADGYSGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYAIPAPKSDCSKTLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRILMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPAAGGEHLKTDA >OB04G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11000757:11001041:1 gene:OB04G21490 transcript:OB04G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRGEGQYRGPIQIQSNALAALEAMDMSVAEEVMREGCVTGDRINGLVDGISGSWYVTPQCHTHNLPPFLLPFLYGPYTLCCSSDYQSPVEQ >OB04G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11002137:11006802:1 gene:OB04G21500 transcript:OB04G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVPERRARVLVAGGGIGGLVFALAAKRKGFEVVVLERDMSAIRGEGKYRGPIQLQSNALAVLEAVDADAADQVMDAGCITGDRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGEDAIMNGSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGHSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFSGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKARQESVKSGTPMDVVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLTWVLGGNSSKLEGRPLSCRLSDKANDQLRQWFEDDDALEQAMGGEWFLFSTSSGNTYASQPIRLIRDEKMSFSIGSRSDPSKSASSLSLPLPQISESHATITCKNKALYLTDHGSEHGTWITDNEGRRYRVPPNFPVRFHPSDVIEFGSDKKAMFRVKVMNTLPYESARGGQQILQAA >OB04G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11007124:11009196:1 gene:OB04G21510 transcript:OB04G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G14140) TAIR;Acc:AT1G14140] MSARAAGDGDGGRRNTPAKVSLSSLSAATAEAATFPIDAIKTRLQLHRSPVGGGGGGGGVMRVAGELVRDGGIYRGLSPAVVRHLFYTPLRIVGYENLRSTFACGGREAGLLEKALAGGVSGIVAQVVASPADLIKVRMQADSRLLSQGIQPRYTGILDAFTEIIRTEGFRGLWKGVVPNAHRAFLVNMGELACYDQAKHFIIRKQICGDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKDAKVMYRNSYDCLVMTVKHEGLTALWKGFLPTWARLGPWQFVFWVSYEKLRQASGISSF >OB04G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11009749:11010705:1 gene:OB04G21520 transcript:OB04G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASRHGGLGALLRTGLMSTHGRSPARPWLTYPNVEAADVSTASEKLSSMISASAFWLCSRSSECCPPRFVGLNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGPRPPSPRPSALPRLSRVCMPKRSMPRMGKSSGRIHVVPGNGSQKRSLMSSPGRWKRRTRPVRSA >OB04G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11010501:11011392:-1 gene:OB04G21530 transcript:OB04G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVGMAAAPAHGKTKSGHSSSSAVRPARLAVAVTVMAVLLMVVLFGARWTPSSAGAGDTSWVTAGARVVINAVSSGQQGADPVVKVAEVHDRLLGGLLSPDFNDSSCLSRYRASLYRRQSFHVLSSHLASTLRRYESLHRLCGPGTSAYERAVARLRSPSSSNTSDDDAAAPPRYLVWTPHAGLGNRMLSLTSAFLYALLTGRVLLFHRPGDDMRDLFCEPFPGTTWILPEDFPIRGMERFGIHTRESLGNALGRGEGGRGPPP >OB04G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11018751:11019659:-1 gene:OB04G21540 transcript:OB04G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHLRHDYSRNANDPRFFCDDGQDALRRVGWVVLLSDNYFLPGLFMIPRFERELSRMFPRRDAAFHHVGRYLLHPSNTVWGMVTRYHTSYLSCAEERVGIQVRSFYWARISTDELYGQIMSCAHGESILPRVQEEDPSNATAGELPAAAARRKAVLVVSLHGAYSERIRDLYYEHGAAGGDSVSVFQPTHLDRQRSGEQLHNQKALAEMMLLSFSDVVITSAASTFGYVGHGLAGLRPWVLMSPLDKKVPDPPCRLAPTIEPCFHNPPHYDCRTRAKGDTGKMVRHVRHCVDFENGVQLVE >OB04G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11018878:11019141:1 gene:OB04G21550 transcript:OB04G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASRHGGSGTFLSRGLMSTHGLSPASPWPTYPNVEAADVITTSEKLSSIISASAFWLCSCSPDRCRSRCVGWNTLTESPPAAPCS >OB04G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11020394:11020761:-1 gene:OB04G21560 transcript:OB04G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRIAATRWLPSSQAHGKAKSRRPSSAVRPTLLVIAVSVIAVLLVAAFFGGARPWTLSGGGGDASWLGLRRSPRRHQRRYV >OB04G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11022501:11027542:-1 gene:OB04G21570 transcript:OB04G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEAVARRRRSGSVALGDLLRREASAERAPXXXXXXXXXXXXXXXXXXXAGQACRAKKGEDYALLKPVCERHPAGAATFSAFALFDGHNGSAAAVYSTENLLSNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTLVIIDGSVVTVASVGDSRCVLEAEGSLYHLSADHRFDANEDEVERVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTAETAFNCARGLPPEAAADQIVKEAVESKGLRDDTTCIVIDIIPPEKISPTVQPGKKEGKGLFRSIFYRKTTSDSPSHADKDQCNQPDLVEEVFEDGCPSLSRRLDSQYPVRNMFKIFICAICQVELESGQGISVHEGLSKSGKLRPWDGPFLCHSCQEKKEAMEGKRHSRDSSSRNSGSSE >OB04G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11029524:11029685:1 gene:OB04G21580 transcript:OB04G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSWSPCEPPGSKHACALHICISSQDLCLVLGPRQTRQLLAPACTIMLAGILIF >OB04G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11030199:11035531:-1 gene:OB04G21590 transcript:OB04G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKAWAAANYAEPMGSVQQSLRVAYVVLSFCAAFFLGGIKAAVVGPVAAALMVLGNIGVILFLFPAHVWWTIYSLVKTERINAGLKVAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGVVDGTWGTIKGSCTVVRDFADLCFHSYPAYLMELRESSQNCEPHSIRLLDVPICIAVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVVVYQERSFRRGVTYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSSSTEFSVRTNASVKGGDHPSSSEAPAMLVPTLAPSRSVREAIQEVKMVQIWENMMKNCEMRGRDLLNLNVITTVDLTEWLRSKESGQEAIGLGVPSYSLLCIILQSIKASSGGLLVGNGIEINQYNRPQDRLVDWFLHPVLVLKDQIQALKMTEEEVRFLEKLTLFIGNSERANGWDNGAEIPQDPVRAAQIQAISRRLVGIVRSMSKFPTYRRRHRHVMKLLVTYSVEKEGSFRSSASNRSAPIFEITQLEV >OB04G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11043187:11044084:1 gene:OB04G21600 transcript:OB04G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGAAADEVVHDFAPLIVVYRRARPLAMPPVPPGTDAATGVVSRDVHLSASSFVRLYLPPPGAAGGGGEKLPLLESEGVGHVFYLFDPAHAKAGELLRRIAAFIGSK >OB04G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11048637:11055050:1 gene:OB04G21610 transcript:OB04G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENYLHSCFGTSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFADKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDFTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGNRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPMYGNQQLVS >OB04G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11056264:11056422:1 gene:OB04G21620 transcript:OB04G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGMHEEMIKRQCMEGETTYHHALFSRSICASLYTYEFYIFDFTSNRTRI >OB04G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11059769:11060438:1 gene:OB04G21630 transcript:OB04G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTSVGGAGEVCCMCGDRGLPEELLRCRLCGVRLQHRYCSDLYPRATAYRRCNWCLRDPAPGGGGHAHTAADKPTVRRKASSSSPTDQETSTSSGGAPAAERQRLQEATGCSASRRAPGTGLGRPVKKQKAADEVEAPAKGNGSKKPTQAGKKTGVKVKVRRYKLLAEVISC >OB04G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11064303:11067221:1 gene:OB04G21640 transcript:OB04G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGEEGARPAGRRPRFLCLHGFRTSGEIMRKQVVGKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKDFTEYRNFDECLNYIEELMIKDGPFDGLMGFSQGSILSGALPGLQEQGLALTRVPKIKYLIIIGGAKFQSPTVAEKAYANKIKCPSVHFLGDTDFLKTHGEKLIESFEDPFIVRHPKGHTVPRLDEKSLEVMLRFLDKIEKETALEHSSADVDEKEMRL >OB04G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11068448:11069341:1 gene:OB04G21650 transcript:OB04G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09450) TAIR;Acc:AT5G09450] MKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPAGAQSLEAYTALLHSYARSKMIDKAEKLFKRMKDANLPMNILVYNEMMTLYISVGELDKVSVVAEELKRQNVSPDLFTYNLRISASAASMDLEGYKGIIDEMSKDANTNEGWKLYRDLAAIYVDAGQLVGSGNSLVEAEVKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVVDQWQRSKAPEFDISACNRLLDAFLNAGFTDTANSFREMMLQKSCILTSRQECSS >OB04G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11070237:11071388:1 gene:OB04G21660 transcript:OB04G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELLLVVVLAVVGAVLAGCAGADAQSSSASPAPTSEAPPVRQQTPFGRTMSTVITVSISVFFFLLFFCAYINQCRLAEAGDARGAAAAAGGAGVGPSRRGKRGLDPAVVATFPIVPYRKVVKHKIGKSVLECAVCLTAFEDGDDLRLLPHCSHAFHPECIDPWLEARVTCPLCRANLEKPPPPSAAAAAAAPPSPEQEPRWQPSPPHAVVIPVEDDTEDTDEDDRKEEAVELEMLRSERRAARLPRSHSTGHSLVASGAAAAEAGDHERFTLRLPQHVRDEVLRSLRLRHATSLVNLSDMSSEGSSRGGRRAVGVTFGSTGGGSSHGGRRWQAFLARTVSWARGGGDGSVRKGWDGSTRRGKDDAESSRKGPTSPTAGRP >OB04G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11075746:11076093:-1 gene:OB04G21670 transcript:OB04G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQQEQSSSPDPLPPQPQQQHLLLYPLPPRNVRRAASMDAAIVSTAADVAALERLPEAASPEEEEQNKRVGPPGASCLKVSSSGRLSNLSAAERLPRSFFSRHCRARSSVLPL >OB04G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11076168:11076989:-1 gene:OB04G21680 transcript:OB04G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRRTLHPFGAPDCDDGGRYGCSSPPSPPLVILPPPVPSPSPASFSWPPGWLCPPPFHAPSPSPLHDAAGGRRDQGGYGGGGAPPPRRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRGPGPTSTARSAAPTCSTPPPRWSPNPAAASPAPSHKLIQARTPPPQPSKRLPRAM >OB04G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11077645:11080738:1 gene:OB04G21690 transcript:OB04G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVELNADGRAFQKTMLEVGDETRSSFCVSLWPKHSSGILAGDVLLLQNIKIVEFRNGLEGRASQISAVQVLLNCNDLVKQKGIDDLIVNCKVGDNTRSKLRRVVEWIWMQPNKFNHAENSHKVTLKNWKEIKEKETGNFLSISELLHQSKLCNGCVYASICKIVLSSSLTSHFNWKFSVIERFSLKEHNDIFRELITTGCKLCGSPLYPKNFHGEITYPIDCPKNPKYLHVLGQIYKPFMIYVRDQSGQVPLLVRNKAAEILFANISADDVSECYKNCHCMLLDTCECGNLNTSGILDGTAETGITKRKRRNKKPDWHLIWLVLIKCLLNQHKNSPFCLQISVNPEKSVEDGRFELVSLTMTVP >OB04G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11082236:11084665:1 gene:OB04G21700 transcript:OB04G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRSFVACKGLVLYTPVIARRQRNTPISASVHRRQVLTGLRMNSSGINNVFPVRGAAQISAVGSGPPAPSGGNLPIPSMPSWAKWVVGAIIVAIPIYRKIRTLEDTVEKTAEVAIEVVDTVAEATEKVAGELADAFPGNENLKEAASMIKTVADVIEGDAEKAEAIIQKVDEIKKEVDGIVDPIIDKIEKEQ >OB04G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11086644:11087162:-1 gene:OB04G21710 transcript:OB04G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLAVLSVLALLAVAATAQPPSASPGAAPKASPPVATPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPSAATPTPDAAASPSPSVSPSPSAEPPAKKLPTGAAAGLRPAVALFAVAAAAVYAF >OB04G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11092681:11095461:1 gene:OB04G21720 transcript:OB04G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:J3LYE7] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB04G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11099427:11100827:-1 gene:OB04G21730 transcript:OB04G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRVSEMEEELRKEREEKARAARELAEVRRDCEKDAAEKVQLLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEIATLRDANTSLEAAASRRGGVAEQRSVKDLVFGGSDVDEVRALRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQAELEAATRLRAVSDEHDRCRLEAEESAAAWGDKERVLLECVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARGENARLNDVVAEKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTACSTPASAKTASVADYGFDQHLPSVRLVASAKGTPEAASHRWMAEKSRTPSSRRYSIGEPAKFKGSFSQSARMGSLNHKDQVFASLSNIADLKSAAEAAMSDFDDEFDHIDQSHYDGMDQSMKNKKKRPILRKFGDLFRRKSFYKSNLAPVHT >OB04G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11099962:11100696:1 gene:OB04G21740 transcript:OB04G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYSWRRLCSAPSFSATTSLSRAFSPLASSSDSLTTLASATACFRISRRRAFSSRITRCDSTSLVFSCRARFTSSSDARTHSRSTRSLSPHAAADSSASSLHRSCSSDTARSLVAASSSACASESHILTCFASIVTSESATERSSSAFLLFSSPCIAVRSSQRRALTSSTSEPPNTRSLTLLCSATPPLRLAAASRLVLASRRVAISSLASSREIFVCSSCFVWYISDSSILRSDSLAFSTSR >OB04G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11106758:11106910:-1 gene:OB04G21750 transcript:OB04G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLPIPPLPLTNQTFKTRHGRNASRAYATPPRVHAHKPSAKPRSPYEAI >OB04G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11106995:11109912:1 gene:OB04G21760 transcript:OB04G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKALLASNLLTKVDVGTLEEVCHLATIECTTSSEGMTVPTSSSDQDMSLGDALQPYKKLKLHAEKLTGQDAPMVGFHAMKRANSTIEDFCRSYFMFHDLDVNKVQSIFKFLPVLCFTESYIYQLDDLNEDNLHMVPGNCASSTVFEREKRSSSETSLTGMLYALDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNEKISIEDVMEAIHLKSFDYRVLNLLMYRLTGQQVKEVHMEFLSVSEFLVEISDDLMM >OB04G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11112512:11113047:1 gene:OB04G21770 transcript:OB04G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQLLLGSSEAKTATPATTKKRAGGKMSGHAYGTWNIPPLIRDEEIFRLERSNKQDASAITIT >OB04G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11119051:11120662:1 gene:OB04G21780 transcript:OB04G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LYF3] MGSVPADGDKPHAVCLPFPAQGHVTPMLKLAKILHRRGFHITFVNTEFNHRRLLRSRGAAALDGLPGFRFAAIPDGLPPSDADATQDVPPLCRSTRETCLPHFARLLADLNANASPGAAPRVTCVVGDDVMSFALDAARELGVPCALFWTASACGYMGYRHYRTFLDKGIFPLKDEQLTNGFLDTTVDWAPGMSKHLRLKDFPSFFRSTDPDEFMFHFALHVTERMAEADALILNTFDELEQEALDAVRAILPPAVTIHTIGPLAFLAEQVVPEGGPLDALGSNLWKEDSSCFGWLDGKAPRSVVFVNFGSVTVMTNEELVEFAWGLANSGHDFLWIIRPDLINGDSAVLPPEFLEAVQGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTMESLCGGVPMLCWPFFAEQQTNRRYSCTEWGVAMEIDDDVRRDAVESKIREAMGGDRGREMRRRAEEWKDVGLRLTRPGGRAHANLDALIADVLLPGGKARKLS >OB04G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11119057:11120581:-1 gene:OB04G21790 transcript:OB04G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLACARPPGRVKRRPTSFHSSARRRISLPLSPPIASRILDSTASRRTSSSISMATPHSVQLYRRFVCCSAKKGQHSIGTPPQRLSIVEFQPECVRNTPTASCRSTASCGHQLARRPRPWTASRNSGGSTAESPLMRSGRMIHRKSWPLLASPHANSTSSSLVITVTLPKLTNTTDRGALPSSQPKQEESSFHRLEPSASSGPPSGTTCSARNASGPMVWMVTAGGRMARTASSASCSSSSKVLRMRASASAIRSVTWSAKWNMNSSGSVERKNDGKSLSRKCLLIPGAQSTVVSKNPFVSCSSACITWKDALVEEGAVMAVAHVAAGAGSPEERARHAELPGGVEREAHDVVADDASDARRRPGGGVGVEVGEEAGEVREARLPGGAAERRHVLRRVGVGRRKAVGDGGEAEPREAVQRGGAPGAEEPAMVELGVDEGDVEAPAVEDLGQLQHRRDVALRRERQAHGVRLVAVGRD >OB04G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11126304:11126720:1 gene:OB04G21800 transcript:OB04G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAMLPAAAAAAGSAVDVGEERPWSGNGGDGGGGGGDSSPAVAMDLLAMWGDERRMKGAWLPAAAAAAGSAVDVGEERPWSGNGGDGGGGGGDSSPAVAMDLLAMWGDERRMKRELVAWAKAVASMAIRESMQY >OB04G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11128188:11134756:-1 gene:OB04G21810 transcript:OB04G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFCCFGAGCSEFSGHASTSSGKGKGCQGQVKVSYGFHLVRGMTNHPMEDYHVAELAEAKGNEVGLFAIFDGHLGDTVPAYLQKNLFSNILNEEEFWTHPDSAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRVIQMSTDHDPNVERSAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKRFKDPQAAAKQLTVEALKKDSKDDISCVVVRFRM >OB04G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11147148:11152558:1 gene:OB04G21820 transcript:OB04G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSSMGGGGGGGDAGVRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGAYYPGRVSRWWLSQSLKHLDASLRRLGAGRLVTRRSADAVIALLQLVRDTGATQLFFNHLYDPISLVRDHRLKQMMAVEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWMVNECHFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPRGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPQELQMEIDREPARVTANVPTTARRREDQMVPTMTSSLNRAETEISADFLNSVDSRAEVPAHVHFEPGTERQDIVHTAAGNAAGTNVIHGHNNFQQPQHRMRNALAPSVSEASSGWTGREGGVVPVWSPPAASGHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSLTTGWEVENSVQPNLIG >OB04G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11148211:11150047:-1 gene:OB04G21830 transcript:OB04G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNRVQLSSAARFPSLLHTSCFIRTRWKTLRTLSSPKCRYGAAGSYGMLRHLFQKGANMVNDWPSSSTTSHGSYSRSALKDCTMMPSTAIICFSRWSLTSEIGSETMTQ >OB04G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11153135:11158502:-1 gene:OB04G21840 transcript:OB04G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREKLLEYYANNKTPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >OB04G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11162513:11173240:1 gene:OB04G21850 transcript:OB04G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFSGLRGLEGFRSLAGTTLTAGKAPNPKPSADIGGSTYGSFANLKITAEKMVKEQASVKTDLEMTHNKLRRATEQINLLESKLQQAINENAKLKVKQTEDSKLWQGLDSKVSSTNTLCNQLTETLQQLASQTERAEEDKRLFEEILGKNSKALEEFNCLLHDSSKKLECAEQKIISGKQEMLRIKQEKEEMDQSYKEQIYASDTAIKEKDSLIKQLEASVEENKARMLYLDSRLQCMEQELKLKEDVCICLNENLASTESEKNDLQIRNEGYNLEVKKLCKDNKELNELLSGFMAKVTELDKEHTSMSSDVSRLISSFERYDGKVQEEKILIIQSAKDKFEHLQSQYVHLISENNSLQTEIKELMSRIIELQKTQEIVMVQHVEECQIAEDKIRRLESEAEISASNISQLEKAASDLEGRVQKLLEDSRSAESHKQELIQKILRLESDNQELLGQVQSVLDEKSNDKESLQGEIAKRDQQVEALENQVNQLRSIIDEKEQLYTCAVEREKNLEEQKLQVQASLAATESQLTEAKKRYDTMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINTEKEKAEKLIKEMENKCNEKISENKQDSDMYMMNLKEEHGAMVARIQQDNEHKESTLRAYHKEELQRIQSHAENELRERLSSLRKDHEIQIKSLTIQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLVSHDETKRKDVNLSGIIQSPISNILRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHNTADTDHTKVRKFAGGFLWFRQQGPLVHEFGQREYKYVKNVLTTGFKGARGQFEFLLRLVENAPALGIPTLSVI >OB04G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11174310:11176277:1 gene:OB04G21860 transcript:OB04G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLDGVGDVSAAAYGGELTLSVLVTCLVAASGGLIFGYDIGISGGVSQMKPFLATFFPKVLRRMADAKRDQYCIFDSHALTAFTSSLYVAGLVASLAAGRVTRSLGRRGVMLMGGALFFAGGAMTGGAVNVAMLIVGRMLLGFGVGFTNQATPLYLAEMAPARWRGSLTVGFQFFLSLGILIANLTNYGTARVPWGWRLSLGLAGAPAVFIVVGAFFLTDTPSSFVMRGKVDRARAALLRVRGDRADVDAELKAVVHTREAPRGXXXXXXXXXXXXXXVRARAAAVPPAQRHDGPDLLLPAGLPRRRLRQQRGADGRGHPRRRQVRVAHPLHAGHRPLRPEGARHCRRRHHDRLPGGERLDHGSTSQERRGGDAAGVLAGVAGAHLLAGRRLRDVVGAAHLGDPRRDLPGGDPVGGPGGERVGHAGAHVPADADVPRAAVPAQVRHLRLLRRLGRRHDRLRRRVHAGDQGRPARVHGRRLGGPLVLEEVRRRRQTGELIHLRRSTLLLNYNVCTLSFRSCSSRPCLTSQLNIVAFCQVCVTKLLYQVDNLLNKYYTQSCRADLRVNYNYDVGVSEMNLSIHRCRKNEVLMLDLVDSD >OB04G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11177154:11177399:-1 gene:OB04G21870 transcript:OB04G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDGGNGWWGRRRPAGVRRASARCAGGRERQRRNGGWAQSAEAGSSVGGWGQSAPGVRRGWSGGWGKSALGVTLDGDGA >OB04G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11183362:11186204:1 gene:OB04G21880 transcript:OB04G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVASDGPAATDHGGRLTFSVIITSLVAASGGLIFGYDVGISGGVSSMEPFLRRFFPGVLRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAVGGQAVMVMGGALFFAGGAVTGAAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPPRWRGSLTAGFQFFLAVGVVIATVTNYFASRVPWGWRLSLGLAGAPAVVIFLGALCLTDTPSSLVMRGDTARARAALLRVRGRAADVEAELKGIVRSVEIARQGEDGAFRRMFRRMAVRREYRPYLVFAVAIPMFFQLTGVIVISFFSPLVFRTIGFGSNAALMGNVILGAVNLGCLMLSTLVIDRFGRKVLFMIGGVVMIIAQVGVAWIMGAQVGKNGSAAMARPYAVAVVVFTCLHTAGFGWSWGPLGWVVPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRFATFAYYAAWVAVMTVFVAVFLPETKGVPLESMPTVWARHWYWKRFAPEQAKSPDAPTTTTTTTAG >OB04G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11194441:11196330:1 gene:OB04G21890 transcript:OB04G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFKKINQFCCMRRWCDADAVVSEQVLSGGGERDAGREAGRLLQVRQPAADGVHLVAVHRRRAVGAGGEPRDEEGGAAGHHAGRRRDVPRRLRLQRRRRQHLDAHHRTHPARRRRRLHHAVGAALSRRDSAGEVARGVHLRLPFLPRPRHGVRDGHKLLRRPHPGLGVAAHAAAPPAVIVVGALFVPDTPASLVLRGHQEKARASLQRVRGADADVDAEFKDIVRAVEEARRNEEGAFRRLRGEGYRQYLVMAVAIPTFFDLTGMVVITVFSPVLFRTIGFNSQKAILGSVILTLVNMCSVVFSSFAVDRVGRRVLFLAGGTVMMLCQVAAAWILAEHLGRRHAAATMAKSYAAGLVGLMCLYTASFGMSWGPLKWVVPSEIYPVEVRSAGQALGLSISLTLSFTQTQVFISLLCAMKYAIFLFYAGWVVTMTAFVALFLPETMGVPLESMRSVWANHWYWKRYAKDARMDAQVNCL >OB04G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11198969:11202927:1 gene:OB04G21900 transcript:OB04G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVSGGGGAQDYGGGITFSVVVTRLMAASCGLIFGYDSGVTGGVTQMESFLSKFVPEVLRGMKSARRDAYCMYDNQWLTAFSLSLFVAGTLSSLVASRATRAVGRQAIMLFGGVMFLSGSIVNAAAVNIAMLIIGRMLLGFGLGFTLQLRCICPRWCGAFTSAYNAFVVVGILSATVTNYFTNRIPGWGWRVSLCLAAVPGAIIVAGSLFIPDTPSSLVLRGHHDRARAALQRIRGEDADIDAEFKGIVRAVDEARQNEAGALRRRVRRRYRHCLAVALGVPVFYEFTGMIVISIFSPVLFRTVGFNSQKAILGSVINSMTNLASTLLSTSVMDRTGHRPLFIVGGLGMMLCEVAISWIMADHLGRHQGLTMPRGYATGVLVLICLCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISVALCLSFVELQVFIALLCAMKYGVFLFYAGWLLAMTIFVAAFLPETKGVPIEAMRSVWARH >OB04G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11203209:11209206:-1 gene:OB04G21910 transcript:OB04G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMADYGGGGGLTFPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKRTAHTSKDVYCIYNNQALTAFTSSLYAFGMVGTLVASRVTRRIGRQAVMLIGGSMFLVGALVNAAAANIAMLIVGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLLLRGKHDLARAALQRVRGKGVDVDAEFNDILTAVEHDRRNDEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGILGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGNGSKMARGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDQKFGNVST >OB04G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11220010:11220993:-1 gene:OB04G21920 transcript:OB04G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSSWSSRPPSLDAVGSLVRDLYDSSAIHSPGCGGGEQFVVSGTNSGEPRAKRVVNDGGHRGWQHPATPDFLDSDRIYAALVLECVCSGGGGAPSMEEEAHAKRRHDVSWKKRPSRRGGLGRSFRLIVARNEWCVTYAVVVATLQLFLRLTGANVTGTALFLPVLSRATGCRSKAALAGGHAVLVLANAGGILGSALAARLYGREVMCAIGGVLIVFCQVNDDPRLRTCGDPGGHGDARGGVHPGVRGVGRVRLGGGVGALFWTVPGDALGAALGFAQMHCFLLMLRQLRHAALAYYAVRIWS >OB04G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11223982:11224303:-1 gene:OB04G21930 transcript:OB04G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLPLYDRRRNCTAQLEWPTERRELLQHETFVTAGPEAEQQARHRRRRRRLVGVVVVPPGRPTEGALR >OB04G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11232028:11237016:1 gene:OB04G21940 transcript:OB04G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVAGAPTADYGGGVTFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLEPFFPGVLRRMAASRRDQYCVYDSHVLTAFTSSLYLAGLVASLAAGRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNVAMLIVGRVLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLSIGNLAANLTNYGAARIPRWGWRLSLGLAAAPASVIVVGALLIPDTPSSLLVRGRVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHLVMAVAVPLFQQLTGVIVVAFFSPILFQTAGFGNNASLMAAVILGAVNLASTLVSILTVDRYGRRILFFTGGLVLIICQVAVAWIMGEQIGRDGESAMARRYSVAGAAMTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGFTFLFTQTFLAMLCSFKYATFAYYAAWVAVMTAFIWAFLPETNGVPLEAMGAVWARHWYWRRFVQPPPPPKDAVAEVLIN >OB04G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11235947:11236953:-1 gene:OB04G21950 transcript:OB04G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVPVPRPDGAHGLEGHPVGLRQERPDEGGHDGDPGRVVGEGGVLEAAQHGEERLREQEGEAEVDGHADALPGRPDLHREYLAGDHPRQRPPRPPERRREDARHGRPRHGVASRHRRLAVPSDLLPHDPRNRNLITTKQQEHQSQRRNADKSIDFFLCLLYLADDQYEAAGEEQYPAAVAVHGEDGDEGGGQVHGAEDDGGHQRGVVAEPGGLEEYRREEGDDDDAGELLEQRHRDGHHQVGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRPRTRRLLGVSGMSSAPTTMTDAGAAARPRERRHPHRGIRAAP >OB04G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11242652:11246744:-1 gene:OB04G21960 transcript:OB04G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLTWSATGPDAASAASPANSSRPSLKPAGGITPAMFGAPVSEEEAEDLSKSERKFCSGSKLKEMTGSGIFTEKSENGDSETSNPAQKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLESDADGKMNKQRSEAKSKELTGSDIFGPPPEIPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDAPPASAEKSLSSAKLKEMSGSDIFADGAAAPRDYLGGVRKPPGGESSIALI >OB04G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11250365:11251057:-1 gene:OB04G21970 transcript:OB04G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWAQGTGVEFEVKVVRVAGVEAAPDGRGGGLFVRYYVPVGDGRRRIRVDTREVPCDGGSGDAFWGELARFERRGGGGGGARESVGGGVVFQLRWRPRRRPLAFLGLGVGRTGRPSSRVLARGELTVSPAASTTPAPASSGTWLRLSPACRELSGCKAPKLLVELSVNHVAADNYGAVKTGSPGGGVNQHCCSDGERCGQCGWIGTEEDMFLAATFTHEERSVASSIS >OB04G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11252852:11255387:-1 gene:OB04G21980 transcript:OB04G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoic acid synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G20860) TAIR;Acc:AT2G20860] MKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDPACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKMAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFESYRALGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKTATAESSS >OB04G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11256726:11262704:-1 gene:OB04G21990 transcript:OB04G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT1G22200) TAIR;Acc:AT1G22200] MEGLLTKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELLTETTLRVDTSRGEKLRINFDITFPALQCSIISLDAMDISGQDHLDVKHDIFKQRIDAHGNVIATKQDGVGGMKVEKPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCDDVREAYRKKGWGVSNPDSIDQCKREGFLQNIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKSNVHVHDLLPFQKDSFNVSHKINKLSFGQHFPGVVNPLDRAQWVQHSSYGMYQYFIKVVPTVYTDINEHIILSNQFSVTEHFRSSESGRIQAVPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >OB04G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11267691:11269042:-1 gene:OB04G22000 transcript:OB04G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLQICGTTGVHLGMADLDEVGGGAAGQGVRGALRWTAHMSSLMLKKMVELIAQGVKTDKGFKEVHLNQVARTLSDHYGIEISGTQVYNHLRKWRSRWVRISRLKDLSGALWDDQNNMIVLEEEHYMGHAKDHPKDVEFLNVPLENYVQMSIIFGNGQATGRFAMGSNEPLGAPADGADSGLGQLDGDAEGVNEVPARGGSVAGGDNSNAPHFNDNVGASSSSGKRKRTPIITEEEGALLTNMTSALHDVASAIRSTAHTEVHPDLYQAVMDLPGFSEDQLDLALAHLCANKSSSLIYIQKNEERRARWVSKFLADHHPDCI >OB04G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11270835:11271501:1 gene:OB04G22010 transcript:OB04G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAALAAGATTSSEDTAALLLPGSTGSNQCVYTLYVETGSIWKAGTDAAIGVELYTAAGNGILIRNLQAWGGLMGNGHDYFERSNVDIFSGRGPCLGAPVCRMKLTSNGAGEHHGWFCNSVEVTVAGPHARCTRAAFNVQQWLATDAPPYHLYAERSVCGRITTTPPAAAAAVEKEN >OB04G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11272366:11274371:1 gene:OB04G22020 transcript:OB04G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKFLGFAALVSMVVWAAGSRPPEGVAPLPLRGLAGGGNDDKNERVYTLDVETGLTHPLRWEETPSLLREQAGTDVAIGVELAAVDGSGFAVTDLARWGGLMGAGHDYYERGNVDVFSGRAPCLPSPPCRMNLTSDGAGAHHGWYCKSVEVTATGPHAGCARAAFGVEQWLATDEPALRRAERLRQGPHRRRGGALTAAGSGRVLSIDLVWFFFFPSVDCDAVCLLHLSFAKQGEVKIEC >OB04G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11275422:11276092:1 gene:OB04G22030 transcript:OB04G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRFLLLVSLAVAAAFAFAATDDDGAAAAAEASDPENKCVYTIYVRTGSIWKGGTDSVIGVTLLGSDGSGVRIRDLERWGGLMGSGHDYFERGNLDIFSGRGPCMRQAPCRMNLTSDGSGPHHGWYCNYLEATVTGPHLGCAQQLFTVEQWLATDASPYRLYAVVDNCNKVKDVPGSSAADADEPRVTVL >OB04G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11279212:11280597:1 gene:OB04G22040 transcript:OB04G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGHRLKQKPPALEPLPRPAAAVDEGKDKDEAAPEPEQEQPQEEFFSESESGSESIEIADLKKRMWKDQMLLMKLEGRSGHDGHRPGQDRARGKEEEEETPEARYRRKAMLRAQDGVLRHMLKMMEACNARGAVIKHLSPRFDQIRKLVWQSKRLQHRMSARDADTWSRVISQEEALSRHAQRSLHIVPLDDDDQELHGPSPRESHAGKRKREAGGGELQLSLPADGHVVTEADRSSIDELMKLYYSCLQGADGGLGGEQGKDVAAGAAWEEGVSPDTVHGGDAPQPHDDMLDDLLGVAHVVDMSDFPDSPIWHWGSGSD >OB04G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11282370:11283911:-1 gene:OB04G22050 transcript:OB04G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex photosystem II subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G15820) TAIR;Acc:AT1G15820] MALASTSATASAAVLKTPFLGAKRALANAVGVAGAKPGAPRRALPPSPGARRALANAVGVAGAKPGAPRRVLVVSAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAYLKWYREAELIHGRWAMAAVLGIFVGQAWSGVAWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANATGEQGYPGGKFFDPLGLGGETRDGVYIPDTEKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >OB04G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11283131:11283868:1 gene:OB04G22060 transcript:OB04G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCVPRREPKEKGAMASGCAPASNHATPLHACPTKMPSTAAIAHRPWISSASLYHLRYAGSLPSPSGSNPKSPGSLHDARARCQTLSHGRRSTAERVTRGGRRKRKGGEATYEPSSQGGLMNSASLLKAGIHDFLADTTSTRRGAPGLAPATPTALASARLAPGEGGLSTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRARGAGRPAWRRRRRRHWRARASRRGRAS >OB04G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11285500:11287831:-1 gene:OB04G22070 transcript:OB04G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHQVFDTNVYGAMRLIQAVIPQMMERKQGTIVNVGSITALAPGPWAGAYSASKAALHALSDSLRLELKSFGINVMTVAPGGTKSNLGSTSASKYDQIHDWKYYKKYEESLRARTDVSQGPGSTPAEDLAKRVVALVLKKNPPAWFAYGQFSAILNLLYYSPLWFRDYFYRLVMKC >OB04G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11291241:11291486:-1 gene:OB04G22080 transcript:OB04G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMVAGDLVEAYVLRKACKEKMKAEANASEAAAATGKKAAAGGGSSEKKTAPEVSKGERWGFSRLVKKKKVHPKQASRSN >OB04G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11293816:11299743:-1 gene:OB04G22090 transcript:OB04G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLADGTAVAYDSRETAPMAASQDMYGGNETLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAAKLARAFRVSPYLRKQMEATRDGILQNRGISAVYTSNGDILNVGDVCRNTRLARTLEAVADKGPDAFYKGAVGDQLVKDIQDVGGIITMEDLKKYQVKVRQPLSENVMGLTILTMPPPSAGGAGLMLVLNILTQYGLPAGFSGSLGIHRLIESLKHYFAVRMNLGDPEFVNVNEVVSDMMSPNFAADLKKTIYDNMTFSPKHYGDRWNILQDHGTSHLSIVDSERNAISMTTTVNAYFGSLILSPSTGILLNNEMDDFSMLANTSASSPPPAPANFVRPLKRPLSSMTPTIILKDGKLKAAVGASGGSMIPAGTMEVLLNHFVKNMDPLSSVMAPRVYHQVRAQCLLRFVHPRTELIPNVVQYENWTTVTGDHFELDAATRADLQNKGHVLAPLAGGTISQFVVHNVERRGDLTAVSDPRKGGVPVGY >OB04G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11307551:11307973:1 gene:OB04G22100 transcript:OB04G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNFARPCSSNGNQVQPTKNYRGTREGRGTSKPFFPPDLPAIDLSVIYTNKGRRSSRSKVESFVSFLSFFLPRARQLHRPEVVGRVDDPVVVAGGQLRLLPDHLHLLPLLPPLGEAVALQHPHHLRHLGPVHRGALCAE >OB04G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11307377:11311637:-1 gene:OB04G22110 transcript:OB04G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVITVSLNKFSYLKLLGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFSGFIPETLGQLYKLRFLRLNNNSLSGTIPKSLTNISTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDPKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHKNLLRLRGFCMTPTERLLVYPYMSNGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSLYEEHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAVELSGPR >OB04G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11334070:11336230:1 gene:OB04G22120 transcript:OB04G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFVDISNQPPMNNPFMLMEQTAQSYTMVNLGKSTPHMDCLVSAMASYDHGNQDTQDIGSITTRDDGCRLVLGLGPTPNFYSAECQPTGVDKLKEAPSLSGQGMTIIDPGMLRLGLQMNVAQTIQPLQAPDGTVHSFPVVDEASTSAAVRSIGGYMPSLLFAPRSGSSAVNDTLQAETQDSLDLTDSDNDNTQHGQHHLQLSPEPSAMTEGSFGVSSDVVTAATTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCAKGAQGSTLYCKGHGGGKRCIFDGCRKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCSVVGCTKSARGRTDCCVKHGGGKRCKVDNCSKSAQGSTDFCKAHGGGKRSGLCAAHGTLAAKQQECNAAKDGAGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCDGTVRSQAMMIPPQVLVPRSMMPSPSSEPTVQGGREGGCAVPEGRVHGGGLLSLLGGSFRNADMDKL >OB04G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11334826:11335766:-1 gene:OB04G22130 transcript:OB04G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTQAAPAAGELLAARSPCAPFAATVGFAEVSAPLGALAAVVDLAPLPAAVLHAAVGAATGTLGAADHRTALSSSVRHAVLGATMHALWADAATFKHASLAAAVCFAQRRAPLCTFSAAIEDAPFATAMPFAVQCAALSALGAIRELAPATTTMRNAESGLPLGAPDATLHCASLPATMLDAPPRLTPCSFRTPIMFTTTTSTVSNTIIRLPFSTLGATKLLTPPTTTMGLAIGSAALRTFVAPRLMASLPTSMGNAQP >OB04G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11338686:11342775:1 gene:OB04G22140 transcript:OB04G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASLLLLAAACLVVAAASAGGGDAAAGRKTVGVYELKKGDFSIRVTNWGATIMSVVLPDSRGKLGDVVLGYDTIAEYVNGSNYFGALVGRVANRIAKARFVLDGKTYHLYANDGNNTLHGGHRGFSKVIWTVKEHNGGGDSPYITLYYHSFDGEQGFPGAVDVYVTYQLSSPYVLSVRMNATARGRATPVNLAQHSYWNLGGAGSGDILGNTVQLFASRYTPVDAELIPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVRDGASGRAMELWANQPGVQFYTGNLLTAGGKGKGGKVYGQYGALCLETQGFPDAVNRPSFPSVIVRPGQVYNHDMVYKFSF >OB04G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11344734:11347979:1 gene:OB04G22150 transcript:OB04G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:J3LYJ0] MMAGARLSLALLCLVAAALTGGAGATRKMVGVYVLRKGDFSVKITNWGATIMSVVLPDSKGNLADVVLGKDTIAEYVNDTSYFGPVNGRVAQRIARGRFVLDGKVYHTYINDGKNAIHGGHRGFSKVIWTVKEYVGGGDSPYITLYYHSFDGEQGFPGDLDAYVTYQLSSPYVLAVRMNATALNKATPVNFLQHTYWNLGGQGSGDVLGHTLQLSASRYTPLDEELLPSSGVVAPVAGTPYDFRAPTPIGARIRQVMGGRIAGYDINYVIDGDAHGMRKVAAARDGASGRALELWANQPAMQLYTGNWLNNTKGKDGKVYGQYGGVCLETQGYVDAVNHPEFPSMTVRPGQVYKHDMVFKFSF >OB04G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11350536:11351651:-1 gene:OB04G22160 transcript:OB04G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQRQDSFDENGDLINLADDVVLEAPTLTSEEMERARREALEILRNNSPEEAFRIFTQGLTGQEVQSPVVGNASTNQAVANVPPPKAGDGKTAPPPPSS >OB04G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11352232:11354196:1 gene:OB04G22170 transcript:OB04G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSPPSMQHAHLTGCPARLSHHTFGTHVRMHAVVVTSDPLVHISRAHARTYPCMHSTLPPPCMSCASLDLEAEEVRRAGELEPRRAVDERPGGELLGLLLADDPESLPVDALAGAGAGAAVVVLGVVERVEREVAVPGHVPFRLDEVGDGALHDDEAGVLLPWPAEVGRDLEPVGEELLVGDEAAVLDDEAGLHVGVGAAVRRHRVLLVVHRAAGDDEAVLEHGSGVSEDEVDGAGDDAVAEELAPGVHVQRVLERVEPAVVEGREVALHAQPPRLVALRAGRVVEPHVLADEPGRLDGEGGRLHGGDAVGEALAAGDAAALGPVAVHEDVDLVLGDHDDLVVQPGLHVDDVPARVALGHRVHGLLDGLELATPVLGHHRVGLRPVAVAGGEQLPVGRLHPRREAAHHFLLRQKAPARERVTLEHVEVELLEDGAEAVGDGERVAAELAGIPQHLLHVGLELLVGEPVGAGVHEGHDAGEPVLEVVGAAEQHLDVPAGHLDHASEVVHRVLKELLAVLARALRHGVARLGAADVLGPLHRRLDRLERHLGDGREHLAGVLGAIGGRGERLLARLLVQRGRAQGLDGLAGGGEGGLPRDGEVVPGLVAGAGHRGDHPDDDRDDEDRPHAPEEKLLRHLGPQGCCGTAGTLDL >OB04G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11352442:11354164:-1 gene:OB04G22180 transcript:OB04G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3LYJ3] MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEASLATSGKSVKSLCAPTLYKESCEKTLTTASNGTENPREVFTAVAKVALESIKSAVERSKDIGSAKSSDPMTEGAREDCKELLEDSVDDLRGMVEMAGGDVKVLFSRSDDLEHWLTGVMTFMDTCADGFTDEKLKADMQQVLRNASELSSNALAITNSLGAIFKKLDLDMFKGDSLTRRSLLAEQEMVGGFPSWMKAPDRKLLASGDRNRPQPNAVVAKDGSGQFKSIQEAVNAMPKGHPGRYVIYVKTGLYDEIVMVPKDKVNIFMYGDGPKSSRVTGRKSFADGITTMKTATFSIEAAGFICKNMGFHNTAGAERHQAGGLRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGDFALNTLYYAEYNNRGPGAGTSKRVNWKGFRVIGQKEAEQFTTGPFVDGATWLKFTGTPHFLGFKV >OB04G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11356952:11363151:-1 gene:OB04G22190 transcript:OB04G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGSCGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEVSKYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAILILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPDTEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRQLRLKIGLVQQEPVLFATSIMENIAYGKDGATEEEVVEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >OB04G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11377532:11380090:1 gene:OB04G22200 transcript:OB04G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LYJ5] MASPMLSAATVPLQGGLSEFSGLRSSASLPLRRNANSDDFMSAVSFRTHAVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLEVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNAAFRDSAANELQGILEVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >OB04G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11380938:11383723:-1 gene:OB04G22210 transcript:OB04G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G69680) TAIR;Acc:AT1G69680] MSGESCVGRPLFGGALSTAFPVRFQDVSNIREVPDHQEVFVDPARDESLIFELLDLKGEVEDAGSALWFLRDIANEQDAGDNLVVEHSGTLELAGLRFGDTPAVAETAVGQLAVSKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESSTAVAAGPAVPAEQAGCLPMSEIFKLAVMNFNVHDWNLFNGSA >OB04G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11384533:11388302:-1 gene:OB04G22220 transcript:OB04G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQKAKRPRTDAEEGDTVDAALLQSIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNEIIKQIPDFWLTAFLSHPMLGELLTEDDQKIFKHLESIDVDDSKDIKSGYSITLTFSPNPYFEDTKLTKIYTFSGDEAVKVKATSIRWKEGMDIANDGAYTEKGDKRILVDESFFTWFNSEKNRSFAHGAMDEVADVIKEDLWPNPLKYFNNEFEEEFELVDDDEGSDDDDDDDDDDDDEDQGEGEEN >OB04G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11389930:11393922:-1 gene:OB04G22230 transcript:OB04G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAIAAALLRRSTSSNHHRRILLLLPLLSHLQRAAPQPPSPWVPPPHRRFFSSDVTAEGDNKPPLDAKQLWREVSTSEPATGASRLPKATWDAVVALLRGFGKDPAMSDQALALYIPASAFPAYAQRFRHFLPSRLSRESAERLLSLPSEDAHALLLPAFAEFCVAHLADELRQHKSIMAAADLTAPHTWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAANSGIYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEMPFSNHVACTIEMLSTEELYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSLLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKSVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQPFVEAKKVGLFPCFEQVEMFASQFPDLTFSELLDRFRDNCRIDKAYFMCQQENIKKVANMLERVQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATHYSQSRRVSIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVHKAEEMATNIADLLDKSLANACWKPESRKQAKPRQEKEECSDVDQASDDNAKSHSKDGYERSISRIKPFMRKRLDRPSQDPSSLNYVA >OB04G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11395193:11400526:1 gene:OB04G22240 transcript:OB04G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G39830) TAIR;Acc:AT5G39830] MHSLACAAPAAYAPGRRIAGSRRFSVECAASAATHDGEGTAPRSLMEANGEMPSKPVLLASRRKLVALSAFCFCLHSSRYFPALALGDPPVEIDDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGIVWDDSGHIVTNYHVVGNALSRKPKPGEIVARVNILAANGIQKNFEGKLVGADRAKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDLKGRMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRPGLNVEFAPDPIAYQLNVRNGALILQVPGGSTAAKVGLIPTSRGFAGNIVLGDIIVAVDGKPIKGKSDLLRVLDDYGVGDKVSLTIQRGAETLEVALPLEEASI >OB04G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11407627:11409516:1 gene:OB04G22250 transcript:OB04G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRIVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQPSK >OB04G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11419611:11420314:1 gene:OB04G22260 transcript:OB04G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAGNFVRTCINGLNALSGVGLLTVPYALSEGGWVSLALLATVAAACWNTGILLSRCMDADDAIRTYPDIGERAFGRAGRLLVSGFIYAELYLVATGFLILEGDNLDKLFPGAAVSLGTVSLAGKQLFIVLGALAVTPTTWLRSLGVLAYVSATGVFASVVIVLSVLWAAAVDGVGFSGRGTTTPLRTTGLPTALGL >OB04G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11422465:11422852:-1 gene:OB04G22270 transcript:OB04G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVPLEKSSRLQKEKMVAAAGQVDRGSPIEEHTREGMNRRVQIAHRRARCPTFQLITTSPTSSSPPTDLEATVLEARLGAEAPTEAGQKRRRERRRERHGGYGCSSSTTARVQERQLLG >OB04G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11422818:11425182:1 gene:OB04G22280 transcript:OB04G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSIFRSLLPTVPRKNGWRCFVTGFPSDTIAELNKEMESVFGESPAPSPLGSSPPQQPARPTNGSGGGQPVLTHVDSSGQAKMVDVSSKNDSTRVAVATCRVLLGQKAFDLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNISHVRVDLTLNEEDSSVMIEGEASTNGKTGVEMEAMTAVAIAGLTVYDMCKAVSKNICITDICLQHKSGGKSGSWSRS >OB04G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11434676:11436856:1 gene:OB04G22290 transcript:OB04G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGQADMDLPPGFRFHPTDEELITHYLAKKVADGRFAALAVGEADLNKCEPWDLPSLARIGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGMKKTLVFYTGRAPKGEKSGWVMHEPRLHGKLHLAAGALGLPGKPASSKNEWVLCRVFKKSLVEVGAAGAKKAAAMEMARGDSTSSVADEIAMSVLPPLMDMSGAGGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGEDHHHHHGLAAAPSSSFMANFTQYGQLHHGVSLVQLLESCNGYGGLVDMASAGRQLPAACGGERERLSASQDTGLTSDMNPEISSSSGQKFDHEAALWSY >OB04G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11445676:11447394:-1 gene:OB04G22300 transcript:OB04G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALRRVLLIPRHASARVDANAALVNLTLERANKVRIVHAGRAAAHRANKSPKDKIVDSTCGNWRAYKGVDNNGGQWVQCKHANGGERLLVILTREREGAIASRPRAHEGRRLSKIFASNLSSTIAIADLLIVVVTSPDLKAVTMLNEAVDEIDTNLMYSGRDKARSRIA >OB04G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11459635:11464199:1 gene:OB04G22310 transcript:OB04G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDMAADEKGERPKIRGGGRAPSSSSSYPHGQLGQDTMLAMSVHPAATPALASRARISRHRLSATSSSPSRLVHLSSRRLPLRSLRGLAAAAASGAVEAEDSLAGEGEEEQKVGGGEANVAEEADEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLSA >OB04G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11462129:11463704:-1 gene:OB04G22320 transcript:OB04G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFFSIHMNFSTSIGSCLSGTLTLYCPPTFCSSSPSPAKESSASTAPEAAAAARPRSDRRGSLLLLRWTSREGEEEVAESLCREMRAREASAGVAAGWTDIANMVSCPSCPCG >OB04G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11474243:11483630:1 gene:OB04G22330 transcript:OB04G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKDEQQHVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQTLENQLEMSVRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEVNQDSTTPYNFAVIEDANTPVRLELNPPRQQNEAEKSAPPKLGLQLHP >OB04G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11480904:11481128:-1 gene:OB04G22340 transcript:OB04G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLPSIQAGRYVFEMSWDASRRKSSDEMRTDVRSTICIICTFPPFRQLWNWTIISRVYLQVLYMRYSEGCLVD >OB04G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11492486:11493605:-1 gene:OB04G22350 transcript:OB04G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCPVGGGAAFCVQASNLPVGPWSSGLCGCYDDVSCCCLTFFCPRITFGRIVEIVNQRATSCCGSGTLYVLLSMMTGFLLLPLQAAPAVRAQGEALRRLLRPLVMRALRPLPGVPRAQEAQLRHVPRMAGEHGEDGEGCCDRTTADVTRDDSLVVIVLGHPLDHHASLLPCSYVLAIVSAIFV >OB04G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11495955:11497900:1 gene:OB04G22360 transcript:OB04G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMSFTHIHNEKGIRDLFCNTGKWKRGGDAYGSYSATVHWGWYNGFPQPFLHTVYLSYLMNGMAEQALSDEMQMLVISPTWSTAVLRQSAALISSDMGYEAQEMPKEMFTAYQARPRVLRRIEREAVGKNSGARGRYDSIA >OB04G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11497782:11500634:-1 gene:OB04G22370 transcript:OB04G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LYL2] MSSSRGRGILLVLLCLFLIASSFTGLLFLISGNGEKRRDDGDGDDEKVRLLLGINVLGERPASLGKQHRHGHGDGVTPAPAPAPAPARAHLPPPPLLHKNARLPVPGKVGHRRGNNTAHSPRSAASEQGVKKSTPLVVVAAAAALSGAALVLLVVLVVFLACRRFQRRTMPTADQSGTNKVSFDPGPDLFYLDAVKPYDGGDHGGGGVKTPEMAGAPKEEPKCEEEDSGALSDDGADSVHSSCCFHSSHFSYSELRDTKAGSNGISPTPSGRSRRRSSAPPTPSEKIKAASPYSPQVPRTPSNRERSFRTHSPSSSMSESISQVLKDHELRRAVHSLKFPEAQSESTRHVKEDEAESGSACSDAASRNIPPPPPPPPPPPPAVTQQQDVKTSHGPAGPPPPPXXXXXXXXXXXXXXXXXXPPPPPPPGLFRPSTPAVGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFGYNARCSTKHEEVQSRSPSLGHHVMDAKRLQNITIVMKAVNATAEQIFAALLHGNGLSAQQLEALIKMSPTKEEVDKLTGYDGDVDGLVPAERLLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDALLKLADVKGTDGKTTLLHFVVQEMTRSRAAEATDIAAGLSAELTNVKKTATVDLDVLTTSVSGLSHGLSRIKELVGSHLPGDERSQCFVAFMAPFVAHAGEVIRDLEDGELRVLAHVREITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGAKNCHGGNPSLNNV >OB04G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11503462:11503707:1 gene:OB04G22380 transcript:OB04G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTPSINVDLILSGQQYQKVVACIHGHGRFDWAVCFTKLSTLSPLPPRLLSCAIDGMHSSFEGPCSLRRSITIKSDIKSIK >OB04G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11505736:11506443:1 gene:OB04G22390 transcript:OB04G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVAASCVVVALLLATVPGAVPQPGGASSSCTGDLLRLLPCLSFVGGNVAAPSDTCCANLGSMVHDEPLCLCQALSQQPGHSVPVPVNMTRAAQLPRLCRLQLPPAATACPGLVPGGAPPPPPPVSVPRPTPNASSTAPSTETPVMPPPPPPQATLPPRTSSQQMPEYSTGIKMVVSCAPVALGFMALLSALAF >OB04G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11506405:11508840:-1 gene:OB04G22400 transcript:OB04G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPVWAISQATISDLIGLSLNFFFILPLLNSAGVHLLESPVLHPMAEGLFNFVIAWTVLFAPLLFTDSRRDRFKGSLDLLWGSQMFLTNTFLIPYMAIRLNDPDKDQSPPQTSKLGSVLVRGASIVGLTSGLVCIVSIAWALFGRADAGFGGIEERWQYVQSYVFSERAAYAFVWDILLYSIFQPWLIGDNIQNVKADSTEFVNSVRFVPVIGLVAYLFCLQDRDA >OB04G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11510518:11513107:-1 gene:OB04G22410 transcript:OB04G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25530) TAIR;Acc:AT1G25530] MVSPSVLPKVVDDAVEGTPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGTAALAASWGMTLYTLRLLIELHECVPGVRFDRYRDLGAHALGPRLGPWLVVPQQLIVQLGCDVVYMVIGGECLQKFAESACPSCAPLHQSYWICIFGSSQFLLSQLPSLDSITAVSLAAAVMSLSYSTISWAACVARGPAGGVSYAYKEGTAADSVFRVCSALGQVAFAYAGHGVVLEIQATVPSRPTKPSRGPMWKGTVVAYLVTALCYFPVALAGYWAFGRDVSDNVLVALRRPPWLVAAANMMVVVHVLGSYQVYAMPIFETLETILVTRLRAPPGALLRLVARSAYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVVGVLLMVASTIGGIRSIIQDASTFQFYS >OB04G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11516823:11520570:1 gene:OB04G22420 transcript:OB04G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLETHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >OB04G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11526525:11527106:-1 gene:OB04G22430 transcript:OB04G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTLRLLSRPDVVHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAAAGTGLIELRRIEAAKEIAAELARSPNVAYVPSGDNGRMLLGLNAAGFGR >OB04G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11530523:11533315:-1 gene:OB04G22440 transcript:OB04G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAATALLLLLLALAAGAPVVANDLASDAAALQAFLAPFGAASVSWNSSQPTCSWTGVVCTGGRVTEIHLPGVGLRGDVPVGALGSLDKLAVLSLRYNALSGPLPSDLAKCAELRVINLQSNHFSGDLPSEILALPALTQLNLADNRFSGRIPPTIAKNGKLQLLYLDGNLFTGELPNVNMPLLASFNVSFNNLTGAIPSALGGMPATSFLGMPLLCGKPLSPCRTPISNPPSQAPALSPEGAVPSGGRGRGGRHLAGGAIAGIVIGCAFGFLLIAGVLVLVCGALQRKPRPHHNYDVAAELALHSKEAMSPSAYTPRVSDARPPQPPPPPVAPTVQPAVAANVTGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKASLESGPVVAVKRLKETSLPEREFRDKIAAIGGLDHPNVVPLQAYYFSKDEKLVVYELVAMGSLSSMLHGNRGSGRSPLSWESRRRIALASARGLEYIHATGSKVVHGNIKSSNILLGRSVDARVADHGLAHLVGPGGAPPNPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPPDRFAGAEA >OB04G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11538551:11539441:1 gene:OB04G22450 transcript:OB04G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRVSGAGFLKPLAGVSFAFMPGAGALYFLVGSVLGFLVMVYSSESDEGGVDWASAERWVPLARSVGAPQMFVGIPLLLLATGVWRLGKRCNAVEGLVGNADATVQALRVGGVVCAVCGTKILALKKKGGLASVPSPSPSHSKGCSSDKPVARSLAAELEQEAHAEEDDCAAAGDGNGGTEEGSIERLKRRLAAERRRRDAALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQVLQEMAQQKQMYDRQLIESLQWVIQRFGMPCGEAEVSSERGVSETSEDDRDRK >OB04G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11551476:11552924:1 gene:OB04G22460 transcript:OB04G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09680) TAIR;Acc:AT1G09680] MAHHLLHHRLSHLAHPLLRLLASRLGRSTPPRVLPLILSAASAGDPAPLVSALSTAYAEEGLLPDACALVLLALRRGIRLAPTMCTGLMSRFPTAPEAYTFYLQLLDAGLPPEAKLFNVLMRDLLRSGDLASAQNVFDEMHSRGVRPTAVSFNTIISGMCRLGDLDGADRLYRGMAEAGVLPDVYTYGALIQGLCRVGRLEGARTVFEKMCKTGMRPNAVVFTILIDAHCKEGNVETILELHREMGERGVRPDVVAYNALVNGLCRARDLKSARGILVDMRSAGLRPDKVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVALDEVTYTALISGLSKAGRSVDAKRVLDEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQSKGRKPGVVTYNVIMNGFCKLGQLKNADMLLNAMLNIGVSPDDITYNILLDGQCKHGKVTDSEELKSAKGMVPDFGVYSCLVGEIVKKKTTKTYDR >OB04G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11554465:11556686:-1 gene:OB04G22470 transcript:OB04G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGRPLLADKVPDHPEQHFTSGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGFAFSLCYKNKGQTLGFHHQNRKPMHLLLAADIFHVPVEQEMCARHKQFRALGWRTGRKSYWALVLTRYLAAKSEADHYQREMKREQEEIIAVPDTGKLISRYNKDTIH >OB04G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11557847:11561409:-1 gene:OB04G22480 transcript:OB04G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSHLAASASSAAATAVRSPAPSNAASAFARFPATPRPASGAGLAVRGARTVSPVVAAAGSAAATPVADIEEHRATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEEVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVYYDEEIGKALFNGLPNPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQRAKENN >OB04G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11563648:11566147:1 gene:OB04G22490 transcript:OB04G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARWVSKYTKGLVDVLHENNIAHYRTQNGWRTDGWRKIVSDFNDRYPGAKFSKVQIQEHETQLKRDYKLVKSILQREGVSWDQNASMIKTTDEIWDDIIEEMPKARKYQTKSFPLLQSLELLFEGDIPEGEHNLMPSKPQFAVRNVAEGCNNMSTLPSIPGRPWGMVIASIDEGENNISILRRTPMLEAQGLDDLEILQNPTEEVLERPQHGAESAEPKPQNEPGHSSSCIEPQKDKRKKRKVPGIQQTMEAYLEFRMKQARLKEQTEKDGEPFSISRCIKALHTMADVSDQVKVLASDVFKDAANREIFLSYEPRLRAMWLKREVNRLI >OB04G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11566675:11566860:1 gene:OB04G22500 transcript:OB04G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQLNTLQISSTLIQPTGKLYNFQTQNNSSTLREKNNSDWTNSSYSYHDKISDQLQYLY >OB04G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11567941:11569765:-1 gene:OB04G22510 transcript:OB04G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDDSPASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQVKGGKEAKVRTSLTGTEPNAGSLTVPSPHPPLPHRRVDVRSRGGDPEEDKEETGSARHDLGSSYVDSPVQSPSSDNSGSVGGMHRKHYSNGGGLSLSSSSSAWSSSRSVSEAEDDDTGGPDEENGVLDDWEAVADADALATDNCHSQQISGPMVQPAAPNVCAAPANPTGRQDPIRRTRAWAPDDVFRPQSLPNIARQASFPASIGNCWMDASQQVVHSSPLTCPICCEDFDPTDSSFCPCPCGFRLCLFCHHKILEADGRCPGCRKEYVAARLSRSCSMGPRY >OB04G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11574944:11575147:-1 gene:OB04G22520 transcript:OB04G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHWCKRQSLHILVRTLSLLLDMRTNSFCNALAKENTEANEIFFARGKQDFMSLITGILFRLANG >OB04G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11575564:11575773:-1 gene:OB04G22530 transcript:OB04G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQVLFHRSSFLVVPLQLSYILNGFFCGQVHGLFVVERSLSQVQQIQLPHSLSLCDALLEMDSFSFNS >OB04G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11577486:11579810:-1 gene:OB04G22540 transcript:OB04G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39952) TAIR;Acc:AT4G39952] MPPTRPLPQTPSPIAALHRFLASPSPPLPSLPGLHALAVTSGLSSRPDFAAKLVSAYSSAGRPGLAALAFAASPCPDTFLWNSLLRSHHRASDFASVLSAHRRMRASGARPSRFTAPLVASAAAELGALQVGAAVHACSVRFGLLEGDGSVAVASSLVHMYARCGSVRDAVRLFDEMPETDVVAWTAVISGCVRNGECGDGLSYLVRMVRSAGDGGARPNSRTMESGLEACGVLGEQCAGRCLHGYGVKSAFGHCPLVVSSLFSMYTKCDRTEDAWILFPELPEKDLVSWTSLIGAYCRRGHVEKAVELFLDMEESGLQPDEVVISCLLAGLGNNASVRRGKAFHAALVRRNFGNSVLIGNALISMYAKCKQVDIAGAVFKMLHQRDADSWSSMVVAYCKAGLDLKCLEFYREMQFRAKDELECDTISLVSIISSCSRLGRLRSGQSAHCYSIKHLIGENSSVANALISMYGMCGKFELALRLFDLIKTKTDVVTWNALISSYSHLGYSKDALFLYDQMLTEGVKPNSTTLVTVISACANLVALERGELMHSYVKDMGLECDVSISTALVDMYTKCGQLHIAREIFDSMLERDTVTWNVMISGYGMHGEAKQALKLFSMMEGGNVKPNNLTFLAVLSACCHAGLVDEGRKLFTRMEEYSVEPNLKHYACMVDLLGKSGHLEEAEDMVSAMPIKPDGGIWGTLLGACKMHGNLEMGLRVATKAFASDPGNDGYYILMSNSYGSAEKWDEIERLRDMMKSYGVEKNIGWSTVDIYG >OB04G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11582629:11583097:1 gene:OB04G22550 transcript:OB04G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCEKSRSKGMALVARVSGVSSVEVTGDCRDRLQVVGDGVDPVCLVHCLRKKVGHAEIVQVEEVKAEEKKPEPPKPVPCYYPPPSCYYGHHQVVACEEPSPCSIM >OB04G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11590731:11596631:-1 gene:OB04G22560 transcript:OB04G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGDIPAATAEDVELAVLAARDAFARDGGRHWSRAPGAVRAKFLKAIAVKIKERKSYMALLETLDSGKPLDEAHGDMEDVAACFEYYADLAKALDGKQRSPISLPMENFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGGICVEIGLPSGVLNIITGLGPEAGAPLASHPHVDKIAFTGSTETGKRIMVTAAQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKQFLDRLVAWAKSVKVSDPLEEGCRLGSVVSEGQYQKVMKFISTARSEGATILYGGVRPQHLKKGFFIEPTIITDVSTSMQIWREEVFGPVICVKEFRTEREAVDLANDTHYGLAGAVISNDLERCERISKVIQSGIVWINCSQPCFVQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTKYSSDEPYGWYRPPSKL >OB04G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11597587:11602618:1 gene:OB04G22570 transcript:OB04G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQDQPPPFDDQSSSSRSFTGTGRLLSGETAPPVPQPPENVLHNIQFWTNGFTVDDGPLRDYNDPANEDFIEDPKCSEAKCFKIYSSPSIRLSQCPNELEPADKRTPVHVNVIKRQEEYQVPVRPPSPFQGVGRTLGDVSSGEECPAPAPATEEPNRSIGIVVDDSLPRTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGASRPYQLQTGFPPKQLADPTQTVEQAGLANSVIIQKM >OB04G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11603173:11604237:1 gene:OB04G22580 transcript:OB04G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSVEDSLFHKIKENQDRFYEFHLEVSHLNEQSGWRTGGIRKETHHHPFPCSRRPPTSVAAPSPRSLTSSSDYPTLSLPPPRRQPALPPPASPSSGTWPPPGRPLPSPSTTPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRRARVAVELSRTPSDSLLERAHEVLDGKSVGCLMLVSGRDELAPLLRLAREKGVRSVVVGGESGPARWADVGFTWAEVISGKARKAAPSVSSKWRDRDVLKMLEWRYEDDEHEEVVFDEDGDGDEADELASKTNGKPWWKLESDGEDSGVRR >OB04G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11611132:11611446:-1 gene:OB04G22590 transcript:OB04G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLQPHDLLLLLASLLFFLFIIVRRRRRRLLLSSFCCCCAAPLFVRRHGDVGNQATWSESKSVFFSRVYYYCYLSKARRYAAAAAATRLLEYVMSCYVKSFV >OB04G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11624350:11634264:-1 gene:OB04G22600 transcript:OB04G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MAAAADAAASDDEPPRKRARADADDRLSELPDCLLQDILALVGSRRAVQTSVLSRRWRYVWRSVPCVDIDQREFRGAGGEGGLPTEADCDGFEDFADTVLSPTIPGATAAVAAQPPLDAFRLHLLYEGRHISFGRWVRRALKRRPASGDIHNSYGGTNDWPPVLDLGFGAGTSHLKRLHLFGLHLGFISRDGKRLTELLPVLEDLHMENCTYGFGPSTSTIALPTLKSLAVVPRRYTSRHYTLIVTSPRVTCLRLFLPFTRTHAAAVRIAPEGREVLESLVSASISILDMEQEPNHLNRRMNKHKVDFLTSTRSMLDRFPNVRNLDLSGFTTIALLDKKSQEFPMLQNLRTLLLSDCDVGVRCHALRSILLNAPNLEHLRLQHCKGVGIRLRKRIKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGIDYKYPYFHDREKKDDKDESSEHRNSGDEDVDLAITAAEQSSNEEDADNPAERGSNHAQEGEDLDIVYGEKENIGEENKNPHIVSFEQDDIGKKDTNHLTHVDVLEGNLDIGSIKQNISSVPKEQQSILHTNTNAGHSSEISSQARSTLVAGVGSLNKFRLQLPGEVKLTEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGFRRPFRLPPSGVPPKLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGAHNIDSDIISEELKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNSKLNIDDSALQNSSKLKTTTDISVDGHECYEKKHNEETSVSENIAQSLNVVAQNVEARLSQAIAEKEKTEKLIDELEKTSWPSRAETSEAISGEERFMLRKVGLKMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHSIKDVEYAARILEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKRDALKRSVEHQRYKSLKLHVLNLSKKIDYLRDQMGEPVTSTNRMNSDNQNTGSLGLSVDSRTLMDKNEEVAEVLPECSESVVEEHSSGQSETDGTSILTEPGVPLDVQNKLCFNMSETASSCLPESTSTSSDNMIKHQSQLSSSVTHNSDDHSVGYSKIGTLTTVHEPNLDEKSTPHFPVATAPLSNRERLMLRKQALKLKKRPVLAIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSILVSREPNKVILYRGWGADVAQNSSNGTSTEQVEKEVISPQLLEAIRLECGLQPDESE >OB04G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11637293:11640287:-1 gene:OB04G22610 transcript:OB04G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPWFSDQPHVGVGRSDGHELKTIRSDGGQLMTAKSHDPIAKKKAVQTGLLSRRWRNLWRGVRAVDIDVRELRVEGPNGPYFDRDRIEDLADAILSPGPLPGAARELDALRLHLHEDALAANFQRWIRRALRRRPASIDITYVVRTCISWPPPVTLTPPAAASRIKTLRLYGLRPTIAFGALEFPALEDLHIEKCAYPHGSIALPTLKRFALISPINGSFIREQHLTAPRLTSLRLVLPYNRVHGGVRVLADAPLVSLVDASISIFDTDPVDQRNRRPNQIQVDFFVSVSNLIGRLTSASNLDLSGFPAMALLDTKSQEMSMFPHLTSLLLDECDIGNKYHVLNSILQNAQNLEQLKLHNCKFVGKSRRKMGKPKSKEKTSRCSSSTSPSFVCNSLKSVEIKYPRDDVPSHDFLYEFQKETPANQWRKRSIDNEETALVELQRKWNKGDAKYEA >OB04G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11641335:11647187:1 gene:OB04G22620 transcript:OB04G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRSQVTITLGRSGQVVKRRAVSDIDNDDGLHLGRKRSVRDRLGNTMVGSESYDGQQRNKRRQTETNGFQHGDNRTDYQVSRDDLRLKLMKKGLSSNGGVEQDGVDLREKLSRKPKLSQRYDARGCGPESRSRYDGRDKIPDLRSRYGLRERLPEPRTSTLPNRIPSARSMDDLLNFGSSREAYSSWSAGESRHRSPERLPSARRDMSPSRTYDHIHSMPLTRSASNSRTSGLITGDVPDALRTQPYAGRSSISIDTAQPTNRVTSSATVLPPTAPVMQEVPLTVTELLNSLGLEKYVFLFQAEEVDMAALTQMGDSDLKEIGVPMGPRKKILLAVSPYPKQQRR >OB04G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11648560:11649497:-1 gene:OB04G22630 transcript:OB04G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3LYN8] MGALLLRCVLLALVVAAHGAVAGRLSPNYYRHSCPRVERIVADVVAAKQRANPSTAAGTLRLFFHDCFVGGCDASVLVSPLSADRSPERAAEINLSLPGDSFDVVARAKAALEVACPGAVSCADXXXXXXXXXXDARRSDARDVEGNLPRTNMSARAMTVLFARKGFTPQELVALAGAHTVGFSHCGEFAHRLYSFRSADGYDPSLNPSFARALQTSCANYKKDPTISIFNDIMTPGKFDEVYFKNLPRGLGLLASDAALWEYPVTRVFVQRYADNRTAFFEDFARAMQKLGAVGVKTGRQGVVRRHCDVL >OB04G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11649081:11649461:1 gene:OB04G22640 transcript:OB04G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGRXXXXXXXXXXXVGAGDGARAGDLEGGLGAGDHVEGVPRQGEVDLRGALRGPVGGERGDEDRRVAAADEAVVEEEAERARRRGRVGALLGGDDVGHDPLHPRAAVAVVVRGQPPRHRAVRGDY >OB04G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11655225:11656283:-1 gene:OB04G22650 transcript:OB04G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLAVVVALLVSLLFLTAIKAADYAPAPPLGPPPHKIVDPGKDCLGACDVRCSEQKRKNLCSRACLKCCSVCLCVPAGTSGNKETCGRCYTDWATHGNRTNKCP >OB04G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11677619:11677993:1 gene:OB04G22660 transcript:OB04G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYSLMLMVMGKGKSSSQSLHGPNYTQNASEAFPCGRKTIAMMLLSLLLVLVLSAYYPEQIFWYSPMPKHIYHQTAINRMLSNSPFFMQNCHYSYISCVIFVASVEFFESLLPIDIWNIYVSDL >OB04G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11679566:11680258:1 gene:OB04G22670 transcript:OB04G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSKFIVKDSERQINGTALGEHDIHLDKLDPILAANLHRINILVISTSRWFFRKNYLYEGEKLIGCIYCSEDNITRFSVPMAIQRAFRTALNSLKDCQECTLQLTVVRTTTSAHFENGLWNTGGSCNRTEPLREEAMVDETDWAIRNVQVEEADRTQKNRRKGGVKIDIIDITKAMSMRPDAHPDIHWNNQWVNGYSDCSHWCLPGPIDMWNELLLAVLDKYKNSLED >OB04G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11680710:11682289:-1 gene:OB04G22680 transcript:OB04G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24040) TAIR;Acc:AT1G24040] MAAASAAALLLPPVSPAAPRHPPPLLFSSYSPCRSPRRVRLARPAASKGDGGGGVVAGVGGGGGGGGGVFLSPRALSQLDELAAFRYAHSFPHGRLTVRALTPADDDESDSLVRLLASSFAENVRWAPAQRYEQLLAFVIRRYLYERRGLAPHAAVLVGYYRPAATGDVEEEGEGDEGDGDYGEMACTAEVSLDAMGAPGAPPTPTPPLEFPYICNMTVKTSLRRRGIGKQLLKACEDLIIKMDANRRVYLHCRIIDQVPFNMYRKAGYNIVQTDSILIWLSLQKRKHLMSKELLQTSVSNENTTKDFDDNIDKLNML >OB04G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11684411:11685648:1 gene:OB04G22690 transcript:OB04G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRGSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYAEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVTPKGGDGSGAVVSWAMDFDKTSEQVPDPDVIKETAAKTFHDLDDYLLKN >OB04G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11687152:11689104:-1 gene:OB04G22700 transcript:OB04G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKSIIVQRATVSAAHLQQDGTHPVQGAPKAVLHVLEEDFKLVSLGIVLQVQVHAPLRRDDLRAHAVPGVGGLEHALGDAVAHHEARAGRAEGEVGRAREEIDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXISQANAAPATAESKKASSSAATAETGMLETRNDAVAAGAAAAVGIAAG >OB04G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11687550:11693126:1 gene:OB04G22710 transcript:OB04G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) TAIR;Acc:AT4G11130] MKDCLGCTLDGMGAILLQLNYAPRIHCAISGPAVSSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGKCFTLVLKLGESALVSDILKSLPFSGDLGVLTMNSADGVGASSHVVPLVHCPMDYSVPYEVLFRLNSLIHMGKIVAKHVNADMFKTLQDLPVDVSRRIFEKMTKLESTCYEPLQFILQEAYSMKRSRAALLSSEGESERKLMRCYRVHITPSKIFCLGPEQEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSTNAISARTEEGFFSKPIRTGLYYRILSILNKGFTIGPKNFEFLAFSASQLRGNSVWMFASNASLNAEGIRRWMGHFKNIRSVSKCAARMGQLFSSSRQTFEVAQWDMEVIPDIEITTDGSKYIFSDGIGKISLRFARQVAHHIGLDPSNPPSAFQIRYGGYKGVIAVDPMSSFDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGISDDIFVAMQQDEMRETDEMLTKKEVALSVLGKLGGSETKTAVKMLLQGYEPSSEPYLSMILKAHQENRLIDIRTRCKIHVPKGRVLIGCLDETGVLEYGQVYIRITKNSKEQKDSDQSYFYNDDGKTATIVGKVAITKNPCLHPGDVRVLEAVYDPELEVMGLVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHAVTLEEIQKHFVDYMINDSLGVISTAHLIHADRAPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRALRPREYPDFMERWEKPTYISHGVLGKLYRSAAGHMEEKSPGGAAPSSSPAQAIPTYEYDPDLEVPGSDQFLDAAEEHYQLYEEKLSTLMSYYRAEREDEILTGNIRNKQLYLRRDNKRYFEMKDRIVAAVDGLQREARGWLLSSKGEEEGDGPRMASAWYVNSYNISQAGFKITA >OB04G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11693872:11696245:1 gene:OB04G22720 transcript:OB04G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLASFLPSSAAAAAGDLAMAAVHHPLYYPLLLGIPLACLYAWLSRRLLRAGYLDAPSGVALSRRQCFLLITAGSLSHFFLDHLFEENGHSAMYTWILSTGWWEGRAPINPDAVVIVGIICTCLVLGFMYINRVKHEKSASEKSNQSFFLIVVMATLYCVWCASQIYLRNPPQPAIGEEADLGVIIFLAIYLFLPHGLCALSMNQNDYAETLEGLPLR >OB04G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11697180:11698520:-1 gene:OB04G22730 transcript:OB04G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKEIYSATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIIKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRLQIALGSAFGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVIDIGVSQVSSEVRGTFGYVDPEYRQNHKVNAAGDVYSFGMVLLQLLSGRRAINIMNTAKPMSLDRMASMLIREGNVLEFADPRLNEEYSTEAFDLCLKLALSCTGHKQQRPSMEQVVSRLEKALKISMRDANKHDSISIIESLA >OB04G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11706983:11707234:1 gene:OB04G22740 transcript:OB04G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSFLCIFSFSRKSRRYYVDDEAAAAASDWERPAPTTTTRLRKVRSSDEDNGWWVGERDVDQKASDFIARFHQYQTNLAVV >OB04G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11712020:11715741:-1 gene:OB04G22750 transcript:OB04G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGVDYRSAPRPAGAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGADKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLREIPLEEDPEIICDLVANLTPGLVGADLANIVNEAALLAARRGGNSVAREDIMDAIERERYGVNGRQENADSEKQGLTKLFPWLPKPGNKPMNPDDIGGVMGYHTLS >OB04G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11718638:11722597:1 gene:OB04G22760 transcript:OB04G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKAAAMEGRPAKMGFRMPAEWEPHKQCWMGWPERRDNWRELAGPARKVFARTAIAISKFEPVTICASAKQYAYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIIREGGAGLGISAQNIAGIDWEFNAWGGAIDGCYADWSLDSYVAKKIVEIERVPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVTKVIWIPHGLYGDMDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVEALSTLSKSVDAKGRQIDVVKIHTPGPLYMTKEESEGVENTGHAVPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEARAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >OB04G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11723511:11730607:-1 gene:OB04G22770 transcript:OB04G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDIIVKHPSELRLHKVAIVEKLQERICDTDKVVRESLFSILQSFIFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDVQLVSFRFLELVVINFPSSFPRYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSALGHCLSQVAYATENGNTSNRLGHNVSGRELWKCTLDEDNSGSRAFAMSSILMKLQNLIQILVNSVEVLASELSAKSTIDAQSSEALLSALHCLDLICKIFIQEVKKPQMKLGRSKTQFGPEWLKSSVLVYMMKLWGVSRSFHEKGDDKYYFFNLKIAEIFLCLSACMDNTMFPADKFCQFVSSLLAKVKTIRNKDTMEKNLNIITSIPDLVSNAPDDSKGYILEAFTDAFRDCKVDCKLILPYLDAMGKMLLPEKTGILFTEKDSGLEYHGAWVDELPRFLLQSIDKAPSVTKVVLELLLRIGQYFPTMECGNLHSFVKLFGVKSSSGTVELGPFIKLPRDCQELAISCLYYFSSLLPDTVELLASCCLSDVLEPIILFKIVEVLQSSYKAGNLHITDQLSFLSLLMARFRIHPGPFCTQEDSQKGSSLSTFKSLNHLILTSLSEMGDGSLVLELMWDILSKEIVRIQNYAFLLCSHLKTIELFYICLFMQAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKVIAGYLIDAAMDLSKTIELGFQSDQTRVFQYFIKPCIIIFCQNEKVLCCTLEMLKSFATGDDHGLSCVSKLNYPGELSRRICIVTSILIFLCNDGKLHKHLSLGKSVIKGILQHIRHLMDSNLPGVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTT >OB04G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11734658:11735318:1 gene:OB04G22780 transcript:OB04G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRTGVLAVAMLLVVSLLMVLQLPVARARHVAVLRATDDSGSAMNNIRSAEAGVAKEPTSASGAVHSQASSGRRPTVSTAEMRTPSTSTAEHRRDEVAKLHDMLKRDYAWRARRRSPINNGEPLEEESP >OB04G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11735374:11740371:-1 gene:OB04G22790 transcript:OB04G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKIDDGRPYHNNVFHKHVSNGGPKIDGEIERETKQHIFPDTMVQQTNPSEYSAGQQNGDKVVHIRPEDVSYDKDVVEINLPDIMVSSNYGVQFVKDVCIDEGVLADQKVTAEKLSPNLDSSMGDTNGVLMKETAEEREKSVNDLKSQIIVLPEACGTDGDTVEQYSSNKLHDLEGNNTIDEFNVVNVEKSRAKQVVSNEGAEYGQEMCASFFENGENHEPILDGEANNQVSSNNCHESGASVASVTTNPNGLPVESIDDGFSEVVTEDDVAGMALNKIGINQNNHYNPFIAYGSLEDTWEPKYSLPPVVDDASILPCPVEKTDSFSDILNGALRGFNFLETGESNREDSTLNSVEENSSSMDVEASEENNDQRESPVENTESLSNPVDRALSSTETDEARNEDSRLDCTEASSSRSDVQPSGHSNDQVDNLVDGIRTNATHGTGSVTSHGNTDPGDAKSDNHPKCKVDNVQDVHDFNPREEGDVIDISEDSKDSKSSTQTQSVAQQNEPDSAKVTMQTESIAPQNEHESAKVTARNVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRRDRGWGYRILCCKF >OB04G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11760300:11760602:1 gene:OB04G22800 transcript:OB04G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQELLLHVCPHTAREPRTPVPSMRRRSLLRRRGVDQMAAGPRRRPKDDGASEDAAACGRAGKEAGGDGEEASEDSRARRLGGGRSTLRRGTGRRGRR >OB04G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11763698:11765118:-1 gene:OB04G22810 transcript:OB04G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGKLTAADDDAAFPQEEAIAAVQDLHTPSPTSEDDCDDLYGDVSLNFLPLSPRSPSPTSPPKTPSPGRSVLSPSPPPPPAPRGPLPEPQPEPEPERPKPLPTPPRAAKPTQPRHQPRQSESQHASWGDGSPPTTALFIGELSYWTTDEEVEDALAPHGALHGLHFFTDKQTGKSRGFCRADFLHPDEAASAAAALHGHAFHGRHCVASLSCPPELLRLVDDPHVDQAPSGATRGPGNGGNSTTPRGNVGDPPAPSPLPRPIPPRLPQLPFGGMMMGGGGYGGGFAGMGTSMMPSMMAPHVNPAFLAARDMAMGGAGVWYDHRVAGNMWGGQQPWNFGGYGMPRRPQRPQMWRQQLNRNGDYGNVRGTARRERPGGRNEEGGIGNVRRQCGRDGVDLSRKHDHEEGGRYRQLVSEEEREHELQWDESDRYGGDRRYQEYPDCDFRRRGRARSRSQSRDEEEEDEDDHPRKC >OB04G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11766526:11771111:-1 gene:OB04G22820 transcript:OB04G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55870) TAIR;Acc:AT1G55870] MSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPHNKLLNDCSSHEFLCQTTSIDFLAKYQFDFNTCFREGIYYLSRTQEEKALQKLYALHNNETSAYPNTSEEEEDVPLKSAADILFTERMKIKFNEWHKSIVSNQRVDDHRSGNFKFTDQFQTVFFKMRPAIMLNGFTSHQLKLIQQILKKHFKDLVYVCTFLEDGISEKRVVYTDTNSDKILLIKDVRGDILKSREAIVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEDFALSINKIFPHIADTRHLMSVNEAVQYRMRHKSKSLSSAFSLLCPTLHAPNENSSTLPPVKIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCACLGIKFEHLSPLENLATNINLKKHINLLAPCFNSGTVLDLSTGMERPDPGYKFRYPAAVYDNAVLIWGFQSKVRPKDIKDCICKVFGRDSVTLVFPIDSTAVLVQFSKQESVNSFLDLKATLEGADTAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSMFSFADQAEAVCSNSNSGFKFKESSAADGSRECESALDGTVPASVKQSGGTKSDSKNQGDNDISYQDILDALQDGKTLFGKRMRNT >OB04G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11776295:11789348:-1 gene:OB04G22830 transcript:OB04G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGRHDQGMALRKAEESAARRCQAARWLQQMEPAAVESLPERPSEEEFCVALRNGLVLCNVLNRVNPGAVPKVVENPIVAVQSCDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSSKRLPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWGEAEGLPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGPHSKQELIEAISKSMKENNDCFLSSLRLPCGRRKQLDDGGLEHHQEELEKLKLSFNEMKLQVESTRAQWEEDLSRLESYFEAHNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFLNMQTDQRSTVDHIGENGEIMIMNPQKQGKEGRKIFSFNKIFGPNASQSEVFADTQPLIRSVMDGFNVCIFAYGQTGSGKTYTMSGPDTVTEETWGVNYRSLNDLFDISQNRADTTTYDVKVQMIEIYNEQVRDLLMADGANKRYPFTIASIFFKLPIENNISKKRNFIILEKVLGGTTFSSVNLIRRNISLRMLFLTMNTLEIRNSSHVNGLNIPDANLVPVKCAQDVLDLMRVGQRNRAVGSTALNERSSRSHSVLTVHVQGKERASGATLRGCLHLVDLAGSERVDKSEAAGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPEADAFGETMSTLKFAERVATIELGAARANKEAGQVKDLKEEISKLKLALDDKEREASQLKDVANRVASEMRNARTRSPLTSMPLKPEAGQDSSVDTCASEIRSSSSGKQRRFRSPLSVRELDEKSPVINRELYLSARKFKTPSPPVRSSLSAERVGIAKSVDKSENTDCTPVSRIEVPPKVLHNSSRNTPSVLTVQSLRKFRDSEENRSAKPSVRESMTKNRLLDSATKPQKEDQTANKYTGARVRSEARVPRNISDIENEFANSEPTFHFNRKTKKLPPQATRQSQSIDLRASVREMEPVTEGRLRRSKAPYGGGTNIPLPETRRSVSLPRGKIALLGHTAHFALISNHTSGAMEEAAASERKRPRGGGMDPSTAAAPPSTSAAAAAAGEAQYVYLPVADALKAPGARVCLFAAVSEIGAAVRSRGTDFTLTLRIVDQSRASGISVTFFADNTALLPCVRSSGDVISLHNVVITMHHGEFFVTYNKKFSSFALFEGKVSTECRPYQRYMKYHGNKYDNEILTQMRMWLVHNPPGLKDLELQLRTIKSDSTFDLVCKVLDVHEASNGVWILYVWDGTDTPVTELPMIFDTKSVSPPPLHLEGAPLPREVLCTLPCVGSVLRVFSNRFFKEILHLQKGIYWARFCNITCKQEFGMWKGVLLPSSRVRLLSNEDGNVVDRLKLFDSRIASQIHRQPMASLPSASDIADVEYERVGYTTLMESLTHGEVTHKFKTLVRVVAAHPRRASDLCSLLAGNCCLRLTLEDPTARIHAYIHKDDGAKFFGGFLTAEALTRKMNKLLGIPEDTEEGAPLTRNPPWIWCCLKSYRLDKNDPWGTRRYRVFGTEIRD >OB04G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11793216:11794094:-1 gene:OB04G22840 transcript:OB04G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIIIRMRPDSDQCHRKALKVAAAVSGVESVTVTGRDRDLLLVIGDGVDESKLTKKLRREVGEAEILELRTLDAGGGREAAAAALQLLTTAGIKGGGGGAVAFARSSSPYHWQPAATPGRSVAGGGRITCPVTPPAAARWSGGGEYYGSPQAALYYPRTPNACYQYGGLSERGGVYASSYARAVARSHPANYSPMLERHDRAAVGRGRRRRAGRQPNCCSIL >OB04G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11798429:11799350:-1 gene:OB04G22850 transcript:OB04G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding IITVLFQTEILIWIQLSTERCRSKAMKVASTVNGVQSVAVAGEERNLLLVIGDGVVDASRLTRRLRNHVGHAEIVELTTSTSSSGRAGAGXXXXRVGCPVTAHSVVASHAAPPAPLWHAGEADSWAAARDVHSPRAFSYSAHPSPYYHASPLAIAVPVRAGGGYAGGGARSHPVNYSPMIERHDGRRHYPTTHSGCSHGKVHPRCCAPSCCTIQ >OB04G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11809471:11810706:-1 gene:OB04G22860 transcript:OB04G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIVIRLQKGHNKAIKVAAAVSGVESVTLAGEDKNLLLVIGSGVDSTHLTEKLRRKVGHAEVVELRTVDADELLHMAAAAEQYSSRYSPGAPPYNSHAAAGRDPYYAGAGGYPHQRGAAGARDHYYGGDTPRAGAGAGSYGGAPMTMATGGYYAGAGYPQYGHSSYYPPAAATSTNTHTVVHHQYSNDPDSCSIM >OB04G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11818110:11818853:-1 gene:OB04G22870 transcript:OB04G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIEIKLCVPCEGCRTKALEVAARADGVISLAIAGGDKDRLEVVGVGVDVTGLVVSLRKKICHAEILKVEEVKEEKKPEEKKPEEKTPEPCCPCPPPPPYCYYPPPPMIVCDDPTPACSIM >OB04G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11830341:11833202:-1 gene:OB04G22880 transcript:OB04G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63370) TAIR;Acc:AT3G63370] MSVRLPRASKPTTAMAAAALPLHPIPHRKLPPTSPSASMRQLCKEGDLREAIRLLAARSAPGRAPPTEHYGWVLDLVAARGAFAQGRQVHAHAVATGSLRDDDGGVLATKLLFMYGKCGRLAEARRLFDGMPARTVFSWNALIGACLSSGSSREAVGVYRAMRSSEPGPAPAPAPDGCTLASVLKACGAEGDGRSGSEVHTLAVKRGLDRSTLVANALVGMYAKCGLLDSALRVFEWMRDGRDVASWNSAISGCLQNGMFLEALDLFRRMQSAGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGTEFNIQCNALLVMYAKCGRVDCALRVFREIDDKDYISWNSMLSCYVQNGLYAEAIDFFGEMVQDGFEPDHACIVSLSSAVGHLGRLINGREVHAYAMKQRLDSDLQVANTLMDMYIKCNSVECSACVFDRMKIKDHVSWTTIMACYAQSSRYSEAIEKFRAAQKDGINVDPMMMGSILEVTSGLKNISLLKQVHSYAMRNGLLDLVLKNRIIDTYGECGEVCYALNIFEMLERKDIVTWTSMINCYANNSLLNEAVALFAKMQNAGIRPDSVALVSILGAIAGLSSLTKGKEVHGFLIRGKFPMEGAIVSSLVDMYSGCGSMSYAFKVFDEAKSKDVVLWTAMINASGMHGHGKQAIDIFKRMLETGVSPDHVSFLALLYACSHSKLVEEGKFYLDMMVIKYRLQPWQEHYACVVDLLGRSGRTEEAYEFIKSMPVEPKSVVWCALLGACRVHKNHELAVVATDKLLELEPANAGNYVLVSNVFAEMGRWNNVKEVRTRMTERGLRKDPACSWIEIGNSIHTFTARDHSHRDSQAIHLKLAEITDKLRKEAGYSEDTGFVLHDVSEEEKIDLLHGHSERLAIAFGLISTSSGSPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGSCSCGDFW >OB04G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11836812:11837429:1 gene:OB04G22890 transcript:OB04G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKKKGHAVVVVAEGAGQELIPRTDDQKREQDESGNTVFLDVGPWLKSELGKWWKREHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGYTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFLEPKY >OB04G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11838502:11843174:-1 gene:OB04G22900 transcript:OB04G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MMVGGELVLAAVVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLKPHASNTLGSFLEDHCSRYGKVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKSKDKGRVNVIAFCEEARKFAFSVIVKQVLGLSPEEPVTAKILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISRTVKGIIQERRNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSVQDLELVKREHEGIKSKKEKGEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGASQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPLCSES >OB04G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11843682:11843900:1 gene:OB04G22910 transcript:OB04G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWGTCTCRARCRSMHRTLVIIKLSDGEAYYVHTLQPIFGSAHLATNLRDTHASVWINQRETAAALLIWAIS >OB04G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11865691:11868475:1 gene:OB04G22920 transcript:OB04G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMIAVDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMAIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDLSNEANGIKLGFTTPGQSGSAGSSSSQGGGCCS >OB04G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11868937:11869140:-1 gene:OB04G22930 transcript:OB04G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRCCWLLDFLVDCCCYDQPPQVSPIALASPCRLISSSCFRHFSSSLKLSLSVCFGSVLRFVPAKSK >OB04G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11869178:11869348:-1 gene:OB04G22940 transcript:OB04G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLKFNKERVGCYLLVILVVALLVGVLFGLGVFRHGYERFKDLGRNHTCYDCNTG >OB04G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11871439:11872977:-1 gene:OB04G22950 transcript:OB04G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGKPLGRGRGRGRGRGRGRGR >OB04G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11874415:11877070:-1 gene:OB04G22960 transcript:OB04G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLHGSAAAASAYRLLLLLRVPSSCRWPQNPLADSPKLSTSTGGVGIKPFGVGAKVSTKCANRTTQVDELNFRSNQTEELVAADEDTVTEKRSAKIHDFCFGIPFGGLLFCMGFLGYIFSRSTISLVLGVAPGLATLFLGTLSLKFWRSGKSSLILILGQAAISSLLAWKYSHAYILTNRILPWALYASLSTAMTCFYAYVLLSGGNPPPKKKMVATPSS >OB04G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11885001:11887497:-1 gene:OB04G22970 transcript:OB04G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTKPTPLLTCCLDSVRTGLPRIYGRETNQINRAPYGVRPLREEVNVIQGILWIFGDATGLRVNLSKSAVTPILCSEEQGTKVAAMLGCPIQHLPIVYLGLPLSSTRPRKENVQPILDKLSRKIAGWKPKWLAPDGRLKLIKSVLMALPLHFQSVLPLPMWAIKKIKRKCRGFLWKGQQEISGVHCLNRWPTLFSFTKRTRLTVRQGLTDRLGSDTFEEVYPSRSWRNFSKSGMKCNKSSLRKERIPCNGNQPMMVWRKLRTWINVDVPIPHDDDEDLTTWWCRARAVFRTRYRAAFDSLCLLTTWCLWKERNARTFDQVASTVDKIFNDIRSEVMIWREAGIFREGEG >OB04G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11893228:11894837:-1 gene:OB04G22980 transcript:OB04G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRASIPIDFAPRRSPRISSQGTGKHVHSISKTQKLIMKKLGFTEEEENVSHDDISRYLSSFSNPLSPNHVRALAELLQVEVGGADGLEAFQPLVQSPARPVHGVAILWNFLSEFVALPAEQTRGGIVVAWKPDMFDATPIHLGSWSVSVCMTARHGGDSWLLTSVYGPQREEDKLLFLHELSHVRGLSDLPWVISGDFNMIAQATDKNNSRINRRLMNAFKNKINELELRELYLFGRSDLQSQRSRRFRFENLWIKLPGFEEVVRQDWQAPVFAADAFSLLHIKMARLSRTLTKWGQRRITGLRLQLQMATEIVLRLDMAQDERQLTEAEWQLRAVLKGRVLALASLERIRLRQRAKVEALRPGEASQAYFHLKIQSRRRKLFIPRLEFNGNIATTQPDLQRVAQQYF >OB04G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11899117:11901930:1 gene:OB04G22990 transcript:OB04G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIDNIFRPMRHLFTRTVGYILFCESYIRALESEARWLKSQRDDVTREVRSAERQGMEATNQVSHWLEAVASLLLRASGIVAEFPGGDGAAAGLGLRAAYRLSKRADQAHAEAVSLVEQRSTFHKVADAPVFACTEVLPTATPSIGLDALIARVANTFQENSTSIIGIYGTAGVGKTTLMHHFNNTFLSASAASMDIHLVIYVEVTERYSAESAGTVQSVQKAIGSRLGLRWEDGKSTKERALALCTYLHRWNFVLLLDDVWEPLNLAELGVPVPGRQGKSKVLLTTRLEHVCDQMDVSSKIKVECLSAGDSWELFKNKVGNALGNSREIQQLAQVMASRCGGLPLGLITVARAMACKRVTQEWEHSMAALNLAPWQLDGVEANLLISLKRSYDRLRDDSLRICLLYCSLFSGETSKELLVESFIGEGFVSDVSADDMDDLYNKGYYMLGVLVTSSLLEAAGDHHVTMHPMVRAMALWIVADCGRIENKWIVRAGLGAAPRVDKWTGAERVSLIRTDIHELNDAPTCSILRTLLLQSNRLLGRICHDFFSFMPCLRLLDLSDTRITALPSEINLLVTLQYLRLNNTIIRSLPAGIGALVNLRFLLLSNVPVQTIAAGVLNPLTALQVLCMDHCWSSWMDVGSCDPESGDSRKRRRHDLRQRVNLRELESLRSLQMLDISVQTLHSLEKLSQSPHLAEHLRNLHVQDCSDLLSLLFSPSSLWRHMSRLKGISISDCCNLENVLITGGGYNAEEPSSLDRTVSMMRYRVPDEYVDVDNVFRPRTSQSLDTDRRKPVRLLPSLQSIILRKLPKAKIVWQGGGLEHLSSLSISSCSGLEHLISCSAEGLSHGSCTVFPSLKELELHDLPNMRSIGPEYVAVNFPSLASLKVVRCSRLKKLNLVAGCLKELQCTQSWWNTLVWEDENLKSVFLPSVKPLA >OB04G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11905773:11907685:-1 gene:OB04G23000 transcript:OB04G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLTYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSDFPSPAVPDPAPARPRSPRHASPPRHLRPPTTPSSASSWACPAPS >OB04G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11909033:11911674:-1 gene:OB04G23010 transcript:OB04G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILRSNCYHTHGHDAPCKYGGSYYMLMFGAAQLFLSFIPDFHDMAWLSVLAAVMSFSYSFIGLGLGLTNTIANGTIKGSITGAPTRTPVQKIWHVSQAIGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERFPASRFVNDFHTVKLPLLPPWRVNLLRVCFRTLYVASTTVVALAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRQVPRWSPRWVALQAFSVVCLLVSAFALVGSIQGLVSQKLG >OB04G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11917316:11918927:-1 gene:OB04G23020 transcript:OB04G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGDDGAAAAPLISDRPKHVAVVRTGTQWTAAAHVITAVIGSGVLSLAWSVAQLGWVAGPGTMLVFAAVTALQSAMFADCYRSPDPEVGPHRNRTYAHAVERNLGGPSAWICLLLQKTALVGYGVAYTITTSISC >OB04G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11924972:11926585:1 gene:OB04G23030 transcript:OB04G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAKVDATDDEEPPAPAELAPKAASQKKVVEVQGAGLGDGAVDAAPPVREDLTCPECGKAFLSDKAMYGHLRSHPQRRSRYKGAAAAADEGFMGGVTRTRKVAQKEAALGDHHHPGRSPGFVVQEEPEKAALKEHVAAVTAEPHMPEPRTPVKLGPIAEAPILGDKNRPADTPLSSSRRTKYPVKPGSHGVEQQSPPAPAPPAADVGPPPPPVVRRIPSPASDKKFGCPVCPRTFSSYQALGGHTTMHKRELRRSAQQRQHDAHSILAQQLHGVVDAPVVAASGRGPVEEGLVSPPAAAPPKETFQCAKCYMIFPTGQALGGHKRKHFLEKEQLRPSITPSPQTTATTEPAPLVAHANPASPIASAASPAPMAKPVPSGGSTPETPIGAAAAEQPGINIFDLNELPKDHEGENQP >OB04G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11928228:11930900:-1 gene:OB04G23040 transcript:OB04G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDSAARARDSSQSPVRLRLESSPIEANCGVAAGFGGADRGGDSTELQPREMKIWSLGGAPEENLIGFLPKLPLDEKAVARKLSLPCVAMLQEKYVLQTSPRKGRRMRRWRRVRREQRDNNPLNLNVHFLVLATGYSLGIGSKIISGDCVSRKRIRMRDLGVARIRRRSRRSALRDKGEACIFESYFIASGAGSSAVGSSSSSHQIMSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWVPQDADPLAESMSSLQTTQAALENEMQKLSELSKELGADNFSGDNREHNLFVSPSKDDVLETNEKMSRLRQKLEEASSTIREKDSRLLELQERLDTAHAPTSEQEAFDIGQLEMDLDHQLQEKIEAEIQSLVMLKARQSWQVRTEDQLALKEHRLKLSSGAGGGGDGDTRMMLKLRETESKIVKLKEQVEKLEAQERELFGTTQVLRMQSRTFKICLSGLVQLVLLFLSLKAFLSLFSDPFDDLVPT >OB04G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11938740:11944289:-1 gene:OB04G23050 transcript:OB04G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEEPSGPSCSSKSFTQQGVSAAPATSPGEAPACQDPTDLVQPCPKFSIRDYVFASRSKGIKRSWPFHQRSLQLCLKRGVKDLLPPFEPPDSIRSQSLNTSINVERSATCSEANASDGLVKTRDDGSSNVNASNISFQSCQPVTESLGPSQYTSPEDGKSALDQGENSNELDHNDEVIPVDLQVNSCTKATRQTEGAVSSWRSKNIDSSREPSEKKCKLVVKLGSLTRAEEVASNSSTVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTEPVETVIMKPKVKPRKKRLMVDIYRTARLFTLEDLDRRNGTNWAIELAAPTTNKEVCTENRSPEVVPFDPREEEREGDVYVDSNGIKIRILSKSSEASLVLKDEHNSRKVAKNETGKSILMSKSCLKSKIFKNKKIKIPGKKHKQLNRSNTQVRSHTNGDMHEHTSEEEEEESAMHVQKPTESTSYGSETIRQWVGSKRSDLSKNGARKLTDKAYKSIAPGTKKLARNGMHGFDDSQISDSPPEALSSQPPEEMVTTSEANDDDQNDSSRLLRSIPRWSSKTTPSSSVIPKVPRSAAALAKRKIKEIGRREAYRSDKYDTVRNSTSIRSSVCRGPSSSVAGLSDGSNRAASTKKFRKNRSLLRTGRREFSASNSGLVHSFVQDHGPNPNHINKKFRDSNKKISKKLKKHTQEDTADNDFSYETDVPALGQGYDQYDVAQQAGSAQMYDQGEEPETEMQRGSASRSNPVDCSNEMSSDSLNPENNEATDDVLAEGCGVAMVDPCSNEKSAHHAHAPNIVANNDVEEWQIDPSSTKESSACLTNNRDMGLGVPQDNSSITSNREDSTLDHGLVFDRGSSDSPISTASTMSPSTSLRDSRIKWSEPGLTTVNSRAVEEQISGSLNQETRSTPLARECEQLPEKPCRCTCRESTSRESPVHHESATTRSVPTFNGRQVPQLNIGLRASSSFSTYQRTSTKANPSLDSHDQTLAGKVSAEPTMSHPSYTTDCMSPSIQTQLPSPSNPILRLMGKNLMVMNSEESGHPQAPSSDYMMRGNYMAPGCFVPQNYQHIGDPAFMNTTPSTANHQIPLSSVQAGNFSGPPLHNGSMAQPDYHSPQKPYRNLVPVMHHPSYMMKEVIMINDSPDHRSNPQITMMLPSAPPPATIAVPNTVASRPFYCLPSQNQLLQRESAVGPLPVFTNISPMVGVSPSSQGSKAEVAHPYMSNAFYVQSPGGFINPSVYYSQNLR >OB04G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11967615:11971082:-1 gene:OB04G23060 transcript:OB04G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKDPNHRGADYLMPPTNFLADAFPPAEALGREGGGSNVVAAGGFERHGLSVAVGSPPPPEERRSSLPPTPQFGQRFGSGSGSGGGGGGRRLADRRARGGLINTCSYDCFRAYEMLSKLARNGRFTELLTSPGGQASPTTGKLHMLGGVNDNDPIRFGAPPTEEVSGAFSFKPLNLTSSHYTAAEKSESLPNNQYQSLPRTQVSVKTEANTQTAQEAANQTSQSQLMQQQQQFNGQVAVVKSGCKISRAAPDNAAGGGASPPDHGQAAEGDGKGDSAAVQDGAGAATQFESPDGVDVTSAVSDEVERDEKVTHVLPAAADGESDELERKRRSHHTHHCLAHLYILCSFHGNAHTRSSESSESSRKLESCAIEMNTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPAGGSAPPGGGAGSSQPHAGARRPEDRLMIIVSCPLMATGTIHPVSFSALSPEVSSLASSSFFCVVCSLTKFW >OB04G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11984179:11985085:-1 gene:OB04G23070 transcript:OB04G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVAFLTTALTLSILLAGALARPPPAPVKPDAGGGAAPAPRDKGGNLTDVLTLVGPFSTFLMYLRQTNLVEVFAHQAYRTDQGITIFVPVDMAFAAVEPSVLTGLTKNQLKHLLMYHSLAKHYTPAEFEGLSQSNPVTTLAGARYAVNVTYDGGVVHVRSRWANAKVVGAVYETAAMVVYELDRVLLPDVLFRAHPPVAETPPVPALPSPPAAVGGDPPPDDDYVPSYDHVAPPPPPEPAGTTDADKSSAPSSYAAAAALGAIAVAALTVLH >OB04G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11986351:11987121:-1 gene:OB04G23080 transcript:OB04G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPAVLAVAVLCLALPRVALSQKKALAGGPAPAPAPAPRHVDLAELLSLAGPYGAFLGYLTKTGVIATLQSQANSTDGQGVTVFAPEDSAFAAIDGAALSNLTADQLRTLMLCHGMPRYYPLSSFSALAASSPVATFAGGPQQYGVNVTDAAGTIRVESGWATAKLVSSVYSTPPVAVYALNRVLLPEQIFPTAPKVAPVPAPAPAPEPVHPDKANDGAAAHGSTDAKSVSCRVGAGRLLAILATMMATSVLMI >OB04G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11993367:11997066:1 gene:OB04G23090 transcript:OB04G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHACSVVSSLVLLWLGVAAAAQKGSSWKTLNGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSSDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGSKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRTPRFDRSFFPILAVEDIASKFKPPGLWLNVQHDSFYSQFNLSMTNYILSVSKRVIVGYISSPEVSFLTKVSGKVRNNTKLVFRFLDESTIEPSTKQTYGSMLKNLTFVKTFASGIIVPKNYIWPVSPDNYLEPYTSVVDDAHKAGLEIYAADFANDFMFSYNHSYDPLAEYLSFIDNGDFSVDGVLTDFPVTPSEAIGCFTNLNKSKKDHGKPLIISHNGASGDYPACTDLAYQKAVDDGADVVDCPVQLTKDGIPICISSVNLMDDTTVAKSQFASQTAVIKDIESVLGVFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKELSGIMINVEHAAFAAEKLGFDMVDAVIKTLDAAGYSNQTAQKVMIQSANSSVLVKFKQQTKYDLVYMVNEEVKDAEPSSLAAIKKFADAVSVEGSSIFPENHHFTTYRTNLVESLHKAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQGAGVDGVITDFPATARRYKLNTCMNMGNNTPSFMAPAHPGDLMQIISKPAQPPEMAPMPLLTGSDVAEPPLPPARTAHQAPSPASRAQAHVAILVTLAMLLASCHPLLLV >OB04G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11999072:11999356:1 gene:OB04G23100 transcript:OB04G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMYRYKSQVHNHVKLVLETASVHPMCALEPLYTLSLIYSLHSNHVFFLRRRHTNHAELSIKVQIANFITHTHDSYLRRPTRRWRSACSRAPP >OB04G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:11999313:11999597:-1 gene:OB04G23110 transcript:OB04G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLSVFRRRRRRTARVVDESAAGRRVGADDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEAWATSGWRPPGGDEVSHLMVVRESMRYAIYA >OB04G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12003810:12009926:1 gene:OB04G23120 transcript:OB04G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERTFKANFTGEGVALLRQRVKEKLRELMGDYPDDTLVEYVVVLLRNGKRKHEAAKELEVFLSDNNDAFVSWLWDHLSSSLHLYVQPKAISTIDEAKSTRSNTRGMPVQNMTSSTQEICEPAGTQKTTGVQQRREWGGIVREQSETVPLRSVVSLVTTVSHAEEKDVHKSHARRRTQSPDLHHNRKRSREDDERLIKRTSHQDIDAPRRLLQFAVRDAVKPVQSITPRSESASKRLRSVVSTITSDSPLDARPQRMSSYARVPGAMAAAAVRAAAEAAEDVRKERYSGSVFRRFGRKGVVNATEESSGLREVGQEREYGDIDNAQAENQLNFHGRNHCVGDAYMYDQEAAKAADSASDIDGYDDTGGAGYNGLVSNRSTLPSSIGKESLVAEFDTVEGAATIRSRMSIMQDPHSSSGRRPSESVLGVSVNIPKVEPHVPTEMEGTESRKSNATLAHVNDTPVIDKSKVLMCSSSMVEAQKVPSLAVGSCTTGQPEGGSDSRTVFVTNVHFAATKDALFRHFNKFGAVLKTIIVTDATGQPTGMAYIEFLHKESAEQALTLNGASFMSRILKVVRRNSPEVPQLPGWPRASRGSPITSTSTSRLIRTLYPRPAFPGAIRGHIPPRGGARSLQWKRPGADTADAGKPIHSTLVPAGNQLVSPAARSFTYTRAEPDQDVGATI >OB04G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12012387:12015400:1 gene:OB04G23130 transcript:OB04G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06940) TAIR;Acc:AT5G06940] MATTAAAAVLFFSVVLILASPLVAASASAAGQAQELLLSFRASLKDTSGALSTWSPSTPYCNWSHITCTGGGAVSVALSLQGLGLSGDIAAEPLCRVPGLVALSLASNSFNQTVPLQLSRCASLVSLNLSSAAFWGPLPDQLAMLASLTSLDLSGNDIEGQVPPGLAALRGLEVLDLGAPRLSGVLHPALFRNLTRLHFLDLSNNQFLESELPPELGEMGGLRWLFLQGSGFTSAIPETLLQLEQLEFLDLSMNGLTGALPPAFGHNFRKLLSLDLSQNGFSGPFPKEIDKCVMLQRFQVQGNGFTGELPAGLWSLPDLRVIRAENNRFSGRLPELPTGVSRLEQVQVDNNSFSGGIPQSIGLVRTMYRFSASLNQLNGSLPASLCDSPAMSIINVSRNSLSGAIPEFRNCKRLVSLSLSGNALTGSIPASLGGPPVLADIHVSSNGLTGAIPAELQSLKLALLNVSPSTHLTGRVPPSLVSGALPAVFLEGNPGLCGAGLRNDGCDAPPLRNHQGLALAATVAALATGVTLLAVGALAVCRRLHGDTSSSSSSSNSKLVLFHPIKITGDELLAALRRDKNVIGRGPFGETYLIELQDGQRVAVKRLISSGKQTFRAVKNEMKILAKIRHRNIAKMLGFCYRHADGEVSVIHEHLRMGSLHDLIRAPKFTLGWNDRLRIAIGVAQGLVYLHHDYAPRLLHRDLKSSNVLLGDELEPKVTGFGVDRVVGEEAYRASLRSDLNYGCYIAPEVSCTKKPTHLMDVYSFGVILLELITGRPAEQPASDGSVDIVRWVWRRANVADGAAQILDAGISRTAQQGMRAALELALRCTSVMPDQRPAMDEVVRSLQLLYPPHPSPSPAPFTGVALGP >OB04G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12016011:12018125:-1 gene:OB04G23140 transcript:OB04G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSRTAASLSSSAMGRPVQTGGPRTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVLYGGHSLFVLFNPITTAEIVLPPLIYESRWVSKVVFAPSPAKDDFAAAAICDIDRIAYVTAGARRWAVLPPVRLVTGDQLTDVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPADEPGPSEPPEEPVPLSECALGIRPRRNQPHQRNLRMICYEHLRPRDPMAPMKLTVCSETLVPFNFRRFSIGPLEPDLNPPATVERLLMEGNLPFDPATSFAPPYNTVSAFTNAKNIVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGVPGLKGNCVYWIGGRGRDQGMVFDMETGRSTPCLPTVGGIPGPLRSTLCWFFLSDMVSSNSSNNSGGRRVYQTRSRSRAERAQDMEE >OB04G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12018824:12020434:1 gene:OB04G23150 transcript:OB04G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMRDGKRTALGDLSGGGFFIRRVASPGAALAVRGAGKPLPRRFMAPSNKENVPPVWAVKAKPAKRRSPLPDWYPRTPLRDITAIAKAIERSRLRIAAAQQQSQKPEEDIPRCTEGQDSLDVAPGSSSTQIVATPASCLAKDSLKIFSSPSETSLVTPSRPMDPALLDDMEKKLSSSIEQIEKMVRRNLKRTPKATAQPSKRVIQRRTLMSMR >OB04G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12023484:12024341:-1 gene:OB04G23160 transcript:OB04G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLAASAGGARDDVLRFEHAYPEVPQLRRHVHLRPRALHGRHAASAAQRRRVGVPRVREGLVGLRADPHREHDLPVHLPAAAAVAGVLEAVPLRRERAVRRHARGHIADVEGDLPDVAVSRHRRAVLVPDEHLEHRGLDALPREGEHLVPLRGAVPLVVPRRAPVVAELHFHVRVAPAGDRARRQPVRLDDAHVQVVHLLHEVVRARQPLAGANSHPTAAAATRRPRLPDLWLWRHCFLRRSRRRLRTEHVRIRHAWRPRPPYPLARSHPSSPARGRRLVVHRP >OB04G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12023571:12027604:1 gene:OB04G23170 transcript:OB04G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPYVFRAQAPPATAKEAMAPQPQVREPWPAGGGGGGWMGVGSGERLASAYDLVEQMHYLYVRVVKAHGLPASAVAGGCNPYVEVQLGNYRGTTRHYERNGAPEWNQVFAFSRERVQATVLEVFVRDKDGSTVARDGYVGKVTFDVGDVPARVPPDSPLAPQWYRLEDPRDGGGGRKVHGEVMLAVWVGTQADEAFADAWHADAASLRGGGGVAAVQSTRSKVYVTPKLWYLRVSVLEAQDVVPGAAGAGGEKGRHGEAFVVKVQVGGMMLRTKPCCARGPTSLAWHEELVFVVAEPFDEPAVLIVEARSHPGKDEIVGRAVLPLALFEKRLDRGGAAAQSQWFSLEPFGHWLRTPTPAATFAGRVHLRACLEGAYHVMDEPTMYASDTRPTARQLWRPPIGVLEVGVLGAQGLAPMKTVDGRGTTDAYCVAKYGQKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNCHLGNAGGGGGNGGAVKDQRIGKVRIRLSTLEMDRVYTNAHQLAVLHPSGVRKNGDICLAVRLTCLSLSSVVRLYGRPLLPKMHYIQPFTVVQLDGLRRQAMSIVAARLNRAEPPLRREVVEYMLDADSHLWSIRRSKANFFRVTALLSGAASTVRWFVDVCHWRNPATTILVHLLFVTFTCFPELILPTMFLYMPMVGVWNYRRRPRRPPQVDVRLSCAEATNADELDEELDTFPTSRANDVNPRERSAVVFAGRMVDI >OB04G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12028295:12030516:-1 gene:OB04G23180 transcript:OB04G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:J3LYU3] MAPTAPPRTEGLPPMAPTSKLSQGIKKASRSHTYHRRGLWAIKAKNGGAFPKAGKPDAAAAAAPKFYPADDVKPRQPSTRKPHPTKLRSSITPGTVLIFLAGRYMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQAYVIATSTKVDISGVNVEKFDDKYFARDKKTKAKKTEGELFETEKEATKNLPDFKKEDQKVVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >OB04G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12033119:12033636:-1 gene:OB04G23190 transcript:OB04G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAVKPCCCCCCCCVVALLAVAVLLAVPPAASASSNRPAAATLQRAETATTMYTAKDQLREKQDVTKGAEEDVATTGFGAESEREVPTGPDPIHHHGRGPRRQSP >OB04G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12034492:12042787:-1 gene:OB04G23200 transcript:OB04G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRTIDDKFSKLHPSFPVDTRIGIVGAGPSGLSAAYALAKLGYLNVTLFEKCHTVSGMCESIDIEGRTYDLGGQVIAANSAPVITHLAKELGSDFEEMDTHKLALIDSQTGNIRDLEVAEDYVSMVSLTLKLQEEANKSGRAGLHALSGLASEPTLEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWEKLSQSLPFEVFCDTEVLNVKRNSCGANVTVKNNDGEKQVMEFDKIILSGALPFKNGKTYRSSSLTDGESEVVELHNLERELFSKVQTIDYYTTVVKINGFENIPKGFYYFGEFMEDPTTIGHPVAMQRFYADTNIFLFWSYGNSADIKGSFVAKCVTNVVSSMGGSVQNVILQRRFKYFPHVSSKDMKDGFYERLESELQGCQNTYYVGGLLAFELTERNASYSITTVCKHFAIDGEQKMSPYVKRLFPLSSNPSSPRDLGELEGVEFPDLPSLDGYLQYWGTHKVTEKNVIYTWINEEGKIMNRRTYKELHGNASHIAQNLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCIRAGVIPVPVLPPDPMQSGGQALLKVENISKMCNAVAILSTTSYHAAVRAGYIKSLVTLAKRTQKCSAQWPDIPWIHTDSWIKNYQRSLDSFNSDNIVFTKPQPSDLCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFSFELVIRRLEVEKNKVYDLSSMVFLMIAAEPVRQKTIRRFIELTQPFGLSEGVLAPGYGLAENCVYVTCAFGECKPVYIDWQGRVCCGYVEQNDADTLIRIVDPDSLTVHQDGVEGEIWISSPSSGVGYWGNSEMSQKTFCNQLKNHPNKKFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVESSSEALRPGCCAVVGIPEEVLAQKGISIPDSSDQVGLVVIAEVREGKAASEEVVNNIKARVVEEHGVAVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLANVNNISKRKGLFRSLTTGTGMEVRRPSLRQTADLTISPRPKTQVKKSSEITEFLTLIVSEQTGIPKDKISPTDSLPSYGFDSIAVVRAAQKLSDFLGVPVGAIDIFTASCISDLSNFLENLVHKSQPQLAPWPKSNVKNSREIIEFLTYIVSDHTGIPKDKISPTDSLPSYGFDSIAVVQAAQKLSDFLDVPVGAIDIFTASCISELAAFLENLAHKSQSHTQLAPDASCFIEDETQVVPMDAISPEFSILATGVLQLLALTYVCFMLLLPAYLASSAYISIFSTVKSPLLSYLSSLVMAPIAWIFYISLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKVLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVLAEGVLVQGHEVCSEVLSFRHIKIGREASIGPYAVLQKGTIVEDGLVVPPLQKTESGKSTHRTIRTSVSLKEKTTKGNMMLEHLASIYAVGILGALSSAIVYTLYAHFSGTAASPRHFSFACIAGAFHWLPAAITAYAVIVQETPTSASTFALLTAFAYLSYGVILSLLTSVAIKALAAIHGTKQLDDSLASLIHRRIAVAAHVRFAKMLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELVSVGDGVHLGDFCNIVPGFYSKGGFTGAEIKVQENAVVGSGSLLLPGCVLQVNVILGALSVAPENAVLQRGGVYVGSRSLTMVKNTLLEEDERIEEMDRAYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYGEIPSLPRHKIFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEQVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRSPHIRDAVWGSLRSTDSYAVLHYYSNICRLLRFDDGEEMYAKFKLRPADPDVSEDSGKVVPRGILPPETGAIPRDEGDTRPLLFLADDFRRRVDSPDGVRYVLQLQLREVPPDGAARDVALDCTQPWDEAEFPYIDVGEVSIDRNVPTEETEKLEFNPFLRCPEVDVIPATSCTQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVAAAATPTRSSAGDATKVTLARTWYQALWATLCQPLLQTLVPYSALGLVIFLPLQGLLAVAAATDAPLYWLLPVFWAASGIAAMATCAASKWALVGGRGDGDTVHIWSPAVFLDTVWQAVRTATAEYFAELTSGSVLFAAWMWLMGSSVHPVDAPYVDSMGALLNPEMVHLERGASVGRDALLFGHVYEGEAGKVKFGAVRVGEDGFVGSRAVAMPGVRGDEGGLPRRPRAGHEGGDGEAQHVVAGRRRGIHLYCSSFYFSLFFFWDMLRCCAALYTYTS >OB04G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12048437:12055064:-1 gene:OB04G23210 transcript:OB04G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMSSGLVVVVLLAVACDGAGELPPAISRRSFPKGFIFGTSSSSYQYEGGAAEGGRGPSIWDTFTHQHPEKIADKSNGDVADNTYHLYKEDVRMMKELGMDAYRFSISWSRILPNGSLSGGVNTEGVNYYNNLINELLLKGVQPFVTIFHYDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWIFCSYGYASGISAPGRCSPWEMGKCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTDEAVRQSQFIPGNDLNRRSTKALQRGKIGIVVDSQWYVPLSQSKSNKDAARRVLDFVLGWIMDPLVRGDYPLIMRELVKNRLPKFTKEQSVMIKGAFDFIGLNYYSSSYVYNVPPSYGLSNSYNTDIQAKITGKRNGTLIGPQAASPWLYIYPQGLRELLLYIKENYGNPTIYITENGVDEVNNKSMPLKEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEFTSGYTVRFGINFVDYNDGMKRYPKNSAHWFKKFLQK >OB04G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12059075:12060755:-1 gene:OB04G23220 transcript:OB04G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKGKIGIIVNSQWYVPLSQSKSSKSAAKRVLDFVLGWLMDPLIRGDYPLNMRNLVGNRLPEFTKEQSEMVKGAFDFIGLNYYSSSYAEDVPPSYGLNSSYNTDFQARITGSRNGTPIGPQAASSWLHIYPQGFRELLLYIKENYGNPTIYITENGVDEVNNKTMPLKESLNDDTRVEYHHKHLQSLLRAMRDGANVRGYFAWSLLDNFEWADGYTLQFGINYVDYNDGLKSSPRNRPIGSRSSSGNK >OB04G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12067318:12073456:-1 gene:OB04G23230 transcript:OB04G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAGVVLSGLLLTLLLLTVVASGAGNGSGEPAISRRSFPEGFIFGTASAAYQYEGGATEGGRGPSIWDTFTHQHPDKIADGSNGDVADDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLSGGVNREGINYYNNLINELLLKGVQPYVTLFHWDSPQALEDRYNGFLSPNIINDYRDYAEVCFKEFGDRVKHWITFNEPWTFCSNGYAAGVFAPGRCSPWEMGKCSVGDSGTEPYTACHHQLLAHAESVRLYKEKYQASQKGKIGITLVSHWFVPYSRSKSNDAAAKRAIDFMLGWFMDPLINGDYPLNMRGLVGDRLPQFTKEESELVKGSFDFIGLNYYTANYADNLPASNGLNKSYTTDSGANLTGVRNGVPIGQQAASPWLYVYPKGFHDLLLYVKENYGNPTVYITENGVDEFDNKTLTLQEALQDDARIDYYNRHLLSLLTAIRAGANVKAYFAWSLLDNFEWANGYTVRFGINYVDYKDGAKRYPKKSAHWFKKFLQK >OB04G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12080520:12087431:-1 gene:OB04G23240 transcript:OB04G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVVMLSGLLLPFLLAVASGEPPISRRSFPEGFIFGTASSSYQYEGGAAEGGRGPSIWDTFTHQHPDKIADRSNGDVAADSYHLYKEDVRIMKDMGMDAYRFSISWTRILPNGSLSGGINKEGITYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYRDYAEVCFKVFGDRVKHWITFNEPLSYCVVGYASGTFAPGRCSPWEQGNCSVGDSGREPYTACHHQLLAHAEAVRLYKEKYQVLQKGKIGITLVSNWFIPLSRSKSSIDAAKRALDFMLGWFMDPLIRGEYPLSMRELVGSRLPQFTREQSGLIKGAFDFIGLNYYTSNYAGSLPPSNGLNNSYNTDARANLTAVRNGVPIGPQAASPWLFIYPQGFRELVLYVKEKYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINYVDYNDGEKRYPKKSAHWFKEFLQK >OB04G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12092550:12094960:1 gene:OB04G23250 transcript:OB04G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3LYV0] MEPPFILLILFLVFIIAPSKAQQNITLGSVLTTQGVNSSWISPSGFAFGFRLIDANSSYILAVWFDKTIDKTLAWYAKTNTEVPDLVVVPSGSRLQFSSNGLSLLDPGGHEIWNPQVTAAAYASMLDTGNFVLAGADGSTKWGTFESPADTILPTQGPLSEVQLYSRLTSTGYSNGRFLLQVKDGNIEFDLVAVPSGNVYNSYWNPNTAGNGSQLFFSATGRVYFTLQDGAEINITSAIMGSMVDYYQRATLDPDGVFRQYVYPKNEAAKKWNNIGWTIVDFIPRNICEIITSTDGSGACGFNSFCSFNWNRNDTVDCQCPPHYSFIDQVQKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEHFTSIGMDDCKKLCLTDCFCAVVVFNNGNCWKKKLPMSNGRMDSRVDRTLFLKVPKNNNSQSQLNGNSIKWKKDKKYWILGSSLLLGSFFLVCILLVLFITFRNYFATKSKKTDLPKQSSSTGGLPLKSFTYEELHEATGGFSEEVGRGGSGVVYKGQLQDQLGTHVAVKKIDRVLPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGSLTGFLFDTIRPSWHLRVQFALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFTAKISDFGLAKLLRMDQTQTNTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIVCCRRNVEKDMTNDDRDILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >OB04G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12094744:12094932:-1 gene:OB04G23260 transcript:OB04G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGGIAISTAPSSICVTLCMVGRMAGSSWMHQSATARNLCTLLMSNDASSSPSTSKSILPER >OB04G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12095850:12098135:1 gene:OB04G23270 transcript:OB04G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAEYSLEAGGGETSLALAVPLRRFRLEFSTAPEGTSHTTHERRLVPYRARGRCPHEQRSRAEVSRAEQSRGQREDARVLPWRRRQGQVKAMSLKVKMGGGGGIHGRVRRRRRGSRQEEENEEEEEDELEMQGKDIAAAAAPPPPAPNPPAPPPEAGGHEATTSGGGGATAAAAEEQEEESDHEWVAEPEPGVLMTLVARPDGTNHLRRIRFSEELFDGHRAAQRWWADNYDSIVELYSVVQPEPEHAVEEGGSNDADSVPATPCQSEDDDHRRRRQQGSDSASNFSGPSSGSGSGSRGGSASTVGSPILGLVTAAGGGASATQAKHSPT >OB04G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12100682:12101197:-1 gene:OB04G23280 transcript:OB04G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRVRGRAPRSTDPTWPERAEALTHVLTNPSHSPSLHSQLFLASRVPCPPAGSTYPPFLCPGAGLLRWALASVFLPRVARLCLPPSSWRSRCPFQLPPPIVSSIGIEQAPERWSEAELRAYARRQRVLRGPMRARPPHSVAGAGLTIVPNVVIVAAVIRELFWVRPNRI >OB04G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12102818:12103513:1 gene:OB04G23290 transcript:OB04G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAGADDGYPPIGFYFRPSGGLPPPPPPPASSSGGYLGPMAIHGQNCALHRQDMVQGVDVFAATPDALPFDQRLHVRGNGDVRAHFFGDRPTDIRGREVPGGAWLPCGVGGEEKAYSGADGEETVAYRRKYEFRYHRDEAGGGETVVPEHEQPRWRWRMKEYRLNKDAAAFHRARAQPNPKANLDCVVRVIYTKEDDDVFEGEEDLPMDVDEFDSEDEYADDSMAATVE >OB04G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12104955:12105593:1 gene:OB04G23300 transcript:OB04G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSPRASAEHPDGEAFRRLTGRMVVTDYLVPVALRGALPEGVVSLPGEVTVGVDVYSAHPAALAPLPRCFWRGDPCGEASRWFFSAGSPEDGERRRDALGGFWTRYGCDKAYAGGEGSGGEAVAFRRRFAFFDRRGDGDLAPTEWRMKEYRLNKRAATSRGANQLDPEAKEYAVCKIYNTGRIPGPPPLCLSVRRCRLRRGRGGAAEGSG >OB04G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12105640:12108018:-1 gene:OB04G23310 transcript:OB04G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAALSPPPPAPPVITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGGPSAAAAAVPVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPKLLLYVDIVTVLASQGLRSDVDKVCSYLKREQLEADTEGFNWLLKALLDAEFTQLSMDCFRLMKLWDSDPDRVTYKTLIKGLESLGEMDLSADIKLEAQNDYGDLDFLDEEDMIDTLEQKSIWRGSSLIVENKRVQISS >OB04G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12108788:12110097:-1 gene:OB04G23320 transcript:OB04G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPAIDLRLAGAGSAEETARLRDAETVKAYAERMHELIVDVAGKVAASLGLDGRSFQDWPCQFRMNRYNYTQDSVGSPGVQVHTDSGFLTVLQEDECVGGLEVLAPAAGEFVPVDPLPGSFVVNIGDVGQAGSNGRLHNVKHRVQCVAAVPRVSIAMFLLAPKHDTESAPEELVDDGHPRRFREFKYDDYRRLRLSTGERAGEALARLAA >OB04G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12116787:12119186:1 gene:OB04G23330 transcript:OB04G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGLMCWEEGRRDEAVGYYQKAAELGHPVGMCNLGVSYLEADPPKAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRHQREAAKWYLRAAEGGNVRAMYNISLCYSYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLPLVNAQRALSDADKWRPRALYTRR >OB04G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12118854:12119111:1 gene:OB04G23340 transcript:OB04G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEQYASVACSVYVGLGSVAFQPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAPFPPPPPPPPYILFLYRNYVSRNTITG >OB04G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12120030:12125865:-1 gene:OB04G23350 transcript:OB04G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G42490) TAIR;Acc:AT2G42490] MAAGDESRVAAAAGGGAVMEEIAATVQPTTAKASSKGIPIMTRAQRSHPLDPLSAAEIAVAVATVRAAGKSPEERDGMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMELVMVDAWCAGYYSDADAPSRRLAKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPSEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVESTGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPLALPDAKFLRRAGFLKHNLWVTQYRSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGSSDADIKEAESPKAIQNGLISKL >OB04G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12134607:12141064:1 gene:OB04G23360 transcript:OB04G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit B (InterPro:IPR019024); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; /.../ia - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G20325) TAIR;Acc:AT4G20325] MWNMIEVQKRKGETKRLLNLIGPSDANCQGNVLSLRHPKSDEETGYLFIDGQLHEFNWFKDRFGSWFLGDYVCEDGSLYYCTLVDPIFVLLPILKAARMSNGNDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIELVCEVKEVANVKFFRLDDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLLCKKLQLDTKEIIEANKTSEASFCAENSPVPFRPSEEKLGNSNSRSSKGRPAKKQKTEVESKNIKDMFRRATRKGA >OB04G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12144297:12146708:1 gene:OB04G23370 transcript:OB04G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLHSNQYAKSSLPLQLRDTAVSFENNNSVLDKHEIASPQVGMTFETADLAYRFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNTNENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMVKKSFSQSNYSIEAAGKAGKLRFAEGDVEALLVFFDKMQAQNSNFFYNWDMDDEGRLKNVCWVDARSRAAYQHFCDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFSWLFKKWLKCMNDKSPEAIITTHSRPVVKAVAEVFPNTRHRYNLWHIMKELPEMSGRVEDKEAISLRMKKVVFDTITSADFEREWVEMVTQYNLHDNHWLTTLFEERAKWVPAYVKDTFWAGISTVRRSERLEAFFDGYITPETTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQVLSGLLFEEQFANVYTINMFQKFQDHLKQLMNVTCTEVSRNGSIVTYTVTVIGKERKYDYKVMYNSAEKEVWCICRSFQFKGILCSHALAVLRQELVMLIPYKYILDRWRKDYKCPEESKETPISPKANKATGKGTKPENVREDKVDNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDKRPGDTPVSTSKRSGKSSRPSHEDGGNGISDSTLAATTVATVAVASSTPIQAAPTMMAIAPASATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAAPPPAPIANVGDVASISTKKRKKRKGNR >OB04G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12151708:12158396:1 gene:OB04G23380 transcript:OB04G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding and GRAM domain containing protein [Source:Projected from Arabidopsis thaliana (AT5G50170) TAIR;Acc:AT5G50170] MVDSPRSFAVERSSLENSDQSAQPDSNSISEDDDLLERSAATEKGTSDTDPMVPDSSFEEAMEIMKSRSMPEMPEDLSCGVMFDHTYLVDSKNLNSLVFGPDSQFSKELRELQGITDYEEQPWTWNNKNPPSLTRTCHYTKGATKFMKAVKTIEEQTYLKADGKNFVIITRVRTPEVPFGNCFEVVLLYKIIHYPESSSGEEMSHLTVSYNLEFHQSTMMKSMIEGSVRDGLKENFETYEEILSRRVKLADSAGMDKEQLLAPLQTDHMSDIRLAYKYFCNFTVIFTVIMALYVLVHIFLSRPGPLMGLEFNGLDLPDTFGELITSGILVLQLERLLSMISHFVEARVQRGSDHGIKANGDGWLLTVALLEATSLPPVSNGSVDPYVVFSCNGITRTSSVQLQTHDPQWNEIMEFDAMEEPPATLDVEVLNFDGPFDLAVSLGHAEINFLKHTSAELADIWVPLEGKLAQTCQSRLHLRVFLENTKGPETSMREYLSKMEKEVGKKLHVQSPHRNATFQKLFGLPHEEFLIADYACSLKRRLPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDVEQIEELPPSFTTVGTPSLLFALKSGRGLDAKSGAKSQDKEGRLKFQFHSFASFSKASRTITGLWKTKSSAIEQRAKLEEDQDDENYVDVNDVQSLLSIGDVPLSKEYNFELPIDADLLMGVFDGGPLETKTMSRVGCLDYAATPWQHARPGVLERRASYKFNRYMSLFGGEVVSAQLRLPSDDGDGWTVYDVMTLHNVPFGDFFRVHLRHNIRRVEAAAGAASSSSSSRCEILVGIEWVKSSKFQKRIARNICEKLAQRAKEVPYANPLSKQEKKYRTSSSSQSRDASDDEEGKPGPRDARTSWPRGQGPGREGLEEAEMPRPRDQGPGS >OB04G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12160041:12162534:1 gene:OB04G23390 transcript:OB04G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKDLEESDGKDESGAEAGDATVQEEVIAVLKQAEEGKSVESVRLVDRQLRHLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDSIGLLSNLKILNVASNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNMRQLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLLSLEIMNLGSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLTMPPMDIVNKGVDAVKEYMLKRWLDILLEEERKSIAIAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >OB04G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12163017:12167704:-1 gene:OB04G23400 transcript:OB04G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLSPRPVRPRYLVAPPPGRGSSVQGGRSPPRGHSVGMPRRDAPPAEQPEAGWDLVVKVKFGGTLKRFNACVNGPHFDHNLAALRSKIASDFKFSPDTEFALTYTDEDGDIVMLEDDSDLCDAVISQKLNPLRINVELKSSSAGLPQTKQQVLDSISQLSTALEDQLGHAKTAIDEALKFVPEQVPIVLAKLSHDLRSKAASSAPSLADLLDRLAKLIAPKSNMQPSSGKSSSPKADNSSSSSSGREQTMGNSGLMAISASKPLDMQNSGSTKSLGLKGVLIDDIKTQAEPGYPSFVDSFSGWTKVDDIKAQAVPHVATIGHIAPTAHSACASNLPEGLRDDPFGPNSKITGDLNLPYLPPPPLLSRCPVQSPRACCCPSCAFKSGIPKPDNLSSVCPCGFYSEGTSSIRNPCRDLIDKDKSMAQHTLHRWIQCDVCGVTPIAGPRYKSNIKDDYDLCNVCFSRMGNVNEYTRIDKPSLESRRFRDINQNQMLLPHLQQRHDCRFIKDVTVPDGTEMAPSTPFMKIWRMHNNGSSMWPYGTCLIWVGGDQFARHSSVKLGISVDGFPIDQEIDVGVDFVTPTKPGRYISYWRLASPAGQMFGQRVWVIIQVEHPVPNSSNKQTAAVNLNLPPEGSNTEWKTIVDMNVEPTDLVGYYFGSAANHFARSLLHEATKRVESEHVSSAVPSVPTAFEPVQVPATDLPTSYAGAEKASIPTGVPAPEVIPLPTSSAGADNVSIPTGLPAPDVIPLPKPVSVPSSATEAASAPVSVTTAAPVPGDVAPISEPTAPAIAISMPSVSTGANTRLPTDTSPGAVITSLEDNMLRELEEMGFKKADLNKEILRRNEYNMEQSVDELCSILEWDVLHEELHELGIR >OB04G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12171520:12173861:1 gene:OB04G23410 transcript:OB04G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTLGSRPDTFFSAGPARSVSTEVATDMQILVDGCLFRLHKFPLLSKCMWLQALCVESGHGGGAVELPAFPGGAEAFEACAKFCYGIAVTIGPHNVVAVRCAAARLGMTEAADRGNLAAKLDAFVSSCLLRRWKDALTVLHSTRRYVALCEELGFTSRCVDAVASLIADPDGNASGAVPASSSSPWWARDISELGIDLYWRVMVVVRAAGTVHGKTMGDALKTYARRWRPIAAKNHYAAERTDGSVANAERAAKIHRLLIEKIVSLLPEGRGAVSCGFLLQLLKAANILGASPASKAELTRRVASQLEDANVSDLLIPAAAPCASGTLYDVESVVAILEEFALRQAAAAAAAGSPDGSPALANRHRRSRSAESAVEFEGARRSTSMAAAAHGAMVRVGRLVDGFLAVLAKDARTPLDKMVAVAEAVPDYARPEHDDLYRAIDTYLRMHPEMDKSSRKKLCRVLNCRKLSEKSSMHAAQNELLPLRVVVQGLRRAASRVATLKMRLEEDDDEDDAGAGDEAFVHRAPAGVARSASSRFTAAGKPKRMLSRLWPRSRTFT >OB04G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12177115:12178386:-1 gene:OB04G23420 transcript:OB04G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRLPLCTTTFNIMLRHLCSAGKPARALQLLRQMPRPNAVTYNTVIAGFCSRGRVQAALDIMREMRERGGIAPNQYTYGTVISGWCKVGRVDEAAKVFDEMLTKGEVKPEAVMYNALIGGYCDQGNLDTALLYLDKMVERGIAMTVTTYNLLVHALFMDGRGKEAYQLVEEMGGKGLAPDVFTYNILINGHCKEGNVKKALEVFENMFSKGVRATVVTYTSLIYALSKKGQVQEADRLFDDAVMRGIRPDLVLYNALINSHSASGNIDRAFEIMADMEKKRIAPDDVTYNTLMRGLCLLGRVDEAHKLIDEMKRRGIQPDLVSYNTLISGYSMKGDVKDALRIRDEMMNKGFNPTILTYNALIEGLCKNGQGDDAENLVKEMVQNGITPDDSTYISLIEGLTTEDERATEDEQLAQNAAKA >OB04G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12179172:12180483:-1 gene:OB04G23430 transcript:OB04G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSHFFHRISNAYGSGQRKRRKISHHKHSASDENIRWHKTGKSKEIYHNGVKKGWKKILVLYKGSKRDKIEQANWVMHQYNLGVEEDEKNGEFVVCKVFFQSSSKQTCTPEMDSVTETSDALTVRSDPITPITNPPQPLYPVNSPCDTEQNVTISHNQEGESSISTLRAKVEPENPGGCSGTGTSTAEDFDESPPQRYGLRGDSVPPLEEEPFPELDLFNLADFCKFSSQDSFSWADGDQT >OB04G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12182842:12183042:-1 gene:OB04G23440 transcript:OB04G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGGHKTEMRIFGFVWSDCRLDLPPVSVVVQKWRSEWMNHKIFFYAGLLDVCLGKDLRCLNVLSG >OB04G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12191633:12192973:-1 gene:OB04G23450 transcript:OB04G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEVISFRQAGFYYGLFGHFAPAPASKAVLTMSRLKCALRGFDFRALLVLLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMEKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKDNRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYKSGKTRYAHFRQTEELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSYLLQNVDRFRYLLPGYCRRESG >OB04G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12213499:12220101:1 gene:OB04G23460 transcript:OB04G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24710) TAIR;Acc:AT4G24710] MSAPMEVSFSVPPPPDAASAVAASAAAPPVRAGAPAVSCSPQSPTAPPAADDRVLVSVEVLLHSTSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDNFLLANVKRIQICDTDEWIENHKVLLFWQVKPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERAVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTYTQGGNSVCILSYFSLKEKQHCSEFAEPHGSLHLSNLLHKAAEICEGLSGRSLRKLPFLAHASVANPSCCDASTFLHTLIQTAQRELSESRG >OB04G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12217686:12220134:-1 gene:OB04G23470 transcript:OB04G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQALGLMRDGSFPGSSLSGRQPLDHTATTLEILEKKLAAQTAEAEKLIRENQRLATSHVVLRQDIVDTEKEMQMIRAHLSDVQTETDMQMRNLAERMRLMEADIQAGDAVKTDLHHVHMEAKRLIAERQMLSVEIDKVTKEINKLSGDGKKLPELLAELDGLRKEHQGLRSAFEYEKNTNIKQVEQMRTMEINLMTMTKEADKLRADVANAEKRAQAAQSVAAQAGAAHVTASQPGAAQATAVPAATNPYANAFTSHASAYQQGATQPGVYQQGTTQAGVYQQGSAQAGAYAYPTAYDAAAAYQMHAAQANAYAGYPGYQVAGYTQAALQGYPGAYAAPQHPISSGVATDAASMYGVVSSAGYPTGAVQSSSGAAAANAGQAPATYPGAYDPTRAGQR >OB04G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12220756:12220944:-1 gene:OB04G23480 transcript:OB04G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKAFFFFCIGYGLRSSPVSLGLWIVDTGLAWNFDVHLAANQPYVISESIFVHAFQSRIMCE >OB04G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12227023:12230801:-1 gene:OB04G23490 transcript:OB04G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRGPSSAPGAAARAASSPLPGHGGARRTVTYMPRPGDGNPRAVTLIPGDGIGPLVTGAVQQVMEGMHMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >OB04G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12232343:12237245:-1 gene:OB04G23500 transcript:OB04G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGTDAAKLSVPGAALAALLACCGYADGDCDGLLFGYTARAPVPPPSFYDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFRSHFPDPSAAIGFFPPRRRSPLRPSMREAALARSLSKTLVVDHPLVFLLVTPSSSSGLSVHSFDYRAFLLVDSRLVPASLNVVNAGPGFREQYHAFAPESPLPSLPQQPVKQGYSIGEQKALDGMVEGFGLERVGAIVTSASGLTSEMEEMYGGMLRKLEGLARQVEQSNERVLNQEKQNSLLRKKVAGLK >OB04G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12244018:12247605:1 gene:OB04G23510 transcript:OB04G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGFGSGDGDRRGGFDDDGRRGPSRSSDLDMPSRADEVDNWGMNKRFTPALSDSGRRDRFGGPSPAGRSDDIDDWSRDKKPLPSRYPSLGSGGGFRESPGFRDSPGPSDSDRWARGGSFAPTANNGERERPRLNLDPPKRDPAPTATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMENEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRPRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPESNEERILKEEISLLKEKMKETEANKTDDSDQTVPEDAKDLSEKIAQMEKQLELLTIELDDKVRFGQRPGSGAGRVSTVPPAIVEEPQIMASIVDRPRSRGGIEPFPKPAEERWGFQGSRERGSFGGSRSSDRPMTRQRW >OB04G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12249434:12250592:-1 gene:OB04G23520 transcript:OB04G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWPVLHAGEDAITVTWSLNATAAAPGADAGYRAVKLLYAVMQTIGDQNILLWASPKWIVGYLKISGAEWFLDLPSMAATIGGQWKRRERPRQRGPPPPTCCWPWEP >OB04G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12253079:12257941:1 gene:OB04G23530 transcript:OB04G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWITCH/sucrose nonfermenting 3A [Source:Projected from Arabidopsis thaliana (AT2G47620) TAIR;Acc:AT2G47620] MSPPGAGAASSGDGSPGRPPRELYTIPASSGWFRWDEIHETERRALPEFFGGAGGSGFGTASRNPRIYREYRDYIISKYREDTSRRLTFTEVRKALVGDVTLLRKLFGFLDSSGLINFSASPSRPGQQQEAEAVVEAPVGLQVTPRPPASYFAEDKRGGAGENGFRLPPLTSYSDVFGEWAPGMGPICGFCGEVCSDGSVQTLKDGFKVCSKCCANNANKGEANIHPGDSKEHTDNHASSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTVNGKLDGRLHKSQTTDGKVNKSTVKESSSQPSETVDSMQIDGNENGTDKSIEERPAKPRQLFSSIDTTVSLMEQLALLTTSTSPDVVAAAADAAIKALGNENPQARRAFLLSEKEYQTRTLSSNHVRRSDDVVGGQDVEMHTHPDKKQGKMFISTAYQVRAAVATSLGVAVARAKMLADQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYATIQQIKVSLVDEWLKVLKRAFESGTPIPRDEVLIKLFQNKPNL >OB04G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12261692:12263271:1 gene:OB04G23540 transcript:OB04G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEMARGEAEQTNEVAKKAKSSKKKDKKKATVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKNRWSSSPVKDDAAEDEDGDDDDMADKDDEETTEIASSRTKRLSVEAVGPSSFASRKKKPRKEK >OB04G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12268197:12271432:-1 gene:OB04G23550 transcript:OB04G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSMASLQLQAPEFLASCLLLLLATILLFRQLLAPSSKPSLPRPRGLPLIGNLHQIGTLPHRSLAALAARHAAPLMLLRLGSVPTLVVSTADAARELNGIVRRVAFGDHESLDAKALIDETQALLCDFFVGDYVPWLRWLDALRGIRRRLERRFQQLDALYERVIDDHLNRSKGASEEEDDLVDVLLRLHGDPAHRSTFSSRSHIKGILTDIFIAGSDTSAVTVQWAMTELLRNPAVLAKAQREVRSVVGGGRGMVREADLPELHYLRLVIREAMRLHPAAPLLVPRETTEPCTVHGVEVPAKTRVLINATAIHTDPGVWGPDAERFVPERHRGGGGEHRTPWHDGFALVPFGVGRRSCPGVHFATAVVELLLANLLFCFDWRPPPGHDVVDVEEENGLAVRKKNPLVLLAKRNTS >OB04G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12274931:12279428:1 gene:OB04G23560 transcript:OB04G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWPTYLATNATLANLPLRWSLELLGMRESAAGVRVNNPQLLDLPLIDVFKEVVSALGRNNIMVILDNQMTTPGWCCSQRDGNGFFGDTYFDPDEWLSGLSTMATMFRNTKNVVGMSLRNELRGDKQNVTLWFRYMQQGAEVVHAANPGVLVILSGLEFDNTLDFLFSKKVQLSFTGKLVYEQHWYGFSDGGNWGSQNQNDVCGMVVGFIKYKGLFLLQQGWPLFFSEFGFDMSGAHVADNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWSATRNPSFIKRINSLQSPFQGPGLPNSKEPYNVIFHPLTGLCLVVKSSEALELGPCDESNAWSYTSTNQLVLKHTGQCLQAKSVGENAKLGTDCSKSSAKWQLISYSGMHVSAELTKNGTRVCLDATPDGTIETNLCECLTLDPNCNPESQWFKIILSSKGIPGGTSILQLPSLGPWSPTSSS >OB04G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12281904:12284801:1 gene:OB04G23570 transcript:OB04G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDVWVDGLTKMATMFAGVPNVVAMSLRNELRGSRQNSADWYKYMQRGAEAVHAANPRVVVILSGLSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSNGQEWRTGNANQVCARIAASVARRALYLLDQGWPVFLSEFGVDNRGGNVNDNRFYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWALVSDSKLHVAVNASSSSSSSGGGGMLCLDVGDDGRSVVTNPCRCLSADNSCDPESQWFKLVTSTRSVAAADTMLARLPLKLRSWKIRSL >OB04G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12282752:12284848:-1 gene:OB04G23580 transcript:OB04G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCSRMQDGLANRNQSDLIFHDLSLSGSRASMVSAAATLLVLVTSLNHWLSGSQLLSALRHRHGLVTTLRPSSPTSRQSMPPPPEEEDDDEALTATCSLESETRLHRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRPVEHAVDLVEAEHALAEVVAPLQRPERPVQVEVGGDGGDAAVEPVVVDVATAVVDAELAEEHRPALVEQVQRAPRHARRDPGAHLVGVPRPPLLPVGEAVPVHLERHLPGEADVHLPRVEERQVVVEAQPGEDDDHPRVRRVHRLRAALHVPALPFFPRPGTLVSLAADQPRRPRRHYYSTSSRPSLYFFSVCIFLPMAPLLVAVHTTLHWVTDWNE >OB04G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12284707:12289006:-1 gene:OB04G23590 transcript:OB04G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLEGGSLVVGGHELLASAPPNVALRSAAIAAAPGAAFLGATAPAPSSRHVFSLGTLARFKIWWMIPTMGEDAAGVQAETQMLLLEARNGAGAAGGGGGGGRRETGRVRQRRGKRCDPEVQTLEAVDAVFINSGDNPFKLMKESIKILSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKAVNPAGIERGLKSLCEGGAPPKFLIIDDGWQDTINEFKEEDEALVEQTVFAERLVDVMENDKFRGETCKNLEDHVKKIKENYGVKYVYIWHALHGYWGGVVTTSDAMKKYNPRLVYPVQSPGNVANLRDIAMDSLQKFGVAIIDPAKIYEFYNDQHSYLSSVGVDGVKVDVQNVMETIGMGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTENIFSALKSAVARASEDFMPREPKMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHDSAEFHGATRALSGGGVYVSDKPGVHDFSILKKLVLPDGLILRAKYAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTWPVKENAPVLTTVRITGDLSPSDVDLLEEIAGDEWNGETAVFAFNSFSLARLQKHQNLEVSLSTMTCEIYTISPIKVFGGLVQFAPLGLINMYNSGGALEDLTSADDSSATTVQIKCRGPGRFGAYSATRPDICRVDEHEVEFNHREDDGFLAFDLPHGSSQNNLRNIEILYRAS >OB04G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12299099:12299710:1 gene:OB04G23600 transcript:OB04G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVSHLPPEMPFRRVVGHGLNAQELAKNPRLDYFFVKDLNKEQQLELEGSSFDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAVGAWREGTAYSRVQLVMQYFQCVEGFTQPEVVRKLPSDAAGGKPASPLDAVMRLFGMGSSSDPFYAVISYRNFKPM >OB04G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12299602:12301769:-1 gene:OB04G23610 transcript:OB04G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LYY6] MCLSAASAASPSRFLSPSSSALPRRFLHHLLAAPPLRSSLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVQRSDAAQLLKDGSLSLHVADGRLGWPDEAPYDAIHVGAAAPEIPQPLVDQLKPGGRMVIPVGSYFQDLQVVDKNDDGSVTVRNDASVRYVPLTSRSAQLQDS >OB04G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12302839:12305179:-1 gene:OB04G23620 transcript:OB04G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEATRGETFDPDLIHAIFKLVWSRRGDRGGGGGGPDEDAIDVEPVPETSRRNRSATANASALKVSCELLRIFVTEAVQRSAFIAEAEGTITIEPTHLERVLPQLLLDF >OB04G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12312048:12313400:-1 gene:OB04G23630 transcript:OB04G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPRLCMANGNAAAAGEGDSNSVSKQTAVSSSSSSSTVSTSSSAAAAAAVSEASSSMSVPSLPSLSAVVGGSTSCLAASFAHVTTLCDLPTAPGSAAAAVAAADPVHGGGLIVVARPAAVAVYDLFSMEATSTSDMADAASSAGSVKCVAHLHGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAPVPVQKKHRADDDGDEEWRVCSASFDGEVRLWSLRMAAAS >OB04G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12321414:12321572:1 gene:OB04G23640 transcript:OB04G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRCTYSPLLFFQLTWLHRWGQNSRTQLVTRIIPPINSPRPEDQVQTILI >OB04G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12331454:12345151:1 gene:OB04G23650 transcript:OB04G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIYAVWSFLSWIGSLLVIHLHAQGGHVFVASISIILAGMSIMMALPNLRYFIDATAAAGRMREMIEMLPPLEAAGKKGATMDKIRGEIVFRDVHFSYPSRLETRVLNGFSLTISEGDTVGLVGGSGSGKSTVISLLQRFYSPDAGEILLDGHGIGTLNAEWLRSQIGLVSQEPVLFATSIRENILFGNETASLKQVVAAAKMANAHEFIVKLPHGYETHVGQFGTQLSGGQKQRIAIARALVRDPRILLLDEATSALDAGSERTVQDALDRASMGRTTVIVAHRLSTLRKADMIAVLDVGRVVESGTHDELVGMDGGEGGVYSRMVHLQKAPPVAAREERHSAVDVVESEMVSFCSVEIMSAASASDHRPSPASSFRSVEHSTELGSKTVVHGVARSRKPSKLRLLKMNRPEWKQALLGCAGAVVFGAVLPLYSYSLGSLPEVYFLADDALIRSKTRLYSLIFLAIAVVCITANIMQHYNFAVMGERLTERVRGQMLAKILSFEAGWFDEDENTSAAVCARLATQASKVRSLVGDRMCLLVQAGATASLGFSLALAVSWRLAAAMMAMQPLIIASFYFKKVLMTGMSRKAKKAQVQGSQLASEAVVNHRMITAFSSQRRMLRLYEAAQEGPRKDNVTHSWFSGFCLCLCQFSNTGSMAVALWYGGKLMAKGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDEDDSEGNEGKRRKKKKKKHEEIQGAIEFKNVHFSYPTRPEVAVLSGFSLEIGVGKTVALVGPSGSGKSTVIGLIERFYDVQRGAVLIDGEDIRSYSLARLRSNVALVSQEPALFSGTIRDNIANERESTHEQTINSVANP >OB04G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12346162:12350459:-1 gene:OB04G23660 transcript:OB04G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDLRSLVAVAAAVSVAMWYVRLASRRLRPGLPRLVAFLPVLAVLPVLPLAFRAVHPRAISGFFLAWLAEFKLLLLAAGQGPLDPSLPLPAFVAIAIFPVRQRDPTTKNATGSGLAPVTSAVMAALLAAIVSLYRHKERMNPYALLVLYSLHVYLALELVLAFAAAVVRAVMGMDLEPQFDQPYLSAHLRDFWGRRWNLSVPAVLRPIIPEDPEPPKTQCEFATSSSHAREGVCAVAEGWWAAHSGWPRPPRAVATTLTLAFILATGFWLIVPPITRTETDKVVIAETEAVVVFVRDAGSWAASSVRSFFTGRS >OB04G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12352902:12353147:-1 gene:OB04G23670 transcript:OB04G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGNDVGTQYRSGIYYYTAEQEKAARGSRAEKQKEWKEKIVTEVLPARRFYPAEEYHQRYLEKGGQSARKSCSDPIRCYG >OB04G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12362370:12364419:1 gene:OB04G23680 transcript:OB04G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCVDLDALRPAMAADVQVVTSDGKSIAAHSFVLATASPVLERMIDRARRGWNSECTIRVLGVSSDAVYAFLHFLYASRVTPEDEEVVTVHGPQLLALSHAYRVGWLKRAAEASMTARLTPEHAVDMLKLARLCDAPRLYLRHFKAKMRAEKADRTWRLLVKKVTRARAMSRLAAARTVPELVAVSWARYSSGGAARLR >OB04G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12364250:12364696:-1 gene:OB04G23690 transcript:OB04G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPRQCFDFLLIHPLHLKHWSYTAKIEPSTTFPPRSSCCNPRNGTDIMARQIFFFAVIPSHDEKCTGGTHEKKSPARRATWPPACATVTRFISTWPLLRCCTSPTTRPPAPAPCAPPPAATSPSPSSPSSPAAATSCPPSPPASWP >OB04G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12369751:12370110:-1 gene:OB04G23700 transcript:OB04G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSGIVRLAALVFLLIFSSSLQQQAVVGAIRLHDRRQHGEQWAEERTQMRTFMTMDYSSVRRRRPIHN >OB04G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12372816:12378882:-1 gene:OB04G23710 transcript:OB04G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku70-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G03420) TAIR;Acc:AT3G03420] MAGEDGRSGASEATGAEAASASETPRATRCLPFEDCVAGITSALQDPTVRFLRERMEKAGCPLPPRMFKAMNCSSNKLAGSYGSGHGITICCDRMRYQDEINQLLIHELIHAYDDCVVKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALISVRNNPFCSETVAKDAVEAVWETCYNDTRPFDRAP >OB04G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12383055:12385132:-1 gene:OB04G23720 transcript:OB04G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDATASKVSRVIQFHDIGSEVTSDSSSTPHPAEERLQRRCLRNEAAGEFPLAANPSILLHVFSSCDLDPKDLAALEATCKFFRKPASFSPDLSLPLPEIAAYDMCQKRAMFKMKQEEKELLKQRCGGSWKNVLRYILVGEKNYRREKSQVVAGPGHSIVVTTNGEVYSFGSNCSGQLGHGDTEDKFKPCLIRSLQGIRITQVAVGSRRTMLVSNTGSVYMFGHDIFGGLDISGTADVNYTSTPKLVESLKGIFVVQASIGGYFSAVLSREGRVYTFSWGRAERLGHSSDLIDVEPRIISGQPLDDVLVVQIAAGNCYLLMLAYHPTGMSVYSVGCGLGGKLGHGNKNNEGIPQMIGHFQAFNICPMSISAGAWHAAVLSCDGRVFTWGWGNNGCLGHGGEEFFTLPTAVEGLSNVKAVHVSAGEYTTFVVADNGDVYSFGLGESFNLGFQESAAVEYEESLSFLTPKFVASLVNLNEKFVQISPSNTWDWLNEGMSHTIALTESGKLYTFGGGIKGQLGFKLAEDEDTMATPVCVSIDDPL >OB04G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12387870:12388382:-1 gene:OB04G23730 transcript:OB04G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGIFVFSCILWMSLHIFAALVSTLLYHLFICHINFDSPVICTVCSSAIYPFMYYIILLRRDAIRDSDMHYL >OB04G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12388622:12392577:-1 gene:OB04G23740 transcript:OB04G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGARSPTRMLAEGHLRVATGGGAPARRGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGGGAPADGGIAVRHLPHHHASKREGAGGKNEQGNLEGADSVPSQDLNKLANGNSKVPATLDDYKRLLVPVIEEYFSTGDVELAASELKSLGSDQFHSYFVKKLISMAMDRHDKEKEMASILLSALYADLLSSSKMSEGFMLLLESTEDLAVDIPDAIDVLAVFVARAVVDEILPPVFLTRARALLPEFSKGMEVLQVADKSYLSAPHHAELVERKWGGSTYFSVEEAKKRIQDILREYVESGDTDEAFRCIRELGLPFFHHEVVKRALTLSMENLSSQPLILKLLKESTAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKTQFDKLVLTATSEGWLDASFTTSSSPNEDMRNASGEKVKHFKEESGHIIQEYFLSDDVPELIRSLQELSAPEYNPIFLKKLITLALDKKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISSRLRPNSSGSQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKISKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKQNETRILSLLQECFGEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGAYVDLATERGWLLASFASVP >OB04G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12395041:12396947:-1 gene:OB04G23750 transcript:OB04G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMVASTAATSSSFSYHKPRFAVECRKKDRDREREREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >OB04G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12401492:12403119:1 gene:OB04G23760 transcript:OB04G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRYSKRLFRRSSSKGSTDSSSSSDGDVGGRGAGAGAEIEWEVRPGGMLVQKRDGRGGVEVIAVRVATGFSWHDVSIGATCTFGELKEVVSMVTGLKPREQRLLFRGKEREDGDHLHMVGVRDKDKVLLLEDPALKDMKLRAALVARAVQSPYQNFIQV >OB04G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12405119:12412801:1 gene:OB04G23770 transcript:OB04G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPHEGFRFRHAASASGTGRKRAGGEREPEAVGGGEMGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKDTELIMYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSSRSGFQLIVYQDQIYLYGGYFKEVVSSDKTASEKGTVHADMWALDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKESSNDAEANLGNDEDETMEDSETTGGQSVHGVSNHLTKSLTLNKVGSGNNSDILSDSTTQEILPEAVKPSGRINACMAVGKDTLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISEDEDDEDEGDDDDDDDNDSGGDADQTDEDDEESDEDAEKNVDMSNAVSIIKGERKNLRRKEKRARIDQIRVMLGLSDSQRTPMPGESLRDFYKRTDRYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKIKPKSGMR >OB04G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12415803:12418197:1 gene:OB04G23780 transcript:OB04G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFASVGMGVVLVGRSPDKLAAVSGEIRERHPRVEVRTFVLDFAAEGLAAKVEALRDSIRGLDVGVLVNSAGMSYPYARYFHEVDEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSIYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRFLLNMSVGIRKKGQAKDARKKTL >OB04G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12428630:12431024:1 gene:OB04G23790 transcript:OB04G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFASVGMGVVLVGRSPDKLAAVSGEIRERHPRVEVRTFVLDFAAEGLAAKVEALRDSIRGLDVGVLVNSAGMSYPYARYFHEVDEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSIYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRFLLNMSVGIRKKGQAKDARKKTL >OB04G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12433201:12440094:1 gene:OB04G23800 transcript:OB04G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPHVRSDCGEAPVSLFIDTDLGTRFALLVAADSTVRDLKSMVAAEHAVTFPDIGTVAVKSFQVLIHGFCWMHPALLILQCALLAKVRRKGALYHLSDLMTIRSAFAKIKTGCFLHVNMTVAVTDTLCTSSMEDGKRSNEGFPGVEVDFDKDVHKIPASISKNANGLPGLEDSSIAGMEKKRKRRQPEVSREVVSTQEMTKPSTGAMEVPGTIGQVLLHKSNQELQGDDPCKVELTSRNNSGGQGTKHIQLMSDAQETTDQGIGDPVHNEYKDLTTGDMVYSSEVVAGAEKSTQGRCDEGVVETSKMQKANTSKSILENIQSAGLISQRKKRRKAKNPVDMVSLDIADQCGTKHVQLMSDAQATPDVVADQEIDDLVHKKYKDPNIGDMVNSSVIVASAEKSTKGRHDEGVVETSKMEKVITSKSIEKKRKKGKKVSSVGVESLDIAGEKDQYGTKHVQLVSDAQVTTDPVADQGIDDLVHKEYKDPAMGDMVSSSEAFAGAGECTKPRRDESGVETSTFEKPSTSKIILEKTQSAGHTSQQKKHKKAKKVSSIDIGSLEVAGEKDECSRYGENLVKSGKFATQGKIVNDPLDQQILSNVQSEDPNIIENPCGDGRQKKKKKKTKHQSESSKGADLTHDVTKSSGLITNEISIHASPLDPKQIMPATTGQGTVSHKKKSDVSLDVAAAKAIDEVLADLRSTDNITEDLDEHQLTGQEHQVSNVLGVHGNTLGKGGLSAVLPPKYPAVIQSDASASSPSHNKDKGNQLKVLPTVHESSHFSGVPEESANAELRKSVSLRPSDNTSDYNNISTENVVLQDDDKNKTTKRLRKKISLKHVPTDNGKTIQSLDEQVNQVATENLNRENASKADLVRGGSVTDGPAFTVEKIQKKSKSSKIHTPKVQQGNHSTHFEDSKSTKDSQGKCISYIGESETHNKETAEGTPTQSPAVQEDATALRASTPNTRKGRKKSSKTELQSQSSALEHGSDVDLMNFKAECVTVSPEKSVVAVEPNGKINFLDHFSPSGADDPYVSAESKENNKEETVREVEDESNKRKPDMQSQIVGSAKLNDLLESHLHIEKTKPTNYFPGDVGVPSDSTENMDITNGNGKKGKEKKRKRKSDLLKSVPQKVDPNSDHKDINSGVHDLPFSVAQEGGMEHDSKENNNDVIWNSSILTRDPKDTTFDSSLVKKLNQSNVGSDNQGHECMDKEQRKYRSQTKPHTESKNADGLINGRADPNSKSIKNLVKSFSMSPPASSDSTQGTPSTGRFRLAVRKVPRKRYEQTNGKSKKYKGTATIFNAASSDGSDDELRTVSAKAAIETSDDSSTSADSGISSAAHDESGEPDDDGNASLSQKSLKGKLDIGSILRGSSSYKAAKQKQAEQLDDTEVPDSQPVDIF >OB04G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12438893:12443950:-1 gene:OB04G23810 transcript:OB04G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWVSLWSFLKFLPYFCGLLILGVIKGILICPWACLIMAIGLSALILGLWPMHVIWTYYCIIRTKLLGPVVKFLLLIAATAILILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTIVRDVKDLLFHSYFSITDDLLLQAPPDNRPYEIRLLDLPGALLSATCGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDLIGREGPFLETACVPFAGLAILLWPFAVVGAVLASVLSSIPLGAYGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDMLDMAPGSCFPRLKYRKKEDSSHGSSLSRPASFNKEKQEVKKPPARVTSFKNSIDDFNPFKLLDHLFAECKHQGEDLVNKGVITLKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLFEWFFDPLLVIKEQIKAENFTEEEEEYLKMRVLLIGDPDRAKGSLSHVPSLDERKKAEIDAFARRLQGITKSISRYPTSKRRFDALVKALLLELERTMGGGQSTNGSQSQSLKGGIARMLSQKSMGKTANIRDEDPEAQTTRYARTP >OB04G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12443887:12444185:1 gene:OB04G23820 transcript:OB04G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKNQSQGQANDNLGEACQWQCQNKTGGVGSTSLAVLLAGESSEKPKRTAGNERWTAANSNNGAAAAKNQETVEMISPREEKPPWEGQESDAGG >OB04G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12447295:12450277:-1 gene:OB04G23830 transcript:OB04G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINAKVDTESGTVSVYSFPARAASDSDTEKAERKTAEGEEKRARREARKVGEENDIDAILRSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKDTELIMYGGEFYNGSKTFVYHDLYRCDVEKNEWKMVSSPNSPPPRSAHQIVAWKNNLYMFGWEFTSPNQERFHHYKPDRYRLSL >OB04G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12450725:12452624:1 gene:OB04G23840 transcript:OB04G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELPGDILLCILDRLELRDAVRTSALSRRWRHLPGLLSNIILNVGAFEPTDSLMFTLDDVDDIVLRSNADTVEETKNILAHKSTSVIKLLSLVFYLREGFIDIAHSINDAMANRSIVSTKFQLLSGTDLRQRMGDDNLICGKCLLSFFYTCPRAFGCLEHLVISNARFGDSDIPNMLSTCKKLEYLSLIRVDLKCLPKLTTLMVHYWNDIEESYPLSLGYVPLLWMLKLACKGTIRHKNIQLSEFLGNAAISKMHLILNPEGSCTSVGRPAHNRTCYFPKIPSPSFSYYMSVSICPKSRLLKFDQVAKCNNIYSSKLVNPRYNSTVWDHECKTLEYSELYQKEGDKLLKWESSRNFKHHNLNVLSIVGFQIDEKFMTYIGQVAEMAINLEEILLVESESCQYCQFRPLTRYPCTEEEKDVTKKQICEGRSLPIRIGFGHVIEYCD >OB04G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12455614:12455808:-1 gene:OB04G23850 transcript:OB04G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGDGTGTWLVTYSRESFSDEIFCYFATDKTGFVYYVIPKFGFANMTSLNNLSHFFVISSIGCYFT >OB04G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12455935:12463097:1 gene:OB04G23860 transcript:OB04G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase-promoting complex/cyclosome 2 [Source:Projected from Arabidopsis thaliana (AT2G04660) TAIR;Acc:AT2G04660] MQLDDAGGALDSWARFCGLSDELFGGAGDLSAGPRLAPVVADLCARGLAGLLRDHFLRSLEGIFISNAVKKFWQQFHPYCSSSAGERIKSCVQENWPEEILGRALEDICLEKSYQEKCVLALVHCLQSYEDRTPCRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNILMAGSDGNNSFDDHDLSERNSTSALHSEMDIDGQEPEISESINLVKNIGKVVCDLRYLGFTSMTEDAYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLKFLHALLTYLGDSVDNESVSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNTNGAGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWINAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTVGTVQEETELSHEILDATIMSSNFWPPIQTEELTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDKSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVPVDSLSRRISFWTSKGVLTESVGPDADDHTFTIVDSTSDFNKNSTVNQLCERFQMNEEEGESSIASVEEQLRKEMTVYQKFIIGMLTNFGSMTLDRIHNTLKMFCIAEPSYDKSLQQLQGFLSGLVSDEKLEMRDGSYLLRK >OB04G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12462977:12467038:-1 gene:OB04G23870 transcript:OB04G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:radical SAM domain-containing protein / GCN5-related N-acetyltransferase (GNAT) family protein [Source:Projected from Arabidopsis thaliana (AT5G50320) TAIR;Acc:AT5G50320] MSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGSVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVACDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSKKLAVISGVGTRHYYRKLGYELEGPYMVKCLV >OB04G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12467838:12470553:1 gene:OB04G23880 transcript:OB04G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVGEEGKQQPHLVLAHKLFLLSHQDVDDLAKVDLRADVLAAVKSDDMAALYESLGGSGVLETDPALLAEMRARIEEEIRKLDEKIADAEDNLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >OB04G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12470866:12474230:-1 gene:OB04G23890 transcript:OB04G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPGKNGDSRDVAGPNGNEPSNSYSVARSVEQGNKRSGNGEYVVPAGPASNLMMNGSVVYHSNEPLPAFKDVPASEKQNLFVKKVNLCCAVYDFTDPTKNLKEKETKRQTLMELVDYVTSANGKFSEAVMSEITKMVSINLFRSSSPTPRENKAIEGVDLEEEEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLIRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVILPIIFPALERNARGHWNQAVRSLTLNVRKIFSDHDSAFFGECTQKFNDDELKQEESNSKRESLWKRLEEAAVPRSDNPVGTPNGKCNHAAG >OB04G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12474492:12474767:-1 gene:OB04G23900 transcript:OB04G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEYCCCCSSLSSPLLSSRLPFSPSSSPSLRRKREIFFLLLCYFWGPQGLSNPEEEEERKREVESALARRPAKKRKRFPLCCCCYAPSSHLLP >OB04G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12478653:12482581:1 gene:OB04G23910 transcript:OB04G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) TAIR;Acc:AT3G27310] MEAERPNHHQITHASSSTICPLRRKRGGDEELRPMDLDAAAAGSRPTSQDKLKALAYEYGHEFRIFSSATFESTTSNLPAADQEEDDDFYELQPADYFNLVSNRLAEQSKVLKTRKMREAELAAQRAKIKKTVMRVRFPDGYILEADFHPSETVQSLMGLLKKVLSRPDLPFYLYTVPPKKRIQDTSMDFYTAGFIPGANVFFSYDLPAGSELNTDSVKSEPYLSEEIRMLDGLSIVQEPVHQPIDSNANYSSAHQSDVFQSDFAPPTNKKPAKPKWFKR >OB04G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12486713:12494034:1 gene:OB04G23920 transcript:OB04G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LZ17] MSGGSAESSPSSRRSSFNSLSRDLELPSEHGCLSVIVLGASGDLAKKKTFPALFHLFAQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFDKLNKEILEYEMSNKSENSRRLFYLALPPSVYPSVCKMIRKYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGRLKAIPYQPGSRGPKEADELSEKVGYMQTHGYIWIPPTLA >OB04G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12493241:12495218:-1 gene:OB04G23930 transcript:OB04G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDVPENANDRRDSRSGCLGKRTYNWGILFADLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDYQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEVGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKKQ >OB04G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12496993:12497322:1 gene:OB04G23940 transcript:OB04G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGRARRRLPRRFRYWEVEEPFSWLLSLVAIRFGCGPIWFLFSSVRACGAAWLLDGLGHWVQ >OB04G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12498657:12499424:1 gene:OB04G23950 transcript:OB04G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67660) TAIR;Acc:AT1G67660] MVSSQLSSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWNEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRLVGSLGFAVHTEANSGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMDRDWVELYCWTPNGSSLFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLVGKEAEARSFEPQPKHRLTNLVLFKSRKLASEAKLLCKDIGGHVEFFP >OB04G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12500381:12503659:-1 gene:OB04G23960 transcript:OB04G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSCSFNNSFLVNEDCAGMMRGCGCWSEEASPLSSCGVNSLWWDELEFELGLEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIDDLTVMSSAGRFGDDSSDAVFADLSYYLNQAFVLSPEMQFGGYRGVFEGPLCFGGLSAGENDSSGFVENPSITEDADDSFGFVENPSTSGNAALVCCDAVETGPLQEGGVPHEGMTFALGYLGLRDILSVEMVCKTLHLAVRNEPLLWKSIHIEEKLCERISDAGLLHLTRKCPDTLQCLSIANCVKITDQGLKAVLESNPRLTKLNILGCPRLTLDGIISNLKSFNTKAVVGIKHLRVGTLFSLQKEQYEELLSLLSTDKMQEVHSRGPRFLHANRFLSDCNDGYALDIEMCPICQNYKLVYDCPDEGCDDRKSGNCKGCTVCILRCYKCGRCVDKLAFKESFCLDWVCPTCLENKDSFPPMK >OB04G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12511674:12515748:1 gene:OB04G23970 transcript:OB04G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHFNTSKSNKHLWEAISGRMREQGYDRSPTMCTDKWRNLLKEFKKARSHSRGGAGAVGAGGNCPAKMACYKEIDDLLKRRGKPAGGHVKSPTVTSNSKIDSYLQFTADKGFEDANIPFGPVEANGRSLLSVEDRLEPDSHPLALTADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDNGMTIKLYMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >OB04G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12515879:12517089:-1 gene:OB04G23980 transcript:OB04G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein [Source:Projected from Arabidopsis thaliana (AT3G25980) TAIR;Acc:AT3G25980] MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDTDVAVPFTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >OB04G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12519443:12523264:1 gene:OB04G23990 transcript:OB04G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3LZ24] MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNENEYKPDINIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKAASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVVDLIRHMYKSQ >OB04G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12525541:12527506:1 gene:OB04G24000 transcript:OB04G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPPLHHHSSTTGQAEVGSDVAGWSSPMPQTALGRTDPQANRLEGGLDHAGVGLMRHRVVPPTHFDGRMPEEPFEPELVDVDEVGSGSVDYFTNLLVNDQEYNDLTPPSHTNNDDVPGASKGSQKRTKNFRDEEDRLLVSAWLNISMDPIKGSDQPLGTYWRRIHHYFHANKTFESDRTHGSLMNRWGVIQHDVNLFCGCLSKIEARNQSGCSVDDKIASACAMFKAEDSKNKNFAYMHC >OB04G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12530563:12536303:1 gene:OB04G24010 transcript:OB04G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MHPNSVSEVPAATAAEQPKRPRGGSEGNFVRLNINGYGRKRTFKNAQARRSTKYRSWRRQRAAGAVPRAQGDEEGEFVAEALLEREKKAASDSVLEAVESVREDPSEQNLQSLLKAAYGFDSFRDGQLEAIQNVVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMIDQLRKLPAFLPGGLLGSSQTSDEFHDTLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSGNLIQMSQIRENLQLSISTSDNRLKDLILLLKSSPFVDMKSIIVYCKFQAETDFVSKYLCDNNITSKSYHSGLLMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLVKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSVLNRSEMKDGHYIFDTPRIANDLKITMNEVFDHLHKLKFSGEVSFELKDPAYCYVILWRPDDVNALSTNLTKWLSEVESSKIRKLDAMFALANFAVNGCKRTGGCTGSQHTPCIQKKIMEYFSKGDGTSESGCSTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGE >OB04G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12536535:12536756:1 gene:OB04G24020 transcript:OB04G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTASILLQQKTQDLPQGEISNGFSSSWLRYLVRFNHLTEIASTGEYISVACLKVKSTERYDSIWSKNGVSRK >OB04G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12539518:12539805:-1 gene:OB04G24030 transcript:OB04G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASFSVVLNRNIKLLLSANTWPTAKARIVVPSWLAHRLGGRPWFASPHTDGDGVEIRYKTIFFWIFVNYFFLCLNMYFKKMINSSKNIYVKLRKEL >OB04G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12543960:12546818:1 gene:OB04G24040 transcript:OB04G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRFKEKRWDARQLIASGGMPSSHSATVTALAVAIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQVVAGCILGILIAVVMRLALWSS >OB04G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12547856:12554063:-1 gene:OB04G24050 transcript:OB04G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:UniProtKB/TrEMBL;Acc:J3LZ30] MAAATSSNAAAPPSYDAPEGVDIRGRYDPEFAAILTSDALAFVAGLQREFRGAVRYAMERRREAQRRLGDRPATLFVRPRGWHLPEAHVLIDGEPAIGCLLDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELREHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSLLLIRTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDTAAATAGNTGDAFAITEEDLLQPPRGARTVEGLRHNTRVGVQYLAAWLSGSGSVPLYNLMEDAATAEISRNWQWLRHGAALDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRHGRYAEAGRMFSRQCTAPELDDFLTLDAYNLIVVHHPGASSTCKL >OB04G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12558621:12563696:1 gene:OB04G24060 transcript:OB04G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAAVPKAPPSKHLMALAVVAILGLVLVADFLWASSSSSPSSLDLPARPAAPVPSLVDKKTKGKVSVGSMDINATFADLPASELEWEEMAEAPVPRLDGAAMQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRFIYAVTGQYGPQCRGPTARNFVLDTATKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALESEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDQMKWKELPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >OB04G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12567850:12570763:1 gene:OB04G24070 transcript:OB04G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPKEDDVRCLRGVKKSLRDPDGRLSSWTFDNLSSSAVCSYSGVTCWNPQELRIIQLSLAGFGLQGSIPSDLQFCSGATTLDLSGNALEGQIPSTLCDWIPFVVNLDLSGNQLSGPLPSELANCRFVNSLKLSGNSFSGQIPDSLGRLARLKSLDLSNNRLDGQIPAPLATFGKESFAGNKGLCGRPVSSRCGRPLGGAGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKGGRRRRGGSESGGGSAEDGSWWTERLRAAHNRLAPVSLFQKPIVKVKLADLMSATQDFSTGHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVLKEPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVATMKGDVYAFGVILFELVSGQEAATVTSDAAGEGFKGTLVDWINQLKASGRIGDVVHKSLCGNGHDSEIDEFVKIAFACIMVRPRERLSMYKVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >OB04G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12574891:12577634:-1 gene:OB04G24080 transcript:OB04G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLQDRGYLVMDKDIDMSRPDFVGRYGDPVNRENLVIYCSRKGDTADQIYVFFPKDPKPGVKTIRNYVDRMKQESVFNGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKHHTLVPEHELLTPEQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRNSETAGRYVTYRYVV >OB04G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12585172:12588714:1 gene:OB04G24090 transcript:OB04G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTVTMAPPVTRTRSTLAWGARISRLRQKGEARSRLETTRSGAQLASVPISSSRNEQPAARPDPTRPARFEVRSKHLPSQVRIAPNFFLGFGTDLGFGLPWEIFGGEFRRISLGFHGLVGFPLMAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHHHLSGRHHRGDAEPRRDADRRRDGGRSRGGRELSNGYSHRRSPPPRSRLSGRLGDREPGEVLSGSGSDDSGGRTHRARENGVSTSSRDGELVAAAAAQSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKALESVPTELPPPPPLPPQDHTPERLAVERSPMDMELAVAAESTEQFQEHEQSRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPQSKKKSSSPAGSAELGQRKKATSPELGEVVASDVSGGRTMSRSSDSGRLGADENDDLEVDKDDYMDVDREDAGNSDVANQQSDTDSEYELRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >OB04G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12595437:12601012:1 gene:OB04G24100 transcript:OB04G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKALLSKKGFRWEQWNSVGGEVGEGLCGALGCKNEAEHYYFCSMLVTLAHFLSLLADKILPDDDDRTTYRVLGLLLLGIARIYSKKVEYLCHECNELVGSYGSTHCTELSISTRGATDRVSKQVKKPVRPRRLAVRQDDAYKVNETTRAVRTTRAETRTTSQTSAEVREACTTDDLPVFTIPKRFELDSFDLGIPEDRDDDEEENHHQLPHRDTLLEDEPHHTSCLYESYKTVTCSYADLDSACIMPVRITIPTEIISAISEVNSLLCLSNIGGEPEKDNQNADSACFTPVKDILPPEMVDTMAKVNDPSDKSIRGKKPQRELNKDDNEDSACHIPLPGSKEAQISENIVENATFPTHDANCPTIEESENGLLQVTNTNPSCNGVEEPESLETPTLRCKTKQINELSPSTPEPMTEGATGLPYSPKFMVTTPAKKEKSRVTKKRRRGLYNKDYIPTDRDKRQVRRRGTRALFDGNIVLPNKTLRKLIEDASDLVHRRRKAPHTYLDTWKEDKISSLPVTFMDPLILCPTSVYFKYTITSDTPKSSCSEPVKSRRRLSWEPSESNHICSDAQNVEGESIPDEPRKRKLDELTNSVQVTVGCYTENVQYQDDDCRFNEDTVKENDFSIRGHESHSTEPQERLYAPKSNDPLLNEALCAAIDNIDEGIHMDEQHPRDEGLLRSTRTRTVASYLHQLLVDQKCQQGNDSVCLSQALKGTKRKTSARFFYETLILKSGGLIKVNQEQPYEDIMVSATPQLEEALRSSDKK >OB04G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12601787:12603568:1 gene:OB04G24110 transcript:OB04G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38010) TAIR;Acc:AT4G38010] MPGSSLRGLLASPAPLPERSLLAAHALLTTSGLAADTALLAHFARHLASARGRQGDRSYSAFRALLLLRPRCAHPFNALISHLTHAGDPSAAFRAFALLLASSAGAGPRHDGYTLPAALKACARLGRLREGSQAHTVAEKAGFLGRIPVQNALVMLYGACGQPGDARRVFDEMTERDVVSWTALLSVFTRGGMFAEALEVLREMDVTPNEGTLASTLVACGRLGMAHAGKAVHGWYVKREKELSPIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVMISGSVQCKRSSEALELFNAMQTSGVKPDKVVLSTVLSACASLGALESGRWVHEYIERKGIEWDVHVGTSVVDMYVKCGCLDTAVSIFQKMPLKNVSSWNALINGFALHGRGREALDCFDRMVASGLHPNEVTFITVLGACCHSGLVQKGRQLFELMTKSYKLSVWEEHYGCMVDLLGRAGLIQEAYDVIKAMPMRPSVLTWGALLSACQAHGWIDFSQQILTRVHELEPSGNGVYVLLSNVYAVSDRWADVRKVRGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDVCAMLSVLMKQIYLNGSS >OB04G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12603809:12606444:-1 gene:OB04G24120 transcript:OB04G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEVVSYENPKPTAGIHRFVFILFRQSVQQTIYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >OB04G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12610570:12615069:-1 gene:OB04G24130 transcript:OB04G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06710) TAIR;Acc:AT1G06710] MAAERLLREIGEEDREVLGRLLNVLVRQCCRRGMWGEALEELGRLKDFGYRPSKVTYNALIQVLSSVGQVDLGFRVQKEMSESGFFMDRFTVGCFAHALCKEGRWMDALDMIEKEDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKELGWCKRIINMMMTEGCNPNPSLFTSLVHWYCNAKDYAYAYKLLKRMTTCGCPPGYVVYNIFIGSICGQEKLPKPDLLDLAEKIYGEMLAANCILNKVNVANFARCLCGVRKFDKAFQLIKEMMRKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVGCSPTVVTYTALIHAYLKAKQVPQANDIFHRMVDAGCRPNDVTYGALVDGFCKAGNIRKAFDVYAKLIGTSDSAESDFYFPCENRDSITPNVVTYGALVDGLCKAHKVTHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCSPNVVTYTAMIDGLCRIGENDKALKLLSLMEEKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKARLLLGEMKQTYWPKYLQGYRCAIQGFSKSFIASLGILEEMGSYATVPIAPVYGMLVDCFSKAGRLEIAMELHKEMMEVPSPVKIDNAMYASLIQALCLASQVEEAFRLYSEMTKRGLVPELSVFVCLIKGLIEVKKWNEALQLCYAICHEGVNWQGNKSFHGG >OB04G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12617304:12617771:1 gene:OB04G24140 transcript:OB04G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT5G43050) TAIR;Acc:AT5G43050] MACASKTIPIGFANCGIYGETRLLSPSYKNYPRRFSYKFLKVRAVQGNDGRRRLVDIIRIIPELSRNYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPFALLNNFKMGFTYGLFIDAFKLAS >OB04G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12629047:12630282:-1 gene:OB04G24150 transcript:OB04G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNALRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPEEEDAAPADSMPEPPPSSPSPKRAKSKRLPGAALCFPFRSVGATKPASSADSPSPTSTSRTASSSSSSSSTTTTASSTAAGTRTPAKSNSFVGTEDYVAPEIISGSGHDFSVDWWGLGVVLYEMLYGRTPFRGLNRKETFYRVLSKQPELVGEKTPLRDLIARLLEKEPEKRIGARGIKAHPFFNGVDWDRILRVARPPFIPPPPDNEDDGEVLDVEKVVQEVFAANDGGAVPGVAEKPLPEEGGAAGDEQLRDPSKDGDLAVFF >OB04G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12643260:12651350:-1 gene:OB04G24160 transcript:OB04G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAARAQHATADAIARLHLDEGAASVAADAVARPDIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMFVIVRDLSNERRATSCFFGQDEADQVSRRALVALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQNNLTEAPRNIMQEAAVLHPFAEACYTGPLLDVGRNPILFPCAWLYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAKALLRGRVCQSKREAAYFVVVLHDKKTVVIAVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLHECTGDNLSSRTVGFLPTLVGEGSECHGYKIRLVGHSLGGAVAAVLGMMLFGRYPDVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRAAAIRALSDNSPADTAMIQKLARRILHVNKYHDSGTDGGIMEDQTDSRKRATARHTFEGRAVSTDRRFPHQDASYTSTSEPDLLNLQNGFNGYNGSNSSIDEYMSSRIPDTDCDVQTISLHGLDSGSEQHHTSYRDIPVEPPEMFLAGLVIHIVRQRRSLFPLWKCWSFQGSEPPYKAFLAKRENFTDLAVTPAMFTDHLPWRCHYAMQRILEAQTSASCTNSDSPVERLV >OB04G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12663483:12672269:1 gene:OB04G24170 transcript:OB04G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHQHYGGGSGAEISLGHGHHFHQFGVEAKDGGGGGGADQSAFLARHNSSPPGFFSSPVMDNGFSSSGRAGSLGEVRHAAMSSSSNNKKMKAPLNFASRQGSLSQISEDGIPDLTDNMHGGAHGRSEENVSTDHVVRSFSGGFSIGSWEDSNSIVFSTSTGKSGVHGNDDIIATLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVEHIKGLQSQLKTLKEDKEKCTCSCNRQASRNRSSAD >OB04G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12675582:12679907:1 gene:OB04G24180 transcript:OB04G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3LZ43] MDKVEVDGNFIASGNWKLHGALCKQLHKIVLEVLDAIPVLEATRPRNSSGLLALSSLRIAVEKAKNLLQYCSECSKFYLALTTENVITKFEKARYALLESLHQLEETLPEATSSQIQGIATDLEKTVFCLDHIQRQVGADVNQLVQNEAKSNGFLDDNELEFFRQTAFRIGIASSAAALTERRALRRLLERAHAAEDMKKESIASYLLHLMRKYSNLFRSETTDFTNSSLCSSPSCSSSSLSSSIDLPGNVHAIEKPMSRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMYDPVIVASGQTYERACIDKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLTSSKCLVTNGVSTILFEDAKDEAKVGSKVASEKCTRQNSGEAPSEICEVEISPEKRPRGNSGKVARATCDLWVRALSKDYEGCVDEQREVIEQIRFLLKDDGELRNCAGASGITELLIQFLDKALCRDDFQCQVVGTMALFNLAVSNDRNKKQLLSGGVIPMMEQMIQMPETYEAAVAMYLNLSCLPEAQVIIGQSKATPVLINGLREDGYRSRTCCLDALLTLYNVSLQPSNIPTLIAAGVIESLHGVLAPSSPMTEKALAVLINLALTRAGKKEIAANSDMVGAIVVILDNGEPAEKEKAVSCLWIICSGDEGGSLMVLQEGVIPALVSLTANGTGKTREKAQRLLLLFRGMRQRELEQLQPRVELHEAVSQATAQHEQMQLQLQQQQQPATAPAKKGSELAGGGKRPTPRLRKSKSKLRRFKRALVRLLRKWGMR >OB04G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12681906:12690021:1 gene:OB04G24190 transcript:OB04G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLSPAATTTTTTSYCSYSSRAHAPTRSASAGAARFRPARAMATSDNDPRGAAPARSVAVVGAGVSGLAAAYKLRKRGVQVTVFEAADRAGGKIRTNSESGFIWDEGANTMTESESEAGRLIDDLDLQGKLQYPNSQHKRYIVKDGAPTLIPSDPIGLMKSTVLSTKSKLKLFLEPFLYEKSSKRNSGKVSDEHLSESVASFFERHFGKEVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLSTKGESVKTGGATPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACSCDEISSSGGWSIAVDSRDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKNGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKRPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPNRAPNDQYLYTSFIGGSHNRDLAGASTAILKQLVTSDLRKLLGIEGQPTFVKHVHWGNAFPLYGRNYDLVLEAIAKMEKNLPGFFYAGNNKDGLAVGNVIASGSKAAELVLSYLESHTDQDN >OB04G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12698605:12706230:1 gene:OB04G24200 transcript:OB04G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ankyrin repeat-containing domain (InterPro:IPR020683), Ankyrin repeat (InterPro:IPR002110); BEST Arabidopsis thaliana protein match is: XB3 ortholog 2 in Arabidopsis thaliana (TAIR:AT5G57740.1); Has 66374 Blast hits to 25 /.../oteins in 1201 species: Archae - 121; Bacteria - 8133; Metazoa - 29530; Fungi - 5885; Plants - 3349; Viruses - 785; Other Eukaryotes - 18571 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14230) TAIR;Acc:AT5G14230] MAVLLRPAAIAGGRQVWPVAEDHHQQLLDEAEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRGGAAADMRETHEEIRADVSPLFLAAGNGDAALVRALLVKGADVNGKVFRGYPATAAAREGRALQGQATLAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRQLLQAGVRRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGSILRMLLQNGYSSGGATHLGRTLLHHAVLCGSAGAVQTLLASGVDHEAPVKTSRSSRSRPVHMAARLGQPEILEMLIAKGCDVNARAEGGDVAAILAARHKREDCLRVLVSAGADVALLNSAGESAPSVACSGGWKGGFESIVLGVIRSGMIPRSSDRNVFSPMMFAARCGDSAAMEVLLAQPDVDVDEQDVDGCSPIIAAAKEGNVDAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFVLEKGIPGGFYALHCASRRGDTAAVRHLASTGCDVNIPDGDGYTPLMLAAREGHASVCELLISYGARCDIRTPRGETALSLARAALATAAFNKAEDVIMDELGRRLVVEGAHVKKHTKCGRGKPHGKPLRMVAAAGVLRWGGSARRNVVCREAEVGGSSAFQLHRQRRGCDAYEPGLFRVVTATGREVHFVCQGGEEAAELWVRGIRAVTRAVYGKRGKE >OB04G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12706406:12709643:-1 gene:OB04G24210 transcript:OB04G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor [Source:Projected from Arabidopsis thaliana (AT3G01800) TAIR;Acc:AT3G01800] MALFLRRGAALAARSIRAAASSSASTSVHRLPSVGSLAGAGELAPTKLFLLEARRGFAKGKKSKDDGRGDTVQDTPDIGPTVKSAATQQMEAAVVALSRELSKLRTGRASPGILDHIMVETADVKVALNHIAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASGMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGN >OB04G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12712872:12716811:1 gene:OB04G24220 transcript:OB04G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMGLWESVRTLFGEGGNLGCLPRIGKKGSSKDLHSYPMDHEKRKGSDSAAPEEVVTVEVPEVPVRELNEITSFFSSENLIGQGSYAKVYRVLMRGARPAVVKKLEKPSKHASNIVFLKQLSVASRLKHENFVRLLGYTISGDLRVLVYEYASMGTLHDVLHGPRDGQGWGQAEGTGGQAAAVATSPALSWEHRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQDCIDPKLGDQYPPTGALKLGRIAVQCLQYDPTFRPSMGTVARVINYAVVRDQQGVV >OB04G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12714171:12721323:-1 gene:OB04G24230 transcript:OB04G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGGMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALHFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTKSFGLAVTTIAYIYTFIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISIVFISMHQFFSPLAKVKDDKPAGTLEPEDAQNHKLSDSSFVNMTASAAADASHLDATDERKPLLPI >OB04G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12727358:12729319:1 gene:OB04G24240 transcript:OB04G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLSYLRSVWPISALLKEDDLRASARLMRGLPVPEETKQFVLALREPDSRGVIYILAAQNLSERSASDADSLIRQVRPRVVVTQVAHTAADDVRVEEDCLEGGGAAGVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHLLAAKRAAEEAGSCFLLLESPYERSCNGGASGSQTTMEESSGQQLASSCSLSQSATDCDTCGQVQGSCLLAQSTTSIVSSRVRKICLMDDIGGQLVKSLVPSVNLLMSEAISSDGVSECKRTECMPANRYKVPPFAESVYPLLADLYDIFVDIPSIGKAMASAQELLKQVHDGKPITSEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHGSKALDFSELSSEEKCHILLVQALRSQLRESGSVVAIVDASCLAGIRRHWDTPVPPEIAQLASSCFKHYSNGNDSEENEVLSVDNTDKKRWVADKPVVVVGAGGTALLGFSSLSKTVHASAFLKLAPYKTPMILKYGLIQLQRHAAIVLSKVLSNGVFGASSKASVLQLTASSEKIRAVTHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEAAPSVPMIATLGRGLESLRLTSQEVRQTRGQHVKEALGGLMSNLKKAK >OB04G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12727423:12732467:-1 gene:OB04G24250 transcript:OB04G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRASPTFLPSTSAASPSPQAPPAPIFGRSHRRGVVQLVSSCSPVAGQRSGSAARRSVMAAAGAVPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >OB04G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12737858:12739560:1 gene:OB04G24260 transcript:OB04G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLKVGGGEDGQPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVGGPAVMVLFGAVIYCCSVLLVECYRSGDPATGPRNRTYMDAVRASLGGFKVRLCGVLQFANFFGVCVGITIASSVSMLAVRRAGCFHVRGHDQREACGGSSRPYMLIYGGLQVVFSQIPDIHDMSWLSTLASIMSLSYSAIGIALGVAQIVANGEVRGTLTGVFVGAGAGVTSMQKVWRSFQAFGNIAFAYGFSFILLEIQASGAAAVDGDEGDEEGGGGERGHDDSGLPDVRVRGVRGVRQRVAGQPPHGLRVLRALLAARPGQRRRRGAPRRHVPGGGAAGLRXXXXXXXXXXXXXXXXXXXXXXXXCVTTAASTLLPFFGSMVGLIGAASFWPLTVYFPVEMFIAQRRVPRGSAQWVSLQALSAGCLVVSVAASAGSIAGVVEAFKAHNPLCWTC >OB04G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12743463:12746701:-1 gene:OB04G24270 transcript:OB04G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFVDWRGNPINRKVHGGVRAAWFVYFLTVVTSIVNVPNMLNMVTYLHGTMHMGVSSSSTTVTNVLGATSGFALLGAFLSDSYITRARTILLFGPLEFLGYGLLALQAHLPSLRPPPCDAEAEASSCEEIHGRNAVLLYAALYVGAFGDGFMRACMPPLGADQFDRGDPSESRQQSSFFNWYTFGISLGGSVGLVLVVWLENSEGWDIGFGACALLILLGLLVVAAGLPLYRNHVPEGSPLTRILQVLVVAFKNRKLQHPEKLEEAREGSVTEQVLTEAPSQTSSSLKFLDKACINGGRDGAWSVCSRKKVEETKAVLRVLPVFISSLIGYMSNPLLFTFTVQQGGMMNTRLGKIHVSPATLFIIPSAFQMALLPVYDRFLVPLLRRRTGYASGVTHLQRVGAGFAAVVLASAIAAIVERKRKADPEQMSLFWLAPQFFLLGVSDVTSFPGLLELFSSEAPRGMKSIASALFWCELGLSSWLATLLVQVVNRATRRRGGGGAGGGGGGATLNSSRLDLFYWVVAAVGLLGFLNYLFWASRYKYRQDPRLVDEPSADQDSP >OB04G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12748376:12754945:-1 gene:OB04G24280 transcript:OB04G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVCVETDCQTVVSAWQEEKEQRSAVFQIVQEMKQLCSVFQGFEFLFVRREANRAAHVCARHALSSSITELCFDIYRSVFGGAHREGILRGECALDGCVLKSGILMALRSCPSGANFSLVAYFHGTLHLDIVTSSSVITYLAGAVSFFAALMNILSTAHIKPTAAIFVSGPFPVMGYMLLPLQAHLPSLHPPICEISKDPSKCEPAQVWSLTLLYLSLLVFAIGEGCMRACVPILGEDQFGNDGPQESHLRSKFLSWLNLANSLGALIGLVFLVWIENNLGWDIGFMLCAVTVLVGLLITAGGLPFYGMRKPNGSPLTRILQVFVTSSKKRHAAIFDVVELQEISTSDHVDGDGDNKSDSNNICTTRVDQGTGVMTQMLPIFISCVLIYLPFTLLMTLTIQVGSTMDTGIGMIKIPSASLIAIPTAFHMLMQPCYRQILTPLLRFTGHTNGIAPLQHIGVGSAFGAVAACIATLVEAKRLMVVEQKGLTLAAAGVPMSIFWLVMQFFLLSIMDIACIGGLVEFIKSEAPDPKAKHIAQAVQSLLVGIATWSGCAFVQFVNRVTRHGDNGRGWLDGTNFNRTHLDRFFFLLATLELVAFINYAFWARRYANKKGVSTIRLDSNNLGA >OB04G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12758828:12761677:-1 gene:OB04G24290 transcript:OB04G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGFVDWRGNPIDRKVHGGVRAACFMFFLSVMTNMVNVPNILNLVTYLHGTMHMGVSSSATTATNFFGATSAFALLGAFLSDSYITRSRTILLFGPLEFLSIGLLALQAYLPSLHPPPCNTEADLSNCEEVHGLNSVILHIGLYAWAFSEGCIRACMPSLGADQFDHEDSSESRQQSSFFNWFTFGISFGSFIGLILIVWLQNYKGWDIGLGVCALLILLGLLLVAAGLPFYRNQIPEGSPLTRILQVLVAAFRNRNYELPEKLEEAQENSNGLNSIEVPCNTDCLKFLDKASINHGEDGAWSVCSTMKVEETKVVLRMLPLFISSMVGYISNPLLLTFTVQQGSMTNTRLGKIHIPPATLFVIPITFQMLMLAVYDRFLVPFLRKRTGYASGITHLQRVGLGFGCMIVASVVAGVVERKRKAAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPKDMKSIGTALFWCELGLASWMGTFLVQLVNRATRHGHRAGWLEGASLNSSRLDLFYWVVAVVGLVGFLNFLYWAKKYVYRHDPRMVTPSVDQDSP >OB04G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12765105:12769821:-1 gene:OB04G24300 transcript:OB04G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) TAIR;Acc:AT2G43330] MTIDLSMPGSSGLLDAVGEKKHMKFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVKDNYFLQETIVSMALVGAMLGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAPGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAVLQFVLMLFLPESPRWLFWKDEKAKAIAVLEKIYDSDRLEEEVELLASSSMHEFQSDSTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNASGTIVGIYLIDRCGRRRLALTSLAGVVLSLVILAMAFILQSSSGLCLSALDGACQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGWVGTGPTFLIIAGIAVLAFIFVAMYVPETKGLSFEQVELLWKERAWGNQGNRQSLLGAAP >OB04G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12780126:12784806:1 gene:OB04G24310 transcript:OB04G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDRELTCGICFESCPRTSMSAAACGHPFCGACWRGYISTSINDGPGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAAEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSTTSKSLGGKSKSGKSRASNTSSKTGGSSRGVDESNIWTCDHCTCANPKSARSCQACNNQHR >OB04G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12785634:12786431:1 gene:OB04G24320 transcript:OB04G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVPPMAFMMAMLMASSLLVAPSTAHGDHRSPASAPDAPHHSPAPSAAHSPAPGPHGHGHHAPAPAPVHADQHPQAPEPHHHAPAPAPVRADQPAANAPSSHQHQHHHHGAAPAHALTSHHHGHHHHHAPAPVHASETAHAPSPHSHAAPAPAPVAGRPTAPETSRAPAPAPSSHVQSPAPAPGTGRHASPPSPDQGAQAPSYYGHYPSPAPAPAPESTSAAVAVAGGAGLLAVAAVALLL >OB04G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12788793:12800666:1 gene:OB04G24330 transcript:OB04G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3LZ58] MARPEEKLRCTKEPFIEDAGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNQEMRPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKGCSRVLLVEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRCPWCGFINGVAKKGRAGLIILHDCSKTLDGSTEELKEALSHKKEKLSFSAVHMLDPATVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKCFDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQTDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFTLLCSYLGDAMENIDLPTPALVKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYSKSRETMCPNDGFVYFRNSELLSGQVGKKTLGNGNKDGMFSILVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVRPGEHLDQQKKKKIDEGYKECHDLITSFSKGALTLQPGCNAAQTLEHKITHVLNKIREAAGNICMDTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGHRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYNGLTATEFFFHTMGGIYIVLPATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGEDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLKDFLEDRIKLLKSTRRALCLDENHVGKDSSIEESIAANISGISAKQLQVFLDTCLSRYHSKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSGKDVLSARVVKGSMEKAVLGEVAEDIKIVLKSSQPNLVVKLDMQRIEDLHMGISADSVQLSILNHPRIKLKSEHVRVIDKAKLRVYPTAIDKSKLLYELHNLKSMLPKVIVKGIPTVERAVISQTGDKYSLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMTSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >OB04G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12807739:12808071:-1 gene:OB04G24340 transcript:OB04G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEGSESNSKPPPLLSSPPLPFLAFSGNQDQPTGFFAFVFPHKMEFLRQSRSLPFPKSESQTRHAKTRAARGRHCAGRGGYIGGDPWKLRRFCHGSPSPSSRGSASE >OB04G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12807878:12811306:1 gene:OB04G24350 transcript:OB04G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSLLVQCFPGLLPSKATSCVPIVSEKDLHLSSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWEELHTILSVVQPPAVPTNLSFSEDDFMDGCSSHDGSSIVGQDNIPRRSRKLSGSRAWERASETDQSDGGYDVILISELPYAVNSLKKLYALITKCLRPPYGILYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTEVSDREIWKFFFK >OB04G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12812519:12828518:1 gene:OB04G24360 transcript:OB04G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) TAIR;Acc:AT3G59410] MGHSARKKKKKKGGAGRKAAKDHAGQLEGDQAALADELTALASIFIEDFKVTSESPHTRFSICIRPYSDGMGFGDSNVSATLDVTCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANNSASTAPWLGSGTALQTTDVDLEVKLDNGSYHGVSYMYNSFDLYSQLYDGGNWNAQGSHPTTDSGRKNIGSQVKSNVRSKRKTIVEKSRVSSDKVNVAKGLSQDNADQKNITNHGTIQESLPNLHVVAEETENDSIVSTSNRENTSGTPERSFSSVHELEDSDLADEAWNDEDSGSDSGFSNVPSSVSDSFDDASRNKRKDLIMVHLLRLACGSKDSLSAALPAISSELCNIGILSEWAKDLISESPAVFGETFGHFFGPQMTSSECSLFWRADNSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNAAGSRTAESSMFSYDDISLSDAGGVNKEESTYLYIQMEYCPRTLRQDFETYTLSFDAYHAWHLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYFPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFPTAMERHLVLSDLKQKVDPPLSWATQFPGQSNLLRRLLSPSPSERPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIGKTQCQHESSKKSTGKSDNSELLDSIIEVSKEVFKRHCAKRFQIPPLHTLEGKFSENKGKTVKILTQGGEMLELCYELRTPFVISVAANQILSYKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDRKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFLSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLRKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSAFLKCLRIWSVEEHITIDVLMPPSECYYTDLFFQVYVKEGNPVASSHEKLLAIGGRYDWLIEQAWDKTCKTKPPGAVGVSIALEKFLPNNPSSDVGFPRIEPSISVLVCSRGGGGLLNERMELVVELWEANIKAQFVPQEDPSLQEQYEYANDHDIKCLVFITEAGVSQTELVKVRHMDAKREKEVKREELVKFLSDAICLQFKNPTIWS >OB04G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12832724:12833518:1 gene:OB04G24370 transcript:OB04G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQVPTAPAAMPSPPSAPLVKFPLLPRGLLSYLPANLSSILFLPRAACASTCEPAAAASPPPTTTTKKTTMPPAPASPAKKMSPPGAGAQEQQAGSKKKKTADAAELARVFELFDRNGDGRITREELEDSLGKLGIPVPADELAATIARIDANGDGCVDVEEFGELYRSIMAGDNKDGGAGEGAAEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >OB04G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12836815:12840620:1 gene:OB04G24380 transcript:OB04G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G25440) TAIR;Acc:AT3G25440] MGSRVLSLRRMERRWRWPRSRTTYPSSGAVSSAPLDGRMGLRAPRPCSPSYTSHKVLHRDPGLFSRWQSYNLQTYFCFHTSRPINCPNHTIAEPQQKPGDAVSVDESGRPKAKRKKLKGRRAVIKFLKSLRWKKKREFQRMTAEEKILYKLKLARSKEERIVAALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEENTIIMYRGKNYAQPPPEIMSPRVSLSRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLAGVHRGLSAAKDITCISGSVNSSSSKEYSVHKRSVSDLLSESIQGSARLEDENYEVDNGSTSESYTYSESEDLSDIFETDSEEQVEVSKEKPLYLDRLDKFPSENNDNEPDDFEEHLRKIASLSDKTDSSAKELKVSELDEIDKIFLRASSLLKKR >OB04G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12840481:12841482:-1 gene:OB04G24390 transcript:OB04G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAAAVEKAAFIFCVEDRALFCRDCDEPIHVPGTLPGNHQRYLATGIRVGFSSVCSANADQLPPPAPKGSSKASAGGVAAGAGAPKPAVSAPAQEKGSPLGFKDLEWLDDIDLFHLQSPAKGGSTAAEVPELFASPQPASNMGFYKASGARQSKKPRVEIADDDEDFFIVPDLG >OB04G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12847556:12848944:-1 gene:OB04G24400 transcript:OB04G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFSGKAAVASSSEEFGSMTSKKPRNTSPRGAPVSPKEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVSSCSLSIHFHFLDNESIHFLVLSSPYMRAPPVPGAVSEDPEHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >OB04G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12858724:12861558:-1 gene:OB04G24410 transcript:OB04G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIRRTGGMSYFQATTYKPHNGIVVDKLAVRLGSTCKLLHQRAICSHFSRSSKLREHVYPRLLVAACHQGIDPLYASSGKENSERVNDPFSMESLNKAIAGAKKPWSIQDMLMAQISKIKGSGSGGNGGNKNRYGGSGDGSEDESLTESLYEMFQVLLATIAFILMYIHIIRGEELYRLGRDYTRYLVTGKRTSRLKRAMLNWHNFSEGITKKDSTQEETFEGSITSEPMWWQQPLKFVHRIEELCRGYLRPHVQES >OB04G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12863626:12863996:-1 gene:OB04G24420 transcript:OB04G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLGLALLLSAARPAAAQDCGCDPSLCCSKWGYCGLGGDYCGEGCQSGPCYGGGAAAAGLAGRKVGVDVPESHNGNN >OB04G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12868233:12869524:-1 gene:OB04G24430 transcript:OB04G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPTVLAFLALGLALLLPAAAQNCGCQPNLCCSKYGYCGTGNEYCGEGCRSGPCSGSGSGGNTGVPVDSVVTEAFFNGIKNKAPNSCAGKNFYTRQSFLNAAGSYSGFAKGRSNDDSKREIAAFFAHVTHETGSMCYIEEINGASRNYCDSSNRQWPCQPGKGYFGRGPLQISWNYNYGPAGQSIGFDGLRNPERVAQDPVVAFKTALWFWMNNVHQVMPQGFGATIRAINGALECNGKNSGAVNARVNYYRDYCRQFGVDPGGNLSC >OB04G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12879488:12882299:1 gene:OB04G24440 transcript:OB04G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANNGAPVVALLLLLVSAAARAAGDGLLQNGNFEYSPNKSQMNGTRVMGEYAIPYWKVTGFVEYIASGQKQGDMLLTVPEGAHAVRLGNEASIQQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQTDNMLKNGDFEEGPYVFPNARWGVLLPPNTEDEHSPLPGWMVLSYTKAVKYVDSAHFAVPHGARAVELVSGLETALMQEVPTVPGRSYRLEFSVGDAGDSCVGSLQVRAYAGEGCTTVPYNSQGTGGHTRASLEFAAVADVTRVVFVSSSYITKWDGTLCGPVVDDVSLVCVSQQAPPSRRLLRL >OB04G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12885990:12891578:1 gene:OB04G24450 transcript:OB04G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIEMVQSTGCVRLLLLVSVAAQSASAISYGLLPNGNFEEAPDESQMNGTRVTGRYAIPKWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRQGNEASVRQRLALTPGMHYSVTFSATRTCAQSELLNITATPEIGEVPIQTVYTSSGWDSYSWAFKGKRSDVSLIVHNPGVTDDAACGPLIDSFGIKTLQSPPSTNDNLLENGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILGYTKSVKYVDAAHYAVPGGARAVELVSGMEAALVQEVSTVPGRSYRLEFSVGDAGDGCVGSLSVQAYASRGSVKVPYESQGTGGHSRASLEFTATSKRTRVVFVSMAYTMKPDGTLCGPVLDDALVVAIHSHRRFLL >OB04G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12886314:12887562:1 gene:OB04G24460 transcript:OB04G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRVTGRYAIPKWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQKLGLTRGMHYSITFSAARTCAQSEVLNVTVSPESGDLPIQTVYTSSGWDSYSWAFKAKHSVVTLIVHNVGVSDDAACGPLIDSFAIKTLQLPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPRDEDDYSPLSPWAVLSSTKSVKCIDSAHYSVPGGARAVELLSGMETALVQEVSTVPGRSYRLEFSVGDAGDGCAGSLAVQAYASRGSVKVPYQSQGTGGHKRGVLEFTATEKRTRVVFVSMAYTMKTDGTLCGPVIDDASLVTVRTHRRLFL >OB04G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12892686:12894023:1 gene:OB04G24470 transcript:OB04G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTARRVVALLCLLIGLPARAQADSAVNDGLLPNGNFEDGPDKSQLNGTVVTGRYSIPNWEISGFVEYIESGHNEKDMIVAVPEGARAVRLGNDATIRQKLSVTRRAYYSITFSAARTCAQKERLNVSVTPEFGVLPIQTVYTSSGWDSYSWAFKAKHSAVWLSFHNPGEEEDPACGPLIDSVAIKTLYPPRRTKGNMLKNGDLEEGPYIFPDAGWGGLVPPIFEDEHSPLPGWMIMSDTKAVKCVDSAHHAVPQGARAVELVVGRETALVQEVATVPGRPYRLSFSVGDAGNGCRDSLAVEAYAARAALKVPYESRGTGGHKLAQLEFAAVANLTRVVFRSFNYHTKPDGTLCGPLVDDISLVRGRRRAARL >OB04G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12892902:12893651:-1 gene:OB04G24480 transcript:OB04G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHALDGLRVRHDHPPRQRRVLVLEDRRHQPTPPRVREDVRPLLQVSVFQHVPYVATRRVEGLDCNGVNERAARRVLLLAGVVEGEPHGAVLGLEGPGVRVPAAAGIHGLDGEHAELRCDGHVQPLLLGAGARRAESDGVVRAPRHAKLLPDSGVIAQPHGARALRHRHDHVLLIVAGLDVLHEPRDLPVRYGVAPRHHRAVQLGLVRSVLEVAIW >OB04G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12902962:12903180:1 gene:OB04G24490 transcript:OB04G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQRGKILAVLVLFVVVSSTVEAARLLPGEQGGHATTTTTTTVSLHERARSLLMAWVAQLTSGPSPKGPGH >OB04G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12904113:12904673:1 gene:OB04G24500 transcript:OB04G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQAARISSPRNESPIYPHPEQHLDQPAPLAESPTPPAPRWASAPPSAWTPRSGARRRSPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGLEDASELSRMQFREFAAELFREAVLSAAAQAALVRAPAGAAGLVGVGLATRAGAGAVGRLVAVYTAGVAAAVYLSLG >OB04G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12912756:12914989:1 gene:OB04G24510 transcript:OB04G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSSSSSVRHLLLLCLLCFCFALAVSQQQPSSDSCSSAGVAVSHLVPFNSSAFRCLTVWKQEDFVLRYKNTGESQWSFILSAPDKGTYVAVGFSGKGLMVGSSAVVGWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVKNKAVAVSHSGRLYLAFELYTDLPQPHLIYAVGPDGNLPPSDGVLPMHRSMHSHAFNYTSGMASSSGGSGNGGFPPERRHGLLAMMAWGVLMPLGMMAARYFRRVDPYWFYAHMAIQVVAFAVGIASAVLGFRLNDDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRYWNWYHHYVGRAAIVVAIGNIFLGLHIAQEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDDD >OB04G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12915820:12919096:-1 gene:OB04G24520 transcript:OB04G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMLFLEAGGKLESPEPAAPLPPPPAPPRHQHSMSMDGSTSLASSAAGVAGRMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQKLKVATGQVNTSCGKVGNFGLSSFGSANPQGYQRSHIQSLLAAQQLQQLQIHSQHQQQQMHLQQQQQHLSTLQQQLLQESLPLPGDLKMKGIAAASHAQNAGASESHALRSEP >OB04G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12921012:12925284:-1 gene:OB04G24530 transcript:OB04G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGGGGGANPEEQSTLDELLVKYASDAPVIRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVSDSSSKPPVHIAGRPNVPPFQLPALPIDDDEISSKAIGGPTGELLETNAQVLSQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPRPTVPMQ >OB04G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12927293:12935595:1 gene:OB04G24540 transcript:OB04G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNMVGRSSCSKNKVFGSLAVENIPEIDPSYRLFLEHLRDDGHGYVLDAPRGDHGSPVFLRYAEDHVSSGHTRAKSGTKIPNNSFHRRQCDPNGEGLGLTSEEAVNVNVGHSFSSGTSCVAETSEIDESYATFLRLLKIKDGLMVIEPEPGVTIVYGQAEETPPGYDELNRATSTNERHHSLTTTLENMDEENATNTDGDGLVKINTSISEREMEVVELENTRSQDLVCTDHSGFPPYTELSDLNVCVDDQGEPLALSCGISSTFDDKLNAVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATGAVGLSYLDHYPDLAIQVDSAESDERKLFLLRKFFFWLENLCHEGAYMPWIDKPLACNPIDADDYERDSDPTASIEIIQDEED >OB04G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12944133:12944372:-1 gene:OB04G24550 transcript:OB04G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSEESIIDQGSVLEVLLDPKRIVLLSKKKKVIHNLKLSTEAIKSDTCNQLKVPTTNCQQVHLHQIHSYQTKSSLEQRTPH >OB04G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12943914:12949728:1 gene:OB04G24560 transcript:OB04G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNHGGCNGNIGSSEVHSEDPYSTAAAMNFDSYSEMCNPSVADQLFSLLNDPAANRMFAMWSSSMGSSPRGGGMSEDMMLDTYSGLGEAAEEPSQIMSLNPTEAERMGNRSGELGSDNGAHQWSSIVPRSVVGNSLADRMLMALSLFRESLGSGALAQVWMPIEQEGHLLLSTCDQPFLLDQVLAGYREASRKFVFSAKEEPGLHPGLPGRVFISGVPEWTSSVLYYHRPEYLRMEHALHHDIRGSLAMPIYDADKDSCCAVLELVMKKEKPDFSAEMDNVCNALQAVNLKAATDSSNQKFYTENQKVAFTEILDVLRAICHAHMLPLALTWVPTSNGIDGGYVVGKDSTNHSQSGKAILHIHESACYVNDTNMQGFLQACANRHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPINCRGSEEQQMLLNNLSSTMQRICKSLRTVYEAEVGKVNACTAAVERKTNENCLPTGHTESSSHGDQPITEASFQGTSIANKPGAMEPELAEQVQSSSIGHAEKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSMPEKSAFPSLDALPTPSVGKTVEDPKSEQGYSSPDGLQRQCCQLQISDEKKSNDNKFHIGNGNSASYGTNATAKSNSEGTKSPLCPTGAFSALHLKRTDYANPSGSLQPSSECTRDQIVGRISPSIQQADLDMLDDHAAKDKDNMHASTSGTTDSSSGSASSHSTFKQNIRCALKDTTSPALTVKATYHGDTVRFKFLPSMGWYHLLEEIAKRFKLPTEAFQLKYRDDEDEWVILANDSDLQECVDVLDSIGSRNVKLQVRDLPCNIGSSGSSTCLQLEAHSS >OB04G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12960979:12963903:-1 gene:OB04G24570 transcript:OB04G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEALLPLFRRSPATSRILNISSQLGLLNKVSDPELKRLLQDEERLTEAGVEGMVSRFLSQVKDGTWRGQGWPKVWTDYSVSKLALNAYARVLARRLQARGDPVSVNCFCPGFTRTDMTRGWGKRTAEEAAEVAARLALLPPAELPTGTFFKWCTPQLYSKL >OB04G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12976902:12977213:-1 gene:OB04G24580 transcript:OB04G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVIVSILQGAAAVLAFSRTSDFLADGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPMRRSAKGGGGDGAGNWPWPFQV >OB04G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12981068:12986179:1 gene:OB04G24590 transcript:OB04G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G16260) TAIR;Acc:AT5G16260] MEGGGEPGWYVLRPNQEHIGPYALSELREHFANGYINESTMLWADGRSEWMPLSSIPDLLVVIQKKDQPDEGIEDDFDKFQKEVIEAEVEVEALKDKAADSNLNQEDGANDPDERPATPPDGEEEFTDDDGTIYKWDRVLRAWVPQNDLAEKNDNYEVEDMTFAHEEEVFQVPDVSGSTTLEENNASAEIEIKEPTKVEKGADKKRKSSEKPADKKEANKPPESWFDLKVNTHVYITGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDKETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSQAKFEQKGDVFITKKADKQKKRKSKKVEDKILGWGGHDDKKVTIPSTVILRHMFTPAELRADETLLPELEADVREECMKLGPVDNVKVCENHPEGVILVKFKDRKDGIKCIEKMNGRWFGGKQVQASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >OB04G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12987159:12989328:-1 gene:OB04G24600 transcript:OB04G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLALGRNHSRSKSSSSKKEKKDKDAKKRSKRRRKHRSSSESSSSSSSESSSSDDEDRDSRKSRSRSRLKRTKKDKKQRSRSKHSDNEEEGPVRLSKFFGNPKK >OB04G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12990712:12997039:-1 gene:OB04G24610 transcript:OB04G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTTIPILLRHSSISTFGQEKFGREVEQSTVPQNSRESNYEKSQDILRKRSLLGLTNGSLYHPTRVASLAFYESKAQNFGFSMGARYFLQSVRPTSSTAGQPKVDILDERSESQKQKQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDHSIIQKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLTRRERQQLTRTTADMFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLKMQEELIKEEEKRQEKEEKTRLEEPKATEEDLALKEMTEPSAREEEELKKAKVEHDRNEQLCNISQALAVLASASSVSKEREEFLKLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEVAAGENVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPEEVAAAANYLKDTIGKEGVQELISNLSKDKEGNILVEDIVKLASQTGESNEHEEAPRQ >OB04G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:12999593:13001287:-1 gene:OB04G24620 transcript:OB04G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNRKIVLRNYVTGFPKESDMELVAAGGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSKSDGSSYVHGFVVAEPITGYGVGKVVDSRHPGFKAGDYVWGMTGWEEYSLIKDPTGLFTIRHPELPLSYYTGLLGMAGLTAYYGFHEICAPKEGERVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVTLLKEKFGFDEAFNYKKEKDLNLALKRCFPEGIDVYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLDRPDPIHNLTAIITKRLRIEGFIVSDHYDKYREYEEKVARYVKEGKIAYVEDVTEGLENAPAALIGLFSGRNVGKQVVVVAKE >OB04G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13005512:13006397:1 gene:OB04G24630 transcript:OB04G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVKTTLFNIFNGQDIGCDLDGMTNSSKFWVTPHGWILVHDTASMSTFLFNPRNRDEKIQLPHLTEDLRRTCACVLSCKPTLPGCSVLLVAPDTTVIWQCCIGGKEWARHEYDIGTQLIDPANDLHEKVPICPIAACRGKFYFNSENFVDIGVLEFSPMPVFGSLKLAGEFEAPTRAKFLVESEDDLYMALVYGIGCDMIDCETQVHKMDFSAQQWRRADDLGGRAFLLASCYFGASCSADEHGLEADCVYMLYHGDEACLKISNVKNGGVEFMEVPAARRALWLLPADP >OB04G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13006939:13010903:-1 gene:OB04G24640 transcript:OB04G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65610) TAIR;Acc:AT1G65610] MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWILWVLLAAFILIGLPVIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQVGIAKVNSTQPDDHYCWNRPEDMAYPRPVQTASSAPDLGGEMAAALAAASIVFRDNPAYSKKLVNGAAAVYKFARSSGRRTPYSRGNQFIEYYYNSTSYWDEYMWSAAWMYYATGNNTYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLIGYHNTTSINMCMYFPRFGAFNFSKGGLALFNHGKGQPLQYVVANSFLAALYADYMESISVPGWYCGPNFMTVDDLRAFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGVKYSCTGGDKWRDSKGADPNLLVGAMVGGPDKNDQFKDARLTYAQNEPTMVGNAGLVAALIALTDSGRGTGAQRPWHGRRRRRQEHHVLRRAAHVPGHAAAAVQVEAVRDSPCLVP >OB04G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13015033:13018357:-1 gene:OB04G24650 transcript:OB04G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase [Source:UniProtKB/TrEMBL;Acc:J3LZ90] MAASPATTSSAAAAARLSSSSLSRLPHAPLRAARGAVSFPRSSRPAALVAGARTSRISPVVAVAAGGGGGHQRLMGSLTNTQGLRFGVVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAIEMASLFQHHLA >OB04G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13021292:13025755:-1 gene:OB04G24660 transcript:OB04G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTATAAALDEAKAKDVLRQVEFYFSDSNLPRDTFLRKTVEESEDGLVSLALICSFSRMKKHLGLDADVKQETMPEETVLAVAEVLRRSSALRITEDGKKVGRAIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHVTDKRHFCGTALVEFSEEEEANAALKNSLVFAGADLEIKPKKEFDTEREAKKEAYEKSHPGRNGHDEGYPKGLIVAFKLQRVQVGDGMMENGGDKVSDTDDASKSGTGHEEKIPENSDIKEEVSGDVEKSKEAASGEVAQSDKKGESPSENADDPISREDFKEQFGKFGIVRYVDFSIGEDSGYIRFEDSKASEKARAFAALADEGGLIMKGHLVTLEPVSGQAEKDYWNAIKGGQGKYRDNRSSRGRDWKNNRGGKNFGGKRGRHSDGHDKASNKARKVDAAA >OB04G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13030707:13031492:1 gene:OB04G24670 transcript:OB04G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSAVVGGAYWGLAARACDACGGEAARLFCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPRRCGGGGRRRREQRRRGRVVAPPRTRPRAERWRCRCNWRVLRRLRPLPRPRLRALHGRHQIHRRAERSARARHHWRQAFLLRPLHEPQRLILGGGGGAGRGGGRRPDAGG >OB04G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13031663:13032222:1 gene:OB04G24680 transcript:OB04G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKAIGVPVAPPELDLATGSLFYPEHSMNHSLSSSEVAVVPDALSAGAGVAPSSMMVVVASKGKEREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRIPGGADADADGEDEEMYSSAAAAVAALMAPGSDADYGVDGVVPTF >OB04G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13034702:13037352:1 gene:OB04G24690 transcript:OB04G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATATLLHPKPPQSPRLLRLNPHYSGLRLVHPLLLITTSPRPASGRHLSPPQDAGRRPSAKIHASAAASFPASPTPPPRPPRTEPPEEPSTVARAGRSKKHRKPSGGRIEGSGDVRREAKSRARIRSRRMAENAFYRRKRRAAKENQADAFTDAELEMIGLGYDRSVRFMDGPDDPRLRHPHDWYRFGRYGPYSWRGIVVGPPIRGRFSDDRVSLMSEVSDHDEWDRVEQFDMSNQFSNRLNELDAAVGFRYYWVFVRHPRWRPSELPWEQWTLSAEVAVQASKEERLDKWSLMGRFGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQKDFYSQLRPLVDPATENQFLFDLEHDGQVIRTTYFGGLCRIVKASPKAYVDDVVNAYSKLSDADKNRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDDNESDNEGGDDSETEVVDWVEDDGFDEDGGIADEEPGDDDEEVIDVGEEVETEEVESDEESEKYWDEQWKQAMTSSDKMEKLVKTSIEASNEYNRRQAEQEKEMEWKMARLNTMVMEQEQTEDEDEQQESSKSRGANEKGKKGKAPPGSFLRAAVRPFTYRNLVKEIVLMRHFIVDGEIV >OB04G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13040661:13041653:-1 gene:OB04G24700 transcript:OB04G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPVPCLALQPQQHSLDAVKTTLFNIKGQDIGCGLDGMTNNSKFWVTPRGWILARDTASMSTFLFNPRNPDEKIQLPHLTEDLRTTCACLLSCKPTLPGCTVLLVEPNATVIWQCCVGGKEWARHEHNVGTQLVDPASDLREKVPMCPIAACRGKFYFKSESFADIGVLEFSPTPVFSSLKLAGECEVHLDTAKVFLVESEEDLYMVGLVDGFGWDLIDLMDYRILVHKMDFSVQQWRRAYDLGGRAFLLASGYFGASCSADEHGLKADCVYMVCPGDTTYLKITSVKDGWIQLMKVPAAIRALCLLPPLIHSLFFLVKEKGVSRFQR >OB04G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13045821:13049223:1 gene:OB04G24710 transcript:OB04G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) with plant pleckstrin homology-like region [Source:Projected from Arabidopsis thaliana (AT5G57770) TAIR;Acc:AT5G57770] MEPDRSLIACEEPPLEPMDLLSSAWCSSAIQVLQTGPMDCAMALVENPVMAPDNERRDLLPKNDRSLVVDSSSFSTTQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAENSTPAASMKETAVASATPPWPPRTPATSSRSPRPPPPDYPVTALRGAAALRGRRSGGGGSQNERTDQASSALSQEDLDFDFNYGRSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVLRIKKMNLVMAFSNAKESVIHDMQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRSRQ >OB04G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13051091:13052686:1 gene:OB04G24720 transcript:OB04G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPKGSCTRHAIASIPAACPSAGPWLMTMMMLSSMVGLLVSWMEKNTTMTQVSTLKATKCPPTNSAMATTIQNKHWPGHSELALTTLRHLGEQKQRHKSKPEDSSATDEKTLKQET >OB04G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13052329:13055505:-1 gene:OB04G24730 transcript:OB04G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAHALPRRRRRPPPLPIRLRLGPPLRDAAIPLLASGPWSPVSDPHEMAEIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWVEKWNEQRENGTFAGPL >OB04G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13058556:13061344:1 gene:OB04G24740 transcript:OB04G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNFSDRPAPMGVLSVADPPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLRALSRAQIDSVLDIARCTIVSELSNKDFDSYVLSESSLFIYTHKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAILNRYFGDLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKKKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDASALTYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQTFDATEDVAVVGSPKSVLHCFEAENMVNPAPVKDGKLRNLLSWGEDALEETDGV >OB04G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13064484:13065796:-1 gene:OB04G24750 transcript:OB04G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDYTFENIWNYYGYTQIQPDRCRFNIGLGKCTRNQNYSNNPIDMTGGPSVPVSLGRRDGLVSLASNVRANIIDTGFSVDAMARSFAAKGLTLDDLVTLSGGHTIGSAHCTTFGERFRVDANGSTVPVDAAMNTDYAGELIRACSAGEGTGAADGGRFRVDANGSTVPVDAAMNTDYAGELIRACSAVNGTVSSTAAVDCDEGSALRFDNAYFANLLAGRGLLRTDAVLVQNTTTRATVEAFARSEESFFASWAVSFARLTSLGVRTGADGEVRRLCSRVNG >OB04G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13065219:13067915:-1 gene:OB04G24760 transcript:OB04G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHDCFVEGCDASVMIEGSGTERTDPANLSLGGFNVIDAAKRLLEVVCPATVSCSDILVLAARDAVTLVSIMYTNSCQYARNSFKP >OB04G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13067597:13067749:1 gene:OB04G24770 transcript:OB04G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHGISFLTSTKQSWKSILRSLPGMVESNVEADLTTSLTASSTLGQDAA >OB04G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13072205:13075577:1 gene:OB04G24780 transcript:OB04G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48B [Source:Projected from Arabidopsis thaliana (AT2G03670) TAIR;Acc:AT2G03670] MYPLLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTMIDSISVHKPHAGEGEKFLREAFTEAYSQASQDRPAVIFIDELDEICPPRGTRREQGSRIVGQLLTLMDGNKKSSKMLPHVVVVASATRVDAIEPALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQYVAASCNGYVGADLQALCREAARHAYGRLSNSSESENVLTLIMDDWESAKSVAKNSVTRGVTKEIPTVSWDDIGGLKDVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAACAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEVDAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDVEGRYDILRIHTRKMPLGEDVDLWKVAERTELFTGADLEGLCREAGMAALRECLRSESFACDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGPLTKRKH >OB04G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13076021:13078353:-1 gene:OB04G24790 transcript:OB04G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT4G31400) TAIR;Acc:AT4G31400] MQPKISVFFKRHARPDSSSGDGADKEGAGAPEAKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKVHRAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVADGNSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISSQRIVGCLVAEPIKTAHKVIPGSAEEKCSDLSVDKIESSKTNHTLEFGEISFKREVLKRHDHSDKNKEEYCDPGAIICQEEAVPALCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGEALCISQCAFSPPTSSGKALARSYCKTGAFLVYKDQDA >OB04G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13080682:13084933:1 gene:OB04G24800 transcript:OB04G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQEEDAPLQEAAGGSDPHDDGGECGASFPGGWIRRLSRELHWSFVLAVVAVYGACQGVGDAVNGVATGYYWKDVQRVQPSAAQFYQGFVSAPWVVKPVWGLLTDVVPVAGYRRRPYFLLAGVIGASSMLTLSLHRKLGIVPAILALTAQSAGAAVADVTVDALVAQNSIAHQPLAADMQSLCGLSSSVGALLGFSISGVLVHSMGSQGALGLLSIPSALVFLAGVLLKERRVANFDYKQVHRKFYKAIQCMGATLKCAEVWRPCVYMYLSLNLSLDIQAGMFYWYTDPTVGPGFSEEFIGLVYSIGSIGSLLGVLLYQGALKDYPFRSVLFWGQVLSSLAGMLDLIMVTRLNTKMGIPDYVFAVIDNSVSQMVGRLEWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLTSGWWGGLLLHMLNVTRAEFSNLWVAVLIRNMSRLLPLMLLFLVPQSDQHSTLLPAEMLQDGGESVKAGADILEFSIPVVDESGCHPLNVVVDDERIKVLDAGTESTDDVELIPLVNR >OB04G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13082160:13085328:-1 gene:OB04G24810 transcript:OB04G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:J3LZA6] MAGRHTIILMQPSHNRGTRTFMDFNSVNHALDGICGLYERKIRDINPMVMNLTYDINDLYNFIDGLTDISALVYDQSLHAFLPYDRRWIKQKMFQHLKRLAQQ >OB04G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13087074:13094081:-1 gene:OB04G24820 transcript:OB04G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRLQREDGVAIGKEVDGGRQARRKRREARRWSRNDGVDGGGWHRRREARRPEPHATTASISSGKIGDSNFEARERIHYTRDQLLELREIIDIPEAILRIKQEIDTELHGEDQIWGRNEPNVQVQAQTPTPAHNRYGETDNRDWRARTVQPPAATEEKSWDNIREAKEALASSGRQQEHVNMQDQSNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMEAGLTTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPPEEPGGKEITFKRVLLNNCQEAFEGAESLRAEIAKLTGPDQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDRKACPEEESVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNPQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAIKTLGLRPGATGITRNGRNAPGGPLSPGGFSMNRPGTGGMMPGMPGTPGMPGSRKMPGLDNDNWEAPRSKSMPRGDPLRNQGPLLNKPSSINKPSSINSRLLPHGSGALIGKSALLGTGGPPSRPSSFMTSPTNTPAQPTASPKPLSAAPAVVPVTEKAASSANEVPAAVQKKIVSLLEEYFGIRILDEAQHCIEELQCPDHYPEVVKEAINLALDKGPNFTDPLVRLLEHLHTKKIFKTEDLKTGCLLYASLLEDIGIDLPLAPSLFGEVVARLSFSCGLSFGVVEEILKAVEDTYFRKGIFDAIMKTMGANSSGQAILSSHSDVVDACNNLLK >OB04G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13098234:13098482:-1 gene:OB04G24830 transcript:OB04G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEAVENLVAAVEAAAACVPRKWRNVRALHVKAPESIALPLYSSIGTGDDGKAEEAKRKGVAVEEQGIVKKSKKISSAGR >OB04G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13100336:13101061:1 gene:OB04G24840 transcript:OB04G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGAVLFYCVSLSVVAAAAVVSSTAAGHRSISETALASSAATATTTSRKLMGADSAAPTCPVRFDQMKGYEALGAKCRNKATVKECCAAFKALACPYNKLLNDADNGCADEMFYFIQTKGKLKPGTIFENCLERPQGLKC >OB04G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13103541:13104110:-1 gene:OB04G24850 transcript:OB04G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPGRASLLLLCAAASLFLCGGGAASSRHNIKMTSPYAPQLTRWREMVGGVARDFVEHEGEVLPVSREDCIVAEEEDRRDFLEIGGKLFPVVDEAKVTAFGGRVVHCVGYSSPAHGVDLLLTVTEGKELAEVVSPDGVLRLLGRDCFADPDTGTVQHVVDVEGRLGALMLLVTVREELERIVCIKRLN >OB04G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13113871:13119832:1 gene:OB04G24860 transcript:OB04G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxycinnamoyl-CoA shikimate/quinate hydroxycinnamoyl transferase [Source:Projected from Arabidopsis thaliana (AT5G48930) TAIR;Acc:AT5G48930] MAITVRRSTMVRPAGETPRQRLWNSNLDLVVPRFHTPSVYFYRRGTEEGAPEGFFDGERMRRALAEALVLFYPMAGRLARDEDGRVEIDCSGEGVLFVEADATDASVDDYGDFAPTMELKRLIPTVEYTDDISSFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGIQIAVMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSMPQSVIAKTTTPPTAVDIFKLSRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPTEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >OB04G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13120802:13129435:1 gene:OB04G24870 transcript:OB04G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTLLRFRCSALDSTVVTCNKLPNEARAKPSRKAQSRKCCHFFMRIPFLSNELLFFLQDWMREANSAMATPQGLLEQPKTSKDTQSGANTRLAYASSAMQGHRSTMEDAHAALENLDVSTNTSFFGVYDGHGGCAVAKYCANHLHNVVREQGNFRQDLPSALRSAFFRMDEMLKNQSACKELTKYGSGSEYFRTVDKSSWLKCTTCVQRPTYQGPFHEGCTACVVLIRNNQIVVGNAGDARCVLSRNGQAIALSNDHKPNFPAETQRIHAAGGYVSFSRGSHRVNDGIAVSRAIGDLFYKQNKTLGSDGQMLTCSPEIRSEQITDDTEFLVIACDGVWDVLPNQEVVEFVSIRLRNGMDLSTICESLLNEALTHVPPSMDNMSAILCENRYYQVLNAFRIAGRYRCGFESRSYMMQLWKDWKPFPKVDGQKAAYKTVSSTKRSFQISIHNVVDDEGSSENQFTASRLPRQRKCARNFRLMYYKTLKLKFQQQNYDSTWVPYHKGITPNKICKYDLPAKHILADDKSLALYQIHGSVQQNSENLAIKAFAK >OB04G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13138292:13138564:1 gene:OB04G24880 transcript:OB04G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPRVSTSTHQWNSGPTVSYIFGAIGGQCIVAPLLPTLNARARCEVLILKNREDSRQQKMTDLMIRGKPSADLGLRTVPPHLLTLDEQ >OB04G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13152256:13153326:-1 gene:OB04G24890 transcript:OB04G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDERRPVSLDHSQVNDVAYLDESTLLIAARERLGKCDGGMAAFSAVSGDLQHRFRVVHDRQAKSFTAGALAFDQDSTIFASCKGRFNEYGIGLWDRATGEQTNFFYEPPGCALGDADKLQWLDTTNALMVATLFPKTDNCFIGLLDFRDKNVVWSWSDAGIAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >OB04G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13152659:13153749:1 gene:OB04G24900 transcript:OB04G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDHDQTTFLSRKSSRPMKQVSLGEQSSHHERVGGVQPLELVGIAQGAARRLVEEVGLLAGGAIPEADAVLVEPALAAGEDGRVLVERQRTGGEGLGLAVVHDAEAVLQVAGDGGERGHAAVALPKSLARGDQERGLVEVRHVVDLRVVERHRASLVEHPVVHVHGAPVRDAATPVGRGADSRAVPRCAPWHRGRVAKAVAIERSPARGAAAVEEAGAERPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTCPPTLNRTRPPPISSSATAASNRSPPHHHGITPSSLGSIPRRIRVGGNWVGEGEKRVGSG >OB04G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13156727:13163223:-1 gene:OB04G24910 transcript:OB04G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEXRDIGARFDSLPGDNEELLRLIEEAETWLSRVDQSPPESMHKALRPTMSALINKELLDHSDPDVKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVIEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISHTHQENVITSMETVMKFVIDESEDVHPDMPSCLLQDLASYLLKSVKKEEKETLPASFGLAEKVIDMCHEKLKPVFIPLLRGTPLDEYSKVVTSLFEDVLDAGVADSADAPGKDTVADKKSSLKLISDKSPQESSKSDQDANCPGKDRTPNNTSTSVVSNGGALVDRVKSPNGPSSSDKNLELPSDDKQAKDSDDLVSGAKEVQEPITTEPEKPKPSDHNLKKSHKLDSSTDSEVADHSKAVNSNEDILASGELSPERNDGDNKLPSETENRTADDKSKPVDDTPMVKAKRGRPAVPKLQEKKTAGKGKESSLESKKADTVSNSGGRTTRRLAKDDTKSSSKKTGEGESSKKQQKENSKVQEDTSPDDGTDEDLSLKDIVSPKASIKTGKNKGQPGDSAGSKRKRVQEAEETPQPKKNTILDGSLIGSESKYGGLMTKSSIKVLLNHLMLLQKSTRLCMMMVTLNDYSLRKKNGNFLMRVKTIILMHLLICHVAEEVESVRVSKQSKEKKHPAVGSNLALMGPILPRKEDAQKLCVPAIVRQMMTVR >OB04G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13165964:13172133:1 gene:OB04G24920 transcript:OB04G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT5G17410) TAIR;Acc:AT5G17410] MDPAPATPHWNLERPYLTGRFHQEAKLAAAAQMTGSKPYSLDSFSRGGGGGAGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSHFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALTVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECGHNVQVSLSENSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLAAADVDQPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPVLRSSILCRNMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKVEKLKSLCLQYATSIQLLIPSIDVAKPENTSKSRMPRSRVKKSENRGQQLKLASENVVMSESIMKFEAEFNSELQSLVPTLSNSSQAEPYLTHLGQCILGVGIDQ >OB04G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13177337:13177618:1 gene:OB04G24930 transcript:OB04G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTSLWEQRARQLGWQDSRVKTI >OB04G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13178778:13180116:-1 gene:OB04G24940 transcript:OB04G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENASSTNSIKLELSVYMHCDACERSVRQVIKNTGGVDKVEVDREENKVTVTGDFDPEKVVKRIKKRTGKKAEVLVPEEEEDGGGGEGEGADASHESCMPPDNGGGGVAHELQRPARSDWDLHSFDDENTQACRVM >OB04G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13181535:13189319:1 gene:OB04G24950 transcript:OB04G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRS120 [Source:Projected from Arabidopsis thaliana (AT5G11040) TAIR;Acc:AT5G11040] MEPGVSIESGSAIRVAVLPVGGPIPPACLRDYAALVARHARVDLASLRPYYSEHQKSPFSHQPWDGGCLRLKFVLGGCDFQSSRKVLAVVGICHLPSSPDLDRVAADFVDAARSYPSALANRCFAFCPTDAQLVEKKRDDIIMFPPSDQQSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMGESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKTSKMDHSFLKSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRLFYPLITPAGQSGLASSLANSADRLPSGTRCADPCLPFIRLHSFPLHPSQREIVKRNPHKKEWWTGGGPSGPFIYTPFTKGGTSGTSKQEVNWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVTLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSLTDFEADSNRSPANSRRIAREGSNPFLDIHYAGPSGNSEDNNVSLPPGRRLVVPLNICVVQGMRLVRARLLSMELPARFTDAHLRSVGSKDNISNDSSAIHNDISLLKIDPYKGSWDLRLLELELFNPTDVVFDVDVSVHLDGTSIELPEDKIAGSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPLGSRATTLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFRHAKDGTSAKTDSSEEPSDDSSHSANDSVLRCKDPISANEMTHMEVQIRNNTKETIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIYLEVQPLQEVVHPFSIYFLVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTA >OB04G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13200993:13206100:1 gene:OB04G24960 transcript:OB04G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAYVFPLYSHAIKEALGYNQKAITMLGVANDIGENVGLVPGVLANRLPPWFILVVGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACVLVMYFVRPCTPSLDEDNAAEHSHFIFTQISSVVLGVYLMVATILGDTLKLSDTITYILFGIMILLLLAPLAIPIKMTLYPNKPKREKTSTLSPSYSTDSLSGPDQENSEPLLGVTSTYVTGANDSDEATDVDLLLAEGEGAVNLKKRRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSISEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTLLGLCYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSTCLGPDCFRLTFYICAFVCCCGTLVSVFFIARIKPVYQMLYASGSFRHPRSQQQLH >OB04G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13211216:13214788:1 gene:OB04G24970 transcript:OB04G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAACFTSVLRVFFNYEKRIRLLSPPEKIFQYFASVRNPDGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGATHRDGLRTGLKISQPVENGGVVEYFFGNSGNEPLHYEKFTNFLKGLHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMSHINKLLDRADNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGLLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGNLSSEEFVRALQRRETDIRQPATPGSVGPLSSWLNFNTCSVLRQMLLK >OB04G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13216273:13222553:-1 gene:OB04G24980 transcript:OB04G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRQVQGPGPGRCPSLMELCVAKVREDIGRYSDFSLLPRDLSQQVFNELVEWNILTEELLGAFRDCALQDICLGDYPEVRDAWMEVVASQGQSLLSVDISCSDVTDSGLNQLKDCINLQSLTCNYCDRISEHGLKTLSGLSNVTSLSFKKCAAVTAEGVKAFANMVNLGNLDLERCPKIHGGLVHLKGLQKLEKLNLRYCNGITDSDMKHLSDLTNLRDLQLSCCKISDLGVSYLRGLSKLAHLNLEGCAVTAACLEVISGLASLVLLNLSRCGIYDEGCEHLEGLVKLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLRHLKGLLQLRSLELSDTEVGSNGLRHLSGLWNLQSINLSFTLVMDTGLKKISGLNSLRSLNLDNRQITDNGLAALTCLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGYITDAGVKNIKDLKALTLLNLSQNGSLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >OB04G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13226959:13231553:1 gene:OB04G24990 transcript:OB04G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCFDALCPCFGSRRKDGSEDPVLGRDANSLNSSELRSMSDRVPASPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMEHFAALRTEFSNEIALLKKIEHRSLVQLLGYIDKRNERIVITEYVSNGTLREHLDGKLQTKISLLLNSIWNSQYIFNYLSGQRGLVLSFSQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRGARERITVRWAFEKYNRGDVKEILDPMLTESVNEDILNKIFDVAFQCVAPTRADRPAMKEVVERLWKIRRDYAKTQHRVELAM >OB04G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13233395:13237270:-1 gene:OB04G25000 transcript:OB04G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:Projected from Arabidopsis thaliana (AT5G02410) TAIR;Acc:AT5G02410] MGRLAVAAAVAAWAVPLAALVDFIVPDPYMDEIFHIPQVQRYCRGDFLTWDPMITTPPGLYYISLAYVASLFPGAWASRTAGAFDALCTTALLRSTNVIMAMICGVLAHDLLLFIRPEVGKRKATVFAILVSLYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAVFGAFSILIRQTNVIWMIFFAANGTIAHARYLYVKDNVSYKDSELTDKSNKEVSDMDSKATAPGLRRRLINNPMNKREVVSESNKMYSCLTEEIWDAIFKLWNSKCKVSVAFIPFAMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQLLYFGLVSAAALLPWHFTPSRVSDLFFWCSKNKTYSSFAILVTLGLSLAAVHFFSIAHPYLLADNRHYTFYIWRKVIQVHWTMKYILTPIYVYSWFSIVNILGKSQTRVWVLSFVLSVALVLIPAPLVEFRYYTIPLVILALHSPVISNGKLLALGFLYAAVDLFTLAMFLFRPFQWQHEPGTQRFIW >OB04G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13237485:13239824:1 gene:OB04G25010 transcript:OB04G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSGYVTAGHVRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARRYL >OB04G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13253210:13257214:1 gene:OB04G25020 transcript:OB04G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEENNNSTSKNSRGCDDDVMVESPAPAKENGRAADPRLQGISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLSAAIKLLERAGADVVECACLIGLPKYKNFYKLNGIPVYILVESRK >OB04G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13259825:13260217:-1 gene:OB04G25030 transcript:OB04G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGAAARVEAGEARARQCICSPTTHAGSFRCRLHRRGGTLRGSVSCVQFSAGAESPQLLCPSLMRRSRSQQQLRHHPPASWMSSRSASDQQLLRYAGVERSASCQDFTQKEYYSGVPRSPSCHDFTR >OB04G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13261920:13263732:-1 gene:OB04G25040 transcript:OB04G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAETNPEEDGGIVAATAAAAAAAVEPQVVVVERVVTVEYLEPSMSRGLLGKFPDPSAFDFDYSQSGIWSPLNKEEGWRRVLKAVIRKFKSRQRRSRPAPLLQMMLPTL >OB04G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13265534:13265983:-1 gene:OB04G25050 transcript:OB04G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEASFNPFTLLDRNDPGDDTPARAIVGEAKLPKDGESKTACRTAAKKKNDDKNKKKDNKTKQQQQKKKPPQEAGKGANAAGKKQDTTKYIGYKYREPVRAKRPVIPASERMKPQEEPTAPPVKQPPPPPPSFDDEEHFPALGKAVKR >OB04G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13269451:13272920:1 gene:OB04G25060 transcript:OB04G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADNWLGFSLSGQGNPQHQQNGSPSAAGAIDITGSGDFYGLPTPEGHHLGMPGEDAPYGVMDAFNRGSHETQDWAMRGLDYSGGSSDLSMVVGSSGGGRRTVDDGGEAPKLENFLDGNSFSEVHGQAAGGYLYSGSAVGGAGGYSNDGTIELSMIKTWLRSNQSQPQPPQXXXXXXXXXLGGSCNGGGAGAAASSHGQGLALSMSTGSVVAAAGGGGGAVAAESSSSENKRVDSPSGAVDGGVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPMSNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDVAAIKFRGLNAVTNFDMSRYDVKSILDSSTLPVGGAARRLKEAEAAAGVIVSHLGDGVGGYYYGCPTIAFGGGQQQSSVPAPLAVHYAYGQASGWCKPEQDAVVAAGHCAQDLQQLHLGTGSTHNFLLQQPASSSAVYGNSGVGGGYQAPPGGNAFMMPMGAVVATADHHRQSITYGGDSDGRLAGYDGVAGVVDPYAAMRSAYELSQGSSSSSVSVAKAANGYPDNWSSPFNGMG >OB04G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13277116:13281617:1 gene:OB04G25070 transcript:OB04G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGPTRTPKPEAHAGESPAEALRRVLETPGAHQAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKLIAYPLSLIGVSMRAMEDALIAIKGGRIPPPGSLPSFEEIKDTLGFNSYYEEEKQYVVAPAQSSSYWSGYYDNTSEASSPGDAKSRTETPQEPVIDILPQLYDLGPTGGRGPSTGMWSRTLRLRITGRDGVQKIDARIPAGFLEGMTKIIPGLAGANIMERLRNAPIDTESPQNGQILLDFEDAMGDRIQVFIE >OB04G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13281411:13282689:-1 gene:OB04G25080 transcript:OB04G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSHRLISPTALQQTPPWTDQGEVTAGLSRSRLAGGDLRNFWLATLLIDPLARSPSGSRPRLVMDNLAGSTKRIPPMQTPRARLLLSCGESVVAMGRKAYQRVEAMPCPVGCVARGVSRAAAPVLSPLRLRWFSALAFVDRQLLVVQDVATVLFPATERVLGKADGLVLLVESLPARLDGAIDGLEALVAGVKSGAGGLFVLPKRRYRYRADEDDEEEGASLHRSMEKTARKNSDDVARKKLESLELFTADSGAGGGSDTVHGEKAISNVEDEGKGESATPAKHGDASGGQECTEEDAIQGGAEIAKAEPPQAASDEEGGRGREIEAAAAMAGTESREDALLGLFDTAWQQKLA >OB04G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13286969:13292185:1 gene:OB04G25090 transcript:OB04G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREERERFIIEADHKISLEQKKVWSLQQKLEDANKRFAKVSTENYNLRNTLNSKDKAIIELSESESLLNQKLTDATVRLEFTNKQCASLQYEVRMLQKELEIRNKEREYDLKSIDAAQKHQQESSKKIIALKAECHRLRTMVQKRLPGPAALAKMKDEVERRAGSCVENGRRKPRTPAQPSPLVVTPRQPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQRQHKELAGSHEGDEDNRPEPWENNSLVPKGEHFRVGKQHASHSRGRRIAGSDMQLLVDLSEIEKLEMTSRPSSAPHQCVPDASDTDSKIALTETVCQARILEDGLSDNYPEWIQDVLELIIKKHQVLKININIILDEIRSALSEISDKGNGTANLTYDQAVIDSMVATLVERVSCMIERSTGNNVVSFQSFLHAKSELTCHLEHLIHVCSAVLDGKANLQKFINEVCLTLEWTVNQYLYCVDEIGPVDCITNDFDGNISVRSLKMQEKQLTKNVKSKVVVGSQQEVQIPVDLMENHSQVHGHKFQEKQSVYYGESATADGTLEEEGKQITSSAISAAAEKLAECQETITSLSKKLQALKRPANADRVDKEKSYMHLLVANLPCEEDPKKDNFTSPLPEEVACKKEHNGPIATEKLPVGTGHKAESNGSTQVVLRPVIPKSPLTTVSVDMKKRKKKKQSGNLLSRLIFRKKA >OB04G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13296996:13298721:1 gene:OB04G25100 transcript:OB04G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRVGGGGGSIGGISIGIGGGGGGGSSPSSSSEPRPCDFENERLYRAYKVIQKFRRTVTCDPQNIIQSWSGTDLCGSYKGFFCERPPNVSDRTIASVDFNGYNLQSSSIKDFVDALPDLALFHANSNNFGGAVPDLSGLQYFYELDLSNNKLAPNPFPTDVLKLRNATFVDIRFNSFYGELPGGVFCSFPQVQAIFVNNNQFSGNLPDNIGDSPVNYLSLANNKFTGEIPKSITRMANTLLEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGPIPASFACLHKVEQLNLADNLLYGEVPDALCKLAFAWTGRLKNLTLSNNYFTSLGSCCWDLIKEGKLNVERNCIPWAPNQRSHEECAAFFHRTKTSACPVNSYVPCGHPKSDGAGREEGTAAEEEDKYRTYSALNP >OB04G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13297474:13298928:-1 gene:OB04G25110 transcript:OB04G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYAKLSWLSYQTVDEKGNKSTERRQHINTTSQTAESINNRVTFTRSTVLLPFLCSLCPRRPAGRPATSWVQRRVGPVLVLLLRRRALLPAGAVGLGVAARHVAVDGARRGLGPVEEGGALLVRPLVGRPRYAVALDVELPLLDQVPAARAERREVVVGEGEVLEAPRPREGELAQRVGHLAVEEVVRQVELLHLVQARERRRDRAGELVGAGVDDRGLGEQAELVREAAAELVVEEEHLEERVGHAGDRLGDLPRELVVGEGEVIHRRVADVVREVAGELVVVDEDGLHLRERAEDAAGELAVEAVEADVDEGGVPQLEHVRRERVGGELVVAQVELVEVLQPAEVRHGAAEVVAVGVEQRQVREGVHEVLDRRRLQVVAVEVDGGDGPVADIGRPLAEEALVAAAQVGAAPGLDDVLRVTRHRPPELLNHLVRPVQPLVLEVARPRLGRRRRRRAATTTTSYADADAPAAAAEVDVEVPPAX >OB04G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13300439:13309190:-1 gene:OB04G25120 transcript:OB04G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDSEYSSTDSEATLDDLPPIVIDANLEEEENRVVVSPMWNPQGSHMLFNNQPLDVMPLNAIPFGQKVSLGKEKEEVPVPQWMKELDLYKDGDWKVFLQIRDDGHKDWSYNHREYQAVFRSRAEVKLFMDTTLINGTNIFKGRKLQKKRALDSNGEGSGGSTSTRAKKITATKKSEKTSSTGNYPVVPKPGPTLPPGFV >OB04G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13312558:13313655:-1 gene:OB04G25130 transcript:OB04G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNELAECMVLDGTFVLELFRGALDGGKRFVDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGLQLGNPEQTGLVASFAVRFFDPLMPTDEPLLRKDRSKLESSVSTGEAAATAAFDPLSGPMLHCLDVFRRSLLRAGLQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIKFDNGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVRYLHDRGIIEHWLGSDAEVADLFNRLCLEVVFDINDSYLSGLSDQVNRYYDHKWSTWVASLKHNYFSNPWAIVSVVAGVFLLLLTMTQTFYSTYSYYRPMK >OB04G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13312916:13313470:1 gene:OB04G25140 transcript:OB04G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPGVAMSMWHCSNAIRLRKRDLVPSWMRMRGIWSTPLSNLMSQKRSVFRRRHRIPASRSSDTQCTNCCRRLSATRRSPDHFLSQSRAGGGGVGCSPARRRLRRKTSRQWSIGPDSGSNAAVAAASPVLTDDSSLDRSLRSSGSSVGMSGSKKRTAKLATKPVCSGLPSWSPSRRSSTNRGI >OB04G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13313738:13314100:-1 gene:OB04G25150 transcript:OB04G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITVKLKETVDANLNKSPPPGALPRWQALNRNLPLLTSGEAEAGSDALPPRQELERPGEVRIQVRSPAHSPKPQDPEWVVAIRGKLAQARAEEAACPWARLSVYRVPK >OB04G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13321479:13323470:-1 gene:OB04G25160 transcript:OB04G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSSSSSSSSSTLLAKSSSSFSSSPSPSPQRRRSLSSSSPTMVPPARTVLVVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLKLRGLRQLSDDGLASLAGATPAIRKLSVASCGFGPKAFVAVLRSCPLLEDLSVKRLRGLPDTAGATTAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGSWDLPLEVIAARAPGLVELHLEKLQVGDRGLSAVSACPNLEILFLVKTPECTDAGIISVAERCHKLRKLHIDGWRTNRIGDHGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDPEIICLAERCAALKKLCIKGCPVSDRGMGALSGGCPSLVKVKLKRCRGVSPECIENLKVVRGGSFSISLDPVVERDAGGASENVGQEAGQVPITELTDQMAAMDLPTNTASAQSSAQASSRMRSVMSALRRRFGNPPSL >OB04G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13330089:13331519:-1 gene:OB04G25170 transcript:OB04G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12890) TAIR;Acc:AT5G12890] MAGEEARNLHVVLFPFLAHGHIPAFLRLAGHLQALRPGLDVTLVSTPRLLGSLCPPGATSPPVQLHALPFTPADHGLPDGAESLADLHVHQFITFFQASESLRPAFDGFVAGIASPVCIIADAFFAWTADVARARGASHAVFLPGGAFGNAVFFSVWEHLPHTLTAGDEFPLLDFPDVVLHRTQLPRFMLAATGTDPWTAFFRRVIASCRKTNAVLVNTVQELEPSGLAMLRAIFGVQILPIGLVLTAPAPSKSHDDDDARIIEWLDAHPPRSVLYISFGSQNSISIHQMAELALGLEASGRPFLWAVRPPVGFEPTGGFDPRWLPAGFEDRIAQASKGLLVRGWAPQARILAHPSTGAFMTHCGWNSILEGVSHGVPLLGWPLGAEQFFNAMVVVEWGVCAEVARGNLESSAVERGEVAEAVGMVMGETEKGEGMRRNAGATARAMAAAWQGPGGSSAVSLEGFLRCVETSLLLR >OB04G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13332376:13332609:-1 gene:OB04G25180 transcript:OB04G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVCLDISGSLYQVGFCSGQLQPAGSSQSASINSATVCKPSKFHLRPLCIVLMLQRVLFYEISQRSLFQESNLSG >OB04G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13332410:13336963:-1 gene:OB04G25190 transcript:OB04G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSAAAHTPWALQLGVVLTFLLATGSQGLNHEGWLLLTLKKQMVDTFHHLDDWSPGDPSPCGWKGVNCSSGSKPAVVSLNLSNMNLSGTVDPGIGDLAELTYLDLSFNGLSGTIPAEIGNCSKLVGLYLNNNYFQGTIPPELGKLAMLTTFNLCNNKLLGAIPDEIGNMASLEDLVGYSNNLSGSIPRSIGRLKNLRTVRLGQNAISGNIPVEIGECLNLTVFGLAQNKLGGPLPKEIGKLSLMTDLILWGNLLSGVIPPEIGNCINLRTIALYDNNLVGSIPSTIGKIQNLQRLYLYRNLLNGTIPSEIGNLSLAEEIDFSENALTGGIPKEFGNIPRLYLLYLFQNQLTGPIPTELCVLKNLSKLDLSINTLSGPIPGCFQYMSKLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGLIPRDLCRQSNLILLNLGSNKLIGNIPHGITSCKSLVQLRLADNSLTGSFPTDLCNLVNLTTIELGRNKFSGPIPPQIGNCKALQRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSFEGSLPNEVGRLPQLELLSFADNRLSGQIPPILGKLSHLTALQIGGNRFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPDTFANLSSLLEFNVSYNNLTGALPTIPLFDNMAATSFLGNKGLCGGQLGKCGSESVSSSQSSHSGSPPLGKVIAIVAAIIGGISLILIGIIVYHMRKPLETVAPLQDKQMFSAASNMQVATKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMPRGSLGELLHGQSSSLDWETRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGILDNNLDLEDKTSVDHMIEVLKIALLCTNMSPYDRPPMRHVVVMLSESKDRARMSSSSSPASDHSSKKDNS >OB04G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13337379:13337795:1 gene:OB04G25200 transcript:OB04G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGMSSSRIRSEQTGAGGHQSHPPFPAVKEFSGTGKPHAKRWGGGDKPPPLPESREPPGPSPGGSQEHTAAHHHPPTGSRSREEKKRSRSLLFPTPAPAPRSARRDTKAARGTAIEEHRGAERRTVMRQRRQLTDA >OB04G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13356349:13360019:-1 gene:OB04G25210 transcript:OB04G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT2G33205) TAIR;Acc:AT2G33205] MIKAQGPVVLYHDGVREARRWQSLRARYAYGLVFFATNLLAWFVRDYGAKLLRGLHHVPVCGAGDSKCFQSGGVLRVSLGCFIFFWVMFASTFGTRKLHEVRNSWHSGCWVLKFLVYAVSIIIPFIIPNIFIQLYGEIARIGAGVFLLLQLTSMLHFISWCNKRWMPDSRSRQCGLFGLFMSTVSFIASFAGIAVLYALYAPNSSCAFNIFTITWTAILVATMMAVSLHSKVNEGLLSSGIMGLYIVFLCWSALHSEPQTGKCHTQMKFAGDWATIVSFIIAICAIVMATFSTGIDNRSFQLRNDEAQLEDDVPYSYEIFHVVFAMGAMYFAMLFINWELNHPTRKWSIDVGWASTWVKIINEWFAASIYVWRLISPVIWRKEAANNEELVPQAQALTV >OB04G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13362065:13362325:1 gene:OB04G25220 transcript:OB04G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIVVVLVDSRNLILSDASNSSIIFRESFNHMADTFLHEDFTRGLVSNQNFVDLSPNVYSATLFSDFSNPGLFLASN >OB04G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13367207:13368793:1 gene:OB04G25230 transcript:OB04G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHWSHQSCGLLLLVAVFCLLLLVSLRHCGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASPGGTSRAPWSATAASCWRRSTASSSTSTSS >OB04G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13368964:13369413:1 gene:OB04G25240 transcript:OB04G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAAHFVEHAVCNNASTGAAVAPVIVTDPRLDALCARVVKYYSLRRFVRETGRPAEEWPQQHEEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDPSARHHYHTLQRRELDLHDYEAEYEFYRDLESRPEAIPFLRDSGFRLPPVAFYR >OB04G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13383437:13398165:-1 gene:OB04G25250 transcript:OB04G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MRLEDERALRELRLFNRRSWSKPRKVSRGISMASRKVNKQEDICNEGMLPHILWWKEKMERCRKPSSMQLTERLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLTSVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVKEIYGLEDRTVFRNVTVSLEGRPQPLYLGTATQIGMIPTEGIPSLLKMVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCISAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMSSNAELSAILNKLLDPAAIVTGLKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIQDFLPIVLRVKSVMSSNGSPKAEICYAKEHEAVWFKGKRFTPNVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHDACDKARCKVLELLRGLSSELQDKINILVFCSTLLIITKALFGHVSEGRRRGWVFPTISPLCKDNGTEEISSKMKLLGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKSYDSPADGKSSFQIEMSEIRSLVCRATASSLVLIDEICRGTETAKGTCIAGSIIERLDNAGCIGIISTHLHDIFDLPLSLHNTDFKAMGTEVIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYSVMSTNNKQTSTVHHEPSIAISTVNSLVEKPDYLRNGLELQSGAFGLLREVECVVTTICKKKLLDLYNKRKIAEMIEVVCVAVGAREQPPPSTVGRSSIYIIIRRDNKLYVGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGIALVSGEAIAAQ >OB04G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13401165:13405266:-1 gene:OB04G25260 transcript:OB04G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTTVDFTARRRHPELVAPAGPTPRGLRRLSDIDDQDSFRFYRSVIYFYRSGGGGGGVDPARVIRDALAAALVHYYPIAGRIRELPGRKLVVDCTGEGVSFVEADADVALEEFGDVLCPPIPCAGELLSLPESNSAVVTNRPLLYVQVTRLKCGGFVFGTQICHNLVDAAGITQFLQAVGELAKGMAVPSVRPVWARELFDARDPPRPAFDHPEYEPASDVGNDRLRPGDELVHRRFLFGPGDIAALRHQLSPPARLRTPCSRFLLLSAFTWRCRTAALGEKEILPSIASSVDVCTLGRQAGRSPCSSTKRDGAIAAAAAAAISHLRLPPDSSESSPLPTASLSQAIGDLRLLQQSMPRGALLGGEQAFSFHRSGHRGKVAATSPLLVSAWELGGSGMVWAGRRVVIRRVTCLVSRSSASMAKIRSEVLSPFRSVRMFFYLAFMASGGLGALIALTQLIPALSGGPARAAGAAETLKGLGIDVAAVSIFAFLYWRESKAKDAQVAKLTREENLSRLKIRAGEGRPPVPLGELRGTARLVIVAGPAEFVTESFRRSKPFLKDLMERGVLVVPFPTDGNTPDLQFVETDDDNDDGGGAPGKMKRRLWQLTPVYAAEWSKWLDDQKKLANVPSDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >OB04G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13411870:13423030:-1 gene:OB04G25270 transcript:OB04G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLMAAEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGGGEQPVREAARQLLITLMEVSSPTIIVERAGSFAWSHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLNLMNDSNQSVRDAAIYCIEEMYRHMGSQFHEELQRHNLPPYMLREINSRLEKIEPKVPTSDGTTVQYKAVESRSVTANPKRGSPRIKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSLRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAVLRARCCEYALLVLEYWADALEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFSKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVAQPSRALSHSSGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSMSDRQNISATLSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTTTASARNGGSRLLESMTTQLETRERSRSPYLGNISSESMSNLSLHYPRRSLERPQDGGRLDESSDIRSTRRFPQMQNYVDMPFRDAIHRDSHNNHVPNFQRPLLRKQAMSRASASSRHSFDDNQVQSGEVAGYTDALASLNDALSEGLSPSSDWAVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAVFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYCNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILSLSVEEQNILRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQTDYGTCSEDGYTLTSKKSYPFGRYSSSSLDAEGGKRVNSVQESTLHNAPMARTTSDMSVEHTNQGLELDTGNEVLLTKSRESKNNAHSLIEVSRSWPNYPGKTDAPLDDETAVSTSRLDFNHRLPSDGHNAVGSTVEENVQEGDIAVTLNSIKTTLHADNGLSIPQLLHQITNGTEVSSREKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSSRELALSLVAEMLNNQRGAMEESTEIVLEKLLHVTKDTVGKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSEDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPINANQ >OB04G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13434023:13437503:1 gene:OB04G25280 transcript:OB04G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSLAGLLLAVAAARVVVPAAAAPAPASAARAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGSQPALPYLSPDLRGDNLLVGANFASAGVGILNDTGIQFVNIIRIGQQLENFQNYQQKLAAFAGEDAARQVVEQSLRRRAPTRRREHLGAEPVLPYLSPHLDGEKMLVGANFASAGIGILNDTGVQFANIIHIEKQIRYFEQYQDRLRALVGEEEARKVVAGSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILTRLHELGPRRVIVTGTGMIGCVPAELAMHSIDGECATDLTQAADLFNPQLERMLAELNSELGGHVFIAANTNKISFDFMFNPQDYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVAQLMHGSTDHIRPMNLSTILAMDAMDETRN >OB04G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13438620:13441239:-1 gene:OB04G25290 transcript:OB04G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23360) TAIR;Acc:AT1G23360] MAALTAAISSTPAAPGPAARRRRFFAVRCSSSAADERQVLFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKRGDRVLDLCCGSGDLAFLLSEKVGLDGQQLQTAANRQEQRWKPCYKNIKWIEGDALGLPFADCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASVLDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSISQYLTGKELEELAKEAGFSAAKHYELGGGLMGDLVATR >OB04G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13441267:13442923:1 gene:OB04G25300 transcript:OB04G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63010) TAIR;Acc:AT5G63010] MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQEQEHGEEERQDRAGTVSLFSVDADEEDASRRLRLLHTVETAGVFDMKWSPLAPLLARADAHGCLALWRLEQEEDGSDEGAILRDVCSGDISSSMCLFVDWNQTADSLSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRAKPHLLYSGSDDCSFSCWDLRQSPSNSVFQNKKSHNMGVCCIAQNPLERNMLLTGSYDEFLRVWDMRSMVKPVSVKSLNLGGGVWRIKYHPVIADVVLAACMHNGFAIVKVGTGDAAVMETYSKHESLAYGADWQTREGAEQSKNCSVVATCSFYDRLLRVWQPENLENL >OB04G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13446790:13447070:-1 gene:OB04G25310 transcript:OB04G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSFLSWTSAIALHPPPASALSRTMCMFKPFIFHGTSPAKSIAGTQNLHGSILNWTAQHALVEKGQ >OB04G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13449157:13451710:-1 gene:OB04G25320 transcript:OB04G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT1G60790) TAIR;Acc:AT1G60790] MSGAGSADLQNGTRRMQSIAEDPGLPAPEQMAKARVSLGDAGNATFGGVDGYRPIGNGTRSQQQREESETPTPLWRRGEGDGENSTRDAIVGAPGEDGTTDVPPLNSSAATPSTPDRKGRHSSHRRATRHKHHQHPRRRKETVVLPVAHQEAPDHHDAAAMAAGALNNATAVGNNRVVWTSDVQSLISFAKCDMFSGGWVPDDDGYPFYPPGSCPHLDEDFNCHKNGRTDTSFLKWRWQPHACDIPRLNAIDFLERLRGQRIIFVGDSLNRNMWESLVCILQHGVRDKRRVYEASERNQFKTRGYYSFRFRDYNCSVDFVRSTFLVREMINETRVGAVDAKLRLDELDEATPAYRTADIVVFSTGHWWTHLKTSRGLNYYQEGSYVHPSLEVMDAYKKALTTWARWVDNNIDSTRTQVVFRGYSPTHFRGGQWNSGGGCHRETEPISNQTHLAEYPEKMRVLEQVLRQMRTPVIYLNVSAMTDYRKDGHPSVYRPGRNETEEERMAAAAAQDCSHWCLPGVPDTWNELLYASLLQAGKGSWRL >OB04G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13452661:13455310:1 gene:OB04G25330 transcript:OB04G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNLFGRVLSYVVNEFIVEGLANNRAFQRFAVRTNKSLENLSSKAKEVREELSEQWKNARGRDDHFRQ >OB04G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13456092:13461461:1 gene:OB04G25340 transcript:OB04G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:J3LZF9] MTRVIWKMIKDKLIFPYLELDIKYFDLGVLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKNPICIGRHAFGDQYRATDTIVNGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWREKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLIHGPKVTRDYYLSTEEFIDAVAQQLREKIQIPAAV >OB04G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13462231:13465362:1 gene:OB04G25350 transcript:OB04G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAASSSTPESRKMALAKAKEIVASTPVVVFSKTYCPFCVRVKKLFEQLGATFKAIELDVESDGPELQSALAEWTGQRTVPNVFINGKHIGGCDDTMALNSAGKLVPLLTEAGAIASSGAKATATA >OB04G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13469188:13471225:-1 gene:OB04G25360 transcript:OB04G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVQSAGWGRHEQQDGGAWRKGPWTSQEDALLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQESQLQRQQQAPTPQSKDDDDVVVVAVAEARGSWTDDDDVMGGAAVAPPPSSPTPTPTPGREAEELIMHQDAMDDLMLCPAMSYHLLLLHGAAGHHQLSDGGGGGSCCASTSEDQYGSSEEDGATWGSLWNLDGVVVDADADAAAGACTLW >OB04G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13481080:13482411:1 gene:OB04G25370 transcript:OB04G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLLAAAVALLLLLPVARAEVKTTPIVGDARPVILFEEFGFKPGGVAGVSVRGVSWRVPEGSQLQAADPGLMGFVLISNSLFFQINNESEYAEATGGAFCPLTSKYALPLFRLKDIAPDGNGKGSVTIDDDDQYTVLFSSCQDGVEVTMDVRTEMYNVRGGAREYLPVGLLPLPGIFAAASAVYFAFLCAWAWACARHRATAERIHAVMGALLLFKALKLACAAEDSWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLTGPGGSFLKPYLQEREKKVLMIIIPLQVVENIASAVIGETGPAGRDWLAWNQVFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYIFVFYNFKPVERNPYLYVGDDEEEAAGGQLEMEGAFEI >OB04G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13484445:13489336:-1 gene:OB04G25380 transcript:OB04G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWRKAKRALGLRLCVHVPEEGAEGGASEPRRLPAASSSRCRSEAAVTVGPESDVPVPGVVRRSKSGSSRSSSKRKCAICFDSMKHGNGHALFTAECSHMFHFHCISSNVKHGNYFCPVCRAKWKEIPFNRSLSSNIPHGRIGVNRARLTQQDANLALLHQVPNHHQRVRRPHTSEPADFNDDEPLQQPEVFNNLNVRSTKTAEISTYPEFSGIPQSSSKDDFAILIHLKAPSADPYQGTGRLANESSVESSRSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVLAFSSSARRLFHLQRMSHHGRQQALQAINSLGAGGGTNIADALKKAMKVIEDRSYKNSVCSIILLSDGQDTYSISSNAQGASPDYKSLVPSSILNDARHTVPLHAFGFGADHDSDSLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVVIQEMRVSMQCVHPVVQLCSIKSGSYPSKVARDGRNGSVDIGHMYADEERDILLSVNIPRSHEQTSALKVSCAYRDPVTGETIKIQGDEVKINRPTSDTPEPVSIEVDRERNRIHAAESIESSRAAAERGALSEAVAILEGCRRTLSRYFEPHWIKSYTKRCGNEY >OB04G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13493072:13496368:-1 gene:OB04G25390 transcript:OB04G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MYGGGGGGGGGFNAPSTASGRRRNQEDEDEEEEEEAGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKALVHSQYRRRLLLRSSASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTIKDGISNRLTEIGGSPESQIKALMGKLECSGDSSLQNALELIHGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIGKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHLKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSMHPNKLGQKGGQKCYSCQQSFINPDSHSSLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQRSSS >OB04G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13510967:13515493:1 gene:OB04G25400 transcript:OB04G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRAALLFLALAVYVPLGTASSTTIASYLLGLWSRAHRHSLPATAPAPAPAPSPGTHRPAISHPAPRHHRKRPHVAPPLPPPSSSSERQDCSGISCSAPLTSTPIGSPCGCVHPMQIQLDLVVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLEDPEKTTVTIDLVPLGQKFDRTSALLTSNRFLQKKVPINSSIFGDYNIIYVHYPGLPSLVPSVPGSLGPVSSSEYPFSANVRNRRHQKINSKSVAIIALSAVVLVLMSFGICIIWKFKGFEKSHGTGRVSNSSATRKTGMRSSFSSMTSSTASFVSTIGTCPPTVKTFSIAELEKATENFSFNKIIGEGGYGRVYRGTIDDEVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTAGGGLQQLVDPSMPAGYGFEKLAKAAAIASMCVHVEAAHRPFMGEVVQALKLIYNDSDETCSGSFGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASSLVLDKIESLAAYDWSGPLRASRGRNFYRLRGSMSEHGHPSEDCSMEGYVYWM >OB04G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13516494:13524048:1 gene:OB04G25410 transcript:OB04G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MALAAPAACACRVAPPPVSGRWRSPSASGYYFTGAARWWPGLRRGRRKRWAGLRARCAEQSVVKPAAGEGLAGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWGTSDPYVVLQLNGQTAKSKIKWAMKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNNHVITVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDSGPEASRRAEESVDNSVGSNELEEQKMGSKASGDSSDSCSEAESAATVVNNEGSDPQNMKESDEYFWNAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLGSLGLKSSEIAQKEYLESGLATGDTSTSEGNETTPKDAIDVDNEDGTLPIKENLPKEKVQDSFPDINKVSWDVLSQTENILGALMILSRSLSSQDMELAVGDDVSSKDDSVKEQDAYDSTGKDDAAASTKVSVDAQKAEDMRRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNLSTDTQVAIWRDSSRRRLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRITALVKYAIGYLDEERRDEEDAENIPKWHIYVTGHSLGGALATLLALELSSSQMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEEILDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLETVRSKYQTVDNANEEYRQLNA >OB04G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13524404:13527511:-1 gene:OB04G25420 transcript:OB04G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEEQPRITGPVPQVEGEGEEADEATEGGRRRDGDDEILRFMDSVDGYLLLMDSLSSALRQGWLDLASARHSMGTSRVSSTLFDHKEQSAATKLQVVDPADSLSSEPNPHFILSKWCLQENSNSDDAVGVKDATKPKLRYRGSATTPDGSHESDTLAESSTGVDTSSHVQKARSKALSIFGALVSPKLRTAQTSFETALELIVELANSRSNMLSSFTQIKGE >OB04G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13529555:13547183:-1 gene:OB04G25430 transcript:OB04G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) TAIR;Acc:AT5G20320] MGDDAAPAAAAAGPTTAGEPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSREVCIFLAPTIPLVRQQAMVIASSTDFKVQHYYGNGKSSRDHQEWENDMRKYEVLVMTPQILLHSLRHCFIKMNSIALLIFDECHHAQAQKRHPYAQIMKEFYNSNSVERLPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLHAKVCSVDNEELESVVASPAMEVYFYGPVNHSNLTVAYSKELDSLKLQSERMLRDSLCDFKDSQKKLKSLWRLHENLIFSLQELGSFGALQAARTLLSFDGDKLDKREVDLNGNSSSFAHYYLSGATSILSRSITDGSHAGSFDIETFEEPFFSDKFSVLINVLSRYRLQENMKCIVFVKRITVARAISNILQSLKCLDFWKCEFLVGCHSGLKNMSRNKMDAIVERFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERGNQSHEKLLNGYIDGECIMNEEIDSRTSNDIFDCLAENIYRVDSTGASISTACSVSLLHRYCDNLPRDMFFTPSPAFFYIDGIDGIICRLILPPNASFRQVDGQPCLSKDEAKRDACLKACIKLHKLGALTDFLLPGPGSRKNKVSTTNNSSNNKVEDESLREELHQMLIPAVLKPSRLKLDCLLSLHFYYVKFIPIPEDRRYQMFGLFVINPLPVEAETLQVDLHLARGRIVKAGIKHLGKIAFEKEKMMLAQKFQEMFLKILLDRSEFTSSHVILGNDVTLEINSTFYLLLPIKQKCYGDKFMIDWPAVERCLSSPIFKAPKDVSVHDSYSPNKSLRLLDGICSKTDVVGSVVFSPHNNIFFFVDAILDEINARSEYKDATYAEHFKERFGIELSQPEQPLLKAKQLFNLRNLLHNRLQETTESEGRELTEHFVELPPELCSLKVIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMSSSFPEASKISASGILEAITTEKCLERISLERFEVLGDAFLKYVVGRHNFITYEGLDEGQLTRRRSDVVNNSNLYDLSIRRNLQVYIRDQQFEPIQFFAPGRPCKVVCNSDVEVSLHQMNIHPDNRENCNMRCTKSHHWLHRKVIADVVESLIGVFLVEGGFKAAFAFMHWMGIDADFNSSALYRVLDASSINLSLLDYTDIAELEELIDYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPNLKPGQITDLRSLAVGNDSLAYASVQKSIHRHLIKDSNHLTSAISRFEKYVKLSNSEKDLLEEPACPKVLGDIVESCIGAVLLDSGFNLNNVWRVMLMLLKPVLTFSNMHTNPMRELRELCQCNGFELGLPKPVKADGEFHVRVEVNIKSKVIICTAANRNSKAARKFAAQETLSKLKSYGYKHRNKSLEEILVVARKRESELIGYNEDPIDVESDISVKIKSLHIHEERDANISFENTETSCTGSSKILSQRRAGHIRPDNYDVDNGRNNQPKLAMQSGCLPSEATETSNKKVYHGDMVHKTARSFLFELCAANYWKPPEFKLCKEEGPSHLPKFTYKVVVEIKGTSATLLECHSDAKHQKKAAQEHAAQGAVWCLKQLGHLPKEGVRV >OB04G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13549048:13549495:1 gene:OB04G25440 transcript:OB04G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASSPGTVEAATAAVPGLSITVEKNPPEARLLQLGIKSWPKWGCPPGRFPLKFDARLTCYLLKGRVRASVKGSGKCVEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDTA >OB04G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13557650:13559146:1 gene:OB04G25450 transcript:OB04G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:J3LZH0] MATCAADLAPLLGPVAANATDYLCSRFADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGRQFFGLKHMPQTGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKTYGPAGGINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGILFTALFARQKYVEEIYGAGRPYGLFMGGGGKLLAAHIIQILVIFGWVSCTMGPLFFGLKKLGLLRISADDETSGMDLTRHGGVADVYHDEDEHDKSGVGGFMLRSAQTRVEPAAAAAAANNNRV >OB04G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13558126:13558425:-1 gene:OB04G25460 transcript:OB04G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNDPGLNPYQPNHSRNVPSTTSDALWPLSATDRPAWSNLPMRGPSMSAPQRPATPPTMWTTPEPAKSITPEPKSRGPDVREAEAHPSADQIQWDTTG >OB04G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13567932:13569735:1 gene:OB04G25470 transcript:OB04G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGTRGAGKQSANSSTGANYYSSRCTEISTVDYYCKYRAIKIRGTVGLEVQRRGGAATESTRAPHNEGGMGRMQCEVWHPRCGAVQRSIDARRCEAQRSQKAQGQEAREVVWHATIGGDGWTCECVNVQRQLRRVALKLSN >OB04G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13573096:13573650:-1 gene:OB04G25480 transcript:OB04G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQEQNDKGETLHVNMSSKQEFSPRRLLRDRTRPDTPAHNPSKFEQAAETEMATTPMEHGQPFSTVWTPLYPGFLYDPARFRSMTLEGFVYDPVLPLVDTEEDVTDDIANLCMRIKNLQERAEAFAPRHGGGVESGEVVTVANDDDGASDQEAFFADRFAHIIDLVEELLDEEEDEWPCPLY >OB04G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13587363:13594780:1 gene:OB04G25490 transcript:OB04G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQATAKAFMAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAAAYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNASHPSLNGPISAINSDGILGPSTASVLAAKMYEERLKHSHSMDSEGSQLLDASRMALLKSASTNHSGQAVPGTPSSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSLPMDPSSLYGQGIIQPKPGLGGGAVLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNFGDMDPRRLTALTRSVLNGKDGQSAGTDGCISSQMQSSSPKVKPDQEYLVKTSSQQPQEQLQQQNQQQQTQQGNRKRKQPTSSGAANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGLTGNMRHVPKNLMMYGVEGTGLPSSSNLDDLEQFGDMGSLEDHVESFLANGDADARDIFAAPEKSPAEPNPVASKGFTFNEVNCWRTNNSKVVCCHFSSDGKILASAGHEKKGVLWNMETFQTQYTAEEHAVIITDVRFRPNSTQLATSSFDRTIKLWNAADPGFSMHTFAGHCSGITSLDFHPKKTDILCSCDSNGEIRYWNVCQLSCMRVMKGGTAQVRFQPNSGQFLAAAAENVVSIFDVETYGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGECTHEVRSNGNKFHSCVFHPSYSDLLVVGGYQSLELWNMAKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLWK >OB04G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13595078:13601006:-1 gene:OB04G25500 transcript:OB04G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16840) TAIR;Acc:AT3G16840] MAAPPPAPQSPGPSAPPQESSKSRKGKKSRGARKLKRAAAAAASTSSAGGTMGDXPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEEVGEGDRKAGKDQKKKKKRKKRKRGDDDNALPGDDGDLVVECEEGEKGEKRMKRKKRNSKKKLNEMEENLESKEDVSDDNVEGDLQDASDAMEQDNNDELILGEDEVYAWRELRLHPLLITAMRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKATRLSWGDESTQDRNSRESPLRALILTPTRELAKQVCDHLQEAAKFLGIQVIPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLLKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQAVGTMPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLATSKASVPTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSILRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSMAGCSIALISPGDKAKFYTLCKSLSKENLQQFPVDHAYMPAVMNRLTLARQIDKITRKNSQDNANKSWLQRNAESMGLVLETSDSEEERVQGHKQRKATSAHLQKLQQNLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSKRNIKGSAGVNANKGSRFVVIGQDQIEPLQALQNSGQQVCVNIDKQREKRRLAENWRRKKQKEKKSTREQKRKEKRKAKERE >OB04G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13601765:13607251:1 gene:OB04G25510 transcript:OB04G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYNMETPFGVGSYFLQGYYNVLANCPERAFEFYKGGSTAVRLDCQTMKSSIAGTVQEINDMIISMNIRKVEVKTANFVESWGGAIQMLVTGLVQLKDYPIRKRFAQTMLLAPQDNGYFVFSDIFKLICDEYDYYEVADYNRTDNITQIDAHNTMTETASDCMLEDFEAKEAFASVDFEERDPASMPDYQEVQQQDTLDYGAVIDDNSPSEEPTPLLLSSTDCKQDAPLDHIVHPSTLTPEEEPMGEPAKQTYASVLRTKGHPSHQAMMRTVESQQNGQMTKQVQPVHEKSNLDTHHDASVPEDDEEFLSVYVGNLSPSTSVFDLEKVFQAFGKIRPDGVAIRSRKEAGVFFGFVEYEDMSGIQNALSASPIELNGRLVHVEERRPNSGIYRGGGARRGRGRAADFSRGQSGGRYDGDYATRSKGNGYQRRG >OB04G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13608792:13609887:-1 gene:OB04G25520 transcript:OB04G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHPSFLSPLSSPSALQLRRSFSDGHLPSLHSDGDKITGLHTELSFSIYSTAFINKVAPPPPPPVQEQDDDVELQGKADEQQRASEQPELPLFLARGLGIDRIASGLFTAGGGGASTVGRNAGAGRMSALEEEHAEKVAALDAQYKKMVDEQPGSALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFHKSVQAAPQNSNVLAAYASFLWEQDDDDDLGKSEQEMGAGHVRQLASAAV >OB04G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13610177:13613068:-1 gene:OB04G25530 transcript:OB04G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13330) TAIR;Acc:AT4G13330] MLRAAASRRARAAIRRLSSAAAYPAAVGARRQPPLDEGDWSYYREWWGEDEGPGEGAHTVFRRHSEHGNGVVSVSAYPASLPANDQRTIIERWLQERNERIHPDSTGTDQFKILGYQWRVMRFNDHTRQSVAKVMACYRSLGDAGIYLMQQPHCLAVPYVKSMVSSGLTALPSCSYDIHQAASGQNNMKVLCIGHGGGSLPLFVASKFRGAVVHIVEIDPVVASASIESMGFPSLPVEGLPVESTQPADGNELLWGGIHDRLFLHIADAEDFVANDSNVYDIVFIDAYDGDDIFPRKLWDADGAFLKNLEKKVHPDHGTVVVNLHSDSELSVAGVDDSSRAQSILPLGKHVSQVCRAYKQHFGLAFTAAVPWLCNITLVACRDKAIAAGARLGLSRRDFVLGKLLSKSNMVEHALDLPFPCLQYIKNCFTLVE >OB04G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13614914:13615233:1 gene:OB04G25540 transcript:OB04G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTSWRAAPAAWPRTRTGSRRTCAGWPPSPGTLPRPSPARTGSSTPASPRTYTHIEHLAVPLGHLPHLPV >OB04G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13614892:13616372:-1 gene:OB04G25550 transcript:OB04G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTTTKAGRNGDRAGAGAEGPAAMRATEGRGAGAVAARKGADPRGMSAVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDDHEHHHLSVMQQHVAFFDRDDNGIIYPWETYEGCRALGFNMIMSFLIALVVNVAMSYPTLPGWLPSPFFPIYIHNIHRSKHGSDSGSYDNEGRFMPVNFENIFSKYARTSPDRLTYREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFEYVARQREQHAKMS >OB04G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13617462:13621093:-1 gene:OB04G25560 transcript:OB04G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEATRAAPTEAISSVAAEAPVTSERPVRADLESLIPKPYLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRALGFNAIVSFILAIVINVGLSYTTLPSWIPSLLFPVHIKNIHRAKHGSDTSTYDTEGRFMPVNFESIFSKNARTAPDKLTFSEIWRMTEGQREAFDLLGRIASKGEWILLYVLARDEEGFLRREAVRRCFDGSLFESIAQQRREAHEKQK >OB04G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13624475:13627577:-1 gene:OB04G25570 transcript:OB04G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATVADKAEFKECLRLTWTQPYILRLVLSAGIGGLLFGYDTGVISGALLYIRDDFSAVEKSTVLRETIVSMAVAGAIVGAGLGGWMNDKFGRKPSILIADALFLAGALIMALAPTPAVIIVGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVTGTWRWMLGIAGVPALLQFILMWMLPESPRWLYRQNRKEEAAAILRKIYPATEVEQEIDALRRSIEVEIQLEGSIGGDQGLLGKLKKALGSKVVRRGLMAGVIAQVAQQLVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFLGAPHHAPPVSDLETRLFANQTQTCPEYSPRASWNCMNCLKAESTCGFCAHEGNKLLPGACLAVSDASRRTCHADDREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSLFALVVVFFTVPETKGLQFEEVEKMLEKKDYKPWKRYRPDVPSKGREIGLAVP >OB04G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13632265:13636773:-1 gene:OB04G25580 transcript:OB04G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGITKAAGNPFPPRRIRFKIPNSSIHGQSSRDNASSVPPAPLDAGDIFKQAELARLLPVEDPEARSTSLKSGRTIGTSAETGKGPEKLGLEQSRNISNNINSRCQIRKEELSSRDANRNNAGFLGVGSGIPTTVGEPRNRMGTITFNKLKEVVGADVCSVSSFREDKGEETTDKGTTGLSSSTLSIVPRRHVGQRKLVRNGCISPSNIAKRSLKVDEKREMCSTSRLLRYPDNEVDVFEKGNVIDLTDNSPAIRRQGNTSTDMEKRSGRKLAIPRAGEAVIPLAANQVNSSIFSEGSNSKGKEISHDGMSGKQSGEAYIRRVCPRSMGDSSSVANNDHTGIYSEHCWRTTHNNTSILPMSLSGDNNNSINGADNNNSIDGATTLQTATVNKTIRISNRKRKHIPSSYHPAESSSSLDQPRVASLGSTSARNRITHRCHIPVVDIDDICSPEVRSTSSGIDGSNQTLVDPNIREQLESDELLARQLQEQLYNETPHVVPAEEIDAIIAMSLQNEENAQQTSRATRRFQNTTRGTRVMRSSASQHANRVVYDSANRRTIYQRVLSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVVQSNNIEEPCAVCLENPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >OB04G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13639521:13642118:-1 gene:OB04G25590 transcript:OB04G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGDRRRRRKPRGQSPARSPPPRPDHVALPGKASTAGGGGGGGAPPPPLLHEAKALLPASPPPLPAVVAEEVVTGASPVKEPREQEASPANSSLLEKQVTPPLSPVMRSRMVKTVVPTADPELREVGGQKSRSSGKKKVTFDMNVKAYENTIPADQEEEPPVPASMVENEDRKHVQRDVQLPENHRYRNCSDSDEEEVEDGEDDNYGDDTDEEEEDFVDCKIDLVDEDELYTEDSKQESHESLFSLQMYKDQQNDNEISSPAPKSSGTSVEEQSPVIQRNNLRDRSQYVRPVLNPVQNMSQWKEVKAQAAPAKKLDKENVNSVPNISATPETSSKREVSVDASLSTWLVSSDNSTVDKAQSRSPRSISTVNRDERPVLGALTVDDLKQSSATSSPRRSPSHNREEAPIFGTVGSYWSSTKQDNAHCSSKSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKSSA >OB04G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13645064:13651848:-1 gene:OB04G25600 transcript:OB04G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPGPLTEWPWQWMGNFKYLVLAPAAMHTAYRVATKGWGDVDPAYAALLPALLMRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQVDRERSWDDQIILNGLLFYLAYATIPNISLMPMWRTDGAIITFLLHMGPVEFLYYWLHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHLAYYLLFSISILTPIFMGCGSVLAAILYISYIDFMNNMGHCNFELMPKWMFQNFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDDLYERSSKGTEETPDIVHLTHMTTLESTYHLRIGIASISSKPCNDSDWYMWMIWPAAWLSMVLARIYGSSAFVVERLKLKKINIQIWALPRYNYQYGLPWERKSINNLIEKAIVDADERGIKVLSLGLLNQDKQLNGSGNLFTKKYPRLRVRLVDGSSLATAVVLNSIPLGTKQVFLCGSNSKVACATAIALCKRGVQVILNQEKEYGMLKLRVPESRAIYLKFSNYETHQTWIGDSIGDAQGRAPKGTIFIPTSQFPLKKARKDCTYLSNPAMKIPKTMHNVHTCENWLPRRVMSAWRIAGILHALEGWEMHECGDDMMDIEKVWLAAIKHGFIPSSL >OB04G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13651468:13651694:1 gene:OB04G25610 transcript:OB04G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLNMIQLLTLWKSNLLQSPIVNAWRPIVLEPHVAQIHVQAIILVMISTSPIIFLVLINALDFLLLAIVILMH >OB04G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13653551:13659206:-1 gene:OB04G25620 transcript:OB04G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C2B [Source:Projected from Arabidopsis thaliana (AT2G33385) TAIR;Acc:AT2G33385] MAFFSSGSRALVEILTRLQSAERPMPVDHTLFEFGSIRYHLQVSMTDSENVYLSISTPSLSYEASPSRGLPEITLQETRKLCHKFAEIIEPPKEGYALTLKLNFSGLTRQKDRIKAINQISLLQSVILSSQLKDVLGSLGSSGTMKLVYNQRDHFFVSKTPVKINAIFPMRFKDDTDLAIASSFFQEVQELGSTSSFSGAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRKAARTAWILLNFQSYVKYHIKCTRSYIQSRMRKRLEIMTEVIDDAKVRGNDENRKKLQVSSKRSKRRAIKFARAKKKIQKSFKAVVDKMKRLRLRIRVKGLDRFRRHCQCFSLPKLTMAQRKGHKYQKLE >OB04G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13659953:13663734:-1 gene:OB04G25630 transcript:OB04G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRFLNPLVLNLQKMELELTCPVCLKLLSAPTMLPCYHTSCSKCATTRTMNGYSCAICKSAYRSQDLKPASHLEAIVNIHRSLSSTVSSMVTQQEVQADIPVAKTSFQGTPESGNKNGAEKADQMNSYNPIGSKLAYNQSTESTRPAYGNVDFAKERNPAMETRGADVTAMPTILMHKGPCGSQSSDGPRDLDCDSNDLEGELITSRSSPQSVLKRDPNVVNDDNRELKRQKSTDQDDRPPAIPGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDSQAWKSNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGIPGCRWDEENFVMLCPSHSSKKLPCERSKSKTKIRLQRSSSDTVLDDLNSPSPIQMDGLWTASPFLTSQWVICGSALSSQEKEILDQFEHQTGITVTNSWRSNVTHVIANTDERGACARTLKVLMAILAGKWVLNINWLKACMEAREPVPEEPYEINSDVHGSFDGPRMGRLRMMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADISTTSVILYSMEPPQGSNPDTLNEVIRKRKAEAEELASTVGCRAVPHTCVLDSIASCTVQLAM >OB04G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13666666:13666818:-1 gene:OB04G25640 transcript:OB04G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEREVMHEKNARTHEHALAEFIREILLFLCDGYLIKYMVELTALLVECIL >OB04G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13667440:13668280:-1 gene:OB04G25650 transcript:OB04G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKERELLAQAPTKALAEPGTDKPAPVPASNKLLAGHLAHEFLTRGTLQGRRVAPEPKPKPSQAAPSSEIPGEPKPETDAAKRMRYTEVSWLLMASGARVPGVVNPAQLGRWLQIKE >OB04G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13670750:13672539:1 gene:OB04G25660 transcript:OB04G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPPAAAAASPICCVSLHSPGRRRASFSAAPSSARSFPRFSARSSGGGGASPGPKPGDDESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQEFQEEVVQRAQKAKERAAIEVVDETSPKTLREPSKTIVTPAPATPPPPPPTQEE >OB04G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13673196:13678756:-1 gene:OB04G25670 transcript:OB04G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVATCIAVMAVVAAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVAAALAAGARGLDRDDFPPGFLFGAATSAYQIEGAYLEDNKGLSIWDVFTHAQAGRISDGRNGDVADDHYHRYMEDVDILHNLGVNSYRFSISWARILPRGRLGGVNSVGIAFYDRLINALLKKGIQPFVTLNHFDIPHELQARYGGWLGAGIREEFVYYSDVCFDAFGDRVRFWATFNEPNLLSKFQFMLGVYPPNRCSPPFGSCSGGDSRREPYVAAHNILLSHAAAVQNYKTNYQAKQGSSIGIVIAMKWYDPLTNSTEDVRAARRALSFEVEWFLDPIFFGDYPREMREILSLNLPEFTAEEKKLLWTNKVDFVGINHYTSIYAKDCIYSPCKLNTYESNALVYAPSERNGVKIGKPTAFDVFFVVPQGIESAVMYVTDRYKDTIIYITENGYSQRIHNDTNMEELINDVERVSYLHDYLKYLSSAIRKGANVGGYFVWSLMDNFEWTFGYTVKFGLYHVDFDTQERTPKMSAKWYKDFLMGSRLTGGLQVQSHRADY >OB04G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13681941:13687619:-1 gene:OB04G25680 transcript:OB04G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPATCAAVAVVAALAVLAAPPGACGLGRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTHAGKISDGGNGDIADDHYHRYTEDVDILHNLGVDSYRFSISWARVLPSGRLGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPHELETRFGGWLGAAIQEEFVYYSDICFRAFGDRVRFWTTFNEPNLFTRFQFVLGVYPPNHCSPPFGNCSSGDSGREPYIASHNILLSHAAAVHNYKANYQAKQGGSIGIVIAMKWYEPLTNTTEDVRAARRAQSFEVDWFLDPIFFGDYPRDMREIISSNLPKFTPQEKKLLQMNKVDFIGINHYTSIYAKDCIYSPCKLDTYEGNALVYTTARRNGKQIGGSTAFDTYFVVPKGIESAVMYVHDRYKNTAIYITENGYSQHSDTNIKELIINDVERVNYLHDYLSYLSSAIRKGADVRGYFVWSIVDNFEWTFGYTVKFGLYHVDFDTQERIPRMSATWYKDFLTGCRQADGLQVQSRRADS >OB04G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13690412:13697538:-1 gene:OB04G25690 transcript:OB04G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQERDEKLQPAGPVPAERGARARSSIIKKGSRPSSPRPRQRARRRARRERERESERARGESMAVAATCTAVVVVAALAVLAPGARGLARDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHSQAGRISDGRNGDVADDHYHWYTEDVEILQDLGVNSYRFSISWARILPRGQLGGVNPAGIAFYNRLIDVLLQKGIEPFVTLNHFDIPHELETRYGGWLGAAIRDEFVYYSDVCFKAFGDRVRFWTTFNEPNLFTKFQFMLGVYPPNRCSPPFGNCNSGDSHREPYVAAHNILLSHVAAVQNYKTNYQAKQGGSIGIVIAMKWYEPLTNSTEDIQAAQRALSFQVEWFLDPIFYGDYPKEMREIISSNLPKFTPEEKKLLQLNKVDFIGINHYTTIYAKDCIYSPCMLNTYEGNALVSTTGVRNGIKIGNPTAFDQYFVVPEGIEPAVMYVNDRYKDTTIFITENGYSQHNDTNINKLIDDIDRVNYLKGYLAYLSLAVR >OB04G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13702244:13705301:-1 gene:OB04G25700 transcript:OB04G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTYSIICGISDIINKYHITGSEFSSSSPPSPSSSSPRRRRTTSSSSSNTRVVPLGTPQSNESSGSLSPPRLSAGVECNDRSSGSPRAGSYTPTHVGARRVRGGPGILVVECGVVSQQPLLGNLADPELPSTEKQAIADFGHFADVCFGAFGDRVKYWSTFNEPNVAVRFGYLLGTYTPARCSPPFGSCARGDSDAEAYVAAHNVVLSHATAVEIYRRKYQSKQRGMIGMVLYSTWYEPLTDVPEDRLATERALAFEGPWFLDPLVYGDYPPEMLRLLGERLPSFSPEDRRRLRYKLDFIGVNHYTTLYVKDCVFSACPLGKQTQHARAAVVGERNGLPIGTPTAMPKFYVVPDGMEKMVTSFMKSRDTTMCQCSSLKTATRKVEKVTATWRIGLMTRI >OB04G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13706290:13709092:1 gene:OB04G25710 transcript:OB04G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 5 [Source:Projected from Arabidopsis thaliana (AT4G13670) TAIR;Acc:AT4G13670] MIAAAAFLSVSSFHRPRLRPGHLPRRSAFLRCSAAASSSSSLWEEREGARWLREEQRWLREEQRWLREESRWRAERESLLAEVAALRLRLGAVEDPAVVDAAVAPPAPAPRAAPVVEEEVEVRKEVVVVVEEKKAKATSGGERRTLRVGAEGEDVRAMQEALEKLGYYSGEEDMEFSSFSSGTERAVKTWQATAGVSEDGIMPSALLDRLFTGQTGQEVKTKDDINGAAIPAVTEIAEVQKTVIKGNGVSGVGLSENRVFLIGENRWEDPSRLTQKNKTISGATNASVKKCVSCRGEGHLMCVECDGTGEPNIEPQFLEWVGEDMKCPYCEGRGSIVCDVCDGKTVVAN >OB04G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13709956:13713030:-1 gene:OB04G25720 transcript:OB04G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09040) TAIR;Acc:AT3G09040] MRSAARVRLAPKPRANQQPAPPFQLAAAAVAALGSHPDASSYASILRSLSRECFASHAHHPFDASPPRPRHSQACRALHGRILRSGLPLQGRLGDALVELYCKSGRVGYAWGALGCVGARASGAASSVLSYHARSGSPGDVLDAFRCIRCTAGGRPDQFGLAVVLSACSRQRVLAYGTQVHCDVVKSGFCTSAFCEAALVDMYAKCGDVINARRVFDGIACPDTICWSSMIACYHRVGHYQEALALFSRMEKMGSALDQVTLVTVISTLASSGRLDQATSLLKKMPTPSTVAWNAVISTHAQSGLEFDVLGLYKDMRRQGLWPTRSTFASMLSAAANTKAFVEGQQIHAAAVRHGLVANVFVGSSLINLYAKCGYLSDAKNAFDLSCEKNIVMWNAMLTGFVQNELPEEAVRMFQYMRRYALQADEFTFVSILGACTYLNSFYLGKQVHCVTIKNCMDISLFVANATLDMYSKFGTIGDAKALFSLIPYKDCVSWNSLIVGLAHNLEVEEAVCMLKRMRLDGIKPDDVSFSTAINACSNILATETGRQIHCLAIKYNICSNHAVGSSLIDLYSKHGDVESSRKILAEVDMSSIVPINALITGLVQNNNEDEAIQLLQRVLNDGLKPSSVTFSSILSGCTGSRSSVIGKQVHCYTLKSGLLYDDTLLSVSLAGIYLKSKMLEDANKLLTETPDHRNLFEWTAIISGYAQNGYGDHSLVSFWRMRHCNVCSDEATFASVLKACSDLTALEDGKEIHGLVIKSGFGSYETSTSALIDMYSKCGDVISSFEAFKELKNKQDIIPWNSMIVGFAKNGYADEALLLFQKMEELQIKPDEITFLGVLIACTHSGLISEGRHFFNSMRKVYGLTPRLDHHACFIDLLGRSGHLQEAQEVINQLPFRPDGVVWATYLAACRMHKDEERGKIAAKKLVEMEPQYSSTYVLLSNLHSAAGNWVDAKVARESMREKGVTKFPGCSWITVGNKTSSFLVQDKYHPENLSIYEMLGDLTRMMKRDNDIEEYGLLTSAA >OB04G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13713459:13718367:-1 gene:OB04G25730 transcript:OB04G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAFACFSASLPRLLGLLRRGVEKFFPDEDFSCPTPNLCERPLCDCLDGTGLLDAAAGEVASFLQLKSNWGDLGKARESLGAVEMMVRGRVTAELNKLNVCDPLVELWLRRVDELNLEAIDEDYNHLMEYSCICQCTRHAARRSWIGKRIAEARDEVNKLVEEGRQFKKFGFKPAPEIVERLPQTKTFGLESMLAQMHDLLEKADSNIIGVWGQGGIGKTTLLHAFNNDLEKKVHDFQVVIFIEVSNSETLDILEIQKTISERLNLPWNEAETIIKRARFLVKALSRKRFVLLLDDVRKKFRLEDVGIPTPDSNSQSKLVLTSRYEEVCYQMGAQRNLIKMHILDSDASWNLFLSKLSTDASAAVESPSLTNVVRERAIAIVQGCGGLPLALNVIGTAVAGYVEPRDWTSAADAINKNMDEIEGVNEMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEQLVEYWLAEGFLLDDSEKGNQIIRSLISACLLQTTSTLSSKVKMHHIIRQLGLWLVNSADRSFVVKAGMALDNAPPAIEWKEATRVSIMSNNISEISFSPKCKNLTTLLIQNNPKLNKLGWGFFKYMPSLKVLDLSHTAITSLPECDTLVALQHLNLSYTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRALLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKYCAEMQSIKISDFNHMRHLEELHVESCYDLNTLISDTQLTTYCLQALTLSVLPSLEDVLVAPMPHNFRYVRKLSISQCPKLLNITWARRLELLERLVISSCDEMLTIVEEEVNSTEEQYGAQTIKMQDHPYEEQDDHAMVEYSSGEWNDGYQSVNRESTNGAMLQADFPKLRSIVLTDLRKLGSICKPRDFPCLETLRVEDCPNLRSIPLGTSHKCGKLKQICGSSDWWKKLQWKDKEAAAHMESKYFIPI >OB04G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13721098:13725166:-1 gene:OB04G25740 transcript:OB04G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYVAVDMQNSEAVAVKLESVKSRHPQLHHESKLYMLLQGGTGIPHLKWFGVEGEHNVMVIDLLGASLEDLFNYCNRKFSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKVNQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYVFDWTILKYPQLRDNKLRPTGKTSALGGRSAERTAGEALARRTGSGSGRNGEPTKHRTLVDSLMSSKAAVDRDKTRPTSLSRNGSTSRRALVSSSKPNSGDPSDTNPNRTSRLFSSSSSRPSAAQRALQSAGAEVRSSSLSKTRKSSRDDPIIRSFEMLSLSADRRK >OB04G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13728918:13729740:-1 gene:OB04G25750 transcript:OB04G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLARIRRGGAIRQELAKLKKEVAA >OB04G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13729682:13731444:1 gene:OB04G25760 transcript:OB04G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILMSVSESRLKLIWQRIISGASTNLTHPVLVDDIDDDDEAAVVLAVVHQGHPPDLHEPLERLHKHNNSSSSSNNNARISINPILSPTPPRRRAEFGDNTLPFPSCGDGDGERAKRRRDEREGRRSATRGEEGSDAAGLYSRGGGSA >OB04G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13732052:13734589:1 gene:OB04G25770 transcript:OB04G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKKATIAEEVVKEQQQQEEEEKKETAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWRGEVPKGLPGWKEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >OB04G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13743436:13746170:1 gene:OB04G25780 transcript:OB04G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSDQRGFDLLLQESSCEKATSALLQDLKEEFALKDLGALHYLLGIEVNKVHDGIILTQEKYATDLLKRVGMHGCKEINTPLSATDKLLAKEGEPLGAEDSTRQKACAALTHAHSHMGAITSPSSSSLIDSTSLVNMKVLCVDE >OB04G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13748313:13750730:1 gene:OB04G25790 transcript:OB04G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLHKKVTNERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQENATSAASPATFAGSSRVAAAPDVRAAYSDQTGSSTGFAPRQSEILDSSNHQLLNLAMFQCNSVLDHFPQEVSSSPMMGLGSIGIGDDQYGFFYDVGFEETANLGAGMRFPQGWS >OB04G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13758725:13759341:-1 gene:OB04G25800 transcript:OB04G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPPSGGGNGGGGSSSSSSSPSMGAGTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGARPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQQLQGDSSGLHGHQHHPPPPPPAGAAC >OB04G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13758764:13760212:1 gene:OB04G25810 transcript:OB04G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVQAAAVALQLLLRLLALLLLIADAAGARLVLADLAEVQADGACGERIRLRAGAVLLEGGAEAADEGVKTAPGLAERTGAGRRRVGVAEEGASCGVNLGLAELVQVAQELEDVRAAALRKAQRRPVVPQLGLEICFGVGALSLNLSLKSDAQRGGEQGGGGGVVWPKKGRSEVTETWSAQWYEQ >OB04G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13779638:13782442:-1 gene:OB04G25820 transcript:OB04G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTAHRFETEMARIMGKEAALFVPSGTMANLISVLVHCDTRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVQAADSVSVCISKGLGAPVGSVIVGSTAFIDKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLADGLKKIKHFRVDSTSVETNMVFFDIVDSRISPNKLCQILEHRNVLAMPASSKSMRLVVHYQISDSDVQYALACLEKAAEEILTGSKKFEHLTNGSTRNSYGH >OB04G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13783237:13783599:1 gene:OB04G25830 transcript:OB04G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKSTNKRPLLLRQSADPAKPHRETTDPRPQSQTGQAYIAQPAHRAWYYTGTALSLSLSIQQPQKLASRTHAFHRKAITAPAPRTAGGAKLQTDSQISQGSKSIVIYSMGSIRRRNVTS >OB04G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13786694:13787437:1 gene:OB04G25840 transcript:OB04G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASVIVTSCRCVGASACVSSGLVFCATFAVKSPFVARELSPEHIDGVFGVVDECLRREARYATAAVEAETRRLGDTARCHPVPAFLYARAEGGARRARALIADAGGRLKRMSESEGKWRDITDATVAALAWLRLTATVINLAVAVLTTMTDTASTSSSSKPDATLFVVWIAATFMYSTPVFVQYASGMASVAACFTCFVALCGFALMQANKVHLWTSTDVAGSNTARQRRRAGKDAITRGLR >OB04G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13788201:13790609:1 gene:OB04G25850 transcript:OB04G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSLACSLFLLCSSLTFGAANGMYGRVGVEDEAEEESRTATDGARGAASGWPGYLYTRAVGRCTPQKWAMDRCVQKIQKFWSSGAEQWPNIIPQEAAVSKVFGSRSIDRYGPRLTVLEATMRTDDSGSNSAFAKLVKQGSAALLNAYARRGFPLDSWEVKALLLEALVSEDAAAAQADRFEQANESCV >OB04G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13798219:13799615:-1 gene:OB04G25860 transcript:OB04G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKVLVAHIQRHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSREEEDAIIQLHELLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRRGGPERGRHDAAGGGKKHKKPRSEKKPAAAAAAPASPERSASSSVTESSMASSVAEEHGNAGISSASASMSVSAKEESSFTSASEEFQIDESFWSETLSMPLDGYDVSMEPGDAFAAPSSAEDMDYWLKVFMEAGEVEDLPQI >OB04G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13810007:13813628:-1 gene:OB04G25870 transcript:OB04G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT2G31190) TAIR;Acc:AT2G31190] MNILERIRGGGDRAVVAETPREPEPWVEISESVSRLCSFDAGGVSVKLVQDSRPIHDKMIDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFTKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPVRRVFSPQCVEQLKATFSKEKFLLSRKGNSAYMVLEQSATGEDALRGWLVAAFASEMEKSGVGSGDTVLNEAYQRMESVFPMFVADVRSRGWYTDQFLDGNRSRIAYAKSQ >OB04G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13814263:13819513:1 gene:OB04G25880 transcript:OB04G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 6 [Source:Projected from Arabidopsis thaliana (AT5G18480) TAIR;Acc:AT5G18480] MGSRPRLALVAALAAAALLAGVAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVKHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVYLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDSLPSYTGGDQGFLNSYYADFANSHVYEPDNPSTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRNPHDQLVVKVLFILPVLLLLFGYYQSCFQTNKDLLSIRSLCAFARRARYKYKSDEALPSYSAAGVSSSAFANSDQRLSHGTHSRLPSYFGAIAVLASFVSAGVSLAFAFTIIPQQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGILCANHVGYSRLDSSENHMITGRHHNTSECDIDATFYWIVMAIIAIVTVLSPTLLGVTALFAKLGLMVAGGVVLASFMTYSSEHLAISAFYKGQRERNVSRTRSICFLF >OB04G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13821876:13822808:1 gene:OB04G25890 transcript:OB04G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKRDFEIGHEIGRGRFGVVHRCASRATGEAYAVKSVDRSRLSDDLDRSLAALEPKLAQLAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRVRREGRRVERRGGHVCAPHRRRAPDRWRDGLRRVRRRAAGQPEVPAEAVLRGVAGGKGPDAPDDVPRRLQEVLRRASPDAPVDREWRRIPRCCAANLKSSYPTTVVR >OB04G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13827080:13831885:-1 gene:OB04G25900 transcript:OB04G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVILELVLILVLSTAQCGSTTKVPRSDCQKQCGHIDMEYPFGIGTDCSFNGGFDINCTNQNGVFKPFIGDFELLNISLTQSTVRVLNFITAYCYNSSGSMEVSGPRGFNGTNTPYRLSDVRNKFTVVGCNTLGYIVDDGNRRYQSGCVSTCRNLSDLVDGVCSGMGCCQTAIPNGMYYYRAGFDMGFNTSQTWSYAVLMEASEFNFSTAYINSTRFNDTNAGRVPMVVDWAFRGEGSCVTAERNETGDYACLSSNSKCVNSTNGPGYFCNCSQGYEGNPYLPDGCRDTNECNRHPCPSGGVCHNTIGGYYCSCRAGRKFSGETNACNPDIGLIIGVIAGFLALMSIAFCRHMIIQRRKLNKIKQEYFRQHGGTLLFERMKSEKGLAFTVFSEAELIHATNNFDKSRIIGQGGHGTVYKGMVKDDMQVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFELIHRKNQGLQISFGTLLRIAHEAAEGLHFLHSYASPPILHGDVKTANILLDKNHMAKVSDFGASILAPSDKEQFVTMVQGTCGYLYPEYMQTCQLTDKSDVYSFGVIILEILTGEVPLKLVGPEMQRSLSSVFLSAMKENNLDSVLASHVKGQESMELIRGLAELAKQCLDMCGSNRPSMKEIADELGRLRKLSPHPWVQDDAEIEIESLLGGPSTTTNGFEIVTSTGYATEERENLPMNPGSSYYAR >OB04G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13847243:13847981:1 gene:OB04G25910 transcript:OB04G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGSKRRFLRAFLHSWKKLGAAAPPAAGDWAPLDGGEGAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRARDEYQFAAADARLCIPCDEDIFLGVLCHVDSKQEHWRLVSLCR >OB04G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13847879:13852081:-1 gene:OB04G25920 transcript:OB04G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSYDGILLGMGNPLLDISAAVDEAFLSKYDIKPGNAILAEEKHLPMYDDLASKANVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQAAGVNAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYKSEHLKRPENWGLVEKAKYIYIAGFFLMVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKVCGWETENTEEIALKISQLPKASGTHKRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >OB04G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13857196:13860043:-1 gene:OB04G25930 transcript:OB04G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3LZL8] MASQTADAAGFAANDPLSWGKAALEMTGSHLDEVKRMVAQSREPVVKIEGSSLRVGQVAAVSAAKDASGVVGDRDEGARPRVKASSEGILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGNTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNALAVTVDGKKVDAAEAFKIAGIQGGFFKLQPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKNCVTTVAKKVLTTSSTGGLHSARFSEKALLTAIDREAVYSYADDPCSANYPLMTKIRAVLVEHSLANGPAEKDDGTSVFSKITAFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISQRKLIDPMLECLKEWNGEPLPIC >OB04G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13863223:13863954:-1 gene:OB04G25940 transcript:OB04G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVKGLGPAGNPQNQRFVVDDAVLLNVVGREQESRSYQLYRFVREDLGAVYLTGERLRSSGEEGDKVLLGINQGKLIDPLLECLEEWNGEPLSCLPTCAKP >OB04G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13865665:13869719:-1 gene:OB04G25950 transcript:OB04G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3LZM0] MECENGHVAVAAAANGSGLCVAEPCADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAGAAVGAARVELDESARGCVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGDDGHVLPASATRAAMLVRINTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTADGKKVGAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENVKSAVKSCVTTVARKTLSTTATGDLHKARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERNVDTSVFAKLATFEEELRAALPREGGGGCPGGRGERHRREGEEDHRVQVVPALPFRPRGARDRVLDRGEDAVAWRGGEQGVRRHEPGEAHRRPARVPQGVERRAPAHMLIAEQRTKETGGI >OB04G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13878107:13879925:1 gene:OB04G25960 transcript:OB04G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTRMIAGMDMTSSNMAELRTSPALLFSFNPALRWLRWHTRALPVLETKRDAPNLEETVEINDVAAGGTPAAKDQNLNHSTSIGHLFQCLEIHTFLGNQENKMIAITANTIGPN >OB04G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13879762:13881778:-1 gene:OB04G25970 transcript:OB04G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHLYNVTIGLYMLDWWERYLFNILMLCLLWYIFRYVLGFFQWYNLLDHDLFTSLFGCNLKTILQGGNYLVQGRNLR >OB04G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13893354:13897898:1 gene:OB04G25980 transcript:OB04G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3LZM3] MMDTEDRTEIIKEGKAVVLEGMALLPSRFRRICVFCGSSQGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPKELVEKLEAYSPRHDEVVPKMQWEMEKLSYCKSCEIPGLKEGSKATIQAQRGSML >OB04G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13911236:13913210:1 gene:OB04G25990 transcript:OB04G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3LZM4] MSSVPPVGAAVYYFPQGHAEQASEAVDLSSARVPPLVPCRVVAVRFMADEETDEVFARIRLAPLRPGDAVVDVGEAAAAGEGGRQQEEDRPQPASVPKTLTQSDANNGGGFSVPRFCAETIFPELNYRDEPPVQLIHAKDVHGEQWTFRHIYRGTPRRHLLTTGWSPFVNKKQLLAGDCIVFMRDEGRNIHVGIRRAKRGFCGIGGDDEGLSSLPAWDQFRGLVRGNATAGGESSPTKGKVPPENVLTAATLATSGQPFEVLYYPRASTPEFCVRASAVRTALSVQWCPGMRFKMAFETEDSSRISWFMGTIAGVQAADPIRWPKSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSSPRKKPRIPPYAEFPPDAQLFNPAFPPNLMAHGHADHHHHLHHGFPFLPFPDGSAQPAGIQGARHAQFASSFPDLYIGNLQPNLMLYSGIRLPPADQAAPRPPRIISTDLTIGSQLAPDEPTTSPSSSARKIDNAKPTGFLLFGQAILTEQQIKNGNSGGRASPGATRKRSLNWDAEKAPNGSEGSDSAGFSEGSPTTTKGQPPPHQHAVVEVAVFWRQQKPRIRVRAGARPVQGVRRVGSRRPEPRSHGSELVRGAVRPTV >OB04G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13922094:13931491:-1 gene:OB04G26000 transcript:OB04G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20660) TAIR;Acc:AT5G20660] MPRGQGSSVSTHEKPKVDEVSTNEDYGRHRRTPFLLLGLLIVFLHGSWSVYRVQYANLPLPLNAEQAGKRGFSEASALEHVKYLAGLGPHPVGSDSLDLAVQYVYAVAEKIKKTAHWEVDVQLELFHTYIGANRIAGGLFNGKTMLYSDLKHVMLRVVPKYLLEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHRWRNAVRFAVDLEAMGISGKSTLFQGTDHWALESFASVAKYPSAQIASQDVFQSGAIKSATDFQIYREVGGLPGLDFAYTDRTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQDGAEQNKAVFFDILGKYMVVYPQRLAIMFHNSIIFQSLLIWGTSLLMGGRPGLVSFGISCLSIVLMLISSVSLSAVVALALPYICSFPVSYVAHPWLVIGLFGSPALLGAFIGQHIGFIILKRHLKHVYSRTKPGLAHNVLGNIVSLEAERWIFKSGFVQWLIVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPARSPKQLKVVTLVLALAAPVVSSAGLAIHMVDIIIGSVVRIDRNPGGLPDWLGNVVVSVAIAVVISFTFVYLLSYAHISGAKKTLGFLLCVIFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTVVNSGNTEPSSYVTLFSNTPGKLTNELVNLRDEEFSCGRNRTVDFVTFTMKYGCLSYEGSNIGWSKSEVPVLSLESDAVTDDARQTIISVDTKSSTRWSMAINKQEIDDFTVHVDLENLVPLGNKTEIDGWHTIQFAGGKDSPTKFQLTLFWSSNAKDAFPKQVKSEDHSFLVKLRTDVNRVTPKVARVLEKLPRWCAPFGKSTSPYTLAFLTALPVNI >OB04G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13932387:13932960:1 gene:OB04G26010 transcript:OB04G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAARVDPNPSYLLHEDMQRGGARVQRPTRAGRRPMLPAPLAFLLRLPRRPNLYALNQPRGSWSARSDVKAARAWHHAWCGGDVVQD >OB04G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13934738:13935040:1 gene:OB04G26020 transcript:OB04G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRSKTSWISSVSDKVGTDQALSCSAVCTAKISRFYLAPLLFLINRSARRFASIAAAWTQKYKMQPPSMSFISAFDYTLASAISVISLTVKSENQAQS >OB04G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13946879:13947363:-1 gene:OB04G26030 transcript:OB04G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSKILGVEYRDHQAAAEWELHGDGDDDYEYGKVAGVGGAGVPPAGLAWRGRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >OB04G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13954549:13955934:1 gene:OB04G26040 transcript:OB04G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEEGADGEASPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWAGRSVKHTGYSWNLLVDILGKAGMYEPMWDAVRSMKQEGGGLITVATFASIFSSYCAVGNLKEAVKAFDVMERYGVKPDAVALNSLLSAMCRGEGRAQAAQDLFERAKASIAPDADTFGILLEAWEKEGNAQRAKSTFGEMVVRVGWDASNMPAYDAFLSTLVRGDQLGEALKFLQVMRTKGCFPGIKFFARAIDLAVRKRDYANSLAIWEMMISDAGLIPNLPMYNAIIDLCCNAGNTDYALRMLDEMPFNGVFADSITYNAMLEGLIKQRKAREAEAFLEEMSKNEQLPSATNCAAAINMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFSEVEKYSDEMFGMGIELPRSTIDNMKRIFDKAGERHTYDHIVRRLKRR >OB04G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13955475:13955909:-1 gene:OB04G26050 transcript:OB04G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYVCRSPALSNIRFILSMVDRGNSIPMPNISSEYFSTSENLPKSSSPTISSRADSSAGVIWCSTMQCHTSIAADGLNSLENMLIAAAQLVAEGSCSFLLISSRKASASRALRCLMSPSSMALYVIESAKTPLKGISSSMRRA >OB04G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13960577:13963619:1 gene:OB04G26060 transcript:OB04G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAAEGGEYTAKDYADPPPAPLIDAEELTKWSLYRAAIAEFVATLLFLYVTVATVIGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAYYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHKKLLFTHIDKSTTPVSRALVNNRWVRDIKGALSAQALCEFLQVWDLIATFNTTQGQDDKRIWKISEDGNFSVSSTYNWFFKTNVLAKSARLIWRTRAPTKVRFFMWLLLKGKCLTADNLEKRGWPNQGACPLCQELNEDCKHLAVCCTYSNTAWRMDQEVLLEEL >OB04G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13964616:13968381:1 gene:OB04G26070 transcript:OB04G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTATPEPTSAYGPLRPVSAAAVQPPSMAPPRDDPQNSPLAASLLSGSPPRRDPRNLPAKMHLWPSLRIRDSFKHAYLDKLEFNLARMKHARRQGQGQQGEDQDQEEPPGDGKAPLLESLPAKRSSFVAGALELAMDAAMLLTCCCCCFCCGACGDEEDHPR >OB04G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13971050:13973843:1 gene:OB04G26080 transcript:OB04G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFSGLDSRLRCSVKVADSIMMGLVNAAMEDAYKKSLWKDGDLERLFQKLRFAELAIVQLEWCLRFVRGEMEAGGGHDDCHEQLLDDLLETRDRIQARLDEAEAEAELAVADKDRDYMRRKHEEAASPSRREAVPDVVSGRASPCRREAEEGRVFGELKGSVDRQMARMRFRLEDARSTLTALMQKVSGEASPMARLQEAGHEGDGVKGLSGFYSMAQLLMEFQEMVLDAGAVRDSVTSSFEFMEWSVSSLKEAMDERQWLAHVEKEMYVATINGFLMEINAGFPVLNDCSSLGEKQPTTENIWEEAESLKEKNKHIQKSLKEDQCGISSSECLMSPRPATSDSKQCCYSGEPNVCHEEVERLIEENIGLEIRCELQHVLHTGMFRDLVRKLAVLDAQKLTEENDEMNIGVELLCDICSTVFKDLVSKLGAESSEHLIRSFIQDEVEAVVIVQTLNKLEGVIEMVHSEKHSKEDNYCNSPGEIKKYLEQDTDLNVLRCPAENTRSNNLERFSIINNIEQMYIMKMRTSGACEDKYTDTYQTPLEKEILSSSDNCDRQDPEENDVEIEISADNYGISDALIGSVEQPLQEKDSKEKLHARGADLNLSIPPEEANMENGGMILILNEKRDAIHSTDSNSILAEQDQFELQNVLALFTSFQEVSMNFETVACEKIEAVMLRLKDLKKQQENLVEQRSSLKTSEQIYQRAFTRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKSLDRYSPVLEHYLGIRETLRLLGEELAVRHQV >OB04G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13975168:13975413:1 gene:OB04G26090 transcript:OB04G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCEEEDGEGEQQMVEEVEEGLRECALQGSKRRKRPVPPSLPLGRRSSGACVETDAAPAALDVEGRRRAAMDLLLQFHG >OB04G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13979278:13982829:1 gene:OB04G26100 transcript:OB04G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine-resistant (ILR1)-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) TAIR;Acc:AT5G54140] MAAPLFLLLLVSSASAGYEELLRRAEEEREWVVGVRRRIHAHPELAFREHRTSALVREELERLGLNARAVAGTGVVAEVGSGLPPVVALRADMDALPVQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLNERKEHIKGTVRLLFQPAEEGGAGASYMIKDGVLDGVEAIFGMHVDYRMPTGVIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLNVDPIVAASFVILSLQQLISREDDPLHSQVLSVTYVKGGNAIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGTVHMKDDDYPMYPAVFNDEKLHLHVETVGRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSVHTAHNPHFFVDEDVLPTGAALHTALAEMYLTERSSIGEDGSLHSH >OB04G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13982929:13983288:-1 gene:OB04G26110 transcript:OB04G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIAGANLSYAARLLADATDQSATPTASPAAEAAPPHRNATNASRAGGHRGHRADQHAGGAAGQIAARAGRRCAQGDDAPNPFDRFQPGSPRWRCLRLPTVPVATVPVPFLAPPPSA >OB04G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13986817:13987185:1 gene:OB04G26120 transcript:OB04G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSRRMVAPVLLFLLILLVVASETGTARVAEARHCVSQSHRFVGACMRKSNCEHVCITEGFPWGECRFHGVERKCFCKKRC >OB04G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:13995673:13998569:-1 gene:OB04G26130 transcript:OB04G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAEIAVDPPLADSYRALLRSEGGGIAPAAPSEISSAVLERVDLPTIDLGRLTSGGARERKACADAMARAASEWGFFQLTNHGVSRELLEEMRREQARLVRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLASISEEGCDFGELNSLRGVMQEVAEAMSRVANTVAATLAEKLTGHGDGAPAWFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDHVGGLHLMKDSRWVAVRPRPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSAAYFLCPSYDSLVGTCGEPSPYRAFTFGEYRRKVQEDVKTTGKKIGLPNFLKHPLVQ >OB04G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14016710:14018761:1 gene:OB04G26140 transcript:OB04G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 3 [Source:Projected from Arabidopsis thaliana (AT2G01918) TAIR;Acc:AT2G01918] PQSSSSAPRANARRHRPATPXXXXXXXXLASQLLLPAPAARAGAFDLRITVPEQSREEAEAVVKVHARNLVRVKGLIDARSWRELQSALRSSASNLKQDLYAIIQASPASQRPELRRLYSDLFNSVTRLDYAARDKDELQVQEYYSNIITSLEEIFSKIM >OB04G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14017031:14021506:-1 gene:OB04G26150 transcript:OB04G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMADDPSLNFGEIPQSFCSQQVVSFQPSATTSGSGVMPVYLDCSSGMDSNTVMLNTTPSVVVSTSSSNTIADPGQNLKYGGPLAADWTHLELDVLKDGLQKYVNEQGIMKYIKIAASLPTKTVRDVAMKCQWLGKRENSRRRKSEEHHVGRKVKERKGKIAEPSLWGTNHPVQTDTRVSSFVPHNAIQNSQFQTGATEIDRAMQQLLVQNDRLLNQIEANMLACQPQNNMELFHRARRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTMPQFLGGSHLKEEPRW >OB04G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14030302:14031784:-1 gene:OB04G26160 transcript:OB04G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSTLKLLIELYFVGIVEDSSSFMDIVKDLTALEHLKDRKLTQTNLSLLSSFACQGKIFLRLQEHMQEVYDEDQINLET >OB04G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14054359:14057709:1 gene:OB04G26170 transcript:OB04G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQVDYVEGFMVLNERALHSSSIAFPAQLNFSPDFGSMGRKKVYYCIEFAVHDSQKDSSNAEHAMELVSEKLSYLRPHMYSVDVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGITRFKDLLMDAISADDFEGPILVYPLLADKWDRNTSAVVPATPDGVMYIFGVLRSTDPARCGRACVESSRRRRAGATTSAPAGAGSRPARPGSTRCTCSARARAFSQGRIPRGGAMRCDGDAAGAGDGANRWSRLLPLT >OB04G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14057331:14057753:-1 gene:OB04G26180 transcript:OB04G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLATIRANEPTTYVSGSNRDHLFAPSPAPAASPSHRIAPPRGIRPWENALARAEHVQRVEPGLAGREPAPAGAEVVAPARRRRLDSTHARPHRAGSVERSTPKMYITPSGVAGTTADVFLSHLKRRPQKPGQYYSTP >OB04G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14060758:14062227:-1 gene:OB04G26190 transcript:OB04G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LZP4] MESALSPEPHFVVIPWLATSHMIPIVDIACFLAAHGAAVTVVTTPANAQLVQSRVDSAGDQGTSRITVTTIPFPAAEAGLPEGCERVDHLPSPDMVPSFFDAAMQFGDAVAQHCRLMSPRRPSCLVAGISHSWAHVLARELGAPCFIFHGFCAFSLLCCEYLNTHKPHDAVSSPDELFDVPILPPFRCRLTRRQLPLQFLPSCPVEDRMREFREFELAVDGILVNSFEELERDSATRLAAVTGKKVFAVGPVSLSRSPTVDDPRASSDDAKRCMAWLDAKKGRSVLYVSFGSAGRMPPAQLMQLGMALVSCPWPVLWIIKGAGSLPDDVKEWLRENTDADGVADSQCLAVRGWAPQVAILSHGAVGGFVTHCGWGSTLESIAAGVPMAAWPFTAEQFINEKLIVDVLGIGVSIGVTKPTGGPLTGGGGEEKAEVGMEQVKRALNSLMDGGIEGEERVKKVQELKAKAHAALEKDGSSYMNLEKLIRSAV >OB04G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14065199:14067017:-1 gene:OB04G26200 transcript:OB04G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LZP5] MTDIGCLLASHGAPVTITTTPVNSPFVQSRVDRVTPGGAGITVTTIPFPTAEAGLPEGCERLDLVPSPAMVPSFFHANREFGDAVARHCRQQDAPRRPRCIVAGTCHTWALGLARELGVPCYIFHGFGAFALLCIEYLFAQRRHEVFASADELVDIPVLPSFECKVLGRQLPPCFLPATTIGSGLMQEFREFDKAVDGVVVNSFEELEHGSAALLAAAAGKKVLAVGPVSLCHEPVLDPRAASDEARRCMAWLDAKEAMSVVYDWLRENTDADGVAHSKCHVVRGWAPQVAILDHPAVAGFMTHCGWGSTLESVAAGVPMVTWPLFAEQFVNERLIVDVLGIGVSVGVTKPTENLLTAGKLGGVEAKAGIGAEQVEEALEKLMNQGEHMRRNAQGLKDKASAALKEGGSSYINLERLIHSTSHK >OB04G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14070468:14071587:-1 gene:OB04G26210 transcript:OB04G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINNSPGHLSKDIHNVIRSQSHRLASTLQLPKQATDKPWGEQEESGGRVAHWCSNHDSVVAMGIEARQRLRRHVALSPQRVARLYRLRAQMRLMYRRVAGIR >OB04G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14073873:14074715:1 gene:OB04G26220 transcript:OB04G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSRGVERGGAPHVLAVDDSSVDRAVISGILRSSQFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVQPSDVSRLCSRVLR >OB04G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14077123:14077374:-1 gene:OB04G26230 transcript:OB04G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPTTDDDDDPDTPGAAACYKNCWPSSSSSSCSSLVEFMSLVVAEAVEHGSCKHCAELHGWFEITFHESKSTTKKTSTICRA >OB04G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14078676:14081145:1 gene:OB04G26240 transcript:OB04G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLASSSAATAAAISSTSPSSPFHELKPLQLSLRLLSPIPKPHSLSCSGPQAPRATTGDRSGAGNHGGDSGGNRGKDGGGHGDGEGDDYEEAEFGPLLGFDEVLRLAAARGVSLPADMMEAAKDSGIREVLLLRYFDLQAAPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGDDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASTGPFGRFSRMAGSLPSSVFEAERPGSRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVNKSDDDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >OB04G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14083393:14087262:-1 gene:OB04G26250 transcript:OB04G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAVERLGLLRQPVLTRQENTVIQTCVVAAYDIAFSGGFGSYLLAMSEKIAGLAPEANNAQNIKNPHLGWIIGFLLLVSFIGLFGLVPLRKIMIIDYKLTYPSGTATAFLINGFHTPHGAKIAAKQVKKLGVFFVLSFFWGFFQWFYTATDDCGFHKFPSLGLQAYQHTFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGSWFSADLPDSSLHGMQGYRVRICLIQNAYLPFPLLNSVVLQVFIAIALILGDGLYNFLKMIVLTAFSLRSQVKKKNASTLPVSDDGTVTTTAAISYDEERRNELFEKDQIPWYVAYGGYVVVAAISTGAVPQIIPQLKWYQILAAYVVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFDDIGISGSDYPAPNAAIFRSIAILGVDGFSSLPKNCLNLCYAFFAGAVVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRLDRAKADTFGPAVASGLICGDGTWVLPQSVLALAKVKPPICMKFLSRRTNDKVDVFLNTLS >OB04G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14087114:14087365:1 gene:OB04G26260 transcript:OB04G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVTGCRRRPNRSTAAVQRRTKKKPRRPAETLSEGMMPVVRFSFMTMTLNMMDRKKLTTKARTVSCSRHDGTGLSANTRSTDGAS >OB04G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14098275:14102894:1 gene:OB04G26270 transcript:OB04G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERLGLLRQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEANDAQNVKNPHIGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLFSFVWGFFQWFYTGGDGCGFQNFPSLGLQAYKNRFYFDFSPTYVGVGMICPHIVNASVLLGGILSWGVMWPLIRNKKGSWYSASLKETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTTGFVAMMKKNSTLPVSNDGSPMVTGEAASFDDERRTELFLKDQIPKTVAYGGYVAVAAVSIGALPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASQGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTSMGCVIAPCVFWLFYKAFTDIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPPKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVIVFVWGMVNRAKADAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAKVNDFLGN >OB04G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14104604:14104924:-1 gene:OB04G26280 transcript:OB04G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSWRVKGCLRNPTLSMAAVQRRTRKKPRRLAETLSEGMTPVVRLSFMTMTLNMTERRNPTTKARTVSCSRHAGTGLSANTRSTDGASSSPGAXXXXGRGRPTP >OB04G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14104758:14107558:1 gene:OB04G26290 transcript:OB04G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGFLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPLNVKNPHIGWIIGFLFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGAELAKKQVRTLGKYFSLSFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSTTYVGVGMICPYIVNASLLFGGIISWGIMWPLISTKKGIWYSDKLSGSSLLGLQAYKVFITIAVILGDGLYNFLKVFGYTMKGFIDMYKNRNLNTLPISDNGTPATIEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITTSCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFISQVIGTAMGCVVAPCVFWLFYLSFNIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYISFAAAFTINLIKDLVPEKVAKFIPIPMAAAIPFYLGPYFAIDMFIGSAILFIWERRNKDEAQSFGPAVASGLMCGDGLWALPEAILSLVNLNPPLCMKFLSRAANAKVDTFLGN >OB04G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14109064:14113025:-1 gene:OB04G26300 transcript:OB04G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVSSNHPDNDGGQEEEQQQPAIRGMRILPAELELSTKELPWLVGDSATQRSRFAFWLQTLHRARGFRTVLVNSFPGEAASAAAAEVDDDDDGKPDEVLRHAAVGCYLTHCGWNSTVEAIQHGVRMLCHPVAGDQFINCAYITRVWKVGLRLGSVSQDGVKSCIERVMEGAEGARLQEKMNTLRQRVVTAEARRMAQRNVKSFSLRRSRRRGQGPRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDSCSSPYLTKIDEKFNLTVLSSTRKEQSGLPDIGDSDPSVIYVRPGAEIDLDSVIQETIRLTASAKSSCSEACEKSTVVWQSLERSLKHSLISEPTDGGDQKKRYSQRWSKLLDLKKTLDKAAN >OB04G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14116285:14117574:-1 gene:OB04G26310 transcript:OB04G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRCFSCRSRRRVTSRPCCTWPAPSPRTASRRRSPCRTSSTAASLPPAAEARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSSSAGASSRSPVCNDPSTPYNTVRTHTHTQRTRVNYYSFSISWS >OB04G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14124170:14124490:1 gene:OB04G26320 transcript:OB04G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDLPNLGGGGDGANKATDEPSPA >OB04G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14126839:14132581:-1 gene:OB04G26330 transcript:OB04G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) TAIR;Acc:AT5G08260] MSMAAVALFSLSLASLFLSASAAATGAASRSPPRPEGDLVAGLPGQPEVGFRHYAGYVGVGAGGDKALFYWFFEAEKEPGKKPLLLWLNGGPGCSSIAYGAAQELGPFLVRSNSENLTLNAYSWNKAVNLLFLEAPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLNWLNKFPEFKNRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFMIGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFREEEDGGKPGKGCSPAVRAFLRAYDDIDIYSIYTPTCLVSSSSSMPRRSSPRLVAAPRLFSKHEAWRGMQSVPAGYDPCTEEYVGVYFNREDVQRALHANRTGLSYPYSPCSEVISKWNDSPATVLPILKKLMGAGLRIWVYSGDTDGRVPVTSTRYSLNAMRLRPRRGXXXXXXXXXXXXXXXXXYDGQQVGGWAVEYEEGLMLVTVRGAGHQVPLFAPRRSLAMLYHFLRGSSLPASRSR >OB04G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14137478:14138122:-1 gene:OB04G26340 transcript:OB04G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPASSAAASYTRYETRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAELLPGAGRREGDLVVEKRTYSGFAGTGLEEALRRMGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASQDLQEATLVNMAYGFAYIVDCKRLEAAFGKAK >OB04G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14141418:14153691:1 gene:OB04G26350 transcript:OB04G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEVTPESDGARVAVVVVGAPAPALADDDDSASGPPPPPPPPPAPRDAAAAATAVTPGEEVKGESLLAAAAAAECKQEEAAATTGLQAMAVTVARDVETGGLDASTSGAADEKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGSRKSCTGGACTPGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIALGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLQLKGFTHSVKTKDYGVMFNPELQDEAKQGVSAGDDGLAETLPRRFSFSSFGKKVLTEIRYFGKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMANADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVSIHIFGDVPSSPLVGLLQDKINNWRSTALALTSILFIAAIFWFIGIFVRSVDRFNEESEHGVPAVERSNLRPLLDENEEAQAS >OB04G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14159592:14160269:1 gene:OB04G26360 transcript:OB04G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQLYRPMAMPTPAALPPSQQITMPFTAAPVEALVLPAPGRAAAGRGRKAKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKPAGQTIEGRLRQAEPSILAATGSGTHPARLPPPPPPGPRRLRRLHPRQADAPGPRGAVVLGGAAAACRVVGRPLAVAGGAGVRVVGGAGPPPQPALRPLQRRHEAASRGGVPVIAAACSSICDVIACVVARARG >OB04G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14166225:14167247:1 gene:OB04G26370 transcript:OB04G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3LZR2] MSSSSVQTSPSHEAHAETDEELYKQVTELVSTWPSSEPMPFLPLYRHNKGWCCSLMPMVGAVVADARFAARPSDIIIATLPKSGTTWIKALLYATVNRREHPADAADHPFNSIGPHDCVKFLEYQLYTNNSVPDLDRLPDPRLFATHVPFTSLPSAAAASGCKVVYVCRDPKDNLVSLWHFANKFRAREGQEPMSAETIAEVFCRGVSPFGPYWDHVLGYWDAHVARPEQVLFFRYEEMKLDAAVHVRRLAEFVGLQFSAEEEEGGVVEAIVRLCSFDHMIGLEATKGGKTELVVGTVANSSFFRRGQVGDWENHLSPETARRIDAITEARFKGSGLCS >OB04G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14169221:14171478:-1 gene:OB04G26380 transcript:OB04G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPEQDKKVSAMVSFRLPLILLVSLLGISLSCGAALPPPVYDTDGHELSAAESYYVLPAIPGHGGGLTMAPRVFPCPLLVAQETDERRKGFTVRFTPWGGGAAAASPDKTTIRVSTDVRIRFNAATTCVQSTEWHIGDEPLTGVRRVVTGPVIGPSPGGRENAFRVERYGGGGYKLASCRDSCQDLGVSRDGARAWLGASQPAHVVVFKKASKNKYLCNWVDRASVMFTAVFRVGVAIAKSQRPWTAVIGSPTSLHFTDLSSYRKIETS >OB04G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14175937:14176880:-1 gene:OB04G26390 transcript:OB04G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSNNGVHVIGVPVTAKAYGIEEEVLSSRAQSFRKTDGGGHLAVSLSHPSPYTSFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVTVTSPRGDVVARVPYKVVVPLKRIAKVRPSENADKPEEKYIHVTTVDGFEFWFMGFVSYQRSCRYMQQAISELQ >OB04G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14176507:14176707:1 gene:OB04G26400 transcript:OB04G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEALCPPAQLAHPVDHLTFAACRNITRNIRNQHTPCYTSCTHASETHPNDVRRLCGRDQYLLCL >OB04G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14180505:14182005:-1 gene:OB04G26410 transcript:OB04G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGRQEHVIGIPVSNRAFGIEELYFPGEGASAAYHGGAKSSAAARTSSKLGRNGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAAEKGEKLLKASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPRGDTLRVPYKVAIPLRRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVSFQVTLKNLELAVAQAQ >OB04G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14195750:14198251:1 gene:OB04G26420 transcript:OB04G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSRQKDTGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDRGAVERLSSLRARVVDMAMQESAAALKPKRRAAQRHGSSTANLLQALEDYLPVLLGLVNEGGELRHRVQFVWANQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQIPSELRRQLPMDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPELPGSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASEGFLKESKRASEAFHATPPTSRSPTPFGTAQYMLDKIPKDASSKVKINQDLYTQESVICPGVICRVTGTPPPLPDFALALKPDDYDLPPLDPLWNKEDGRL >OB04G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14199237:14202753:-1 gene:OB04G26430 transcript:OB04G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G15010) TAIR;Acc:AT4G15010] MGEGGKPLGDSVFAGHAAAGAAAISASAVSVHPLDTVKTLIQLSAAGSKHKMGLRQVVDRLMSVSGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGREDNYVTYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPTKTVGPANITVETFPLLSKLLPGYVPDIRVWNNTVSLLSNLPPKHPNMMGALKQHPWMLTGSGKPPMPSDVHVPSRVIALEGWGALWKGLRPGIARDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPGKLEEAGPLSPFASSLAAGFSGVVAAAASHTFDTAKSRSECAVIPKYIAMERRLLKWKPPGTWIERKTGISPANRSILFRGIQLRMAQSGIASFVLVGSYYFLVDQFL >OB04G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14203122:14206138:1 gene:OB04G26440 transcript:OB04G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G23395) TAIR;Acc:AT5G23395] MGQGLSQDAQAVEEPSPPAVEAAPSPSPSPAPASSSLEALAAEAMSFDKDDNESIDVKVQKALDCPCVAELKSGPCGSQFVDAFSCFLKSTEEEKGSDCVKPFIALQDCIKVNPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKL >OB04G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14206450:14210525:1 gene:OB04G26450 transcript:OB04G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFFQPLRAPIAGGGEGDGARLGRGPLALPFPTGTRPLRRTAPLLVARAKRSGSRTAAASRQPANPSAAPKRDTDEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLALPLAYVGVSFVLAVVRTVRRFTSPRTQKKRRVGKNIFLLKSLDELFQKGREAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLLHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQTLFGKIFYLSELPEFCSRDGSLVVKEIFGVTDEDADSIRIHTLSETSDIESLEKMVDDSELGHGPSPSS >OB04G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14211437:14213992:1 gene:OB04G26460 transcript:OB04G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRHTSRRADAATAAMEPLVAPAATRAALSELVATAVFVFAAEGSVYGLWKMYRDTGTPGGLLVVAVAHALALAVAVAVARNASGGHVNPAVTFGLLVGRRISFARAALYWAAQLLGAVLAVLLLRLASGGMRPMGFTLGHGIHERHALLLEVVMTFGLVYTVYATGAVDGXSRGGGGGGGAIAPLAIGFVAGANILAGGPFDGAAMNPARAFGPALVGWNWRHHWVYWLRPLIGGGLAGALYEFVVAEQQPEAPPAADARLPVAAEDY >OB04G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14212720:14214025:-1 gene:OB04G26470 transcript:OB04G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSTRCKCTSVILGGHRQASICSWRRLRLLLRHDKLVQRAGQPAADQRPEPVNPVVAPVPADERGAECARRVHGRAVERATGEDVRARDEADGEGRYGAAAAXPVDGAGGVHGVDEAERHDDLEQQGVPLVDAVAE >OB04G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14215083:14219436:-1 gene:OB04G26480 transcript:OB04G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIVDSGSGIKLEGTPSPSNLRSFLHSVTPTLEAYTVAKPNDYSGKSANLGRCFYLVDLWNFFYPLSECGVSTPVHLPSGEEINQYFVPYLSAIQLYTVSHFTLHNKMMAGNLFDANNYGCCNAADNWSCQYATAALASWGISDSPRSKRSEGPCFEYFECDSPYERMPLADKVYELYHNFPPLSSLNSIELSPASWMSVFWYPIGHVPRTNNKDLTTCFLTYHSLSTQEDSTPLYSKDRLTLPPIGLATHKTDGDVWTSADSGDQELATSLVGAADSWLKRLDVQHHDFNYFLNSNRSLIHYQSLTKASSSAI >OB04G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14222737:14223609:1 gene:OB04G26490 transcript:OB04G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSAVLFPNSRAPATLSRRRASGPVQRRGFVFGLEGRSRRGATGTVRACFNPLGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEDNQTDESSEGSQDDAPQQIEIE >OB04G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14226098:14226265:-1 gene:OB04G26500 transcript:OB04G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAREGCITALSSCHCSTTSFSHANKTGVQKAVRLHISIETVFQTLKLYVLQKC >OB04G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14226619:14227029:1 gene:OB04G26510 transcript:OB04G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCVLIALLLAVAMGTKLSLADRESRGGGGIPATLGRELREFISKASDFLGAARRAGADGWHTADAGVVRASVRSTSRRRRARKSAANCIPADMCRKKKVLCGKRCYRSSSSSSLSHVPTTKCVVKCKKCVPTC >OB04G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14231564:14236932:1 gene:OB04G26520 transcript:OB04G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGDTRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQVGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDKMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYFDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPPVGKTPSLRGYVVDPELGPNES >OB04G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14234691:14240695:-1 gene:OB04G26530 transcript:OB04G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hus1-like protein (InterPro:IPR007150); Has 129 Blast hits to 128 proteins in 49 species: Archae - 0; Bacteria - 0; Metazoa - 57; Fungi - 10; Plants - 47; Viruses - 0; Other Eukaryotes - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52530) TAIR;Acc:AT1G52530] MKFKAFFTDDGIALLDKRFLPAMDKVGRVCHVYLTPTHAMLLHNLLGPTGPDGGGPQCVAQFAKGLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGSRAAVVQDVPISRPLSRSDVERLQAALDAAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNLSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAPGGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGS >OB04G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14244500:14246571:-1 gene:OB04G26540 transcript:OB04G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKKQQARRRPEEPCNEAKKTGVGKKAGSKEVAVASEAKRAPQAKPAPSKKAVPKAEEPAADKRTVFVVKAAAAAAAAEVASAGNIEADEEVKRPVQEEEAKTVVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSSSGKVASGESGGGHRRYTGSKRSYDFDRERRGAGVDDDGDWERQGAAVYRPSPRRRTPERKRSGSQERSSGSGSRRVSRSPGRRADSVPATGSSGCGERASRQQPGKMVSVPAREKGRAPSPVPAASGKRYPSPRSNSPARAGAAGNENAAVQPTHGPSLSRSSSRKAEQSPYRRNPMAELDENTLGSHHNSNINGRPQKKPTDNGGALPQKAAERAKEQVATASRTVKEKQEIVEEAVAPDAKGSSGRMKATHSVSIVADSVVNQKGRSSRRSSRDFDNNGNSYASLLLEDIQNYHQQSTGAAVPAPAFSLPACVSKACSILEAVADLNSSSSENKSFELDRSANDKCSVNGRYGGGGKTAAAGSGTVVVESDVVVKDDLREPSLHKYVSVRDIRGETEPQESAGSNSFAGNPWTPSWEPGSVDSTDRTWTASQSNYGDEVEQLSGGAVSPLELSWQSKQKLPSPEPSGGRSRAGGRSRAGSTGNAQRGRSAHRGSGVGGTVNARSDVRAAPVSSSIA >OB04G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14253032:14253640:-1 gene:OB04G26550 transcript:OB04G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGMVAGQCRHPPRQSPSTPNLQRPRQLLSSRAHHLLDAIPHTATNRADGTRVRVMAPVRVHHVSKAPDLDQHLLPPPTPQPPPPNNQGRSLGTARQVVAADVSSSPSDVAAEEAAAAPQIGKRVRVMAPVRVHHVSKAPDLDLRGMEGVVKQYVGVWKGKRITANLPFKVEFELRVDGQDKPVRFFAHLREDEFELVGDE >OB04G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14256578:14257943:-1 gene:OB04G26560 transcript:OB04G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGESGGGGGGRRWKGKGVTPIQPRRQLGTVLEDASVALLRPLKKIGRSPDRLHRSASSLSTSSSAPPSPRSSSASDAPAGGILSSPPPPSARHIFPFAYEASAAAGGGSPRLHSMWQQSSVSLPTSPQQQQPLQHQQMISFGASPPCSTTQFVVPENAQQQQMLLRYWSEALNLSPRGGPGGLPPWLDQQLRAPPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSVSSAAALSVAAASCSSSSPSPPETPGEPNTQQAPQQQEQQRDPAGLSMEKQPQPPAPTSRQEGCSSDNTAAPYSAEMLHAPVASASGSGGMWVPPDESWFSTWGPGSSFWDDYDMDNARGLFLHPRFSSDETSMDHSGTQGTVPATAAGIDMACDDVPVTSSSSDLPPNGTISDS >OB04G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14284413:14285087:-1 gene:OB04G26570 transcript:OB04G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGATGQLAEAWWRRPARRHPIWIGRWATPSPVGWARRRTPIDPSRGAPERGPQRAPSSGEASGAVPARALAPKSTRRAPPPPQQQQQPHPDPAAGGRRRPRPRWRSRRRRTVRGPVPHKTPPPPLPPPPPRRRSSPRPHRLPIQIEQAHGELPVRPLGARRDREPHATERRGEEQPRPPAGGGVRSTTMPERGPERPVRRPPNRDGFLPPPPRARQLLAACLRD >OB04G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14284412:14290340:1 gene:OB04G26580 transcript:OB04G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSVSYDGPIRPETVSPISRSRSDGAVPASATKPPLAVRSRHTSSDSSEATPEGADADPRCLIRNLDDGSEFVVKEEFALREVGTGRRLTIEEFDLCVGRSPIVQELMRRQNVANSGSNNGASALIQRSSSDSSNSATRHRRRSNWLRSIRNVAGSMVGGSRGRRSSDEKDTSSEKGGRRSSSATDDSQDSVSAARHCPERVKVRQYGKSCKELNGLFMNQEIQAHNGSIWSIKFSPDGRYLATAGEDCVIHVWEVSEFETKREDNELCNQLMAVVCNGSPEPILALASVDGSCWEKKHRARTLESRKSANSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQHLLSSSMDKTVRLWHMSSTSCLKTFSHSDYVTCIQFNPVDDNYFISGSLDEKVRIWSIPRREIVDWNDLHEMVTAACYTPDGQRALIGSHKGSCHIYDTSDNKLLQKKQIDLQNKKKKSSQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLAANGRYVISASEDSHVYFWRNDDNSAQGRSKTVVSTTNSYEYFHCQDVTVAVALPSSGSATTSRNNSRKKEELDSVSEYPQVNTLPEKLQDSSDFHRLSGNALSTSSNHSGDRTSATWPEELMTPSKQSPRSSSSLPNGAGQAPSRSAWGLVFVTAGRGGQIRTFQNFGFPVRV >OB04G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14291422:14292019:-1 gene:OB04G26590 transcript:OB04G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQVWKNYLPVIVSGDGNRKNSNREEGYRDVKPDRKFVVAISTHSRPKTSGIPLDRRRHPLAQNAPLPMRSGSSQRCNSLFTPVTVGNGIKTSFWEDSWIDAAPLKEKYAVLYSHCKQKDRLSIQDTKHGN >OB04G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14294641:14295969:1 gene:OB04G26600 transcript:OB04G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPWTSPTMAPPPPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPTSRPNAAATTAASAPTLDASMDKTLRAFHASSPPPPPPPPPLPPAPPLPVASRAKSGKKVSTKRNKSLLKLLHRQTPRARLFAARAAELFGSPRPCTRRFFMTWLSPLAQFGRRELLVVESLFRSHRDACLLIASDTMDSEGGSDRLRPFLDRGLRVAAASPDMAYLLKGTPAEAWLGTVQRGDVSPGSIPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSDLRNAIGAQAVDAATGDWMRLNNAVMVFDQGHPLLREFIAEFAAKFDGSKWGHNGPYLVSRVAARWRRRRPEADLTVLPPQAFYPVDWNKIGGLFVAPKDRKGERWVKAKVESIKGESFGIHLWNRESRNLEMEEGSVIGRLLSDSCLFCNSSMFAKHE >OB04G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14296323:14300253:-1 gene:OB04G26610 transcript:OB04G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20780) TAIR;Acc:AT2G20780] MESAKMRPAAMATKSEYRRMELEPEEELDVEEWARRAEAQRRRRRSGGRYVFTCALFASLNAVLLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQTGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMISAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSIFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEDEVEERLAEIEEAASLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTICLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAAISTVSVAFVYFCVPETKGKTLEQIEIMFEGGKEWRRGEVELEDTQHLIQSSKKPVSVG >OB04G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14300833:14305212:-1 gene:OB04G26620 transcript:OB04G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYIASMRGSLGSTQAQQLDAFSGLCSLVSSGQRTASRVVQVQQPRGGFGIKLTVLTGFPLVYLAEIHEKDMQFEKEDGEDEEYVLLELDDCLCSDIQPNAPYVLSGLDTLTPTLILGDGLKMIGEYQETIGTCYLFSETNAPPRPIQGEITPPGENKDEQGSSTTKEVPSKDVKHLASVQKILKFRSINADHEQHRAYRDNDKEL >OB04G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14309846:14313501:1 gene:OB04G26630 transcript:OB04G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin B3 [Source:Projected from Arabidopsis thaliana (AT4G28250) TAIR;Acc:AT4G28250] MAASSRSSAPFLLCFLLLLAPPISASSLFDGGKSKSAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPLKARVGSVSPVLFKDGEGCGACYKVKCLDHSICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLSVVYRSVSMYNRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGEGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKTLTAREVIPRNWKPTATYTSRLNFEASL >OB04G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14315966:14320647:-1 gene:OB04G26640 transcript:OB04G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHENGSAPDADGAGEEEAAAGSRSRSGSMSVEADVEERSKGSRDRDRDRRGKSKRRNEEEEDGGSESSGEDSGERRKRRRKEKERRHRRRRSRSESSGSSSESESESESSHSDSSAESESQTDSEEERRRRRRRRRKEREEEDRRRRRKEKERRKRKEKEKERERKKKEKKRKRKEEKKSLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLETLSNWEEKQMFKEFMEDHNTATFPSKKYYDLDAYHRRMMEKEKKKGLKSALETERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQTRLREEMMYQYRLGNFEAAAAIQKRLDPDAE >OB04G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14321174:14324351:1 gene:OB04G26650 transcript:OB04G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 81 [Source:Projected from Arabidopsis thaliana (AT1G51740) TAIR;Acc:AT1G51740] MSRVRDRTEDFKESVRVAALDHGYTESQLAALMSSFIIRKPTPKLPFTNAAIKTLESIKELEKFIVKHRKDYVDLHRTTEQERDNIEHEVGVFVKACKEQIDILKNRIHEEKSGNAKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQRKQETKAVGPSKPNLVLKSDVSKVEEQEVCTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLRQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >OB04G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14324952:14325764:-1 gene:OB04G26660 transcript:OB04G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSVRPTKQPARVIVRMDQIYMAAVNNQTSLPDDEPVKKISGDMPVTAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGVESTASNNRSSSRRQCPVCKATLSPDMLVPLYGRGGSLKKSLNGVAIPRRPTVKREAVEHQNTQNSINDRHHQNMEPSPPLHPLRQSNHNSSATEFDFIYPPSPMGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMSPHYVTAHNMSSRARRQQMEVERSLHQIWFFLFVFVVLCLLLF >OB04G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14333647:14336813:-1 gene:OB04G26670 transcript:OB04G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALATSSTTVCSSPFAAASSSSYASASSARRLRAVPPSRGVRYHALRAVPGFAANRQGGGRGASVMCEVQGQDTSIQVPDVTKSTWQSLVMESEFPVLVEFWATWCGPCKMIDPVVGKLSQEYEGKLKCYKLNTDENPDIATQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLISCIEKFVER >OB04G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14337139:14340701:-1 gene:OB04G26680 transcript:OB04G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G10560) TAIR;Acc:AT5G10560] MEPRRRRMPFPARARALVSLLTLDEKIAQLSNTAAGVPRLGIPPFEWWSESLHGVCDNGPGINFSSGPVRSATTFPQVILSAAAFNRSLWRTVAQAIAVEARAMHNSGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVISAYSVEYVKGFQGDYGEEGRMMLSACCKHYIAYDLEKWKGFTRYTFDAKVNVQDMEDTYQPPFKSCIQEGRASCLMCSYNQVNGVPACARKDILQKARDEWGFQGYVTSDCDAVAIIHENQTYTVSDEDSVAVVLKAGMDINCGSFLIRHTKSAIEKGKIQEEDINHALFNLFSVQLRLGFFDQSNGNQWFTQLGPNNVCTREHRELAAEAVRQGAVLLKNDNSFLPLKRSEVGHIAIIGPGADDPYILGGDYTGVPCDSTTFVKGMQTYVSQTTFAAGCKDVPCNSTDGFGEAIEAAKRADIVVLIAGLNLTEETEDHDRVSLLLPGSQMDLVHAIAGVTKKPLVLVLLGGGPVDVSFAKHDPHIASILWIGYPGEVGGHVLPEILFGKYNPGGKLPITWYPESFTAVPMNDMNMRADESRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSFLQAPKKISLSHSSLPDLISRKPAYTRRDGLDYAQVEDIASCEALQFPVHISVSNDGTMDGSHAVLLFARSKPSFPGSPIKQLVGFERVHTVAGRSTDLGITVDPCKLMSSANTEGRRVLFLGTHVLMVGEEEHELLIEA >OB04G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14350402:14351441:1 gene:OB04G26690 transcript:OB04G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3LZU4] MRVLAVVLLAAACAAAAAAAELPEGKISTSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWSHPEYSSIFTNRARVPCYFNTGVMVIDLDRWRDGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >OB04G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14354813:14357443:1 gene:OB04G26700 transcript:OB04G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGMLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQKSSVASGKGSDPEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATIPLEAIYTEGSIPPTVYNVVKDEEYRGEIKVGLTFTPEDDRDRGLSEEDIGGWKQSS >OB04G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14358293:14358604:-1 gene:OB04G26710 transcript:OB04G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGAALLPVPGVLLAALLLLLASEFATLGCGHRIPRADVAAWKRHALVAPTTAAKTSRATTTMKTTLLPTVVAGTGDTAAAAAALGESKRLVPQGSNPLHN >OB04G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14359993:14364391:1 gene:OB04G26720 transcript:OB04G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNGTLGTTDFLERGTDSVVFRTCPQERDRVVFQMGTSDAVRALKAAQIVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLSTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDMKSTKQTIKEMIMHYSCLELPEGKGVNKCDTAADLAKLYGEEGYYNFILSKRN >OB04G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14366525:14368549:-1 gene:OB04G26730 transcript:OB04G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPKPKMPFLVLLLFLGLGGLRPATAADEQFVFDGFTGANLSFDGMATVTPNGLLMLTNGTNQLKGHAFYPAPLQFHRSPNSTAMQSFSTAFVIGIIGAFEDLSSHGMMFIIAKSKNFTSALPGQFMGFVSSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGEFKNMSLVNRRPMQVWVDFDGQTMQVNVTMAPLEVARPKKPLLSKIVNISDVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNISSLPSLPVTFPKPRSKTLEIMLPIASAVLVFAVAAAVFLFMRRRRMFSELKEDWEVTFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLYDQGKISLSWGQRFRIIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGADPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVAQDARDGRVVLVDWVLDRWRAGAITDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQIVQYLEGDVPLPELSPTYQNFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >OB04G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14370010:14370804:-1 gene:OB04G26740 transcript:OB04G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVAAENPTYAVSGTTGHDRREVDGRGDESTLGLGHADRRHRHVEHRGGAVVVHPHLHRLSADEAQVVEDPRGVVVVAGAVVLHGPHAVDVDADVVAVHVLELWVEHGIELDGEDVVVGLPVVTDVEYAEVLAGVEGGETRAGRDHERHAVVAQDGEVGHDGEDKHGREGLDGAARASPQANRRRVERVSGHLVRAVAEHEEAVGRHGSHAIEREVGAAEAVVGELVDGEHTSMVRANDSEQEEQQEEAVITHRELCSRRCVRL >OB04G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14370043:14376117:1 gene:OB04G26750 transcript:OB04G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRLLLLLFLLAVVGSDHGGVLAVDEFTYNGFGGANLTLDGMAAVASNGLLVLSNGTNQMAGHAFHPTPVRLRGGAGGAVQSFSAVFVFAIVSNFTILSDNGMAFVVAPSTRLSTFNAGQYLGILNVSDNGKPDNNIFAVELDTMLNPEFQDMNSNHIGVDINSMRSVQNHSAGYYDDTTGVFNNLSLISRQPMQVWVDYDGATTVLNVTMAPVSVPKPKRTLISAPVNLSSVVTGRATAYVGFSAATGVIFTRHYVLGWSFALNGTAPPLDTSRLPALPRFGPKPRSKVLEIVLPIATAAFVLALVVAAFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYQATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWGQRFQIIKGVAAGLLYLHEDWEKVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQDHERRSAALDTVDARLCGKYDADEARLALKLGLMCAHPLPDARPTMRQVTQYLDGDAPMPEVAPTFMSYTMLAMMQNDGFDSFAMSFPSTVTTSASPMSADFSAVSGLSGGRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEAKGLDAVDGIRRPGAGDSDVVFHQLDVTDPTSVARLADFVRDQFGRLDVLINNAGISGVDRDPVLVAKVKDQIEGMDVDQRVEWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNNEDLRKEFDDIDNLTEKRLEELLDLFLDDFKASLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKSDMSMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRNGEASFV >OB04G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14376549:14377859:-1 gene:OB04G26760 transcript:OB04G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRSAPATPPCDCQCRVAVVTGGNKGIGLEVCRQLAADGVTVVLTARDETRGTGATEKLRGMGLTSVVFHQLDITDSSSVARLADFLKARFGKLDILASSPSPLLGAVLCLQVNNAAVGGMDYAQGVDTNEEQFVGMEKMQRIEWLRQQGRETHKAAKNGVQTNYYGTKLVIQALLPLLVKSSSEGRIVNVSSGFGLLRVISNEDLKKELDDIDNLTEERLDEVLDNFLKDFEAGTLGAHGWPTDFAAYKMAKVAMNAYTRILARRHPALRVNCAHPGYVKTDMTLNSGFLTLEEGGRNIVTVALQPDGGTTSAYFDEGKEAPFM >OB04G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14380335:14384441:-1 gene:OB04G26770 transcript:OB04G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAKRRALAPEEVLKCKMSSARWAPDEPHAAACKDAERHRVASAVAAKLDRGRVHECAMYGVEFSMGVSAGQCSSSRQCSGGALGAVGSGGDAGVSLCSEFVHPRLSLVAAHKLMLQKPSGSPVPEQAISYPFLQSCWSSRCPFMESTIFSSTDTRIAVVTGGNKGIGLEVCRQLAGNGITVFLTARDEAKGAAAVDELHGLGLSNVIFHQLDVTDASSIARLAESLRSRFGRLDILVNNAAVGGIEPVNDPSFGLLPTEDKFNGMDGHQRVEWMWKNCRQTYDMAKAGLKTNFYGTKHVTETLLPLLQSSSDGRIVNVASSFGLLRFFRNEERKRELNDPDSLTDERLDELLDMFIKDFEVGAVAERGWPTEFSAYKVAKAAVNAHSRVLARKHPALRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPEGGPTGALFEGGKEASFV >OB04G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14386103:14387986:-1 gene:OB04G26780 transcript:OB04G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGCRSSDKELARAPSKISSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPGVVPEEQPAPPQDENREDN >OB04G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14388768:14389133:-1 gene:OB04G26790 transcript:OB04G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLETMRDDHGGDGTRGQRATFTVAEANGLGVGNGQCRQATAKTVNEWLENEVCIAVSGNSPSIGHGKDGGMVAVGLGKGGEWERGSEGGQAMIRCQEDKRIRPCLVLNFFSKNITSNL >OB04G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14389319:14389705:1 gene:OB04G26800 transcript:OB04G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSERVRAHGSSSTYACVRLAAHPARPHPSYVPAPAAGPLGYSSTGRLHEAARLRVLGVALPLGSGTQGLLGLAGRAERQRQWPGPSVSRRDLEERMAVGLGAAGRRDGEVECEPYAWGHIWQLWFD >OB04G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14408525:14410700:1 gene:OB04G26810 transcript:OB04G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDYILRYGHGCWSAVPVKAGLERNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGTQAPARKSAEPADVTGSPNSSETGQERAVAEQPASSDSSEPVESSSADDSSCLTEPAAGAGAGFAAVRPHAPVIPKVMFADWFDMDYGTSLPGTAPGLSHQCSSSVQVDGPCGAVDSLHGLGDGGFCWDFDDAADHMQGGGLSDLLSMSEFLGIN >OB04G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14408843:14410411:-1 gene:OB04G26820 transcript:OB04G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQSANMTLGITGACGRTAANPAPAPAAGSVRQLESSADDDSTGSDESELAGCSATARSCPVSLLFGLPVTSAGSADFLAGACVPSTRFLSVQVHYGFLFLPREHAVLQPRPQVIDPSQPATLAVTLQPCMEGKFRQQFSPDKVHLTKHQKHSNKLHRS >OB04G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14414243:14414566:-1 gene:OB04G26830 transcript:OB04G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYLASGSITTRGAAPACLRRAQIRPGAWLGGMNRGAGRSNWGISRGAFPPFQASSWGGGGEAGGRRGSESRARATPGWAFGATNYGSALASFFSASKLGLCSYN >OB04G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14414535:14418859:1 gene:OB04G26840 transcript:OB04G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLARYPPGIGNGRGGNPNYYGRGPPPPPQQQHQHQHQHQQPPQPHHHQYPQQTQNTSQHQQWLRRNQITNEAAGASEQKAPPVPDGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCILKNCSMLVMDEADKLLSPEFQPSVEQLIRYLPSNRQILMFSATFPVTVKDFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >OB04G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14423845:14424144:-1 gene:OB04G26850 transcript:OB04G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTTKKLQPRKRGIIVAELAAQTTQQRRLHSHKRHCCQYADQANSSFNNLQKTSAKPPKSHFLHTASTRVERGCKQHVIVAKRRTPSVSSSDLIEQNHK >OB04G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14425823:14427221:1 gene:OB04G26860 transcript:OB04G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDSKALKKGPWTPDEDKLLVDYVQANGSGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNVKKQLRQGHSAAVGEQAALASLGGGATSCPAARHMAQWETARLEAEARLSLLSSTTSAATASFAASSSSSSTVAAGADHVTEAPPDIYLRLWNSEVGDSFRKSALSAGREEEPVNASDEAVPVSAFAPPGDDSSAVSNVTASAGADEYQVFLDLAAEELELSSFHGRHGGFPLFPSADMLGDASLYTAFD >OB04G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14431246:14435513:-1 gene:OB04G26870 transcript:OB04G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTEAPPTAAAAEPEPAAKDVAEEKAVIPAPAEEEKPPVDDSKALAIVEKVADEPPAEKPAKGGSNDRDIALAKVESEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAELKKIEEQLEKKKAEYAEKMKNKVAIVHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >OB04G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14438827:14441985:-1 gene:OB04G26880 transcript:OB04G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLSRKRKAQMQLSPHIASVHISSKDRRVTKTMAQLINKRRKISRRYQSRAGWSMCEFAREIDGMELVACRHASLSSSIYRKATMHPTHRGTRGDVGRRFLEPALNKLLGFSVQGFSSRVIRGSPTVQLNEKLPPGDLHADRTRIGLIQQFSQLPPKIRAFSRAKSTCRVSKDSM >OB04G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14446498:14448633:-1 gene:OB04G26890 transcript:OB04G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELGVKAAPFTYVAHALAVVAAVMVLVWCISFRGGLAFEADNKNLIFNVHPVLMLIGYIILGSEGNLTESIKLLSFVYVEGYKIGYLISTPLAAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFAAFFFPGAAPHVRRGALPWHVLFGLLVYVLTLATAELGLLEKLTFLQSSGLDKYGAEAFLVNFTGLVVVLFGAAVVVAAVAPVHVEEEPEGYAPIPVN >OB04G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14450989:14452152:-1 gene:OB04G26900 transcript:OB04G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKVILKVSSMCDAKVKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDVISVGPAKEEKKDDKKGDKK >OB04G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14453531:14456791:1 gene:OB04G26910 transcript:OB04G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAKIGRLTRSSLAASCPAMNGGVVVFFAAVVAGALVSACWMSAGARVTSITSVATRAIAQNGAASPERGPTLPRLADPDTNRTPSAPPPRQQTQPAPAPEPAAAATPPPPPPSSCPVYFRWIHEDLRPWRATGITREAADGAAHRYGPKFRVTVVAGRLYVARYGRCFQTRDVFTMWGILQLLRRHPGRVPDIDLVFDCQDTPVVNAGGHTPPPPMFGYCGSESTVDIAFPDWSFWGWPELNIKPWEALRGEIAEGNAAVNWTSRAPYAYWKGNPTVGADRRNLLRCNASGKRNWNARIYAQDWRNEVREGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACDSVALMVRPRYHDFFSRGLMPMQHYWPIPGGRAMCRSIKFAVDWGNAHADKAQEMAGNATRFMQEDLTMDRVYDYMLHLLTEYAKLLKYKPTVPDTAVEVTVESMMHGRRGRERQFMVDTVVNGSGGGEPCELPTPFSSEELETLRRRQADAVKHVETWEKQ >OB04G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14458790:14464490:1 gene:OB04G26920 transcript:OB04G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRPTANFIAALSGTSSAFLFFSVVVLGLVSSARWIARTTLQANVPTTADIPTAARVGAPAAPPRPIYSISCSAPQLRSLNLSGGARTPEASQTLALALSSSSSCRASPHPAPASTTASVSSSSNSSSCPSYFRFIHEDLRPWRDAGGITRAMLARARVTASFRLLVLGGRAFVQRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHGALMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRKDLKEANNRVRWLDREPYAYWKGNPAVAVTRQELIKCNVSSTKDWNARIYKQDWFRESKAGYNDSNLGSQCTHRYKIYIEGTTWSVSQKYILACDSLTLLITPKYYDFFSRSLMPIQHYWPVQNDNKCDSIKYAVDWGNSHKQLAQRIGKQASDFIQEEINMDHVYDYMLHLLTEYARLLRFRPVKPPEAVEICPESLACQAEGLEKKFFMESMVKSAHDAGPCDLPPPFNPQELTMLKQRKEHSIKQIQTWERRFGRA >OB04G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14467806:14473203:1 gene:OB04G26930 transcript:OB04G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLGVGVSLLVAGLGCALLVAPSESVADDVSALLAFKKAIYEDPLAKLSDWNPKDDDPCGWTGVECSPFDSRVVTLELSNSSLKGFLALEIESLSSLQKLILDQNTLMGSIPKGIGKLRNLIVLDLSINQLVGSIPSEIGDMPKMLKIDLHANRLDGAIPPELGKLSSLTELRLSNNSLTGTIPGSNDSSVVFTNSDGQIGLCRLTQLTDMDLSYNYLVGDVPTCFKQIQRSSLVGNCFKNNDTTNRPDHQCENNLRGNENNSVDKNERKSSQQPLWLLILEVITGISFLTMLTLCTIAGLRRCKARSSGNSGTWTRAVSWKENNVISIDDDLLANVPKISRQELAEACEDFSNIIGSSHDTIVYKGTMNDGSEIAVVSLSASVHYWTSYVELYFQKEVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDVEGCQLSWPRRMKIALRIARALRYLHTEMQPPFAVAALASSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSLNNITDSRHRRFMDVQANTFAFGVILLELISGRAPVSKDTGDLVDWARKHLDQAEEFSKLVDPKLRNANHENLGIVCNVVNLCIDAEPCRRPSMNMITAILEEGIDMSPATVLRDSSLAWAESEIAIS >OB04G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14473436:14477222:1 gene:OB04G26940 transcript:OB04G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-related [Source:Projected from Arabidopsis thaliana (AT1G73440) TAIR;Acc:AT1G73440] MVGEETGASEEHECGARGEPMNDYEKERLARIRENEARLQALGIRRLAASPLLHSAAPSAAGKGKRKGRAADADEEYLPSDGGEDEEGGESSSTSDQDGEEGEDFKSSSRSRKKGKKKMLNLGKSSKSLSRKENAPLVDFVDDDAALQQAIALSLAEPSENYVPAMSADISGTRVKGSDSTPCKKNKSVPVQDSTKTWKIKTQGKGRIQLTEDDVIAFFFSFDEVGKGYITPWDLERMATVHDFTWTDYEISKMIHCFDSDRDGKINLEDFRAIVSRCNMLQEPAE >OB04G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14478091:14481536:-1 gene:OB04G26950 transcript:OB04G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFISGCYCNLRRHMALIATHFFCLIYHGLPPNKHHVAFSRKRNVGVVYTSRGALVQPSTYPVQPCSVLLLVVLVSVSPTKEYRAHQSPTFPRAPRIHARSSFARGKQPLSHPPPPQTPGPAPPPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPPPPNPSERASSSLPPAPGERSREGFGWQMGSDSKELLGIEPLELRFPFDSLSFPARVETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVSMQAPREAPADMQCKDKFLVQSVVVTESTTTKDVTADMFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSPPRPSLSEGGNLNYQDATRAHKDPEDVTSDSETSALVSRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVIALLGILLGYVIKR >OB04G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14482300:14483310:-1 gene:OB04G26960 transcript:OB04G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTMASLICSQIKLSKAHIGNRRQIHKNGQIRLPKSLNWKPLHSSRFENILLQCTKNLSWEASLPYVSAEDDTSIIKGTDVVEPIDTEAAPEIPILQSDQDFVEVIKEPSMQLTSFKLPMWLLGPSILLVTGIVPTLWLPLSSAFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRLEANSSDLIKQIPSSYRFWNLVASIVGFLVPLALFFASRRGTLQPHVPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYEGYRILQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASNSRYGENDDNK >OB04G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14485325:14485993:1 gene:OB04G26970 transcript:OB04G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) TAIR;Acc:AT3G47430] MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSNPGFAGRAKNWETSAGLSRKAFRTGRFLTGLNALRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRAGVLDAWLARRMSFISAFGESVGYVFFIAMDLIMIRRGLRQERKLLRDGGKDNEKEVKKIRMDRVMRLMATAANVADLIIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >OB04G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14489628:14491233:1 gene:OB04G26980 transcript:OB04G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPYPLTASHPRHPHRDVATESPPPSSACLQDLLRFKMTKLTPVFFIVHFLTNLQNILHLLRKIYELNVAGLERIMESFAKERLAFMKNSSNQNNRCVVLIIVIKQIITVCISMIYIVGLQWYRIALICKKVSGLLNLEEPSVKDQLKGGSFINYYMV >OB04G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14493665:14505078:-1 gene:OB04G26990 transcript:OB04G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFCFCGGTGRAARRPERASMADWHYLVIPIEHIPTVNDLRRNTEDHQLVSHMVAVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPRFSYCLVAHSFCADRLIRPSPLILGRSPDAAAIGAPGETDFVYTPLLHNPKHPYFYSVALEAVSVGGKRIQAQPELGYVDRDGNGGMVVDSGTTFTMLPSDTFARVADEFARAMAAARFTRAEDAEAQTGLSPCYHYSPTDRAVPPVALHFRGNATVALPRRNYFMGFKSKEGRAVGCLMLMNVVGNDDDDDDDGGGPAGTLGNFQQQGFEVVYDVDASRVGFAPRRGGGPCGTRSRAGELVRAYVDRVQLWFNVASLSQLPGVQSTDQ >OB04G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14512955:14519702:1 gene:OB04G27000 transcript:OB04G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPIMDGAAPLLPETSPESQHRDPARARKRQPAIITAVLPAVASAVVLLGLAALFLVYGFRDEGRADVVAPGAVEVVVASSSSRGVVEGVSEKSNAPSLRLGGGKVRDYDWTNAMLSWQRTAFHFQPPNNWMNGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHCRADSAWRITIGSKNRDHAGVALVYKTDDFLHYDLLPTLLHVVKGTGMWECVDFYPVSATPGVEVGLETSTEPGPGVKHVLKASLDDDRNDYYAIGTYDGKAADTWTPDDAEIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASVQSIPRTVLLDTKTGGNLLQWPVVEVENLRMRVKSFDGLAISPGTIVPLDVGKATQLDIEAVFQVNNLITDGVTAVDAGAAAYSCSTGGGAVGRGLMGPFGLLVLADQELTEQTAVFFYLVKGADGNLTTFFCQDELRSSKANDLVNRVYGSLVPVLNGESLSIRILVDHSIVESFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVGVTAKSLKIWELNSAYIRPYVD >OB04G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14532219:14534283:-1 gene:OB04G27010 transcript:OB04G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSASETFSLDHLAQHQQHPPPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAATAANQLPFGQALLSPTSPHGLLDEVPSFQAPPSLMTEQASPNVSSITSSNSSCANNAPATSMASATKATQREPQQPKNASSANRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTGIQSQEGAAGECMLFKDGLYAAAAAAAAAAAATAASSMGVTPF >OB04G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14538062:14538349:-1 gene:OB04G27020 transcript:OB04G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVGVGSGAWYDRGPSTRGTAEGDRDALIQSSRFIQLRYDFFLYPPLTRCKTSGELPREKRGTEIRCPTAVSASPCNVFCRRRGGILGTRVAAE >OB04G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14540365:14541679:-1 gene:OB04G27030 transcript:OB04G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDVDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYGTPQEEGWVVCRVFKKRPATVQRAAGDGDSPYWFNEHVAFMAPAAVLDPPYHGHRQSHPACKLEVEYHHQQQLLPQEGHFLHLPRLESPKLPAADIIGAAASSALQPCGHTAPQQLQLQIEPVYVTTDASAADWRDLDKLVASQIFGHGDSTPKETSYSNPVQVFQEEGKQDDTLDYVCTSASCGGEDGLWK >OB04G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14551075:14551242:-1 gene:OB04G27040 transcript:OB04G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFMSHNFFHCFIRLFRPLPHIFSDLRRFPGTRENCGKFLGRRLGSTAFPPPQK >OB04G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14557639:14558128:1 gene:OB04G27050 transcript:OB04G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSHGGFRLGRKLLSAWRWVLCVRRRRRRGYLRLQTTARPTGGACKEEGEAKRLAPVLRWGLSLVRRLSLGRKDGGRRMLDVTPKGQVAVYVGGGNPGESLRYVVPVVYFNHPMFGELLREAEEEFGFQHPGGITIPCAASRFERIAAVAAAGKKGFGRW >OB04G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14563731:14565187:-1 gene:OB04G27060 transcript:OB04G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQREEAGWGAVGGSGEQRGFALFGLAERAHGLPVWAIERIGDELVKLLPAASLADRLDDHVSGQSDRQFILRDVYLHLSLFIICTTELLLYMFWRLSSSCPRDACGFVRSAAALLDHFAAAHNWSWSCTTNRDDFTFLRVYHHRRGSATFSDHLITLNVTRGPLGRIISVLCIQRRVAEQSAMQCEVLFVSRFGYNGSCSSPQAATAHRRPRAKERG >OB04G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14564206:14565280:1 gene:OB04G27070 transcript:OB04G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTQKITCQIHVLSLFNENQVRDHNPGRHDVVIKSVGEASGRKELDEFVTDAFDGPYREAVSALSKPEESKAPLLAATADRAPARLLSLLLAHRRPPEPACPLPPPSAPASATVHRLPLPAPS >OB04G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14569326:14573273:1 gene:OB04G27080 transcript:OB04G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRDRLCCSVVLAVLVALGATTVAGAATGTFIYAGCSPSKYQPGTPFEGNLNSLLASFANAAPNGGYNSFTAGSNGTGDGVAAYGLYQCRGDLGNDDCSACVRDAVAQLNEVCPSAYAASLQLEGCYVRYDSSNFVGQPDNAMVYRKCSTSTNSDADFLKNRDAVLAALQGGLANGYKVSSSGNVQGVSQCLGDLAAADCTTCLAQAVGQLKGTCGTSLAADVYLAQCYVRYWANGFYFRPSQDYSQDDVGRTVAIIVGILAGLAIIVVFISFLRKSCKLALTPEGVSVQLALCAR >OB04G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14572102:14577245:-1 gene:OB04G27090 transcript:OB04G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCWVWGFVVALLAAAAVVAEVADGEEEGKWEPLIRMPTEEGEDAEAAAPAPAPAAPERVGTRWAVLVAGSSGYGNYRHHADVCHAYQILRKGGVEEENIVVFMYDDIAHNILNPRPGIIINHPIGGDVYAGVPKDYTGHHVTTENFYAVLLGNKTAVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQNKHASNSYSKMVIYVEACESGSIFEGLMPDNLNIYVTTASNAVENSWGTYCPGEEPSPPPEYITCLGDMYSVAWMEDSETHNLKRETIEDQYEVVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNELIWPVPKAAVNQRDADLLFMWKRYEQLNGGSEDKLKVLREIEDTIAHRKHLDSSIDFIGKLVFGFENGPLILEAARSSGQSLVDDWDCLKKMVRIFESQCGSLTQYGMKHMRAFANMCNNGVSEAKMMETSINACGSYNSARWSPMTEGGHSA >OB04G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14580346:14583323:1 gene:OB04G27100 transcript:OB04G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAAAHFPDAIALAPDNHVLYSNRSAAYASLHRYPEALADADKTVAIRPDWAKGYSRLGAARLGLGDAAGAVAAYEKGLALEPSNQALKDGLAQARQALPRPASGADAIAKVFQGPELWSRIAADPTTRPYLDQPDFMQMLRDVQRNPSNLNNYLSDPRMVQVLSVMLNIRLQNHDAPQPPPPQSTSPPTKQHHQPETKAREPEPEPEPEPMEVTEEEKEHKEKKAAAHKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYLEMGKFDECIKDCDKAVEKGREHRADFKMISRALTRKGTALAKLAKTSKDYDIAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDRALETYQAGLKHDPNNQELLDGVRRCVEQINKASRGELSQEELKERQNKAMQDPEIQNILTDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLVSAGIVQMK >OB04G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14583648:14588964:-1 gene:OB04G27110 transcript:OB04G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein [Source:Projected from Arabidopsis thaliana (AT1G62750) TAIR;Acc:AT1G62750] MAGNDRQVPLTDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVVDLVKMKAIVWTGEELGAKFTYQDIPADLQEMAQDYRAQMMETIVELDDDVMESYLEGGEIDEETVKRLVRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELILERKPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGTGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEAAA >OB04G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14592627:14593232:-1 gene:OB04G27120 transcript:OB04G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDAGHGASSSGSRAHSCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQGSARAARGAPGGASRSHSCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >OB04G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14601097:14601864:-1 gene:OB04G27130 transcript:OB04G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLSSHAHAAAAGAAPNCTCMLAKEEDLASVVVDVEAAPHYSSLKTAEGDLVPRGGGGGDGEGDEVNYMARAQWLRAAVLGANDGLVSVASLMIGVGAVNTTAKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVSQIERDGDNADAAAKEKLPSPTLAAFASALAFAVGGLLPLLAGGFIKPWGARVGVVCAASSVGLAGFGAAGAYLGGANMVKSGTRVLLGGWLAMLVTYAVLRLFGTVFHMDISSSG >OB04G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14609276:14615297:-1 gene:OB04G27140 transcript:OB04G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGTGGRGGVELMVKQFHLKVLDAVLAVRGPRPLQPAASASFTRRDRGVHPPPPPPGAPPPTGGGQVDIHHERLPPRRRRPPPLPPPPPTTPPPRPPPPPPPPPPTPPPPPGGGAGGGGGAGAGGAARGGYPLGPRRWRGSSGGGWGGGAAPARGEPLVVDIHLAPAGGGGAAGGDVGERWTVACEPWPDAAAAAAGEGIPGNRAYKRCITMLRSVYATLRFLPAYRVFRLLCANQSYNYEMVHRVRSFAAPLSRDEEAAMRSYSFVPVETQHGRLVVSVQYLPSLAAFNLEISSLSPSMLIPDYVGSPAAEPMRAFPASLTEAAGSSFPLFNQPQRPHSWAPAALWAQAPAQQASFSPPQLLDASPTPSPPNFPSGYLQHRPKVGSAPVSIPQIGDRRNPFHRPITFPPPSPRRLGESGTGSAQQSPSENSRSLGRGDGLRMMDPYASLSPGHKGKDSKDESGRFSALSCDSPRRDDLDDADYPFAVDDVDTPTSQPGSSDGKEARDQASSSSHRSQDAAVGSLVHLLKTARPLRNPNSPSQVRLSNEAASTVESNEAASTSSIVSRRKSDALEKLQSFKEIKERLMSRSRAKQQESPEKPKQQEPPEKP >OB04G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14617122:14623176:-1 gene:OB04G27150 transcript:OB04G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3LZZ0] MGAVGRDEVVQWDKMDGGEVVNGGGGGAGKLERILVSVRLRPLSDKEIARGDPTEWECINDTAIISRSTFPDRPTAPTAYSFDRVFRSDCDTKQVYKEGAREVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVEDIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWDHLKELISICEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNALLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRYPATYSSLEALVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLHVVGNNHVSKQSLASGRNFTFDVPQTHEDEQSTTESSEVVDSVQIFRFQGQRAAQKEHKPQQSENNVQFTTPSRYSVSSPPFSGMPPTNIRDDLPQISNEDSDDICKEVRCIETNETGRNECLESSVMQSNSLQDSNADSSMHINIDSNPSVSSRLHNESLITLEQHLENVRKPFTSIVKDLGSSTRNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDRTPPNRSFIDFPGRPQNCQRMGSALNYDAESETLSRAGSMLSEITTTRGGLKTNNSVAGDTEFTGIGEFVAELKEMAQVQYQKQLGGQSENGDLAEGTIQSIGLNPITDALRSPSRWPLEFEKKQEEIIDLWHACNVSLVHRTYFFLLFKGDAADSIYMEVELRRLTFLKDTYSSGAVASIPNISLVSSAKKLHREREMLCRQMQRRLSIEERESMYTKWGVTLTSKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGALL >OB04G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14628385:14629140:1 gene:OB04G27160 transcript:OB04G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPDHPADAAAPVPLVRLNHVSFLCTSVEKSVDFYRRVLGFELIKRPESLNFKGAWLYKYGVGIHLLQRGDAADCSIPTRPPPAINPMGNHISFQCSDMALMKARLRDMDREFVVRKVWDGETVVDQLFFHDPDSNMIEVCNCENLPVIPLVDVAAAGLPALSPVQTNVIHG >OB04G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14629912:14631412:1 gene:OB04G27170 transcript:OB04G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit NDH-M of NAD(P)H:plastoquinone dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT4G37925) TAIR;Acc:AT4G37925] MATTASPFLSPAKLSLQRSRLPRARTARRSVRFHPVRAQQQQEVKEEAEAENLPPPQEEDEKRGRKTRKGPAQSLPLQPLAESKNMSREYGGQWLSSATRHIRIYAAYINPETNAFDQTQMDKLTLLLDPTDEFVWTDETCQKVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHYIRKLLYDGEIKYNMNSRVLNFSMGKPRIIFNSNQIPDVK >OB04G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14630571:14634810:-1 gene:OB04G27180 transcript:OB04G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFAAGDYPADQVDPDYLYFLRHIRPDGDSYTLELPSDGVSPPSLVKYEAPADCSTDDGECVSDPSPGRASTNRPAEEKESSVEMDAEPAPSWIDSLVDIDEDYRLFLKHTRVVNDNMVLEIDGVVVNYPCAACSESSSEVEDAREKGEKEGAIDSDEPVVIVRDPKVCDWVAEGDEDEGLLNESAVRTMETTKMKTNSSNGNDAGPSVRPRLQGVIWPIHINSRPDSDFKRRLLDVLSKPVSQKEYIKLFDMASIRTPLVKLRQVRNDAKFYRTEEMGYSYFDHYPDLVEQVLHTNCPNGLALMRGFFFWLQNNAHEDQFRPWVDVSKDHEVIPLID >OB04G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14651498:14653903:1 gene:OB04G27190 transcript:OB04G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSSLSFPCSAPLSPPLLLRASNAAAATATATQGSNTLRPPAMPSYAPHHSSLDGKMEALKSSCRSEEAADEGAAAAPAAWGMVERDGFSVEDLLDLEEFCEAEKDGGEEHELAPVVAASQEDMSKDESQQSSVVSFELVPPPPPPAEIVDLPAHDVEELEWVSRIMDDSLSELPPPPPPPASVVASLAERQPQRRPQDGAYRALPPASYPLRTPTICALSTEALVPVKAKRSKRSRASAWSLSGASPFSDSTSSSSTTTTSSCSSSASFSPLLKFQWYPLSGTSDLPEDYSHHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSSAVGAAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEAGILTTAAPAVASF >OB04G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14651798:14652326:-1 gene:OB04G27200 transcript:OB04G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDTHSSSSTSCACTTIQHSKKLIRAIRQILSRKPPSFRRKDTVKRASRNLTGRSTISAGGGGGGTSSNDTTDDCWDSSFDMSSWDAATTGASSCSSPPSFSASQNSSRSSRSSTENPSLSTIPHAAGAAAAPSSAASSDRQLLFSASIFPSFSVQKAHTYTQQNHKFPKFQKPS >OB04G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14661049:14667154:-1 gene:OB04G27210 transcript:OB04G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVSRQGVFKPFGAAYGNSDNAFTDESEVNLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVDIGNKLSDDSQKYGTGNTCTSGNTLSKAATYYGRARSMMEKERGNMLRAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRARESAANGEMSKLEAAEYKLEELKSSMVGLGKEAVAAMAAVEAQQQRLTLQRLIAMVEAERAYHQKVLEILDHLEQEMVSERQKIEAPPTPSEESYMAQPPPSYDEVNGMFASSSVDDSVTSVDFFLGEALDSFKAESESELNLSLGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKVPHIF >OB04G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14676452:14677705:1 gene:OB04G27220 transcript:OB04G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKVAGKHRRFSLLQPSSSCAQKPPLCDICQEKRGFLFCKEDRAILCRECDVAVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPSVEEAEEDSSSPRSVTGTAAASANDGSSISEYLTKTLPGWHVEDFLVDEATAAAASSSSDGLCQGGLAQIGGVPDDYAAWAGREQLLSDVAVAADERANRERWVPQMHAEWGAGSKRPRASPPCLYW >OB04G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14680409:14680941:1 gene:OB04G27230 transcript:OB04G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRSRIDKHRHVQALRKAFPFLSGSRVLSSGGSERFLPRSPCSDMAGHLHIVAVQGGLVADVLRLPLVALQQNDRAVCALQLRLPTVPHGAAVHPEKPPDRLSCRLNPVLPHSFLESDKPELSGGDGEAVAPFVRCTNHMCPVRVHWHVKIGYREYWRLKVTITN >OB04G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14681018:14681374:1 gene:OB04G27240 transcript:OB04G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDTAMFWGIQYYNEMLLQDGNVQTKMITRKDKSDFTFSGGWAFPRRVYFDGHECVMPPPDQYPSLPNAGPSSRSTVVQRLLIIGSCLLSLSIFLVV >OB04G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14681479:14687034:-1 gene:OB04G27250 transcript:OB04G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3M000] MGTVAPSVDEAAGGGQPLGLGEAVSETVGELRAAYESGRTRSLEWRQSQLRGLLRLLAEEETAAFRALRQDLGKHHAEAYRDEIGVLVKSANAALREVGKWMAPEKVWVPLIAFPARAQLEPQPLGVVLVFSCWNVPLGLSLEPLVGAVAAGNAVALKPSELAPATAKFLGDNIGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIARVVMAAAAKHLTPVALELGGKCPCIFDTMGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVLIKALKSTLKKFFADSDHMARIVNARHFQRLSGLLKDKSVAASVLHGGTLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKYSFEMFSHKKAVLTRGYLIELTARYPPWDDSKISMMRQLYRYNYVGFVLTFLGLKK >OB04G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14694701:14695936:-1 gene:OB04G27260 transcript:OB04G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGDVRKRGETGAMSSRVAADPAGVDEEGSCKNGASAAARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHGGEVAGVAAVLPWSRRVAIAFQVAMALEYLHESRHPAVIHGDIKASNVLLDASMDAKLCDFGFAHVGFSATVGCRPSARAVMGSPGYVDPHLIRSGVATKKTDVYSFGVLLLELVTGKEAVCRETGRRLTAAVGPTLSEGKVSDVVDRRLRGEYDGAEAAVMAELAMQCIGDSPGLRPSMGDVVRVLQEKTSALASAVGSRLDRKMMF >OB04G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14709577:14712840:-1 gene:OB04G27270 transcript:OB04G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRYPCRGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSELEALHATAPQTPQPQQQLPPVSSGTHAMHAFAPTVSAPPPMSSMPPPPGPIQPAPISSAAPAVSLELPPQPLINLQGFSFSSMSGSESDDESEDDEMTAETGGSQDHLGKRKRGAGGKKLTTFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAVISFLQRIGGQSAQVPPVGTVVQMPTPVQLQTPPLSKQPAARQHQPQTNPPPPAPIPAAPLQQQPPQPQHKETTHHEAVTPRSAPPTSGSSLEVVPAAEQLAESGLGGEGGSASSSRWPKTEVHALIQLRMELDMRYQETGPKGPLWEEISGGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRADDSKTCPYFHQLDAIYRRKHLTGGGGGASSANVAGSVAATTLDQQNPNRHEIEGKNINDSDKRNNGGAQVPTSNGDTAPTTATFDVDRGMKKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNTAGANTAPPPATTPASAVPTSTPTSTFLAMVQ >OB04G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14712443:14713121:1 gene:OB04G27280 transcript:OB04G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGFVLPLFSLIHCLHMHAHCVVSLPYLETSSQRGPLRVASRNVASISDRILMRARVSSRGHRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPRQGYRRGMRRRERAHVRRRGPVLGPATLVLLHGCRPTAPPPLLLRPELPPGGWETPELAKARTSMAGRLGPHLELRTCRAVEATRRAIGEAAVLNCREF >OB04G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14735513:14742209:1 gene:OB04G27290 transcript:OB04G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTDLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSKQKHLVFDNKDSKKAKNSEQKNDVVDEKSNFSGYEIYSGKLVFDKKNRITGDQISANGKADTTDVRLTSKALIWGSSLLTLEDVISVSYSSGVQHFIVHAYPSNKYLFGRTQRVRKDLRFIAPTVEEAISWVTCFAEQNIYANIIPLPPTSSTEQDPDGPLSGALFDYPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASSFDFRSFPDGIVCVGGDGIVNEVFNGLLSRTDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILAVEWIQSGLIHFGTTVSYYGFVSDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMSGHGKGTGQDNAVSDIYTNVTRSRSKREGIPRASSLSSIDSIMTPSRMSLGDIDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHGSFWPRTRSKTRTERNSVGVTTTDTRLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDTEVTWESDHPIELPGPLEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGRGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHILCSLLREQCMLIGRQSRQST >OB04G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14747174:14748568:1 gene:OB04G27300 transcript:OB04G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDHLQHQFLMPPPAPVVPQQHLCMPMMADEQYLVGGGSAVPARGSAGERKRRFTDEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYSALRAKYDALHSRVDSLKQEKLALTTQLHELRERLREREERSGTVAATAAASSSSCNGGSEEGDDDKRNVAAGCLDLDPPESCVLGGATCATPADVSVESDQCDDQLDYDEGLIPESFCATPELWEPWPLVEWNAVA >OB04G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14757331:14760302:1 gene:OB04G27310 transcript:OB04G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLTHAERFAADFYITDAKSGKRAMVKAGHHSRVVPLIGENLLVTTSGNTELSSTLRCWLDERNLPSEESQPLRLEEGYICEGMRLSVIGILSKKDGDLMILPPPEPISTGYVLLSFLLPTYFDGLVLRLVDRSYLTPKSGVS >OB04G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14767847:14771146:-1 gene:OB04G27320 transcript:OB04G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVGAMYSVIVMKLNLSTGLVPTLNVSAALIAFVVLRAWTQALARLGVAARPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMRSLQGYKAFICVALILGDGLYNFVKIVALTIKSLFDSSNLKNAKKGEAIPVLDELHRNEVFTRDNIPSWLAYCGYLALTIIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPKSMIIAQAIGTAMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLVRDLSPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHMLDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTH >OB04G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14778915:14781324:-1 gene:OB04G27330 transcript:OB04G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLLSISFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLKYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGKWYSAKASESSMTSLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVHERSKRRGLNSRGKLADADTMAIDDMQRNEVFNRDNMPTWMAYTGYTLLSAIAVVVIPIMFRQVKWYYVITAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYRAFDIGDPNGYWKAPYALIYRNMAVLGVEGFSALPRHCLQLCAGFFAFAVLVNLARDFLPRRYGRFMPLPMAMAVPFLVGANFAIDMCVGSLVVYVWHKVDGKRAALLVPAVASGLICGDGIWIFPSSLLALAKVKPPICMKFTPGN >OB04G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14787251:14791407:1 gene:OB04G27340 transcript:OB04G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Endoribonuclease XendoU (InterPro:IPR018998); Has 943 Blast hits to 770 proteins in 162 species: Archae - 0; Bacteria - 61; Metazoa - 472; Fungi - 40; Plants - 78; Viruses - 35; Other Eukaryotes - 257 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G17100) TAIR;Acc:AT4G17100] MDGLIKGLINVAIDAVEGAGRGGERVDGDAPRRHRPAREDEEEEVGRGDERSRSTWAEVVSDHKGGEPEERPDHRNSRREGRQERRDDEDWERVNARKQYQRNQYEEEDRRDSSSRRPQQQQQAPAYTRQQQDGKERNDGEWQTVGEKKRHGKPYQSEAWNAYKRPPSEQQYSEDAGRIHHALNVEPTREELNSLSNACNRLWELDMNRLTPGKDYRIECGEGKKVYQKGDMASETLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVKTQQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVASQDYEDFKRTLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRGQGENEVSGFHNWIQFYLEEANGNVDYQGYIFPRRRGESPDSETQLLTIQFEWNGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEDNRVEIGPYAVNIKCYQMGNSKIGSAFPIAEN >OB04G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14792289:14794942:-1 gene:OB04G27350 transcript:OB04G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKMHGEAESQDTDSSPSKPTTTYPECRLARNRVRSAWCKQSDDRIKWLAGELRSVWLSISGFGAGSHLALVKDKCRIKKRSGITAPAPASPPAAVGIISSAVSTEAGSNVSVPAAPSSTCTSSWANATNCPSESSSAGARPSASSSSARSTPELYDARQSGPRELALRELRGATGNFSPLLMVGQGGFGCVYRGVLRLPSGPPHGTPVAVKRLNLDSRQGHKEWLAEVQLLGVLEHPNLVKLVGYCASQTERGQQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLRVALGAAEGLLYLHEGLEFQIIYRDFKAANVLLDEEFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVRTGHLTAKSDVWSFGVVLYEILTGRRSIEKSRPKEEQKLLEWVRRHPAESAQFGRIMDVRLQGRYSMRAAAEVAELAAGCLAKHGKDRPAMAEVVERLRRATQHDELDGEVYPPPEESSPLCQEAEAEAEALAAEDDVAGGPPGGADAPPGCSWGERERSREEKAHAHEGGCCCHCCDVTSSFSCRS >OB04G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14797746:14801726:1 gene:OB04G27360 transcript:OB04G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGAAAASAAAGRKGPGTRGRLVKAQAAAKEVPAEEAKAAEEAPKVEEQKRQPSPPPHQPAAEEKALPDAAANGASHGEDEGTTKESYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYDDVDEVVEYTEDVIEEEMVDEELDGGDDGEGEGYENAEEEHNVDVEDEDHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRLKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGISNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRIGQGASRGGRFPYDRPPLRRPPPRLSRPDVSRLPPPVRGRPLKRPVDIRDRRPVVSIPDRVRRLPPPERSYDRRPPALVYPKRSPRRDYGRRDELPPPRSRATFGDYSSRVPVDRRPSYREDYSPRGAAYSDLGPRNAPRLSDRRAYADDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMDDVPPRYHDISVRQSKARLDYDMGGSSARYADTYSERLGRSHVGYSGGRSVSGHDSVYSSGRHGMSYGGSANSSDAGGMYSSNFGGSYMSRGSDVGGSSYSSLYSGRNAGSNSGYYGGSGSSSYY >OB04G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14807273:14807824:1 gene:OB04G27370 transcript:OB04G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRFPPPCWTPEETLALARAYTARRLSVGRAHLTSADWAAVADASSPTKTARQCRHKVEKLRRRLRSKRRRPCPLLDAIDLLDGPSPSASPSQSPSPPPPASPPPPPPSPPKKRRMSDDAEDDGESDVVKALRAIGEGFLRAEQRRMEAARKTQQMRMEMALRHLDSQRRLMEALVDRIVHSLE >OB04G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14812790:14814561:-1 gene:OB04G27380 transcript:OB04G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRAAPDAPGPAVFVSYVVAGVTALLSSLCYAEFSVRVPAAGGAFSYLRVTFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDAIAKGYNELDFPAVALILVLTLCLCYSTKESSMLNMVITVFHLLFFVFIILAGLWNGSARNLVRPHGLAPYGVRGVLDGAAIVYLSYTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSVALCAMLPYTEVSMRISILSTDRSAYGGRCTWAS >OB04G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14819017:14822678:1 gene:OB04G27390 transcript:OB04G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G62340) TAIR;Acc:AT1G62340] MACFKPCLAFFSVLTFLIINGGPSHVFAKVFMVVMEDDAVISPKSSQEKVMRGEEAQKYKKMTTTKHDIFLDSLLPVGSYKKLYSYTHLLNGFAVHAESEETIKILRNAKGVRLIQEDIKMAKTTTYTPRYIGANGVWPLLGGAEKSGDGVVIGMVDTGIDPSNPSFLSTSSQAKPPLVSFKGTCQTGNRFPPDSCNGKIVGARWFARAGQATGEFNATVHYASPYDPDGHGSHTASIAAGNFHTPAISRGYNFGQASGIAPGAHLAIYKAAYSFGGYMSDVIAAVDQAVEDGVDIISLSLAPTSVTSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSIVSFSPWITSVGASTTDRKYNKSIITGNGQVFSCGGLSPSTPGETMYPLALADDVSNGSSTDGSSNCQDPNVFIRSLVEGKVIICMFPSSNFVYEDDFLAGIVDTIQKIGAAGVIFTDRDSGYVDVEYQPTFPTTIPSAMVLKGADAQALLQYYENNLARDADGSVTAFGATVRILEGRRASYSKEAPVVADYSSRGPDVDNLQMQPADVLKPNVMAPGHHIWGAWSPTSDAMVEFRGESYALLSGTSMATPHVAGVVALIRQRHPKWSPAMAPGGVAVRVSPEVFTVAPGATATLRVVLNTTAPGNAFSFGEVVLKGDKKHTVRIPLAVYPAAVLAP >OB04G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14828801:14828971:1 gene:OB04G27400 transcript:OB04G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRRKLEGVRNLQTLTRFVLNLLLASCLVALYTVIIPLNYYPKNSPHVLLALNF >OB04G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14828681:14833200:1 gene:OB04G27410 transcript:OB04G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3M016] MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDLDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAAQLIHEKGGKVIALGDVTGSIRNKNGLDIPALMKHRNDGGSLKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNSSFQHIKAMCKSQDCNLRMGAFSLGVNRVARATLLRGWEA >OB04G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14836792:14837279:-1 gene:OB04G27420 transcript:OB04G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQQRHQRPAVSVAATALWPVVALTTEPSGPDPCPEPESPVHPGGGGAARPLPVPSCPGGWPPCPSMPPAVRCGHSSELHQVYLN >OB04G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14836850:14837206:1 gene:OB04G27430 transcript:OB04G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGGHPPGQEGTGRGRAAPPPPGWTGDSGSGQGSGPDGSWRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRGVRRPERIRLERARGIPRW >OB04G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14838922:14842265:-1 gene:OB04G27440 transcript:OB04G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVRQPVEPHAAAPSAFSGGGVGGTPGAKGPRGIGASGKGRETRGGRGGPQSRRCTRSSSAKASDASVEKGGRRDAGIDGYLRKSGSNAGAGESRLDGLRSGGGGGVGTAAGEDCSLGKSNPSSIVRDTDVQHLGSGSNARSGECVSDESVKKPSVNSVSKSNGSSAVDCMLKVDSTTPDRHADNAAVKGTNFAGPGSNGDETTRNGQKVVAPWRFQIGFKRSFSKAFCSDTEPSGAFGVQFYRVQDASAQSTPATRSSVRCYASAHSGVRVSAMRDFSMKREKETSIPYKKRKTGKGNPIQVMPMNRVVLARENIMGSLQDFRLIYRDLLDEEEDKPAEVVIRPDLQAYRIFRERFITECDEKKYIGHVPGIQVGDIFHLRVELCVVGLHRPHRVGVDHIKQEDGTCIAVSIVSYAQSSDVKNNLDVLVYSGSMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFITSLNGNCRRKKFPTYIYGGLYTVEKYWREKEGNDRYGYMFRLRRMAGQRHIDIQVILESGQAESYGSIIIKDLSRGLEKIPVSVVNSISDEYPMPYRYIAHLQYPRKYHPAPPAGCGCVGGCSDSKRCACAVKNGGEIPFNDKGCIIEAKPLVYECGPSCKCPPTCHNRVGQHGLKFRLQVFKTKLMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNLYAQNALYDHDDKSIPHIMFFACEDIPRCQELSYHYNYSIDQVHDVDGNIKKKKCLCGSIECDGWLY >OB04G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14846463:14848551:-1 gene:OB04G27450 transcript:OB04G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEEEDLADMPRVVGVLSALLERVTERNDAAAELELELAVAPAAASPFRATTKPDISVRAYMARIARFAGCSPACYVVAYIYLDRLLRRRRAHSVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGVSLPEMNYLEVDFLFGVGFDLNVSPETFGHYCAVLQSEMLCLELEPPPSPAPARLHCFLAEDDATGSGGSSSSSSGSCTALSIS >OB04G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14851408:14855132:-1 gene:OB04G27460 transcript:OB04G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02150) TAIR;Acc:AT1G02150] MLLHILGSSSLAPSRPSPLRQGGSGSGSGSATVRCASSSSPPPSSSSAAAAAGQQVAKVHSYGTLDYERRAALRWSSLYRRIAVGHGGRPGGRTLAGGDEGERRLDKWELCRISRELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVAHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDAEEAEKVSIIIDEMMDRNVAFDVCTYNIWIKSCAAMQDADGIEEVFNQMIRDETVVANWTTYTTLASMYIKLGNFEKAEEFLKEAEKRTTGREKKCFHFLITLYSHLGKKEEVYRIWNWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSNFDPKTMNILLAWYAREGFVTKAEQTLNRFVEKGGNPKPNTWEILATAYLKDGQSSEALSCLEKATAVVSPSKWRPRPTNVENLLAIFKERNDTESVDRLMNVLRSRGCEENEEYKSLINTYAFQGT >OB04G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14856619:14861131:-1 gene:OB04G27470 transcript:OB04G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPMHARDDIDAEEPRANKLKPPTLKLKEQKQLKKKPSHITMENGPFSDQNFRKMGDADLSNRSGSGSALSYSESCAPYGTSDASEMTASAQSHAWESLVPSKKRSCVTRPKPSPVEKLAKDLNSIMHEEQLLFLSGSSEEDLIYHSETPADSFEIGYGSMLLRPNSKSVEEESEASSVPADNKSYITSESYSGSASLVYSESKATSNQNVITEQPKKFPVQKTDNATRAYLHTENQDTLENANSPLVSLDIEGKNSEEIGEKTNASKRLTRSTMNPLKRPHDTQFQSSGEVRATMWSPKRVSKSGGAMGLNCQVPFMLKPGNGKDLACRGRGLNLFMLPPDKLSMLVPPQYTNDDSDQDLLLEVPPNARHPEAELLCQPSQLSSVAHSSTSEGGSAEGEGRLKQP >OB04G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14863970:14864230:-1 gene:OB04G27480 transcript:OB04G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLNLPVLLQEQQFFLLILKRVTPHGKLSSALLFRASGTSRKRIGLPVNESSEFPTYTQGNESPAFHSLKVLVRSCRLKTLGDI >OB04G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14871773:14878874:-1 gene:OB04G27490 transcript:OB04G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of asparagine-linked glycosylation 12 [Source:Projected from Arabidopsis thaliana (AT1G02145) TAIR;Acc:AT1G02145] MAPPRPSPAARLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIEKYDHLEFPGVVPRSFIGALVVSVISSPAVFIMHLFHIPKVYGLLAVRMMLGSITLITLRLIRVQVKNKFGHHAEAFYVMLTATQFHLLFYSTRPLPNILALAFVNLTYYFWFKGNYLRTLQALIVAAVIFRCDMILLLGTIGLALLLSRSISLLEAVKCCVSTAIICIGFTVLVDSIMWRRILWPEFEVLWFNSVLNRSSEWGTHPIHWYFTSALPRSMLVAYPLSVVGASLDRRIVKYILPVFLFVILYSKLPHKELRFIIASIPMLNVSASLAASRVYNNRKKAGWKLLYVLMIGGFLASLGYSSVTFMASYNNYPGGYALKALHEADSLMKEKTVHIDAFTAMSGVSRFCESEYPWRYATLLFYLCDLLSPFISFQYSKEEEISIEEYQERNFTYLLNEHRHISGYKCLFAVDGFSRAKIQPRIPPLSLVKEPKVFAHGNTRDPDILSLNWPGCP >OB04G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14879670:14884621:-1 gene:OB04G27500 transcript:OB04G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLETACLPALYAPLGPYTPASASLLAPLPSLQHRLPQLPPLVHEQAAGNHGVMFSSDHGRLYPLLPGIPFCQSSSAAAAAGEKAAGFAPVDAAEAGTSAAAKVDNEIATAATATNCHGYNSWWKGSAAAAEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRLVITPRRRPPHPHPLQRRRQLRRRRPHRQLRLHLLLTHAYIWVMVTLCPAAMLHGEADRWSYQGGRDRCKMLGKLARTLTCMLVFPKQSISSSSCIYFMETQARISDEVINTKN >OB04G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14892342:14892533:-1 gene:OB04G27510 transcript:OB04G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding LITFLCSFLCASREATKRPGTDKTLHQQMASVNPGLQIGWDLGITSGHQKWVISGAIDRPRSD >OB04G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14892807:14896058:-1 gene:OB04G27520 transcript:OB04G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAVRRLSGASSCHQAHHPSPAEVVTGSTAWIGRGFSCVCAQRRESDQRISFDLSPAQEECLQRLQNRIEVPYDSSNGEHQEALKSLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFATNYTKSFQELLCKRIGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSLIGAVFLNLLLENDRAFDILYCITFKLMDHKWLEMHANYMDFNVFPSV >OB04G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14898191:14902403:-1 gene:OB04G27530 transcript:OB04G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT2G44760) TAIR;Acc:AT2G44760] MKEPVIKPSWDTFASSLSGIWKGVGAVFSPITAEMEPLGVGNKEEFLYDCYTLSHIQKHTDNDFGSVIHRKTNWVLLNPHGEAEKQSAGYDSGDQYNYSDKRTVDLPAHESFDLKRSDVLDEDSIAQEPGIVYFEDGSYSRGPVDLVIGEFDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRIAVYEEQWLSPAHIHVEDDTPADVKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEEKQEIEGGSLFVYLCMETVKKRNLPESSVSFGEEEMLDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSEEGINMVMERDYGTDGKLREVRWKTEVKRRWNQPGPP >OB04G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14906104:14907012:-1 gene:OB04G27540 transcript:OB04G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARVAADSSSVAKAGASEGPGESELRGDSSGAIRGDSSGAMMGDGVGATIGAGEGDTGAGAGAMVGVATGAGTGAASSGAAAETCGAEEAVVGAAAETCGDEAAAAVVGGAADACGAPALTGGAFDADGDEAGDCAAQETSSKQSARGRRSSISVSVFVFNS >OB04G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14907756:14907995:1 gene:OB04G27550 transcript:OB04G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVKAAKRRTAVSVPDRDCDGQILLFLSGFSADQPEIYRPVPAQTRMHVVRAGARKMTSTWSKNITNSPFAVAISNA >OB04G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14908070:14908707:-1 gene:OB04G27560 transcript:OB04G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSCSSLALCCVLLLVHGAARRAEAAMYSVGNSAGWDISADFPSWLDGKSFYVGDTLVFQYSKYHTVSEVDAAGYRNCSTANAVLTSSDGNTTVPLTAPGDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLCVAAALFVW >OB04G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14911223:14911742:-1 gene:OB04G27570 transcript:OB04G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPGGVLVAVLLLLAAAAPASARDYTVGDSSGWTTGVDYTAWARGKAFTVGDRLLFQYNSDRHSVAEVSAADHGSCSPSNPLRSYRDGTAAVALTSPGTRYFICGSSGHCASGMKLTVTVASAKPSSPGDGE >OB04G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14914208:14916451:-1 gene:OB04G27580 transcript:OB04G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLPGVLAAVLLVVAAAAPASAKDYTVGDSSGWVPGVDYTAWAKGKKFSIGDTLLFQYNGRAHSVVEVSAADLSLCSASNPLRSYKSDDGITTITLTKPGARYFICGATGHCASGMKLTVTVESLSGGGSSSSSSSGARPVKPGEEAPSDVEPAAAGTTTARTSSTTSSATGSRVRTAAWLLFFAAASFALIG >OB04G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14917412:14919025:-1 gene:OB04G27590 transcript:OB04G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATTKLIHVESMQTAVPTRVTGAGRTLPIAVSAPPLTAAALQRRFRAVLYYRGAAGVEQQGVAGAGGCAGGGGGALPSAVPAPPLTAAALQRRFRAVLYYRGAAGVEQQGVAGAGGCAGGGGVNDNGVRLVLASVDMPMAAFLEAKDLERKEAALALWTDVDVHEPEFCAPFFVQLTRFQDGGYAIGACCSLLLADPLSLVDFLKAWACTHAEMQARSKAAGGGAPPVIQYTRYLQTPGAATRRLRSVPLDACSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAACVDRALEKLGSSAGKPPRLTVLAAEGSGGMTVHACDGDGETTAAAAAAALSRGHALRAAYWHEVGLGEIALDGSEPVHASCSVVSPCADEGLVVVMTPAGGGELLISATVPNQ >OB04G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14923470:14924154:-1 gene:OB04G27600 transcript:OB04G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPQRYRFGGSGFLECGRGFKPWVIPKSVARGGASVAVNNVKRWPRKMDEAMEFYEFYDWNVRSYRFKSPFDRRPLIGPRERRRKNEAKRTLRLVGSSDPEYLLQCETAAFGDWEDED >OB04G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14925724:14925939:-1 gene:OB04G27610 transcript:OB04G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding RELCSNCSIINFILKYIYRNVIFIFKKYKTNLLMPYQWAQCIHAHQWARCITCHFIRRDRHMINGVRETNIR >OB04G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14926186:14927406:1 gene:OB04G27620 transcript:OB04G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G58520) TAIR;Acc:AT3G58520] MQKVRLKWVKNRGLDHIIARTTSIRASCLLLDHLARLPSSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAETPHPRFPTLPSFSLTPASHLLVGRAARASAVDSHLRLARLLLLTRSKSLPLASVLPLRFDLGLPYNFAAAFPVAHPDLFAVSNNHISLSTTSHLPEDILISSLQLRHAEAIERTTYRALSRPPSSSSAPLAFPMRFPRGYGGMKKVKAWMEEFHRLPYISPYDDASGIDPESDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGIFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVFYVMRTGVLYRGKGLSKLVLDEEGDVEGVLMDGDEEFHGEGMDEEADVECFGMEIVDNGHSTDDEDDERDMDD >OB04G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14927105:14931183:1 gene:OB04G27630 transcript:OB04G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSPLSITSIIFNHMKRSGVDLFPLQPNQVCSPLPNDTKILACGYIILNTIIDVHHFLEERTLEVWNINAKKYAMVQICKRCLEAATGNLAAESGSVEAWESYDTC >OB04G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14935204:14938476:1 gene:OB04G27640 transcript:OB04G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44830) TAIR;Acc:AT2G44830] MEIVHKIAEPKEPLMVTARKAQNLEAPIPIKASWKGKSSQQQQQQDEKDFPADGEESFLSLDSSDEGGRSSFSGASHPLEPIDMDLMKTVYVAIDEEKSEPPVCLVRGLSAKGPFMDDLSIRVTGMKANAVAGAVGADGLAEEMKVSGAAVASLATARSSQATEAVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTAMSTINSCTSTYKSVPISSEPVLATERNCGSVKGSIRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGASKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASAFDSDPRRAGGSFCVQPACMEPTSACIQPACFMPKLFGQKSKKKTKKTRSELGQSATTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGADVKSGGKYLDFEFF >OB04G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14939815:14942654:1 gene:OB04G27650 transcript:OB04G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:J3M040] MGKRWLPLEANPEVMNQFMWGLGVPAEVGFCDVYGLDDEMLAIVPQPVLAVILLYPQDRKKESVASTSSTVESKKFNNNVYFTKQTIGNACGTVGIIHAIGNVVSRIKLAEGSYFDRFYKQTADMDPSQRAAFLEEDEEMEKAHSVAVTAGDTEAKDGVIEHYVCFSCVDDEIFELDGGNSQPISHGPSSPDSLLQDAAKIIKARIAAYPESLNFNVMALSKQ >OB04G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14944948:14948855:-1 gene:OB04G27660 transcript:OB04G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVSHQASVLDTIRQHLLEEPAEGRSNGGAGPVHARSASFGSLVADMWSDSLPFRDDDADDMVVFGAMRDAFSCGWLPDGVFAEVKPEPLLSPASSYDGSCCFGFAGSEPVTPGEEVSGAETAEAAAVVAEVHGKEEAAALARGKHYRGVRQRPWGKFAAEIRDPAKNGARDGKEGSVSATARAAASRRKLHRMQRDKLGVQLGGATARPPVNSSVRNGRRGGNRMHGGPVLVPAAIALALMAAGFITSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKEAAQHRIDQAQAS >OB04G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14961509:14965043:-1 gene:OB04G27670 transcript:OB04G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDRWIDACTRLRTEATLVEQQQPANFGTAFLACADRRISTTVRDNTKSVKDYCSRLSVTSLLYDPALKSLSILCYHERNVVIFTRCELNRQASLSLRRSPSGYVAKATPKFSPHTPQGFPGICWRLRSGPMNHRGSLPVATNHEFIGQTHSAVVTGLVPVTAIERSRLHGISRYQLGHGANSMSGAAFG >OB04G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14968109:14969113:1 gene:OB04G27680 transcript:OB04G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGDVEDFALEFIREHLLGGDASVPVRVPASLEVPDYVTFPVPPPPAAGVPAVVYPPSVFLPQQQQQRGYVDLTPQEEYVDSVQVAEAAFREPEPAAEVMIMFGGERFPVKPSSMTVTPPPSSFGWAPAGGPPAAAAATGAVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGSRAGADMWAPPPPTSSKTAATSKRKRQPEDTADVVEVTGVANKAAKTEAPASYSSSLSSMSRDTTITCTSSTEMSTESEPTSFPVTPSSGSWEQYWDALLGGLPPLSPLSPHPALGFRQLTVS >OB04G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14978152:14979203:1 gene:OB04G27690 transcript:OB04G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGDAVGFALEFIREHLLGGDGTVLDDAIAAFPEPSPPAAGPVAAFPSMFLPQHELQQQDCHHVDRTREYVESAAPAAAEVVMLKFGGEPSPQGRSADDVLRLIVTVILPVAGHSGGDVVIIDGDVDWFGSRWLGCRELPGDAVELELGAVLGRAARWPAAAVATVTAPGDGVPAAHR >OB04G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14989543:14991349:1 gene:OB04G27700 transcript:OB04G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMMTYWIPEEPCMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIFSGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPTSNEIKIDETEIQAARWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVISRFDGRRSTLYYNVSEPEDVNCDAA >OB04G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14992112:14995119:1 gene:OB04G27710 transcript:OB04G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNDGGGGGGGNGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRSVRMGTTGMSRAEFRSFIENLAGKYNGNSYHLISKNCNHFTDDVCKNLTGKQIPGWVNRLARVGAFFNYILPKSIQVSAVTHVPTHPAFSDDDMDSRSCSISGDSDVDELDQHLLSAAPIELHSIEQQS >OB04G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14996123:14996347:-1 gene:OB04G27720 transcript:OB04G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTEKTNEQRTREILCCDPAATFSFFSSLICTEYITQTWANKYAWKSSHLARAIPRCAYCSSSDNRKTEITV >OB04G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:14997334:15000141:-1 gene:OB04G27730 transcript:OB04G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLLAKFAAALSSPAAVGLSSLAGVRSGMEAAAKDLELLLAFLRSVDSLRGSDALADAWVGQVRDVAFDLEDAADEYAFLSRHSFFRHGGNLGAWFALSRRLWRARERLRELSAAKEQLGIRPAEVSAPSSAAGGRSASTVGRTLAEASHFVEEDEIVGFEMHKRLLMKWLTGDADPRRMLIAVCGMGGVGKTTLVTNVYKKVTASGHFDCAAWVAVSKSFTTEDLLRRIAKEFHRDARAGVPWDVDNMDYRSLVEALRGHLSKKRYVLLLDDVWDAHAWYEIRQAFVDDGTESRIIITTRSQDIASLASSNRIVRLEPLSELESWSLFCNTAFRDDADRECPYRLRHWASKIVEGCCGLPLAIVSVANLLVLKDRTEFAWKNVYDNLAWYESSDYGIGQVSSILNLSFDDMPYHLKKLFLYCSIYPEDFMIKRKTLIRTWVAEGLIEEKGNSTMEEVADDYLNQLVQRSLLQVPVQNEFGRAKRCCIHDLIREMIVHRSAKERFFVFSKCTATLGSSKKARHLVFDRCRSDRVSAPKMNSLRSFHVFKADLDASLFSSFRLLTVLNLWFIPIVKLPIVVTDLLNLRYLGIRSTLIDELPEELGQLHNLQTLDAKWSMVQRLPRSIIKLKNLRHLVLYRRRSADFTYPGPGMAIALPDGVKNLTCLQTLKYIEADEKMIRSLGSLKQMRSLELCGVHESNLIHLPSSISKMSCLLRLGIISQDAKVKLDLEPFYPPPIKLQKLTLEGILVRGKLPSWFGSLNNLMQLRLHSSNLMEDSVVLLSSLPRLLHLSLVNAYSGKSLTFANGYFPALKKLSLQDLPNLSHLEFQKGSLVDLHVLMLGRCDQLTKIPQDIRNLVHLETLDLFEMPSEMIHHIRNDEVLQEHNHNSEHTIKIKSIRWHYGKLLEEKIYINLSLLESWPFIDRGSIM >OB04G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15000651:15015818:1 gene:OB04G27740 transcript:OB04G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06530) TAIR;Acc:AT3G06530] MASIESQLKAIKSAAGAAPEPARRPITRPSVLLDPKEAADIDLRSILPNALSGLDHLGSVDERFRRYRDTLFSETSLEVNREQLTSKENGKLNKSISSYLRLLAGYLQLPAALWTVEYLIRRYLVHVYNLDELLLCALPYHDTHAFVRIVQLINLGNSKWAFLDAIKSSGAPPPRSVMVQQCIRDQAVLETLCNYAAPTKEFHHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPAMKGDQDYKAGALMIIGVLATRATLAPKLVQNLIFFVARAAQHDALDSIDLPWIRVTVMAIISLVQSQSVSDFPKKTLLILKDIRDISGILSVLCSEFNIERFIRLYVESLVGYSFSNGSCHSHLIEIVETLHIENFVERIVSKVLCHCVKAPQAAENIDMNRTGLWAKKTLNAIGKKYPKELRDAIHKFLENSEVNTIGEDFASNLLGLVFDESKGVPIEISDSNIWFSLDHPKAEVRTSALSKIATSNIFKNHNLNPQNLINMQDAIVHNMYDDDLSVVQAALSIEGLAAVASPNRLLKVYDDLLTKCINIIHKGGPKASKACDVAVTCLEKIITEYPLHYIEHAKDIAVVVFHLIIVHPKTVRVNLKALELAKSIQWEFYTSNSLVCNAITNDRMKSISSESVASINMKNIKALSETLLANPNKHVEWLADAGKRSAFSRTSFLLIILQALLAPTGVLDMQMSLCQACLPVLKNEWCQITPKDDCVGDEISIDKLEKCITELVKHISNNDPEALNTRILVCIFWGILRVLSFYTKQSTMINDVGNTLLDDWFLFFITSTGKNNFQKHLQYLMVNCTRAPFHFISKYFVDEGFSAEVQVESLLMLASICSSCALSETSSLDESLCMQLLLGFPLVMLPLAHENKNVRSSALKCIEGLFMVWQRWSSSLSRNGNNSKLPKCMLSPTFGVFVGSLVNQKTMISSDATFLPAYISSMLSPRQDLMVPENLHERFDQSTKGAILHFILRSGMKLSSRGKFMVLSMLKGIGSILFDVEDVKSLFYDLLDRRNQYQSGHESRQVMSTHEIQILCLLLQVFFLVSDCANISSETSEALLKVLMIDVSTREDPVVVMPCVTALQALQPVFFDFLKNDTKEKVFAHLVSMFRTENTEIRNAARDALLRINVHASTAVKFIESIAAQGDKKSNSKRIKREVDLNCDIFKNFNDLFGAKPTALVLVSLLDILFLKKDVVQRPCLLPPLFQLLSKLLSVQWVSGIVCQYNKSHDASSVTDDLSNLMIEAQQLVLLILKDITDTLQAGHQDAPFSCGDINLLINCIQSTKDLGIRNRGFSLIASLARVSPELLSESIDDLFVTIGDAVKQDDSHSQRILEDLLSVLVPCWLARITSIEKLLEIFIKALADVAEHRRLTLMLYLLRTLGEKKCLSTVIMYLLHSLTGRISHSPKHQGCNYVLSLRAMPLEWEYGLAVNITNQYSYKLWIHCLSKLLQEIRVHEKQNLLPMLHLGMQVILFKLQDTELIFDLDSEEAASSIQGSLGELMEEVVLCTVAVREKKNDISGDAIKELRDSANTVLKVITGWMSASTYFKGISRMLEHSRSVVKRKALGILCETAKGNSLIQKKQKKARKVNDSSPATAPQVDKSSAPFFSDLCFKILELVDREIDSDSSVKISAISSLETLAKEYPSDNPAYSKCIVKITNHISSSDAVTSCRSIHTVGSLINVLGSKALPQLPSIMKNMLQISHKVSFCPSGKYAHSSSKTDAKLSNQAIPVLLSVLTTVEVIVKKLGDFVNPYLEEILDLVVLHPECASQIDEKLDAKAADVRQLLAEKVPVRLMLSPLLNLYNGATKCGEASLSLAFEMLSTLVGTMDRMAVGTYHTKVYEHCLVALDLRRQHLDSLKNIATVEKSIIHAITTLTMKLTEATFRPLFLRTLEWAESEVDQSTSKRSLDRAIVFYKLVNSLAEKHRSLFVPYFKYLLEGSVQYLSEDDGLISSKQKKKAKLEYAQVGQKDNLSGPKLWNLRTLVLKSLYKCFMYDNDQKILDSSNFQGLLKPIVAQFVMEPPEHFESVPEAPSVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVLMRTRSDKVRPKMLGLKVVRYMVQHLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETVSGENLRQYL >OB04G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15016763:15018619:-1 gene:OB04G27750 transcript:OB04G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVHPFYAAGSLRRFLQEGINVSQRWDIVCKLSVGIVKGLDHLHTGSQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQQMLESSAMQGYKAPELIKMREATRESDIYSLGVILLEMLAQKEAANSSSPNARDIHLPSSFKDLVLERKISDAFSSELIKQSKNSGKEQNLNGFFELATACCNPSPSLRPDTKHILKTLEEISR >OB04G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15022310:15023506:-1 gene:OB04G27760 transcript:OB04G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPVEARVGGGDVPARLQQALALLFPTNLAAKAVLFAVVVALLPLLPTNQAPRIWELPHILLLGLIISYGVFGQRNADAEVAAVSAKTVDDESVESYVSQMMQGPLVFDQENDGGEADGAGKEGIQAWSSKYFPDDPLVVVADADTGSNTVKGDVNDKPLLLPVRKLKPATEESATVMEDFSDGIEEEEESGFLVPKARYGGVREHAIPSPSSVLDADLTLSPCSPPLLPPPPPPPPPPSLGSGRGLQRAKARSFNDYGRVGSQSGSDGGHNFRSRSAIQASRSTFSTLPFDFDEQVSADELEKKVAASDISSFSSDGMVTDGEDDNDKEIVNSEEEEDDVDDDDEDGELFELATRPAPEELEAVEDEVDRKADEFIAKFREQIRMQRVVEPGRR >OB04G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15031861:15033096:-1 gene:OB04G27770 transcript:OB04G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLAPRTHHVAAMLFHSPPEKRFLEMPLLPAAKRSEVAAADEERAGLRGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMNMSPPTTLTMCPSCERVSNANNSSSAAPDRRGAGGVTAAGGNDTAADGGILCHRPIAVRPQQS >OB04G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15043640:15044197:-1 gene:OB04G27780 transcript:OB04G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHNHCDVISHDNVNGPTEIIIVDATEIIRGNSKLSDFFCQKSLDASNPPATCFASCEGSSNAAKKRRTLASKLTRPWPCGGEAREQGNQAAAASQHADTRAGDLGHPNDARRRAVPAAGPPGRQAAAAGGMHHDAAGAAAVAGEMEPRSDAAHRQPHGVKVAHARAPTSGGGARAFCAGGALR >OB04G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15045607:15049156:-1 gene:OB04G27790 transcript:OB04G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MGATPNRRSRRSYARLLLGLLLLRFGGCLEAADHGGRAEEGGGVFPVVVSTWPFREAVRAAWEVVSASGAAGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAVAAMRYIKDGIKAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIEKWTIWRQNHCQPNFWKNVVPAGNCGPYHPIDVPSGESKASVKHVLKRTQGEICQGLFEHDNFLEPVNPHLKSINRHNHDTISMAVIDKMGHVSVGTSTNGATFKVPGRVGDAPIPGSSAYADDEVGACGATGDGDIMMRFLPCYQVIESMRQGMEPRNAAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSLRNSSMQDVEVITVAP >OB04G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15049355:15049763:1 gene:OB04G27800 transcript:OB04G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGRGEEAERWPWWAGASAAQVAAGVAWFRGGRGGTAFAMPFKAFAIATLFVGAGATAVTAGVLGGARKRPPPPPRKIPSQRRRVVPSWWGVDEMKVAGASIRRWMGAPPRRVEGGDS >OB04G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15050014:15052416:1 gene:OB04G27810 transcript:OB04G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASETTVPTRKSLSSLCARGHEARGIRRSWWWWWWRGGCLRIAISCSCAPSRIKPGRPIPAGRSPSRHAHHYAGCRDTHREEARSIVIHRSRIRTTHCPALAFACVGAAAAAAEAMARAPGGVRRRSARRDAAGGGDAVRKGPWTAEEDEVLLQHVRAHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFSAEEERVVIELQSQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARLLRAPLPARSNKQNSSKGKAPSSSSLESPTATTFHQGPACLDQASFEGNSFGCHYCEAAPFMEHQNVARAPYDQACSGFFAFEGALPLQFLAPADGEASSSNAAHQLAPPLPFDPPLYPLVELPGWTDRCVEAGNGFVDAGAMDDLAYQELLPMVQAAPMMMPFFGMECAHEAIKGEPRDAFGDLPPNMFDDNLDQLPSSPPSPPSPSGGDEF >OB04G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15062300:15062692:1 gene:OB04G27820 transcript:OB04G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHDVAALAIKGRNAHLNFPDSAHELPRPASTSPADIQAAATKAAAEVRFEESSSSPTAEQPEEAACPETVHAVGGQDNALFDLPDLLLDLRDGLWWSPVWPAALAAEEYVDGGDAVVLNEPLLWAE >OB04G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15069411:15069563:-1 gene:OB04G27830 transcript:OB04G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKIDFDHTSYRRFLLKLASQQPTSGSDQVRMWLTAMCRLCTIYVYILCL >OB04G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15069574:15070365:1 gene:OB04G27840 transcript:OB04G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQVLFQESNCRTCSSSSNDASASSASSLNASSLSSVDSGSASAASSLNASSLSSVDSGSASASAGGKKRRAHAELRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALAIKGRAAHLNFPELAGELPCAASKAPKDVQAAAALAAATASPAPTPCHVDDDDDAAATEGEREPAEPEQTAARACIVENGTLQQDGGIGLDYAYFTMPDTLLEFGFTLPPPSFCGSPWDDAADDIFFGEPLVLWEH >OB04G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15082780:15083414:1 gene:OB04G27850 transcript:OB04G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHEPASPASPDTASSSSTSSSSSSSSSSAAVAQKKRPRNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRWGKWVSEISAHDVAALAIKGRAAHLNFPDLAHLLPRPATAAPKDVQAAALLAAAADFPSEANAKSPDACSFASAASPQPPAPDAEPDPDNALFDLPDLLLDLRYETSSSLSCGASWAVDDDVAGGGVFRLEEPMLWDY >OB04G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15083861:15084141:-1 gene:OB04G27860 transcript:OB04G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRDGINFGVAGKRCLYAETVAFGVFMRSRSLPRHTSPSRRGSEGSVQYRGIAMQVELDDLNGVDESYRGFQGR >OB04G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15095619:15103773:-1 gene:OB04G27870 transcript:OB04G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) TAIR;Acc:AT2G44950] MGSTGEPDRKRRLSSSVAPGGAPVSPAKRLAVAPSSEDKKLDFAVLKYKNQKLSEQLEAHKFEYCALESKFTGLKEKQRTHNETLTLVNSSWKQLVADLKSRSFCKTGSPSSRFGSGHINVQKDGTRAPIERDTIRGLLESGATESSGCLPGCHLETDAPSLQLSTANVLGDIFFPSSDLWQANKEFALAALTKLPENDCSKQLQSTSSNLSSSLNNVIQALHDLHLKHKQLAENYQNQRDSSARKRAEHKRLKEELASTASELEETNHKLAALKAQRDNTQGTPFLYPTLGNKNMAEDKVRDKQRELRDLEATHKELSELISKRLVEIRRLHEERIEILNKLATFQNMLMDFKSIRSSKAFQLVNDRLQKSRAELDHYQTLLEKLQVDKDKFVWQERQFNLKIDLAEIPERVSTFYESRIADLKKDIQRLCDEKNMLVLKLEEASREPGRNQVIAKFKALVSSIPTEMEAMQSEMTKHKEASLQLNSLRAEVHSLSSILSRKDRDNEEASCRSAHAGSDITQLQSVISDLKQTNKELKLFVDMYKRESTDSREVMESRDREIHEWARVHALKSSLDESKLEQRVKAANEAEAISQQRLATAEAEIAESGQKLGTFRKDLVSLSHMLKSKQEECEAYRVEVESIGQAYDDSQAQNQQLLQQIIERDDDNTKIFMEGVKAKQAQDALHLETHMLRRNLQQESALMDLYNQKIVCLEDQLKVWSDRVGKLQEDGWQQSVSLSNYQRKLVDVHRDAQKLMQSLDGIQSNVGSSRLEVADLLIELEKERFSKKRTEDDLEIMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVVITKCYHLFCNQCIQKSLGNRQRRCPSCGLSFGPNDVKPIYI >OB04G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15108589:15109614:1 gene:OB04G27880 transcript:OB04G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKPRLTSSSSLLPSTRISSPDPKYARPIATSPTPQRCLRIAATAAAAPAASAAEAAALTRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKNLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDATVLHPIISSGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >OB04G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15112127:15112396:1 gene:OB04G27890 transcript:OB04G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANPFASNGTLPSFWYLSTVLVGTSFWYLSTVLVGTSMVPFIISYLLCERNFEKREENPTPCLGIAESIPHYVVHLLFCERLVLCIS >OB04G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15114244:15118776:1 gene:OB04G27900 transcript:OB04G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MATHTIPPMHAVVQRHHVAPPRRCGAVIRAIAATATTAEPDTLSAAFWDYTLLFRSQRDECLGSVPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFQPGHGTVHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGEPYEVDPRTLQTVGPFDLLGLAGAAADEANASAARRTTTRRPWLQEAGLDVAARLLRPVLSGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYEFDALFDLVQKREFVVPDHLMIHDWAFTDNHYVLLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEAEASGRDWSVPIEAPSQMWSVHVGNAFEEENRRGGLDVRLHMSGCSYQWFHFHRMFGYNWNHKKLDPSFMNAVKGKEWLPRLVQVAIELDKTGACRRCSVRRLSDQYARPADFPAINPSYTNKRNRFIYAGAASGSRRFLPYFPFDSVVKVDVSDGSAQWWSTEGRKFVGEPVFIPTGGGEDDGYVILVEYAVSKHRCHLVVLDAKKIGEKNALVAKLEVPKHLTFPMGFHGFWGDE >OB04G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15116546:15118997:-1 gene:OB04G27910 transcript:OB04G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRENGWRGGETPARLQGSAAMSSPSGKNQSRSLRLDDDEGWEEVIALGDDALRADSAAFDAVSEAVDEQGMVFGAPPTDQEVRAAVASIQQVFENHPALDSDGPAQALALPPISGLPPSGMLVNYFAEDSAQSDNKINQLTNLEHSTPDSASEEMIEPAVLVLNSTALLTREHRNVLDAFHLLQVDPSVQKMVMALSTDKSVWDAVMKNEVVQEFRRSFQDAKEADLSGSSSASPGVMKWVMENTQAKIKEFLENILKLVNMLFLTQSEDYDLYDDTVRMSFMLTVFVFIVVTMARI >OB04G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15123362:15124518:-1 gene:OB04G27920 transcript:OB04G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRLHMQRCFSPPSLRAYFAEFVSTFLFVFTAVGSAVSARMLQPDATSDASSLVATAVAQAFGLFAAMFIAADVSGGHVNPAVTFAFAIGGHIAVPSAIFYWASQMLGSTFACLVLYYISAGQARIHDHLLSSYQNVHCCITSQTNIARVCTGRADDEDRGADDRVRRGDPGGSAHVVEM >OB04G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15124933:15127054:-1 gene:OB04G27930 transcript:OB04G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFVTHGQPIPTHAVAGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVPQQEYPGRSFNPNRCCC >OB04G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15129245:15131726:1 gene:OB04G27940 transcript:OB04G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3M069] MMSSNSLVQRLMKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIARGLMLGADQPVVLHMLDLPVAADALNGIRMELIDAALPLLRGVVATSDQAEAFKGVNVAVLIGGWPRKDGMERKDLIGKNVAIYKSQASALQQHAAPNCKVLVVANPANTNALVLKQFAPAIPAKNITCLTRLDHNRALGQLAEKLNVHVADVKNAIIWGNHSSTQFPDTSHATALTDRGERPVRELVADEKWLREEFVSTVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGIFYSFPVTCEKGEWSIVQGLQIDDFARSKMDASVTELKEEKRIANGFVI >OB04G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15130758:15134669:-1 gene:OB04G27950 transcript:OB04G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G16650) TAIR;Acc:AT4G16650] MGRKPDPAKPHSGGAASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQIRNNEPINIWKSRYSNLYYGCSRRSTNFRSAVPENRSTGYLLIATSGGLNQQRIGITDAVVVARILNATLVVPELDHRSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYVDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFANSIQTLGEKLVHKLRAMGPRYVAVHLRFEPDMLAFSGCYYGGGEKERRELGEIRKRWDTLPELSAEDERNRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNFYTKELLAGDDLKPFLPFSSQLAAIDFIVCDGSDVFVANNNGNMAKVLAGRRHYLGHKRTIRPNAKKLNSLFQRRNQMGWEIFSRKVKKAQRGLMGEPDDTRPGRDDFHEFPSSCICQRIPVNRSVRAQAGNL >OB04G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15136873:15139853:1 gene:OB04G27960 transcript:OB04G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGCGGGGSGQTPRGVAGMHWAPVTSAPCPQPFLPPPPCRPDQMQQQGLTCLKLGKRPCFWGGSGTSHAAQGSSAGGGGGGGGGAEGKRKEKAATAAPVVPRCQVEGCDVTLTGVKEYHRRHKVCEVHAKAPRVVVHGIEQRFCQQCSRFHVLAEFDDAKKSCRRRLAGHNERRRRSNASEAMARGSAHPHGMPMLGHGSPPYGLPTSSAGALSLLSATRATGPWLIPTPDISARSSAALDELIAENRAALLSWQFFSDRX >OB04G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15147504:15147998:-1 gene:OB04G27970 transcript:OB04G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTVCAMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAPASAGSDMCDWCLGDVAGKARYSSAAGTKLQGAGSQESSTTSSSSAGRGSKASAGDQESGRRGAKAAGRRYKLLKDVLC >OB04G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15150079:15155506:-1 gene:OB04G27980 transcript:OB04G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKQRKGCELASPFLPVPRHHHPNQILAHGATGLPLARSRANSRAAPARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRATRRLAPAPPPAAAGFLRGLFPSRAPRPPPAKDDLLRLIADQRRGLDTQSDPARLPDIVSCIDALAASAPGADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPAGAFVVNGSIEIQPPQRVYARCVEREQLGGPFSALWERMALPDQVLVPLQSRYQEVQDTIDGPSQPKTRPLRAAATVGGEQCRGSCHEISIAERRGGCCALHCEGERAHRMCVSPHQSIQLHSCGGDWGARAWHGTGASRPAWLSCPQEREINPNRQQQRQEQDRIGAAIATLSQVRDDMI >OB04G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15155597:15161476:1 gene:OB04G27990 transcript:OB04G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLMNCAKTSMSSKLINSDSNFFANLVVDAVQAVKTTNAKGEVRYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLMKEESGNEE >OB04G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15163602:15164929:1 gene:OB04G28000 transcript:OB04G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQLIFSTFVVIAAVTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVNQAPFSSMIAAGSPSIFKSGLGCGSCYQVKCTGNSACSGNPVTVVLTDECPGGPCLAEPVHFDLSGTAFGAMANPGQANQLRGAGVLQIQYNRVPCNWGGVMLTFVVDAGSNPNYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLNAGSALQAPFSIRLTSSSGKTLVASNVIPAGWKPGASYTSTVNY >OB04G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15168947:15170117:1 gene:OB04G28010 transcript:OB04G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHATFVLAILVVIPILCLPISGYETNPSTPSRHNYTAGGRSILALDRGYGGWSSGGATWYGGPHGDGSEGGACGYQSAVGQRPFSSMIAAGGPSLFKDGKGCGSCYQIKCTGNQACSGRPVTVVITDSCPGGACLNEAAHFDMSGTAFGAMANSGMGDRLRSAGVLNIQYKRVPCMFGMNVAFKVDAGSNPYYLAVLVQYANGDGDLAAVHIMEGGGRSSQRTRGGRWTAMQQSWGATWRLNSNTGQPLRAPFSIRLTSGSGKVLVANNVIPSGWQAGSTYRSAVNYGA >OB04G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15174881:15175558:1 gene:OB04G28020 transcript:OB04G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELASEMYALPCGDDGTTALSTPVVVSVLASLLERHIARNERELAAGHREAAAAEGEAARRARAFDSGTVLDMSLRAFLERFSRYAHVSPQVYVVAYAYLDRLRRGGDAGVRVVSANAQRLLTTAILVASKFVEDRNYKNSYFAAVGGLSAAELSSLELDFLFLMQFKLNVSVSVFQSYSRHLQREVSYGGGDQVERCLRKALVSSGEARAQQRQAAAAAAQ >OB04G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15184660:15186135:1 gene:OB04G28030 transcript:OB04G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGVGDGDGLGVDDDGDDDDSLGDDRWNSGVPSSSAGATHVYALRTKPNRLTRSRQVCKNCGKEFTSWEHFLEHGKCNSGEDEDDEEPDRSLQSWSPEAKGEENPGPSTGWSKGKRSRRAKSVGIDSSPPASACMAGEEEDLANCLVLLSSSKLPQPGISQAQPEPESSVSGSKEYNTPMSCMEPAFNTVMMLLPSPTSVQYVSPTARGMFECKACKKVFSSHQALGGHRASHKKVKGCFAARLDSNITEPPQHSGVAHANTNATFDASNTDGDANAGTSEAAAELSMAIVPRDPPVAALAAAPLKKKGKMHECSVCHRLFTSGQALGGHKRCHWLTSNSADHASSIANLPPLPPADDLIGASCHPLHFRPMMNAPEPALNLGIAANPSPLASRSKVGGSSLHPDATPPVASPTTVPHRDKATAITGSQNAKDAVGLSVVAEDEADSTTVKRARLRDLKDVSMAGETTPWLQVGIGSSSRGSGDDNDRQ >OB04G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15191361:15192302:1 gene:OB04G28040 transcript:OB04G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCSRRFGSPRALAGHMRSHSVAATRSQISSTSSASTSIAVGDDEGGGGDAKKQKPTVQGYVLREKPKRRVRLAESDFSDRESETDYYSSPPGKRANIGSGDGEQVSSVSDAATSEEDVALSLMMLSRDSWPGPPPSYRSHGAGYDDGSDRGDAPPADAAAQKRTRFQCPACKKVFRSYQALGGHRASHMRGGRGGCCAPPPNPPPSPATPLQPLPECDGGEEEDAKPQPHECPHCFRVFASGQALGGHKRSQLCSAAAAAAAAASGADLPATIKSNSFIDLNLPAPFDDVELSAVSDPFLSSKPGS >OB04G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15199359:15199967:1 gene:OB04G28050 transcript:OB04G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVLACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGDSLKYAMG >OB04G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15202820:15204332:-1 gene:OB04G28060 transcript:OB04G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLCSSHCLPARASVVARPGSGHRVLPLGRRPARLGRGTAYAYPTTRRPAHGSPIVVDAAKSCLLQDAPVAAPTQLLRDHAPAKNAPKQHTSNGLRKDKFYEVEMTVQDDELDEYGVVNNAIYASYIHSGRDIFLEDVGIGVDYWTSTGNALALSELNLKFYTPLRKGDRFVVKIKVVEIKGVRIIVEHLIETLPDHKLVVDAKATAVCLNNNYRPTRVFPELSSKLHQFFLS >OB04G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15211292:15212986:-1 gene:OB04G28070 transcript:OB04G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQIWRLVPTALSPIHAGAPRPSRPVACRPSPHRRPALAHPAIRRTCRPLSVSAHSASPQAGLRMDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVGISADAVARGGESLALSELHLKYFAPLRSGDKFVVKVRLASTKGIRMIFEHFIEKLPNHEPVLEGKATAVCLNKDYRPTRIPSEFLSKLQLFTSEGSS >OB04G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15216311:15217051:-1 gene:OB04G28080 transcript:OB04G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3M083] MLTLGSCIPMAWQNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFIFGNGRTLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYLGPVLADRLRLHLLRQRHRPRRLGRLGPRQQQEHDGVLRDVQELGPRRGRRARRAVGAGARLLRRPPLPRQELRQWIPLAHT >OB04G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15221711:15226656:-1 gene:OB04G28090 transcript:OB04G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRACGRCVDMWWAVVGLVVAVAVAAAAXXXXXXXXXRHAYAGMLYMGTPRDYEFYVATRVMMRSLGRLGADADRVVIASLDVPPRWVQALKDDGLKVVSVDNLKNPYEKQENFNMRFKHTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPHLLDQPMFHPPANGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSEVPVILIQALLYIGVIAVTRVARPSLSKMCYNRRMEKSTIVLLTTLRVVAAWSIVAAYTIPFFLIPRTVHPLLGWPLYLLGAFSFSSIVINVFLLHPLAVLSTWFGIIGALFVMAFPWYLNGVVRALAVFAYAFCCAPVIWGSLVRTMSSLQILIERDAFRLGEPNQTAQFTKLY >OB04G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15229475:15231475:-1 gene:OB04G28100 transcript:OB04G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3M085] MTTSSNRENAKMDSGSSDEDSTDNSRASICPSAICSFDQIVASAQNKKIVLFLDYDGTLSPIVNDPEKAFMSPEMRATVKNVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASTADSDSTTKETREAKLFQPASEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLQDFPSLKVSSGRKVLEVRPTINWDKGKAVEFLLHSLGFDDSENVLPIYIGDDKTDEDAFKVLRERKNGCGILVSHVPKKTEAFFRLKDPSEVMILLPLMLNIFAVVLLIMPSQLEIDFVISLNNCHVGDGISQFLGETEGAINF >OB04G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15234730:15238342:1 gene:OB04G28110 transcript:OB04G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLLRVHPSELKIPYEVKRKRSCCMQLTNRTDQCVAFKVKTTNPRKYSVRHACGILLPRSSCDITVTMQAPHEVLSDYHCRDKFLVQSVVVGHRATMRDFAPELFAKVPGRVIEEFKLRVVYVAANPPSPVPEEEEEEEEEEDASPRSEVTSYEVKISPAFDAVSTRTYTSEAKVSSAEDASVESAVDTEREYSVEENQKLQQQMELLRAARSSQQGFSVMFVLLVFMSSVCIGHLMKQIKV >OB04G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15239026:15239748:-1 gene:OB04G28120 transcript:OB04G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEHLYITFGLIENCSGSTGKYSTTRSSPRGTRHDAINQITFTQTARPLAVTEARPFRRGVATPPSRQSPSLASHPAAQTNAKTTHYYCSTDRSIARVRARMDVAVDVEMERPPAAEAQAQAQRPRPNPVVERKLGELNTCLADAMSSRPRRRDVVDGSLFAEIQARADFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRRRARGRGNDCRRHVQ >OB04G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15240425:15240799:1 gene:OB04G28130 transcript:OB04G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNASIALFLAVSLAVVSLASACGGRCPPTPTPTPVASGRCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLDGLVDLEAAACLCTAIRGNVLGINLNLPVDLSLILNYCGKRVPTGFKCL >OB04G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15250531:15251106:-1 gene:OB04G28140 transcript:OB04G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIVWNGSTSLQNRSGTCGTKELLAMHACNCTGGGGGGNASGLIRLSAGVATGDALATVVDEEAQLHRDVDDDAEHVGLERRAEADGGLEVGEAVDEAAARLGRHLADLAADGAQHVGACAELERVHGALAVGRRSRGRGWRRRRRRQGRGRGGRAFAACAAAGIGRREEEEVDAQEKNEDLPGCHGSYP >OB04G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15250546:15250968:1 gene:OB04G28150 transcript:OB04G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKVLVLLLGINLLFFTTANACGCACGKCPTPTPPALPPPPPPPTPTPTPSSHGKCPVNTLKFGACADVLGAISGEVGQVPAEPCCSLIDGLADLEAAVCLCTALKANVLGIVVNIPVKLSLLVNYCGKCVPSGYTCA >OB04G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15257674:15258244:-1 gene:OB04G28160 transcript:OB04G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSSPLAPKRQLKLTTAVTALLVVLFFALFVSSCEARRVRARGRVSSIKPSSHPTPYKDAASMKLHGSDPTNQLKKDLSSSMDGHMAAREDAKPEEGVTMASPGAVQTSIAVRVSKRLSHQQRREDTAFHLDYAGPRTHPPSHN >OB04G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15260665:15261137:1 gene:OB04G28170 transcript:OB04G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVPFNAAVIEPLLWPEDKGGGCGGLVVEPTHLGNTPPPAAEAAKWAAPDEHGGGGGGSKEEWSGGELPPIPAAVDVGFVGEESWDAMFSDAAATAGQEQTFLNWIMAAPGDMEAQAPGLPQQ >OB04G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15266412:15271108:1 gene:OB04G28180 transcript:OB04G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTAAVSQQQHLLDELAAAAKAAEVGNSIGEREILARLNQQLPPIGKPFLHSASYLKDALLLALADGHHATTRLTSLLDVALKLAAYKSFSDLSPVLYIIDFDLGVGGQWASFLQELAHRCDTGLTTFVSATSHYPLELRLTRDNLSQFAADLGIPFEFNAINLDAFDPVELIAPIADEPYSHDLKHVTHGSNSHPPGGFVNFLSNGNSSQPINLGDDTSDGDYTRGQKRRILDWSVLGYIIQMIQSKQTTRRTINTGKMLPLSSIGPPLKTVQDKSSKSKITLRELKRGLHGFVDAYLERLEQLEPENRKFCESDVGQHFSLDEARDERPIGGKQAKEKQKRKRKDEACIIDLEDELHKFVEAQNTANEGRKEMLETQRRVSSEKLEARKLAYLATKEQKESAMLETYRSLMMQDTTVMSEDVRSEHVLALSHPTMSDLAFSSDDSDELDPSKVIEECVAEQSVLDSFASSFAVKINAALSIGVSQRQYAARKSIRRDHVGAHQRLMEDYFAEEPLYPESMFRTRFRMNKHLFLRIVNALGQWSPYFTYRADCASRIGLSPLQKCTAAMRMLAYGTPVDALDEYFKIGKCTALECLDKFAQGVIEVFGGKYLRRPTRDDVERILQVNESRGFPGMLGSIDCMHWRWEKCPLAWRGQFTRGDYVVPTMVLEAVASQDLHIWHDFFGIAGSNNDLNVLNNSPLFFDVLKGEAPQVQFSVNGNEYSTGYYLADGIYPE >OB04G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15272273:15273215:1 gene:OB04G28190 transcript:OB04G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFSQQSLALLLQSCMCLLESIDAAGTDADAASKIERFLIQPRVEDAVLGRCRADKAIAWRSMLASAGFAPQPLSNLAEAQADCLLKRVQVQGFHVEKLGAGLVLYWRSKGSFHSNLPTCHSALES >OB04G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15275603:15278567:-1 gene:OB04G28200 transcript:OB04G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSEQRRSKTRRMKPLGIHLYECVRGSPISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTENLGMLHWPSHLYLKNLRDAQNNKTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFALPGMMIAVVGLAVFLFLPVGPEVIGIEEDLHDKDAEKDDLGAPLLEERSRSKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGEYLSDSAAGVLSTMFDVGGVVGGILAGHISDRPDARALGTDGGELHDLSDPGAFLLPHIRELLAVLERRPHVHHRDARERPLRPHHHRRLRGPRHAQLPERQFPGAGHRHGDHRRDRVDRRRGRPVADGLHLRQELERRVHDADGVGAHRGAALVEAGHGGDRREDGVVPEAAA >OB04G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15284023:15304487:-1 gene:OB04G28210 transcript:OB04G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPRESLDPSPEPPRGQDSEEELSAGPPADEARAEERELELDPGMSTGTPVAVTPTRSEPSPPPPRRRRPRTLGVPSDAPQEVVRAVDDAIMVGGGAAGGVDRLREMVSEEQGELPHTVVDVLLGTMGGADGLDEVEDKTGTGAPPSIMFNSRAAVVAAELLPYLPCGEEPSPRTRIAVGIHATLRACTRNRAMCSSSGLLPILLESAEKLLIGTGRTSSWDGTPLLHCIQLLGGHSLSVKDLHSWLGLVKKALGTSWATPLMLALEKAMGSEETRGPAATFEFDGESSGLLGPGDSRWPFSNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESGGVRGKKASLHFTYAFKPQRWYFVGLEHTNKHGLLGKGDSELRLYVDGNLYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGQERMGRLASRGGDVLPSFGNGAGSPWRATNDHVKNMAEESFSLNQQIGGCLHLLYHPSLLNGRFCPDASPPGSAGTHRRPAEVLGLVHVSSRVRPAESLWALASGGPMALLPLTISNVQLDSLEPMLGELSLGTASLSVPIFRIISLAIQHPGNNEELCRTCAPEILSRVLHYQLQAFPKMESREREAVTGEELVDAIVSLCQSQRNNHDLKVQLFSTLLLDLKMWISCTYGLQKKLLSSLADMIFTESACMRDANALQMLLDGCRRCYWAIREPNSIDNLALTETKRSLGEINALIDELLVVVELLLGSASSTAASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNVSRANMFAQSFISSGGVEALLVLLQREAKAGNNRTLDNSDAPLSENDVERNDDSDTKAASGEAKSQDDQIQSVEQHASILHEEHTELEATSTSDVPCEILGSSIGRKLSSSENQLLKNLGGINFSITADNVRNNVYNVDKGDGIVVGIIHIMGALVASGHLKFASSAANPNLPGGLLTTVHEEGNTMSEDRVSLLLFALQKAFQAAPRRLMTVNVYMALISAAINVSSVDENLNLYDCGHRFEYIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSIAEWPEWILEVLIYNHEMGAKKNADGISIGDIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCAEWLSMVGGSSTGGQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKTQAENAAHLSVALAENAIVILMLVEDHLRSQGQQFCTASSIDSAVASASIASSASSRSNSLCRSGNEPTDAGTTRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTAAAAAEPYESVRHAFVSYGSCIADLADSWKYRSRLWYGVGIPSKLDTFGGGGIGWEFWKSVLEKDSNGTWVELPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTSNISIKDVISEGLGHQAGSMTPFDSNNRSSTRKPRSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAIGRDRSPLRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPIEAALSMVSPGWAAAFASPPVALALAMMAAGASGTETVTPPRNTLNRRDTSVPERKAASKLQSFSSFQKPIETAPNKPGSTAKDKAAVKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVAGFALARNLQRVEMERQTQADVLNRHRVSTGVRAWRHLLHCLTEMDRLYGPFGDPLCTPDRTFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERKLISNAVQSNECNPEGAEPSLTGALPSTAPIITAEAMSVDDRNEDNEQLESDTTQSSVDDRFQQADQHSVKGSVDSRGSGISADRNLVRSTVIAPGYVPSDADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIDESASESNMDDHASTSGQYDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDMEARKNAYRAIVHTKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEMLNLDDPSTYRDLSKPIGALNPERLEKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPYTTLAIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVDLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRRAMQDQIAYFGQTPSQLLTIPHMRRKPLAEVLHLQTIFRNPSELKSYLLPNPDRCNVPASTMFVSNDSIVVVDANVPAAHVALHQWQPNTPDGQGTPFLFHHGRNAANSTSGALMRIFKGSSNSGEDCDFPRAIAFAASGICSSAVVAVTCDKEIITGGHADGSVKLISPEGAKTIETASGHVAPVTCLALSHDSNYLVTGSRDTTVILWRIHQTSSLHKKNAPEPPPPTPTTPRSPHSSSTSASNLTEMRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSSMSGVLLHSLRTGRLIRKLNVAEAHSVCLSSQGVILVWNESKKRLSTFTVNGLPIATSVLSPFCGRVSCIEISTDGHFALMGTCSSSNYKCEGNNETGHHEPSEQNGRDSISKQAETEQSVHVPSICFVDLHKLKVFHTMELGMGQDVTAIALNKENTNLLVSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >OB04G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15309301:15310416:-1 gene:OB04G28220 transcript:OB04G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVGDECKLKFQELKSKRSFRFITFKIDEQTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >OB04G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15311230:15313646:-1 gene:OB04G28230 transcript:OB04G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VASCULAR-RELATED NAC-DOMAIN 6 [Source:Projected from Arabidopsis thaliana (AT5G52380) TAIR;Acc:AT5G52380] MANQRQRLARKRFREANPSLCPPNPTPPAADGAKKKKKSRKKSVFKRTGKAGGGGAGRSKHPLRVPGMRPGERCFICKATDHVAKACPEKALWDKNKICLLCRQRGHSLKNCPEKNDENLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGREDLISARNDALNTEEHHLEDNAVLRGGDDLDDDFIEEEEPKPTKAKKAKQSSSNSTTGTGDGDKNANTKAKAKQAPKVVKFFG >OB04G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15319020:15321733:-1 gene:OB04G28240 transcript:OB04G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) TAIR;Acc:AT4G11640] MGSRDGSGGGCAESQGYAADIHSIREAQARIALYVHKTPVLSSSSIDAVAGKQLFFKCECFQKAGAFKIRGASNAIFALDGDEASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDASIESRESVAKRVQKETGAILIHPFNNKYTISGQGTVSLELLEEIPEIDTIIVPISGGGLISGVALAAKTINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDGIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIVISGGNVDLGVLWESLYKR >OB04G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15325148:15325300:-1 gene:OB04G28250 transcript:OB04G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKKMNPTANNMGVLYCIEPPHMVAIQLRPCSAGPFPNPGLELLQAWFE >OB04G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15328796:15334429:1 gene:OB04G28260 transcript:OB04G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 5 [Source:Projected from Arabidopsis thaliana (AT1G63440) TAIR;Acc:AT1G63440] MAASTRALFISCFHGSGGGGGGGSEVSRLLVLRPRYPSMPRRPKSAAVAGEGGEGGGGDVDLEAAAVGEEEKVAVFSVSGMTCAACAGSVEKAVKRLAGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIEDVGFEAKLIDEEVKEKNILVCRLHIKGMTCTSCASTVESILQVIPGVQRASVALATEEAEIRYDRRIITASQLTDAVEETGFEAILITTGDDQSRIDLKVDGTLDERSIMIMKSSVEALPGVEDIKVDPELHKITIAYKPDQTGPRDLIEVIESAASGDLTVSIYPEADGRQQHRHGEIKLYKQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKAINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRAASSHDYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATMLIYNHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIILSLLTWLAWFLAGRLHGYPKSWIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDCVAAAEINSEHPLAKAVVEHAKKFHSEENHVWPEARDFISVTGHGVKAKVNGRAVMVGNKSFMLTSGIDIPVEALEILTEEEEKAHTAIIVAMDQEVAGIISVSDPIKPNAREVISYLKSIKVESIMVTGDNWGTANAISKEVGIENTVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >OB04G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15335712:15335915:1 gene:OB04G28270 transcript:OB04G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWEIWKKRHNRVFKREEATMQGPVNCITEEILFWCTCVIMPLKNHARLAGAKDDNASTNATTII >OB04G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15348378:15350315:1 gene:OB04G28280 transcript:OB04G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M0A3] MAAASSAASVHDFTVKDSSGKDVNLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGSNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >OB04G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15353744:15356293:-1 gene:OB04G28290 transcript:OB04G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M0A4] MGSSPESVAVVAVPFPAQGHLNQLLHLSLQLAASRGLEVHYAAPAPHVRQARARVHGWDDEALLSIRFHHLGISTYASPPPDPAAELSFPSHFMPLWETYSADARAPLSALLGGLSASRRRVVVLYDLLCSFAAEEAARLPHGEAFGVYCTSVSYMLGKLDAEHRLLRENGLHYLPVHSCVTKEFLDYVYNRAAVEQASASAAGILANTCRALEGDFIDAAAEALAAGGKKLFAVGPFNPRFIWVSRDADRGNIFTGSGESESRHAKLLAEFSRESEGTGLVITGWAPQLEILAHASTAAFMSHCGWNSTMESMSHGKPVLSWPMNCDQPWDAELICKHFKAGILVRPWEKHGEVVPATAIQEVIEKMMVSEEGMAVRQRAKAVGDAVRSAVAVGGSSREDLERFVAHITR >OB04G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15362577:15363971:-1 gene:OB04G28300 transcript:OB04G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M0A5] MAIGSVESVAVVAVPFPAQGHLNQLMHLSLLLAARGLDVHYAAPPPHVRQARSRLQGWDPDAVRSLRFHGLDVPAYESPAPDPAAPPFPNHLMPMFEAFAVAARAPLAALLATLSASYRRVVVVYDRLNSFVAAEAARLRNGEAFGLQCVAVSYNVGWLDPEHRLVREHGLRFHPADACMSREFVEFISRAEQDDQDKSSAGIVMNTSRAIEGEFIDEIAAHPMFNELKLFAVGPLNPLLDATAPTPPGQTRHECMEWLDKQPPASVLYVSFGTTSSLHGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAALLSRFTAETEGAGMVITGWAPQLEILSHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELLCKYLRAGLLVRPWEKHSEVIPAESIQKVIEEAMLSEKGAGIRRRAKELGEAVRASVADGGSSRKGLDDFVGYITR >OB04G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15370079:15371113:1 gene:OB04G28310 transcript:OB04G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPLSAMPFLMHDAGPRQPYCTATDIDDDPQTQFSVVSRCFITTTMDVLRDHRCFETPQGWILSLHPGSLRTFLWRPEDGGMVQLPDMERDFPRSCKCLLSGGTAGDDDGRGVVVLNPDDDDYWLCQVRGGKRWGRHGYVITVLNAYGEPRDRNMARLIGVAAVGCRLYYEISGRELGVVELDNRDDDGEPCLTSVDIDTADVDLPDERPLRSRYLVESRGELYLVVVFFVGFDALSVSELAVYKMDFASSPAAWRRVGGIGSDRVFLLGGDMSGWSTFGASCSAGEHRLRGNTIYFVNHVAIEENALHVFDLERGTHMVHRPFHDFPRPFRPPFWMMLTDS >OB04G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15375521:15378112:-1 gene:OB04G28320 transcript:OB04G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDPKGAGGGGDEEEEEEELDEEELLNAFRFKPTDRELVENYLLPRLQRRLAVPNDRIIEANVYKLHPAKLVDVRRFRHLQDLQDIEEEKRGGGKHQHRRRALHVGAQAGRGDGSQPQRQVRGRYVAQEAPEVAQNEQASSAQRLLAAPAYNGGSPPVHRLQVQPPNVPPPQPALHPQAAPASGNSFGQSPTTMTQPRPPSPVQPHPQQWPPAAETPAMQEKRVLEQMMAEYLHLLDADESRGRGAQEWEMRSQPQCSDAAECNDGGEKLSSAEVATTEVRPDGGDRLEHDAPVGPQLISIFPMLKNVSEILNFT >OB04G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15380070:15382280:-1 gene:OB04G28330 transcript:OB04G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTSAPAPPPPPPPPSPAPARDAAPKKRRLEEVGFQRSPYYNIRAAVASLRGRFLQLCEGTDTQKKDAALEILKEIKVLMDLSKKMRLDLSATAGPVKPTDEPASGDARNMPAGKIPPGENNQVHPTNKTASFMHSTGEKAPLNPLEIKHDAEPSVTDYTKKSGQHLQGSYVIGGSPIGWNFLMWPGSSATYYGLTRSEWLAHRAAK >OB04G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15385459:15386505:1 gene:OB04G28340 transcript:OB04G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSISLFGCSHQSIHKLYRQCWSSNSHSTLNVLSIAKTVGQSMKMKRIHVKPHASDLKNRPQAHEDNVLYKLVYRLPENLSWLLASPEMAERRASKQKLKKKETVTSNQFGVILEWEGVVVEDDDQDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEVIYQTLRGGFYQLRPGVLDFLNTLVDFGIPIAITTPRPRLSLEDGMRAVGLQGYFDATVAAEDFSRGKPEGEMFEVAAERLGVEPDACLVLGNSNLTIQSAHTAGMRCVAVASRHPAYELQAANHVVRWLDQLSVADLQRLAHGEILGRRGRVSDMDMEIVIEE >OB04G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15392269:15399599:-1 gene:OB04G28350 transcript:OB04G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPVQEQHLQPGTKHFRNQLAAAVRSIGWSYAIFWSISTSRPVVLAWKDGFYNGEVKTRKISNSEELTAEQRVLQRSEQLRELYCSLLSGECDHRARRPMAALSPEDLGDAEWYYVICMTYIFRLGQGLPGKSFASNAPVWLYNAQSADTNTFLRALLAKTIVCIPFMSGVLELGTTDLVSEDPNLVNRIVASFREPQFPTFLEVPSSSPSPDDMEDADPVFEGFIDHNAIEQEQTVVVVPPGEHELGDAVVVAANDDLDQVTMEIDELYSFCEELDLDLDMDVVRFLEDTAGLPVNPGRSFQLVPTTTSSSLEAAAANDGDAVGDAVANSRASCFVAWKRASCSDEAMAAVPVTGIEPQKFLKKAVDGGAWMSSNDGDGRDGRVAIMAQESSIKNHVMSERRRREKLNEMFMILKSLVPSVRKVDKASILAETIAYLKELEKRVKELESSSEASARQRRRREIAGGKVCVVGGGGGDAGREQHRVVISQQEGAPAANVDVTVAGKVVVLDVQCRWKELVMTRVFDAIKSLSLDVLSVQASAPDGLLGLKIQAKFACSGAVAPGMISEELQKAIGSYYC >OB04G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15410153:15410440:1 gene:OB04G28360 transcript:OB04G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFIYVFSDLKDNAKKINYDKNTPNLKLKILNRDKLWDWTKVMVHRVFSLSINTSIKLYCDTCLINRQQLKICWEDAKLIDNSSKHVGKMLVS >OB04G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15411781:15412026:-1 gene:OB04G28370 transcript:OB04G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLSCHHPNVVARGFCHTKMWWHVGGERKSTSMTFDQTGKASITLESPTMRRERRVGGRERVEVATGTRYPIPRGEFTY >OB04G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15414279:15420835:-1 gene:OB04G28380 transcript:OB04G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEQHLQPGTKHFRNQLAAAVRSIGWSYAIFWSISTSRPDQVVLAWKDGFYNGEVKTRKISNSEELTAEQVILQRSEQLRELYCSLLSGECDHRARRPMAALSPEDLGDAEWYYVICMTYIFRPGQGLPGKSFASNAPVWLYNAQSADTNTFLRALLAKSASIQTIVCIPFMSGVLELGTTDLVSEDPNLVNQIVASFQEPPFPTFLEVPTTVPPPDEMEDADGVFEGFIDHDAIEQEQTVVVVPQGEHEIAANDDLDQVTMETDELYSLYEELDLDVVRFLEDTAGLPVDPGRSFQLVPTSSSLEAAAAANDDGDAVDDGVVNSRASCFVAWKRASCSDEAMAAVPVTGIEPQKLLKKAVDGGAWMGSNDGDGRDGRGAIMAQESSIKNHVMSERRRREKLNEMFLILKSLVPSVRKVDKASILAETIAYLKELEKRVKELESSREASTRQQRRRREIAGGKACVVGGGDTGRKQHRVVTSQQEGAPTANVDVTVAGKVVVLDVQCRWKELVMTRVFDAIKSLSLDILSVQASAPDGLLRLKIQAKFACSGTVAPGMISEELQKAIGSYC >OB04G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15434670:15440940:-1 gene:OB04G28390 transcript:OB04G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLPSGNNFRSKLAAAVRSINWTYAIFWSISTSRPGVLTWKDGFYNGEIKTRKITNSRNLTADELVLQRSEQLRELYDSLLSGECDHRARRPPAALSPEDLADTEWYYVICMTYAFRPGQGLPGKSFASNEFVWLSNAHSADRKTFQRVLIAKSASIKTILCVPFMHGVLELGTTDPVSEDAALVDRIAASFGEEPSSIPPSNDEAGDADVVFEDLDHADTVEAMVPGERRDELGLREVAECGSNALDLEQITMEIGELYGFCEELDDVGRSLEDSSWAAAVDPWSFQLVPTSSSPEAARAATVVALDGRFVDGSCRSSSCFVAWKRTGSDEVAVPFAGGESQRLLKKAVAGGGWVNNGDGAAVITPGSSIKNHVMSERRRREKLNEMFLILKSVVPSIHKVDKASILAETIAYLKELEKRVQELESSSQPSPCPTDQTRRRRCREITGKKVSAGVKRKASTPEAAGSEDEDTDGEERHHHRVSNVNVTVMETKEEVLLEVQCQWKELLMARVFDAIKGVSLDVLSVKASTSHSLLKLKIQAKVSAAAKSSSQQKCSICLLSLHLYLLAFGVLMLAILFCSLPALPPSNLG >OB04G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15453782:15454863:-1 gene:OB04G28400 transcript:OB04G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQNFAHSPFRFGPAHYFHDGPRWPLTRPIDTFSSILSTDGPRRCARVEELKELGEDHGAAFWAAANKVMCNAGRDGEKDRRGGGPGTAGERALHFADVKSVVACRG >OB04G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15455487:15463044:1 gene:OB04G28410 transcript:OB04G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGMAAQSLPNLGAILANPRGGCRWCAVTEFLGQVPLLQRLPGSSIRRIAGAVLVKRYEPGDYVAREGEPVDGLYIILDGQAEVSAPANTEEQNRPDYALNKHDYFGYGTNSSVHQVNVIAASKLTCFVLPNQYGHLLQPKTIWSAEETPENHSLLEQILHLEPLEVDIFRGFTLPGAPTFRQVFGGQLIGQALAAASKTVDCLKVVHSLHAIFLIAGDNNLPIIYQVHRERDGTSFATRKVEAKQKGLVIFTLIASFQKEELGFEHQAATIPDVPPPEQLLNLEEIRERRLTDPRFPMQYRNTAAKKKFVPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQTPQTPRAKL >OB04G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15462532:15463008:1 gene:OB04G28420 transcript:OB04G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMNQTKACYHHYRFVLKTGCIFTFKCSSFSICAIDSFMLFSTTGIAAILASLLALMIFSFSSFSWSAFASGVPARDWGPVVTSAMYKMESASDTEITERNCIFVFCTNFALLTLSFMDDMLNDTSSAIVAKQQGKLGQVSTHGNETDTMLIGCVWS >OB04G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15462570:15464994:-1 gene:OB04G28430 transcript:OB04G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPTPSKAGAPSSGRKRKAAASTAAATAAREDEAAEEEEEEEEDTEELERELDRLGRRLLDLPCCFATIADEVSLSMSSINDKVKRAKLVQKTKIQFLSVISVSDADSILYIADVTTGPQSLAGTPLAKADQEKLEKLKIIKAKSEANIAAMPVVLKSMNESIAQIEKLEHLNVNMHPVFKTKR >OB04G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15468906:15471444:1 gene:OB04G28440 transcript:OB04G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSHGWCSLPRPAIAAAVLLAVSLAATPAASHGGHDDTGLHSNYLIIVRKPYEYDTNVYKNVSSWHASLLTSVCDMAKETLEKDPSSMSRLIYSYRNVVNGFAARLTPEEVEEMSKKDWFVHAYPEKTYQLQTTHTPQLLGLMGSARRGGVWNTSNMGEGIIIGILDDGIYAGHPSFHGRDMKPPPAKWNGRCDFNETVCNNKLIGARSFFESAKWKWKGLRDPVLPINEGQHGTHTSSTAAGSFVAGANIFGNALGTAGGMAPRAHIAFYQVCYVEKGCDRDDILAAVDDALEDGVDILSLSLGDEQADDFSDDPVSLGGYTAAMHGVLVSAAGGNTGPGPSTVVNEAPWVLTVGAGTTDRRFVATVKLGDNVSLDGESLSEPKNFGDEMRPLVRDVGDGMCTSENVLRAMNVTGKIIICDAGGELSSAKAKMALRAGAAGMILVSPQVFGSVVVPRPHVLPTVQVPFATAQKIKAYIRTAQNSTANFIFKGTVFNTPKSPVVAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDMEFGPDEEMPKFDIKSGTSMAAPHLSGIAALIKNAHPTWSPAAIKSALMTTADSTDNVRKPISDSDGSPATYFALGAGYVNARKAMDPGLVYNLTAADYVPFLCGLNYTDQRVNMIIHPSPPVECAKMPKLEQKDLNYPSITAILDQTPFSATIARSATNVGAAAHTVKTASGRAPAGTIEGELKWVSGKYVVRSPILVCPGTGRSAATPATERT >OB04G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15473033:15477290:-1 gene:OB04G28450 transcript:OB04G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLSLLAFVPFLFLAIAVEATGDELHTFIVHVQPQESHVPATADDRKAWYETFLPEDGRLLHAYHHVASGFAARLTPRELDAVSAMPGFVSAVPDQTYTLQTTHTPQFLGLGAPAPPQGKRWSSSYGSGAGAGVIVGVIDTGVFPDHPSFSDAGMPPPPAKWKGACMSPALCNNKLIGATSFVYDNNTVDEVGHGTHTAGTAAGHFVDGVSAFGLAPGTASGMAPGAHLAMYKVCPNESCASSDMLAGVDAAVADGCDVLSISLGGPSEPFYQSPLAVGTFGAMEKGVFVSMAAGNAGPNVSSVLNDAPWMLTVAASTMDRSIRTTVRLGNGLQFDGESLYQPSGSPNTFYPLSAGGAGMILPNHFLQGHTTLAEAHVLPASHVGYAAGSAIMAYINSTANPVAQILPRGTILGTTPAPAMAFFSSRGPSVQSPGILKPDITGPGVNVLAAWPFRVGPPSAPSRHPHWSPAAIKSAIMTTADITDRSGNPILDEQQAPANFFATGAGHVNPEKAADPGLVYDIAPCDYVGYLCGLYTSQQVSVIARRPVNCSAVTVIPEHQLNYPSISVTLPRAWNSTTKPVLVRRTARNVGEAPSEYYAAVDMLDETVTVRVFPRTLQFTEVNQEKDFTVVVWPAGQGGARVVQGAVRWVSETHTVRSPFSVTFA >OB04G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15483431:15483586:-1 gene:OB04G28460 transcript:OB04G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEMATAVETPLPLPDMSSPSRSFENLSLISCTSAPVHPSSALAAKKKKS >OB04G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15486923:15490665:-1 gene:OB04G28470 transcript:OB04G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSIMERKSYKQQCKSEKVHMGCMSGLMHMFDFHRSPKLISDRSHGIRRNSVRSDMKDSKDFHGITFSDEDKDYGVKTIYAGRPSIKALMEEEMSSGTQILKETERNIFGVCYDDFKSMNLREGYENDLNLATSLMELYRNHNGGHGVITLEVSDHTSSLIDKEDNIDVGTHPKQIPSNIEKALEAVAEAVISHQSSNEKYTSNSCKARSNEFLDALQLLSSNEEYFLMLLKDPSSRMLQCLQNLYTALGSPILESTEDDKQTNSKVIDNSLEQHEVLKYGVQKIHNSFFEEDKLVMRTPPKLNDNSRGISRIVILKPSPARSQTSLLSSSAFSSPVSTHNDLQGQQDSENYARHFSLRELKRRLRFAISNKRKDIMSSTFQKDDSTPKIILESMSTSMDSSKCEKDEKPSRVDNKANPKDSESGMGNDVAHCVTSFFYEKAKKHLRERLDNQKSNTSQVVHKLEPFGKLISFSGHDMFSQTDHPQEDVNMLEDLTTSTTLLRTEQEDNSSDSNLARKFKESILYDTSTLANTQLDEFKTNHGNYPFKEGTISHELISKGIDNINVATDTPQSSIQIETSIESLEQINTSQCFPEESQNKNTLLEAFLYTQGGLANEKDNQSPSIVVGLTKPPIMTFSCSPENACDKEERLSPQSILDTFLQDGSSPSQKTEEQDKLSIPSTRIMFEDSDTPLGSPTLHNTPQETILDDKQARFSFIKVVLEASNLLFEEISDIWYTSASLVNTSALAEVGTLYCLTDEVVLLFDCVEEALFKMRDTFFGCDPWVAYLKHNMRPALVGTELIQEVAKFIDSLVNDKVLGTLDQLVLKDLENGSWMNLRDNTEGVVIEVWDSLLDDLMEEMVFDLWL >OB04G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15499107:15503749:-1 gene:OB04G28480 transcript:OB04G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3M0C3] MEAHLLVLSNFIIFEEIQKRSAAVGAVAISRRGHVTRRSQWSTLVTPSYNTGTADLVDFNWELLGFQWVPTDFMYVMRCSSEGVFTKGELVPYGPIELNPSAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPDYTFAVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYGSVVNAHKRAKDRGNSDVLYLDPVHKKFVEEVSSCNIFMVKDNIISTPVLTGTILPGITRRSIIEYARSLGFQVEERLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFGTVSQQLYSALTDIQKGLVEDNMGWTVQVD >OB04G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15506792:15506947:1 gene:OB04G28490 transcript:OB04G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVLAFMLVPLQVYHNNLTYITPAKFAARFQKLKFTTRYLEREIKGSVFIL >OB04G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15507049:15507258:-1 gene:OB04G28500 transcript:OB04G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMEDDLAGDGFTQSDLEAAKLLMQLRGSGGRQDNNAGAVPTEQDDEDDDYQWLDARKFPRYRSLSEL >OB04G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15514508:15516396:1 gene:OB04G28510 transcript:OB04G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLFLYITVLTVMGVNNSPSKCASVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQKGLYETTGGGANVVASGDTKGDGLGAEIGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >OB04G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15517041:15524279:-1 gene:OB04G28520 transcript:OB04G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKDAKKTTKENLLDTFHRLISPNDQKGSTKSKRSCRRGNDSSVEKGCRSTTVSRPTSPSKEVSRCQSFSADRPKAAHPLPIPGIRPPVTRTVSDVTESKPILEKRGKPPLLLPLPKPNRPQRRHGNGEVVSEIMVASPSSNCSDSDDHGDSQLQSPVGNDAENATPVTLKSNSSNVRKECRGPITAKNMKEIQKPTNQFHGNHILSTSPRGVAADNYQSNVQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTSRHDDGKKKQTHKLPLPPLSISHSSYHPNNSTPTSPISVPRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQGKDFIRKCLQRDPSQRPTAMELLQHPFVQKAVSLEKSVLPDPLERLAVISCRPNAKMAAHTRNISSLGLEGQTIYQRRGAKFSSKYSDIPIRSNISCPVSPCGSPLLKSRSPQHSNGRMSPSPISSPRTTSGASTPLSGGNGAIPFNHLKQPAYSNEGFAITSRSPDDLFANRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDKLSPSEHFTHHAFVDHVKLNPSLDLTSGSPHLGLKHGA >OB04G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15528279:15529901:-1 gene:OB04G28530 transcript:OB04G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAWAVVAAAAAAYMAWFWRMSRGLSGPRVWPLVGSLPGLVRHAEDMHEWIAGNLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHGVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHNRLLPILSDAAAAGASVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRFKKWLGLGMETTLARSVQHVDRYLSAVIKARKLELAGNNGKGDASSATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCTVLAASRGADDPALWLAAPLNFEELDHLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGDDCLEFRPERWLSADGTKFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHRLSVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPIVDELRGVGEYAAAARATAACA >OB04G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15531216:15532875:1 gene:OB04G28540 transcript:OB04G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53220) TAIR;Acc:AT3G53220] MGEDGNGKGEAKKTGLEGTGVSLPGSSHGNLRSAGSDQQLKQKLDSLKSSKSPAVINYGASWCRVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGEKVDEMLGTGEERLHDRLWLHS >OB04G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15533087:15535434:-1 gene:OB04G28550 transcript:OB04G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRSAAADLGGSVLTGTFGNPLGIVAKQLSLPMHKIRDKCPLYRPDGSLVDPEIDKKVEGTFNKLLDKASLLRASMGDVAMDVSLGAALDTLRQTDGGVSTEQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMVLCTVPLGVLKNGGIKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLTILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAIGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSMNTQACAALLMDLFRQPDLEFGSFSVIFGGKASDQKSPAILKVELGGPRKKGATEGGKTDQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSSRTKTRPSKLKIGIPKSKS >OB04G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15539581:15542766:-1 gene:OB04G28560 transcript:OB04G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) TAIR;Acc:AT2G28100] MPSPVPRPRRHRSSPYPPTRSCSGSSPRWPSSSTSAPTPSRTPSGAPAAPARPCSRPPRSTRASGRARRGGGGWGGGGPXXXXXXXXAKHHDGFCLWPSTLTNYSVAASPWKGGDGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYMGQMAELLTRYGDVEEVWLDGAKGEDKDMDYMFDAWFALIHQLQERVIIFSDAGPDTRWVGDEAGVAGNTCWSPLNKSMVTIGDIIPEYSQSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATNLLDIYYKSVGRNCLLILNVPPNSSGLISTEDMQVLQEFTEIRKAIFSLSFAANATVTASTVRGGLGNQQFAPSNVLQESIYSYWAPEEGQTSWELLFDLKQSTSFNVIQLQEPIQMGQRVITFHVDLLVDELWQTIVEGTTIGYKRLLQFPFVECQFLKLSIDSARADPLISFFGVFMDPFSVIYSLENHEETIIVNSSEVIKSRTGHSFGNKSTATM >OB04G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15544855:15548630:1 gene:OB04G28570 transcript:OB04G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCMPLAAAPVGGGRFPLSSLRCRRLRGRSVRADASPEAETRRRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGADSGPTEEVGLRGFWYPYRNIFSLVDELGISPFTGSTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLAYPEFPSLPLIDRLTSVPVMTAVIDFDNTDTAWMKYDAMSARELFKMFGCSQKLYKEVFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDKIFSPWLKSLELKGLKFHGNKVPTSLAINKDTSHVSGIVCGEELHEADAFVLATGLSSLQCIIKNSPFLQSQQEFTNLLHLSTIDVISVKLWFDKKITIPKVANVCSGLDDPSGWTFFDLTSIYEDYADKSTTVVEAEFYNAIHLLPLNDKQIVSEASSRLIKCIQDFEGATVIQHLIRRSPKSAMHFLPGSYKYTLRGSTTLPNLFIGGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFLK >OB04G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15548420:15551528:-1 gene:OB04G28580 transcript:OB04G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MSRRASAGGEVGPVLQRPMVDVRTVYQLDRKLGSGQFGTTYLCTERATGLRGQPNVVEFKGAYEDNESVHLVMEFCSGGELFDRITAKGSYSERQAAAVFRDIITVVHVCHFMGVIHRDLKPENFLLASSADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADVWSAGVILYILLCGTPPFWAEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKVGLTKLGSKITEAEVQKLMEAVDVDKSGSIDYSEFLAAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMEEYGMSDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >OB04G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15554023:15557056:1 gene:OB04G28590 transcript:OB04G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVYSITRAEIEEFWRRKEMEEKEQRLTAEKEAARIKVKTLMIEDYALFEQMILEILEEGIKGGRAREEGDTTTNGAAAATKSTEARIGIKDWWRKSTYAYLNEPAMTSMDENGRRKHAIKYIPHERCMNFFSSIPSQHNATTFAIF >OB04G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15558827:15563670:1 gene:OB04G28600 transcript:OB04G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGKGSIKSYGSDKSSPSGSFDFEHDQDPDRLRHHDDVHRREVVVKIDPEAHVAMELHAGGSHANVSGRSSTYSSTELRRPPRAPTSMNASSRELRVSFQDPHKRFSPSTSSASTSSYAGDSRNQASSTVETAEVIRCTSVSTGNSMLARSKTRSRLMDPPPPASSHPTDAERSDRKSFVSKGPPKSGQLRSGLIGKSGLIGKSGPIGKPGAFEDEDDDPFVEEGLAADLKRDTFDCLLILEWVGLIVIMGLLVCSLTIRSLANKKLSGLHLWKWELLVFVLICGRLVSGWVIRISVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGLALISWHLLFDKDAKRETHTLVLPYVTKVLCCLLVATVMRLVKTLLLKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLVDESRMLAELHRLSQKNISAWSMKRLMKIVRYGALTTMDEQIKHATGEDELATQIHSEYEAKVAAKRIFHNVAKPHSKHIYLSDLMRFMRQEEALKAMDLFEGAQEHNRVSKRSLKNWVVTAFRERKALALTLNDTKTAVNKLHQMANVVVAVIVIALWLLILGIATSRFFVFISSQLLVAVFMFGNTLKTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAIQPIMNYYRSPDMGDAIDFSVHVATPVEKLALMKERLMHYLDNKKEHWYPGSMVVLRDVDDTNKLRVSIWCRHTINFHDMGMRFERRELLLQEMIKVLKDLDIEYRMIPLDINVRNAPMIQSLRMPTTWTTYS >OB04G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15565159:15565764:-1 gene:OB04G28610 transcript:OB04G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRLLCCLGTRRRANASPPQRQDSFGVNVAKKSSRGSGTGASQSQPRPRRRWTGRMDRAFFGRSPPCGQTAVAPDSGVVEPVGKEARDGAVNREEFSREEAEAATIQAGFRGHLARRAFRALRSLVKLQALARGAYVRKQAGVAIRFMKVLVRLQVRVRARQLLHMSKDQ >OB04G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15566518:15567369:-1 gene:OB04G28620 transcript:OB04G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAVTEHEQDILLEKKQVITVAQGRDKAGRPIVRIVGKNFPARELGDGGGGQAEAALKGYVRRRVLPAIGDAEFVVVYMHSGVDRGENFPGVGAIRAAYESMPAAVRERLHAVYFLHPGLQSRLLFSTIGRFLFSSGLYGKLRYVSRLEYLLVHMRKGELDVPEVVRRHDDELERRPLMDYGIEASERCGEFDAASMDTTASLRSLRCVS >OB04G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15570559:15575310:1 gene:OB04G28630 transcript:OB04G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAAGKPSPALRYPPARRDDDNVDDYHGVLVPDPYRWMEELESEEVKEFVDAQAAVADAAAVADAVLSTCDAPRARLRGQLTALFDHPRFRAPFKRAGSYFYLHNAGLQPHSALYVQHGPGGEPDVLLDPNAFSDDATVSLAMFGVSHDGEHLAYGTSASGSDWITIRVMRVRDRRRLHDEISWVKFSDIAWTRDGKGFFYSRFPAPKNDGAPLDSGIKTSVNLNHEVYYHFLGTNQSEDVLCWEDPDHPKYIYTTEVSEDGKYVILSVAETSEPVNKSYYCDLSALPDGLEGMKGDHGMLPFVKLVDEFEAYYALIANDDTQFTFLTNKNAPKYKLSRVDVNEPHSWIDVLPEDEKAVLESACAVHGDKLMVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDDSEVFIHFASFLTPGIIYRCDVSKETPEMNIYREISVSGFDRTDFEAKQVTSITLRALSMIPELVEV >OB04G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15583703:15584941:1 gene:OB04G28640 transcript:OB04G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXRRREEERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGPLPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQAAE >OB04G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15584674:15590168:-1 gene:OB04G28650 transcript:OB04G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G20410) TAIR;Acc:AT1G20410] MTATGAAAEADAEARSILESAAAASFPPLHAVHHLLSVGVCVRCIFRLFGAFSSAIPCLSLTASVLHSFLEEHEDSTKNGSCSCLSTDVACCSICFGVLLPTCYQDDGTEPLHSVTPIDNVTSMISQTVLKEGHQIDEFSLEISLPAVIAANDRATRLYIKEKYGNADWFNEKIFSQTMSVKEALRMLLVPSLEKQMNVKHVSNSFRIRLTYTHEEASQKLLKLLPNDRGRKRKAESRDGKSTKRDSTDDDKHILSESDSFINKTLEGIEDQEFCSLLQLPPEKVLKPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVCAICKGDGYKFHAAGREDIDVRMLGSGRPFLVEVLNVRSIPSATEVQQIADKINNSEKKHVRVINLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLVKDMEIVQKTPIRVLHRRSPLERKRIIHWMEIEKIAGRSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSFGVMLSCRAEILQLDVTDVKMDFLQ >OB04G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15591362:15592914:-1 gene:OB04G28660 transcript:OB04G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGAFALVAALCLLELARRGLADEPAVPAMFVFGDSTVDVGNNNFLANCKLVCRANYPRYGVDYPFQSPTGRFSNGYNLADQIAQNLGFDKSPPPYLSLPDVTIISQMSKGINFASGGSGLLDSTGGAVCTEVFNMSAQVQSFTSAVQKMGNGTADLISKSLIFINTGSNDLFEYTDIPSNTTRNDTEFLQSLVVFYKSHLKELYGAGARKFSVVSPSLVGCCPSQRAIARAKNDLDGYGCYRAANGLSRQLYPMLDSMLRGLAADLGGMRYSLADSVRMAELIFNGTVLPGANFTVLDKPCCGGGGGTGVCNRTAALCEDRRAYLFWDNNHPTEAASRVAALELFADPGVFVHPINVRELAELRT >OB04G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15598863:15601843:-1 gene:OB04G28670 transcript:OB04G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGRSTGAMAAATCICLFVFVSFAGAEDPYRFYDWEVTIGDINPLGVRQKGILINEQFPGPEIDCQTNDNLIINVRNRLSEPFLLSWNGLQHRKNSWQDGVSGTNCPIPPGQNFTYRLQAKDQIGSFFYFPSLAFHKAAGGFGAIRIHSRPLIPVPFDPPADEYTVLIGDWYKTSHKALQAMLDSGKQLPSPDGILINGKGPNGASFNVEQGKTYRLRISNVGLRSTLNVRVQDHNVTLVEAEGTHTVQNTYSSLDVHAGQSLSVLFTANRAAGVYHIAVSTRFAKRALSSTAVLRYASSRSSAYVSGPPPPAGLADDIDFSLDQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGRVAGKQRYAVNGVSFVEADTPLKLADYFKISGVFRLGSIPDAPPAGGAAAALRREAAVMDSDYRSFLEIVLENSEDSVQSWHLDGYSVFVVGMDKGVWSEQSRKSYNLVDAVSRCTVQVYPRAWTAVLVALDNVGMWNLRSEDWARRYLGQQFYLRVYTPSHSFRDELPIPSNALRCGRATNATSSSSLPLSRY >OB04G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15604938:15607061:-1 gene:OB04G28680 transcript:OB04G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYS/HIS transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) TAIR;Acc:AT4G35180] MSSEAIRSAPPTPPPVSTPPSQIQSPAPASSRASPLRGMGTPNIAASPVRKAVASVRGYLEEVGHMTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSFLGWTWATVCLTAAFAWQLYTLWLLVKLHEPVAGGIRYSRYMHLATTVFGERWGKILALLPVMYLSAGNCTALIIVGGSSMKLLFNIACGQACLARPLTTVEWYVVFMCAAVLLSQLPNLNSIAGVSLVGAAAAVAYCTMIWVVSVAKGRVAGVSYDPVKATGEVEGAIGILNGLGIIAFAFRGHNLVLEIQATMPSTLKHPSHVPMWKGVKAAYVIIALCLYPIAIGGFWAYGDQIPPNGILSALYKFHSRDVSQVVLGVATLLVIVNCLTTYQIYAMPVYDNMETGYVHKKNRPCPWWLRSGFRVFFGAVNLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMRPAKGTAMWYTNWALGSLGMGLSFVLIVGNLWGLVEKGLHVQFFKPADFQ >OB04G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15616802:15617404:1 gene:OB04G28690 transcript:OB04G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLASAARAQRRAGRDLPRLAAAARAVVSKSPAQLPEDLPADTVALAAAVADATVAVASGSAAVFSGLSSLSNSAAAARVEVASTPCWVTAPARLTAGSDAPSTSHHRIWWGAEPVRWMSRAKRRSARKHNDGNGDDGESSTVQLRSESRMKPEEKARRAAFERHENLERCIASIDNSGEKVFRALVNTRVSLLNILSPSF >OB04G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15621004:15621216:1 gene:OB04G28700 transcript:OB04G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWCCHCCSLWERTFSFGAPRGSCLPACLKMKRTRNIELHIQHPFPFNQLLATQGLICTHAPAHKTKDHF >OB04G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15626872:15633752:1 gene:OB04G28710 transcript:OB04G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAYYAHGGVPATAAPQRVAAPPHSPPRESSWAFLDVFANYEPYDSYYYDSTAAASAATYTPSRSSREVREEEGIPELEEDEDDCVFKEVASGYSAGSGGHRSRRSSIGSLSSVAEQENTVVDKDVVASTSEIYRRPLAHRNVAMRAPAPPAQRVVGNNCNVDVAGEIKAQLVRAAEAARELAPLLEVGKPSYHEHNHASSRLMSSIPVPNLGCKGVDFVDIRGEGVMVDSRSLSLTLEKLYFWERKLYGEVKSCAIMICCGLVRKTFRPGQWQAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSRKINKVRDEELGPQVNALIQGFVKMWQYKLHSYHTQFQVISEAKILVSVVSRENGPDLAMELELELVKWIINFSSWVSAHRNFVKALNGWLALCLNYETGETNYGEPPYSPGRIGAPLVFVICNRWSQAMDQVSEKDVVNAMRALVSSVQHLWEQQNHEEDEQRIMAIRERERWMKMLEKRTLEIKKEADELNKKLALVMRRQSLHQRPTMQTYEAHCVEASSVHINLRLVLQTLENFAANSLQAFQEIQRRSDS >OB04G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15636800:15641686:-1 gene:OB04G28720 transcript:OB04G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRRFALIFCFFLLLGLGGGGGGGAHGDEAAAAPAPRKLNKPGELRRNVVGTVDFSVPSGGSKLGEELWASKATTNFFGCSNATKEFADAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPNLDQKSFWKDASDFADIFDIESFISSLSNDVKIIRQVPDRNGKTPYPYKMRIPRKCTPKCYENRVLPALLKKHVVQLTKFDYRLSNRLETNFQKLRCRVNYHALQFTDPIRKMGEMLVQRMRSKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEVYGGEETLAPLKALFPNFHSKETLARKEELAPFLSFSSRMAALDYVVCDKSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNAKKLYSLFLNRTSMSWETFSSKVQSFQKGFMGEPNEIKPGRGEFHEHPMDCICTKAKGRSVHSKPHLSNRIGEAVEKHSSDGELDWRDLDYGENTPLGRDSSNEIDSDMHELEDMVSD >OB04G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15649345:15652622:-1 gene:OB04G28730 transcript:OB04G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLKLLMPLLTQYTTPTWATLVAGFFVLLSLSLSTYLIVDHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTTVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGAGQPLLDHTSEKGIIHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELRANQTPGNISVLGDYVSSDPVDPFEVKESSRPTKLKLPQLEPDERSSTNIKQSVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKSQDDNWVNAASPDRPVRGIDDPLLGGSASDSGVTKGKKHRRAVSTVAVVDSWGGGDLASDGYEIRGRRWAVKN >OB04G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15655674:15655883:1 gene:OB04G28740 transcript:OB04G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCLPTWDFPELRPSCVYFTTPYFCNHDNFASRREDWSGLGICNSQNQIFFKDVFPSSERGYSSYLRLSEV >OB04G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15657461:15660233:-1 gene:OB04G28750 transcript:OB04G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSENRRLAAVGKPVPGIGEMGNRRPLRDINNLVGAPPYPSAIAKKPLLEKSGKEVQKPALAANRRPITRNFTASLTRKQQLDHQVAVTDTAVACVDPEKKPIPDGTVDDDVESCQSIDDIAVDEEESECKDIDDEDESMMDIDSADSGNPLAATEYVEEMYKFYRENEETSCVQPDYMSSQEDINEKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLELLSFFILELCLVEYQMLKYRPSLLAAAAVYTAQCALIRCQQWTKTCELHSRYTGDQLFECSRMMVDFHHKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGGGGGYKRSGAT >OB04G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15660392:15660595:-1 gene:OB04G28760 transcript:OB04G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRHSPLHLDHCHSSLFLLPQNPKFQIETKNKSIPDRSISPPISSNPNAVREREVLKVGDVAGAVLPR >OB04G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15664173:15680776:-1 gene:OB04G28770 transcript:OB04G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRLRGIALAAAAVALMVQIVFLVPPTSAQQSNGTSRVPAEGYCSMYGICAKRSDGKVLNCVNATKAVKPDTLFSTRIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSNYGEELYKSCKDVKFGTLNTRAMDFLGGGAKNYKDWFAFLGRQANVNEIGSPYLITFPSDISGMSSVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLGIFLFWAFLHRTRRTKPLKNAEDKLHSSNNGKIPGSSAQVSEVASTPVQSAHPSVIQTYMSTFFRKHGIFVAKHPLLVLFVSLLVPTLLCIGLVRFKVEIRPEKLWVSSGSRSADEKQYFDSHLAPFYRIEQLVLATSESGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTDCASQSVLQYFQLDPKKYDDLGIDHAKFCFQHYTSEEKCLSTFQSPIDPSTILGGFAGNNFTQASAFVITYPVNNKVETTGQENGKAIAWERAYVNLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSHLLSLFISSKVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDGFDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCARVKSNVVASNGGNHQGLPLLARYMKDVHAPILGYRAVKFIVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQNYFDDLATYMKVGPPLYFIVKNFNYSSLSEHTNQICSINQCNSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQAPCCQHDQDSSSCSSSGACNNCTTCFLRSDLHNGRPSTTQFEEKLPWFLDALPSSDCSKGGKGAYSTSLDLNGYESGIIKASAFRTYHTPLNKQSDYVNSMKAARDFSSKMSRDLQMQIFPYSVFYIFFEQYLGVWKMAIMNICVCLGTVFVVCFIVTSSLWASIIILVVLAMIVLDLMGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGTGNRENRARQSLSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVIIGFLHGLIFLPVVLSLCGPHSKVMRPIEHSQPSGSSE >OB04G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15702189:15705513:-1 gene:OB04G28780 transcript:OB04G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAEAGGGATPGHHGRPQAATATARPIMAAPSALSSMGSAGHLTARSSSSMSSITTRSSSSSSSNQLLPLGPGGALSPEPEAGRILEVPNLRIFTFAELRAATRNFKPDTVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLIGYCVEDRELLLVYEFMVKGSLENHLFRKGSAYQPISWNLRLRIAIGAARGLAFLHSSDLQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPSAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLLKVDLNAKHVTINRVLDFCLLKGKLWHTVYENVPAIAEVKMFQPLKW >OB04G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15710196:15712863:-1 gene:OB04G28790 transcript:OB04G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFFLLGFLGQVFFFPARDVAMFLCVRAYAGAAVVRSVHGYKVYGRRGRNPRLMCVPSLYNDLKKVFRFQNVENIPKLMQCDSEEKLNSWDAQDKISDEEVHASESDSDDDLQSGEELKPTVQKQRRAKKKHIASITLVDIAQYFHLPIIEASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEFVIDDDDDTGDDVQKEKDKQTEEEKQEVIQALTRRKEMLETEKEAIQQVPAMDLKEETKQFRDDVFKRRHRAKKI >OB04G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15715423:15717477:1 gene:OB04G28800 transcript:OB04G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPEHHLLLLFNRDEYHSRPTRPAGWWAPGEAEGKEILGGRDELGGGTWLGCTRDGKLAFLTNVREPSTLVGAKSRGELAVRFLQGNQCPLEYAKEIAKEADQYNGFNLVLADVNSGNMAYISNRPDGVPVVQKVLPGFHVLANATLDCPWPKMLRLGQSFNRYVTTHDGAELSLHQMVQELMTDTVKPARSAVPDTGVDPDWEYQLSSIFIDTEKGLARYGTRSMTALAVKFNGKVTLYERYLESNLWKENLMQFELEMTQLKDVRGTSKMSPETR >OB04G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15718528:15720673:1 gene:OB04G28810 transcript:OB04G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADGDAEFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRNMSDEEKAQYGGKKPDGQESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEDEE >OB04G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15722010:15732244:-1 gene:OB04G28820 transcript:OB04G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] MFVRKLVEKASKKHHIGGIRGLRAEDVSPRLAFHYGVPADAELLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRFAEGQGVLMNVNAKNQIEVWDIDTKKLCYVQPFEKEITAFSVLQNSFHIYVGDSFGSVSLLKLDLGQTCLVEMPYWIPFSESYGSGDSVSNGVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSISGRSMQQQSHQEVKTVTSACWACAKGSKIAIGFDSGDIYLWSIPDILSAQNLSPRGNQNLPLQRLNLGYKLDRVPIASLRWVSSDGKAGRLYVNGFSDHVYLFQVLILNEESESRIVKMVLPLTEACQGMELVAGLSDPNKHRQCALVLLLKSGQICLYDDSEVERYLLHAQSRSPQALPNYSSVKLPYGDSSISVAKFYTSSPTVVASLDEDYFSSMVTKYPWFLSMKDKHQTTTGSADIHKTRNLYITGHLDGTIRFWDASCPLLLQIFMIKQQNEDNSPSGTPITSLQFDISSGTLISGDKSGTVRIITFKKDSSDSIFSFLQGKQGEIYNVRSIKLKGAVTSTSLISNSKRFSVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLIAGMEDSTIFILEEETGKVLNANPVQTNKPSRALLMQTLELSTDDTSVSDTHDTIPKESLLLLCTENAIRLFSLSHAIQGIKKIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSLMKQASLRGFAYTRNSNSSSSIACSSDGEIILVNGEDTYFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPKEKKKGIFGMIMKDTKGSKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSERRNSSIKDDENTELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPENDTSVSQVDQIKMKYGYATNDDSTSLPKVIGNKLQENMKKLEGINVRAGDMANGAQSFSAMAKELLRNTKSEKSTS >OB04G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15739699:15741102:1 gene:OB04G28830 transcript:OB04G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M0F8] MAANGNQPADTVAVVAVPFPAHGHLNQLLHLCLQLASHGLTVYYAAPAPHVRQAKARVQGWGDEALLSIQFHDLDISAFVSPPPDPAADTPFPSHFMPLWETYTAGARAPLSALLDRVSASFRRAVVVTDTMNAFAVEEAARLPNGEAFGLNCTAISTVLLNMGTGHRLLRENDLHYVPMNTYMTEELEDYVNERARPWQSFSSSAGMLANTCRALEGDFIDAFAENLQADGNRKLFAVGPLNPVLDMGTLKQQQLGRRRHECLDWLDKQPAESVLYVSFGTTSSLRVEQVAELAAALHGSRQRFIWVLRDADRGNIFADSGESERRYAELQSRFSKQTEGTGLVITGWAPQLDILAHAATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYFKAGLLVRPWEKHGEVVPATTIQEVIEKLMDSEEGLAVRQRAKELGDAVRSSRSDLDDFIAHITR >OB04G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15746361:15747215:-1 gene:OB04G28840 transcript:OB04G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLCPIGDSSPSSPLLTAKAIFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSSWLANKPPVFAFAGPTELLYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRFAWWLRWILVMVVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAETGWTGLTGDVAVIVVGTALAVSGTWTSLAQIFSSSDL >OB04G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15747389:15748912:-1 gene:OB04G28850 transcript:OB04G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHSREASSSSSRLDAAPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGGTTCRRSPRRSPTCSSRWSGRACWGSPTPSPAPAGWPGRSFSSPWPRSPTTA >OB04G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15750841:15753085:-1 gene:OB04G28860 transcript:OB04G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVFEGVPVACDYLKGMDMDDAGDMGAASAVAAAGAHSLDSNGPHAAIRSIERGIASSPLVYQQLGNDGAVVQVQRCIQPQDQAGASMAAFLQQLIPTSVPDDDHQPGIEIGSVSPDVVCFSGYRSRTPEELMCRDTKEQQITQLARTCSSRGSDPNKKRSAGRVGGNGKKSKSETSLTSSPKPQAPKVNLGEKITALQQIVSPFGKTDTASVLLETINYIKFLHGQIQLFSEPYLTTNSTNKDHSEEKRKAGLEHDLRSRGFCLAPVSWTPELLYHDDVLPECWTPAYRNYFYR >OB04G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15757088:15759036:-1 gene:OB04G28870 transcript:OB04G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLHKPKKHKKAKRPASDAPADAEAEAEAEAAAAPVEGGEGGGDEYTIDAAKRMKYEDLFPVESKKFGYDPANAAKASRSRTVEEALDDRVRKKADRYCNVSGLVVYIIINNFVVRLQMTAARSAVTRGGNVCNLFAYKTQGLGPK >OB04G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15761507:15767963:1 gene:OB04G28880 transcript:OB04G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRTYEEISDGEWSNHSFKPSRILKRPSRSAQPDTQPPPPIDSFRYKPRSSPATATSAATVVLSDDDDDFDLGRDERLRRAGKSSQVLNRPQRQHSPPARARAPPSIGSFSYNPKPSKAAATVSVSDDDDDFDLEDDDFDLPASRNSRPRRITGRRLATAAELSEEDDDLELADDDFDRPDPRPSRPRRATARRFVVKDDDDSDSHVGALEVEGAEAEDDDGVNWSELENEDDEDGDYGETRMEVGDGDVVGNALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIATQDDVDAACTSEDSEFEPLLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAGRAAYSKELSSLGKAGYPPPFNVLLVGYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNHAIDEYRAACQARSLKSTSNFSNNVSGLIPKRQISNYFMQFRKIANHPLLIRRFYSDKDVDRIARLLYPKGAFGFECSLERATQELKSYNDFAIHQLLISYGDSGTKGALTDEHVLGSAKCQALAEILPSLANEGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNSDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLV >OB04G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15784821:15785205:1 gene:OB04G28890 transcript:OB04G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSLPLFPFPLLCLPHDTSHGFYSAVQCAAQPCSSFYLSVCSPCMHGHWKENDDTHGGERERERKEEWIRRERSSAACKDHSVTGGNVASCFSPTHHLLPSLSLTNRHNCFCFMVVYLHSSDLA >OB04G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15801666:15810304:1 gene:OB04G28900 transcript:OB04G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAAAAFFGISRDGDQHDQIKPLISQQQQQQLAAALPGVVAATPTAASSQGAPAAAPPAKKKRNLPGNQSIHRILTSNQVPFTISVMHAYISVLQDLVSIDWSLIICRSGRGGDSAVAEDAAGDEPVRVRGVQQGVPAGAEPAAAPARAQPAVEAEAEEPGAGAAPPHPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPAQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRVRVLFGRAHSLELVAASSLRKEEPNARREGEEDEEHVCVHSVTLPCGPTFIMGQRVSSSPVDFGDDAGNGQHSFLQAKPFHGLMQLPDLQGNGAGGPGAPGANLFNLGFFANNGNSSGSSHEHASQGLMSNDQFSGGAGGGGGSDASAAGIFGGNFVGGEHVSPAGLYNDQAAMLPQMSATALLQKAAQMGATSSANGAGSMFRGFAGSSPHMRPASQHLDQSDAHLNDLMNSLAGGGVNTAAMFGATNGGTVPGAGMFDPRLCDIEHEVKFSQGGGGAGAGTGDGTRDFLGVGGGGIVHGMSTPRGDHHQSSSDMSSLEAEMKSASSFNGRRMP >OB04G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15827911:15847662:-1 gene:OB04G28910 transcript:OB04G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFFHVYFFPVPIMVKKKRGSANSGESSGEVPGALGQGSSQRAERAPQHHGGGRGWVPQQGGRGGGQYQGRGGHYQGRGGQGLHYPGGGPPEYHGRGGPGSHHPGGGPPEYQGRGGSGSHHPGGGPPEYQPRDYQGRGGSRSRGGMPQPYYGGPRGGGGGRTVPSGPSRTVPELHQAPHVQYQAPVVSPTSSGAGSSSHPEAEVSSGQLQQQFQQLAIHGQSSTNQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQSTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSVARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMTMLRPQGRELDLLIVILPDNNGCLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGGLPPGVARSARVTGNVSVRPLPALKENVKRVMFYC >OB04G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15839562:15841141:1 gene:OB04G28920 transcript:OB04G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLLRRGHPYHPESMAQTGSAHGAHAPVRGPPPAVSHTALPSPALVVLSNDAWAPQEPRSHRSVTLEPLAEFLAALGVGAIRATVRRYFLVLEILVLIGAVLFNDGANDVGAVKKVE >OB04G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15846663:15847109:-1 gene:OB04G28930 transcript:OB04G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLENGRPHHHHQEGMPGHLVVVPSPPPLAVSRFRQPLCLNFEVFLSFSSLSFYPLCPLRLVLSDPTVGRGARDCSSDCARHTGVTFLTVWCMRSCSSWAWRVFSSTPVCLLAVTMQLRPVRLTDRVTAYNYKGLARAPDIFFWLT >OB04G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15870584:15873992:1 gene:OB04G28940 transcript:OB04G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRNGAVRQYIRSKVPRLRWTAELHCSFVQAIEFLGGQDKATPKLILQLMGVKGLTISHVKSHLQMYRCSRLGSHATGRRSEMQPQLQRKHSCAADEQVPKEFLSPPLKRTRMGTEATYKGMQGSQGISEMRTTGTQYCIDDYMQAMAMERRIKEEGLRWQQRDAAAAAADGGAAASNLQTVGCSVQESDPFKIIKPEVHRLGTVVKLRCSKPESTGFASSSISTAARDRQEESPEKCSLSLCLGPDPRCMPAIASSPSESSCIISSSSSRSFSDCSGNSGCFVAPGVNLELSMSICGS >OB04G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15873641:15873979:-1 gene:OB04G28950 transcript:OB04G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESSKFTPGATKQPEFPEQSLKLLDDDDEMMQLLSLGDEAIAGMHLGSGPRQSDSEHFSGDSSCRSLAAVLMLLEANPVLSGLEHRSFTTVPRRCTSGLMICTTAMKAAA >OB04G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15875296:15876825:1 gene:OB04G28960 transcript:OB04G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLRYAEPQGEKYGGRSKSYHRFPLPACLSSISIMQCFVNWPLQDKVVRNGSRAIISQQAFWALTMKGTEKMMSVIIYTEQISLEEISALNPMLTGGSCIVPVHTGHQDPTAKRSTGFYRTRMLEVAHGETRFWRGLSSGPAGVMLGRWIELTTLKKASCLVASERKGNQKGAWQP >OB04G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15878022:15878603:-1 gene:OB04G28970 transcript:OB04G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRRGAATGPTRRTFKPQQQPEGLSISFHALYDLPTSPELLFNEEALRSSRTWGKNLTLYAGCGYLAGRAAGTAAGLKRGVGEAERGESMKLRANRVLNQCGSVGSAYSNRLGVVALLFAGIESGMGGLRDAEDWANTVAAGMGTGALYRAAAGPRAAIVGSAVGGLMAGAVVAGRQALTRYAPNLSF >OB04G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15882511:15882666:-1 gene:OB04G28980 transcript:OB04G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDNTKFFLLASLGETKLIIIRTISQLTLKVLSITFRIYYRRNNIGCVK >OB04G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15882315:15893010:-1 gene:OB04G28990 transcript:OB04G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT3G43610) TAIR;Acc:AT3G43610] MEAAASSLSALLATLRVDGPWTPPTTWESVTQVSGATRTANPGGRLLRDPIYEPASVPVSTGLIIFLMQDASLVRLALHALHGVKSSLDEIEKLSVLFFSCPTDRTSHRVAGVWSRSSSTTSVGNILKSIRITGLAVFFLCKFVHFYLFQNRELNCQSRGHEREVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPQSIKLRRLEGQPDIPSMTPDGANCSSNSEVTLLEVYLHTEELRRHIKSLGSICFPKFAGLSLCQEGLTTDSSLEFENFPRGTDLLSYLYVHLRDADSVHYGLLKYLFVRSCEPYCNFIKSWIYRASVDDPYEEFLITHAKNKHGQGGSSDPVDGFTLVSLKGTNHVSAPCFLKDICHPLLRTGQQLQVLMKLLESCTLSDTGGGAASRSIIHLEEILPWFVTSMESSMNSFTFSKSRVEAIICQRDAMYKSMIQKLHHFFSNVEVVPFDAAPNVLHISTSPLDTAVSDVELFYHGTDALPACNTVAELKDNDASSTSQESSDKVDALESSESSSLYSSIDDIEDEIDTTCDSMPSSMFSLYHLSSGEAKHSLVTTKLLSYQTCSVHDGINPASPINEHQKDGELSYGHVSMHSQSIEHDVVHDALELDNQYSEFWPFGSFMKNSFNISSGKLSLDEDFLYRGDKSTAEQLLPESCSPGLSNRDNDEKSSNVNQPWNTSIPYNFNINPILKNAAACHVEHDSHGKRKNRALIGFNFESVTNPCEAYCGRNTSSFDEFEVTPVMALQPNARASKQLDCSSKLLQSQTTDQACLTSGEILEHTKLLDNSSGGAFWEKSLEHTARSTEIAGHRTSLSDMPLDIVIDKCIIQEVLLQYNYVSSFTMKLLEEGFDLCGHLQALRRYHFMELADWADSFIVSIHNKKWSFVKSEQKRTEIQGLLDLALQRSSCESDPYKERLFVYMDEQAVVSLAASTSGLDVLDGILLGYKVDWPVNIVITEEALKIYAEIFCYLVQVRLAVFSLTEVWRYLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVRDMLDLEYVHLCYVTDALHICFLSTETKPIATIIKGILQQALELRSCFKSLNDISESTVKQLNLRSLINFSQVDAIRTKFEGNIKDLYTLHMKSSKYGEIGLSRFWGYLNYNDHHSLTISKDMGCFYF >OB04G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15895412:15895930:-1 gene:OB04G29000 transcript:OB04G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCAYCVEALSGCVLSAEPQAPESVKRHLAGASRRVATRCAAVLREASSSITAMTASRSLDFTVADMNTAVQELQSEVRALPSKLFGEATAAQQLMDAVQLFTVTSLLIEVSARIEGVVDAVDTLATLASFSSADYEKPTEADAKVQTASDQGPVEPVASSATKNTAEEQV >OB04G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15896098:15897123:-1 gene:OB04G29010 transcript:OB04G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAWLVSRAAALGSGASGLAGRVWKIGADDPRRAVHGLKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEYTVGGCVYKGFNRATATVSAGAVALGVHWIASKSGDRFEPVIRSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALLAMAQQRISTVAIGISICLAVCVLICPVWAGQELHRLTARNMDKLAGAVEACVEGYFAEEE >OB04G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15915210:15919269:1 gene:OB04G29020 transcript:OB04G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTLVNLAHFAITYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFLNTVAVVVLVVAKLPNMHKVRIFGINAGN >OB04G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15932423:15933592:-1 gene:OB04G29030 transcript:OB04G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGPAGAASKNPKLVHEGAQDLNLAFPHHGGLQAPPEFPAFPSLESSSVCNPGGAMASNGRSSGAGGALSAMELLRSTGCYMPLQVPMQMPPDYATPGFALGEFRAPPPPPPQSSQNVLGFSLDAHGSVGGPSPAGFDSSSGLQGVPESTGRLLFPFEDLKPTVNSGGGGGASGGGDGGHQFDQGKEQTGGGGPGGHDTPGFWNGMIGGGGGGTSWEWGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRPCHAMHAWLMQKLSCWRGVNWR >OB04G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15962247:15965343:1 gene:OB04G29040 transcript:OB04G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCQFVESCRSSSRMEVEEEVFFDTREELLPSSPAALPWSGGLDSVRQRRERFMRSMGLERSPSRRLADSADVVGDVEKEEGAVVAPEIGRWSSQSDENDCSMSSWSTEETASYDDGASDDNSLSGSSKGSRSFSSLSFIQRLMSRNGKPSAAPKTIDRRRNGWLRRLGASACIVDHGADEASTSSSDGEQIGAGRYERIKVRSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWSVMQSKDCKIPLDDPSCVYLKARHKYELAPVNIENGKKSKINGLKKSAEPACIVIPTVVFQISEEPLHEFRGHSGDVLDLSWSSDKHLLSASTDKTVRMWEMGCANCIRVYPHSNFVTCVQFNPVDENFFISGSIDGKIRVWDIPGSSVVDWVDIRDIVTAVRYRPDGKLVVVGTITGNCRFYELSDNLLQLKTQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILEGTNVIQNYSGLRNGSCQLSATFTPEGQHIISASEDSNIYIWSHENKYESACKQAKTTQTSEHFRSSNAAIAIPWNGAKPRSSVPLSQILPPQGDTFWSTSKAVRYNSSLCGKDSIVNNSVSTSAAPGIFNLNQEFFIESSCKSSATWPEEILPSTTVSVNLDESQFKLLRNCFQGTPNSWGQVIVTAGYDGRIRSFQNFGLPVHQ >OB04G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15973411:15975340:1 gene:OB04G29050 transcript:OB04G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDEEAAAATTESSRAKLPMGSLYEGAMFVLFEMVVLELALVLGQSPAQMRARHTNLEKGREPSRTRWMLLGLSLTNPHPQIPFLDALSILWKQLRSLDLHLRLPPSQGALFPARFPMASPAAGTPPFLTKTYAMVEDPSTDDTISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDCFKRGEKHLLGGIQRRKGSGTGAVTPAGGIPTAIPISSPPTSSGGEPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARARRLCDGVRRLVSRYDHGGGEEEKEGDEGSVGKPMLFGVAIGAKRPREENGEDEEDDGGADEEDGEDEDEEDDDERHAARRGGPVREGKARRTELSDLDVLALSVRAAAAARSGSGRGSRDRKSSVS >OB04G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15977307:15991411:-1 gene:OB04G29060 transcript:OB04G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MDSASLLEVYRRDRRALLGFVLSSAGGRAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFDERRYPIMMASPSVNSYFLLSRPELSGSPPNKAAPGITPQAPAAENPSPIRQPHKFSRAAINILGTENGTKDASLADMPEQVNKMDVFSLGIPKLRTELSDDDIRETAYEVLLASLFFSGKIPFSEEKKEKKSKFLKGLRSKTEGSSPSPQPENYDAHLLDLIRVQMEISGSMDALTRRALRLISLKMLQGHLDVPCISLQLLSSVGKSDFATERLRVQWQRRQANVLEELLLYPGSHEYGMSETLRIILSKIKDTEDWVVSVPNGRVEVLTIIERYNTKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLSIVFDVLEDGQLVEEADEILEAIKLTWPILGITQKLHDTFYAWVLFKKFAQTGEIFLLRHTCLQTQKLRLNNDAKEIELYTNSFVCSVEACGRDMALSLVDSAILKINEWCHRQLENYHAYFNKVNNSIFEGVLNLVVISATGQTDDDEKAMLIGTPFDATPEFTLIHILVVRSIQAAYKNALISSDCESKAEFKHPLIILANKLKLVAEKECNVFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERIDSSENLKEILAATNNFELYVADKLFSMNEGAVGSWLSKYLKPYMIVQFSSPLILQWLHAQHENVLEWTKRTIEMEDWEPLSAHEKHSTSVVEVFRIVEETIDQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPSATLLPSAPVLTRYTESMNPFAKRKVIVPTVPEEKVANKLDNLTVPKLCVKLNTLQYIRDQLDNIEEGIKESWISVQSAVGLLACLSCIASGQAIPKNLSSEESIDELFTIFDDVRRAAVSTTDTILTFIGTRAVLWDMRDSFLFSLYRGSVESARMEIFIPTIDHVLDQVCDLIVDALRDQVVLRVFQACMEGFIWILLDGGPSRSFLETDVNLMQQDLVMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKADTIIDMLINASDHLPHHLEFTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDVPTKDVPSKVPMFSDMLKRTTSFNWSETGQQSFRVMKKKLQEATWQ >OB04G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15993571:15997111:-1 gene:OB04G29070 transcript:OB04G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTWVAGYALQCVVHMVCVAIEYRMRHGQRDRAPASADEERGSDGSSSSSDDDVGEDDRRGRRTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQTTDETPFGGIMTECGTNQPIEKVLAPEDAECCICLSAYDDGAELRELPCEHHFHCACIDKWLHINATCPLCKFNIRKSGSSSGSEEV >OB04G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:15999825:16002973:1 gene:OB04G29080 transcript:OB04G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) TAIR;Acc:AT1G63160] MAASSSATAPALAEAYDIPWVEKYRPTRVADVVGNSDAVSRLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKVVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKA >OB04G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16004408:16010274:-1 gene:OB04G29090 transcript:OB04G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGGHFGDGVVAGGMQFPYSGAGPGVFTSSPALSLALVDAAGRGGSGGKMVTVIHGAKARDASEVENEMSRSGSDHLDVVSCGDVGGGGGGDEDEDEDAEPGNPRKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLRLEPRQVKFWFQNRRTQMKMQLERHENALLKQENDKLRTENLSIREAMANPVCGGCGGPAMLGEVSLEEHHLRVENARLKDELSRVCALAAKFLGKPISLMAPPKMHHQPHPMSGSSLELAVGGIGPMPSATMPVSTITDFAGAMSSSMGTVITPMKSAEAEPSAMAGIDKSLFLELAMSAMDELVKMAQMGEPLWITGAFGAASPAKESLNFEEYLNTFPPCIGVKPEGYVSEASRESGIVIIDDSAALVETLMDERRWSDMFSCMIAKASTIEEISTGVAGTRNGALLLMQAELQVLSPLVPIREVKFLRFSKQLSEGAWAVVDVSADGFMRDQGITSASTTANMNCRRLPSGCVMQDTPNGFVKVTWVEHTEYDEASVHQLYRPLLRSGLALGAGRWIATLQRQCECLAILMSSIALPEHDSIAIHPEGKRSMLKLARRMTKNFCAGVSTSSAREWSKLDGLTGNIGEDVHVMAHKSVDEPGMPPGVVLSAATSVWMPVMPHRLFNFLRNEGLRAEWDILSNGGPMQEVTSIAKGQQDGNSVCLLKASPTNANQNSMLILQETCADASGLMVVYAPVDIPAMHLVMSGGDSTCVALLPSGFAILPAGPNTGADHKMGSLLTVAFQILVNSQPTAKLTVESVETVSNLISCTIKKIKTALRCDV >OB04G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16023420:16025176:1 gene:OB04G29100 transcript:OB04G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3M0I5] MIKRAKARRFKPQTTSLWSSRHLRVRALPHLQLEQLHRRAVAPITRAGSAKPAAGRRAMSNADVEAGGPGREATTGIKPPPGRYNSSGNGPNAVAPAPPPFYYYDQGAAAQDRHHRTWVVPLVVIANVAMFVVVMYYNNCPANGRDCVGRSFLRRLSFQPFRENPVLGPTAATLRKYGALDWNRVVHGNQAWRLETSTWLHAGLIHLFANMISLLIIGIRLEQQFGFWKVGLVYLVSGFGGSVLSVLFISRNGITVGASGALFGLLGAMLSELITNWTIYSNRCAAMANLIIIAAINLALGILPRVDNFAHIGGFATGFLLGFVLLIQPQFGWSAHPSGTKSRYNAFQIVLLVLSVALLIAGFAAGLISVFKGVDGNAHCSWCHYLTCVPTSSWKCDK >OB04G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16024094:16024978:-1 gene:OB04G29110 transcript:OB04G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDRKKQAYLPSYQQGHGENQEHDLERVVPGLGTRGVRRPSELGLDQQHEAEEEPGGEPSNVREVVYAGEYTQRQVDGGDDDQVRHGCTLQMVHSASGDWSGAKCLMNQAEEEEKAYSVAVDGPVGDELREHRAEEAEEGSGGADGDAIPADEEHGEDAPAEAGDEVHQPDLPCRTAETNAGQKKRKRRDILHTPLLLLTESSSLKGSEWGLTESKLLLEADADDEEADHVGEEVDEAGVQPGARLQPPRLVAVHHPVPVQRPVLPQPATIHRDHKPERDEAREWRERDARAG >OB04G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16025595:16029388:-1 gene:OB04G29120 transcript:OB04G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00740) TAIR;Acc:AT4G00740] MQIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLTQYVPLKSGLLRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWTELQAMALALCYKLITVDGNTAIWKKPTEASCLPNQNEFNIDLCSTDDDPDQAWYFKLKKCVSKVSLAEEIAVGSIRKWPDRLSKPSARASLMENGANLFELDTQKWAKRVSYYKKSLGVKLGTAEIRNVMDMNAYLGGLAAAVVPDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHEWCEPFSTYPRTYDLIHADGISSLIRDPISGKNRCDLFDVMLEMDRILRPEGTAIIRDSPDVIDKAAQVAQSIRWIAQVHDAEPESGRMEKILVATKTHWKLPLTSL >OB04G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16040591:16042740:-1 gene:OB04G29130 transcript:OB04G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTSRASGPLRWRRRXXXXXXXXXXXXXXXVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQRRARACRAIRSQHVAAHPGPPTPEKYDQAAHDSVPRHGRSGSLKGSSSKTPGSERLSRERSESCGRNWLDKWVEERYLDDEKNAKILEVDTGKPGRHASRRRSGSQHHHSSCSSMTSEQKSRSYATMPESPSKDSTTAQQSVPSPPSVGMAEALSPLRMPIDIAELCDSPQFFSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFGPGSCAPAAQRTTASLHTKFTNKAYPGSGRLDRLGMPVKY >OB04G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16042707:16042949:1 gene:OB04G29140 transcript:OB04G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCSSSPSSDAGYARSGVTALCTGGGGGGCGWAGDFSRKDFPNPQRFFSFAGFGSPELDFLPPPSRPRSHLDAHPIS >OB04G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16047337:16047669:1 gene:OB04G29150 transcript:OB04G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQPRYKKPFLHGPEVALGNMALLLSLRCVYPITRLSICFPSFAFIPKYPTTSLSYAPPKQSLPSHIGWLIQTRPDFSEGFIRDFHRFPIPRSDITCYSPTFFTQSNL >OB04G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16047261:16051863:-1 gene:OB04G29160 transcript:OB04G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATIIPLAPRIYDAKLLAASGPAMASMAYIALLGAALAAVVTLLHWTYRWRHPRSNGRLPPGSLGLPLVGETLQFFAPNPTCDLSPFVKKRIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWAAQPSIELKEGISMMIFDLTAKKLIGYDPSRPSQKNLRKNFASFIRGLISFPVNIPGTAYHECMEGRKNAMRVLRSMMKERMADPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRNRKDPDSGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDFSKVLMATFIHCLVTKYSWRTVKGGNIVRTPGLSFPDGFHIELFLRN >OB04G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16071638:16075213:-1 gene:OB04G29170 transcript:OB04G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSEMLLGSYSYAALCGVTLIIGWLAHWAYKWMNPSCNGRLPPGSMGFPIVGETFDFFRASPSIDMPGYYKKRMKRYETLFKTSLVGRPVVVSLDPEVNRFIFQQEGKLFQSWYPDTTMNIFGKKSITTYDRTVHKFIRSLTAKLLGPENLKESLLPELELSMRESFVSWIGKPSIEVKDGVSNMVFDLLSKKLISLDTTNSRELRNNFQEFFMGMVSFPIYFPGTLFYRCMKGRRNVHSALTNVMKVRLSAPEKKFGDLLDLIIDELRSEKPMIDENFAIDVLVILLFTSIATLSPTLTVAIKFLTDNPKIVEELKEEHETIMKKREGVNSGFTWEEYKSLKFTIQVMNEIGRISNVAPGIFRKTLTDVQVNGYTIPSGWLVMISPAAVHLNPELFEDPLKFDPWRWKEEKRSSLLRNYIPFGGGIRQCLAADFSKLFIALFLHILVTEYRWKEIGGGKIMRVSEILSPEDYHIQLVPRA >OB04G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16081944:16084936:-1 gene:OB04G29180 transcript:OB04G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVGAAAALVLLLLLQHQVRRWRNPRPRDGGRLPPGSMGLPLVGETLQFFSPDASFDIPPFIRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLKEGIIRDVERAVCSSLCTWSTLPAVELKEAVSTMVFDLAANKLLGLEPSRSKILRRSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKRSTELQHGGQAKHHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADYPLVLEELTEEHDTILMGREGGSEPDGITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIQFKGYTIPAGWGVMVCPPAVHLNPDIYPDPLTFSPARFKDKQDVSRGSKHFMAFGGGLRFCVGADFSKLQLAVFLHFLVTKYRWIPLGTSKVVRSPGLEFPDGYRIQIIQRD >OB04G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16091974:16095250:-1 gene:OB04G29190 transcript:OB04G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASGQVHRTYEACPAKYSEYTPCEDVERSLRFPRERLVYRERHCPSEGERLRCLVPAPKGYRNPFPWPTSRDVAWFANVPHKELTVEKAVQNWIRVDGDRFRFPGGGTMFPHGAGAYIDDIGKIIPLHDGSIRTAIDTGWGGGETHPAPFPYDVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQQAIEAVAKSLCWKKIKEDGDIAIWQKPTNHIHCKTSRKIIKSPPFCLDKNPDAAWYDKMKACITPLPEVSDIKEIAGGELKKWPERLTAVPPRIASGSIEGVTDEIFMEDTELWKKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKDRCEMDNILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMKWNSQIVDHEDGPLVREKLLLVVKTYWTLEEDKQ >OB04G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16094838:16095674:1 gene:OB04G29200 transcript:OB04G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRMDPSWRGMILPMSSMYAPAPWGNMVPPPGNRNLSPSTRIQFCTAFSTVSSLCGTLANHATSRLVGHGNGLRYPLGAGTRHRSRSPSDGQCRSRYTSRSRGNRSERSTSSHGVYSEYFAGHASYVRCTCPDATSIPSAVWCAAKSSGADDEEPPRTVGVGETQETEAVVAMETDEATPPAGAAEKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCCCAAALLLPPPRLFWDLGRFAFLHHCPPCWLLKALASKDWGVGID >OB04G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16108693:16111955:1 gene:OB04G29210 transcript:OB04G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHDTTRKKEVVVCYMNAPLPYMIEENYGGCFCEDDLDLAQVLQDQEIVYQLIQRNDGSGSSRSHSNPSSSYSHGRALNERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHIIIEHGRKPIASSTSNGGGVSASRPPQVVMEDGIDPDNMTYEELQQLGEAIGSESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMAYKNRDRLTKLPCEHQYRQTCVTKWLKINKVCPVCNKEVFGSCK >OB04G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16115314:16117654:1 gene:OB04G29220 transcript:OB04G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICQVACLNWAFRGAASADCVWAAKLPANYRYLAALAAAADDDSDSDGPTEGNGSRCSSAPMIKKEVYARLCRPTPFDGGTKEFWMEKNKGGLCLSISSKAMAITGIDDRRYWSHLSTEESRFHNVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLHLGRPHKRMGRRVYDSELIHGWDIKPTRFQLSTSDDHHATSQHYHLDKPGNWIVYHVGDFIVSSSDQLTNLRFSMMQIDCTHTKGGLCVDSVFIYPKDHQHEDCIICN >OB04G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16119038:16119323:-1 gene:OB04G29230 transcript:OB04G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGPPGEARAQAGNLTSTAREACQRQGGAGAVIQNQPSITAPCPVCPVPGHPIIVFQRLQHSNAIIACIIASKNAFKVHTSSVKTRLLIELS >OB04G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16134870:16136582:1 gene:OB04G29240 transcript:OB04G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3M0J9] MTTCASATVACWDDQAAGCAFFAPLLCKGAEEVVVLVAGDVAEEQPAPVLTSKPPGRFAKAVKEAWSVYWGVAFPMAPSMSSCAARDEARSILGLAFPMILTGLLLYLRSMISMLFLGHLGGLALAGGSLAIGPFGFANIPGYSVLSGLAMGMEPICGQAFGAGNYALLGITMQRTVLLLIVAAVPIGGLWLQMRPLLLFCGQDAAIAAVAETYIFASLPDLVLQAFLHPVRIYLRTQSINLPLTVCAGLAIVIHLPINYVLVVFLGLGIKGVALASVLANLNLVLLLLAYIFLKGVHKRTGGFVLSAESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLMLGFAFGTFASAFAFLVRNVWASMFTADPAIVALTAAVLPILGMCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHFDFKGLWFGLLAAQATCMVRMLLVIGRTDWAAEAKRSKQLTGAGAANMESDDRVAADKTSRLLVDTDMKQSSDHSDRC >OB04G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16152587:16155007:1 gene:OB04G29250 transcript:OB04G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPGLNLVSAALGFGMTAAFVAFVCARFVCCRPRRADARASRPHPSPLDFEADFPSDFDRPVEHSHSGLGPLAVAAIPTMKYNCETFHSEDDTQCSICLSEYKEKDILRIVPTCHHNFHLYCLDAWLLKQTTCPICRISLRELPGGKAAISSARSISQPPTLPESSVNPTPQFLPVHQDHIGHQDGPDTTESVEVVIEIRR >OB04G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16168508:16168732:1 gene:OB04G29260 transcript:OB04G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEQMKVIILITRSMHGDETKILPPSYLQYYQVLIESILVPLNIDRIELAYDAGIMWRDNRGGTNYKIETGVS >OB04G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16182010:16183475:1 gene:OB04G29270 transcript:OB04G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYYGSGYSSSGTPSPVGGDGDEDSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRVESSCTVDEVSRRRPSLNLIDQMHRNQQKKTSAVECRLYLHVT >OB04G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16189029:16193504:1 gene:OB04G29280 transcript:OB04G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALFGLQTLPNVLPSTCLINRGLQRPDNIPPLCCSDSAQSSIQTKKRTYHSSQSHTPGLCRRARLCSPSRSRSKGQQPQSAAPSVELEGTARPPPLLMLKEWMELESSAELSRDGFGWCYPRQLAAELRGGSGRRRNGAVIERVSAAVRAALFPPPSAREGGESALSKTFSRRLKRGFWKKRKGEGEEGSSRVESCAAAAVSGRDDGSSPAMSPRRRSWEGGHAGGVGARLGHQETQKQIVRMECETTCRLDEELEEGQRLSPVSVMDFLSQDDEDDGEVEEGNSNSDYDDGDGDIASPTFQQSLANIRRVSQQLLQKIRQFEQLAELDASDLDDATTAKEDVLCEAADSDSVDDDIEEAFVQDLLDLLEASSPGSTRSFQKLLVDFFYDGLPPRKGERLDGPDRARLLLETAKAWLDGQDFSARLDRKAEVEEIERLGRWRCFKEDEQGLVTVDLEDEIFSSLIAELVRELG >OB04G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16198882:16198995:-1 gene:OB04G29290 transcript:OB04G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSMFVYIPHNQKNWRAESMSHAYTVTNYSLLLFLQ >OB04G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16199973:16213067:1 gene:OB04G29300 transcript:OB04G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3M0K5] MCLDYPVRMEELSLEVNMPVAELIAIKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPYFIEEVVHLLNQICPGCLTLKQNGDMKRADGITIQATCKYCSKDGAKLYPSIIFKMLTSPRVTLSRSKLHRNTSVIDKMSIIAEVASRVTHNSKNKAPETLPHDFWDFIPDENQPSQSNVTKKILSPYQVFHMLKKLDPELISHVTPRRELLFLSCLPVTPNCHRVAEMPYGHSDGPRLAFDDRTKAYKRMVDVSRRIDDYRQHPQFGVFASSVVTSRVMECMKSSKLYAKKSDGESSTSTDTYGTKWLKDIILSKRSDNAFRSIMVGDPKISLNEIGIPMDLALNLVVSEQVSYYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLQIGDIAYRLLQDGDLVLVNRPPSVHQHSLVALSAKLLPVHSAVSINPLCCDPFKGDFDGDCLHGYVPQSIQSRVELEELVGLNRQLLNAQDGRSLVSLTHDSLAAAHQLTSADILLQKAEFQQLQMLCSSISSTPMPSVIKSANSQGPLWTGKQLFGLLLPSGMNISFDQNLHIKDSEVLTCSSGSLWLQNNTSSLFSVMFKEYGRKALQFLSSTQDVLCEFLTIRGLSVSLSDLYLFSDHYSRRKLSEEVHLALDEAEEAFQIKQILLNPVSIPNLKYYDGADDLSNSYGQSDFTQASPSIIKSSITSFKSIFNDLLKMVQHHVSKDNSMMAMINSGSKGSIPKFVQQTACVGLQLPASKFPFKIPSILSCVSWNRHKSLDYEITDYTIESMGGQSMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYIAYDGTVRSSYGQQIVQFSYDTSDGMYIEHDIEGEPGTPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVLKCHKGTNSVDHTGLLFLSKHLRKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGGSDIQKSKGNPWVTHFHLNQEAMKIKRLGLGYVVRELIDQYNALRKQLNNTIPSVCILSSKCSVGNGCVKNQACCVTMVVQVESNSTSQLTIIKERVIPSILATLLKGFLEFKNVKVQCQQDSELVVRVDMSEHCKSGKFWATLQNACIPIMELIDWERSRPERLYDVFCSYGIDSAWKFFVESLRSTTDAIGRNIRRQHLLVVADCLSVSGQFHGLSSQGLKQQRTSLSISSPFSEACFSRPAHSFINAAKKDSVDKLSGTLDAIAWGKEPCTGTSGPFKILYSGKSHETKQKENIYNFLHNPEIQAFEKNLMDTHRKRTEKTSKWRSALNSEGITTINGGSISVNQKFLGAKVGIWENIIDMRTCLQNMLREYTLNDIVTEQDKSCLIEALKFHPRGFDKIGAGIREIKIGVNPGHPNSRCFIVLRNDDTTADFSYNKCVLGAANSVSPELGSYIEKILSNRAIRSHQL >OB04G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16218536:16218879:1 gene:OB04G29310 transcript:OB04G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTTMSLRRAELYAVAASAADGVSWCCAVALVAVVLLGALRAEAVXXXXXXXXXXPCEEVYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIALQPTKNT >OB04G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16231638:16238814:1 gene:OB04G29320 transcript:OB04G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKLLKQYVQQTQFGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLASRIEELREQRAALLEQHDISHVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHSLIIQEDMQSGSEDLIDDESYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFSGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKLRLQASAGFVSASALGMACGPALAGLLQTKFKIYSLTFNQSTLPGWVMCIAWLVYLLWLWISFKEPGHFDKSSDSAQPSGPGRQVSANLEEGLAQPLLIGTAEIQDQNSEDNDDNEEESHNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYVTNLFEDRQILLASEFIVLVGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASIVATFCTYNTLY >OB04G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16239756:16241930:1 gene:OB04G29330 transcript:OB04G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMDAAGALAIFAATSFFAMLTCVQTAGGDNYTFMKDAVQAPPVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYDDERIGNMTRFADTLSDTSPSSPAQRFVSEDGVVNSRPRVLGGGSCINAGFYTRASDEYLRGLGWDLEATTAAYRWVEDVVAFQPELGPWQSALERGLLEAGIAPQNGFTFDHLAGTKVGGSIFDAEGRRHTAADLLRYARADGLDLLLRARVAKILFNVRGETYPATLAHSKKICDFYGCNTHARHTPETRQNSPELCCLATAGRRPVARGVVFHDSEGQMHKAYLNNGGGNEIILSAGAMGSPQLLMLSGVGPAEHLRSFGITLVLNQSAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITDVGSYIEGASGANWGIRRSGSGGDSDSHHRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFAHPEDLRRCVAGVAVIERVIQSKAFVNFTYPYFSVEALLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDTEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLGAEGLGRRNL >OB04G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16249798:16255084:1 gene:OB04G29340 transcript:OB04G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper chaperone for SOD1 [Source:Projected from Arabidopsis thaliana (AT1G12520) TAIR;Acc:AT1G12520] MVDMKCEGCVTAVKNKLQTLEGIKNIEVDLNNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYSPSDYRSNKPLGDLGTLEAGENGEAQFSASKEKLKVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >OB04G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16254503:16256779:-1 gene:OB04G29350 transcript:OB04G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilase 1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) TAIR;Acc:AT5G51750] MDFSKARWSVQALSLVAVLLQASFSACAPTPKTYIIQMAASEMPSSFDFYHEWYASTMKSVSSSQLEDEEDDASTRIIYNYETAFNGFAARLDDEEAELMAEADGVLAVTPETVLQLHTTRSPDFLGIGPEVSNRIWSASLADHDVIVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKIVGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDANLFGYAGGVARGMAPPAPAAALXXXXDGVDVLSISLGGGASRYYLDSLSIASFGAMQMGVFVSCSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGESEGIAAKSYSKSATKPTATLSFGGTKLGIRPSPVVAAFSSRGPNVLTLEILKPDVVAPGVNILAAWSGDASPSSLPSDSRRVAFNILSGTSMSCPHVAGVAALIKANHPDWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASTPFEHGAGHIHPVRALNPGLVYDIGQADYLEFLCTQRMTPMQLRTFTKNSNMTCRHTFSSASDLNYPAISVVFEDQPSKPLTVRRTVTNVGTPSSTYHVKVTKFKGADVVVEPNILHFTSSNQKLTYKVTMTTKAAQKAPEFGALSWSDGVHVVRSPVILTWLPPQ >OB04G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16262534:16265097:1 gene:OB04G29360 transcript:OB04G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSHPSAAAMVALSLLLVALYCLARRVLLGKKRKYPPVAGTVFHQLLNFGRFVEYQTDLSRRYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHEVMEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFGDTAAELAGILERGAAGGERLDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGAAFARAFDDASEQVLFRFFDLLWKVKRFLNISSEASMKKSISTINDFVYAVIDRKIDQMSREQQEFAKKEDILSRFLLERERDPSCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYALCKNQRVQQKIAREVREATTSDRDVGVQDFTAFLTEDAISKMQYLHAALTETLRLYPGVPIDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMEFLWGDDAGEFRPERWLDDSGVFVPESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDNATVGYRPMLTLKMDGPLYLRVLAR >OB04G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16268896:16270320:1 gene:OB04G29370 transcript:OB04G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT5G62220) TAIR;Acc:AT5G62220] MAAMYRPDPANPAARRPRLAVILLVAFFALQLLVFLAFRGAPSPGAPGSAVAHVPESPGRGGDDSGCAGGLVYVYDLPPVFNEDLLSMCDKLAPQYSLCPYLANDGLGFPAKGANLSEFLLPAELVGSWYSSDQFALEHIVHRRLLSHRCRTADPGRATAFFVPFYAGLAVGRHLWAANATDADRDRDCVALLSWLHAQPYYKRSHGWDHFIALGRIRGDFRRSPDGGWGGSFLLMPGLANTTRLVIERDPWDAMDVGIPYPTSFHPRTAAGVRAWQQYALSRPRPTLFAFAGAPRSAIKGDFRALLLEECQAAGEGCGALDCGEGKCIKQNELVMQLFLGARFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRSAYRQYGWYVPVGNDHEGEWSVFIDRDELRARNVSIRGVLGAIPEAKVQQMRKRVVEMIPNLVYSAAGKEGLGDGMKDAVDVMIDGMLRRVAEQRRSWRL >OB04G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16280127:16281422:-1 gene:OB04G29380 transcript:OB04G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDRRLLFLLAVSLAVAAVSSHNITDILDGYPEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFNSPSAASTNLTALLEKAGCKQFARLIVSSGVIKMYQAAMDKGLTLFAPNDDAFHAKDLPDLSKLTSAELVTLLQYHALPQYAPKASLKTIKGNLQTLASTGAGKYDLSVVAKGDDVSMDTGVDKSRVASTVLDDTPTVIHTVDSVLLPRELFGGAPSPAPAAGPASDVPAASPAPEGSSPAPSPKAAGKKKKKGKSPSHSPPAPPADTPDMSPADAPQGEEAADKAVKKNGATAVATSVATTVASVAVLLAASFL >OB04G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16286478:16288912:1 gene:OB04G29390 transcript:OB04G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGRQVYSPPPSKLPRLSGTEPNDGVVTMAAPSPLVLGLGLGVGGSGSDSSGSDAEASAVTMREGRPSALTFMQRQELEHQVLIYRCFAAGSPVPVHLVLPIWKSVAAASSFGPQSFPSLTGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPMEASVPVAPTSLPVRPALHTAASAPSPPRLGFSSDGASVLLAHTTARAT >OB04G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16290589:16295196:1 gene:OB04G29400 transcript:OB04G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein-related [Source:Projected from Arabidopsis thaliana (AT1G01930) TAIR;Acc:AT1G01930] MAAASPESKPPRSLFDLPSDFFDSSALLGSHPSSAPSAAEPSNSFRPAPPPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADPASLFDDLEVSSVSGSEDELEGPASDRGLSVKDRGEFRKKLYFRCPSGDTVSVWRCALLKEHEEPFFNNKSGLMESHGSTSYVQEDEMLNRVKNLMFEPRDASRLRVILLTSGGHFAGCVFDGNSIIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEIQELIVSWKSYFDLSVCVFIYAPSKNRQMLFDGDKTQSILQACDLRPIPLTVHRPTLKEAKRVYSNLTQLCYEIEFLSVDEILPDVEHVRSFEQCKESKQKKIMDTRESIPVSSLSLDSSNKHEETSIQSSNNETTPLHEAAKSGNAQQTLELLEQGLDPCIKDARGKTPYMLAPDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAKEKEKEKEKEKTQASQSQINVRGTSAVQMANATASIPGLKQKHQPTAVSKEEERQRKLAEEREERAAAAERRFAALATQSSSASGTAAAEPTLQRAAPDDNTCSCCFSSLVGKVPFHRYNYKYCSTTCMHLHSEMLEDD >OB04G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16295873:16298545:1 gene:OB04G29410 transcript:OB04G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein [Source:Projected from Arabidopsis thaliana (AT1G12480) TAIR;Acc:AT1G12480] MAAEPSSSSTGQGHHTVDIRAAQSEDAGQSAMSGPINLRGERRPPTMQRGKYYPHLCRFLKKSEYWSGGCRGDLCMFRTKSTLSKQNSLLPSRIREPDFELPPHVEGLSVGRQGEDPLNRSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALAASPAMRFLHVTPMINVALWLLALAVLVAVSVTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTIGLPRAVAPERLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNCVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVATPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAEPCFTSRALALSLSLVSTTMVSMLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAAKLHVKGRKAGKRVSDIKRWAKQAPLSLVSSITKSNSADKEEEERTE >OB04G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16298314:16301780:-1 gene:OB04G29420 transcript:OB04G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSSASTPAQAPVSFGERWPPKYSARTTRGKFAVTAISLDDYLPMRSSEVKNRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIDGGSEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSIEGMISHFEAVLPMGRTIIYNVPSRTGQDIPPAVIEAISSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENPVLNDKVVPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESVGRENFVGQKEARVLDDDDFVLISRY >OB04G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16305493:16306769:1 gene:OB04G29430 transcript:OB04G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGDSMTINDELRLLGERRDDEDDVDCEFLPPPPAHFLAVTGLPPCVVADRCLASRWSPCGPARGSCTVRLRPSPLPSIATPPQPGSECCLTQGNQRYGFGLETEYV >OB04G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16307412:16311346:1 gene:OB04G29440 transcript:OB04G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESWPALQPMLCLAWLAATLPIITAALPIPAAAGGHLLHRLLSAFSSRGKTVRASSASSSTSKAKFTVPQKYFMHFYVVGVVATTTLLLAIWFYAYMKMTPLVPESSNYSTIASHLVGSNSFSFGRVRSRTMGHKYRVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLLPLLKLGWSQWIGAVIFIWGSLHQIHCHAILGSLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEDIPVWFLFIFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >OB04G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16312121:16312282:1 gene:OB04G29450 transcript:OB04G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGVWSESTSIDLRGGDMLLVFARLILPPGHVFNHIADLDLLSSLVYYSSY >OB04G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16320233:16323651:1 gene:OB04G29460 transcript:OB04G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLLFLLVMLLVAAPEASVFGANAPPATEVQAEISALLQFRSGLRDPYAAMSGTGRVVELALPRLRLSGSISPALSALSYLEKLSLRSNSLSGTIPASLSRISSLRSVYLQYNSLSGPIPQSFLANLTNLQTFDVSGNLLSGPLPVSFPPSLKYLDLSSNAFSGNIPANVSASATNLQFLNLSFNRLRGTVPASMGTLQNLHYLWLDGNLLEGTIPSALSNCSALLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAAAFGSVGNSSLRIVQVGGNEFSHVDVPGALGKDLQVVDLSANKLAGPFPSWLAGAGGLTVLDLSGNAFTGEVPPAVGQLTALQELRLGGNAFTGTVPAEIGRCGALQVLDLENNRFSGEVPAALGGLRRLREVYLGGNSFSGQIPASLGSLSWLEALSTPGNRLTGDLPVELFVLGNLTFLDLSDNKLAGEIPPSIGNLTALQSLNLSGNSFSGRIPSNIGNLLNLRVLDLSGQKNLSGNLPAELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNLSVNSFSGSMPATYGYLPSLQVLSASHNRITGELPVELANCSNLTVLDLRVNQLTGPIPSDFARLGELEKLDLSHNQLSGKIPPEISNCSSLATLKLDDNHLGGEIPASLSNLTKLQTLDLSSNNFTGSIPASLAQIPGMLSFNVSHNELTGEIPAMLGSRFGTPSVFASNPDLCGPPLENECSAYRQHRRRQRLQRLTLLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVTQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSSDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >OB04G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16326514:16327876:1 gene:OB04G29470 transcript:OB04G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISKPRKGNLPEENSEKEGIWVSNLDDDANGEDEQKFKPFTDSGKRLDDSNKRVNQEMVAPEPLGASNPTSQKPGKLEKEPQKAPVPSV >OB04G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16334453:16338729:1 gene:OB04G29480 transcript:OB04G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAGKVGDGEDSEDDDEEEGMEIGRPTDVRHVSHVTFDRFGGFHGLPADLEPDVPRPTPSASVNVFGVSPTSLQSAFDHRGNSVPTILLMMQRKLYEREGLKIEGIFRINPENSQELCVREQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDTLTPEQVMHCNTEEECALLASMLPPVEAALLDWAINLMADVVEQENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLIVKTLKEREAAGTPKTSEPCSGSPNSQDKPPTPKNLEKPIICSNQKGLDQPIFDMATCDQLLFGTKQMLDYRENSKSEGPEKHDIDQPKRHSEASPLGNDLNNQVGSRGKEFDNRNVEGMFDKFSFRKGVERLCRHPVFQLSRSMKKSTDVVVFDAPGEARQAWGLI >OB04G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16339180:16340840:1 gene:OB04G29490 transcript:OB04G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41890) TAIR;Acc:AT5G41890] MLRHMVLILHFLVASQLEGSQTGGPLPMSLVMSSMNFMKKLWFPCPCLLSHLLALNSGEALGQKSFAPPYLAPNSSAEMMKTGVNYGSGSSGIFDETGSFYIGRVPLGQQIRYFEKTRAQIMETLGEKAATEFLKKALFTVAAGSNDILEYLSPSMPFLGREKYDPSVFQDSLVSNLTFHLKRLNQLGARKIVVADVGPLGCIPYARALEFIPEGECSASANQLTEGYNKKLKRMIYRLNQEMGPESKFVYANTYDIVMEIIQQYRHFGFENALDPCCGGSFPPFLCIGIANSTSTLCKDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSVAASPINVRELFQYK >OB04G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16343438:16347032:-1 gene:OB04G29500 transcript:OB04G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASALRLLDAHCRLQDPRVLAVAPSLIRAATAAGVVHFAVNGTSEKDWHLVKQMAEEHPSVVPCFGLHPWWVPERSHDWMDSLRRFFAETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELNKPVSVHCVRAFGDLLEILKQIGPFPAGVLLHSYLGSAEMVSSLANLGCYFSLSGFLTGMKSNKAKQMLKAIPLDRILLETDAPDALPKLDDISLLAVPVDSSNADNEEFKKDSNSQSSTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATRLFSYHGSKVHTEVEAVWLGGHPI >OB04G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16350453:16351529:1 gene:OB04G29510 transcript:OB04G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAAPKMRLGGGGGGSGFTLGCGCRDAKAVAVAASASSPCSAATESSTATTATWRRARTHPSASTGTLTVPSASSSFLWDDVEAEADGEEVDCKGVSSATTSSFSGLLRQLNELEQSVMTWGWKSPRRGNNFPPPPPPPPPPPPPPPSLPLRPVQHRVVGGGGKRSSKEGNDRFSSSPPFSHCPTTQQHRTVKGVDQRNREDGEAHMAPPAPPPPPQPPRNVKSVEKEDGKHLPPPQAPKHRKAKSFDNGGFSAGKLDGSLAVVKQSEDPLGDFRRSMLNMIVENRIVTGDELRELLRRFLELNAPHHHDAILRAFAEIWDEVFAAPAEPRLDPPRPPHRQRTPPRRRHPPPAWRM >OB04G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16354191:16356710:-1 gene:OB04G29520 transcript:OB04G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C [Source:Projected from Arabidopsis thaliana (AT1G33265) TAIR;Acc:AT1G33265] MTAAVAITSSLLFRPPVAVRSLPSPPRPHDVPCPRSSRRRPALLCCSAVSELAPAASAAYGALLLGGGAFAYVRSGSKGSIFGGLSGSALMGISYYLMQSPDTKAIGDAVGFGSAFLFASVFGIRLYNTRKLVPSGLLLVLSLGALVVFYSAYLQDKV >OB04G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16359806:16361969:-1 gene:OB04G29530 transcript:OB04G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQGSHLLSMKAIGDGHGENSSYFDGWKAYDMNPFDPRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPAGASQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKAMFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPSPYYPAFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVAAYNGARRQGICVKGILITNPSNPLGTITDRGTLAMLAAFATEHRIHLVCDEIYAGSVFAKPEYVSIAEVIERDAPRCDRDLIHIVYSLSKDFGLPGFRVGIIYSYNDAVVATARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLRESARRLAARHERFTTGLREVGISCLRGNAGLFSWMDLRHMLREKTTEGEVELWRVIIHKVKLNVSPGASFHCREPGWFRVCHANMDDETMEVALGRIRAFVRQHRQRKVKAWAANEQLRLSLPLRASTYLALSSPMALLSPQSPMVHAAS >OB04G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16374456:16376031:1 gene:OB04G29540 transcript:OB04G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT2G46100) TAIR;Acc:AT2G46100] MAMLVRAPNSTLPTGDHPQKSGVLLRRPKLHSARRFQLHAQKRASPGAGSETPSRSENAVLKAAWYGSELLGIAASFFRPSQPPTVAEAGAAGEGVSEPRGRAQVAEAIKDDFERSYFVTGNLTLTAYEDDCEFADPAGSFRGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCIMSFPWRPILSATGYTEYFFDAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRSD >OB04G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16379027:16380035:1 gene:OB04G29550 transcript:OB04G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPDPEDFVPDHSTKSIQETNKAPKINIVLEDKMASSNEADQEHQATPMKKEDSNPIVSNRSASNIYHTNQLGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGDDS >OB04G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16380908:16384711:1 gene:OB04G29560 transcript:OB04G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSRFHSGVPSPVALSRSVPVHESARTWPGERNATGMATGVSGAVMTRFPVKNPLFAAAVLRRRSWPPLPGRALPFSPLAWTLRRRGLETVTCFVPQDTKNRQAPAPAPPPTLPEPVPSLEEEAAAAAARRVAERKARKLSERRTYLVAAVMSSLGVTSAGVAAVYYRFHWQLEGGDVPMTEMFGTFALSVGAAVGMEFWARWAHRSLWHASLWHMHESHHRAREGPFELNDVFAITNAVPAISLLAYGFFHRGIVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHTDKFEGVPYGLFLGPKELEEVGGLEELEKEIASALQAMVWCSDRLRAPPVLVMREWRRWAVWGLLLASGQIWPVAKFGQWRKVFGQLDGMVIQLERLLERVFDCNG >OB04G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16382621:16384548:-1 gene:OB04G29570 transcript:OB04G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGGARSRSLHHTIACNADAFKNTTINHDIHFISRTTRPCSTRTTSSLATRTGCSPARPPSPASSAATSRTGDWTGNVSTKKTFGAAKNAKNNQPQSRYRPYNVQQQPRSQSSKHCDIHRCRIVEYSLLLLESPSPVPTMNSTKRPRKEQKSCKAKGNSASSTAAFLLAAIAAIEITSCG >OB04G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16388435:16396134:1 gene:OB04G29580 transcript:OB04G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAARFVVENATRQIKPVNGLPRLMTITQKHGRENNSDDSVLAKDENTEPLVAFSRPPPLPPVLGPLIMLSLFDMSSEGWRCGLAFLGPESCTVVFGKETRNSETEGPEDGSTREFYFVKYCIMPMIAATLRRASRATVSQNIMEICVGPCISSDASLRWFSSCTKHTNTSILNHIKVMDRYSPVNGVSMISRMPSSAHMDTSWSTTSKPRFNALAGFLGASSICRAYSSDTGIKAEVPHNTVSNVSSAETVELGTPGGGSSWFDILENARTSTLEATTDAGKKVKDLTDAITPHVQQLFDANPNLEKVVVPLGGTILGTVMAWFVMPVMLRRIHKYASQNPISVLLGNSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTSPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKSAASSIDQARLSAFDKVSSLGLIALGIMALAEACGVAPQSILTVGGVGGVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKAGSIEGRVVKIGLTSTELINPEQLPVTVPNSLFSSQVIVNKSRAERRASVTKIPIRIEDVEKVPAISEEIKVMLRSNPKVVSDSETQAPYCYLSRLESSYGELTIGCNLKKMKKDEWLSTTQDILLEAAKIIKLHGVELGSTTQCC >OB04G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16399800:16401891:-1 gene:OB04G29590 transcript:OB04G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGKEAVTASILRFLLLLLLPLTALYFFYTLHLLLASAASSSSTSSSSCAPDTTAASQLVSRLSTNRSADAAAVTVAASVSEKKAAAAASTETTTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDREVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSPSQSRSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAKSRPAAVRRLFDGPIKLDPAGIMQQSICYEGRNRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSAQRGGAPRGGETTVTRYERWRRANETRPACRWNIADPDAYLDHIVVLKKPDSGLWDRSPRRNCCRVLSSPKEGKKGGEKTMTIDVGVCKDGEFSQVV >OB04G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16409860:16410234:-1 gene:OB04G29600 transcript:OB04G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEEVLPVVRFDELATVCGGGDCAVCLSGIGGGDEVRRLTNCRHVFHRGCLDRWMEHDDQRTCPLCRAPLIPDEMAGALWAAAGVPDASDFDFSYFGAPLTAMPTPTLLRPHELLLTGLGGYQ >OB04G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16427922:16429831:1 gene:OB04G29610 transcript:OB04G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63850) TAIR;Acc:AT1G63850] MDPSPQPPASGYAPSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAIHSIPAPPQPHPLQPARSMDRQVLLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLESSGAGEVLKRVSLELAPSAVAEEVEVGGGCNGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHDQFVRAAGGDQSEVVQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLPTQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPEQPPRLRITAICENS >OB04G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16439606:16441504:-1 gene:OB04G29620 transcript:OB04G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRDPHHQTYPYGGVVRSAVQVTMQQRSDAAAAAAGGVLKRSLGDMERWRKQQQQQRQLALQQQLFMRTVRQRTAAASAAVSPLTSADIAAVLGGPPSQPLVLSGSSMGGTLGSPSSTLSSLTTASRAMAMPLTQPQLHLQRQHVTYTPSPQVQVLGTARALAPPAAASDMSILQELEKQLLGDDDEVEAAMSGTRSPVTGSEWEEQLNSITTAPSPPLPAAMTPNNNNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAATAIADGHLETAATHLAALKRAANSRGDVEQRLVAMMVPALSSRIVQTASVPSQHVPDLCGAEQRTGSQLLHDISPCFRLGLQAANLAIVDAIGDHRAIHLVDFDVSAPQHADLIRCLAARRLPGTSLKVTAVTDPSSPFTQSLKTTLPAAGEQLQKIAERAGIDYRFKMVSCRAAEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDEILRRVRALGPQVVALVEQELNSNTAPLTTRFADACAHYGAILESLDVTFPRESAERARAEAALAGRAANAVGREGPERLERGGGFGKGRARVGMAGVRPVALGPGIADQVLARQGPVVAGFAVKAENGVLRLGWMGRVVTVASAWR >OB04G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16454140:16456849:-1 gene:OB04G29630 transcript:OB04G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMLWRCIIPGKEGTDWEGGYFPLTMQFTEDYPNSPPSCKFPSGFFHINVYDSGAVCLSILSSGWKPSITVKQILMGIQELFDDPNPMSAAQNLSYELYKKNMPEYRKCVRQQAKKYPSAL >OB04G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16458407:16458595:1 gene:OB04G29640 transcript:OB04G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARLGGGDMPAPCWSGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGG >OB04G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16462214:16463218:-1 gene:OB04G29650 transcript:OB04G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTPATKMSPCCPCLTQGLLFPAMTTTTCLSRGAFLLLAVAALALPQELQLQDAVLIDDVLQEAAEAWYHGKHRRTGVTYPLALPGSLSGIVADVARFRAGSLRRYGVRRFGEFSVPPGLAVRGRASHLLAVRANLGNLSSVFDEYAASGGYRIASPVLGLTFYGLAWRGGTPRLEVLVAGAAIRVNFSMAVPALQPGIVPLCMAVALNGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGVVAEAGEVSKWKLALFGAALGAGGTVLLGLVLVAVLSIQRRKSEVAEMARRAYEEEALRVSMVGHVRAPSAGGSRTTPDALENEYCATS >OB04G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16470682:16477248:1 gene:OB04G29660 transcript:OB04G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGNAGINKTLEKYNNCCYNAQGSNSALAGGEPQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVNDLRRKERQLGELNKQLKNKLEAGADGSSYGATQDSWAHGSVVSGGTVLNAQPPLDIDCEPTLQIGYYQFVPPEAAIARNKADYAGGGGQDNNFVLGWPL >OB04G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16479785:16480282:-1 gene:OB04G29670 transcript:OB04G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVLLCSEIPRPVVALFKLFQAVALAFVLALCFLGLYEFPYTAEDHAPLIHGRRRHPLGDGLQPEKVKQSLPLVEYMHLAELSPSPAAACHDGESGYPTTCRVCLEGLEATDEVRRLGNCTHAFHIGCIDRWIDLGEVTCPLCRSHLLPRQRRGLLGSRRFG >OB04G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16485277:16485726:-1 gene:OB04G29680 transcript:OB04G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKLLLFLDILAVLRFLVAALLDRLGIVSIQDNELPAGQLPWGDGVDTVAMERLMEAALSMSRYRLRRRRASPGGDDELMAAGGDGSAAICTICLAGLEAEGGGGCQVAELSGCSHAFHASCIDGWVGEAGTCPLCRTPVLPPLHMPA >OB04G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16494609:16500745:1 gene:OB04G29690 transcript:OB04G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHREKLPEGYERPESDRPRLAEVVTDSNIPVIDLASPDKPRVVAEIARACRTYGFFQVTNHGISEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCRQVRQLGFRLLGAISLSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPNVAGLQVLRDGGQWIAVDPRPNALTVNLGDQIQALSNGAYKSVWHRAVVNASQERMSVASFLCPCNSAVISPARELVADGDEPVYQSYTYDAYYKKFWSRNLDQEHCLELFRGQ >OB04G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16501990:16503817:-1 gene:OB04G29700 transcript:OB04G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIGKPLLSDLVAQSGQVPPSHIRPVGDRPDLDNVDHESGAGIPLIDLKQLDGPDRRRVVEAIGSACETDGFFMVRNHGIPEEVVEGMLRVAREFFHMSESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLEDFIDQWPSNPHSFRQVVGTYSTEARALALRLLEAISESLGLERGHMVQRNGRWVAVNPVPDALVINIGDQVQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPADGLVDDGHPLAYRPFTYQAYYDEFWNMGLESASCLDRFRPEKRQDD >OB04G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16515645:16517770:1 gene:OB04G29710 transcript:OB04G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G46850) TAIR;Acc:AT2G46850] MSPPVPVLRLSVFLIVFFKTSAAQAADPSSSSISCGGHERCGDLLLPFPFHLNSTCITGTNSSHFRLSCDPNATLPLPLGSASFRVLDFLPSGSLLLDYAPASPSPCDLVYAAFSRPNAPAAALDAAPFLAVTPANVLRLYACEDSSLCRAGCEDVATCGRAAGGAKSGCCYPLSDGSLWKPGDGLGVFAEFGCRGFSSWVKNRSAVAGAAPGAVRGIEVEWAVPKGSEMAKCADGAALVNATAVRGGVRCVCAAGLVGDGFAQGTGCSKGTSCSDGGQASDGRECCQGRFCSNKSVALAGFFVSLFFLAAAVSFWLFLRQPSKDTLWDVDPACIPKILGSVCNARQFTYEQLDEATKRFDGEKAVSVVDGTVHAGVLDDGTVVAVQRIGYETREKLRLVLDRVELLSEVSHRNIARVVGFCPDSSNALLLVHEHFAGGTLEDHLRQVRGRVLSWYHRVNIAIELAGALTYLQAHEAAPTFLHDLKSSEIFLDDSFTAKIAGHKLARPVAYYCASYDQDVVCNFGHLLIELLTGLRHQHPFDSVAPKVREGRLHEVIDPTLLTGKQLPAPNEEVRKVFELAVMCLLSAENGLCMLGVAKELMQIVRNNIGSSSKIEISLEGTFSSSSLLQMISMSPETMHHHLPS >OB04G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16518445:16521524:-1 gene:OB04G29720 transcript:OB04G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase of the inner mitochondrial membrane 13 [Source:Projected from Arabidopsis thaliana (AT1G61570) TAIR;Acc:AT1G61570] MDSFSSPSSAGSTASTEHLMEQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGTSLSGSESSCISRCIDRYIEATGIVSRALFSMQR >OB04G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16533587:16533853:1 gene:OB04G29730 transcript:OB04G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYCRVSRWHCPRCSQQTHTLYLLTAVKRRRRRRKEKKSVCVYISVHTRSPSYCLVHTKDKNRIATKTTPVRDVRLRTTLFVLLQQQ >OB04G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16534985:16536243:-1 gene:OB04G29740 transcript:OB04G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATTSSRFSKGEEEEEEGEQEMEMEQEQDEEEDEVEAEAEASPREIPFMTAAAAATASSSSPTSVSPSSAAASTSASGSPFRSSDGAGASGSGGGGGGNDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFGFDFRNVNSAVPARQLVFFGSPGIPPHPPLPPPPPPLHFTVQPSPVVTSGLPMVLDSVPHVNNPAAAAKRVRLFGVNLDNPHPDGGQSSGHGQDANALSLRMPGWQRPAPLRSQELPPHFSAGAAGAESSAASSPSSSSSSKREAHSSLDLDL >OB04G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16567552:16567920:1 gene:OB04G29750 transcript:OB04G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVVAELLEEYTVLVARVLEQLFNDAPFPRRMRFLMLRSLPFVPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLRAAAAAPSAAAARPPRHQPRLIDPTRLRRRRRAPPTPN >OB04G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16570620:16571291:-1 gene:OB04G29760 transcript:OB04G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAAGKIEAQYVEMKVPLYSYGCEKKIKKAMSHLRGIHSVQVDYQQQKVTVWGICNRDDVLTAVRKKRRAARFWDADQPDLGEDSMPADARKHYLQAFTAYRFRKSWKKLFPLIRL >OB04G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16572791:16579293:-1 gene:OB04G29770 transcript:OB04G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRGSGAGLETGGRHERESLQGQEFSSYVPSVGDIIILSDVKPEHISDITRNGRPYLVAFVTGGDEDDDYPPAKYVIISSGKIYPEDAKGKHSKKNQLFAAYLLNIVTHIRIWRCLDFNAAVKRNQSLIQEMVHCPLVANIPQKQRKDRSIDSMDIWSKLSTMDLNNSQNDAILNCISSMHRSNNNSSFSLIWGPPGTGKTKTISVLLWLMREIAHGTLTCAPTNLAVKQVASRFLKLIKECSLDRTSLGDVLLCGSKQRMCVDGDLKEIYIHDRVRKLLGCFVPLTGWRHRLSSLTDLFQNGYSQYLKHLEDQKKDDSLSFFAYTRKIFTATYPELRRCFKEILFHVPKSTILEMNYNNIISLLELLEDFNRGFQEKNIEGKVKDIFMYNNDLSDSGISSLTNFSETTISLGKIRIRCLELLNMLLCCLKLPITSSKRTIRSFCLKSASIIFCTVSSASKINNKKLELLVVDEAAQLKECESLIPLRLPTLKHAILIGDECQLPATVKSKICEDALFGRSLFGRLSSLGHEKPLLNMQYRMHPSISIFPNINFYDGKLLDAPNVKQKEHRKKYLPGTHSKTKTKVTVGVICPYTAQVLAIQQKNGKMKFDPVIVKVNSVDGFQGGEEDKIILSTVRSNSDGVVGFLSNRQRTNVSLTRARYCLWILGNATTLSSSGSIWTNLVRDAKDRQCFFNANGDKDFSLVLAKHRNENKKIKDRKTARSKVRNSAAVWVQVPSRSGLKEQNPSTSTGVGSCRKDTEEIVEDITVRLSDLQIG >OB04G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16581653:16586371:-1 gene:OB04G29780 transcript:OB04G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRSGTRQGNKRPDDDDLVNIIFTWSLEDVMNQNLFVDEVNVIPDRFVGLKSYLEAFRAPLLEEMRAEMSSNLETLPNGSSAKHIQSLVGVPAGVRKCPLYRVTISGYRGACAPCIGDIVVLTKTMPRRPSDLASKGADSYCLAHVKEIVTRRSFIIRASKKIEDENSYAFAASLLAFIPYARIWRCLDYDYALKRNPPLVMDVAGVAVQNTSSMGSSSHRVNGGTDELVSRLPAFGLNESQAGAIQSCVSAMQGSGGSSSTSRFSLIWGPPGTGKTKTISVLLLLLMTTSTNRSRYRVLTCAPTNTAISQVASRLLALRKQHSAAVGGGCHGDLLLFGNKEKMGIDGDLKEIFLDNRVKILRKCLSPATGWKQCLRSLEAFLCFPSVLRLQYAAACGETGLQESSFVRSKFHDIFQKLSRCFHTIMAHIPKSVILEKNYKNIVLLTTILENFSKLLGKSTPRSEVLADILLREKDPDGSDGRVEYSELIANLRQSKTQILGVIRALLLGMKLPVTSFHLKIKKFCLQSASLIFCTVSGSAKLHGQNMDLLLIDEAAQLKECESLVPLQVSGLKHAVLIGDECQLPATVKSKAADSALLGRSLFERLTILGHQKHLLNMQYRMHPSISIFPNLSFYDQRILDGPNVIQVRHERSFLKGAMFGPYSFINIENGREDHHGRSKRNMAEVTAIKKILYGLYQACVGTGEGVSVGVICPYAAQVEAIHSVIGDASARRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGAIGFLSNRRRANVALTRARHCLWVLGDAATLLGSGSVWGELVRDAVDRRCFYDWDGGASLLRAARWCGQEYQVAGGAAEPSTAPAFDTSLGVPGLSGGADICDALASLQLA >OB04G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16591904:16593730:1 gene:OB04G29790 transcript:OB04G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13770) TAIR;Acc:AT5G13770] MAKCYSDWPPLPPLHPSRRTPSQTSLWAIRRQLASFVLHCSRSCASPLLEPKNLPDEFHAVSASTPAPVPVPVSPLPDAPKLGISNKFIRGLCSDRQTEQFAFECYRRALHQPEFRPDKKTMNALTVQLLRAKQWSSLELLVEDFRAYGVLPERRTCARLVASCIKARKFGLADMVLGVLERKKGAPAAAAFSSAMQAYNKLQMYRSTLLVHERMRAARLSRGADAYRAVMAACGALGKPEMAASLLKQYRSQKWYPSESCVETYTIICDALGRAGRASDALKCLREMEADGMSPNATIYSSTIRSLADAHETSAAEDLYNEAWTNGMLGDPDMFMKVIIMHVEAGQVEKTMGVAKDMREAGLRVTDCVLSTIVNGFVKRRGLKPAIRAYDKLIALGCEPGQVTYASVINVYCQLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKIRRASDATRLLAVMKKKGCEPNVWVYNSLLDMHGRLGSSRQAEKIWKEMMRRKVQPDRVSYTAIINSFNRSGELDRCMDLYQEFRETGGNVDKALGGLMVGVFSKCSRFNELIELMKDMQGTRLDRRLYLTVLRSLRDAGLEVHEKWLETNFRFVEEKT >OB04G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16593179:16593739:-1 gene:OB04G29800 transcript:OB04G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGFLFHESEISLEPLLMDLQPGVSEAPQDGQVQPPVQSRALHILHQLDELVESTAFGEHPYHETTQGLVHISSRLPELLVQIHAPVQLSRPVERVDDRGVADPVRLNLAPHHLLPDLLSLPRASESSVHVQERVVHPDIRLAPLLLHHSEQPRRVRRSPDLPIHGDHVTVCNHTLVEASVNHL >OB04G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16594865:16595649:-1 gene:OB04G29810 transcript:OB04G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWAQLPDDLLDNVAQRTLGIKDYVRLRAVCKSWRSFLRPRSRPPWLMLPYDPCSESCVRGFLDVSDGTVHEIDLPDTRGKRCCGSLHGWLVLERWPDVWLLNPAKRERVQLPSLTRRGEALAPSRFMKRGARERWEDCAYRSLRRPLFQPEVRRAALSSDPSIDGECTVVVPRRRGGGGVLQTDGRVVDASRVPGGSLRPRGRGMPERRVPPRQPLRARSGVRPRLSAARGAHAPGKAPRPAAHVGRPVSRPARWWL >OB04G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16599463:16600808:-1 gene:OB04G29820 transcript:OB04G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMMFSRSKERREEMIRCDEQEEDIGCPSESELSLSSSSEGVELADDASSSGSSASHSNFEMSALMTELPLKRGLSKFFDGKSQSFTSLAAVGGLEDLAKPMRKRLKTSRSCGVGLQDAHRRGRLSPRPLCRNASATASFKKVSKGQLSVLGASRRTRPPVMAVSPRPEGVPGQALLFA >OB04G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16606881:16610451:1 gene:OB04G29830 transcript:OB04G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A13 [Source:Projected from Arabidopsis thaliana (AT3G03220) TAIR;Acc:AT3G03220] MQTDEHLGAMAPCLLLVLFLLPVLAAGHQHPSSYGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNFGLPADAGGVCNPPNHHFLLPIQAFEKIALWKAGIMPIQYRRVKCLREGGVRFSVAGRSFFLTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDFRGQPLSFELTSSDGNTLTSYNVVPKEWDFGKTYTGKQFLL >OB04G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16610995:16611615:-1 gene:OB04G29840 transcript:OB04G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3M0Q9] MNPTYRSPLPGSAPLATSSIRATTLPSNQTPLISIQRFIPRSHGSIQKTPPAPTFQFQPKISDSLPNPSLYIHRRNSQSHTTLLPHLHQIPTAQRGSGGEVSVMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB04G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16615821:16621713:1 gene:OB04G29850 transcript:OB04G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVSLKKGAHLLKCGKRGKPKFCPFRLSSDEKILVWYSKDREKHLSLNSVSAVVLGQKTICRDRDQAECWFLGLTALTSSYSPLLLAVSKGSRRINSCASSPRSYLQRKCRSGALDTPRHTQVYPAYGSPKIKQKKIFGSNLDGSEALFYPRHPTFHDVDSYIENLNPKVANPVKHGLKDIMVANRRAQKTTETPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKMLDVQSIACGENHAAIITKQGEVYTCGNDSCGGSDQKVNPKIVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKLFGPMDNVSVLKIACGEWHTAIITCSGQLFTYGDGTFGVLGHGDTHGVSRPKEVESLKGSRVKSVACGPWHTAAIVEVMSKSKGNAPSGKLFTWGDADRGKLGHADKKMKLLPTCVDSLTDYDFIQVSCGTALTVVLTVTGMVFTFGSFRHGQLGNPQADGESICTVEGPLKTEFVREISAGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYINRSSPTLVEALEGRNVESIVCGFNFTAAICLHKTMSMKEQSVCSSCQMAFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTYRRYRVCDVCFCQLNKVVDSTKDKPGLKISKGEMFKLELKSYTPKFSRLFKEANFVAEKMASVQGVSQKNEEPVTPVQTKTERWGQVECPSQFISAQDSFQTQTVSNNEEYGNFFSQRMHDSAMLKSGNSLRFTDIQREEIKMMQTELKEQVKQLHSQVAVLTEQYQQKSAMVELYKQKLDDTWLVVRDEAARCKAAKDIIRVLTDQCNALSKKLSIGQLSENSKITPDSTPRQPIKIELQGNTGGYLVTCKLSQLSNTQNHGGSIQTEKELLPPSNAVVPGDGPFSQQIGASRFANDGHTTEAQLAVAPIDNVTEQIEHGVYVTFSISPCGKKDIKRIRFSRKHFGEKEAQHWWEENKTRVYEKYKVEPMATPSVTVSCSSTR >OB04G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16620931:16621313:-1 gene:OB04G29860 transcript:OB04G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVQPCTFHIPWSCSLPTNAELPSHQNASDCTAKNSGGYRKRDIYSMLNLFGHIINWSDGQLCFRGVTIISKSAGPNLLTKWSISGHNSI >OB04G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16621763:16623818:1 gene:OB04G29870 transcript:OB04G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G73530) TAIR;Acc:AT1G73530] MARARAAVIPVAAPSRTFSVSLAAYPSSPSSSHPSRPVASSGVSCSQRRLPAAAARSHPPPSASSRLYVSGLSFRTTEESLRNAFERFGQLTEVNLVMDRVAKRPRGFAFLSYTSEEESKNAMEGMHGKFLDGRVIFVEFAKQRKAAPPAVHQFLLICEQY >OB04G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16622037:16626403:-1 gene:OB04G29880 transcript:OB04G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETKAMNDKGHVADHVGHQDLMEKLTDPLDSGVMDMMDEARIPKARKPYTITKQREKWTEDEHKLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIKESSGDNCNSLGAAPSIQIPPPRPKRKPVHPYPRKLGSTASKNVSALKQLEKPQLQVQSLYDQDNGSPTSVLTVAQIRHDTLGSDSGGSPASTIDIEERSPTPSTTTAELAVELPPANAEEVKGNGNCKEVTCDKSGAPVLRLFGKRVMVSDLHQMSTPDTGNLQTVADMEVDASAETPTSGTGKFSCHGAAEANTWNPWLTNTHQFLYYLPNGQFFSVHSALPCFSYHTEGASSPQMSHPQVVASNQHHQHQAYEAVDYKCMQREGSWTESHSASSSVAETTARNSETTESYRNGDRNNDWMIPLPDSRKYVSPGSNCKRGFVPYKRCVADSEALLKSQAPQEEADGEMTRLCL >OB04G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16627833:16628432:1 gene:OB04G29890 transcript:OB04G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRGHFWYACPVLPELKTSKGLKISWELELIRETNPALFWINQSMNRTLVMSPFLYELCFYTLLSTRRRIWWQHVNLAARETGLSLFGWIEREEKRIEGELSGDPICFAFWTRQKATRDTQLPTHRLPHVAQPEAHSKRNPLFVVPSREEQMDDPWPQAYGLAQQGSERHLGHMFWRPHRSLFSPLAFFFSWVEGPM >OB04G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16633234:16634975:-1 gene:OB04G29900 transcript:OB04G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06740) TAIR;Acc:AT5G06740] MAFILRSNPALPSNSSEQWLGICNSRTDGEPTNRIVAVEFDTRVSNGETDGNHVGLDVNSIRSLEMYPLNNISLLLSSGADVQVSVTYNGTWQVLVIVLIQIDATGAHYGSQGWGVDLSDDIYVGFAGSTGDFTELNQIKSWNFVTIDDGVTTNRGHGRKVFLSLVTLSLFATCLVAVFLVWRRSTRQRRLAYRNLEKMIDAHGPFRFKLKELRHATENFNSSRKFGRGGFGTVYHGYLNSMNMEVAVKRVSSNSSSSSNRGEQEFVAEVNTISKLSHRNLVKLIGWCHEGGELLLVYEYFPMGSLDKLLYASARSAATANPSASETTGATVLTWERRYKIICGVASTLEYLHHGSSRRILHRDVKASNVMLDGEYNARLGDFGLARVIQLDDVTHHSTQAVAGTRAYMAYESFFTGRASLDTDVYAFGVFVMEVLTGRSPCGSVTYHNNQEHCYDAGGRRGQPMYIVDWMWRHYGEGTVLEAADAVLGGAYDEAQVERAARLALACCHPSPRERPSMRTAAGVLVGGAPAPDPPFEKPAFVWPPDGKQQEIELPHVGVLFTGGQLSFCSMTSISITGR >OB04G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16638077:16640782:-1 gene:OB04G29910 transcript:OB04G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G06750) TAIR;Acc:AT5G06750] MWPWLERIAAACWDRVRRYALTRRDEEDGSGSGGDANDLLLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETGAAATFIGVYDGHGGAEASRFISNHLAAHLVRLAQERGTVSEDVVRNAFSATEEGFLSLVRRTHLIKPSIASIGSCCLVGVIWRGTLYLANLGDSRAVVGCLTGSNEIAAEQLTRDHNASMEEVRRELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKQEFALDPSMTRFHLSEPLRRPVLTSEPSIYKRVLHSQDSFFIFASDGLWEHLTNQQAVEIVHNNPRAGIARRLVKAALKEAARKREMRYNDIKKLEKGVRRFFHDDITVVVVFIDHELLQEGGASAPEVSVRGFVDSGGPSSFSGLNGIS >OB04G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16644543:16645031:-1 gene:OB04G29920 transcript:OB04G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFIVLEKVPNTKRYQIILYTVITIGRAKQECRPSSHMVARSSFSVAAAIRERGEREVDATASALRQPKGRAPTGRREDHLCSGVRQLATTTTAFLLPIQNGRGERGQCHRCTATAEGTTGRPNSTWTASALASDSPRDDDADLEREGSERLTPPPACRDD >OB04G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16645918:16649397:1 gene:OB04G29930 transcript:OB04G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3M0R8] MAPPPSSLLRDLLAADGFRTRRKPPDSNPPAAPRTSSMPLQHRRPSRPARSQSDVLTRSLLRETNDVGTSGGNGIDVADEEQQNATRRSSASLTSARSYSNNKDTISGATKGSAAIPALDESVLTALIALVAGSVKRFVKDEGFRASLRGGCMSCLGESNHRAVLDLRVIVHTVERAASDGGLDPRDLKRASLKLHAMVSLDSKEADAITAAGVPHHRLAACAHLYMSVISKLQKKDHSSAVHVLEAFCLAPHEARTSMLPALWDRLFRSSLSHLRAWRDSESAAAASSDTKVKDVEKKFVAVVDDGTRALACYYRDWLLGRTEVMSLPVVPAPPSTVPASAPRFSTSTSYDIGSDVACSSGSYSPATKFALDETPLQFDQEEEAVVGEKTADAESVFLECDDTEAKSHTHTFQTQKNEPMSDELAKASEQETGDERSRQPDESTSYVPISDITANELPTLEFCEVPLQSETDVSQVSIFATIPNDFLCPLTRQIFNRPVTIETGQTFERHAIVQWFDRGIKMCPITGQELESLSIPDINRVLKRLIDNWKSENCKTLVSESTYPEEKLTVRVMRNVLSAERKTSENSENTRYLMAIGGIDFLLHRFHGGGEEEKAQAAELLVLCIRAEGSCRNYVAIRLSSSSVVQLIHSEVISARSSAVRLLIELLCLRRFCFLSMTPKRILYTCMCFHLTSHCNCYRREMVELFLRGLRTELTMETMNLLLEHTRSSSVEEQALGAVLLLHFDRALVEPHRDSVYREEAAKIITHSLRCCLSEENAVANTRKALLLLGGHFSFSGDLLAEDWILKQAGFVDGSRGTHADSDAAVQDKEGDEDEAWLRDVTAVLLGRGRRPFLEALSMCMGSPDHGLAAACLTTAAWLSRSLQSIDATDVQLAAFLALIPRLKQCLAAGDQPQTRHHRVLASVTLYNISKIPDCRVLLMLLADGLRDHLGELAELTWTAGQLIAELHE >OB04G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16654595:16659440:1 gene:OB04G29940 transcript:OB04G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLIRSKYLSFKQTSHRQDTEDNAAAGADAETGLGSVVAAAGRDLYAMGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDNDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKEYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLITKMLNPRPKERLTAHAVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >OB04G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16659308:16661905:-1 gene:OB04G29950 transcript:OB04G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQALADALRSCGSRGALAGARALHGRLVTVGLASAVFLQNTLLHAYLSCGSLSDARRLLQADIREPNVITHNIMMNGYTKLGSLSDAADLFDRMRTRDVASWNTLMSGYFQAGRFLDGLETFMSMHRSGDSLPNAFTFCCVMKSCGALGWHELAPQLLGLLWKFDFWDDPNVETALVDMFVRCGSIDFASRLFNRIERPTVFCQNSMLAGYAKLYGVDQAIEFFKGMPERDVVSWNMMIAASSQIGRFRQALDLVVQMQRNGVRLDSTTYTSSLTVCARLSSLEWGKQLHAKVIHNLPQIDPYVASALIELYAKCGCFNEAKRVFSSLHDRNSVSWTVLIGGSLQYRCFSESVKLFNQMRAELRAIDQFALATLVSGCFNRMDLCLGRQLHTLCLKSGHDQAIVVSNSLISLYAKCGDLQNAELVFSSMPERDIVSWTSMITAYSQVGNISKARQFFDGMTTRNVITWNAMLGAYIQHGAEEDGLKMYSAMLSQKDVTPDWVTYVTLFRGCAEIGANKLGDQIIGHTVKARLILDVSVANAAITMYSKCGRISEAQKLFDLLNGKDLISWNAMITGYSQHGMGKQAVKIFDDMLSKDVKPDYISYVAVLSACSHSGLVQEGKLYFDTMTRVHDISPGLEHFSCMVDLLGRAGHLNEAKDLIDKMPMKPTAEVWGALLSACKIHGNDELAELSAKHLFELDSPDSGSYMLLAKIYSDAGKSDDSAQVRKLMRDKGIKKNPAYSWMEVDNKVHVFKADDVSHPQVIAIRNKLDELMEKIAHLGYVRTESPRSEIHHSEKLAVAFGIMSLPAWMPIHIMKNLRICGDCHTVIKLISSATDREFVIRDGVRFHHFKNGSCSCGDYW >OB04G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16662525:16666149:1 gene:OB04G29960 transcript:OB04G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT5G65960) TAIR;Acc:AT5G65960] MESGDGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWNIDTKYYSADLSVWTAHLGQGFSLDSLPHLDQLAALVMVFDMSDESSFLTLQSWVSNVDVQRFEILLCIGNKADLVPGHGAHVEYRRRMQKNGESSTDPHPEYVDFGINESEGCGLLSEEEPHIEIRGSTSQWCIEHNIEYVEACASNTDFDKCLSVNGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEESTDDESNYEFEYEVLSNGSDEQWEFIGESSTSRDLEGLNEDTATHDKTHQAVYADANSLAPNPRPNGKMTETPEEKPVSQSDKSEVSNNMENTQADSSEELQAGIPEVNKPFDDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMAAMFGDNDEEGSEEI >OB04G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16664976:16668337:-1 gene:OB04G29970 transcript:OB04G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiple chloroplast division site 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) TAIR;Acc:AT1G20830] MAPASVAVSLSFRLVPPRTCRGSGRTRRLRPIRASSDGNGVANGDRRVAALEKRVEDLRAVVASVPPAVAAIRQNIGLNFIAGFCLGITLLAAVARQVIIRIHERDNKGSVADLIRRGQLKSGQRGMAKLRVYDDPFNNPLVKIDEGASTAQMFGKEYRLAPVRLTKEEQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASNDVNKFSSSQRNMQRSDRQLRLSSESSGNLQNSLSGTEKNQNGQPTLESEKHSTDGNSRSKNLEEQ >OB04G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16675356:16676069:-1 gene:OB04G29980 transcript:OB04G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVPMVARKLLEQATAAPPALGGSIADDRDIVIILASLLCALICVLGIGLVARCACSHRGGGGGPDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRRLRRQVAARALLLPLVPPDPGPPASPRRAVPALRRAAGASRRRQRGLEADPLQRGPALLAVEARALRRFRARARKRNRETDQSISLRWSNFSFAC >OB04G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16681566:16686969:-1 gene:OB04G29990 transcript:OB04G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT2G33640) TAIR;Acc:AT2G33640] MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDLYQFIAIGVYSFLALSVLILYVRCTAIDPADPGIMITVNGALTYKSEANLDSQEETSKSELRTCEDTQKNKSCLGSGCFCCAMYVKEDCRKEDDAYQQEDYGEEALFCSLCHAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRALFAAIVALGTALSVLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDSMNPAERAKHHPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNSGAQYEADQCSSDNASCRSSVMSADFGNHINTRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNPQTPTPISEHHPAKHFNPIYQTSANRSPFSAVASGVNEVSVSDITSRRFGAPNAERSSRSSVYWDQEAGRFVSAQANQGSSSRLPRTDLLYTGQSIFFGGPLMADPATRSFRDPGGSSQRAGASRPHQLPVFVPSDTQKDRFSRLP >OB04G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16690737:16694678:-1 gene:OB04G30000 transcript:OB04G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3M0S5] MKFIFHLFGILCCLCSCAQSKTMSRRPDSVSIGAQFARNSTIGRVAAVAVAAAINDINNDSSILPGTKLDVRMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMTAIADLVQYYGWKQVTTIFVDNDYGRNGISSLGDELSKRRSKILYKAAFRPGATNNEIADVLIKVAMMESRVIILHANPDSGLVVFQQALKLGMTSSGYVWIATDWLTSYLDPSVHLDFGLLSTMQGILTLRHHTENTRRKSMLYSKWNELLKEDSGHSRFLLSTYGLYAYDTVWVLAHALDAFFNKGGNISFSPDPKLHEISGGGLNLDALSIFDGGQLLLESIYQVNFMGATGPVRFDSGGNLIQPAYDIVNIVGSGLRTIGYWSNYSGLSVISPETLYMKPANRARESQKLHDVIWPGDTIKRPRGWVFPNNGNELRIGVPNRVSYRQFVSADSETGMVRGFCIDVFVAATNLLAYPVPYRLIPFGNGSENPSYMELINKILTDDFDAVIGDVAIVTNRTKVVDFTQPYVESGLVVLTSVKPQSSNGWAFLQPFTNKMWAVTGLFFLIIGTVVWMLEHRINDDFRGPPAKQIITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDVPIGFQVGSFAENYLAQELGIAHSRLKALGSPDEYRNALELGPSKGGVAAIVDERPYIELFLHQNPNFAVVGSEFTKSGWGFAFPRDSPLSVDLSTAILELSENGDLQRIHDKWLASDMSSMSQPNEDSDRLQVYSFSALFLICGLACVFALAIHACNLFYQYSRHASEDPAALQPATGDGGGRSLSRRSKLQSFLSFADSREADIRTASKERAAALGGSGGSMSGVSFTSNGSTSTTASC >OB04G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16696367:16703819:-1 gene:OB04G30010 transcript:OB04G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) TAIR;Acc:AT2G17250] MGRSSSATAAGSKKRKSKTGALTLGEVKSIGQELLTSRAHLNHVPALLALLSPTAPLDLALEALISLQSFFVPLLPSIPSSATAAAAVGDADPELVFRSWLRKRFDELVAALVEFAVSPHSDEAIRDLALDALMDFAKLGKDGRFQSAIYHKLLHNLIHGTDSIDPLLELLGPKYFKYADVCYFTYTSIDKIASSIGNKTTGSEKNGLHNGNDGTEDRGPIYTRNIYNTLAHIPALDLQESKFNMWSTVGLSSKGEKNSSEDYSATYINKKLKSKLTKAWISFLKLPLPLDVYKEVLATIHQNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRAVFLQLLDACLKSSYLPAYLAAAFAKRLSRLTLSAPPAGVLIIIALIHNLLRRHPSINFLVHWEIDANDSETAKDANQSKKVGTDPFNNEEADPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPICLFQESDFPGWTFRDRSKNMAETSVEGNVIGTVGISDSSPAKRLRLEA >OB04G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16705393:16707700:-1 gene:OB04G30020 transcript:OB04G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAATASLPPPFRRGRLSTSHGGGGGVSRPLRLRLRRRALVCRANLQDDAPFAAAIGACLLASLVLPASRGRGEEEEEGEFGATDTRMGVMGIISFLPYFNWLSWIFAWLDSGKRRYLFYAAAYLAPYLRTNLSLSPEESWLPIASIFACILHIQLEASIRSGDIEMLTFTQRAWRQIFPSALKKKEARHGNKGKSIRKGNGSRRIPSAHESREKLRNSDIFKRKLDETNDKKQNKSDWN >OB04G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16711942:16713597:-1 gene:OB04G30030 transcript:OB04G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGESGSGGGSRGEITLARGGGGASAAAWTRLVSSGVEDVLVVRAGGCPGVVVGGGGDGAGGLLLPYGHFLEACFLCRKPLASNRDIYMYRGDIPFCSEECRREQIEMDDEVERKETKNISKKVAPRTPNPREVESTPRPPKARAGSILAG >OB04G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16713332:16713589:1 gene:OB04G30040 transcript:OB04G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLASGFRQRKQASRKWPYGSSSPPAPSPPPPTTTPGQPPALTTSTSSTPELTSRVQAAADAPPPPLASVISPRLPPPLPLSPP >OB04G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16726367:16726848:-1 gene:OB04G30050 transcript:OB04G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAVKPSSMFYVHESDGAPIHHFLEECSLCCKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSLRKEHRHSHHHSHRHHHQQPQPRAAIDANPWADAGFTARGPALRV >OB04G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16730572:16731105:1 gene:OB04G30060 transcript:OB04G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFFHINRLQVTLQAHTNEHRLHGRAIFLRFATEQRNKNSHTELALHLLVAEIKRKGSRSVWLRQRTQSVELFDPCVLDWIFLHPHGRPWTNCLLMPSVLELLLRSIVSRPKLFTTPRPGSSGGSASSASVSPALPSPSASISVLSPRRSRSVPACTPRYRRACRLCKSRENHNAVRN >OB04G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16730374:16731451:-1 gene:OB04G30070 transcript:OB04G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHPASIQDDIEAGFSGHSASPVKPASSPRRPGGRPFCDPCDDAGDILSSPTTTTSTSASDAGDPSAGTETSSCTEATCPSVARSAGRNRSRSTRRENRDRSRRGGQSRRDRGRRSRATRGSRSGRGKQFWSRNNRS >OB04G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16743634:16743928:-1 gene:OB04G30080 transcript:OB04G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLACAFFFDAEPVGEQGRHALDACALCTKPLARDSDIFMYKGDTPFCSEECRYEQMQLDAVYARSAGRRQQQYSGRTESRRGQRETRKVSVAS >OB04G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16748153:16748458:-1 gene:OB04G30090 transcript:OB04G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVACAFFFDAEPVGETGRHALDACALCSKPLRRDSDIFMYRGDTPFCSEECRYEQMHLDAACARQAASARRKQQQQRGRHEAAAASVSRKAGVSVASC >OB04G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16749679:16753052:-1 gene:OB04G30100 transcript:OB04G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEFSSGTRQSMGAPAMQSFERRGTSGEMQNRLLEREAFGVTMASAPPASNDPTLPMRTAAAARHGGELPFDSFLYIIRLLAFSSVFGLLDHSAAVGSCTVMAALPSRTMPAPAAAGDRNDRAITHQYGPTGWLIGTIVHGVNEEIGELEAALDQEVRCRMATEQRERELEEALLAAAEREQQILEAAQQLSQACLAAEQREREQAEALLAAAEREQQILEVAQQLSEVYLAAEWRERAVAHQLVQTTMATQQKVQALEQELAALRQLLQAVHRWRGMHRQNIDNSSSPAPARRYIGGTGDIADATRQHQPLAKLSSRLFMVSGRDNHGHRDNLAVLLVSETIRRSHMAVVLQIVSWAHRWSGLDEVTLRIGVLGRVKVDVAIIGGCDLDLLGNSMRCLPLRRRRMVLRVGSSEVVGRLSLFHCAGRYKSLLDAERREKEGEDEAEKGGEVDRRSHDLSAGFEPHHASEKETSLVYPSFYQSLVWAEILRSCGPFGAASGRSSFWEKLQLEEAPQTGPLPL >OB04G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16758849:16760329:1 gene:OB04G30110 transcript:OB04G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTLFPWLLLLTVVSLAAADGGDADGSTVTGQIRPLSRLNVGGTAITPGADSGGFYRTWDNDAPYIFGAAFGVTFEKDSNVSIQYNPPSVPPYAAPEGVYATARSMGPVAQINLSYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQEQMDVIVWSGGIGRAVYTDYLIVTAVDSGQTDLWVALHPDLSSKPEYYDAILNGLEVFKLHKYGFNTLAGPNPPIPPKKINTVDGSRPESRKKSVVYAAVGGVAAGCLLAVLVACLFAYAIRGRRRKAEADQPADGLFGPTKSSTHFDPVQK >OB04G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16764220:16765302:1 gene:OB04G30120 transcript:OB04G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSPSPPSAGGAADPITGSTDPASSFLPSLLIIAALLTFVLLASVSIHLLLRLLSRSPPPLPRTRREERTVEAADAFSVRPSIHGVPEGKKEVGEGDEKQRLIESLPLFTMASSLAALPKSSPDCAVCLSPFTLDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRATVSIPHPSISAILASEHPPPPEPRSRDRSRSFRVEMGSVSNRSSSTASGGNSRPTYSLGSFDYNIDEEVEAVVSRAAPMTARSGAIVKDDKPAAEQSPPPPGEAGAEAAGATRGWLREYVDRLASSASSLSFSGRWSSRWSQSHQSQHSQRQEEPWLWDAEAVRMSPPGTEEEEETAFMVLYRWIAGV >OB04G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16764480:16764770:-1 gene:OB04G30130 transcript:OB04G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEGWGIETVARQSGQDGVVRSHASTQAAWNAWRHAGSSRSSASSVNGDRQTAQSGELFGSAASEDAIVNSGSDSISRCFSSPSPTSFFPSGTP >OB04G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16768102:16768861:1 gene:OB04G30140 transcript:OB04G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARAVLSPLVFPLLLLAAATFDASILEDTCKSLAANHTAIDCSYCIRFFQGSKESAAAAIPGLAAIAVKIIGEAAAPTTRRIAALQASEKDAKTRECLRLSSDLYAYMLALLDDEAKGLASGDAAKSSQSSQQHALPPVPDVARYEADACEDRFRGNKESLPLVAEYDEFRQSASIALALLEAISPPSD >OB04G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16769636:16770202:1 gene:OB04G30150 transcript:OB04G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRSLCALIVLFSLLLLLAASTSEASVLDDTCRSFTASHADIGYDYCIRFFQSDKGSATADRYGLAAIAVKISRASARRTAGRIAALRGTERDKRRKGCLASCAEVYGSAVDGLDEAARGIASRDAAGLRDAVMMLSAARDAPDTCEQGFGELREASPLIAEDEEFSKESAIALAVTSALSPPGMI >OB04G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16771849:16773663:1 gene:OB04G30160 transcript:OB04G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNNAAAGSNSSGGTNNAEVQIQIPGPSKAEGSAAAQETPGKPLRWWVMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVQTAGFPILFFALFFFPAKSPASCSNTPMAKIAVIYVVLGLIIAADDMMYSSGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTLSASLLGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLIISLMQLTFENIIKKHTFSAVLNMQIYTGLVATFASVVGLFASGEWRSLRGEMNAFRSGQFSYLMTLVWAAVSWQIAGIGVLGLIFEVSALFSNVISTVSLPVIPLFAVMVFHDRMNGVKIVAMLIAIWGFASYLFQHYLDGKKAKKASGDSAQG >OB04G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16775501:16776415:1 gene:OB04G30170 transcript:OB04G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVQSCGAPLVVPLLLCVRRPASSPVARPPLHKIAAIYAGLGVLLAGDNLMYSYPLLSLPLSTYSLICSTQLCFNAVFSYFLNKEKFTVLVLNSVVLLTFSAALVGVSHSSEETKSNVPEGKFAVGFVLTLAASAVFALILSLMQLAFDTVLGSSAAHAVLEMQFWSNTAAACVSVAGLFVCGEWSALTAELEGYKKGEVAYGMTLAWTAISWQLTTMGMVGLVATVSSLFTNVISTVGMPLSPVMAVIFLGDRMEGVKVLAMLVGIWGFMSYIYQHYLDDVKIRKRSESADGTQTAQAKLS >OB04G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16779881:16782472:1 gene:OB04G30180 transcript:OB04G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQEIQLQIRGVPEQESGHGENDSGPKAAGRGSMGGSVRWWLMVAVDMFMVLCGQTVATLLGRLYYNSGGNSKWMATLTQSAGAPLLAVLLLFTPPPSADEPRPAAAKMVPVYVGLGVIIGFDNLMYSYALQYLPVSTFSLVAATQLGFNTITSRLINAQRFTVLIANSVVVLTFAAALLGIGASSDETSSSVPRGKYAAGFVLTLAASAVFALVLSLLEATFEKVIRARTLRWVLRAQVWTGLVASAVSVVGLLASGEWKTIPGEMASFKNGRARYVVTLVGTAASWQVMAVGTLRLISRVSSLFANVTGTLSLPLVPVFAVALFGDKMTGIKAVSMLMAVWGFLSYAYQQYIDGRRAAAGKGRAAGCRVCAARASSEPDSPA >OB04G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16786346:16787185:-1 gene:OB04G30190 transcript:OB04G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRHPYEGGVGVCAPCLRDRLLAPAAAQNEASSVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGAGFVEGDIEFEIRRRSSKFSVLAALFGGHRHHGSEEKGGGKERKQRSWLAAIMSPWGLRKKDPAAASQPSPPRRSCHVVSNRGLSPVMGYADEGGEEGSSTAESPWRPSPSPMRKTPCRRRPGAAAAGVSGFAVCISPLVRPSPGRQHRGGHPPDATAFSGELGPSPLHRLSSSTSLQHCRSWKLADGGRFR >OB04G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16789006:16799349:1 gene:OB04G30200 transcript:OB04G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKQSQDDGGGEVVTWKISPVNDRLRMVTSKQMTGMALHPCSNNEKHSSIESTKKWHSSLLGMSRCTASARNLDMCGTAPGGCEGVEDTQSPFRTPPSLSYRCSEVASDGGPEQLGVGQHKKTLLDLLDQVEDAIMEEELPADVENKGQQLINGDTSNISSPIANSDINLPSQKPLDAPPFDSFLVLEVSEKRKGDNLPCDRYPVKVLRLLNEHRGKEYAVYLCDEWFHSLIGPGDTVSVIGEFTDQGICVVDRDKNLVVVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDIPSRQFLEQQAKEVLLKNIESLYACGASESNTLKMLFEAIPKILNWYKCFMKVSTCTSVDFGQTEGQKTIGVVEVMDIEEMAWAPRYGLKGIIDASVRTRVSCNGSSYDRVMPLEFKTGKGTSGLTAVEHNAQVILYTLLMTERYLSKDIDLGLLYYLHTDQTLGIKVKRSNLIGLIMRRNELATEILKASVSQCFPPMIQVHGGNATSSGLGDLFDNLVNHLTVAHHTFLKHWDRLIDLEARASQVKKKDIFQPHHSNPGRRNSAPCYFVLDIKNEHSIDSSGKSKRYIYTFLRQKMQSETADQPDAQVESVDFSLKCGDSVVLSTQSGRIAVANGYIRDLSRSHITVSLPRRLRLPGSNSLLEQEDLQCELWRIDKDESISSFATMRLNLIQLFAQNPQNSHLRKLIVDLEAPRFDSGGLLSQDPALSYIRSLPNLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKTEGVDFLRIGRHEAVHPDIKGHCLSTTDAQSVDAIKARMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGVSLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGDRLSCGSLEIANSKLKLSGREPVHLKLKEILNPDRAVVFANTDEVPALEAKEHRTVNNPTEAFIVSWITNELLKRGVAQDGIGIITPYNAQANIIQQHVDALVEVHTIDKYQGRDKECIIVSFVRSSGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAATLSTIPLLRLTVEMVAARGGQLDLTNKDVQSIRELKSSRLNVQ >OB04G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16800331:16801960:1 gene:OB04G30210 transcript:OB04G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPPQAEHISLVGPTAADLEATARLESLLREEGLYVTDEETAVREEVLRDLRGVVDRWVKRLTRQRGYPDGMVDQATALVLPFGSYRLGVHGRGSDIDALVVGPSYVDRDRDFFFVLAGTLAETAGVTELQPVPSAHVPVINMRFHGVQVDLLYARVCLPVVPGDLDLSDRSVLRGLDLATVRSLNGVRVADEILRLVPDAGAFRTTLRCVKHWAKARGVYSNVAGFLGGVGWAILVARVCQLYPNAVPSMLLPRFFRIFAQWRWPNPVLLRAIEHDDGKLGLHLPVWDPRRNPRDRTHLMPIVTPVYPCMNSGYNVSQATLRVITEHLGVGDAVCQGIVKAGGAGGWGELFRPFHFFDEYKSYLQVDATALGGEVDHREWKGWVESRLRLLSARVEADTSGKLLCHLHPQAYAAEPHERPCKSSFFVGLSKPKQRRRRPAVAAAQQKQKQLFDLRATMEGFTQEVYTYEYWRTGMELKVAHVSRKDLPSYVARQLRSGGHQLKRKRVDDESCSSSPSDGSDDPRSSSSSADIKRPAA >OB04G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16808554:16816922:1 gene:OB04G30220 transcript:OB04G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASMHSRVLAMIPDTAQQMLLSWGLLHDSSDFTKLQYLQEWTEMHSPCFWMGAFALIHLIFIMSILVQFLYKRIRWSRQRFKTTVENKHSYEEQKNTDIKLGIIYQASKVCCLLSLASHTVKILFWWLQGSICDCKYPTFVLGESVQMLSWIILSSAAFSFQKTKSAKLPLIIRIWWIFFFLQSITSVVFDLRSIFLNHEYIGPKKWINLFMLVICTLLFGISARGKTGVTLVDNSITEPLLSPSLGQLTETKRACPYGKANILQLVTFSWMNPVFAIGYKKPLDKNDVPDVCGKDSAEFLSDSFKTIINDVEHKHGLNTESIYTAMFLFIRRKAVMNAGFAVLSASASYVGPSLINDLVRFLGGERQYGLKRGYLLAVAFLSAKVVETIAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLFLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMGAKDGRMKSTTEVLRSMKILKLQAWDMQYLKKLEALRNEEYNWLWRSVRLSALTTFIFWGSPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAKYLQEEELKYDAVIEVPRNDTEYDVEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLAGTVKVSGTKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILVMQDGNIVQKGRFDELLQQNIGFEAIVGAHSQALESVINAESSSRLTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRTVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPATSATKPTVGLGLMFAVYITLSIGSALCVFARSMLVSLIGLLTSEKFFKNMLQCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLSGASSIRAYGQKDRFRKSNLGLVNNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGTINIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGAIEIDNIDICRIGLHDLRGKLSIIPQDPTMFEGTVRGNLDPLNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPSKLLENENSEFSRLIKEYSRRSKGF >OB04G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16810347:16810679:-1 gene:OB04G30230 transcript:OB04G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLNESERNSAESFPQTSGTSFLSRGFLYPIANTGFIQENVTSCRIFAFPYGHALLVSVSCPRDGLNSGSVMLLSTRVTPVFPLAEIPNRRVQITSMNRLIHFLGPMYS >OB04G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16817876:16818064:1 gene:OB04G30240 transcript:OB04G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGMIYLILPPFSHFREYSYVFFSSTTSHLNLSLFSHNHSHTHEHLHPPLTNLSYLIRDT >OB04G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16820913:16828616:1 gene:OB04G30250 transcript:OB04G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKDTAEQSGMHYTCSTEEPFPDASKDDHVNNRRKSSYGEATISQHFTFSWMNDLLAKGANKPLDEDDIPDVGKKESAQYISRIFSDIIVKGNPPMTVSSICKAAFLLIWKKAALNATFGLLSVVASFVGAYLIKDFVGYLGGDDGFERGYYLVLVFVGAKAIETLAYRQWFFGSLQVYLRLRTSLISQVYRKVLYLSSQSRQKHTSGEIINYVGVDIERIVNVAWYVNMVFMMPIQISLATYILWKNLGLGSLAGIATTTIIMLCNIPFTRIQKRLHAGIMKAKDDRMELTSEAIRSMKILKLQAWDIQYLKKLKILRKEEHMWLWEFLRLKALLAFMFWGAPTVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKISADRIVSYLQEEEIGSDAIESVAGNKNEFSIEIDQGAFSWKTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKLQGTVKVFGSKAYVPQSSWILSGTIRENILFGSPFESDKYERTIEACALVKDIGVFSDGDMTDIGERGTTMSGGQKQRIQIARAVYKDADIYLLDDPFSAVDPQTGRHLYKKCLMEVLRDKTVLYVTHQVEFLADADLIMVMQNGRIVQAGKFHELQQNMAFGVIFGAHFYAVEQVCNAKGTSTYLSKHHTESEKVPSINESDAENDISRKWQNTNMINCRQEVFRDNTEEGKLLQGEERENGNISKQVFLSYLTAARGGLFIPMIIAAQCFFQIFEVGSNYWMASACHPRNGSKSKMESIQFMVYVFISVGSALCILIRAVLVAVTGLLTSEKLFKSMMHCIFHAPMSFFDSTPTGRILNRASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFVPSILICIRYQRYYSLTATELARLSGTQKAPILHHFGETFYGAAIIRAFSQEEQFYRSNLSLLDNHSKPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFINPSIGGLVVMYAWNLNTQLSEASRNISRAEANMISVERILQYTKLPSEAPTVTEGSKPPVAWPEYGTISISNLEVRYAEHLPSVLRNINCVIPAEKTVGIVGRTGSGKSTLVQVLFRIVEPRDGTIKIDSINICKIGLHDLRSSICILPQDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFQDCTVLAIAHRMNTVIDSDLILVLGEGRILEYDTPAKLLQKEESTFSKLTKEYSQQSQHFKSSTATHRMGSY >OB04G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16822811:16823242:-1 gene:OB04G30260 transcript:OB04G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEANVMIDMTVGAPQNINANSAFSLRNSHSHICSSFLKIFNFLRYWISQAWSFNIFMLLIASDVSSILSSFAFIIPACNLFWILVKGILQSIIMVVVAIPAKEPRPRFFQRIYVAREICIGIMKTMFTYHATLTILSISTPT >OB04G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16829165:16830804:1 gene:OB04G30270 transcript:OB04G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17668) TAIR;Acc:AT3G17668] MASTPGAGPGGGVASSAQAPSSSPPGFHDAPRGLRISRPRWIVRTESSIRRERPKRPDPPCTICKGTGKIDCRNCFGQGRTNHANLIMLPKGEWPQWCRICGGSGSDYCHRCHGTGEYREPMGFHFATIHRK >OB04G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16834612:16835526:1 gene:OB04G30280 transcript:OB04G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSPPPPAPLSYSGQPKIFYQPPPPTPLTRTSLRSPLPPVPLSYSGPPKIFYLSPPLTPLAETSVRFPPPEHPVVSGVEHTPPAAYTGVRVPPPPYTPKVSGGTYARPETSLQLPPEEEDTPSASGGTRNKGPEEYSSVRLPPPPPSSPRVSGYTTRRPPPPPPCAQTTAATRGARVAPRRRCLRTTVQQVQAAVRRSPNRRLFEAGMRRPWQRRWRRRAEPERLTRARVCRMAPVLSSFFLAGPQRKPMMLHCCLLSRLDHVFQLAVRV >OB04G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16838633:16838881:1 gene:OB04G30290 transcript:OB04G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPREITQEAARRSLIAISRSVPAAGEALNIKLPDGGMANGHDDGGAEKYRSKLISISNLSPDGQPTPCPPKDHTAAA >OB04G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16842019:16845025:1 gene:OB04G30300 transcript:OB04G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78800) TAIR;Acc:AT1G78800] MAAAAAAEGVAGEPSGTRTKRLKVAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFEVKVYGDFLPHHIFYRFHAVCAYLRCIFVAMCVLLWWPSFDIILVDQVSVVIPLLKLKAASKIVFYCHFPDLLLAQHTTMLRRFYRKPIDMIEETTTGMADLILVNSRFTAATFARTFCGLHARGIEPAVLYPAVSVEQFQEPHAYKLNFLSINRFERKKNLDLAISAFALLRSVASMQPDDAQQEVTLTVAGGYDKRLRENVEYLEELKRLAVTEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINDETGFLCDPSAPEFSKAMLKLVNDHDLAVKMGKQARDHVVQKFSTKTFGELLNMYVLNVYHHRIE >OB04G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16848427:16849671:1 gene:OB04G30310 transcript:OB04G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3M0V6] MAMDPPPLFLCPISMELMEDPVTVATGVTYDRRSIERWFFKYGKTTCPATMQRLSSFDLTPNHTLKRVISSWLDRASSSSTADAPAPAPASHVMARDRLPSVLAGIEETPFKATALKNLKSCMAGDEAARDDFVACGGIQVLGRIMTQALAESCAGGDFSAFQTCEEAAAVLAPLPLSDDASMELLLKPECMRPVSVLVQRGSAEARLHAMSMISKISRASVGDWTLEVDVDDMVRALLELLSDGASAKLTSRALDVLLDVTARSRGARRAKAVELGAVHVLVELLLEADRHVAERSLLLLKRICKCPEGRLAFSEHALAVAAVARAVLRVSGLATRLAVNVLWLVACAAAPAERVLDDMVVSGAVAKLLALMQMESSPSTKDKAAKMLRAHGAFWRQYPCFPTDLKDHLKFLN >OB04G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16851036:16851374:1 gene:OB04G30320 transcript:OB04G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVQDMVSSAKEKVKEGSAKAHGKTGEATAATHGEKEMAKQEAKAEKAQAKADEHQERAEHRANAATGRHGTRVPLTGAHGHAPVGGAAYPAAGTGAGTYTASDKYI >OB04G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16854278:16857870:-1 gene:OB04G30330 transcript:OB04G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREQQQQPPPHVSSPPSSGGVMMPQHAYGAAPPAMQPGSANVMHGVPLSFNPMASPTASSPMKPADMAGGGERARPPPPXXXXXXXXXXGAGAAGGELVKKKRGRPRKYGPDGSVGLGLKPAAAAGTEAGGTSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKRTKEEQLKREPTSAPTPAHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSFPPVSWSLSGNQGRYDPDLKMMTD >OB04G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16861297:16863153:1 gene:OB04G30340 transcript:OB04G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAELLATAVYKVHVHCRQCARAIVTQFTEFPGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVELVPPPKDIVTEVKTKKEELKIITVSVPLHCGECADRVREVLLEHKSIYAAKADLGKNLCVVEGVIEEMKLLEYIYHRTRKYGFIEKLEKKEIVVEEKVEVKKKEGEKKKEGEKEEDVKVKVKEVVAPYFIPCTHPHFINYSHPELHGFQDTVFLHCSHSNQFFSNENPEACSLM >OB04G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16864840:16866545:-1 gene:OB04G30350 transcript:OB04G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTIPIVACAGVGPTRGRISGLKPQPSAPAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPPPQAGLQMQQPGGPDAGGIGGQFPPDPSAAGLPFFNLPLNNMPGGGSQLPDGHGWAGARPPPF >OB04G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16885033:16886934:1 gene:OB04G30360 transcript:OB04G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSGSYDDVDYGDLFSIPNPPAPHLLNFPLQFFPSNGFPISTGDSHPSPAGLFGSTPSPTSTTTELENSEDLESADDAVLAYINQFLLEDEEDESCPGTVASVEDPVLLTVEKPFVDILTASNEACQENSWIDSCCDFTGNGGLFDMLTSTQTTCQTVPCEFQEEKGECAVHKGRKNPHDDCLLFEEASRSKQLAVSEEEAIREMFDKVLLCNGECELRSPLPAEARSCGVYVKGSGNKRGRKRGKSGAAPEDDAVDLTTLLIHCAQATAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLAGTGSSIYRTLAAKRTSVADILNAFKLYVTACPFKKISNFFSIEAILNASKGVARLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGIDLPQPGFRPAQLIEATGHRLHDYARMFNVPFEYHAIAAKWDTIRVEDIKIDKDELLVVNCLFRMRNMMDEMVTDDSPRIQVLKTIRKMNPHLFIHGVVNGTYNAPFFVTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQVRNIRAGFKQLPLNQETVKKARYKVSKSYHRDFLVDEDNKWMLQGWKGRIIFALSTWEPN >OB04G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16890939:16891187:1 gene:OB04G30370 transcript:OB04G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEEVKHLTLHDLLKQRHRLKPAVVWRWPTVVQSAGRPVPDEQLAVDDDDDGLGGTWPPRSYRCAFCRREFRSAQALG >OB04G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16904854:16907498:1 gene:OB04G30380 transcript:OB04G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAVERNRRRQMNEYLAVLRSLMPESYVHRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLLMQQPPPPPPPPPPQKQQQQEVKPDATTTAATSAVDQEAAADGPPFARFFTYPQYVWCHQPPRDGGAENRAGVADIEVSLVETHASLRVMAPRRPGQLLKMVAGLQALRLTVLHLNVTSLGSLALYSISVKVEEGCGMATVDDIAAAVHHVLCIIDAEAAGARLLLGGEAGGPFG >OB04G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16910211:16911543:-1 gene:OB04G30390 transcript:OB04G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDVAGTSASADDSTGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGSFSSLWGRLFGSSSGGAAHGRRAGGGSGRGQSRSHESWDVSPPSGLDETLINKITVCKYRRGDGFVHSTDCSVCLGEFRDGESLRLLPSCSHAFHQQCIDTWLKSHSNCPLCRANITFVTVEVAASPEPEGCASVGETGGNTHEVVVVMDGLENLCEEQQEAVSGVSTTGDDHGVKDIAEGMEEANAAAEIREEVSPPTKKGSSSSDLHHDNRMCIADVLQESMEDELTAARESGLLSGGAGTSRRCHGENSKERGRSRRALQLQDAMDSLPGKRLPSGGRSCFSSKSGRGKDSDHPM >OB04G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16913749:16914084:1 gene:OB04G30400 transcript:OB04G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCSHQTIIVLQVIQGTKLNPNSSITVLCSTMTLPTVDSCGLRMLSRKLNLGPVNQRNTLVALCCVWWGYQEMTLTRPHHKSIHMQQPLSTCSICASKPNMLFMPKSCK >OB04G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16914478:16918129:-1 gene:OB04G30410 transcript:OB04G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G35410) TAIR;Acc:AT2G35410] MALSLARSPHRHPAAALPAPRICRLASLLRSSSPRRRPCPLIGPSLRLRLTAAAFAASSPPEAQAAAADEEEEEAEEGEKRRKLYVANIPWSLPAPEIEKLFAQCGAVKDVEVIKGKDGKKKGFAFVTMSTTEEAAAAVEKLNSLDVMGRTIRVEFSKSFRKPAPPPPPGTIVERHKLYVSNLPWKARAPNMKEFFTKFNPLSAKVVFDSPSGKSAGYGFVSFGTKEEAEAALSELDGKELMGRPVRLRWRQNTDDTVDSVKADREIEDVNIDGEAEGALDNGSVDDGEDKQ >OB04G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16923968:16925882:-1 gene:OB04G30420 transcript:OB04G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRVNTRAGAMGGGECGGAENQRWPPWLKPLLATSFFGQCKLHADSHKSECNMYCLDCMNGALCSQCLAYHRNHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDYRGRKRHAGGSKKKKLQKGVAAVPSDSEDSSTTTSGGSDKSSVVQSFTPSTPPATANSYRTGKRRKGVPHRSPFGSLLVEF >OB04G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16931679:16931873:-1 gene:OB04G30430 transcript:OB04G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTFKYLFIVVISVVLAGVPPFLASRVLFVTSWRNRQDRSICILTSLGHAHCSYVASDSGSLLKS >OB04G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16935927:16936433:1 gene:OB04G30440 transcript:OB04G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPALSCGGVCPYCLRDRLLRLCPECAHTRPCPCASSSSPSSSSSPASRRAGGGGYSLIERERRMGRSRSVAAGGGGVVRDERPKSKVFGWVSFRKGTSDRVVEVDDGTALARSSSVSATAVETRAAPRSRGWGRFIPGSIRALRHRKSRAGDCREGVR >OB04G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16942281:16943984:-1 gene:OB04G30450 transcript:OB04G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDDILGNIFSRLPARTAVACTALSKHHRRLVTSGEFRRLHLLLGAPLPRPHVTYLAMAPITRRGDDRTVSKFYGFHVAGAGMGIGHAPMRALTVGRYWMKKYVNTCNGVILLAEKMESSKSFILWNPAIADGEKDETIHASLLEMDYRVAGLGYGRRSKTFKLLLCRNLRMNCKGPPGPRKPIYHCTQLDSIVFAFNVDDETVTSIDLPGEHSGSASSKLMEMSGRVCVVTNDGPHTISLWLGPADRRWVRRCAIKDSTIYRPKLITGAWDCGGVILLLANGYVYLYDITAERMTKARVPSDVSPEKSAYAVCWGYRPTLVSPGSIAGELVADDVDEEEEGTRRRRDRTASDILAAVRPVRERDVRRGRKATLDVTCFMEMLVRIMGKLPDGLQDVIDVPLLNASLDGRFRFSYED >OB04G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16945026:16945229:-1 gene:OB04G30460 transcript:OB04G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSIIPTNPGSSWPVTLSQQTRPGNSVRKKKKQGQRYEKESGVREARTLDLRITRFSYETYALANCAT >OB04G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16948472:16950895:1 gene:OB04G30470 transcript:OB04G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFHGAADLAARPRRRATASRRRRARRRRPRAPRRRGSRPCPRRRRAPPRTSPPGRPTARRPRAPPGSPPLQRYGNSGSSSSSYSSRRDAESCLLSAESERYFDGFQKQGKDAKPAQEPAAAKPSSQEAAAAVKPSTQEQQAQLAAVQEELVKAKEQLVEKEKERGKVLDELECAKRAADEANAKLQEALAARSKAAEDSATDNSGAGAVESEPASVGSPQSMEDELRTKLASMQSQQEADMAVLHSTVEQLEKARYELADAIDAKNAALNQVDDAMKTSEGNAEKIKLLNAEVTHLKGLLDSEIGGTSKGAVERIRKLEEENSGLRLELEKANVAEQRAVELEGVVEQLKVEVADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKITLLLEKESEIDALQDNIRSLEDVVAKQKGNIDSAEKEAVELRSEIEDLRLKLQAAEDDLNNDRITSSEVETLTEQKNNLTKELENCKAEVEKVKKAMEGQASALHEMSAQLREAQEKYLDKQEEIDRARAQVEELNVSLQNAKESYEVMLDEANYEKVCLKKSVERMQAEAKSASEEWQSKELSFVNSIKKSEEEINNARAQMDKTLDAVKDKESENAELLEKMKHLEAQIMEANKTSEEAKAETLQWKEKLLDKENELQNIKQENDDLQAKELVASDKIKELSSQLANSKDGAMNGSNKEQGNVKGDSEDDEPVMVVAKMWENSKITDDASSKEKGNDGESEVDLESNTGDSIVEGNGLHSRTASNGNVSPTKQQQQHKKKPLLKKFGGLLKKKTQP >OB04G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16948924:16949424:-1 gene:OB04G30480 transcript:OB04G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAPFDVPPISESSSPFRCVTSALRSLIFSAFPSEVFMASSTWLSAAFLASIASASSYLAFSSCSTVECSTAMSASCWLCMLASFVRSSSSMLCGDPTLAGSDSTAPAPELSVAESSAALLRAASASWSFALASSAALLAHSSSSRTFPLSFSFSTNCSLAFTSS >OB04G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16951996:16956487:-1 gene:OB04G30490 transcript:OB04G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAAAVSAPAAILRQFRCAYHRNNERLLPCREDQQVSYGLNWAIAGKGVVVKDKLFYNLATSELQKGGAVHTEHLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECNAKVRVISDNPSAVILLSNVLWKTSDRSISHDTCPLTIYVASSISTNVRNALGSGIQYANGFAAADIERSSLILCGKAFADSTMLKDSLSALTAPIISARGGLPFPGWLLSFCGSAILLFAPMEVIKSLNIQDVLVSTDSGVVVSSKGSNVLFPTEARELNLFAKPTTVVIVSTDSTDAIPAVSKLSPGQAAYHFLAGYHDGKFVPAYTKGPSPVGPLALANSLFSHLKEENAPTYLINAKNSGKYITGKQFMRLIERTLLNNLPDYKSEDMRVGELKGNYKSFLSIKFGKYLPEEFTF >OB04G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16957188:16959892:-1 gene:OB04G30500 transcript:OB04G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFATGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGALFGQLRGLALVVFAASAGASSCFFLSKLIGKPLVFSLWPDKLMFFQKQVAKRRERLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKTQEITVAAS >OB04G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16963890:16970464:-1 gene:OB04G30510 transcript:OB04G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) TAIR;Acc:AT5G16750] MAQWVDPHFYTGGPFAVGSAPGSGEGVDAGAFLACACGGEVRVVSAADAFAIGEPIEGENEAVTALALSPDSRLLFAAGHSRLIRVWDLASRTCTRSWKGHDGPIRAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTVMFHKDPKRLLLFSGSEDGTVRVWNLESKKCVAVLKEHFSAVTSLALSDDGQTLLSAGRDKIVNVWDVRKYNSKKTIPTYEMIEDVSFIVPGSSLLSHLGELVKAKGKTDGYFLTVGERGVVRIWCLESAHCIFEQQSSDVTINAENEESRRGFTSAIMLSNDQGLLCVTADQQFLFYCPTRTDGGGFHLNLYKRLVGYNDEILDLKFVGEDEQHLAVATNLEQVRVYDIASMSCSYVLSGHTEIVVCIDTCVSASGKTLVVTGSKDNTVRLWDMERRSCIGIGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLDDVDSEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVADGSCLKTFEGHTSSVLRASFLSHGTQFVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGRKTEMLATGGTDAVLNLWHDCTVEDKQEDFRKKEEEVLRGQELENAVSDADYARAIQLAFELRRPHRLLELFSQLCRKSDPEDPIERALLGLPKEGLRLLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTAILEIKGISEVLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDIDEGTTRDDTNGSSVENGEIAQAEPDALVTENLQKSGKKRKSSKSSKKGGKKVKIAPTGDSNDVEA >OB04G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16977233:16978322:-1 gene:OB04G30520 transcript:OB04G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRALVEHIKKQGGHVGSWRSLPRAAGLNRCGKSCRLRWTNYLRPDIKRGNFSDEEERLIVRLHAALGNKWSTIATHLDGRTDNEIKNYWNTHIKKKLLRMGIDPLLSAINSNNDVAAGLMGMANLAATNAMVSPTSTVANHQPSYLCDVPSFSGQDMAEQQRLSSSDTTAPPVLIRSSAEPVDHQCCNDAALVPETYPQGVTAIVDWQMQEFSNLEPLDLELPNLCSLESDLDPFWRTY >OB04G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16981838:16984247:1 gene:OB04G30530 transcript:OB04G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQSHELQTDVPAAELWEIYGTLRAAELLPELLPHILAKVELVSGDGGVGTVVQLTFPPGIPGMQSYKERFIRVDNENYIKEAEAIEGDILKLGFLSYMIRFEIIRKGPNTSVIRSTVEYEISDGRPELQAMEMKGSVCHELETELPAAELWEVYGGLLVGQLVPHLVPEVFSKVELVEGDGGVGTVLRVIFAPGIPGGGSMKEKFTKIDNENYIKETEVIEGGFLDHGFQRYAVRLEIVGKTGKSSVIRSTIEFEVEDASKASSVSIGGLAAVAEAVTKYMKEQRVGEPEHAPKQASDEENIQPK >OB04G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16990514:16996208:1 gene:OB04G30540 transcript:OB04G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRGRGPRSGPNSKSASRNPTSVSGSEIPHGGGGAAPAVTEGGEAATAEALDRLRVSAGAEVAPVGMPPLEAASSSGRDEVCGSLEEEAVRKLQELVGLGGEEVELTEDEAAANDQRQEDEIFALEAIFGDNIVIFNKKEGQRSFQVHVHIEIPDGIDVSARLNYGSGSLKYGAGHDADASDNLVYKFRVEHLPPILLTCLLPSSYPSHQPPLFTIFAEWMNKMMISSLCQMLDTVWEEQRGMEVTYQWVQWLQISSLSHLGFANEILLSSDSACDRDHGDKRALSHNALPDVIIPRMMRCNDDKCHEAFLRAIHDCMICFTEFPGTDFIKLPCHHFFCSKCMQTYCKMHVKEGTVLKLLCPDAKCGGVVPPNILKSLLGEEEFDRWEGLLLRRTLDSMSDVVYCPRCETACLEDADNDAVCSSCLFSFCTLCRDRRHVGETCMVPEEKLLILEKRQEAGALQEDQLKFLNELRSIKTIMKDSKMCPRCKMAISKTEGCNKMSCCNCGQYFCYQCNMAIDGYEHFRGSCVLFPQEEIDRWEMRMNPRAQRQVVAQVQAEMFRQYAHPCPTCRQPCPKVGNNNHVFCWACQKHFCALCRKPVHKTSQHFGPKGCKQHTTDP >OB04G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16997646:16998260:-1 gene:OB04G30550 transcript:OB04G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTRQMVPAIAILFISLVAAAAPPTPRGQQVHLFEATVRVADDGVEDPDEYNYRLLAAVLGSVEAARSVTYETYPGTFSAFLTNNQARRLSKIPGVLSVRRRDDPVPTDGQ >OB04G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:16998803:17001607:-1 gene:OB04G30560 transcript:OB04G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLALFGKKGGLHYFEIYIHYNLNEGTEVCAKLSSANSNPKDGRCSDGIEEHGDEPDEFSYSCNFEYLPPLVLTCLLPLTYPSKDPPYFTITVKWMDGPNVSQLCEMLDTLWAELPGQEVVYQWVEWLRNSSRSYLWFDGKITLGPDIPMHKVDCRAISRSLSLESVIPSMLSYSSKKLYQAFLEDLHMCMICLNQSKGSNFIRLPCQHLFCVKCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLMEDEFERWDRLRAQKALDSMSDVVYCPRCVIGCLEDEDNNAQCPKCSFFFCSFCKEPCHPSKQCLTPEEKLQRRQASGRMSEREMAQEMLSIKALYSDVRLCPKCRMAISKTEGCNKMVCGNCGQFFCFRCGKAIKGYDHFSECQLFAPRDISAWERQMEEQFGNHVRTSLRPVGGTVRCPKCRERNFKDDEKYIFCWACRANYCTLCRREVQDKRGHFGSPECVGLEDF >OB04G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17004617:17005913:-1 gene:OB04G30570 transcript:OB04G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGMLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPEPKKGGGKSKKKTTCTDVLVPSPSPASSTTTTNCSIGDSAGEQSNTSKEEEEEEEETAGKVEIPMLDPCSFDFDMLVDPVAPELYCPAVSTAPTSPCSSTSPACARGVDALLDLPDIDLGPELWSIIDGDGYGASVCTDAPPPAWSNAAAAACQANATVATTSQEEAKAEWWLEDLEKELGLWGPTDDYHCHPSGPQCQPARVGPPPAVVEDPVSCYFQGGPTPATWQGPETPAVITSNSMDYL >OB04G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17023236:17023672:1 gene:OB04G30580 transcript:OB04G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSISAMPRLYPEPEEEDGDDLEAKPEKAPAARPSAKERSVHLVPLLVVLCFVLLFLFSHDPSASDMSSFGDKAGNRKHRLF >OB04G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17024599:17028544:-1 gene:OB04G30590 transcript:OB04G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQAAYITFKDNQGSETAMLLTGATIVDMAVIVTPATDYELPAYVLAALESKDAKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLSSTATARVSSFDKKIGLSEKISVGTSVVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKIAAEQEHKTVEHESAQPNSSEGHSTQKDLDGDFAKIQVSESPEDIPISTTATVPITDDDPSQASPPATAPKKPEPAQGLIL >OB04G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17030451:17031781:-1 gene:OB04G30600 transcript:OB04G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKDSDVRRGAVEDRKKCTANNRSSITESILQKLGTTHTVTRYTNTMSKPNNGGPTVAVKLYIDKEKKKVLFAESDKEFVDVLFSFLTLPLGTAVRLLGKRSQLGCLDELYKSVENLGEDHFQTNLKAMLLRPRNDAESHCDRLKVKIDDTNARAIYVCPNSSCVGDVFSSYPGACKSCNSTLILREKPKTPSKGSTSDSYLK >OB04G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17033417:17036625:1 gene:OB04G30610 transcript:OB04G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEHPGCRAAATDEDDESPVEQVRLTVPTTDDPSLPVWTFRMWTIGVLSCATLSFINQFFSYRSEPIVITQITVQVAALPIGHFLARVLPERKFTVFGWECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIIKAFYRRNISFPTGLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPQSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTVGAVSWLCWAYPRSVTMQQVGSGMSGLGVGAFTLDWATVVSFLGSPLVYPFFAIVNVWAGFVLFAYIMLPVAYWALNLFQASTFPLFSAHLFDRAGQEYNISAIVNDRFEIDPDAYARQGRIHLSLFFAISYGLGFATIAATLSHVALFYGKEMYQKFRKAAREKPDVHTRLMRRYEDIPNWWFYGMLALAMATSLVLCTVFKDEVQLPWWALLAAMAVAFFFTLPISVITATTNTTPGLNIITEYVMGLIMPGKPIANVCFKVYGYISMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTIVAGTVNMAVAWWLLSTVPHICDRKHLPAGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGSLNWFFLGGLAGPAVVWLLARALPRHAGWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLSAALDAGVAIMGVLIFFCLSNRDITIDWWGTSSSNIDHCDLSTCPTAKGVIVDGCPVF >OB04G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17038321:17039730:1 gene:OB04G30620 transcript:OB04G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHLPSTVLVSIAALAVAARFISGDSTVVVHEPAAAAPSDEASIDGGGGFSLPLVRRRFTTSTLDVVKEENQLPAPSHDGGDDHVAIAGEKKLLVPLYGRSQRSTYLVQLRIGTPTDHQILPCRYVLFDTGSDLSWTQCDPCTSCSSAPYPPHDTSKSLTFRRLSRLDPMCEACSANGGRRCLFKRRYGDGGTVSGDLVSDIFRFSLTGDDDDGDQFERDVVFGCATVEDCRAVRGYSTGILALGNGRPSFVAQLGVDRFSYCIPASEDVDDERSASYLRFGSHARTTGKRAPFRQDGSGYAVRLKSVVYQQGSRLNQQQPVPIFAGAGEEAAAAMPMLVDSGTTLLWLPGSVFYPLQRRIEEDISLKRRYDLARPSIYCYTGNMSDVEAVSVTLSFDGGVDLELFGNALFFADEGDGDGDDWVCLAAAAGNRAILGVYPPRNVNVGYDLSSMEIAFDHDHCSVIVT >OB04G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17039517:17042663:-1 gene:OB04G30630 transcript:OB04G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF760) [Source:Projected from Arabidopsis thaliana (AT1G32160) TAIR;Acc:AT1G32160] MAAWADAARLLASPSSPAASSSSSCCVARPRASLVSRLHCKSFRYTSRSKSGFHINSCRARNLKVKAKMDSGDGRTRLAPLIFESPSGQLLVQILQSHPHLLPATVDHQLENLQSEKDAQEKEALKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMDNDIAMTPALSPLGGPVRDISSLPNQEDKLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSRLDADTLQRYATIRSKESVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHIESKYHFVNS >OB04G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17043682:17045554:-1 gene:OB04G30640 transcript:OB04G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLINANPVIYEKKERRIRQAPETTDENAAEPIDQLEIFDHIRDIKDPEHPYSLEELNVVTEDSIEISDEHSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTFA >OB04G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17046327:17046641:1 gene:OB04G30650 transcript:OB04G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRIFAYGLLNGEDTAGRRRRRRAHEETGVEAEGGRNRLGQGASTADEGRPSSSNHSKGLRGREEFEGEGKLTGEIRRRAAVGGSGSSASLVDGEEDYFACGL >OB04G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17047328:17052206:-1 gene:OB04G30660 transcript:OB04G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYGDDYDEYDDDYDDYGETGHGDTQLPMKEEKESLKKSSGAVPVLWRCSMCMFDNHESMVYCEMCGVFRESFIKSSKDGSIKVNRIPSDSGKPLMPKSDSTKVPLKTRTADFGGDTEIKNAGISHEKVSSTQSASVGSSSGTGKKVKHIALPEDVPVERTTQLISDHFQLKEEQSSRAGSSAENEDAAQKLSSDIHKLGLEKNEVDVAKTCLPEEYKPEKWMFADEESGVPSQLNLAIVGHVDSGKSTLSGRLLHLLGKISKKDMHKNEKEAKEKGKASFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACSGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAVGYSKERFEFIKVQLGSFLRSCNFKDSSVIWIPLSAVENQNLINIPSDGHLTSWYQGFCLLEAIDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRNGSKVLVSPCGEVATVKTIERDSNSCDIARAGDNVAVSLLGIEGSKLVPGGVLCNPGFPVLVSNFLELRVLVLDVTIPILVGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLESPVCVQEFSKCRALGRAFLRSSGSTIAVGVVMRVLGQDKS >OB04G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17052467:17052844:-1 gene:OB04G30670 transcript:OB04G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGARLTTHRAAYSRSTRPPTRSPPEKGRKPLAAGSLRRSPNDERLRERERERDSELRGRETGRGQLRSPERWGSRASSLAASSSHRGTLRHPFPHSASNVVFGSPLRFPAGVSALELASLRRV >OB04G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17053360:17055056:-1 gene:OB04G30680 transcript:OB04G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:J3M0Z3] MAATSLSSPSTTTAAILLGGWRRRTVAFPFRVTCSAAAAGGTVVIGLAADSGCGKSTFMRRLTSVLGGGTAAAAPPRGGEPGTLVEGDATVICLDDYHSLDRAGRKAKGVTALHPRANDFELMYRQVRALKDGRAVEKPIYNHATGLLDPPELVAPPRILVIEGLHPMYDARVRGLLDFSIYLDISSEIKFAWKIQRDMAERGHSLESIKASIAARKPDFDSYIDPQKQYADAVIEVLPTRLIPDDDEGEVLRVKLIMKEGARHFSPAYLFDEGSTISWIPCGRKLSCSYPGISFAYFPDTYFGHEVSVLEMDGRFDKLDELIYVESHLSNLSTRYYGEVTQQMLKHADLPGSNNGTGLFQTIVGLKIRDLCEQIVAERAAPPPALAAVA >OB04G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17056339:17060016:-1 gene:OB04G30690 transcript:OB04G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3M0Z4] MHHDPNPFDEGNADDNPFSNGGGGRGGRQQYGFRPTEPAGFGAGRGDATVDVPLDTMGDSKSKARELSSWESDLKRREADIKRREEALKNAGVPMEEKNWPPFFPIIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQRVYMYFRGNK >OB04G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17074676:17078061:1 gene:OB04G30700 transcript:OB04G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGDQAGGDLTDNGRAGGGAMPGSVVVDLPSTAAEWQLPAEPMLFPPPPSLSSDGCGGGAQAANIFGGGDPFAGLADPFSSDYSSGADFLDAMPDAMAKVGFDTVVGAGAGGGGGGGGGGGNCGQLLDMSRKPLLPRGMPMPAVGALAPPRVMPSPLSPRAIRPYPAISAGDMMKLGITAGQAAGCAIDAAVAGMQMSSPRSGGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGGGSGSKSSQNEKSQQANVKEEQKDQAATTATSTITTTNSASPVVVKEEETLAGSSSEALELERVMDTAAGVVDHSELMDHVFSESYKPMIPETGQPDDFFADLAELESDPMSLIFSKEYMEAKPPGGGDHAQEKAMAKDLDPFDMIDWSTTANSSVGSSFEQGKRG >OB04G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17084496:17087697:1 gene:OB04G30710 transcript:OB04G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERTGGLRTPILADEEASCSNWGLVSQETSVQKVHKVESCSDKALLIILTRDLSVIALTLFTDSYNFYADTLSWIISYESHQSRNFLSASNVATVSSWAGASYLMPIIGAAVADSCWGKYTTAMAGFSVALVGMAIVTASATLPSLRPPPRGRSTYCVPATLSQALVFFTGIYLCAVGIGCAKAVLISFAKEQFDDGGKNARERESKASYFSWYYAVANLAMLTAGTLLVWVEDKVSWGFGYGLCASLVAVAIVVLAVTAPIYRILPPVGSPLKGTFQVLVASSRKAKLTAPDDATELFEDDGAKNSSLHPVYERLEHTDEFRCLDKAAIVTDEDLEDGDPWRLCTVTQVEEVKILLRLIPIWLTSAVYFVANTQAQTTFVQQGTKTDNSIARGAVSVPAASLSSIEMAFVAVSVTLYNRAVLEFFYDQSPETMRGASTAFYFLSISIGNLLNSQLVTLVAKVTAAGGSVGWFPADLDDGHLDLFFLLVVAITAVNFAVYIALAKNYTPKKIKSKFDQRRQG >OB04G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17094714:17097289:1 gene:OB04G30720 transcript:OB04G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMERGQQRSPRLPESRSPKMQDDDTLTVPLIEDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSNLASASNVTTWFGTSYLTPVFGAIVADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPATALCGVFGSACQQQQPVLGAQTIAFVGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYICVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVTIAFGGFVLATPMYKRSMPTGTPLKSIAQVVVAACRKVSLRVPGDAALLYEVHDKIDQAKITHTDEFSFLDKAAVIVDSDLEEDSNDASAAAAGSWRLCTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRVMSFSIPAASMVSFEVLCVLAWVLIYGSVIVPLLRSFSPASGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLGAAGRGETVSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNALTAVDGRPGWMSDNLDEGHLDYFFWIMAALCTLNFVVYSAFARTYKVKTVVS >OB04G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17107530:17110773:1 gene:OB04G30730 transcript:OB04G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEIIVALPSPRLYKSLTLRRIATRERSAPLDEEMTIRSPRDHDDGLTVPFIPGDKKTRSKAPAVVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIVADTFWGNYNTILVSLVFYLLGMMLVTFSAFLPTTALCGVEGSPCQQPLLGAQTVAFIGLYLVAFGSGGVRAALLPFGADQFDDESTADRERKMSFFSWFYLCVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPLKSLAQVVVAACRKARLRVPDDTTLLYEVHDKLNQSKIAHTDEFGFLDKAAVVVESELEEESNDVAADAAWRVCTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMQIMSFSIPPASMVSFEVLCVLVWVLIYGSLVVPVLQSFFPANGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLGAAGRGETVSIAWQMPQYFALAGAEVFCYIAQLEFFYSEAPESMKSMCTSLALLTVALGSYMSSFIYAVVSAFTAVDGRPGWISDDLNEGHLDYFFWVMAALCTLNFAVYSVFARTYKVKTVVS >OB04G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17111207:17111556:1 gene:OB04G30740 transcript:OB04G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLRALCKRNGVRANMTNAAMAEALAALPAVDGIEEFVKQPAALQAPATEAAVSAVAEEDPREKKGSPLPRGRRVTFSTTEV >OB04G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17112861:17116530:1 gene:OB04G30750 transcript:OB04G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVSEFVCLFQLINSEIEEEVSAETVDAVAQECSADAVLEDKPVREEEEIEVEVPTEAVEAVAPVCSDDVVLEDKPVQEDEEIEVEVPTEAVEAVAPVCSDDVVLEDKSVQEDEEVEVEVPAETVEAVAPECSTDAVLEEKLVQEEEGLEMEAPAETVEALAQECSPDSVVEEKQVAVEVEQLISLDDSPILGLVSGTGQSEEAAVCNSECRMDILVTEESSDTVCDDKEALSADDEALPADEKEALPAQFLTDTGDAKEEEDEMEAVDEACFAAGENGAETVDELNDTLTEHANNAVQLNFSEEIDCADEEAGVVATDGLLQSSATVVAMVSGSVATEEAEFSLSVGHGSDEEDALEAINGAGFTVGEKGVETADEPYDTLSNAPISSIQPDFSADTSCAVEGEGVEDLLQSTETTQDDFNTEACHADEPNELVTVKRVSSEDDISELNVITGDLTLMFHDTEELGNHINSVETADEPCDALSNAPLSSIQLDFSAEETTQDDLNTETCHAGEPNELVTVKRVSSEEAIGEVNVTTGDHTLKFDGIEELRDHITSVVPEGVQTLPLFTEMLDNVNDLSLGTVTDVEAMVSEVKDVSSDCVHGSNITKRSTELSAMENGDEVKVVEKKKEPVELAKLSLRILKAKLKEKLTAKHMRKEAKRVALGRLDENVC >OB04G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17115893:17116330:-1 gene:OB04G30760 transcript:OB04G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTGSSNSDAMLMRKIRTSKRRVLMLLVAVLYLCPNPYYKYLDPGESILSVMLQNILLAVCPWLVDLVCNQCHVRAHGIDRRAEYHLLNKGGWLRANGNGHDPDILIQKLGRTGRITGYALFCLCDFRWLAVILLRFWFQRSS >OB04G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17116422:17118867:-1 gene:OB04G30770 transcript:OB04G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSKGRVIAGSFVARVLAGKATSPRRAVSASAYDKNVEDQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAAVDAKAAAAGGGLAGGANGGATVLDQKVWFRPLEDVEKPPVA >OB04G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17126462:17126963:1 gene:OB04G30780 transcript:OB04G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHNGNISLDDVIEIARTMRPRSMAKDMSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGEVEIPSA >OB04G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17128663:17132071:-1 gene:OB04G30790 transcript:OB04G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPNDAFSAAHPFRWDLGPPAPPPVAPPPPPPPPVPPVHAPRELEDLVAGYGVRLSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDLLLGERFGLRAALRAERGRLMSLGGRHHGHQSGSTVDGASQEALSDEHDMAGSGGMGDDDNGRRMTMAGKKQAKKGSASRKGKKARRKKVDDLRLDMQEDENDCDDDGGGSESTESSAGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACFAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSSHAAALPPPLF >OB04G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17139900:17140796:1 gene:OB04G30800 transcript:OB04G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVALPKEPRLVLPPLPANSQLQMKLNCHNIPYPSGLRGKPAPAGFVVTCGPNNEAMLRIGKHRHKIDEVSVMESYITILAGPIKQACYDRSGRPTQITGIGAMNLEATPFSFSKRNALVGTGCNYWFVAYFRSSSGGDAQPTTVTCGTWCNGSSDSIINGSCANLGCCKAGMLTDGAKEFALTFNSSWPSRHVSGEEASTCSAVFFLDQDEQVFTGAGSSRKMPLKEALVPPGHRRMVLDWAIPGNNCEQSLLLAAQYQCGSMSTCIDVPGGAGYRCRCNAGYDGNPYEQNGCTGK >OB04G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17143893:17145089:1 gene:OB04G30810 transcript:OB04G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGTTLMCYYWTVKKRKVAKKRAELFRKNGGLLLQQRFSMITSQGEDSSAKIFSAEELKTATDNYNESRILGRGGSGMVYKGVLPNNTVVAIKKSILFDESQVDQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSSLTWEDCLRIAEETAEALAYLHSTSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSGKLTKKSDVYSFGVVLAELLTRQKPISASRPEESCNLAMYIVILFNERRLLEEIEPRILAEAGEEQIYAVAQLSVRCLNLKGEERPAMREVASVLHELRESFIEEQTSRRSDDSMQMINEQVSVQTTHGEARPISSLQSSEETTQYSMPAEILPSNLAR >OB04G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17145695:17145847:-1 gene:OB04G30820 transcript:OB04G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWMGTPKNRAKIVGSGGHTHGPNLKKETVSSKAQLSRCSPPDWVDPAGN >OB04G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17148423:17155562:1 gene:OB04G30830 transcript:OB04G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRRASFPATPPAAAVASPPPRPPGPPPPPPPGAGPPPPLPNSPLQMNLTCEDVPFPFGERSGASPPPPGFVVTCGPNNEAMLQIGEHRYIIDEVSVPESYITILAGPIKQTCYDRGGRPTQTTGIGAMNLESTPFSFSKRNALVATGCNYRFVAYFTNSSGGESGDPQPTTCGTWCNGSSDSIINGSCNLACCKADMLMDGAKEFTLTFSSSWPSRHVSGEEASTCSAVFFLDQEEQVFTGAGSSRKMPLKEALVPPGYRRMVLDWAIPGSNCEQSLLLAAQYQCGSMSTCIDVPGGAGYRCRCNAGYDGNPYEQNGCTDINECRDVGSNNCSSLPICHNTDGGYTCTCPRGMVGDGYKTGTGCIDPPLTPGQDVCAHLEKNPCMYTEYCKDGQGVTTCTCPKGMSGDGQKKGSGCKRQFPLDTALGVGLALMVVLGTTLMCYYWTMKKRKVAKERAELFRKNGGLLLQQRFSTITPQGEDSSAKIFSAEELKNATDNYNESRILGRGGSGMVYKGVLPNNTMVAIKKSIVFDESQVEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQRIHSRSTLTWEDCLRIAAETAEALAYLHSTSTPIIHRDIKSSNILLDENLVAKISDFGASRSIPLNHTHVTTLIQGTIGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTRQRPISVGSPEESCNLAMYIVILFNERRLLQEIEPRILAEAGEEQIYAVAQLSVRCLNSTGEERPVMGEVASVLRRLRESFAKEQTVRRNDESVQIINEQESAHSEPKPTSSLQSSEETTMRYSLPAEILASSHLAR >OB04G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17156490:17159529:-1 gene:OB04G30840 transcript:OB04G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTVLVQFLLLCLFMPSFSSSSSSQFSPIRCPNSSVLIPYPFTQLPGFAESCGQAGPTISLGVGNYSVLDISLLEGYVHVTAQTIYSSRCPNNSQRSLDLRSASFVGYQFSETQNKFTVVGCDAMAMIRNTSDQDASSSTASRYLGGGVSFCASEGSIVGGQCSGVGCCQSSVPKGLRTLDLEFTSIRNQLMPSSRSRSNSSNSSSSTWCSKAFIAKSYTFSRDDLHGDVGTGNLTMVLDWYIQGSSCNESRRALRTGYKCMGNTECYDVEDGGTGNGGYRCNCSEGFAGNPYVEGLGGCQDIDECKDEKNHPCTHKCINIFGGYNCTCPMGMTGDGKKQGTGCKRDTSMLSIVGGSLGLMVVLLVLGFWTYWIVKKRRLAKQKQRYFLQNGGLLLQQQIFAHQAPTRIFTTSELEDATNNFSDDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQIDHKNVVKILGCCLETQVPLLVYEFISNGALFYHLHNSNLVQISWEHRLRIAAETASALANLHLARKVPIIHRDVKSANILIDANYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYQRQEEGINLASHFMTLAQQNRLQEIVDCVVVKEAGMRHVNVVSQLILKCLKLKGEERPRMIEVAIELEALRRLMKEHLSLKSEKALRDHMQLLQEGSSQEEMLNIQPLKLYHGDSVVDKSMESSPLLSMDLPW >OB04G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17167656:17170570:-1 gene:OB04G30850 transcript:OB04G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTMFYLLLLLSLLFLTQTSASSVYSMESSTSNCSNVSIPYPFGIVGGNPAPAQGFEITCPLYGSGPRIRINNVMFGIINISLLDGFVTISANATSQQCKRNSSFSLEGTNFTFSDTRNKFTAVGCDMVAMLLNGSHGSRGYSGGCASFCSAKSDIVDGACSGVACCQASVPKGLKRLESEFTSITGQLSRHNRVNNTPACGEAFIVEQNSYAFSRGDLSNTNRDNPLYRPVVLEWSIYGGNCEEARRSSSYACKENSDCYNSSNGIGYRCNCTDGFHGNPYLQGPDGCQDIDECTMKSPCMHECLNTKGNFRCKCPAGMTGDGLKEGSGCNGTGTMVIAIVTGLALLPLLLIFMFWTYWLVKKRKLVKIRQRFFMQNGGMLLKQKLFSHSAPLRIFTSSELDKATNSFSDDNIIGRGGFGIVYKGILFDQMVVAIKKAQRVDQNQIEQFVNELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFHRLHNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENYTAKVSDFGASRPIPRNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPIYDGKTDDVRNLAWHFGMLFYQNQLLEIVDPQVAEEAGTKHVKTVAQLALRCLRLKGEERPRMIEVAIELEALRRLMKQHSVQQTETDPLLCESNHNADVIIEASSRLSLDDISEESMVLGCK >OB04G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17173330:17173575:-1 gene:OB04G30860 transcript:OB04G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHLGLGTCESHTSVTYPYVSDPMSLRISWDNVTEFPPTAVSTETGVASDECFFLFCVRPTRLFFSFYLCDVWVEDWVG >OB04G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17177876:17183817:-1 gene:OB04G30870 transcript:OB04G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGEGCGEAETGGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALCSRGTPVKRREKYARREDAILHALELERKQLAPKYQNQDFRSGDISSVPFAEMRRDFNNCSSEYYSKNDTQKPQFPLGNSASQQCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGIERNFPHTGPKGEFPESLVLEAAENTLSNQNNGFSHFEHMQAGSNVESGGKNTSVKKKISEESVFEESLVKKHDRCRPLAQVVQSSVKLPHPFERDDDSGTVLMEEGNDPRTAIYKVKQNWSTYMSNDSGETNYHGDIPPIQMTSRGAHFETEGYLKLPDSFSAEQTISDFVEKQGSDSCERECSETETEDDAELLQTYAKRQSPESNACDPYSIHASKKSKHVDGDAGDNILTFSTGIPQQHVLKDDGSSELGVSQWNMKGKRNQRNALKRPMGKTDENLLLDRSNSSLKGSLYRVNGSNPNMESTGASSHQYFSQSFYQTQEELDYDYDDTDLTNKVRGHAEVGYYGEDYPSSLTPTRDLEQNYTSFNNSETYCMTSPRNNNGDQVSCFGRKTFLEGASLYRQNYASQLGYVGPILFNVDLNVQAGYQGERVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLANDDFLEHSTSASPAWRTGRRTAMPRVPRSNSTRVTLNGGDDEGLWDMKRPFSRSSTPFSQQNRSLKQRNTSFRSPLSHRSQKKPSNSKKGSSSSQKVRALSSISIGKRRQREGGQAKLHNILGDLIKPEGSIPLVTCVPAKVVFSRIMEAVGRPSLSVAHRVRMASPAIRDPL >OB04G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17187808:17190377:-1 gene:OB04G30880 transcript:OB04G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAGYFEDSHDQRLMAGALIHDSNQAPASGENTSIDLQKFKVHSYSTEALSNTTNLAEAARAINHLQHQLEIDLEQEVSPVETANWDPSICTIPDNIINQFSGGPQNILVEQQIQQYDSALYPNGVYTPAPDLLNLMQCTMAPAFPATTPVFGDTTLNGTNYLDLNGELTGMAAVPDSGSELMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDERDVIIGVGSVGGDLFQDIDDRQFDSVLECRRGKGEYGKVKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKMVKLDQEAAGDGESSSTKTLRDDLDNQLHGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKTNSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKRLLQAVEMQHQAIDIFN >OB04G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17195850:17201153:1 gene:OB04G30890 transcript:OB04G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:scramblase-related [Source:Projected from Arabidopsis thaliana (AT2G04940) TAIR;Acc:AT2G04940] MRWLPRLLSQAAAAAGRAAARDRCSHVGGSSGFASGRGVGDGTAVPREWLRKLWTEELRKQRDAARRWGMRAAAATEGGAAGSFGDFSKAARPFAHPETAAPRFAEEGASHEEAPSRSYQDDDGDFAPVETKLNPLLSRANLLIARDIEWANIMFAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLLRSRRPFVAEITDAMGNEIFTVRRPFWFINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLIDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLGRKLGLASDTDELHVVRQLTLPERAVALALAVSLDCDYFSRRGGWGLPFLIATE >OB04G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17212727:17217999:1 gene:OB04G30900 transcript:OB04G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLSSFVLGRSGTGKTTVLTMKLIQIEQQSLIASQGLNLDDADICDAENKRSTPPKDSSKVETSLKQVFITVSPKLCSAIRNQICKLKRYGSGDVSDRSSILHMPDMVDDPEDFTDIPDSFSGLPCEHYPLTITFWKFLMMVDGTCQTSFFDAFYGELKSCTEKGYSKSRALQVFIEMKEVTYEKFAASYWPHFNAELTKKLDASTVFTEIISHIKAGYQPTRSFGGKLERLDYLKISDKRFSSLNIEMRERIYDIFLDYERAKCSAREFDLSDFVNSLHCSLLSEGYNGDMVDFIYIDEVQDLTMTQIALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDIRSLFYTHFLSEIEPCGIGISHGKQVRITDMFQLTQNFRTHCGILRLAQSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSNHNLHGFGAEQVLVRDDATKKQIIDLVGKQALVLTIVECKGLEFQDVLLYNLFSSSPLRNKWRVVYDYMKGKHIIASSDEISHSFFDKNKHFLLCSELKQLYVAITRTRQRLWICENTDDNCRPMFDYWKKLCLVEVRLLDSSLIAAMQAGSSTEEDWRLRGTKLFTEGQYEMAMMCFEKAGDAYREKLARAAGLVATADRVISMNSEMGQSSLQKASEIFESIGKYEKAATCHMKLGDYKKAGMVYMEKCGNSMLKDAGNCFELSACWSQAAEAYFRAKCYTKCLSMCSKGKLFNQGLLFLQQLEEGHFLENSNFREVAAIRNTYLEDCALHYFECGDIKHMMPFVKSFSSMNHVRAFLHSKNLLDELLSLEMDMGNFVEAAGIAKDTGNVLLEANMLEKAGFIENATQLILLYVFVNSLWGSHRTGWPPKGFTGKEQLLAKAKEMSMKVSESFYSLVCLEADALSDDYKSLASITCKLLERSKCRNMVVELIASRLILDVHLQSESSNYSFESEPGSKDEVHYNCMLVRNHISPETLVYAWNSWSSIIIKVLTHLHHPEDIESNDSAAICEDLCGKYFGWRKDGDNNRYVDFWINELYSVGFSVLKKLESIVQVLPTPSCALGRTILIMYEITKFLRESEFRLLKNIEKFNNFIKR >OB04G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17222130:17222744:1 gene:OB04G30910 transcript:OB04G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYNRMSIRLSQCPNELEPADKRTHVYVNVIKRQEEYQVPVRPPSPFQGVGRTLGDVSSVEESLAPTPATQEPNRSIGIVVDDSLPRTSIQLRFADGTRMVARFNMHHTVGDIRSFIDASRPGASRPYQLQTGFPPKQLVDPTQTVEQAGLANYVIIQKM >OB04G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17224772:17227536:1 gene:OB04G30920 transcript:OB04G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLSRASRTAASCSSAALLRCPLDSFSQRFRSSPSPLLRPAPLPSTVFPRRLSDTAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDRFDHIVIETTGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDDAALEVLINKIKLINGMAQMRKTKFGDVDMDFVLGIGGYDLERIESEVQLHERKETGHCAAGDEHGHQHHHGHVHDSAVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFLFQGVHSVGGMPS >OB04G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17230714:17232554:1 gene:OB04G30930 transcript:OB04G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRSISSEKNMRYAAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASLQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGNSNVLSIALGNSVYLWDASIGSTSELVTVDEDDGPITSVSWAPDGRHIAIGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYQGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGLTVASAAADETLRFWNVFGTPEAPKTATKASHTGMFNSSNHIHIR >OB04G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17234615:17239226:1 gene:OB04G30940 transcript:OB04G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGTTLSELSHATKKFAECQMVMGVLWTRLSERGPKWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGILNDKEKIKSVREKAASNRDKYVGLSSTGISYKSSSASFGSSYSSGERYGSFSGTREADSYGDSYRDKEPVKSSPSYTGGQKSGSRIRKDVNRRTEDSHSPSPLKSSSNAKSNVDDFDDFDPRGSSSNGAANANTSGVDLFAPNLLDDFIDVPAAATPETNNSADSQVDLFADADFQSAAPSTETTARPDVQGNVNLFVEQPAFTAAFPPQTGFIPPPSSATSEANTSTSKNTVPAPFDPFGAIPINSFDGSDPFGDFNSNVGSSSIPPPTQGSVGNISTPSQKPQAASDFGGFVSSTVETTAKDPFDFSSSNLGKTALANPQADASDFGAFVSHSEEAAKDPFDLSSSTISGRTNQAPLAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLDDGSEEKAMPSWTMGAAGSSLGMSGIPSSTQSGGVESLANYNKYQFGFK >OB04G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17240969:17241256:-1 gene:OB04G30950 transcript:OB04G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHKKPKRKRKKRAQQTNESISANARANREEPRYPAAPLARSPPARRRRHVRDAIDIMLTNWHERAAQTVLIFTYTALFIRPDWILASTALQLA >OB04G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17241547:17243617:1 gene:OB04G30960 transcript:OB04G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGMEGCYGGVTAAAPARAPKPRLRWTPDLHERFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQNKNSGGLELAESGGHTVEGISFSIGAPPTPRNPAGGNDTGEIPLTDALRYQVEVQRKLQEQLEVQKKLQMRIEAQGRYLKEILEKAQKNISLDANGPANLASTRSQITDISLALSGFMDNATQVHEQNNELVKAISDDNIKVNNLGFQLYHVGSQESEDVRCTPKTEDLLLLDLNIKGGYELSSRGTQGCELDLKINQQR >OB04G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17244343:17245686:-1 gene:OB04G30970 transcript:OB04G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIMHLQRPAVSLHQLGLHSRLAPPNPAVQATPPRRRSPLSPFPAAAAAAIAGSRLAYRLPRASAAANPHPDPQDEPQPRGGFWAKWMAESAEMRAKVAKLGLSAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPVIDKGLKGIQEKLNLPSQMYAFALVVGSVAAVCFTIFGCLILSKWGK >OB04G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17245717:17251622:-1 gene:OB04G30980 transcript:OB04G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:J3M123] MSSRMAGSALLRHVGGVRIFTATLNSPAAAAAARPFLAGGDAVPGVWGMRMMSTSSVAATEEAAKEEAKKAGAEKKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRTLLEEAENERMHLMTFMEVAKPRWYERALVITVQGVFFNAYFLGYLLSPKFAHRVVGYLEEEAIHSYTEFLKDLEAGKIDNVPAPAIAVDYWRLPADATLKDVVTVVRADEAHHRDVNHFASDIHYQGMELKQTPAPIGYH >OB04G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17250563:17251781:-1 gene:OB04G30990 transcript:OB04G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:J3M124] MSSRMAGAALLRHLGPRLFAAEPVYSGLGAGARGVMPAAARIFPARMGSTSAAADADAKETATEKTPEPAAATDLQSKNGIVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHEPKGLPDKLAYWTVKSLCVPRDLFFQRRHASHALLLETVAGVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFLEVMQPRWWERALVLAAQGVFFNAYFVGYLLSPKFAHRFVGYLEEEAVNSYTEYLKDLEAGKVENAPAPAIAIDYWRLPVDATLKDVVTVIRADEAHHRDLNHFASDIQYQGMKLKETPAPIGYH >OB04G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17256977:17262056:-1 gene:OB04G31000 transcript:OB04G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSSKEGGEDGSRGAATPHSRDAVKSLASQLKDMVLKFSGSNKQHYKAAAGSPSFRSRSYRRPYPGFIDDSAFMTTTRPGGEAYMYTRATATAAAPPVRAASTSMATWDMTRSKSNRVWQQDAGRSPGGATWIPSIEEEAGDEVTVMEDVVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVHTFSGRQQGVSTPTSSVDDSVLRESSFCSRGGSTRESPVVTPAASSSSLAKEPVSRSMSCKAMAGSASASNHAAAASTRAACYPSAAVPDPSDHVWAHHFNMLNSAAAGSSAAGGGVPSCYDPSRGTTSSRDEASVSISNASDMEATEWIEQDEPGVCLTIRELGDGTRELRRIRFSRERFGEDRAKVWWEQNRDRIQAQYL >OB04G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17269139:17274623:-1 gene:OB04G31010 transcript:OB04G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAVVYEGGVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKDARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLEAEVQALEELFKQLFLEIYELRQAKIAAAFSRTWRGHVQNLLGYALSVYCVYKMLKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLLFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQTDKHPID >OB04G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17276064:17279889:-1 gene:OB04G31020 transcript:OB04G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARWAAVTAAXPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPILGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLAAPHSQAPATAPAAVTTTAFQNHSLYPAIANGGGGGGGALMAAGGGGGGCGGSAPGSFALGSNTQLHMDNAASYSTAAGAGNKDFRYSAYGVRPLADEHNPLITGAMDTSIDSSWRLLPPQTSAFSVSSYPMLGNLSDLDQNTICSLPKAEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPELADDNSLASFSATQLSISIPMATSDFSTTSSRSPNGIYSR >OB04G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17296142:17297708:-1 gene:OB04G31030 transcript:OB04G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G64850) TAIR;Acc:AT1G64850] MGQAWASLQDKLQGRQWKEKQVRKITDKVFDHLTEDAKKREQEALTFEEVYIAVLCVYNDINKYLPGPHYDPPSKENLKSMMNEYDINLDGLLDREEFAEFIRKLTADSLCAISLKLLVTLVAAPALALATKRATEGVPGVGKVVRKVPNSIYASVITLGVVMAQKSTEGVE >OB04G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17299480:17300370:-1 gene:OB04G31040 transcript:OB04G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFGRMWDRAQGPFGPFRVTRVAYRQAVRADDLRQGVQGAGRQEQAAPGHQLPPCRHPHGLQSFKKNKIEGITLEEFRGLIMEWVRKDLRLVLANKAAVAIMAAPLLAVTAKKAGKPDIRAGKQ >OB04G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17301232:17303022:1 gene:OB04G31050 transcript:OB04G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISSDLQSLKTLYGLLHKGPADENLDETSRAFLMRMLDDATQQTLLKQAKMLSGSAMSPALERKLSVQPDRRRRDDAMPCLKPIASFSPSVHASERSSRPRAQGTVRSRDSRHVQGDRFLARVASNLSSPPAVPPRRPDQRISRLASPRSSFRPVTPQHGTVAGGTPRSDRRGNENGPRRGSSGGDQSSTERGSSRRGSVYREPSAVQKLHRGGSAAAWPHGAEGSSTRHLGRTDSGLSVSVRPRRASQRAARCAAAPKLTSSSNAAATIRSRIGPNRYTMERSLHRAGEVEEESLRRRRGKEVADDASVNMGRSSRPPRRTLNKINSGSTYSSGGSSRAAASFASWSPTEASSSGASASAPSWVSSRPRGHAPPQHAFDIGASTSRHRRRRERQERRVGRLRWVKNKLALVFHHRHDHHHHHFGPSGNQNQEGLLASRGHHHMSPWGFLGGVFHRAKKTVQAKRRGGALFNAPPAYVWDTRRPPAGGAMWRMGSRLKVKKLNWLQRMNPRRRRRSGYGKAV >OB04G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17303213:17305620:-1 gene:OB04G31060 transcript:OB04G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:J3M131] MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRHVTTVKN >OB04G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17305874:17308097:1 gene:OB04G31070 transcript:OB04G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:differentiation and greening-like 1 [Source:Projected from Arabidopsis thaliana (AT2G33430) TAIR;Acc:AT2G33430] MAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >OB04G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17308494:17311525:1 gene:OB04G31080 transcript:OB04G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGANFLSTVRHPPAPLLASARGSASASAVSSPARRHAHNQVCCHAAAQDEPLQFRRRDLIGGCLTTAIGLEIIEGSTGFTGVATAADLIERRQRSEFQSSIKTTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSISLSAEISRPENRGLSAALDLIVDAKKEIDSYSKGGPISFSDLIQFAAQSALKLTFLDAAIAKCGGNEDKGRTLYSAYGSNGQWGLFDKLFGRQDAQEPDPEGRVPDWSKASVQEMKDKFVAIGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRDTVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >OB04G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17310378:17313619:-1 gene:OB04G31090 transcript:OB04G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:putrescine-binding periplasmic protein-related [Source:Projected from Arabidopsis thaliana (AT1G31410) TAIR;Acc:AT1G31410] MEGKLLLRPLLSHPRLPVAGLVPSRRLRASRILLSDRRPRPKARQLGVSLRRRPSHQTETTPSRGGREWWAPTVVAGLVLHLALCSVAFLFPTYARACVGALPPPPAGAVEVEEEDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRIKFSPEFRSSLDALFSEMSQCLEKGQLQPKSTMAADVVSIGDSWLGYAIRKGLLEPVKNAEEQDWFRSLSDRWKIHLCRNHNGDVDPNGSIWGVPYRWGTVVIAYKKNKFKRHNLKPIQDWEDLWRPELAGKISMVDSPREVIGAVLKYLGSTYNTNDMESEVNGGRETVLESLTQLQKQVQLFDNMNYLKSFGVGDVWVAVGWSSDVIPAAKRMSNVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLIHQWFDFCLQSARSLPFRQDVIPGASPLFLENPVPEVPQERNKRKPKLDTNLVRGAPPLEILGKCEFLEPLSEKALDDYQWLISRMQRPHRGLFGNLLQNISSVLNFKSRA >OB04G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17314792:17317763:-1 gene:OB04G31100 transcript:OB04G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGCGEGVGGGGGGGGGGRDERVPQWGAQETRELIAARGEIEREAVAARRSAKTMWEAVSARLRERGYRRTADQCKCKWKNLVNRYKGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLESESGPSVKKKLKRPSGNLSSEDSDDEEDAGEESGDEKPLRGRKRKTTDKRQQSQRRAEKSRTSISSIHELLQDFLAQQQRMDIQWHEMMEKRSRERLVFEQEWRQSLQKLEQERLMLEHTWMEREEQRRMREEDRAEKRDALLTTLLNKLLQEDL >OB04G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17315555:17315908:1 gene:OB04G31110 transcript:OB04G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCCARKSCNNSWMLEMLVLDFSALRCDCCLLSVVFLFLPLKGFSSPLSSPASSSSSESSDDKLPLGRFSFFLTDGPDSDSRSCCCIVLARSVKTACSSSKKGHCLPFSGSDVSFP >OB04G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17318278:17321603:-1 gene:OB04G31120 transcript:OB04G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPLPQFVATSAVVAAGLLLNFLNYFGWLPGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGIISGSLAATAVVMARMGKLSVGGTKLVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSPFTMLLAMIGNGLMIPRAVFIRDLMWFTGSAWASFLQGWGNLACMYCFHSISKESFLATTFGLLLWLGLTLWRDTIAHGNSSPMTSLKELLFGK >OB04G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17326145:17333995:1 gene:OB04G31130 transcript:OB04G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) TAIR;Acc:AT3G22150] MVAAAAASGAWLTRAAMALVMGGLLVASAKAGDVDMVFLKSAVSKGAVCLDGSPPVYHFSPGSGPGANNWLVHMEGGGWCRNSQECSVRKGNFRGSSKFMRPLSFSGILGGSQKYNPDFYNWNRVKIRYCDGSSFTGDVETVETLCRRFEINHTFAMQSTNLHYRGARVWNAIIEELLSMGMSKAQNALLSGCSAGGLSAILHCDKFRDMLPATAKVKCFSDAGYFIDGKDITGNNFVRTFYKEIVNLHESAKNLPVSCTSKMSPDMCFFPQNVVPTLRTPLFILNAAYDSWQIKNVLAPSAADKKKTWAQCKLDIKSCSSSQLTILQNFRAEFLAALPKPGQSPPTMSMFIDSCHAHCQSGSQDTWLEEDSPKVEKTQIGRAVGDWFYDRETAVTPKPEFGRTNTPPVAPPVLPRPPPTLLCNALLIAYVSRALPEEALRLYALLNHAARPPVRSDHYTYSSALTACSRSRRLRLGRSVHAHLLRRARSLPDTAVLRNSLLNLYASCVRYRDARVDVVRRLFDTMPKRNVVSWNTLFGWYVKTRRPQETLELFVRMLEDGFRPTPVSFVNTFPATVAADPSWPFLLYGLLVKHGIEYINDLFVVSSAIDMFSEFGDVQSARRVFDHAAKKNTEVWNTMITGYVQNGHFSEAIDLFSQILGSREVPLDVVTFLSALTAASQSQDVSLGQQLHSYLIKGMHRTLPVILGNALVVMYSRCGNVQTAFDLFDRLPEKDIVTWNTMVTAFVQNDFDLEGLLLVYEMQKSGFAADSVTLTAVLSAASNTGDLQIGKQSHGYLIRHGIEGEGLESYLIDMYAKSGRVEMAQRVFDSFKNAKRDEVTWNAMIAGYTQSGQPEKALLVFRAMLEAGLEPTSVTIASVLPACDPVGGCAGKQIHCFAVRHCLDTNVFVGTALIDMYSKCGEITIAENVFAGMTGKSTVTYTTMISGLGQHGFGENALALFNSMLAEGLKPDAVTFLSVISACNYSGLVDEGLALYRSMDAFGLSATPQHHCCVADLLAKAGRVEEAYEFIERLGEEGNFVAIWGALLVSCRAQGKQELAKLVTEKLLDIEKQYGHAGYNVLLSQVLAAESNWSSADSLRKEMKARGLKKEAGSSWIKIQNTASQHRFIEKKQNYLENEHIFSILNGDTGSMDGVI >OB04G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17334785:17337698:-1 gene:OB04G31140 transcript:OB04G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G31600) TAIR;Acc:AT1G31600] MAGSGYARAPPPAEGGAAAPSTALYVANCGPAVGLAHDDIRVAFGAFGEVAGVHDADGSGARVIVRFREPSAAEAAMAALHGRPCASLEDRVLHIRYSVPAKPKAPVGGSLPVATSASELGVPGIYLVEDFVTAAEEHEFLAAVDSRPWKKLAKRRVQHYGYEFMYETRNVDSKQFLGELPPFVSKILQKIISFPGATKCTSKMVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPEGNWRAPSMASGTDKDSLQEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCNCEYRQFCDSQRRWYFDGIFHFNWSALELCCTVLSLVSSSCKIEVGRQ >OB04G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17338509:17346614:-1 gene:OB04G31150 transcript:OB04G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAPPWKPSVGTVFRPLAGTAASGRSQEASLTSPSSSGNGVATRISNLHGVKRKPFVARLTADIIQTFVRCNPEFKYSESLNPKIFLTNPSTPAHNDGLDNVNWDLILYVNLELVNRTSNRRFIVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRALNQKFDPDEYNIVRMLDYLLFENHLCIAFEMLGQNLYELLKRNNFRGLKMKFVRTFSKQILDAMVVMGDARIIHCDLKPENILLTPSVTTNAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVILGYPYNTAIDMWSFGCIVAELFLGLPLFPGASEYDVLQRMVKILGGQPPDWLLREAKNSGKLFKHVGSVYHDTELHDGLGSSYRMFTEEEIEVRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKAERVILVDFLKGLLRFDPNKRWSPLQASRHPFITGEPFTGPYEPIPETPKIPVARAAAVEHNPGGGHWLAAGLSPQVGSINRSLPPNNPYPPKIPYSYGSSYGSLGSHGSYVGNAGIASSYGSFGDANAVNMYYSPLGPGFKQIESSPDVRLRPRFSHDRGIRLSPGSMGPMSLGPSPSQFTPPNYQMQIPANFTGMHGSGSPASGGIHGSPLGKTPSGYSKRSLPMAPHEYPSQHGQGRHGDGISFSHSDASVRGHPAYSQNSIPSSGYSSWRPQIGSVSSFSLEASSSHGPSQAFHSHIAPRLQTLDNLSDTTAPSTLDNAYWDPYFSDESLLQEDNSLSADLNSLHLGDSANQTSGSARAANVQSHIFVASNPLPAGESYRADQLFHAIPHGPLGGSTHSAVPINYGGYNPTNHLQQNTRIRHGQPFLQHRHNQATSTQNHPRGSHHNGQPAWRGYNMVDGMPWGGTSGHPFTTTGLPSSIPRKDYGSIV >OB04G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17355100:17359339:1 gene:OB04G31160 transcript:OB04G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPPTPRSPRPQAPSAERTKGPSGLEKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTNAAVKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLVLTSRIRNNNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERFTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTFVLRQDGLPDAVLWNPWDKKTKNTQDFGDEEFKHMLCVEPAAIEKPITLKPGEEWKGKMELSAVPSSYCSGQLDPNKVLQG >OB04G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17360690:17362787:-1 gene:OB04G31170 transcript:OB04G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDRQTHRTAAAAAAKEASETPRKSQLFRKPSTRTPQRSTASESISRNVASSSSENDNMPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSNRAISRPLHRAASRIRKADEGANTGAVNVHRNNSGDTRRNPDSEVDDFLLVEQAARESTEGFISGFLARYRSSHQGRFSSLEDSIEDANGYWRFDMEGTEELENYFIFNDRHRGMRMDIDGMSYEELLALGERIGTVSTGLSEDTLSKCLNRSMYMATAPGTHEDSDRKCSICQEEYSAGEEVGKMVCKHYYHFSCIQNWLRQKNWCPICKSVALNTN >OB04G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17363615:17364064:1 gene:OB04G31180 transcript:OB04G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMEKNKNDWLRRTRNRNFHGAPQPCKSQETNNKNRSMISTTSILKSKPFCASTRRRERKKPPHKNTRPESAKRDRNQYAVTNTRRWRSGLSQDRDRINRRDNTANIGTRCTRTRGREKKEKKSSQTFSFSRLLLIKSRSRPRTRRA >OB04G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17364153:17364575:1 gene:OB04G31190 transcript:OB04G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETTRRNERDGDEDEEEEEERGGRGRGARRDRIRTVRCGARAGTDGGRCAGVGPAQTDPPPPPNFPAPDNLPPVSTRGWTRTDTDRWGHSCSLRVSLSFFFPLLFSCEAASVFPASHYETSVIFSTWFDCCSIKYLPK >OB04G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17368513:17371008:-1 gene:OB04G31200 transcript:OB04G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPTLIYLLDWYCYWSNSGGSILKLHEDVQTCGYKDVQVMFEILKSELEESRTPKQRKPPAAWRPPPALWIQGRTGDVRDSEI >OB04G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17371852:17372202:-1 gene:OB04G31210 transcript:OB04G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILLNAKSRISSTIIYSYSVDHSSISFTSTNFLSMVECDSFPLHNAAEWMILCRLSLETIFLFAPSQFPLTFSKNRAHLILLNSNMWVQDEKSMVIRSLFLVANPAITNAKTPL >OB04G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17379176:17385204:-1 gene:OB04G31220 transcript:OB04G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMKDVDPSFRGVGQKDGLEVWRIENFKPVPVPTSSYGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGSETEKLLSYFRPCIMPQPGGVASGFNHVEINQQDHVTRLYVCQGKHVVHVKEVPFARSSLNHEDIFILDTKNKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKTSSEDNEDNGDDKETVTKLLCFNQGTLEHINYELLEHELLETNKCYLMDCGAEMYVWTGRGTSLQQRKGASEAAEKLLIDENRKRSNVVKVIEGFETIVFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKADPVKEEPQPYIDCTGHLQVWRVNGNDKTLLSPSDQSKLYTGDCYIFQYTYTGDDKEECLVGTWFGKKSIEEERTSAISLASKMVQAAKFQAVQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKNFIATNGIEDDTYVEAGLALFRIQGLGSENMQAIQVDAESSSLNSSYCYILHDGNTVFTWIGNLTTSLDNDLVERQLDVIKPDLPSRSQKEGKETDQFWELLGGKSKYSNQKIGKENESDPHLFSCILSKGQRNTPLYSG >OB04G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17377576:17378362:-1 gene:OB04G31230 transcript:OB04G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMCCQKPKRRTAAFSGRNAGQDKSQRTRSMSHSPERHRVRGRSPAFTAIASAFENPSTRNLSTPPPAVRKLFPKSVGSDFSKTSSKESAISSLTSAFEGPTKSTIPKSVKASPEGEKTIQEEGSTAGASENDLDDDEGGTIYPYERLIITSDDPAPDIDVTKREVYLSSSEFKEKFNMTRASFNNLPKWKQNRLKSDLQLF >OB04G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17387290:17388441:1 gene:OB04G31240 transcript:OB04G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPVHFVHFSHARRINHLIIYILLQPALLGETIREPLVIGAVVVDHVLVLRFPQLLLLPHVVQPPAEPVAGGGGGRWALAAHPGVRARHAAVGGEVPEVGHERRLRPVGLDAAALRRPVVGVPQAAEQPHRLVDRERHAGVQVVLELPDRHVVNQDPDEIDSSIQPYTFDIDSTLTHVVICKCSLQDLVWVPDDGVGVEILGRVEPEPVLHLPAAFAIGEDIGVQGVRLPGDVAQELEVDLVVGVPILLGYQLHQTPHIYHQARQKMHYAWSHAACQCDSVDPVCGCCFMVTYVYRGDGAGGVVGDELDVHVDPCEEVGGLGPERRPHLSVQRQRDGLAAMAVAEQLRLAKQDLELVRHQGAAGHRRGGGGEQQRKSRQAG >OB04G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17387362:17388543:-1 gene:OB04G31250 transcript:OB04G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3M150] MYSQHLTMAAQSTHSLHSSVHHRPEAMAPARAHLLACLSAFALLLAAAASPVTGGPLMTDQLEVLFGQTQLLSDGHGGQTIALTLDREMGSAFRSKTSYLFARIDMDIKLVANDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDAGVPFPIYQPMRLFGCLWDADDWATEGGRVKTDWSQAPFVAYFRNLTADGCVPGAYAWVCGQGPAASSAAGDWFGRGLDDVRQQQQLREAQDKYMIYDYCTDHKRFPDGFPKECGLQ >OB04G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17391814:17396445:1 gene:OB04G31260 transcript:OB04G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAQESQEEILPLSAEMNEVNEFLDSDREMKICEKEDMFDTQMGDMEKCDIPTDSDIERMRAEEALEELESFEEVYNKKQKKKKRDKGPVLAKRKSDRQRGQAIPIHKRAEMLTKKKNLEEQVKKAVEGGRG >OB04G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17400315:17401454:-1 gene:OB04G31270 transcript:OB04G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3M152] MAFGPRKMACALAVLVFGLCLGGARAATGRIDDGLEVMWGDGRGSVSPDGQVMTLSLDRTSGSGWRSKNTYLFARVDLQVKLVANNSAGTVTTCYFMSEGEWEIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTAQHILVLVDGTPIRETKNHADKGVAYPSSQRMRLYGSLWNADDWATQGGRVKTDWSRAPFVAQYRNFTAADAASEPAGYDQEMDATAQQAMKWARDNYMVYDYCQDGKRFPLGFPPECYMP >OB04G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17405403:17406544:1 gene:OB04G31280 transcript:OB04G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3M153] MAPLLQSPASSTKLCSLLLLTLAFLAAVDQSAAGIFDEIELIWGASRTYFFMDGDSEALALSLDKSQGSCFRSREKYLYVQIDVEIKLIEGDSAGTVCTVYTISEGPWEIHDEIDLEFLGNATGQPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPNHILILVDGKAIRDFKNNEDKGVAFPTWQSMRTFGSLWSAEDWATQGGRIKTDWKQAPFVSSYRNYNVTWCRPSPGVAWCGDEPRDSARFDLDQKTLGDLQWVRDNSIIYDYCTDTVRFNASTLPKECTLQ >OB04G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17406988:17410180:-1 gene:OB04G31290 transcript:OB04G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGKHEQQVAAAAAASALVIAVACCCFVAGCSAARGSGFHEEFDVIWGEDHVRVTDGAGRQVVTLTLDQSSGSGFQSKDQFLFGEFSMEMKLVPGESPGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFARGEGNREQQFYLWFDPTADFHSYTINWNPLNIIFSVDGKAVRVFKNNEAAGVPYPSGQAMRVHASLWNGDFFATRGGQVKINWPAAPFVSSYRTYAYSACAVPAAAGGGGGGPCSSGQAXXXXXXXXXXXXXXXXXXXXXXVAAVPAGPAG >OB04G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17423244:17425065:1 gene:OB04G31300 transcript:OB04G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRADDQLAIQEAATAGLRSLELLVSSLSSQAAGAHKAPQQQQQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESSVSAPVAAAPPPPPPPPAPLAAVAPLAPTSSQPQSLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLLSSGKPPLSGHKRKPCAGAHSEATANGSRCHCSKRRETPINSHATSPLKAPGANNFPLFFFLCHLLTWIGFCVLAQEEPGEEDDPSAGDQLEDRRHPAGRILMEEVRPETHQGLPLPTGLLQVQHRARLPGAEARGARHRRPGDAGGHLRRRAPPHAGPPAAGRRRSDAGVRRRVRRQRTRLT >OB04G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17429959:17430654:1 gene:OB04G31310 transcript:OB04G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPLRLPCSASASAAATHPNSTVLLRRAVTPRLPILPISPRASMSAAATPDAAASTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRPPLPPPPPPRPPPPWVGKRGRRRRMWSCSTWCCGGTSRTRGRWGAWWRRGATPPLPPCGRTGTTRTPPPTADLTTSTGCTRHVRRFASHKKPPLLFTLLLMLHFLLSHIGI >OB04G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17433016:17433786:1 gene:OB04G31320 transcript:OB04G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLGKHAVGRQRSGGSAMLGRVPPRREEAARREHGEEPRRGEAVERAVVGHEEALRVLVHPGAAGRHPRGEGAVLDERPGQPRLLLLLGVVGGVHAGGEVGAHGVEALVDDVHGRGDERVADGAEPGELGEAAHGVRQRGDGVVADVELPERDEEADGVGELPEELEVLADVERLEVDEVLEAVGERAEAVEPGVQRPERRHVRRRVGELGQLVGVHRQLPEAVQLEPQRVRQLRQLVEVGVQLLQCTVHSFISL >OB04G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17432375:17435594:-1 gene:OB04G31330 transcript:OB04G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGEKRRHHGHLNHAGFAGGMHDHEEKNKEQKLDMSGMSMDTLPHLTMSLGQVTILDLSNNNLESIPESIVARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPYSTSHMTALRALDARLNCLRALPDGLENLVNLETLNVSQNFQFLRELPYAVGLLVSLRELDVSYNSISALPDSMGCLTKLARFSAVGNPLVSPPMDVVDQSLDAMRAYLTARMNASDDSKKKKKAWLPRTLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGLASPRFLTMLSPRRLFSPRRNSPKHC >OB04G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17447517:17447907:1 gene:OB04G31340 transcript:OB04G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKWPGQPRTGQPHARHGSRRDRRGKKAKNREGFFFGTVSDAERTARKFFAPSHVVVERKNRRASLSAKVPVASARYLPSVWLRERNRDARTLHFFHGRPGWFQTPLRRRAAGFGLRLPILWLVHGMV >OB04G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17449175:17463905:-1 gene:OB04G31350 transcript:OB04G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3M160] MESASSSGPTSGRRRSSGGGSWGSIGIGSVADPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKREEQREEVIRKIRAQAHVIRAAFRFKAAGRDHIPSEVAAPQVDGALGFGIKEEQLTALTRDHNYSALQQYGGISGVAGMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAISLALGITTEGIKEGWYDGASIAFAVLLVVFVTATSDYKQSLQFQNLNEEKQNIKLEVIRGGRRISVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDHKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGIVGLSVAVAVLVVLLARYFTGHTYNPDGSVQYVKGKMSVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSATVSSLIVEGIAQNTSGSIFEPDNGQDPEVTGSPTEKAILSWGLKLGMRFNDTRAKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCKSWVAADGSKHSMTPEKTSEFKKFIEEMATLSLRCVAFAYRTYEMGDVPNEDQRSDWILPEDDLIMLGIVGIKDPCRPGVQDSVRLCTAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPVIIEGKTFRALSDIEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGWREPLITNIMWRNLIIMALFQVTVLLTLNFRGTSLLQLKTEDTAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFIAIVTITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPKRPLGDFFTCCCPGSEQAADAKGDDADHSDV >OB04G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17476870:17485402:1 gene:OB04G31360 transcript:OB04G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREELWAAASKEKAVAEKKKSIESRKLIFARAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >OB04G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17490851:17493329:1 gene:OB04G31370 transcript:OB04G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREELWAAASKEKAVAEKKKSIESRKLIFARAKQYAEEYESQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKIIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >OB04G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17498258:17499115:-1 gene:OB04G31380 transcript:OB04G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAAMCGAAEERVVATHKAPGACPRCGGAVVATDVESERRILCLPLCLKSKRKYSCTSYQDSHDCIVRLARKEYITTFVREAGERHFSVFLTSRCRIMPLTQQRSCKIAPD >OB04G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17505854:17512512:-1 gene:OB04G31390 transcript:OB04G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:J3M164] MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEITRLDDEINRLHDQLRKAGVHLDENPISAKIPREKFVEIDPINNQRREKVKEAMAHAWNSYVKYAWGMDELQPQSRNGINSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVAESLSFDKDYDASVFETTIRVVGGLLSAYDLSGDQVFLEKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLISLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEDTEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPSNDISKAHSVDFAPPVVRPFGRKQGKQE >OB04G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17514338:17519597:-1 gene:OB04G31400 transcript:OB04G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPDEPCWSIFVRNLDDVEKFFKDTVDNREEGIVLKDLESKWEPSDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALITKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYNLRFPRIQRVRYDKPWNECLDIQAFVDIVHSSNETTHRAADDDSGLKNVKLKQPRTNKKGEKKNVSIIPSRLMKTDISGLKGETLIFANTMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKCLLHLQPKYILFLVDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYYTDERFFFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGEVCSILAPATHLIIVSVLEAYNFDMLYKSFLPSERRYLHDKRLHVVSNKWLEDSVEKQRKLPETTYSLKPDTLEEIEVERSEETVQPSNHKHEENEEIDRSHVNHVPKKRGRPSSSTSRAAKLAPRPVRRTRPRKGNQHAKIDDVEPEESGHGETGQDDQIPDTDNISKMEQDGFDKDQVSPRPVQRSRARRGKRHAKIDYGGSEENDPGATGQDDQKFDADYISKIEEDSNDRDQGSHPAAPRVLRRSMPQRGKRHAKIDYGESEESSPGETAQDDKLDADSIYRMEEHNRDKYQEPPPGAQFITLDEQEAKGIKISTMETPSDRQHEINDAVVRTGTSEATSSATCAKMEQMVDPLHAMLLDMIPSLRQTKTEDSNRVPEAKAEKNPPWAGSSTPNYEFPVPEATSSGPNSSVPAPHAGSSTQSNGVPAPDPTAGAPKKKKVSYKDVAGELLKDW >OB04G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17524628:17527019:-1 gene:OB04G31410 transcript:OB04G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSAAMPYTGGDIKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVSNAGDRSNYSGSLPSSVPGASVSARAKSSSGPLNKHGEPVKRTSGPQSGGVTPMARQHSGPLPPILPTTGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKLRATSFSHNQAVTKITTEDSYSITGSLSKLILGAVGVLFVLGLIAGVLILGAVHNAILLIVVLVLFGFVAALLIWNACWARRGVIGFVDRYSDADLRTAKDGQYIKVTGVVTCGNFPLESSYQRVPRCVYTSTTLHEYRGWGSKAANTQHRPFTWGLRSMEQHAVDFYISDFQSGLRALVKAGYGARVTPFVDESVVIDIDPDNKDMPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNESVLMIVPPPEPISTGCQWAKCVLPRDLNGLVLRCEDTSNVDVIAV >OB04G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17527978:17528268:1 gene:OB04G31420 transcript:OB04G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPETDGCRRWNEEARRRPARAPARRPPHRGGEVEEEEDVPWLRFSPAARARGGEARRGEVGRGEGRWSCVRACAGNREEEEREEGSEGGLEGGR >OB04G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17537163:17540614:-1 gene:OB04G31430 transcript:OB04G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRLQDAIHRVLVRRAAPEWLPLVPGGSHWVPEMRRGVASLVGTAVHSAMGAWNAEPMTEEEMMCLTTIRGWPSAAYFVDGVNVAVGAMPVGDEI >OB04G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17545073:17548185:1 gene:OB04G31440 transcript:OB04G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDAQTQSRAHPSTAPPAAXXPAGEPVGFPQNGATNGAPLMFPVMYPMIMTGMHAQRSLDDQAQGPGIYAIQQNQFMGSTLIPLTYRIPTESVGAMAGEEQAQDARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASVIYLYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPVAPQNDGNIQPPGGNLADPANNGQAAENQEPGAAGGNENQQEVEGEGNRRNWLGGILKEVQLVVVGFVASLLPGFQHND >OB04G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17548350:17550862:-1 gene:OB04G31450 transcript:OB04G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT5G10620) TAIR;Acc:AT5G10620] MAVLPWSCRCGLSRSPGKSGGAPAPSPPDRRSKYTGQSVRAMPMRVLTVGKKRSRGTQLIVEEYKEKLGYYCDIEDTLIRSNPKLTSDVKVQIEAEDMAMMLQLKTEDFVVVLDEHGKDVTSEQIADLLGDAGNMGSLRLTFCIGGPYGLGAQVRERADATIRLSSMVLNHQVALIVLMEQLYRAWTIIKGQKYHH >OB04G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17551661:17553584:-1 gene:OB04G31460 transcript:OB04G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT5G19940) TAIR;Acc:AT5G19940] MSASAAPTHVRFSSAALALAALRRPRRRCAPSVRCSLAAAPGLRAPPELIDSILAKVNGTDRGVLLPKDGHQEVADVALQLGKYCIDDPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMVQVVEAPDVIRNKVSFSVLGFDGAVSLKGKLNVLDGKWIQVIFEPPEVKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRE >OB04G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17553886:17557935:-1 gene:OB04G31470 transcript:OB04G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQLWQLGAKDMKAVPLPRARTSRKKHVCILIAAFVSVATLWTYLYPPQHYTSPMRDWLPAEPVRELTDQERASQVVFKQILSTPPVKSRRSKIAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHSSPLFIGRDIHSEKVVWGKISMVDAERRLLANALEDIDNQHFVLLSDSCVPLHNFDYMYDYLIGTNISFIDSFYDPGPHGNFRYSKNMLPEVKESDFRKGSQWFSVKRQHALMIIADNLYYTKFKLYCKPGMEDGRNCYADEHYLPTLFHMMDPNGIANWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKKVVTQKPCLWNGVKRPCYLFARKFYPESINNLMNLFSNYTLF >OB04G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17561011:17563069:-1 gene:OB04G31480 transcript:OB04G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGFHIGLCWVYFEHLQELSDKWQLRGKSVLDVLLLKKLVPTAKAHLNSLKNSADAYASAIATRSTKLYKVCRDTIHPSMAKVQDFADHYWQESKKLSTPYITQIVAASEPHITRAGAVLEPYTRPAVSAWRRVFMSASLSHRQVQKGIKHFVNDNGLLKPDSADKLAWFTASALVALPMFYTYKMLSAAMWRRAVAAQGGGSSGSTKASSNRRRTRRADS >OB04G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17569603:17569909:-1 gene:OB04G31490 transcript:OB04G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDDQVLSIIFMTLYVSLWISFRNHTTAKEMWDYLNKRYTQDSRALGCSLLQNLSNLQQKEETLEEFYTIFTLLMGQLKAHFPKAASGCERCKEKEKHD >OB04G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17573199:17573486:1 gene:OB04G31500 transcript:OB04G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQGGGQKNTKIRASHSDYSLAAVGVPPLPRFSIPPEWLHQVAVGRQPFLQKLEHCVLSTPTKLLGLLPHRRFAGDVSVVIATNVLQYMFILI >OB04G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17575242:17575412:-1 gene:OB04G31510 transcript:OB04G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFCSNTQPALEIKAAQVWWKVLNEIQLPRCFPSNNQKKFDSCWISCREQVCFTT >OB04G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17588537:17591204:-1 gene:OB04G31520 transcript:OB04G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPFTSILVTSQHLPLMKLPKSNFEVVRKITGKVKHLHVFISVRLCSISKCAMCFFRRCFWYFMFQSKPLIVQLLYFMTLSFVGFLDLKIHKPRHKPTPRTLDLMFTSVSTVTVSRMATVEMEDFSDQQLWVLILLTLLGGEVFISMLALHFSNAGINTNEILPKRLSSTSRDIESFDAVNNSTQNNSEDCQSEATTSPNWVQESKTMKQKCRNILAHIVTGYFIAAVFCSFLVTIIFVQIDSYTRLPLKSKDIKIWTFSIFPAVSSFANCGFTPVNDNMAIFRKNTSLLLLVIPQVLAGNTMLSPLLRLSIWALGKVSRRQEYAYMLQHPKDTGYRYLQPQKNSVDTVFTVGGLILLQVMFLSFFEWNTSAFDGLNWLQKLVCSLFQSANTRHAGEAIVDISTLSPPILLLFALVMYHPSDDAVCPINFYNQPLTERERNSNDQAIWMNYILSKSCLAMFTILACITERKSISADPLNFIFRIAFEIISAYANVGYSLGYSCEKLLKPDATCKATSYGFVGKWTDEGKLIIILVMFLGRFKKFTLTGRNF >OB04G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17590679:17590984:1 gene:OB04G31530 transcript:OB04G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVEDNLFGRISFVLIPALLKCSASIEMNTSPPSSVRSINTQSCWSEKSSISTVAILETVTVDTEVNIKSRVLGVGLCRGLWIFRSRKPTKDNVMKYKS >OB04G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17591240:17596892:-1 gene:OB04G31540 transcript:OB04G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHEKLGVVELLHRLRRSTAAMLHGAMSLLCSLSRAYAHHQVRERVARSRRALRCGAGQAWRSAVTALPLDEPPPALRCGAGQAWRSAVTALPLAGPLAVHVAYFLAISCLGYGLLGKLKVRDPGRAPRGVDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMFIGGEVFISLVELASKWSKMRRQRIYKSRRVESHGEIALQAPAIADVENPASMITSTTTTEDATGTTDANTLRHNAVRALFYIVLAILVVVHVLGAVAVAAYVYASPGARRTLRGKSLNLWTFAVFTTVSTFSNCGFMPTNENMAVFKRDAPLQLLLVPQAXXXXXXXXXXXLPGAAGAPVLDAGGDGDRVPRRAGGAGVRHGVGRHASGDEPVGEGGERAVPRRELPAHRRVHRRSLHPRAGHPRALRAHDVSTSIHDVVSV >OB04G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17612386:17618513:-1 gene:OB04G31550 transcript:OB04G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonic acid kinase [Source:Projected from Arabidopsis thaliana (AT3G10700) TAIR;Acc:AT3G10700] MVAPGGGGGEVGASWPSEVELDIVRKKLVEISGRDAREVRVVACPYRICPLGAHVDHQGGTVTAMTINYGVLLGFVASDDAEISLQSGQFEGVIQFRVDDLQKPIENPENINWESYARGAVYALQNFGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLIVSPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASHSYVYFSELSKSQQCQGQLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLCASGCEDASNLLRNVDPAVYEAQKCILEENLARRAEHYFSEMKRVVKGRDAWARGDLHELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVEGDRAEEAAAYVRAEYEEAQPELVSKIPPDRRVLVCEPGDGARVI >OB04G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17627324:17627881:1 gene:OB04G31560 transcript:OB04G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPGGKKSNKITEIVRLQQMLKKWRKLSVAPKDAAAGAGGNGGGGGGAGGGGNAGESKAKKFLKRTLSFTDSGPSSGCGGSPSSGTPPRGHLAVCVGPSMQRFVIPTEYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKAVEKNKKDAAALCYCSYEYAADEVALGTPNNPLCR >OB04G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17627341:17627766:-1 gene:OB04G31570 transcript:OB04G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGTSQGMRSTPSCWNPNSSSASRSSAAKARCLRYSVGITNRCIDGPTHTARCPLAPPPPPPLPPAPAAASFGATESLRHFLSICCSRTISVILFDFLP >OB04G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17635601:17642688:1 gene:OB04G31580 transcript:OB04G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore complex protein-related [Source:Projected from Arabidopsis thaliana (AT5G05680) TAIR;Acc:AT5G05680] MLMPETDLGYNAMHVSLNTDGSSLLLAGSHNISVLYVHERVSKDGDTIICRTVPVASQILPSNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFSLEQPEQEFFLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYNKKHILEIYEDVNAFGLKSSNQNVVTNSRLAIAWLEATFPDLLHLPADSSGLMSRAHPYASLDDSLTLQGPLCRVCEENSEPESKSNSCEGKAVGFVYSSVGKDSVLVTAWGSGHLQIDALADEIQPQWSIGIPTRLNVDSHGQIKSVAMICDSNSEDSWAMRSYRPSSTGSNVKSNTDAVWMGHSPPLLRLAIVDLALPKTSNDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGDSETNYPFLSGFVTIADAYGHVQLVSITCPGECFVVEMKGWKEPTPLQLDIDSKFIKDVESFTTGMISKELVTGPDPPIVSSSSSLRSLAPDSIEGKSTLHHYIKVFHEHYVEYGHKVFIELKEHADYLKTEIEDKQKRLQSVKQTLLSIEAKDQDINNRINRAFKVYDLLEKRIEGFKILPAANKKPLSRAEQEFKAQLDRFADVELDALRSSITALSARMKRFAHPPIGSAASTGMPSWQTPKGPRTHVSDSQMSLLKSSLGKLSLLNEENNLKLQLIEHELKNQEQ >OB04G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17642820:17648379:-1 gene:OB04G31590 transcript:OB04G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFDQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDVSDDSDYAHALLAKALDEYASFKTKASKGMEEVENVDPRLEAIVERMLEKCILDGKYQQAMGMTIECRRLDKLEEAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSEDDALLAYQIAFDLVENENQAFLLNVRNRLASQSPESNPDSGSALPDDQTGNAGTDSTEPAGDVQMRDDTATPNGSAHTIDPHEVARADRLAKMVARAGRLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNNDLNVPKFEFLSHAKPSLFEYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKATEEVSGSTSSKAAKTQEKDADAMQVDNTVEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEDSRYVPVKPAPSGFILLQDMRPTEAEVLALTDAPSTVATSTGATTAATGQQASSAMAVDDEPQPPQPFEYTS >OB04G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17649575:17652174:-1 gene:OB04G31600 transcript:OB04G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G31240) TAIR;Acc:AT4G31240] MGEMAEGVAEAGKKCMSIPQLAGAETLLSSGGKEVPLSSINGKRTCLFFSAHWCRPCRNFTPKLLQIYKKLRNTGKNIEIIFISLDHDEISFLDHFKCMPWLALPFNTGLRQKLFKQFDIEHIPALIPLSTKIYDGFGIEEDAVKLVEEYGVDAYPFGEKRRHELEGMDDARRQGGNLQELLGCKERNYVISADGIKIPISDLNGKTIGLYFGAHWCPPCRTFTKQLREVYNELKTLRPGNFQVIFISMDRNEEEFQASLTEMPWFSIPYSDITMQELSRIFTIKGIPTLLILGPDGKAYKTDGRTVISKYGAMAFPFTESRTYEMEESLKKERDSLPHRVRDHRHEHELELDMTKAFICDECQQKGQNWVFSCKQCNFDLHPTCVQESTDVNI >OB04G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17653145:17653465:1 gene:OB04G31610 transcript:OB04G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMQVILGISKRWYLLHELNEMRPSVGTVRNAVEEEGFQKRVCACKVFVVGSQRRRVRSLMVFAKRRSTKRQPWCKSLFSDAWGWPHWSSDDVLSGVNGEEELCF >OB04G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17658414:17664073:1 gene:OB04G31620 transcript:OB04G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQAQDSADHMGPTESHLVVEKNSSTSVIVKEQILSTEDHSLSTTHLRGASSLKSTKSGQEKGSFLGKGGEQHFVYQSNVYAPQPHTVFSGGYFNHLGQWEEYPHVASADGTDTASPVMYSSYSPVPTMGDSQPYFPLHYPLSSPYYQPPASPSMGYSNSASGMSHFDPMHEYCLPDGLLYSPTPGLHRSFSSFDGTQMQQSVPGFYGQGNIPSGMHQGSMYGSGSYKARQQIGNFGGSTPSWGAGSRRFSPLDRGFKHDKGSLEFMNEQNRGPRATKPKKEVNNSSTEEKNRKTQLTIDSSLYNQPDFTIEYEHANFFVIKSYTEDNVHKSIKYGVWASTASGNRKLNAAYHEAKEKEANCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIVKDVPNNLLRHIILENNDNKPVTNSRDTQEVRLDQGLQMLKIFKNHVAETTILEDFDFYEEWEKAMLDIRQRQKQQYGDSELQKPTEAKEPVDLVTQISATFARAVQLGETKGSREDRPKVDDASSAAVAEDKPVALVKTEESLADSEPSPLKEGG >OB04G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17662117:17666745:-1 gene:OB04G31630 transcript:OB04G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGGGVVGRDDIGGNFCDMAYYRKLGESSNMSIDSLNSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGHVAANYSVGHSIFRPGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHSNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPALSEIMTRCWDPNPDVRPPFTEVVRMLEHAEVVILSTVRKARFRCCISQPMTTD >OB04G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17669275:17670603:-1 gene:OB04G31640 transcript:OB04G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPRACCCSGRAAKRPAQAQRRQHLYVVLEDWERGYSIHKVDVEAFDPDAADHPDSDEDDGVPPVVRVEAKHGCSSYFAAYGSKILAMFPVSGSPGVAVLHTESSGLSVYPLLSIYSRPPAMDDGGDKRWAWENITAYSQPPFNIHRAVCHALHPDGRTLFVSVRMDATEHTFSFEADEHLEWRHRGEWMLPFQGQAYYVAELDAWVGLCRRSEGLGHVCSCDLAPPLSAASPSGEAAAVPMPPRGKRCAGRGSSTRTTSGIKTRSWCASEAAGFASWRRWGTGTATGRCARSAASCA >OB04G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17670688:17674170:-1 gene:OB04G31650 transcript:OB04G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRTSSAGGPPRALAPGFDGDAGGGGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAATAAAEEEGRGGGRQPPRGKGFTDTQSHHVAARKEKQLETLRAALGLNVDDKPVKNIDLESDVESGELVPGNEYEGLHINGPKDGKTSNDDRRHAKKGKKDKGGDAKSHVKSSRKGKLGHAADSDHDDNKKNFRNGSEDDPESKYDEKRRSKHMKNSRHDTDDDSESDHKNAKRGKSSCHDPESDSESDHKNAKRGKSSRHDSVSDSDSDYGKKTTKHGKSNRDERKKPATISHRHDSEDEKPRKAKHVDDSDSDSESDGSYSESGSDYDKKKKSTNNSRRESKDDKPRRKAPKGKYSDESESDSDSDNGERSKYSKISRRESEHKSRKDADRQDQFRDKLKSELASDGYGEKKSVKIPYNDSKDGKPHSKVLRKGQYSDESETDSETYVKERKNIPKSYHHSDKQAQRGKEKEESSGKNVDKRKRHDTDSDSEGHARERKRYLDARSSLAAQKKRSLPSSSESSESSDYSSSSDSESDVSSDSREDRKSARQIKHELEEKKRSQDEKRKELEKQKVQEEEERKEQERQKQREEERKAKELEKQREEERKVLEKQKQRERDEDRQEREPERRKDGSDIDRDYKRKVEDRYEPSRRQDEDRYDPNRRQGDDRYDPNRRHEEYGRRKNRDSDSRDPKRSRHDDFYHYSRRDYEQRYSRDEYRDRRHR >OB04G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17673850:17674287:1 gene:OB04G31660 transcript:OB04G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLLARLLDALLDLPLRVPLLLERVPQQEQPQLHLPFPVVLQDLLVRLAHPAETPSAAAGVAVESRGQRPRGPSRRRGPRLDEELVRLHVPIRARPPRRLQTDAVVHGGVGGGGGGGRPVKP >OB04G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17675842:17679264:-1 gene:OB04G31670 transcript:OB04G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSFCLHPWATAVRYKEINPQAAERITILIIALQMEEDQSATYSVDDALLSSGFGRFQILILSYAGVGLIAEAMEMMLLSFVGPSVQLEWKLSAHQESMITSIVFVGMLIGAYSWGVVSDNYGRRRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGVGLGGGPVLGSWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSSVPSFVLLLFYVITPESPRFLCMKGRIAEAMDVLEKMARLNNVQLPSGNLVSDKNIELDELSTSESTTLLADAEENDTIVEEKGSSLGGLSIAKLLSPKLIRATLLLWMAFFGNAFSYYGIVLLTSELSNGNRICAKEEVESIRSNDASLYKNVFISSFAEIPGSILSAMIVDRIGRKLSMASMLFTSCVFLFPLVFSRTDMLTRISLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGRRLNDTEVVMN >OB04G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17683481:17684959:1 gene:OB04G31680 transcript:OB04G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGEATKAHGHEVTVTSMTTVAPALPVQEHRLALSNLDLLLPPMDVGVFFCYAGDGAAAALPAILKAALAEVLVAYYPLAGEVVATAAGEGELLCSGRGVDFAEASAGDAALCELRLGVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNIAGYVMPMPSARGDGDWVVYVHAAPEVVKAMEAEPATVFQAIEPDYVFGQA >OB04G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17691545:17693567:1 gene:OB04G31690 transcript:OB04G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDAQGGGAGVTAGHGEVITTAVAPALPTAVQPGPPPAAARRQRPPLLPPPGTVRGGAQGGSGQGAGAVLPARRRGRGQRRRRAGASVQRPRRRLHGGERRRRRGDARAAVRRGGRARREAGSRQERRQRYVCSGDQVQVRRRGRRLHVRPPRLRRLLLQHVPRRRGHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAEAMEEEPTVFRALDSSYVFG >OB04G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17695363:17699475:-1 gene:OB04G31700 transcript:OB04G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTASSSASCSPAAAGTSSACGGKKRPDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKSHELGLFAIFDGHLGDSVPSYLKANLFRNILKEPVFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMLVANVGDSRAVICERGAANQLTVDHEPHTTNERERIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVMHVPINSTIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >OB04G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17707910:17723559:-1 gene:OB04G31710 transcript:OB04G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVTDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDVTKSLSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCIQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGQEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFSQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHICMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIPCKRHSAPDVSFKGRVRKVAKVVEVSDDDTDDYSPSESDHGSTESDEEFHMCQICNTEEEKSLLLHCSGCSRHVHPGCLTPPWTGILTDDWSCYTCKKLEGEENEQDAHVADFSHRYDAAVERKLKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLVAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCSDGNQNSVQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDSLSGASTVFFTFTIDRGVTWELAKAMLDERQKEGAGSSNDGFYESKREWMGRRHFTLAFEGSIERMYKIIRPAVGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKLGSYCTVGRRLQEVNILGGLILPVWGTIEKALANQVRQSHKRIRVVRLETTNDNQRIVGLLIPNSAVESVLTGLQWVQDIDD >OB04G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17727890:17729331:-1 gene:OB04G31720 transcript:OB04G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAQTKHQNHLIPEQGEPFHPDYMIDEEGIHEANQILTRRHKQRNRELVGNGIRSAEKGQEKPLNQFRHQAQLDHMKQQLDQHSGYAFHMKQTHLDHRDRVHEAQLDALDPTDFSESGCIALINDTTSTHINCTAVHSVGSKSINVDKNQSQAPNPKQIIQQAQKQPSYIAISQENAQDKHADDVIHVHEKQRRTVNQANYRQEACPRLDNQTVAGDSSRGRVRCSEKEQPEPFSCTIRKRKTKRVLANSNSGLELRRSKRLAKDSPAANNKEHDNNKFFEQQVSQNDQVSAAVMDTESIHRDPVESRAASSTGHMPAAIADSEPSESEPDLYMPSPDQSLSNSPDIDRIINNICPSSPHRHEIPEKGSNESDSLQLITPPPSSHIDMSDPEQFACNYIPEEVRKALGKQKYNSLFEHAMPQESSGDVHVLTDSEEHDEPWIMAHQNVDNSQFYCTSLLLLCVLEINLSYKVIFLGGL >OB04G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17734177:17735785:-1 gene:OB04G31730 transcript:OB04G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCHPIRSEQTPAQCSIRSVPREETFSSFRTDSLAAVQHKAQKESIDHSTHIGESCIETLNKTIARSSSRKARLQSNNVSSTMGQERTEPLNQTVALEKTQADKTTGWNQKKNMSSKNVGENKKRKNKDLLNSPNGGLYLRRSKRLTKQPEQPINDDPVQQPAASPNQYNSDPPDIDRLIANLCPSPSPRCQMPQACSSESGNADAPALPASSNRDVPQAEKFPHCYSQLYPPEIKGTNQLDKSCEQVQPQSSEQVVHAQQDAYSYHPLLRSRRKSSARGRGRRPTRLIEPRREYDRPVLIPNNIDNWDVNPLCPKVASTLTAILKQNYPGSTYLPVGQHGDGLANGEVVYRWKHYPPETRAAILNEFLVRNLRHVHCHIYFLDF >OB04G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17739711:17747257:1 gene:OB04G31740 transcript:OB04G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNGTIARPGKKKSVSAAGPKSYPAGKLQEEHINKVIHASRAQGIPSNSEVLPDDMVTMHSKQKNGLMVAPSVIEHEQINPLHQVYNEQQAGDNSSDSIHAEQVQVACKAIQNNKKSTKYTKENQMTQSKSPLNYSTDLPHIRRSKRLAKGPAEPIDVEPIHRINASPNQSQSEAARFERTINGTDPISPNQHRYPQSVSNKLDNVDATTPALNHRMPQKEMIPQCYSQMYSPESRLALPNPSSMSWHEHEMTDEGFHGIVQLKCSDDEVHSIPLENQNQDMDGQLAEETSAGKNHLEQDSDNYAEHGRQKNGFIDSSDDAKHHGDFSSGMGTRHQINLPALLPVPADTPLPTNSAHSSFEKLPVNCSSPTTPHQQPSHLYSKDAWNGDILSASVSKSSKKRRGRGPAVLLEPRKEADRPVLTPNGTENWIVHPCCSKVTTTLSLLIKENYPGTYVSVDTNGTDKPCELVVYHWHQCPSDTRDTILDEFLKRYRWSPGQEEECQKIFDRKAVRQLVNLFCYEKQRARDLIAKKAKRSLAVVRGSRPLEEGSDGEDSEEQHGDESVLALDDPLNWKPFVPEWMQPKWWEKLCDYWAKDEVMKVSYQKRKNRNAGNPPCYASGSRSIAMHHRLKDTTYEHTAGARDAKLGPHPVQAQTGGCKRGRYYSDSGVRKKVQTDSLPKSSLGCSSNQEQLPMFTREQVQQMINQALQGLNETWEKKFLSLEQNMRIMFKSHFIPEGPKGSSVAVARDKQCQLARQDSLDSVDGEKHPAGGGEEDPESQDYEDEHWS >OB04G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17755400:17756086:1 gene:OB04G31750 transcript:OB04G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAEVVGVAADGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVVILGGRLTGRRRGVRPEPAAAGRGGVGYAPDDDDTTPQIPRRKA >OB04G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17761795:17765276:-1 gene:OB04G31760 transcript:OB04G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3M1A1] MELHRPPPFRSGGRGRSCFQKPFLVQAKRLQGLEKANTRQGKQSPEQLKKRAPLTPGTVSPPLPVPGHILRPPYVGKKDAPEIASEIQMHDKESIVHMKAACELAARVLEYAGTLVKPSVTTDEIDKSVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRKLQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEGSKRLVKVTEECMLRAISACKHGTSFKKIGRRISEHAGRHGFGVVEQFVGHGVGRIFHSEPIIYHQRNNMPGQMVEGQTFTIEPALTMGSIECNMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >OB04G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17767747:17768733:1 gene:OB04G31770 transcript:OB04G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding GREKGGRVADRAAEVADSAKHEAKDTASRAADKAANVTDRAKDETREMAREAADKAADLKDRAEDAAAQAADKAKDTARAAKDETAEAAEGAMESAGEAKDRTMEGTKHTGEKVAEMTKEGARKVVETAQAIGDKAKQTAQDAWGATKEAAKGVKDTVAGETKVEEHSTWDDVDAATKERDRIAQEEKKRQAREKGAGLP >OB04G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17767777:17768712:-1 gene:OB04G31780 transcript:OB04G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGLPLLLLLSYPVPLLGGGVDVVPRRVLLHLRLAGDRVLDALRRLLGGTPRVLRRLLRLVADGLRRLHHLPRAFLGHLRHLLAGVLGPLHGPVLGLAGAFHGPLRRLCRLVLRRPRRVLRLVRRLRGRVLGTVLQVRRLVGGLVLRPVRHVRRLVGGARRRVLGLVLGTVGHLRRP >OB04G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17769186:17774690:-1 gene:OB04G31790 transcript:OB04G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3M1A4] MAAESSASGGMRKASSVEWGASGGMRRPPSVEWGASGGMRKASSVEWGASGGMRRPPSVEWGASGGMRKASSVEWGASGGMRRPPSVEWGASGGMRKASSVEWGASGGMRRPPSVEWGASGGMRKAPSVEWRWVSTETEGEEEEEDVGGAAGVGAVGRGGSFESEEEEAEEDEEEGEEEGRKQKLIRTVPSVDWFDVEGYEVSVAHPVEDTEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFNKYPVLGEEDVLGALSLVLYTLILVPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQAHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLVGLVLFGTSMFISNGVITPTMSVLSAVSGLKVGIPNASQDIVVMISVALLVILYSVQKYATSKMGFAIGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWVSLAGCLLCATGSEAIFANLCYFPVRYVQSMFVLLVLPCLVLAYLGQAAFLIANQNSSEQIFFSSIPRGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLASCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVAIIMLLIWETNIVKVLSFVIMFLSLELVFFSSALCSVGDGGWALIIFASVLLLIMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSDIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHGIFERLLIEGLEKFIQREAVELSLQSEDDIDSDEEPPTPVRTIVAPNGSLYSLDVPLLVDFVPSVEVIPEASCSTPRLDPVVDYTQNFELELAFIRQAKESGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCSRAIMSMSIPHSNVMQVRLTSYV >OB04G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17777572:17781006:1 gene:OB04G31800 transcript:OB04G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAEKNGVAPDVQKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLGFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHAPEEGTEEWKLLDACINPKEWL >OB04G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17791999:17797474:1 gene:OB04G31810 transcript:OB04G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAAAEDGRRLPTLAALRAVDSGESSLLEVVLVDQCADAALADLERRALDLCRDAGLSLDLVRRLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRNRCVVVPIGSLSIGFCRHRAILFKLLADSVGLPCRIAQGCKFCSAPHRSSCLVKIENERRFVREYVVDLVVEPGRLSSPDSSINGQLLSYVPSPFKTSCTMGSANYATPVASRNHTIAEDSHSSILSNPQYTVAKHCVAEEKSSAQVTSKEAMLPKRSQITLNGNCNNMEVFDVSKQLKAMEMGSKNGDKENITGATPLKRLSIEPSFAVDWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASTGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWSGLSPAQVVGAVAFQNRKLPIPQDTVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >OB04G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17805507:17808865:1 gene:OB04G31820 transcript:OB04G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLDAYFPVAGRIRRADADDRRPYIKCNDCGVRIVEVRCDRDLDDWLRDDAPDRLRQLCYDKVLGPELFFSPLLYVQVTSFKCGGMSLGFSWAHLIGDVASATSCFNAWAQILSGKKPEGSTLEPANRPDERAPAGAAPPRCVKPVGPIEDNWLVPAGCAMACYSFHVAEPALKRLQQQEEGRHAGTFELISALLWQTVSKIRVSKEVTTVTVVRTDMSARSGKSLANEQRGRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRGWRRGWPRARSTRPTRSPRSRGTSSSTAPT >OB04G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17811253:17812968:1 gene:OB04G31830 transcript:OB04G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREISQPFYDKKEAAKLAAQAAALEAPKVPVRAPPPSQDSGSPPAASSAVAMDVEMADEGNNRSKSLLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >OB04G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17814405:17817624:1 gene:OB04G31840 transcript:OB04G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRRLLATLWVVVAAASVATARFVVEKNSVKVLSPRSLRGRHAAAIANYGVPDYGGTLTGVVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADEPLLTMDSPEEETPDMAFLANITIPSALVRKPFGDALRAAASKSGADDEVVVRLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVGAFRGHAQLLEKAGYALFTPHYITWFCPEAFLETKQCKAQCINRGRYCAPDPEGDLDAGYDGRDVVLENLRQLCVHRVANARNASWLWWDYVADYHLRCSMKGSHYTRRCAEDVANSLGLPMDLIDKCMGDPDANAENDVLKTEQIVQVGHGARGDVTILPTLVINNVQYRGKLERKSVLKAICAGFKESTEPHVCLTPDMETDECLNNNGGCWRDEKTNITACKDTYRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWTATRDGKTFSACSDSDLSGCKCPPGFKGDGIHCEDVDECSEKLACSCPHCSCKNTWGAFDCSCSGNLMYIKAEDTCIAKNMSAIGWLVTTLVLSCLVGAGVAGYVFYKYRLRRYMDSEVMAIMSQYMPLDGQNGERMPLRQEAQEEQP >OB04G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17818028:17824715:-1 gene:OB04G31850 transcript:OB04G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGPENLPKGADERRLREVFSRKGEVTDAKVIRTKDGKSRQFAFVGFRTNEEAEEALEYFNNTYIETCKITCEVARKIGDPDAPRPWSRHSLKKPEYNSKDKTKSGDVIAPLKSSKGQKISVDVGGSKGSASSDPKFQEFLEVMQPRSKAKMWTNDTVGALDNAAKDSMVVSAESKKSQKNVAVSDNDSSSGDSSDDEMTNDPSESDDSSSEDAPEELKKESKQGEDMTDMDFFKSKIKKNWSDSESGSDDSGDQSDNSTNAEDSSDESHDADEIAHQKDNVSLKNNIDKETTLEGSTMQEVGSPDNEEVEDLDSQLKDDNQEKEDEVVLITDEKKLALETGRLFFCNLPYATTEGDLVELCSQYGDVDQAHIVVDKVTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPINNKKFESNHVEEKMSLKQQKLDQRKASEVSGDTRAWNSFYMRQDTVVENIARKNGISKGELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPEDILSPTSTPVEDDEKNVVGERIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTLDESLKQHFRTKLKSGSLKSAMVKKHIKNGKNVSMGFGFVEFDSVETATNVCKDLQGTVLDGHALILQLCHGRKDGQAKKKSEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGTHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRLSKKRKQASLVDEGSVKFSRIVE >OB04G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17828304:17831174:1 gene:OB04G31860 transcript:OB04G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEYPKNIHCQLSMVDALENIGISRHFDGEIKSILDMTYSCWLQRDEEIMLDITTCAMAFRILRMNGYDVSSDDLCHIAEASYFHNSPQGYLGDTRTLLELYKASEISVEDNEFILDRIGAWSGHLLKEQLSSGALERTQSIFAEVEHALDCPFYATLDRLVHKRNIENFVTMSYHMLKTTYLPCQTARDLVALGVRNFSTTQSIYQDELKHIDSWVKENRLHKLKFARQKSAYFYLSAAGTIFDPEMSDPRIWWAINGVLTTVVDDFFDVGGSREELENLISLVEMWDEHQKMKFYSEQVEIVFFAIYNSVKQLGAKASAVQGREVTKHLIEIWVDLLRSMMTEVEWRISNYIPTSEEYMTNAAVTFALGPIVLPALYLVGPKIPESVVRDSEYNELFRLMSTCGRLLNDVQTYEREEGQGKVNSVSLLVLESGGSVSIEEARGEIRKPIERCRRELLRMVLRRGSAIAAPCRELFWKMCKVCYFFYSRGDGFSSPTSKVAAVNAVVPEPLDLAS >OB04G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17833534:17838664:1 gene:OB04G31870 transcript:OB04G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSSSSCCRGGQFHGASSGAMAANRSTRGPRPLLTRVYAEKRLGVGGNASILQDMHRKELQDRIREKLQTVELSTSSYDTAWVAMVPLRGSRQHPCFPQCVEWILQNQQDNGSWCTNRFGSAVTRDVLSSTLACVLALKRWNLGEDHIRRGLNFIGRNFSIAMDEQFDATVGFNITFPGMLSLAMEMDLEIPVRQTDMDRLLRLRETELKRESGDQCYGRKAYMAYVSEGLGNLLDWDEIMMFQRKNGSFFNCPSTTAATLINHYDNKALEYLNLLVSKFGSAVPPVYPLNIYCQLSWVDALDKMGISEYFATEIKSILDTTYSSWLERDEEIMLDITTCAMSFRILRMNGCHVSSAELFHIAESSSFHESLQGYINDRKSVLELYKASKVSLSENDLILDSIGSWSGSLLKEMLCSNGVQKTPTFEEMEYALKFPFYTILDRLYHKRNIEHFDSKDSQMLKTEYLLPHGNQDILTFAIKDFSTSQSIYQDELNYLDSWVKDEKLDQLPFARQKLTYCYLSAAATIFPPELSDARIAWAKNGVLTTVVDDFFDLGGSKEELENLVDLVEKWDGHHQGEFYSEQVKIVFCALYTTVNQLGAKASALQGRDVTKHLVEIWLCLLRSMMTEAEWQRIKYVPTMEEYMGNAVVSFALGPIVLPTLYFVGSKLHEDIVKSHEYNELFRLMSTCGRLLNDSQGFEREDMEGKLNSISLLVHHSDGSMSIEEAKRTAQQSIDTSRRSLLRLVLGEQGDVPGPCKQLFWKMCKIVHMFYFRTDGFSSPKEMVSAVNAVVKEPLKIKVTDPYASILSGN >OB04G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17847379:17852397:1 gene:OB04G31880 transcript:OB04G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQQTAAAGFIVSFPAMLRLAIDMALEVPVRQADLRAILRLQDLTRDAGLICSGGRKTYTANISEGLGNLDWDEVMKFQRKNGSLFNSPSTTAAALIHNYDAKALQYLDMLLDKFGSAVPVTYPVNIQCQLHMVDVLEKMGISRYFVDEIRNILDMTYSCWLQRDNEIMLDMETCGMAFRMLRLNGYDVSSDELSHFAEPSSFHNSPQGCLNDTRSLLELHKASKVTILEKEVTLDNIGSWTGCLLKEQLLSSEAKGNPLFEEVEYVLDFPFYTMLDRLDHKRNIEHFDITSCQMLESAYLPCNSNEEIMALGVRDFSCSQSIYQEELQQLNSWVKENRLDQLQFARQKLDYFYFSAAATILPPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVERWDKNEKTEYYCEQVEIVFSAIYTSTNQLGSKASAVQGRDVTKHLVEIWQELLRSMMTEVEWRQSQYVPTAEEYMENAVVTFALGPIVLPALYLVGPKLPDSVVRSQECSELFRLMSKCGRLLNDVQSYEREGAQGKMNSVSLLAVHSGGSVSLEEAARDTQKPIERCRRELLRLVVSRGGALPRPCRELFWKMCKVCYFFYSHGDGFSSPTAKAGAVDAVIHEPLRLEAATC >OB04G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17852874:17854888:-1 gene:OB04G31890 transcript:OB04G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNRGDRVVGMMLLLQMMMFLLLACTSQCEARALRRSRKNSLLNALYKLNFIRTVEPMQLPSAPPSKAPSRDGDAASLAAADGSSSPYCVNPPNAPL >OB04G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17863786:17865878:1 gene:OB04G31900 transcript:OB04G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVTITPWVGPLGLPPLLAAAACCVLWAVNDKGGGGAGGRVESGGGAGGPPSRQIGRPSEEDQPTGRPSVGRRGWSEEELGRTPWPSPGTXXXXXXXXXXXXXXPPYTPTPTPPTPSPSTGKCPINTLKLLACVDALNGLVHAVVGANAGDTCCPLLSGVADLDAALCLCTAIKAKALGVSLVLPVAISVLVNECGKHVPSSFQCPS >OB04G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17865572:17865817:-1 gene:OB04G31910 transcript:OB04G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRTRETPSALALMAVQRQSAASRSATPDSSGQQVSPALAPTTACTSPLSASTHASSFSVLIGHFPVDGEGVGGVGVGV >OB04G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17867434:17871921:-1 gene:OB04G31920 transcript:OB04G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDLVISEIDSSAATSLQAVKLLALYLSGDKEGPISSLKEWLSDSAIASNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGTVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKRAASAEDTFERALQAIA >OB04G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17873219:17877701:1 gene:OB04G31930 transcript:OB04G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTTAAAAAAAAEQQQHTTRKVLGLAAHDASGHLTPIRISRRNTGDDDVAIKVLYCGICHSDLHTIKNEWRNAVYPVVAGHEIAGVVTEVGKNVERFKAGDSVGVGCMVNTCRSCESCRDGCENYCSRVVFTYNSVDRDGTRTHGGYSGMVVVGERFVVRLPAALPPDRGAPLLCAGVTVYAPMRQHGLCEPGRHVGVVGLGGLGHLAVKFAKAFGMKVTVVSTSPAKRQEALEGLGADAFVVSTNASEMKSVMGTMHGIINTACASTSMNPYLALLKPKGKMILVGLPEKPLQIPPFALVAGGKTLAGSCMGSISDTQEMIDFAAEHGVAADIELIGADEVNTAMERLARGDVRYRFVIDIGNTLRSD >OB04G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17877574:17879175:-1 gene:OB04G31940 transcript:OB04G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASECALDEATYELAVPALARAGRIDAARKMFDEMRKREGIGRASAGVYGVLVDVMAKAGRLDAAMGMYREMVAVGHRASPAVSTALVEGLVRAGKLDAGMELWDEMRRGGLRPSFGLYTMVVEANARSGRLDVATQLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGMYDSARPLLETYVAGLAKVDLILYTSILAHLVRCQDEGSERAIMDILSASKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYENEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTMHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLGMSR >OB04G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17878690:17880813:1 gene:OB04G31950 transcript:OB04G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHQASVCGRCREEARLLHITKQLCGDIQPPGPCICLNHHGVQPKARTESSPPHLIPKLHPSIQLPSPHQPLHQGRAHRGARTVPHRHHLSVHPHSSVEPPGLCHHVHQHAVHPSRRPPNPLPLPHFIEHLACSIDPPSTSKGWHSELVGCLVERALRRGHFVEHFKGLEREAPSEQQREEGVVAVRLQDPEASVAEALEAEECRLEEAVGGKRGDDGVEGIGKCRGDGVGEGIVEDGEELGGVGGRGEAGGKEGGQAVGGDEPWLDACPGDEGEGRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRRRGGASLAVAVAATRLSPQVSQLGWLIFSWAVIWPIIFIVQGPMAHLIFSWALNLAHSTRRARPNGPSHAVSESSSSSLLFSSCSRSRSPHVSTSPSPPPASLARRRTPRAPPRLGLRSSPPQLRGLVLSIYSRPRLSIPAFDSPFQALRAGDDGYARFG >OB04G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17880639:17882087:1 gene:OB04G31960 transcript:OB04G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:J3M1C1] MSGVITKFAVASMVMWMVPVAIVYGFYYQMFPGVSQLSSSTQTLASGFLAVISVNLVIGFYICMAMKETPQQEPQPDPTFLANAKASINQPTSSQLNDDSQGKGKVE >OB04G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17882424:17884598:-1 gene:OB04G31970 transcript:OB04G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQMLPWLLFFAQQVAASACDCANTTDGTDRQGTMKLKLIAIASILTAGAAGVLVPVLGRSMAVLRPDGGIFFAVKAFAAGVILATGMVHILPAAFDALASPCLNKSVGDSNRFPFAGFVSMSAAVATMVVDSLAAGYYHQSQFSKARPVDNIDIHKHAGDEKAEHAQHINAHTHTHTTHAHSHGDIVVHGSPEEGSVAESIRHRVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGVGLGGCIVQANFKVKATVIMAIFFSLTAPVGIVLGIAISSSYNEHSSTAFIVEGVFNSASAGILIYMSLVDLLATDFNNPKLQTNTKLQLMAYLALFLGAGLMSMLAIWA >OB04G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17890804:17891118:1 gene:OB04G31980 transcript:OB04G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFFSPSPFLLWKGREYQNISKRCCTNRVVLNYISHQVTTHTEQVSINKKKLIILEHLLQGCKNRGREKIRRRAPRERKGRKVTKSSMHECKKRAGMSRGED >OB04G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17892761:17895536:1 gene:OB04G31990 transcript:OB04G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] MEAAARGRGGGVLVALAALLLLALASGASAWAHGHGHGHGGVGAGLVPGAGAGERRYVDLAMRRMESVRSSFGARRDLATSTASSRVYRVTDYGADPTGGADATAAINRAIADAFRRPSNATLTGGIPDLGGAEIHLDGGSYLLKGPLTLPASGGGNVKIHSGSLRAADDFPTDRYLIELSAKAAGGGSPSLSYYYEYVTLRDLMLDCSYRGGGVSVVDSLRVGVDNCYVVHFATDGVAVSGGHETFVRNTFLGQHMTAGGDSGEREFTGTGIRLDGNDNSVSDVVVFSAGTGIMVTGGANAISGVHCYNKAAGFGGTGIYLKVPGLTQTWITNCYMDFTGIVAEDPVLLHVSSSFFLGDANVVLKAVNGVARGVQIVGNLFNGRDKGVDIVQLDGKFATVEQVYVQQNAATGMTVRSTSARGTAEGNGSSWTVDFSPVLLFPDRIGHVQYSLVAGDAFPGHALRNISGNQVVIATDAAVSATVHVLVDQNSN >OB04G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17899368:17901519:-1 gene:OB04G32000 transcript:OB04G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPTCKSPPSPLKSQLGLPGGGGGGGKLHGVGSAQAMAPSHLNLLLLLGASQQETARAAAAAASSSVPTSKATDTKSRSKNGGRDGGGPDPQRSDFYLNLGTAVRTLRDDLPAVFVREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDITRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPVAKVGSIADIVVATYPPSPNLTFWDMVGSTGDGCSWTKLYQAVVETVEWEGDNPAGIAIEGLLTCS >OB04G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17903113:17907221:-1 gene:OB04G32010 transcript:OB04G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSIAIPPSYAGASPPSPSPIGGGGGGVGAGVGQPSKDRKMASAEQLVLDLCDPELRENALLELSKKRDIFQDLAPLLWHSFGTVGALLQEIVSIYPALSPPTLSPVASNRICNALALLQCVASHPETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGGSMGGAPQPGLDHMMGI >OB04G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17907669:17909834:1 gene:OB04G32020 transcript:OB04G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >OB04G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17910850:17915135:-1 gene:OB04G32030 transcript:OB04G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAPAPAGRGGSAPPQAVLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPAVEPVPESSVSKVEERSQEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNIVPIHWYIMTSPFTDGITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMDTPYKVAKAPDGNGGVYAALKSKRLLEDMSARGVKYVDCYGVDNALVRVADPTFVGYFIDKAVYSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSIYHLAEKKIPSIHGYTMGLKLEQFIFDAFTYSPSTALFEVLREEEFAPVKNANGANYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >OB04G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17919916:17924279:-1 gene:OB04G32040 transcript:OB04G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEDSHRVTRSGIVRATPAVSIDGKVEPAGEGLPPGWLKAYRPRKSQSGSKIKGDTFYIDPTNMYEFRSLKDVHRYLESGDVSNCVMIPNKKIKDLHTARNQSHHTQRPSDQSQLDVGKGSAQSNLPITGDENTHSDALVNANGSDNSEDMSSSEPRGVSKGKLTGLKLQKERVPNQPVEHESSTREEADMEQKPKEKKQKTKPVKQIATPLRASPRLAALKINQEANNVPKDEPPSMHADTANQLQPKCSERQKAKANSSVLPEKKDASHTSNASGNVKNKYPSATEQIQGSSVYPQQVGTADAMPGSAISSLLRSIWSDPCLKFAFKTLTGDIPVLDFIPSQDLNKGSTPNCSSSAYDVSRNHAQVDHVGRPMPRPSDKFYSSGWFPPQ >OB04G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17929261:17934299:-1 gene:OB04G32050 transcript:OB04G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3M1D0] MASLSESEGTNRGRMWELDQNLDQPMDEEASRLKNMYREKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVDDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSVAAKIKRWLEAHAYKRNCLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNTSTDVVVIVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGALNIHKYNSSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVLAIQIAFTLIVFPCLLLAYTGQAAYIISHKDHVADAFYRSIPDSIYWPAFVIATAAAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIPDINWVLLILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFFVLSLMVEIPYFSACLLKIDQGGWVPLVIATVFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPIDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCILSFLRLESMMEGYSDSDEFSVPEQRTEGSISNAFLIEKTNNNNTMCSNGELSYSSQDSIVPVQSPLIRESSLLRYSSQASHTVSDELEFLNRCRDAGVVHILGNTIVLARRDSGIIKKVAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >OB04G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17934062:17934298:-1 gene:OB04G32060 transcript:OB04G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEPPKEKEKKTALLFLFLLLLFPCLQLQQRNPYPNAAAEAVQSVSAGCKQRRMLLLESFPSYFLSVWLGAALSLSLSLP >OB04G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17940612:17942735:1 gene:OB04G32070 transcript:OB04G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPFRPDILKGKAALVTGGGSGIGFEVVTQLARHGAHVAIMGRRREVLDKAVAALRSHGLRAVGYEGDVRKQEDAARVVAATVQHFGRLDILVNGAAGNFLASPEDLTPKGFKTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGIIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMGKGSREIMPLFKLGEKWDIAMAALYLTSDAGKYVNGTTVVVDGGLWLSRPRHIQKEEVKELSKVVEKKVRTSGVGVPSSKL >OB04G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17944212:17945675:1 gene:OB04G32080 transcript:OB04G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKKIENLTNRQVTFSKRRMGLLKKANELAILCDAQIGVIIFSGTGKMYEYSSAPWRITNIFDRYLKAPSTRFEEMDVQQKIIHEMTRMKDESNRLRIIMKQYMGDDLASLTLQDVSNLEQQIEFSLYKVRLRKQQLLDQQLLDIRNREMQIPGDQSNYLCHMNLASDQTQAAAMVNPKPFPWWDVGSQMYNQDAESSMTALQLSPQLHEYKLQPLQPNLQEANLHGYVLRLW >OB04G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17952689:17957246:1 gene:OB04G32090 transcript:OB04G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIRHAAFAGSFQGQTGCTSHASARQFSTGLAPLPDSPEEAGFKGHSMLSPFTAGWQAKDHPFIIERSEGSYVYDSKGNKYLDALAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTTKPPLDLAKELIGMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIARSKAYHGSTLISASLSGLPIMHQKFDLPAPLVLHSDCPHYWRCHLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVMAAGGIIPPPKTYFEKIQAVLKKYDVLFIADEVVTGFGRLGTMFGSDMYNIKPDLVSLAKALSSAYVPIGATLISPEISDVIHSESNKIGGFAHGFTYSGHPVSCAVALEALKIYRERNIPDHVKQISPRFQEGIKAFAGSPIIGETRGVGLLLATEFANNKSPNDPFPIEWGVGQIFGEECKKRGMLVKVAGDVIGMSPPLIMTHGEVDGVRSNFTLLPFLQLLAIDENNIINMSYSTAFSS >OB04G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17959786:17960034:-1 gene:OB04G32100 transcript:OB04G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGREGRSMEFADSEGGAAGGNRRPARSVDHYCVMRLRYRRLLAFLIKNDYTGGLQCVRKTSISSLPPLPSPPLPWPKKEW >OB04G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17963399:17969047:1 gene:OB04G32110 transcript:OB04G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARGLLRSNASPSSQAINLLKYVTSNGSLQGHAQNLGDASRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDVHPLVIERSEGSYVYDIEGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKEMLSIFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGCDMFNVKPDLVSVAKALSSAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVALEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIIGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGAALKATEERVAELKSKKTN >OB04G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17974043:17982816:1 gene:OB04G32120 transcript:OB04G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQAAEVGEAIAPKLPDKATDKAQVHDGDKENLVNGNSNLPVKETHNDDDDGTGSDGFELIDVKENFDSAKVVEEERASPIEQGNATALEEQTLAGESAMLKQEAKRLEELTRRIEELEFEKGKLVKGMEEAENNQNLHYSSLQEAQRSLADKDKELADATQSLKEMGSELESSKKRIQEIETELAASADKLHKLEELKDERSLHAAQEAKRASELDKTLEMAQLNIKEMETQISSLQEEIKGHQDKAIDHQQVEESLRSTISELKMVQEALELSKSQVSDLEQKLASQDANISSLTEELSLHLSSEESLKEKTLKLENELSSAQEELQAKILNLQEMEVKLEEQAKEKQTRETTLEKQQEQILNLQAELDESKGGNETLQGTIADLNSKLAETDSLLRQAEDEHSKAQLLLSEALSHKDELEVNLKSINEQHDESKASAENANQKILELEAQLQTLHAGEEALKLQLEESEARVEAAEKKSSGLEQLLSESENKLVASNGELKLMEERLQQEAASSAEKEKQLEEAANGAEAYKEKINELQSSLDSTLSKNHLLEQEVKDLSNKFSEHQEQVHSVHQRSLELESLLHTSKSDAEVAHSRTQDLENELNTTNAKFKEVEAELEHYRSKVSQLSDELEAYQTKAASLEAVMETASGKEKELMESLGQITEEKKKLELLIVEYEEKTEEHLKEKQSLEERLQSQESKVLDLEESLVKLGEEKESHENTIADLNLQLSNKNDMYIQLESQLSQAGDDHSKTRSLLSEAHMHKEELELNLKSLNDLHAESKTAAESALQRIAELEAHVQELSAAEENLKSQLTEFESKLASAEKKSMDLEQELKDATEECNRSRLKVDELSGELEDYKEKRTDLEASLLEAKQKEAELSDKLDQANEEKEKIEELSKKATIKHLEAENQVQTLQGELQSARHKLEEVESDLEALGVRESSVLDKLKSAEEQLEHKGKALEHATSKKIDLEALYKSLLEDTEMKLQQAGENLTQKETECQQLSENLKAAEEQAASYQAKATAAAEEVESMKVELEAFENEISTLETTIEELKTKASNAESRAQQALAESAMMSETNQALKEDLGAKLAMLRELQEQFDSTHAEKEEVVTKLSAHERTIEHLTEVHSRGLELHATAESRNSELEAQMHEALETIEKKDSEVKDLNERLAALESEIESLTHVNEAMKQEINSKLVTVDELQEKLSSISSEKEEVAEKVAVHEKTIEHLKEENSRGLELQSSAESRTAEIESELREVLETVAQKEAEVTDLKEKLVSLETENENLVDTNKALKREIDTKVTMFDELQERFSSMHAEKEETAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIEGKLNEALEIVAQKEAEVKDLSKKLDALEIELGYYEEQATEAAATEEMHKVKFDEAVQKIKSLEEQLALTENKVDLFHTEKENLVIANSRLNEELEVHQNKLNELQVALAAVVAEKEGLSEEIHSLRKTLDGMIQRKEELESQVSSTVEEHEELKSKYNVALEEKQLLSERYDSAKKELGEAVARLEEQMNVDKSEKELHISKLERQITLSELKYMEEIQTMQVETTEKGDALTAKMQEHANLLQEKDVLEQKLQEVKKELNDAYRTIANQEEQVSVREIKWDAYRKYSEDQLEAEQQHVAELEVQVSALKQQLQEAEIHYKHKEEQVSLREVQWEADQEHSVNELKAQRKYAAELEKQIGALTQQLQLVETQYKQKVIEEREKLAVVNTEVNKLTQKLSKSAEMEKKIEDLEQKLQLAYAKSEEQAKDSVESTSRDFSFDSSTLPNKQRDRSLTPETTHPNTTQQELREPSGIMAFKFILGVALLSVLIGVFLGKRY >OB04G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:17994544:17999637:1 gene:OB04G32130 transcript:OB04G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDRAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGALTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDMLCGSDECIGSGSQVASQETFGTLGAIVKRRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVIAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEQPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRFALVAAVNSAVGESSGAPVPIPEEKVEEIFEPLGIQIQQLPRPDMAASGTEGEEASNAVVNVEEHQFISNFVGMSPVRGDQDGPRNITNLNSPSEEELAMELHLGDREPKRLRSDSGSSLDLEK >OB04G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18000188:18005460:1 gene:OB04G32140 transcript:OB04G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19860) TAIR;Acc:AT4G19860] MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGNKERVWVRILAADHEFRAKLWSKFDASTGKTISVDEKTHIVVPEDRYGLYAIDSLDPDMIICDDSVCYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSDTLDRFSRKLESVYTASGGKKINLITHSMGGLLVKCFMSLHSDVFEKYINSWIAIAAPFQGAPGYITSSLLNGMSFVEGWESNFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWREKLDSNGKKSAMLESYEPDEAIKMIRQALSKHEIISDGMHIPLPLNMDILRWAKETQDILCKAKLPESVKFYNIYGTDYDTAHTVRYGSEHNPISSLSSLLYTQGNYICVDGDGSVPVESAKADGLNAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLSDFVILPTAFEIEKHREKRGDVTSVREDWEIISPCDDERKRPAELPPMFNTISASREGKDGPLEEAQATVVVHPESKGRQHVAVRAVGVTHGG >OB04G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18002714:18006403:-1 gene:OB04G32150 transcript:OB04G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAPPPGTAAYPPPGTAYPPPGQQAYPPPGHGAPAPVAADYPPKDYGAYQQPPPPPPDTQSRGDGFWKGCCAALCCCCLLDMCF >OB04G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18011182:18014049:1 gene:OB04G32160 transcript:OB04G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) TAIR;Acc:AT1G64810] MEILSSKGFCFSLMDIYGSRAHKLVKVGSQPRQIAWRASRICCEHSPDTSSNRYGRYQKQPQNVDLPELHPKKKKKPFPVPIKKMVQASRRDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNTVTVYGCRKCPQVHVGPIGHQIQDCYGTGSQHRNSHHSWVRGSINDILIPIESYHLFDPFGWRVKHDTRFNYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGFVDEPKPHHAQDCTSLLAELDTFSNQQGQQPTPSNVTELAEKTLKTYLDLRRGVKQLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVLDDVIPPNYVWHVRDPAGPPLRSSLRSFYGKAPAIVELCVQAGAKIPEEYRPMMRDDVVIPDSEEARMAA >OB04G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18016033:18016374:-1 gene:OB04G32170 transcript:OB04G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKQTNLARLGWCCDLELFFPSHGDDDYGAGAPDRVIDLDFELNAEAARLLLSGIYTRPAVQARLLQLRRKNSDTRPCVQRPLIFVAVFTCVILITLAHGRRYILRQLNHAY >OB04G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18016547:18019398:1 gene:OB04G32180 transcript:OB04G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKIAEVMASAPAPQAVASEEGKKSDASGGGGNGGDKPAAPADEKSARKDEGKDGKGDKKAAAAAAGKEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTSTTTTTAARSPPWWSRCTSRTTPPRARRRTTPEATTRRCRCRRSGSTLPSSGRSRPASTRTSSARTTRSAATSCETKR >OB04G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18019892:18020185:-1 gene:OB04G32190 transcript:OB04G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGH >OB04G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18025987:18029662:1 gene:OB04G32200 transcript:OB04G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPDGGGSVSKAKVKLLVNHFIVNYRQASTIFHYDIDIKLDKSSPKASDMELSKADFLTVKDELFRDESFRRLSSTVAYDGKRNLFTCAELPDGFFRVKVRSRTYIVSVEFKKQLPLSQISELPVPREVLQGLDVIVREASRWRKIIIGQGFYSQSRRVNLGDDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQNIATYIDHRTALDIQQLEKLKNKITGQRVTVNHRRTKQKYIVHGLTDKPASQITFVDSESGQTKRLIDYYSQQYDKVIKYPMLPCLDLSKSKDKQNYVPIELCELLEGQRYPKESLHRDFDKKLKQMALIPAPKRKQEILDLVNAEDGPCRGEIAQQFGISLDVQMMEVTGRILPPPSLKLSSSNGQPTNFNIDKPNCQWNLKSTKLAEGRVLQCWGIVDFSAIPRDEYECPLHREMFIDKIVSKCCELGIKMNRKPCFEHPSKMSVLSNPRELYEELNKAKQAAAETKQKLQLLFCAMSEQHPGYKTLKLICETQLGIQTQCLLSTLANRTRGQDQYMANLALKINGKIGGINIQLSPDSLPRVSGAPYMFIGADVNHPSPGNVESPSIAAVVASVDHGVSKYVPRIRAQPHRCEVIQHLGDMCQELIGVFEKKNRVKPQRIIYFRDGVSDGQFDMVLNEELADLEKAIRTEGYSPTITVIVAKKRHHTRLFPKDGREKHQTSEGNVLPGTVVDTGVVDPAAYDFYLCSHNGMIGTSRPTHYYSLMDQHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLHYEGMMMSQPPPPSSESSSAGAFDFSNFPTLHEDLVDSMFFI >OB04G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18031765:18032670:1 gene:OB04G32210 transcript:OB04G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATARGVGGSREAEEVIEVVVDISTSPAVRVGPVMAMRSAMGVGLAAADVRPVLAIGVATTCGAAFFQHESSEEVLFDPKGLREALTKAKQAAAEVNQKMQLLFCAMSEHHPGYKMLKFICETQCFFSRPADSTDQPCSDDQR >OB04G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18032752:18037028:-1 gene:OB04G32220 transcript:OB04G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRSGRQGVQRATSKVPSRQALAERRQRGSRGQFLSKEGAQSADKIEQKAEEEPEVPPEVVANAGGVPIVGMVFENEEKAYEYYASYAGNVGFSVRKGLWDKTVKNVARSRVFVCSREGFRSNNEAKRPRPETRTGCPARIAIKLVSNGKYRVSEFVEDHNHQLSAPFDIEMLNSQKVFSKIQPGNRNASNIPPGCKNYIRTKSTAHINPEDLGALMDYCRRMKSENPSFYYAIQVDENDKATNVFWADARSIVDYHYFCDVICFDTTYKVNDCRKHLALFLGMNHHRQMVIFGSAFLYDETVESFKWLFETFKSAMCGKQPKTILTDRSAALKEALSLTWPGTVHRSCLWQIYQNAAKSLKHVFNTFEGFALDFRHCIFDIKDDQEFDEAWNVIVEKYNLKEEEWLNKLYEDRENWALPYNRQIFLGDIKSMLQAETCCVRLREYLDCEKHIYLFLKLFESSTEKRRQEEIQADYQASQGALRVSVPLLWQGANLYTPIIFELFRKEYELCMDCMAYNCGEFGSLSEYMITVKNKTKDQLVRFDSSDGTVACTCKKFENAGILCCHILKVYELRNVKEIAPQYFLKRWRKDAKLGTADGINGFNFDNDTKSSVPERYAAVCRLFYKIAAKAAENVDTFALMANQSDQLFEEVERILQSTMASKSSVGPSIKDQLTRMVQSDYLLNNSNEAEKSTGKKKNEVYRHKNALGTNKRQKTRKDTRHPDGATTGPRDGELNMTPENAQSESRNSPNQFLPDQLMQGHYILGHSFENLHNNLNQFGQASSVPTLQQQAFPVNNQLAQGYPSDMHGLQFVGTNPQMEHENTDQTQSSIPVWDFL >OB04G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18038119:18040985:-1 gene:OB04G32230 transcript:OB04G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAPGVDFHLPDEILAVIPTDPYEQLDVARKITSMAXXXXXXDRDRAEADLRGRLADSNARLLAALDENAKLAKERESLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLPETGDDHDLDTDNNLTARVPSWKAEVSSSRISVDTSSRSTMTESAQGHQFSITSYTAPKLTTGSTPIISSSGGSPRASSPKFLSGPTSPTRSLSESHSTFSSWHGSTSHQYSAPTSPPQRRSFAGQPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNHS >OB04G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18040618:18041106:1 gene:OB04G32240 transcript:OB04G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAKFLAIFFAVEARDSLSFASFACTHTESNTTHLSFCRQKAFQRREKGLTFSSRAARRRALESARRPRRSASARSRSXXXXXXAMEVIFRATSSCSYGSVGITARISSGRWKSTPGAASCLAIATVTGTEPAQFLRGGGAGGGGSLWGGRRRRRIARLQNKAF >OB04G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18043576:18046098:-1 gene:OB04G32250 transcript:OB04G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56090) TAIR;Acc:AT1G56090] MAGAAAAAAVEQAHELYRGGRHREALELYTAALAGAGGAAAAAVEQAHELYRGGRHREALELYTAALAAARGTAQRIALHSNRAACYLKLHDFHQAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLALAPIPESEEESLYLEEDKEELPPRENRKIEISITKSDQPATEPIFEKKPAAILEKRPASEPPKVEVPPSLPPKPQGWETIAKPKGHSGLDYSKWDKVEDDSSEEDDDEEELPQYKFKVRTVGVRFQDLKP >OB04G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18047867:18056260:-1 gene:OB04G32260 transcript:OB04G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSYLRFVHGCVLLLLLCSSSERAGAQAQQQPPPQTDPTEAAALGAMMARLGLSAPASWNVSGEPCSGAATDDTPLDDNPAFNPAIKCDCSHLNNTLCHITRLKINTLDVVGPIPEELRNLTHLVKLDFRKNYFTGPLPAFIGELTSLKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPATLSQLANLSTLWASDNNFTGKIPDYLGSLTNLTQLRLQGNSFQGPIPRSFYNLVKLKSLRIGDIVNGSSSLAFIGNMTSLGDLVLRNSKISDSLSSVDFSKFGSLNLLDLSFNNITGQIPQSILNLPFLSFLDFSYNYLSGNFPSWATQKDLQLNVVANNFVINGSDISALPWGLNCLQRNTLCFLGSPKSASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGAPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDMQSWRSRGRRIFDIYVQGERKEQNFDIKKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPSQGYYGPAISALSATPNFIPTVRSPADKNRSRTSVIIGVVVGVAVCALVSLAGLFIWRQKRRKLLSELEELYNIVGKPNVFSYSELRSATENFSSSNLLGEGGYGLVHKGKLSDGRMVAVKQLCQSSNQGKKQFATEIETISRVQHRNLVALYGCCLESNAPLLVYEYLENGSLDQVLFGITLFVFKSLGILLYNFKIVKHQSSSLGKGSLNLDWPTRFGICLGIARGIAYLHEESSVRIVHRDIKASNILLDADLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGEPNYQNTLEEDRTYIFERVWELYENGRPLDFVDPKLSEFNGEEVMRVIRVSLLCTQGSPHRRPSMSKVVSMLTGDAGIAEDATKPSYITEWQIKGGSCCHHTTGSSQVGSASTEPSSGEAQASSQGAGGGSPLTPPPLFTSIIDEGRIEDLMDELLGML >OB04G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18060145:18067504:-1 gene:OB04G32270 transcript:OB04G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSQLLHGALLLLLAAAAAVQAQQAARTRTDPTEAAALNAVFAKLEQQAASSWNISGDPCTGAAKDGTPIEDTSFNPAITCDCTFQNNTICRVTKLKIFGLDVSGQIPQELRNLTRLTYLNLGQNILTGSLPSFIGELTNMQNMTFRINSLSGPIPKELGNLTNLVSLGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPLPATLSNLAQLSILRIGDIENGSSTSLAFIGNMTSLSSLILRNCRISDNLASIDFSKFASLNLLDLSFNNITGQIPATLLGLNSLNSLFLGNNSLSGSLPSSKGPLLTTLDFSYNQLAGIFPPWASEKNLQLNLVANNFVIDTSNNSVLPSGLACLQRNTPCFLGSPQSSSFAVNSGSSRLISGSDNLRYQTDDVSLGAASYYVTGSLTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSASSLRYYGIGLENGNYTVNLQFAEFGIEDTQSWKSLGRRVFDIYVQGELKEKNFDIRKTAGDKSYTVVRKPYKVPVTKNFIEIHLFWAGKGTCCIPTQGYYGPTISALSITPDFAPTVGTAAQQNKSTSKSGVIVGVIVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYGELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTDNLNINWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENDNPLGIVDPRLSEFNRSEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVADVLTKPSYITEWQIKGGNTSFANSAVGGPSGSVLPGPTSQQHSSPFLNSIIQEGR >OB04G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18069596:18077925:-1 gene:OB04G32280 transcript:OB04G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRQRQLLHGCALLLLLAAGAVHAQRAATRTDPTEAAAVNAVFAKLGQQAQSSWNISGDPCTGAATDGTPIDAASFNPAITCDCTFQNNTVCRVTKLKIYAVDASGQIPEELRNLTRLIDLNLGQNLLSGPLPSFIGELTNMQKMTFGINSLSGPIPKEIGNLTNLISLGLGSNHFNGSLPSELGNLNKLQELYIDSSGLSGPLPSSLSKLTRMRILWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSTLILRNCRISDNLASIDFSKFASLQLLDLSFNNMTGQVPASLLGLNSLNYLFLGNNSLSGSLPSSKGSSLSTLDFSYNQLSGNSPPWASEKNLQLNLVANNFVIDSSNNSALPSGLVCLQRNTPCFLGSPHSSSFAVNCGSNRFISGSDNLRYQTDDTNLQAASYYVIGAQTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDVYVQGERKEKNFDIRKTAGDKSYTVVRKQYKVPVTKNFLDIHLFWAGKGTCCIPTQGYYGPTISALSIIPADFTPTVGTTAEQNKSTSKTGVIAGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFNSSNRLGEGGYGAVFKGKLADGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGNEKLNIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLSPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENDNPLGIVDARLTEFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVAMLAGDVEAPDVLTKPSYITEWQIKGGNSSFANSAVSGQSSSAPEPTSQQGSSMFLDSVIPEGR >OB04G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18080604:18082661:-1 gene:OB04G32290 transcript:OB04G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSLDQALFGSGRLNLDWATRFEIFLGIARGLAYLHEESRIRVVHRDIKAGNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDALEEDKIYIFEWVWGLYESNRPLDIVDPNLTEFNSEEVLRALHVALLCTQGSPQRRPPMSRVVAMLTGDAEVAEVAAKPSYITEWQVKGGGSGATTGSTSGSGGSPPPRAISPKISSPFLSS >OB04G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18084278:18088031:-1 gene:OB04G32300 transcript:OB04G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILWASDNNFTGQIPDYIGSWNLTDLRFQGNYFHGPIPSTLSNLVQLTSLRIGDIENGSSSSLAFISKMTSLSTLILRNCRISDSLASIDFSKFLNLTLMDLSFNNITGQVPQTLLNLNSLNYLFLGNNSLSGSLPSSKQPSLRNLDFSYNQLSGNFPSWIRDENLQVNLVANNFVINRSNSVLPLGLECLQRNTTCFLSALVSSPFAVNCGSRRSITGSDNNVYQTDDASLESASYVETQRSRPIWGVSNVGKFMDTSTENYKIYSVRQFQGTLDSELFQDARMSPSSLRYYGIGLENGNYSVMLQFAETGFGDPGSWTSTGRRVFDIYIQGERKEHNFDIMKEAGGKSYTAIKKNYTAHVTKNIVEIHLFWGGKGTCCIPSQGYYGPSISALSVIPSTLLNYKSWS >OB04G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18089232:18091302:-1 gene:OB04G32310 transcript:OB04G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGLRRMIQFHFALILLLLAAAAAAQVQKAPTTDPTEEAALNAVLDRLPEAAPRPRWNTTSDLCSGAAADNRIDLYGDPSSKLAIECDCSDQNNTVCHITRLKISDVNMAGNIPEELRNLTRLTHLNLSKNLLTGSIPLFIGELTSMQYM >OB04G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18105064:18105402:1 gene:OB04G32320 transcript:OB04G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRRSRVVAGQEACERLVPGGGGEKAAAAAAVPRGCVALVLVGDGGGGGERVVVEVRALERPRVGALLEKAAREFGYDQKGVLRVPCTADELRQAVAADSAAGASSFRRR >OB04G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18108580:18113570:-1 gene:OB04G32330 transcript:OB04G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) TAIR;Acc:AT1G03000] MVERRERGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAGGGEFGEVASFVALPSSALRRLAVVTGTLVLVKNADNSVGRIAKAVVLDQPYLDDSLTEHTEPVVVASPSDNVMGILPCHSFPTNGFQHLDEEVAYVSPLLAFNLGVHITCLKLLVQNRDKPFKFCSKVEESDLVASGGSNLTFPLEILPCPQMPKYALHLRVSVVKIPECGVLASLKTNSSIGGNDYQDMIDQALNEHFKFDRLLARGDVFCIRNNWNCSATSCLACNREDNRLHPRDLIYFKVTGMEPSDEPILRVNCNQTALVLGGSASAPIPPYSLFAAPGVSVPLHSETVEQLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHLGLHVVECSCHDLMTSSESGAPAALMAAFKEAQKYSPCIILLRHFDAIGNVSSNDGTQSAQSGTAANIESAIKQYIGQHWVALESPPARDLNGNPYLVEPECVSSLQVILVATADRAEGMQQSIRRCFRHEIKMKTINEEQRQNLISETLHFVSAAADGSTNNKFVKDLAVQTSGFMPRDIFALVADAGISFAHKLAMEKTSSEGGKVEGILPASSPTTQNEEKHFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKCLAKTLEADPSRNSEANADDVIVEMNDFMTVLGDISPSLSIEELQNYEQLRHKIEGPSR >OB04G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18125465:18126558:-1 gene:OB04G32340 transcript:OB04G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAADVSSVARLLRGEAGKRGGAAAEVVTMDLLGGCGGDAGGGXXXXXXXXXXXXXXXXGEVPAGWERRLDLMSGKTFLTPRLQGVQVGHQDLNLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCLTYVLIAEADPRCPRCAGNVPPLAGKPRDAAAAGDGSGKKPKIDLNAAADDTE >OB04G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18136382:18139914:1 gene:OB04G32350 transcript:OB04G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase [Source:UniProtKB/TrEMBL;Acc:J3M1G0] MTPTALFASPSLSRVSPAPAVRAPESLGRSGSSGFAFPARLQGLSLHLRPPAMAGAPPRGGRGGGPRRRGGPAAGGGGGNGSTIAPEDSTALSRVGEVERVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVYVKAKGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGTNSHHIIEATFKAFARALRQATEYDLRRRGTVPSSKGVLSRS >OB04G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18139476:18141963:-1 gene:OB04G32360 transcript:OB04G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGASAAAASVLAAVVAAVVVCSSVLPRALASDPSPLQDFCVADKLSNVFVNGFVCKNPKQVTANDFFFRGLNVAGNTMNAQGSAVTPVTVVELPGLNTLGISLARIDFAPNGGQNPPHTHPRATEVLTVVQGTLLVGFVTSNQNGNQLVSRQLGEGDVFVFPMGLIHFQVTQGGWGCSHQQWFHALLSEPLVPLNIAIYKKK >OB04G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18144744:18153505:1 gene:OB04G32370 transcript:OB04G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVARRSLPPFLRLRSPPCDDGYWIGRLDHKDWLSPNEVLKIFASIGDPRLIASSFRRACGRRDYKPSEALYSLMIDRLAGCRRFGDVEELLAMARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGCGCWPSTKTFNYVLHMLVCRRQYEVVHEVYLSAPRLGVTLDTCCFNILVKGLCQFGRFDDAISLLNEMPKQGCAPNATTYSTLMNFLCQSGQVDRAFELCERMQEEDIAADTIVYNILISGLCREQRVTEAFDLFKSMVPKGCYPNSGTYQVLLDGLLSSEMFLEAKGLVSMMSAERMRPGFSSYKLLIDGLCNVNCVDDAHLVLKQMVEQGFVPRLLAIKFHAHNLDWKMHALSFQRMYYVEKNLVWEQQGLENDVPWAHGCQSPWTKLKMMELFANANKTASQPLHMRPSQCAAISQHMQQESDMVFWCWKVQVLIRQATFAGMDFGDHRRKPNVVGKFTVAAALTVMCIVVLKQSPGFSSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQWLFPEPGRLQFIYADLGDAKVVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSRRSEMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKKATGANIKVDFLARRPGDYAEVYSDPSKIHDELNWTARHTDLRESLSTAWKWQKTHPSGYGST >OB04G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18156822:18157037:1 gene:OB04G32380 transcript:OB04G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHKQIDACLLLEVYAILACLAVKFVFFSETEKDTMHCCTQLLVSLANIQEYLTNCTNYKWSCTRVTLCT >OB04G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18162654:18166805:-1 gene:OB04G32390 transcript:OB04G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLMAKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAGALAKELARWNEVSDFHRRASELYRECGRSQPASDALAKGASFLEDNTPEEAIKMYDEACTVLEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIVYLYAHDFQQAQKCYNDCSEVPAFLSSDQNRCAMKLLSAYEEADAEEIKRAAQSSAINHLDHVVIRLARKLPTGDLQTIKKLAADDGEDSLDENDLT >OB04G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18171032:18176476:1 gene:OB04G32400 transcript:OB04G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIPIVFPNSEHRYCKRHLLQNMANKGWRSEMYKGFVDAAVYATTEWEYEKAMEDLKKLNLKAWEWLTVIGQEHFSRHAFSPKAKSDLVVNNLSEVFNKYILEARDKPIVTMAECIRRKVMVKLALKREGRAAVQWEITPIVAQKLEMEKNHARYCWCYQSNLTTWEVHCSDRSYAVDITARTCACHKWQLTGIPCKHAVCALYKAGHTPEDYVDDYFRKDAYMRTYTGVIYPVPDEHGWTKTNSPDIDPPKFEKHIGKPKKNRRRGPDEGPRVQGPARKTTVTCSNCRRNGHTYRTCGQPLKPDLQLRAATIKARKRGAASSSPQNSNEAPSIGMNGGARAVTGEGGDRVVDELRLHGVMARRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAQIPPLRPSEPRPFQITHSTPQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFME >OB04G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18177409:18181387:-1 gene:OB04G32410 transcript:OB04G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G46330) TAIR;Acc:AT5G46330] MAARCKERSCRSVLEALLEFKKGVTDDPLGVLAGWAAGKAGDVRGGALPRHCNWTGVACDGAGHITSIQLMESKLRGTLTPFVGNISTLQILDLTSNGFAGAIPRQLGRLGELEQLVVSSNYFTGGIPSSLCNCSAMLALALNVNNLTGAIPSCIGDLSKLEIFEAYMNNLDGELPPSFMKLTGLMVVDLSINQLSGSISPEIGNLSNLEILQLYENRFSGAVPRELGRCKNLTLLNIYSNRFTGEIPGELGELTNLVALRLYKNALTAEIPRSLRRCTSLLNLDLSMNQLTGSIPPELGELRSLQRLSIHANQLAGTVPASLTNLVNLTILELSYNLLSGPLPANIGSLRNLQKLVIQGNSLSGQIPASISNCTLLSNASMSFNMFSGPLPAGLGRLQGLVFLSLGSNSLSGDIPDDLFDCGNLKKLSLAFNNFTGGLSRRVGHLANLTLLQLQGNALSGTIPEEIGNLTNLIGLELRMNQFAGHVPASISSMSSLQVLDLGQNHLEGVFPEEVFELRQLTLLDASSNRFAGPIPDAVANLRSLSLLNLSSNMLNGAVPAALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNLFTGPIPPEIGGLVMVQAIDLSNNQLSGGVPARLAGCKNLYSLDLSHNNLTGSLPAQLFPQLDLLTSLNISGNDLDGEIPANIAALKHLQTLDVSRNAFAGAIPLALANLTTLRSLNLSSNHFEGPVPDAGLFRNLTMSSLQGNAGLCGGKLLAPCHAGGKRAFSRTGLVILIVLLVLSMLLLLMVAMILLVMYRRHKRKRGGIRMARSSSEAAVVVPELRRFSYSELDAATNSFDQGSVLGSSNLSTVYKGVLAEPDGRKVVAVKRLNLEQFPSKSDKCFLTELATLSRLRHKNLVRVVGYAWEAGKIKALVLEYMDNGDLDGAIHRRAADGAAPPRWTVRERLRVCVSVAHGLVYLHSGYDFPVVHCDVKPSNVLLDGDWEAHVSDFGTARMLGVHLAAADAVAQSTASSSAFCGTVGYMAPEFAYMRTVSTKADVFSFGVLMMELFTRRRPTGTIEEDGVPLTLQQLVDNAVSRGLDGVHAVLDPGTKVAAEADLSTAADVLTLALSCAAFEPADRPDMDAVLSSLLKMSKLLGED >OB04G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18189891:18190103:1 gene:OB04G32420 transcript:OB04G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFQHVQHLILRISQHHCQLRRPVPPAAGDVPVLVGLFILGRRRWQEQVAEAKHVDHRIHPHIGREGALH >OB04G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18189918:18190964:-1 gene:OB04G32430 transcript:OB04G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVKSPEPPFAFHHAPLPPLLDCGEEDDEFEFSIPAAAAVLSAADELFSGGKLVPLLPPPLPRASPPCSPPACLEVPSEPASPRAPRCSGHRWRDLLLLVSAKKTSAGDGERKGRMGEDLRRGEAFVQPLLSRASSSSSSASSCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGMERSFSANVRVDPVINVFGFGHLFLPSSPAKDKKTDKDRDIAGGRRNRPAKLAMVLRDPQD >OB04G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18201181:18204750:1 gene:OB04G32440 transcript:OB04G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGMMEASLPPGFRFHPRDEELVVDYLYHKLAGGGGGGVAMVDVDLNKCEPWELPDAARVGGREWYFYSLHDRKYATGQRTNRATRSGYWKATGKDRAIVVSRRRRGAVAGGEVVGMRKTLVFYEGRAPRGSKTEWVMHEFRVDGRAVADHPSSSSTPRHLLKEDWVLCRVFYKSRTVTPRLASGEAGASMSSELSVPPAPAPLPYAPPAIDAYLAVDRVPAADAGGGYYEHDSSDGYHPPPRPPAAALPFKELTDFRDLLSNMVQGGAAAKADQAFLGWSEEGGHAQAQLQLQQSAMESQPWNQF >OB04G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18218151:18224387:1 gene:OB04G32450 transcript:OB04G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAKKVEHFSGHQTERPCWEQCLRHLQRDRRTEPAVNLTVWSRFRVTDSNDRASWCIIILLCDLWRSKAYLRTSLKSFLKRPPQIIKSPQVDSGQHKRYPSYPSSTEEERKR >OB04G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18224035:18224514:1 gene:OB04G32460 transcript:OB04G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYCFKPIYMHRIIELVFISRLSEKNAQSCINNRRIMWVSFSSICRFNVRKLIWSCMAYPKWWLSVGTTSRFFCIPPCCPESKMEKKKGKYTVKKTFHYNKKEKKRGRKRRAKYTRPPATCSAVLVRVHGCVLILPHFAHSFFSHFAWDFYNEKNRHV >OB04G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18227113:18228474:1 gene:OB04G32470 transcript:OB04G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MEDFQDCDCKSLIAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNGFSRDSRKHSEVNDKLVDCCGNSELDLDVLCADLDSKELKLKLQKPVIKTQSGDSSSNGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLDRGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYSLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKENNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNDGADWEVLSVKERAGVFVYNCAIMGC >OB04G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18230275:18232328:-1 gene:OB04G32480 transcript:OB04G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFRKTQAVEGDDGEHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGVPVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLVGCCAEGSHRILVYNYLENNSLAQTLLGSRGSNIRFDWRTRVKIAVGVARGIAFLHEEIRPPIIHRDIKASNILLDRDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQGRLDEIIDADLGNDLDVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLTGEKSFSVHKITRPAMITDFADLKVSSGQQKDHETRSSNMRSFSTTDETEPFSSSETPTQTSI >OB04G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18239983:18242466:1 gene:OB04G32490 transcript:OB04G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62940) TAIR;Acc:AT3G62940] MDETLAVESTAAGGETSAAESAQEAQQETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEVKHAAELATFGYNSAGSSEKGNMDTLVKAIAGVSVTSNADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENENLEKKLEPLGLTIQEIKPDGHCLYRAVENQLSLYPRGTTTQYSYQELRQMTAHYMKEHAADFLPFFLSESKVESGPDPLESFKRYCEEVETTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLEGGTDGPSIRLSYHRHAYGLGEHYNSVIPA >OB04G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18246024:18248561:-1 gene:OB04G32500 transcript:OB04G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRLLVLAVVSTVLANSLFLEVHGGELLLNCGSNLTVNADGRRWIGDMAPGQNFTLSSPGIAASLAGSSNGNEIFGPVYHSARFFSTASWYNFSVLPGNYCIRLHFFPFTFGNFSGNDSSFDVTANDFKLVSKFNVSEEIVWRSTVSNSTISAVVKEYFLVVDAHGLEVEFDPSPGSFAFVNAIEVMLTPDNLFNDTVNKVGAGDGQLPLGLSSRGLETMYRLNVGGPALKSSKDQYLHRPWYTDEAFMVSTNAAMIVSNGSSISYLSSNDSSISPIDVYETARIMGNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVYDKSNQRVFKIYINNKTAAEDYDVYVRAGGMNKAYHEDYFDNLPQQVDSLWLQLGPDPMTSASGTDALLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRDRKVLWEEVSIGSASFLALTGVVLFAWCYVRRKRKAVEKESPPGWHPLVLHEDMKSTTDARATGKSPLTRNSSSIGHRMGRRFSIAEIRAATKNFDEALLIGTGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSGLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDDNFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPSLPKDQINLAEWAMRWQRQRSLEAIVDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNVDCESFGSSELGFADMSFSLPHIREGEEEHNSKPSSIREDPNT >OB04G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18252605:18254290:-1 gene:OB04G32510 transcript:OB04G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 2 [Source:Projected from Arabidopsis thaliana (AT5G21040) TAIR;Acc:AT5G21040] MDFDCNKARGDSSSVNSSCIFTEDTLIQAKPYTHLGKAKHRNSVSTLNNQKCNYGSLLDEKKIVETSDGETTSMCDSRCFTDLPAALVCEVLERLDPKELGIVSCVSTLLHTLATDHKGWKKFYCERWGLPNPPVTLNGPLVPGGTPDWKSWKTLFVEREFRSKSFMGRFSVDVLRGHCEDVRTVFLLASLNLIFTGGDDSVIRMWDMEEGLLIDKSRPLCCTIRAIAADTRLLVTAGTNTFIQCWRAVEGNSYPFHISGNGPDQNCEFRLWGHEGPVTCLALDSLRIFSGSWDMTVRVWDRSEMKCVQKFMHADWVWSVAPHGNTVASTAGRDAYVWDTKSGELQSVISNAHVGNAYSLARTHLADVLFTGGEDGAIRLFDISEVSDDEDIKPAATWVPHAGPVHSLAFEYPWLVSASSDGRIALIDLRKLLNPKYSAKHSRRVKIFDAISIEPPQRMLHGLGCDLFSVAIGADRIVCGGEDGAVKVWNFSEALEIEKRAQALRSLRQENRMRRKKAQVEMNANGRRSDQCSIAMKRNQLKGDKSVTWHSKRAINDKVKS >OB04G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18252268:18256484:-1 gene:OB04G32520 transcript:OB04G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRQAQDTSQVVDGRALRTFSSAAGEAATYVERGLDGRRIRRVLCCRPEHVRRRDVDDQDEHAILTLPRYSCSDLIGLRELLGGIYL >OB04G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18259858:18262210:1 gene:OB04G32530 transcript:OB04G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRDLGRPLLVALCVVALFAVGSESHGLEDFGEGRTEATPAMASFFGSKPEAAELPEALDAEEVLNIFTDQGKQEVELKPPALERHGSVSQVFASLRRGFFCTRFETDKGNGAAELCYSGSRIIHHAALQASACGPAACPVQIALVTGQYSYSNGRSPTPRDLETGAYMHAYCMARGTPVSLRFRCMATGVTGLCG >OB04G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18268134:18272993:1 gene:OB04G32540 transcript:OB04G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGGGIPPRPPPPEITVRVKYGAARHEVAVSSIASFGELKKLLAARTGLLPADQKLTYKGKERSNSDYLDVCGVKNKSKLVLAEDPTSVERRYIERQKNAKIESANRAIGAIALEVDKLADQVRSIEKSINRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQAKRVQKCVETLDVLKISNARLQNVIVTTKWETFDAPATTQWELFD >OB04G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18273623:18284950:-1 gene:OB04G32550 transcript:OB04G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPLEWYCQPVNGGVWSHVVENAFGAYTPCGTETLVVCISYLALFGVCFYRIWRTTRDYTVERYKLCSPYYNYLLGLLVVYCIAEPLYRIATGTSMMNLDGQPSLAPFEITSLTMEIAAWCCMLVMIALETRIYVYEFRWYIRFVVIYILVGEATLFKLVLSVRQYYSSSSIFYIYCSEIICKLLFGILMVAYLPSLDPYPGYSPVRHDALVDNTDYEPLPGGEQICPERHVNIFSGIFFSWMTPLMQQGYKKPITNKDIWKLDSWDETETLYSRFQKCWNNELQKPKPWLLRALHGSLGRRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLSEAQYFQNVMRTGFRLRSTLVAAVFRKSLRLTNDSRKKFASGRITNLISTDTESLQQVCQQLHSLWSAPFRIVTSLVLLYSQLGPAALVGALMLVLLFPIQTVVISRMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQYIRDDELSWFRSAQLLAALNSFLLNSIPITVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERILLPNPPIDPELLAISIKNGYFSWESQAERPTLSNVNLDIPIGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTAVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQPSLYEKAIDVTSLGHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEGLRHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQTGENQDKNETHDGIKHAESRDSVIIDGGSQKSQDTSSKTKPGKSVLIKQEERETGVISAKVLSRYKNALGGTWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSVKTHGVGYYNLIYGLICFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRSVAVFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTSVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFDDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDARDIYKFGIWDLRKVLGIIPQAPVLFSGFFMKIHDRSSHLLLLRYYINVFNCSPGSVRFNLDPFNEHNDADLWEALERAHLKDVISRNALGLDAEVAEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDSLIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPGNLLCNEQSAFSKMVQSTGPSNAEYLKERLRKEERKMQDIQRNWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNSILKKTKDAVITLQNVLEGQHNTEIDETLARFEVPPDRWWSSLYKVIEGLAVMSRLGRNRLQQPGYNFENNSSIDWDQM >OB04G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18290047:18301819:-1 gene:OB04G32560 transcript:OB04G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPLGWYCQPVNGGVWSDVENAFGAYTPCGTDTLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAELLYRIATGTSIMNLDGQTSLAPFEVTSSIVEIAAWCCMIVMIALETRIYIYEFRWYIRFVVIYVLVGEAAMFNLLLSVRQYYSSSSIFYLYCSELICKLLFGILMVVYLPSLDSYPGYTPVRHEALVDNTDYEPLPGGEQICPERHANIFSKIFFSWMTPLMQQGFRRPITDKDIWKLDSWDETETLYNRFQKCWNNELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIIISMVLLYAQLGPAALVGALMLVLLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRSAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPLDPELPAISIKNGYFSWESQAERPTLSNVNLDVPIGRLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKDELRHKTRVLVTNQLHFLPYVDKILLVHDGVIKEEGTFDELTNSGELFKKLMENAGKMEEQMEEKQDESKTQDDIKHPENGGSLIADGDKQKSQDTSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYAFTEVLRISSSAWLSVWTDQGSTKIHGPGYYNLIYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFDDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEQSAFSKMVQSTGPSNAEYLKTLVFGDGEERLRKEESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDETLTQYEVPPDRWWSSLYKVIEGLAMMSRLGRNRLQQPSYNFENNSSIDWDQM >OB04G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18302604:18302825:-1 gene:OB04G32570 transcript:OB04G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIGSLYTQHKTYKKKKSSTIPAASASSVTNPTQFLLPKKSRKESKNPDPHMQERSRFCSLSRAGTDSTSKTHK >OB04G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18313281:18316637:1 gene:OB04G32580 transcript:OB04G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKIQAGALSPTKLRMKLLGTHNRVRVISNNSSRTSPSKNSEPSQAQNRLLVCDVLEEVSGSSDGSKCSSAINKTEALEKDSPLEINKAENMTKSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGGEKTSSQNATSGYFSRQTSSKWNDAEKWIVSKQNAQQNISKGAPQNQSTQQMNSAASRGFIVPQISNRNAIPRPMQNMKRPNPASSASRSILERLSFGSHQSKLVRHADVCSVNNNSATSEYQKKAIDSGSSTEMRPYEDPKAIPTVHSVSVRDVGTEMTPIPSQDPSRTATPLGSMTPTRSPNCSIPSTPVGGRSTASPGDDNTDDGPYFSRKGGANEISDNETRMKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARAAAYEEAENFKHTARFKKEELKIEAWESTQKAKIESEMKRIEEHAEKMRSEAVAKMAEKLEMTRRLAEEKRVSANARMNQQAAKAVQKAELIRQTGRVPGSCILCCSGCFCQH >OB04G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18318038:18318721:-1 gene:OB04G32590 transcript:OB04G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDKGRPLPKFGEWDVKNPASAEGFTVIFQKARDDKKTTGPNAKVGIPPAFRNTDGGGYRPEFKPAESYQYTPPKRVKKRWTFCGC >OB04G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18322387:18328461:1 gene:OB04G32600 transcript:OB04G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSKKSSVEVAPTAPSVSEGKSGKKGKRNAEYEIEKATSTKKQKTVLEKVVPLKEDAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKSAQPAKQESSDDTSDDSSSDDEPASKPVAHSSKPLAALATNSSSSDDSSDESSSDDEPVKRPAAPSKKPVALATNGSKKVESDSSSSDSSSDEESDEDDKKSAAPVKKPSVATIQKKTQEPDSSESDSDDGSDEDMPAKASTVAKKMEESSESSDSESDTDSDDEAAAVKKIDESSDSSDTDSESETEPECEEPAKPIFSAKRHLTADTKTGQSKDDSEDSSDERSDESSDDSSEESDDEPPQKKIKNSTTSGTAKPSPKVAKKESSSGEESDDDDDSSDESSDEDDKKKQTQAKKQTPVAQESSSSDVSSAEDSDMESDEPVKTPKKKETAASVGSNKSATKLGHEEPKTPASNQNQATGSKTLFVGNLSYSVTQEQVKQFFQEAGEIVDIRFSTFEDGGFRGFGHVEFATAEAAKKALEFAGHDLMGRPVKLDLARERGAYTPGSERDNSSFKKPAQSSGNTIFIKGFDTTLGIDQIRTSLEEHFGTCGEITRVSIPKDYDTGASKGMAYMDFADNGALSKAFELNGSDLGGYSLYVDEARPRPDNRDGGFGSGGRNFNNSGRGRHGGRGDNSPGRGDRGRGRGFGRGDRGRGGRGTPFRQSAGTPSAGKKTTFADD >OB04G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18329809:18334777:1 gene:OB04G32610 transcript:OB04G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVQNLLSPYHLRYLEFIDVYKGGVVPQAFTSFYHLQVLNLSTHGVHDVPAAMSNLVNLRHFIAQEKVHQAIAGVGNMASLQELKFKVRNVCTFEMGQLQPMNKLVTLGISHLENVKTKDEASSARLIDKEYLKKLSLSWNGDSVSIEPDRSKDVLEGLRPHHNLKDLSITGYSSPNSPTWFSSNMSVTSLQTIHLENCREWQILSSLEMLPLLKKLKLVRMLNLMELSLPSLEELVLIEMPKLEKCIGSCGIELTTDLRVLMIEDCPQPNDFTPFQSYSSFGAELWFPSLRELTIVCCPHISKWETLPLGKMHALKSLKLTDLHAVRELLVPSLEKLVLSNMPSLECCSGLTASRVQMSTSQEDKEWLSGLRELTIHDCPHLVVSYALPPSALMSHFSIKGVPTHPIMEKHYGFTIKSDELIMLDDKILAFHSLRGIRSLFINDCPNLASLSNECLNQLIDLEGLCIRGCPNFTMTSGLVLPSLRWLSVQTCGISGSWLMEMLSHARSFDQLQLHDSPQIKFISFSQPTEMEGTCSLGSATTVTSHFAGDEQLLQIPSNVVRSLRMLVIFNCHNLEFSGEEGPLRGYTSLDIRIQHCPKLVPLLVSGMMEVGSLPTSLRLLDIDMGPELSTIWDLKLQELEQGGYQVPPPPPSLETFLVTNLTDKVQSCLLSCLPSITKLVISNSPELTSLHLGYSKALECLEIVGCESLASVEGFGSLTNLRSLVVYDPPILPRCFELLSHQQGATDIWSKLEKLQIGDGSVLTASLCKQLTSLKRLFFFPERSKHGAMMMGLTKEQERALQLLTSLQSLNFWHLPNLLSLPAKLASLTSLQHLYISNCPRIARLPEIGLPPSLVQLTVRRCSEELCMHCRMATSEKLKILIDDIPVD >OB04G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18337927:18339653:1 gene:OB04G32620 transcript:OB04G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELVGNVVDAAIGWLMQSILGSFCGQMKVWIREVGLAEDVEKLKSEMRNMEMVLAASLRRNIDNKPLAQSLDDLRELLYDAEDVMDELDYYWLQQQIEKGEGCNVVAGSNPEPSYASSPMLSSPYQLISSARSQITSWVSSGRKRKREEEHTTDSTMLPFEIKLDISKRINGIVNNLQKIGHFVQGFLQLEISCLEFTSNQRQSVYRNRRLTTSVPIELKVYGRDADRDKIIELLVNDETSGLRVLPVVGIGGIGKTTLARFVYQDQRIIDHFDLKIWICVSTNFNEVRLTLEILEHVCKDRQEYKGVSNFNLLQEILLKYIRGKRFLIIFDDMWEDRDRSGWDNLLAPLKHSQVTGSVVLATTRRNSVAEMIGTMDAFQIIGLDEKEFWLFFKACAFGNEDYVGHPSLQSIGRQIAKALKGCPLAARSVGALLNRNVNYEHWRTIQDKWKSLQV >OB04G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18342734:18345821:1 gene:OB04G32630 transcript:OB04G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLKDIMNEIMRLCRSASSNQSRQSKTPSTNTTSCLLVPTVYGRDAEIESIKDLIMSNKSNGITVLPIVGLGGIGKSTMSQCVYNDPEIGNQFEIKIWVHVSDEFNVVRITREILEVVSSKKYKIKSNLNMLQQDLASHMKSKKFLIVLDDVWDVTTNDCWDMLLGPLRPNDVNPSEVEVTGNTIIVTTRIQTVAKSCGTVGSINLEALEDDDIWDLLQAYAFGNNNNPDSYPTLHVLGKQIVREINGNPLAAKTVGRLLRRNLNVDDWNSIVKNKLWQSLQHTNGIMYALKLSYDHLPRHLQRCFCYCSLFPKGYSFSKAQLIQIWIAQGFVEKSGKGLEQKGWEYLAELVDSGFFQQVESSSSEYVMHDLMHDLSRMVSQTECATIDGLECTELVPTIRHLSIITDSTYHKDQNGNISRNEFETRLPKVISRSKLRTLVLIGKYDAHFFQSFRDAFKEAQHLRLLHIASSYADFDSFLSSLNYSHLRYLRLENKESHGALPQDLSKCYHLQVLDIDSCGTPNIPDDINNLVSLRHLVAQKGVCSSIANIGKMTSLQELDSFIVKNLSGFEVTQLKQMNKLVQLGVSGLENITQEEACGASLKDKQHLEMLGLSWNDACDGYGSGISYENDDDNDRSSENEYDSGMSSEPSLIKELDGLEPHGSLKHLRISGYNGAASPTWLSSSLTYLQTLHLESCGKWQRIPLERLSMLTKLVLIKMRNATEVSIPSLEELVLILPSLNTFSCTSVRNLNDNLKVLKIMHCPALKVFPLFENCQQLEIEPTSWLSHLSKLTIYNCPLLCVHNPLPPSSNISELSIRNVSTLPTMEVSSNGTLRIGLDPDLYLDIFDEPSDQLEALDDKVLSFHKLRFLTKLIISGCQNLTTISFKGLRQLVCLKTLELYRCPELLSSNIPPELTFEYMSGANRGALPPLEHVDIVKCGITGKWLCLMLQHAHALKELSLHRCNQITGLLIGEEENSQPSLMSSPEAPSLGYPGRDELLCHPLNPISSLRKLSIKFCSNLTFY >OB04G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18349706:18355782:1 gene:OB04G32640 transcript:OB04G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSTVGQAAIGWLVEGILGSLFTEKLISWFRQVNLDEDVEKLVWEMRNVAVVLEAAKGMKIHENDPLAGSLFNLKDLLYDAEDVLDELDYYQLKEKIIKGNSEEDTVQTISSFFPIRWFTGWKRKREENHAFIDDKSQFSVTTKQIAGKLRDACSDVSKGLKINGLLKSPEASNLSHQSTPPATNATTSSYLLEPIVYGRDEEMESIRKLIMTNNSNRSNGITILPIVGNGGIGKTTLAQLVYKDSEIGKSAIKIWVHVSDKFDLHKVTREILECVSKKKQKETSNFNMLQQDLEKHMKSKRFLIVLDDVWDVTTDSWNKLLAPLIANHVNPSQEKVTGNSVIIVTTRNRTTAEFCGTVRSINLGGLEDDGIWSLFKVYAFGSDRHGSDPNLQNLGRKIAKELKGNPLAAKTVGSLLRRNLTVDHWSSIIENKEWQSLQHTDGIMHALKFSYDHLPSHLQQCFSYCSLFPKGYYFNEAQLIHIWIAQGFVERSSENLEQKGREYLAELVNSGFYQQVEIMWPTLSEEYVVHDLMHDLARMVSQTECATIDGSECKELAPSIRHLSILTDSAYWEEPNGNISRNEEFEKRLLKVTSRSKLRTLILIGQHDTLFFQSFQNAFKEAQHLRLLHMTSKYANFDSFLSKLVNYIHLRYLRVENEEFQGALPQALDKCYHLQVLDINSCTPDEYFSDSDMSSEPSMDMEGEGERLPKSDIEVTFPTWFATSLASLQALHLENCGKWQILSLDRLCLLKKLVLIRMSNAVEVIIRSLEELVLIEMPKLKRCSCTSVTSVRIMNYSLRVLTIKSCPELELFPLFENCHQFNVKRASWFFRLCKLTIHDCPNLSMPHSLPPSTIVSELSISRVSTLPTMEGSSSGTLRIGLPISSSSQLFDGDSDQLTTLNYKVLSFHNMRFLTGLVINGCQHLTSISFKGLRKLICLKSLEIYSCPEFLSSDVPSELICKGTTAENRDALPSLECLTIISCGITGKWLSLMMEHAQALQELCLVDCKNITGLSIGQEEASQPNIMSSLEASSLGYPDDARTSSAQDGLLRIPLNLISSLKKISVRECSDISFIDSDEGFARFASLEELSIDVCDDLAEKLLPCLLGNAMHLKKLQVEAIFLKSLQLQSCMALEELDILCCESLTALEGFQSLRSLRCLKVWECSGFVPCLEGLSRQGHQLFPRLERLVIDDPSILNTSFRSQLTSLTRLELSGCSNEVERLTDEQDNGLQHLTSLEELQFSSCIYLKDLPAGLHNLPSLKKLEISECKKIVQLPNEGLPPSLEELDISYCSRELSDQCRTLANKLKEQKRYWDEVERTELIEGEEDTITWKLEPQANFTVRLAYDLLFMATEGTRWGSLLWKTRAPSKVKFFVWLAIKRRCLTANNLQKRGWPNQTICALCYQIDETYDHLLMKCDYTDRVWRLWKAWTNIPFQTPREAGKELQDWWIDTRQVFGKKFRKSFDSAFVLICWEICK >OB04G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18362273:18362701:1 gene:OB04G32650 transcript:OB04G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPYKYTAISLDPRQQSYSHTLEMEFMAVLINILPLVPEACRNAEKPPVALISCGVVEAAAVVFLVAFRAPGGIFEHNGKAPYYVYYGITGGVGVFGFVEASVGFWITGDLNGRRAVGKTVLWMSILPLVLVAALGGFVFMK >OB04G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18366927:18367295:1 gene:OB04G32660 transcript:OB04G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLEMELMAAIIKILALVSEACCNAEKLPAALVSCGVVEAAAAIFLAFFKPPGGLFEHHGKALFCLYYGILGGVAVFGFAEAWAGLWVSGDLVQRRAVGKTILWVSILPLVLVAALGGFVFMK >OB04G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18372966:18375568:1 gene:OB04G32670 transcript:OB04G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVGLLEGGIRWLGETILENLDTDKLDAWIRQVGLAGDTKKLRSEVERVDAVVADVKGRAVGNRSLARSLGRLRELLYDADDVVDDLDYYRLHQHVQGGGESCGEWQRLSLERLSMLTKLVLIKMRNAKEVSIPSLEELVLIKLPSLNTCSCTSVRNLNDNLKVLKIMGCPALKQACIYDCPLLCVHNPLPPSSKISKLSIRNVSTLPTVEGSLRNKLTIGVPGKDIFDEDSDQLITLDEEVLSFHNLSFLTTLVISGCQNLMTISLKGLRQLVCLKSLEIHHCPDLLSSNVPSELTRENMSGANRGALPSHEHLHIEDCGITGKWLSLMLQHVALKELILDYCNQITGLSVGEEENSQPSLMSSLEDPSLGYPGRDELLCVSLNLMSSLKKLSIICCFTLTFYRSNQGFAGFTSLEELHLQGCPRLLSSLAHIDRNDDQSNGRCLLPLSLGELQIREVDPLKALQPCFLGNLTRLKKLEISRNPSLTSLQLHSCTALQELIIKNCESLNSLEGLQSLKNLKVLHAHRCLSGHGEDGRCILPQSLEELCIIEYSQETLQPCFPDNLTSLKKLRLFKSPRLTSLQLHSCTVLQELMIQNCELLNFLEGLQSLKNLKVLHTQRFLGGRGEDGRCILSQSLEELYINKYSKETPQPCFPSNLTCLKRLEASNTSSLKYLALESCTALEELTVTYCQSLATIGGLQTHRSIRQLKVCGCPCLPAGLESLSSQGYEPCPGLERLEIDDPSVLTTSFCKHLTSLQRLGLSWCKSESGKTNGWAR >OB04G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18373104:18373382:-1 gene:OB04G32680 transcript:OB04G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVAMWFKTFENLTRRVFLGAYRTPWVHLVANTPTSSSLDVLMEPVVVEIVDHVVGVVEQLPQAAERSGQRPVPDRPPLHVSHHRVDPLDL >OB04G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18377065:18379286:-1 gene:OB04G32690 transcript:OB04G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQDFAFLASRADPPATEKKKKISSAPPMTHPRARAPPQQHAKPSPAMASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKLVAAMRKRCDQILAKLRKDKRSIWFNAPVEVDRLGLHDYHTVIKCPMDLGTVKAKLAAGRYPSHDTFADDVRLTFNNALRYNPAGHEVHTFAGDLLASFEKMYKASVSWFEQELKLLEPLMPVPPELPSAIAPAQVKPKAGNVKMRKPKAREPNKREMTLEEKNLLRLGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVATDSDVLVNSSAPTMVDNGDVVMEIENKDHERSTTLAEQLDEYVDIGDEMPTATYQSVEIEKDAEVVSSGSSSSSDSGSSKDSVSESGNAHSLI >OB04G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18380026:18380480:-1 gene:OB04G32700 transcript:OB04G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAQLVARFSVEVAPPHLSSSIIGRRRRWFPATMLDTIAEEEPEAPAAAAIVMELSTRYTVLRGVIAAAGAAPPPPPPPPRNNVVMVGSSSLVVVRAETTKEKRRVVVVGSSASAAVVHGEKKRVPIDQPAPAPAAKAAACSSIGA >OB04G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18383409:18383699:1 gene:OB04G32710 transcript:OB04G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIIFFFVGKTQAGLPIFILGGGGGGGGGGGGGGGGGGGGGGGGDVQVYKDGGLLRRCNRRPEETETETTERLAYSLWLFEETCCFPDHRLFPAQLK >OB04G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18383929:18389083:-1 gene:OB04G32720 transcript:OB04G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGSGFAGIAAANALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGRQVPQELVEKIGKVFETILEETGKLREETKEDISIAKAIAVVLERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHRVVEIVRHRNRVEVTVSSGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAYSTGLMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >OB04G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18396654:18399168:-1 gene:OB04G32730 transcript:OB04G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26940) TAIR;Acc:AT5G26940] MSSILRSSQLRNNVWSSFPVRFLKQQAGLSTAKLLGSRSCEIRHFTTQVQDLGKQVVDTATVLIFDVETTGFFHKDHRIIEFALYDLSGGKNSTFETLVNPERTVPNHVARVHNIGTGLVCRPDIPRFSDVIPLLLAYVRSRQAHGKPILWVAHNAKQFDARFLAQEFDRCSAPIPADWLFFDTLVLAKKMVKAEGKKRPTNLEALREHYGICSNGTAHRAMRDVMILGQVFQKMTFDLKLTYKELMNEAMRASEFSNVS >OB04G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18401214:18402673:1 gene:OB04G32740 transcript:OB04G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSWREETVLAYQSLGVVYGEVAAAPLHVYRSAFAGGDIQHSAGNEEIYGVLSLVFWTLIPVPLTKYVVVVLRADDDVEGSTFALYSLICRRVRAGLPLPGGDGDELAGQCNGGTVAPVSAVRAALERHRVLQRLLLLLALLGTCMVIGDGVLTPTISGGVLPFQIFTECPISFIFSDKKEEKIHLLKIKRRKE >OB04G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18407202:18411155:1 gene:OB04G32750 transcript:OB04G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILINVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAAAAEGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVRRAEMAGFKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWEDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTTLDEITRNHVVTDSDRIRRSRL >OB04G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18413055:18418778:1 gene:OB04G32760 transcript:OB04G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M1K1] MPSSSCFDRVCGGFGQVALQPDPFLSELTSMFERSTEKGSVWVTMKRSSMKCQARLKKMAAKGETVELRCLVRATDGKKNISTSLSAKEYLKFQASYATVLKAHMNALKKRERKDKKKAAEAEKVPEKAPKKQKAASSSKKSAGFKSFALLMLVEKRCKREEDERPARVRCAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLGVADVAGLKDGTGSLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGAHLEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMFFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRRAEGGFLGADVILKQLQEGPKIRRVGLFSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >OB04G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18424638:18424994:1 gene:OB04G32770 transcript:OB04G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYIYLPKQINVQLQRRRDIGTAQSKSGAPRLRSTSFLIEPHQYPVPHGELNNPPTISIQIVKTERKRSEIQQQSILPLRIKQEFRRTKRSIRQIEKHNSELGKALSFLGLMQKKQN >OB04G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18427731:18430330:-1 gene:OB04G32780 transcript:OB04G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:J3M1K3] MAKSSFKLEHPLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLP >OB04G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18432232:18435093:1 gene:OB04G32790 transcript:OB04G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNVARSASATPRMAPPCTGGLQITLRRDGYSNLLMHRKTKGRRQREYLAKYEKAVSLMKKLPADDPRSFEQQWRVHCAYCDGAYDQVGFPGLEIQVHSCWLFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPAGMSFPAIYANGSSPLYDPRRNPAHQPPFPLDLDYSGTDPTIPKDQLIDQNLKIMYRQMISGARKAELFMGQPYRAGDQPEPGAGSIESVPHNTVHRWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWYIRRGLFPGNTDFTDPDWLDASFLFYDEDARLVRVRVRDSLDMAALRYTYQDVGLPWLNATPSKGGAGAPAAATGALPGTLDKTVRVAVTRPKTSRSRKEKDAEEEVLVIEGIEVPDHSTYVKFDVFVNAPESEDDCVAAAATCAGSVALTPHGVHHEERKRSPRKTVARFGICDLLDDIGADGDKTIVVSIVPRCGCDNVTVAGVSIALVK >OB04G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18435317:18443066:-1 gene:OB04G32800 transcript:OB04G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSPLCSRSRPVLVVRPTTAPAAAAAAVTGFVQPIIRCSRFTRSRPLRCTVASSDYSKRNPRRASTPKPKGAASRTFAPRPTIESSLKKIEQSSTDEGDLGRSNGKLSSEATGPKRAVEESSEVDFSGNVSSSASLERVDDAFEAETEEEVEQNLELSSESMDDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDISIVGFHEQENSVVSFHEQDRSIVPVPEQSQSSGGVTGENLMEEKTITSGQDVSEEAPEEITSKSIDGEQLSREPEKALLADDESRIIKDEQYEHNMPVQDVVDPQVLMRQLEELAEKKYSAGNKCFVFPEVVKADSVVDLYLNRSMSALANESDILIKGACNGWRWNAFTEKLHKSKLRGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNYNDFILQIESDMDEHSFEDFLVEEKQRELERLAAEEAERRRQAEEERRKEEERAAMEADRAQANAEVEKTRNKLQNVLNSANKYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSISEKLVKSYDKDGDWWYADVTLPQKALVLDWVFADGPPGNAKNYDNNGRQDFHAVVPNNISEDLLWVEEEHTIFRRLQKERKEREDADQRKAEITAKMKAEMKEKTMREFLLSQKHIVYTEPLEVHAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVSDSIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAIQDLGHNVEVILPKYDCLNLTNVKDLHYRQSFTWGNTEIKVWFGKVEDIPVYFLEPQNGMFWVGCVYGRNDEGRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKGQYANNELSNGRVVFTIHNLEFGAHHIGKAMAHCDKATTVSYTYSREVSGHGAIAPHYFKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPVVGIISRLTVQKGIHLIKHAIHRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEFHGRVKFCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDIDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDFFHSLCKRVMEQDWTWNRPALDYMELYHSARKN >OB04G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18453246:18456558:-1 gene:OB04G32810 transcript:OB04G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFAVKAHSFVGTNICELGSAMWSHFGPLWILCVLDDVSGLFTFLHGIATVHIPRHLQVQLIKQNNLGHHKTLKNEISSPISVLERKSGELHKVQLHAATAVLPVTTMEKATKWEPENLEGMEAHDAYLIASQLNLLNEQQDAAYVANLQMELQQARDRVNELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDNERKARELTEEVCNELAREVEEDKAEIEALKHDSMKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDAEAFIAACSCARGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPPQSEDVFSIFEELRPSEEPVIKEIEPCYKNSSAMCESEIEEASPMTDIFLEKTSKVYSSKSPRNESETEDGSSWETISHEDMHGSSGSPDGSEPSVNKICDGNMSWTSRNGFEYKEAEKLKDDSTDVYLTNMNQPKKKESALSKLWKSSRPKSNEVCKKDVETINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSVGQWSSPDSMSMQFNRSFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >OB04G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18462135:18466695:1 gene:OB04G32820 transcript:OB04G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAATSPRREDAGRSRSGGSMRLPTRPHSRSVSTTMAMATVAMRSTSPTETTGRGSGGVMRRPMRRLSLSASTSATTSPASPTRTALGDDEPGLPNTARPEEQARRYEAPDAPSFSLGLNDDDDDFLTGGSHHEQSRTQVTPPARTSLGTVEDDDDDDFVLAGGQQQKQRLHGTLVPNPTPPSAETARFKRLRRGPAPPSEAPTPPPRWAPAPLKMEASPVVSSKIDLGAIGSFEDEIEDFTDEERPTRDMPPSAGSCITSSSSKFSQASNSKFSLMNRGVLMSQLTNKTKKFTHVPCYSASKSLEESCSKKLLPKITLSPMRKIHLLDSDSDLDDKDRPSLRPKSKSQADTVLDNTNAEMRVSWVTPALDEFCNEYFKSVKEQRPQQENDSSFCVPKAIRFNYPVSETGGHFQHQATPSGAALHDNITDSHPPAMDYFFHHDPLVRELVRERLEHFVPIGVDSSRGNEQDVQYRSQIDRCASANERWVTPNKRTSVGTEIGTRRINPSGISGSGHWFTGEDGKRVYVSKNGQELTGRIAYRQYKKESGKGFRQKKKNSAGTKGGSTRAKKTTKVKQEKRTSKRKR >OB04G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18480587:18482243:1 gene:OB04G32830 transcript:OB04G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M1K8] MMVTTAAWAVVMLLLLPWPCARIRQGNLYGHVTGRMLMWILGNPIKLEGLENLKTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEVARAVNKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTRLPVVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIRTDRWEEERIDEYVEMVHSLYADSLPDSQKPLEPVATGKKSS >OB04G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18482300:18494541:-1 gene:OB04G32840 transcript:OB04G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWNQSFGATCKVRLIHILKNLHTSEIKIYSDASREFIELLNGDPGGEVLREYTQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKSRQHGLVKKSLDGVARMILEDKEKMDDIYLELNSGEFRRQNAALDLLAAIVRRGGGLALEIAKSFDFKMAVLPQLSGMRKKKGGRDGGNRRKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWVLQQRELYSGVLRGIGDDDNDTIVYVLSTLRNNILVDESLVPPGLRSVLFGSVTLEQLSLISGNLDAGEGADIAHEVLVMVCTDPKNGLMPSSNLRGNEKRLLDFMKKLKATEVIHHKNLLLDIVSKSLPLCSAYMNEFPYSIEPRPSSSWFSAISLAADVISSVKCENIFQNLLSTSHDLVSVDDEQVQIMLKCIMPNICTRPMINRGLLHSDDLVKHGSLRLVFESVNLLCNVTEVINGIVSTARVKSEFDNSTKVTVKIDGFPGLSCSTSSDASAHEILHGDNMHVNRWITLKEYIQDVVRGAIPDPQVLLKLLSSSSQKHQSYSQSKQKIHTQLEPPRKKRRCGATDEDADIIIGGIDVELSRDEPEEQGMDLVNDHATIMCEIWGLNKQDPKIKDAKVAEDVFHSKLLDVLRVYLRVMPSSFDGSFDFFRVIPPNPLNLSKDEQQSLISLLVECSGQPDGLWCPEKVPESMYKYLQPLIDIMLHSQVRSIRDKAYILVKAAMASSGAFDQNFEEIDVWLAFLPGYKAKGCEREGLGAEASNRLSHIVVPFLCDAISVVGNNLYKYQEHIRKLISKSNHFEGYSPSFSPLIVCVLQKCLRLLDLDSANMKLHEKSTISLYVCNTVYLILQSQVDVLLLPDVVGNILNERLSKFSSEEINSRICFAEWRPLIYLMHILRSISDQKSSSLFSTLVHPSELHANSLCSVTRTVKEMSSQHPTNLPDDVATSFLYSIICAPQDDVIRNFPELLHVVKTHFPSNLPFLSSILFLQHDYLAKVATCCPDIFFSSLGQIKGNLDADNVNTVADRRGKHSISAESAAISTFLNVSPFCALLPSVLSLTLSAPDENTKAHALLQNELVHLLQAKVSESPLSELTVFLRAVLFWSHHLVSSYIVKHSDILEQLCGVCLSLIDSIFERIRVLTADTANSKSSLAFYPVECINGIIDSVVQHPIVSLSLSCSLSNIQDLAHGSVECVKENFASFSKEKLHLADSFVLNLLSNLYGVLLLADSFGANYSNKDDQSLESLFGRPKQLLERILLLFKEKFELCMDKRNFGLFLPTFYMVCSLAKFMSPVRLLELADWMFSTFESSSSSSLAYTPAAFFCLYTADLALEMLYDYLQQIDQRSQPCRLWGLEIHNSDFVTIQRVYNIILHFATKLNLEFADIFLLKMLTRIHYSERSAGRNTDDIALHMMLSTMVANTPINIIRHCMFPTSKVKAKAIQLLLGANPMHMRLFGKIFMDILKNDTSVLQVTGSNSNASWAHEDSFILLLPAALSYMEHHGGINRQCVEFLEPVPVFYGEILLSDNGFPCWKSFVTRSVFEEDYSDFRHTSVEDIMNYFCDTLLGKSITMLHYYFCLKDISRKKRLEIISSICPQSSELLDSDISLITPVSCNGIMKFTNELFAKISLIRMLLSPRISLTNDVAPEKDSKRVNNAKLNFISILVRTFDNILRNFPQSDGILVSSPKEQNVVSFLEYVILKNIVELSSEIQSHLNRLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEIIELILGHSHFVSTIICSGVSQRPSACTPTGNLLQKAPSILKSVDSAFAKENKFQDCIPEKRKVEIIRLLRVLYSIKRGQQNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEVESSECKAITDVDHLWGKAAVKFREELKLEFSASDSHKIENEGISDRRKSLFRENIPIDSKLCVMTALQFCYRRSLKASMFSLEQLQQDNFGDIFKTTSQSMDVVRIYDPMFILRFSIHTLLMGYIEPAEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEPWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQSVPLFPALLRSNAVHFKSDHLWMLQLLYAGSNLPDDAKIYKRGSVLELALSLGSSAVSDSTTKLLTLQVLKKCVKLPVLAHHLTKHSGLLLWLSSLISSHGDGLDSVKDTYSSTVIGTALEVVSDLTSSRLIAEWLQETALEQLSGISKYLYVIVKDMKLLKGNAPLLTLVLTVIASTMRLSMKRKIYQPHFSLSLHGIHKLCQTISGNSRSIELKLAMEICIDVILMNGPLPVVSEMDKSMTATVVSWATSNILWLCGQQRSVLKMSQGEALKNECLLSKMLRWLVASIILGRTSCISHESAGLTRDADSFGSLQSFLNRMCGKVENVGSHSANEALAIIILYLQKHLKMNQDFLPSAVAALGLLLLDRSTKQAFRNFVDNRGQIESLCSKIQCPAEVDPAWRWHYYQPWRDPSMHRTETERLEEEQACQSLLIIFSNSFNAGLSGFPVFSLGDVENSGLFQWERNYMPK >OB04G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18496798:18497955:1 gene:OB04G32850 transcript:OB04G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGSHSSHLIAPPSLYLAAMADHRDPAFPAVGGCRLPKTASVSVTESVTAVHDFRVTGYSLIEGLGIGRYVSSSVFTVGGVEWAVRFYPDGSTVTCLGNASAFLYYCGREKEVRARFTLNLLGKDGKLSQVTNAYMKHTFSPASDNWGFIKFAEKSKLQSSPFLHNDCLTIRCLLTVISESHTKDVEVNSVVVPPSTLHVDFENLLNDGEGSDVTFSVCGQLFRAHRCVLAVRSPVFKAELFGPMKENGTQCIKIDDMEPEVFEALLHFIYTDKLPDSCRDGKTATMQHLLVAADRYGVDRLRLICERRLSETIGVETVGTTLVLAEQHHCSQLRQACIGFVASPNMLGPVIESDGFKHLMESCPLLMKEILSKVSHIWIDRSC >OB04G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18501756:18505905:1 gene:OB04G32860 transcript:OB04G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQPPPQAHYGQAPPPQPYYAAAPQQAMPAPAAADEVKTLWIGDLQPWMDESYIFNCFAATGEVQSVKLIRDKQSGQLQGYGFVEFTSRAAADRVLQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMICSSRPMRIGPAANKKTTGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYVNRPSAEQALAMLQGTLIGGQNVRLSWGRSLSNKQPQHDSNQWGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQPPQQQ >OB04G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18507086:18511878:1 gene:OB04G32870 transcript:OB04G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPPSPRAKAAAAHPSPSPSLPEALLLATVYMVGMPVEVQVRDGSVYAGVFHTASVDGGYGVVIKKARKIANGKDDANISLGAFVDTLVVLPGDLVQVIAKDFSLPTKDVCITPVCDMVGVAASASVKPQNSHVNVLPLKEDKKCSMPGQESDISIGKSTPGPRRSCNELMGSSFVGSKDGNAINAVLTTPTMASDVKVSPPASSAAKTAMPSKPIAKESKLNPCARVFSPSFASSRPVLAAAPPVNSIYISNSVAGVPTGLPVFETNSVSGGPSLSTKFVHYNNLAPANYAISPQYIQSTMGHNVTRLDPARIGTPYHPMQVGHACISPSPQPVAGGKFNHVVYVHPFSQDVMHGTPVISQGWSLPASLNSHQASPQKFQGTTPVYVAPPVMATGNLPLVVPSPAPLVQPFQVARPIMVPAASSMVPGKYM >OB04G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18513636:18514208:-1 gene:OB04G32880 transcript:OB04G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGNKTVTPNEPPTMEQASSSGAKRKRGCSQSYEYGMHESLRSSQPIQSVNTDHNASVRSSRPRDSTNLLKTSLSQASNHSGAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNQVLKGWIPDENNLRPITPKDDLAPDLPMLRPSQVRKRPSNIYRQAAGQDVTFAKPLQMRRPDEKSVAK >OB04G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18517043:18519591:-1 gene:OB04G32890 transcript:OB04G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic lipocalin [Source:Projected from Arabidopsis thaliana (AT3G47860) TAIR;Acc:AT3G47860] MALPRAALLLGSPYSLVAAPNPARPSSRRKCSPAGRNNFRCSLHDKAPLNTHGVSTRLLSCLAASLVFISPPCQAIPAEAFARPKLCQIADVAAIDKDAVPLKFDSPSDEGSIELMMRGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSQEDMANAETDLERQEMIQGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYTADFGYDPSKIKDTPQDCETMSMDQLGQMMSMPGMSEALTNQFPDLKLNAPVAFNPFTSVFETLKKLVELYFK >OB04G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18521519:18526961:1 gene:OB04G32900 transcript:OB04G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVAIRGAQWVVSKALSPLSDGLVEAWAASSALGPNIEAAKMELLYAQAMLNNARGREIHNPALTELLQKLRGLAYDADDVLDELDYFRIQDELEGTFETVDHGCFHDLVRDAHHTTKAAAKQLECTSCCFSASSKPKHGGGKQVLCGAWPGCVTDEEESCRCMRQLTNRARRTVCSIGKRLLCSSVLPDDDDGSQHFNMPRDGKQSICGVCTCTCMAPWKKGPKTPKLDFDRVNASRRMKHIAEQLQLICAKVSTILDLELLGSAIAKLEFIGSRSGTGGDTTTSRSTTTSKSIEPKLYGRDPEKNTIVENITKGVHYHQDLSVLPIVGPGGIGKTTLTQYIYNSKEVQDHFQIRVWACVSLDFNVYRLSKEILNSIPKVEDEKGDSQIQSLDQLQKFIEKRLKQKRVLVVLDDIWKCSDEEWKRLLIPFTNCQVNGNIILVTTRFFDVAEKVKTKNCKVTQLDGLNPTEFWSFFMACVFGHGESNQMPKESELNSIGHQIVKKLKGSPLAAKTVGRLLRNNPTPDYWTRVLQSKEWDLQTSDYDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFSNEELIRFWIGLDILHPDHPSKRIEDIGHNYLNQLVNYEFFKKEIDEEHTYYAMHDLLHALALKVSSQECLHIDSSSGTPIEIPPSVYHLSIILSLKNCEEGTIEGSFKKKLAEMGSRLKTENLHTLMIFGQYDESFITIFSDMFKDAKSLRVVHLSTMLHPVESILYSFPKLVHLRYIKLESNYMNGSHLPQSLSRFYHLRVLDIEQWHGACSLPRDMTNLSKLRHFLVPYDDVHSNISNVGKFRCLQQLRLFKVKSQSDGFKLRELGELAELGGSLSICNLEDVQVNIAHEAKLSYKKHLQKLSLNWMDNNRNTDRENQVLEILRPHSNLSGLQIKNGGSTCPTWLGTSLSIKGLKALRLDGVHWKMLPPLGELWLMDGSGHEYFGCTKVQNFRNLKKLKLIGLPNFRRWVAKEVCPMFFLVVEELIVENCKELIELPFSYYTQQPSQGDEKITWFPNLRMTRIEDCPELVSLPPVPFTQTLCNVDIANVGKSLNRLYYSSKSSELTIRGIKDLNGLNDKLLAFHSLTQLQELEIKDCPSLAGSHLPMLTSLKKLKLYRSSIVVHLLESRSDDEWQLPVEHLCIQDCPASGKVLTQLLSHLPMLSELHLWCCGNITRMCIAAEQQQTNDQEDTQVIESESNQQQQVAEEGGAVPSMDHQPQVAEDREDEDGMLLLPSHLCNSLRELSLERCTELLLDVARSALPTSHSEEAGGWGLQPLRSLQRLEISGCPKLFSAYEALACLFPSSLQYLQILGRVEGVQTLDFSNLNFLTRLIISGCGEDLRCEGVWPLLTQGQLSKLNVNGTPRFFAGLDSILKGLQDEQEQQQPLLQRSSKLQELVTKDFTGVLVKPICRLLSSSLTSLYLHSNNEVEHFTKEQEEALQLLTSLQHLVFWCCEKLQRLPAGLRRLESLKTLQIERCPSIRSLPTGGLPGSLQELDVRDCGNEKLKQRCKKLIGTTSNIKLQWCCYVNSSWPLNTLVLAVYFWSSLLDISGV >OB04G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18529238:18529429:1 gene:OB04G32910 transcript:OB04G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYICVAHLGSTAADKIWTSARKFSPEQSSTTSLQSGLKSWEVFKSSLIHVELLLKLKLP >OB04G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18532814:18537753:1 gene:OB04G32920 transcript:OB04G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAASDGSYGFHLRSLSAASRDSAAASDPASDPNILESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRSCLSREFADPIVAERTLEFLIANKTKILIFFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPLLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDASGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPHFLTLYFATALWDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILTAFQRSPDIIALLKKPITDKLGEAHDNPAKTELALHLCWAIGEHGAGGKNCKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAVQKGTPIHDFSFDDLLPTV >OB04G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18540099:18546397:-1 gene:OB04G32930 transcript:OB04G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPSMIGRNSAAYGSSSALSLSQPNLLDNHQFQQAFQQQQHLLDQIPATTAESGDNMIRSRASDPLGGDEFESKSGSENVDGVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSENEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVPFPVLSNPLAAAASRAAPLDLPMAPYGGVVPGDMFGGGASAGELLRGVQSEVDKPMIVELAVAAMEELVRMAQLEEPLWSAAAPALDAPAALETLNEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAATLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLESLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSAEGRKSMLKLAERMVMSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPGHGGGXXXXXXXXXXXXXXXXXRRRLRRVPPDGGVPDTGRLGPHGEALSGVGGDGEQPHRLHGGAHQGGRLRREQSAVAS >OB04G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18546222:18546455:1 gene:OB04G32940 transcript:OB04G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHWVQQTSRFRGLARARREGEILIRQPWEEEEEEEEEEEVKKRGTQVEENVERGRRSYCRGVLRGCNRIYGEGVV >OB04G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18552352:18552576:-1 gene:OB04G32950 transcript:OB04G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLLVNCDRLINRSTGSSRTHACSVQLDLHLLRDRQAKAAATAADMAEINLTIMCPSQLEYTGAVRTAPWFLL >OB04G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18560480:18561154:-1 gene:OB04G32960 transcript:OB04G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 10 [Source:Projected from Arabidopsis thaliana (AT1G63270) TAIR;Acc:AT1G63270] MPPLKPPPPRLLLNGVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEILWNGHNITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLVR >OB04G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18565360:18566863:1 gene:OB04G32970 transcript:OB04G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTSHYSSCISPGETPSMSAGESSWALHIANFLASPYNSQEQMMSQELVSGGRSSFSSGFSSSFASYGDLDDDDDASFITSEMMCDDDDEDDSLQDTACSSAAGPKLTSSMNNVDMSSMATTEAKDINISQLAKYFVDASSRQPAADVVQEMVSADNNNEKTLYECNDLRKKGLCLVPLSMLINYLQ >OB04G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18571395:18573030:-1 gene:OB04G32980 transcript:OB04G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGIFKGLKVISQIFVVKEHEMQIGSPTDVKHVAHIGWDGLTGNVSPSWMNDIRASSELLSLGNFAPSAGTSWASQDFDQPRGSSSFATPSENASLQQGTAAPPDIPRPPAQRKTRRRRRSTSDCPVPSSSSSSRPSDSAMAPASAASDANATQDPNCKSAA >OB04G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18571462:18571879:1 gene:OB04G32990 transcript:OB04G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLDDDEEEGTGQSLVDLLLRLVFLCAGGRGMSGGAAVPCCRLAFSDGVAKDDEPRGWSKSVRDSRSRARLGIYCVFCALGRTFYA >OB04G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18574255:18576292:-1 gene:OB04G33000 transcript:OB04G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT3G21740) TAIR;Acc:AT3G21740] MASMRRRVGSYICSELCGSVMNQRLYSSRVDWKQLRPMILKRIKNRAQDYPVKRMIPVAEEVFEAREIVTRGVSALLQVVPVHSCKFCPEVHIGALGHQMKSCHGFKRMIKDQPHKWGPGCLNDILVPVESFHLENTFQDEIKHDQRFDFPRVPAVLELCNQAGADIPDEVWHTDGTLSTTVRGNDENPATFLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCEHCSEVHVGLSGHKARMCGVFKFQGWRGKHKWKKAGVDDLVPPKIVWHQRPHDPPVLVDSGRDYYGHAPAVIELCMQVGARAPPKYHCMMKAQGLAPPIK >OB04G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18578181:18579311:1 gene:OB04G33010 transcript:OB04G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >OB04G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18580577:18582628:1 gene:OB04G33020 transcript:OB04G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20730) TAIR;Acc:AT3G20730] MALLVGARPAGAFYSSLLQCCISSGALRQGKSVHSRVVIAPAISPDLHLCTKLIIFYARFSDVAAARKVFDGMPSRSVVSWTAMLSGYARNGLPWEALELFALMHASGPRPNQFTYGSAASACAGAGCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEYARQLFAEMVKKDVVSWNALIRGFVERGYHGDALGLFSSMLKDAMLPDHFTIGSALKACGIVSVPVNVELIHTCIIKLGYWGEKVVIGSLINSYTKCGSMSNARMIYDSISEPDLISSTALISGYTMDRNHSTDGMEIFCKIHRKGLLVDGFLLSSLLCLCASVPSARFGTQIHAYMCKKQSMGDVALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGYGEDAVPLFNRMVEDGVRPNDVTFLALLSACGHCGLTSRGMEYFTSMINKYGIDPRAEHYSSAIDLLARGGQLEDAWNLVQKTNFKPNSSMMGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECNLWEDAQRTRKIIDEASDGKEVGFSVI >OB04G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18584385:18586591:1 gene:OB04G33030 transcript:OB04G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M1M8] MGSGYTFVMHNMHYRLLFGFVLSLVLRFSLVLSDQPGLKIGFYQYTCPNAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDASILLNSSPGSPSEKESAPNLSLRGFGTIDRVKAKLEQTCPGIVSCADILALVARDVVVLTKGPHWDVPTGRRDGTRSVKDDALNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFSSRLYNFSGTTMADPTLDKHYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIAKGRALFTSDETLMLDPFTRDYVLRQASAAGYPAEFFADFAASMVKMGNMQVLTGMQGEIRKHCAFVN >OB04G33040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18588731:18588973:1 gene:OB04G33040 transcript:OB04G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGGPAGAATDMMARDAAAAPGGRGGHVAFTVKVRSRIRVRLAFWGSHWHPVHATCDVQVAADGQLLPEFQQRRCGLDFF >OB04G33050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18589947:18593498:-1 gene:OB04G33050 transcript:OB04G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLLQNGVEHPVPGEEHKEDSSMKVEVAFDGVQSPFRFRHKKRSKVWEEYKPIFLNGKVQFAECLYCHNRLSCKDSNGTSHLWRHQKICPGKEEAAQRRQKDSYFPCVLVNRNDPVSPHDLVSEIITETLSDIKSVTPSGSRFTSKVWKEFTPIYVEGKLQAADCIHCHKRLSANKFGGRSHLSRHLITCAGRHGRGQIHQKGLFYPSSIPSLKSKMQDELSPALTNGKVQIAEYSSKLLRTSSSGDSTPKPIRVVPAQHSLPTPDCTSLKKQRTSFVTTPIGQETSDPELAGMIAMHGYPLSIVEHEEMVRFVNKLNPVVNLVSRNGLEEHCLALFQKEKENLKSKIAHSSRRVSLSASIWTPDGSEPTVNYLCLTAHYVDEDWKVHRIIIKFGMFWSVPADLERMVHCMEACVPESESGSYNIISDAIRDWNLDQKLLSLISVGEVRDDNNTSLLKEMLIEKKFLPIGGKLYNVSCVDYILNSIVFKVQSDILHLVSDIVMEFLVSLTQQQLLEVISHMGLKCPQEDAKWWHKLYFRLEVLLHFKKSFPSDERLSPEDTKIVESVCKILRTFYRVIEVISSPSSPTANMYFNEIWKIRTVLQEEALNDHRDIATMVMMMQEAFNEYWQNSYLWLAIPVVLDPRFKFSFIEFRLKRAFGTDSASYLSVIRETVRELFNEYCNSLNQTIAVVSNSEAFGADDGDSLEDWDRHLHEQASSQLSSELDDYLEDGLVPRKDDFDILNWWMTHTTKYPTLATIARDILAMPASAVQSEAAFSSSGPVIPKHQSALNIRTIEALVCTRDWMR >OB04G33060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18594740:18601953:-1 gene:OB04G33060 transcript:OB04G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDNSEDLSSSSSASSPSSTKSSGYGYADKIADGMTVQVGIVNLLLETHGGPRRQGGATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSGSEDDKRDDDGAKRMFFGGERFLEGISGEANITVQRTEQNNPLGLEVQLHVTEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQHAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRASVSDGEISKNLSCIKIGGLFLRDTFSRPPCTLIQPSMQSVPQEPPPVPDFGQNFCPPIYPFENQLLEFTSGTPLFSLYCLQLTPSPLPPKFASKTVITCEPLTVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSLYLKEFDLSIPLDSEEITRCSGTKNVCPQSFTGARLHVENLYFCQSPSEKCLLLNLDRDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTLTGQRTVMGSSASLWKCVELDDIRFEAAMVTADGSPLLDVPPPEGVVRIGVAFQQFKSNTSVEQLFFVLGLYTYFGQVGERISKVSKGNRSGAKASFDKLERKLPSDTAVSLTMNNLQLNFLESFSSNDLHLPLVQFGGDDLYLKVSHRTLGGAFAVTTNLTWKTVSINCLEGESAIFHENGTAVTSEPNILLHENGHPKMRAVFWVDHRNKNQSKDAQFIDINITHVLPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHQFGILGPDGGPGEGLLRGLKDLSSGPLAKLFTPSHLTDKEVADGRSNSKDDDNSSKFDLGVPDDLDVSIELRNWLFALEGTEEVGDWLTPRGNDHISREEKCWHTTFKNLHVSGRSSDRPGSAEKVAHKRALPVERFTAGIEGLQAIKPRLRDRLIRNGTSNNIQTDNVFDNTSNISEQGVDVEATMVIGEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGMENIFSPRKLSRQNSFGSVGTPRTPHLHSITDAGSKEVLEATVASLQMEIFESKAKCTALVSKASSVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >OB04G33070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18605872:18607570:1 gene:OB04G33070 transcript:OB04G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin p2;1 [Source:Projected from Arabidopsis thaliana (AT3G21870) TAIR;Acc:AT3G21870] MAMDASAAAAGGGGEMSRKETTATATAPPPELDMVACAVQRLVARNDAVAALSGGGEEGAGVGMAAFEAAKGAPAPRIGVAQYLERVHRYAVLEPECYVVAYAYVDMVAHRRPAAAVDSRNVHRLLLACLLVASKVHDDFHHNNAFFARVGGVSNAEMNKLELELLAVLDFEVMLSHRVYELYRAHLEKEAQRDGGGGVLAGAGAATAGAGRLMAAVAPSKLPERAVVNGAGDPGPPDGAAPHDWRSLGTEAAGGXXGVRLHTSSPSRYSFDC >OB04G33080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18608292:18610918:1 gene:OB04G33080 transcript:OB04G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSASGSAASPGAGPAGGVKDDELADLVRRLVAALGRYSDRLPFDLDRQKLRSLTTLATITITLIFAWKLLRAPQEQPWRPRRRVAPSPSSTSSRSRPSALTSTDACSSSADSRAHEAINQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNGALIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >OB04G33090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18610211:18615673:-1 gene:OB04G33090 transcript:OB04G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) TAIR;Acc:AT3G21820] MGGSSASPCDLDREFAPQIAQLIATPPLQAAQEYYDELIQSKKHDGIRVNFSSKHGKGVCANKDFAEGDLILKDQILVGSQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSIGLSSDCTDRGHCHESDVGSSASSSGATKENSNTLPEEVLVSLITGDKSLPFTDHFSLPQVVPCCGCEEERYCSQSCADSDWETYHSLLCTGSKTESSRKSALQKFVEHANGSNDIFLVAAKVITFTLLRYKKLKIQSEFQKNTDESNFSLLMEAWKPISMGFKKRWWDSVALPEDVDSCDEDSFRQQIRDLAFTSLQLLKDAIFDPECAPLFSLEVYGHIIGMFELNNLGLVVASPIQDYFIHIDDLPDDKKEEAKKVTRPFLDALGEDYAVPCEGTAFFPLQSCMNHSCCPNAKAFKRDEDIDGHAVILALKPIRKDDEITISYIDEDVPYEERQAQLADYGFICACPRCQEEKPY >OB04G33100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18616630:18617441:1 gene:OB04G33100 transcript:OB04G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAASSVDCVSAAMSSSSSLKALVALMAVAAVAELAAAAGKTYTIKWASGGNYGDWSSKNAVAVGDSVVFKYGSPHTVDELSEADYKSCSFTSPVTSDASGSTTVTFDAAGTRYFACAAAAGSHCSMGQKVAITVSAGAAQGRLAAVVAVRGGGGRRRARLEAGGRPRRRRRCHARALNLNSSLEL >OB04G33110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18617442:18623848:-1 gene:OB04G33110 transcript:OB04G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDLSSDSEDNGSLCSYREDGLDFDDPNDGLDFDDPNDGFNQVPVSLNTAFGKNSAEQLVRLDDYTWSKNTHGLSSHSPEDRSDVEIRSDINTDFNIHNSNWQYNTLPHPFMSSSYRSHPLCGTGGNNIESTHLTVKPNTVHYYNGTEFPSLRKSYVSYGQGVSTDEDDDDVYEVQPPQSFLFSRSSLGNNRIEEESTVKCNSFQTNAANGIEMPTSAMSTGCFNAYGGLNSHRIFPPSMPYRNSVNNFGVNGLGTQSHLNVEKKLFGRDERAVYDEALKHISQEILEEDLPEGVMSISLLKHQRIALAWMISKENSSHCSGGILADDQGLGKTISTIALIQKERVHQSKFMSADSDSMKSVALNLDEDETMIVMDKKQLKSEQVNMPHASILFPSLETASDATDLKPWASQSGSVFDRMAKADKVEPKKNTRARPSSSSTSRTANRPAAGTLVVCPASVLKQWANELAAKVNESSKLSVLVYHGGSRTKDPTELIKYDVVATTYTIVAHEVPEQNSDEHMEKKDSETYGLCPAFSIGNKRKKNNEAKKKKKPKNSGPDLDGGPLARVRWFRVVLDEAQTIKNYRTQVARACCGLEAKRRWCLSGTPIQNTIDDLYSYFRFLKYEPYSVYSSFQSMIKCQISRDATRGYKKLQAILKIVLLRRTKETLIDGEPIIKIPPKTIQLSKIDFIKEERTFYMMLEEGSREKFKEYAAAGTIKENYANILVLLLRLRQACDHPLLLNGKQSDLIDTGSIEVAKQLPKETVINLLRKLEGGGYEICSRCSDTPENAVVATCGHVFCYQCVHESLASDYNVCPSPFCGKQLSAESVFSPGVLRFCIAEKLQPDATTSSSKAADGSPSICESSYISSKIRSATDILNSIVNTHALTGSDTIESNPSEVAPPKAIVFSQWTGMLDLLELSLDNYRIKFRRLDGAMSLNLREKAVKEFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRIGQIRPVTVSRLTIKDTVEDRILALQEKKRKMVQSAFGGDKPGGNATRLTLDDLQYLFGI >OB04G33120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18628178:18628300:-1 gene:OB04G33120 transcript:OB04G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCLAAALLVAGLVLGFGLAHRTWGERKVQ >OB04G33130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18628720:18628908:1 gene:OB04G33130 transcript:OB04G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVLNINSRRHIRMVTQKFSQFCTAFQVIDLIGSGTRIATILYLNFVLLLVIGTALLCRQRR >OB04G33140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18629543:18631260:-1 gene:OB04G33140 transcript:OB04G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal cysteine residue is changed to a serine 1 [Source:Projected from Arabidopsis thaliana (AT1G11530) TAIR;Acc:AT1G11530] MEIQQQKGVGNSKVVKVEKEAAWDLFISQASSEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEIMFLYVDVDDVQSVSSRLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASADSFGATALPDIVVE >OB04G33150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18632142:18637121:-1 gene:OB04G33150 transcript:OB04G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3M1P0] MASSVTPRGPYLKKENLGNARRGMVGVKPGPRRNVLSAINNGGGANSDTASVDGGEGGAGSVAPVIEFTGREDVERLLAEKMKGKSKTDFKGRAEQMSDYIKKLRACIRWYIELEDDYLAEQEKLRSTMDAENAQHAELEAQLSSDLEELKSAHLELTRRCDSLEESLNQEKADRLFAVESYEKEKQERESAETSRDLLTVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDFNNSMKSQLDSSKTSQQEAIRLKEEFRKEVECLRIELQQIRDDRDQSVAQVNTLSVELANYKELAGKSTKECESLSVKVSAFEETCNAQKEQIQTLQKQLTVATEKLKLADVTVIEAMTGYQEQKVKIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDSDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEEVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGQPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFEMSASKQYTIKHDPQGNTTVTELTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNVSPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSYG >OB04G33160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18637470:18637715:1 gene:OB04G33160 transcript:OB04G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGAFLGPDGVSSKAQNLVAWKRRQNRALDPRKPQEEKNCGADELGGGIRTFEAHRAEIIPKFPWISHLVRICARDAGRERE >OB04G33170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18642452:18647612:1 gene:OB04G33170 transcript:OB04G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHAPAFTPEAASASATGGAGERQSLPALQAKMKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSSGGGGEVAKELGDLALFLAHVAPFYPEDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFLELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNASFHVSSRIMIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTSATKKKKKAKLQRVIRSMKRQQRKSTEETGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPIDPKARPKAFGEATIATDVPGAELLDEDFSSEGEDSDDESEAFDSNDEKELKSAPGTKMEDLSEANKLDTDEGMKEEDQLSGDEDDTEEVDEDQDISDNDSEDDDELEHDSDLDEENDVSDDDEELNENLSDSDEGSDQDGGSDQDDKSKDSSCKANKRKLSDYIGQLNAADASLRALKKLAGVKKAESSCDEAGKILSDEDFKRIKELKARKEAKLALAQHGLSKGSDTKSVTFKMPSSDQLSMKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKRMPLAASRAKAARSRQEKKEKQKRSGKQFRGRKAWK >OB04G33180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18648688:18649113:1 gene:OB04G33180 transcript:OB04G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACDRPQRILQKINFEFVVFALAYSLSKVLIEKEASKIAEENGISLVTVCPVITVGPAPAAEAAKPSVALVLSLLSGEQ >OB04G33190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18651920:18653697:1 gene:OB04G33190 transcript:OB04G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELEKKTVCVTGGNGYVASLLIKMLLEKGYAVQTTVRDPNNEEKVSRFRDLEKLGPLKVFGANLEDEGSFDEAVAGCEFAFLVAAPMYDKSHKSDDLEKEIVQGGVQGTLNVLRSCARAGTVKRVVLTSSTAAVSSRPRRPREGAGHVLDESSWSDVEYLRSNKKLSPTQAYSISKVLSEREATRFAEENGLSLVTLVPVVAVGASPAVRVDTSVPACLSLITGDEEMMTILKGIEKASGWSMPLVHIEDVCRAEVFVAEKESAAGRYICGGLNTTVTEIAHFLEAKYPQYNVRCDCIEDHHPLKPTIFLSSEKLTGEGFEFKFKTLDEMYDDLIAYGKALGLVSN >OB04G33200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18655857:18658912:1 gene:OB04G33200 transcript:OB04G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMSAVERKTACVTGGSGYIASALIKMLLQKGYAVKTTVRNPDNREENSHFEELQELGPLKVLRADLEEEGSFDEAVAGCEYAFLVAAPVNLKAQNPEKEVLEAGVRGTLNVMRSCVKAGTVRRVVLTSSAAAVSGRPLQGVGDIVLDESSWSDIEYLKSGNKMDPGQAYAISKVVSEKEASKLAEENGISLVAVCPVATVGAAPAPVASASVANVLSLLSGDEDCINTMKLIDRGSGGIKLVHVDDLCRAEIFVAEKASPAARYICCSLNTTIVQIARSLASNYPQYNVNSDALGDVPEEPTILLSSEKLTGEGFEFMYKTLDEMYDDAFIEYGRALGVLPY >OB04G33210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18659382:18663018:1 gene:OB04G33210 transcript:OB04G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVKKVETSVKQGFPYRASRQNCSTALPRIPHGNRKNRKNRDEFESKKFEFKLGRLHGLPRLPRAQLEGSQQPATMSADERKTACVTGGNGYIASALIKMLLEKKGYAVNTTVRDPDDMSKNSHLKELQALGPLKVFRADLDKEGSFDEAVSGCDYVFLVAAPMNFDSENPEKDLVEAAVEGTLNAMRSCAKAGTVKRVIITSSDAAISRRPLQGDGHVLDEDSWSDIEYLRTEKPPSWAYSVSKVLLEKAASKFAEENNISLVTVFPVFTLGAAPAPVARTSVPVILSLLSGDEPHLEVLKALQSVTGSVSIVHVDDLCRAEIFVAEEESASGRYICSSFDTTILALARFMARRYPQYNVETDRFDGIAEKPRVCCSSEKLVKEGFEFKHVNLEEIFDDLVEYGKAVGILPH >OB04G33220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18665131:18666629:1 gene:OB04G33220 transcript:OB04G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADERKTACVTGGNGYIASALIKMLLEKGYAVNTTVRNPDDMSKNSHLKELQALGPLKVFRADLDKEGSFDEAVSGCYYAFLVAAPMNFDSENPEKDLVEAAVQGTLNAMRSCAKAGTVKRLIITSSDAAISIRPLQGDGHVLDEDSWSDIEYLRTEKPPSWVTCCYTCDFIQYF >OB04G33230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18670996:18674770:1 gene:OB04G33230 transcript:OB04G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAPYRKSLHVPIDSRYMLEAWKPIYANLYDLKLQEDDDMANNSHLKGLEALGPFKVIRADLGEEGSFDEAVNGCDYSFLVAAPLNIQSENPEKEMIEAGVQGTLNVMRSCVKAGTVKRVIITSSSSAISRRPLQGDGDALDEDSWSDVEYLAREKPPSWVTYELSGVWSLQGAFREGSMQIRGGERHQPDHRDPGDHLGCGTDADDQHKRPNYAVLDIRFASNEVKLNNLKALVATGSWSIVHVDDLCRAEIFLAEKESASGSLEEFPGKAKVCYSSEKLMREGFEFKFTNVEEIFDELIEYGKAIGILPH >OB04G33240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18676771:18680194:1 gene:OB04G33240 transcript:OB04G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGVFGHLSDSFLGRKGSLQVVCVLNGVFGVLTAFAPNYWAYAALRLLTGFSAGSVGLCSFVLATEPVGPSRRGAAGMSTFYFFSGGIAALSGIAALFPSSWRALYVVTSIPSLVFVVAVLPFVSESPRWYLVRRRAGDALRVVRAIASCNGRSIPVDVTLKLDDEGDDDAGGGGKEVDSSASASGSIIDVFRSRTTRFRLVLSVVINLLASVVYYGLSLNVVNLKTNLYISVLVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGIFCSAGSFIAGAGAMRVARLACGVVGIFGMAATYNLLFIYTAELFPTAVRNAALGCTSQASQMGAILAPLVVVLGERVPFALFGVSGLIGGFLVFCLPETMNKPMYDTMAGLEEGEKSLLK >OB04G33250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18691941:18693440:1 gene:OB04G33250 transcript:OB04G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVEVAALVLCAVWAAAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSASGGGFASKSKFLYGKASADLKLVPGDSAGVVTAFYVTVVRRGQAQRVRLRVPGQRHRRAVPGADEPLHRRRRQPGAAHRPVVRPHHRLPHLRRPVEPQPGRLPRRRHPDPRLREQERHHHRRQGPPPPRQWHRQQQRHVLRAAVPVAAADVGVQLHLERGRLGDARRARQDGLVARAVRGHVPRRAGGGLRVGGQRQRLGRRRGGAVHGELVGQGGEVLVEGEGHGGAHRAPEPPARLGARPPPRLRLLRRYRPLPRPAAGVRRPVIADRVPMGGSSWMELLVSWFANGR >OB04G33260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18692838:18693425:-1 gene:OB04G33260 transcript:OB04G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPRNQQFHPMIHPWARDRRSPAGALRRLDGEAVGIDAVVVDEVVGARPDELVALVHGELLHVLLLPPVPPLLAPRAPRAPRHLAGVPVAGVGRPRAALHPHVAERGHERRVRPVRLDAPALRRPVVRVPDGAVHRHRLRRRERRHGGRGVAATRIGVSSTRKTTWLGFHRTA >OB04G33270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18705594:18708264:1 gene:OB04G33270 transcript:OB04G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRSQMMHAAAPAAMYNGGGGGAGGSSHGVWWNNAVPAATCSTTELAGYTAWSSALASGYVDVMAGDNGGKAKSTTTASSESPGNNSSITFQEPTSIPDPTAVAAVPQPAMAGFTDWTQPFMNNATALHEFLHDGHHDMSASSLMNPSSNNLALQQPGHHEQDHHQLLSSFGSDLLLSPTSPYGFQSSLLRSLMEPAAAAAAKQPALAGLQQYQYQQQMGQAPVAAKLAQAVGARDSLQFTNDAPFWNPSAGFGMAATAAAAAPDQASARSVKRSSPAPPRAATHALKTALEGVGDSSSVITKKGNGEPSFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGAHQVPHLKNSSPDKSKHGEVSLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >OB04G33280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18710934:18719093:-1 gene:OB04G33280 transcript:OB04G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTMLLLLLSIPLCKTDDQLTPEKPLFPGEMLISKSGVFALGFFSPAANSSKGLYVGIWFHDIPERTVVWVANRDSPVTAPSSAKLAISSSSEMVLSESDSQGGGCTLWTTKSGAAGAGASSAVLLDTGNLVLRSPNGTDVWQSFDHPTDTVLAGMMFLMSYRSRVVGRLVAWRSPDDPSTGDFSFSLDPGSDLQGITWNGTKPYCRNGVRTGVSASGSPYPISSSNTSAFVYQMLVDTGSAIYYTYTVSGGSSRTRITLDHTGAMTFRGWDNASSSWTVISQRPAAAGSCEVYASCGPFGYCDLTGPVPTCRCLDGFEPVDGGGASFSRGCRRKQELRCGETAAGRFVSLPGMKAPDKFLHVRNRTFDQCAAECRRNCSCMAYAYANLSSSGTLSDPSRCLIWTGELVDMGARKDVVGDTLYLRVADSSGPNSTVNSNKKRDLVVKIVLPAVVCLLTFTTFIYIVTKHKPRGIRRKKEMLKIPALRYFSTSHDSWDQNLEFACISFEEVATATNSFHETNMLGQGGFGKVYKGTMVDGKEVAVKRLSKCSEQGTEQFRNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHGIHTTLDWPRRFKIIEGVARGLLYLHQDSRMRIIHRDLKASNILLDAEMNPKISDFGLARIFAGNEQQESTKRVVGTYGYMSPEYAMQGKFSVKSDTYSFGVLLLEIVSGLKVSSPQHLVMDYPNLIAYAWNLWKNGRQRDFVDTSILESCSLSEVFKCIHIGLLCVQDSPNARPPMSLVVSMLDNEDIPRPMPKQPVYFAQRHYEAEEPTEDLEKSVNDFAVILNLPDKSEVGGTVGYAKESNVVKIVLPIMSSLLILTCICLVWIRKSRGKHRSKEIQNKLMVQYLSASNELGDEDVDFPFIGFEDVVTATNNFSSYNMLGKGGFGKVYKGILEGGKEVAVKRLSKGSGQGIDEFRNEVVLIARLQHKNLVKLVGCCIHEHERLLIYEYLPNKSLDAFLFDATRKTVVEWPKRFKIIKGVAQGLLYLHQDSRLTIIHRDLKAGNILLDDEMSPKISDFGMARIFGGNQQQANTTQDVGTYGYMSPEYAIQGIFSVKSDVYSFGILLLEISWCLWKDGNARDLVDSAIVQSCPLHEVLRCIHIGLLCIQDHPDDRPLMSSVVFMLENSTAPLPQPKQPIFFVQKNRATEDARQNMKNSVNGFSITVLEGR >OB04G33290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18721359:18725543:-1 gene:OB04G33290 transcript:OB04G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M1Q4] MNMVCLPFLLCLLLIPSCKSDDKLTQAKQLRPGDVLVSQSGVFALGFFSPAASSKSLFLGIWYHNISERTYVWVANRDSPITKPSSAMLSISNTSNLVLSDSSGQSLWTATNGTVYRGDGAYAALLDSGNLVLRLPNDTTIWESFDHPTDTILMNMKFLLRYKGEVAGRLLAWKGPDDPSTGEFSCGADPSSDFQFFTWKGTMPYYRFIGINRVWVSGMVYRSNFIYESILNLGDKIYVIYTTPDGSPYVRITLDYMGTLKFLGWNDSLSSWTVLFQRPSVECDKYASCAPFSYCDATSSIPKCQCLDGFEPDSTNSSRGCRRKQQLRCGDGDDNFVAIPGMKVPDKSLYVQSTSFEECTTKCSRNCSCTAYAYGNLTGADQARCLLWTGDLVDMRVASNDEKLYIRLADSTGNTSEDNKKNRYLVKVLVPIIACLLILTCIYLVRKWQSKGKQRNNENQNRAALGRFKTSDELYEQNLELPCINFEDVATATNNFSDSNMLGKGGFGNVYKGMLESGKEVAIKRLSTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGYCIHGDERLLIYEYLPNKSLDAFIFDPASKYILDWPTRFKIIKGVARGLVYLHEDSRLTIIHRDLKSSNILLDEDMSPKISDFGMARIFGSNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDIYSFGVILLEIISGLKVTLPQLRDFPNLLAYAWSLWKDDKAMDLVDLSIVESCSPTEVLLCIHIGLLCVQDNPNNRPLMSSVVFMLENKTTTLSAPIQPMYFAHRASKAKQTGENTSSSMKDMSLTMLEGR >OB04G33300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18724366:18724653:1 gene:OB04G33300 transcript:OB04G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYHMPRNRLLLEAAGEKKPSAKTPLWETSTSPGRSCFACVSLSSDLQDGMRSKQRRKGRQTIFISVCSSVFACLVNHEDIARLAITLTCIYYY >OB04G33310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18727880:18731015:-1 gene:OB04G33310 transcript:OB04G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKSPAVGAATAKIFHLHVILFSVFLLPLETSAAGVASDTLNNGGNLTDGSTLVSAGGSFTLGFFSPGSPSKRYLGIWFSNSTSAVVWVANRDSPLNDTAGVLVISGATGELLLLDGFGQAAWSSNTTTGAGPPPSEAQLLESGNLVVRGRSSGDVLWQSFDHPSNTLIAGMRLGRNPRTGDEWSLTSWRSRSDPTTGDYRNGMGPGGLPAIVTWRGAAKKYRSGPWNGAWFNGVPEMTSYSSMYTNRLVDKPDEVAFVFDDAAAGVPFCRLVLNEAGVVQSLVWDQATQAWNALAQSPRDVCDDYAKCGAFGLCNVNTATTLFCSCMAGFTPASPSRWSMRETSGGCRRSTPLECGGGDGGAGTTTDGFVAVRGVKLPDTDNATVEMGATLEGCRARCLANCSCVAYAAADIRGGGAGSGCLMWTGGIIDARNVDKGQDLYLRLAKSELGKQKSNEYQKKAVLRSFRNSHELFERNIEFPFLNFEDVVASTNNFSDSNMLGKGGFGKVYKGMLGGGKEVAVKRLSTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLVYEYLPNKSLDYFLFDDSKKSMLDWQTRFNIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDEKMRPKISDFGMARIFGGSEQQESTKRVVGTYGYMSPEYAMEGIFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYAWNLWKDEKQRDFVDTLVIDNCSLSEVFRCIHIGLLCVQDSPNARPLMSSVASMLDNEGVPCPVPNQPIYFVQRHHEAEEQGKYLEKSVNNVTLTMLEGR >OB04G33320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18733954:18734664:-1 gene:OB04G33320 transcript:OB04G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQTLDILSGNKYINHFLRFYVNDLRQRTRLCIQVTLSNNKHHIYSATSSVSIENILLLLLRPCLLLLSLRSTVADIPADAFDKAGNITDGKRLVSAGGKILAKERSLYRQNKYECNLLFQAWNLWKDGKQRDFVDTSVLESCSISEVFKCIHIELMCVQDSPNARPPMSFVVSMLENADMPHPMPVQPIYFVQRHYEAEDARGGNMEKSVNNVSLTVLEGR >OB04G33330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18734081:18734263:1 gene:OB04G33330 transcript:OB04G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSSIDTTNDIGGLAFGLSWTHMSSIWMHLNTSLMEQLSKTDVSTKSLCFPSFHKFHA >OB04G33340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18736803:18740064:-1 gene:OB04G33340 transcript:OB04G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGKSLWSGEEWSITAWRSAADPSPGDYRRVLSTRGFPELVLWRGGAEIYRMGPWNGRFFNGVPEASNYSDKYPLQVTSSTREVSYGYTAMPGAALTRVVVNYTGVVERLVWDASSRAWRSFFQGPRDPCDPYARCGAFGLCDAGAASSSFCFCAPGFSAASRSEWALRNTSGGCRRNVPLDCVAGGGATTDKFAVRGVKLPDTRNASVDMGATAEECARRCLGNCSCVAYAAADINGGGCGIWADTIVDLRYVDRGQDLHLRLAKAEFDIIPENPYMTVRSFNLATIKSITENFSENRVIGEGGFSKVYKGLQSDGRVVAVKRLKQSALNNKGKKDFAREVAVMAGLRHNSLLRLLAYCNEGNERILIYAFMKNESLDIHIFGTHHHARRIRIIVLIWTGDKDDIIQAIAKGVAYLHEGQDGSVIHRDLKPSNILLDDELKPKIADFGTAKLFVADQSAQTRVVSA >OB04G33350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18740969:18743390:-1 gene:OB04G33350 transcript:OB04G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCVFGCLKKFVIKQIGTRRIQGPQLITREAGPPADEVIFRSDAVKSAVLSSPLVEFSTICSATNNFSNKLGGGGFGPVYKGVLPNGQEIAIKRLSNSSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFVFDESKRLVFGWKLRYKIIQGTGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEYQLQALTHRIVGTYGYISPEYAMEGKFSEKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVTELIDPLMGTICSYDEVCRCIQVGLLCVQELPADRPNMSLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSVNQLTYTQLQGR >OB04G33360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18746905:18751499:1 gene:OB04G33360 transcript:OB04G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:J3M1R1] MDGHTNGSNGSCSKPCVELADYYIPDYILKPDSGHSIIDQAPCCPVVVFINSRSGGQLGSSLIKTYRQLLNESQVFDLSEEAPDKVLRRLYCNFEKLKSNGDNLAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPFTDQEAVKSFLGQVKKAREMNIDSWHIIMRMLAPKEGPCDPIAPLDLPHSLHAFHRVSRSDSLNMDGYDTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEDLKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKAQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLACDPCKSESVNDPSSPMCRSNHEDDDRNSLEDEDEWEEGRKKFGAADTFKFPDEVDIAHLS >OB04G33370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18752890:18753139:1 gene:OB04G33370 transcript:OB04G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMSRVGARAAQAVREGAGRSVKDKAQSAATSSSSGAARSVEKGRVSAAAARRAEEEKRRRAEQSLRTVMFLSVWGPNT >OB04G33380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18753880:18754035:1 gene:OB04G33380 transcript:OB04G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHYRVYDRISTANWVPSTRAASRSPISPCAAASSSPPRPPSPPARPRHRR >OB04G33390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18755624:18755962:-1 gene:OB04G33390 transcript:OB04G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRTVQQRARQQAAGSGATKSGGGXXXXXXACRGGDGGGEEESQAAGGGAEDGHVPQQLGSKQLTQLDQIRIVLDG >OB04G33400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18758705:18759124:-1 gene:OB04G33400 transcript:OB04G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANARAGASQAKKLPSSAAAAAERRRAEKAEEGLRTVMYLSCWGPN >OB04G33410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18761028:18761594:-1 gene:OB04G33410 transcript:OB04G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAASPRGPPSLDGSEFRRKQAPGIPGNQRAAPPVSPNPSSLPPLLYIIRPPLPPVLHQHRLHSISCPVSVLTATRKTKLRIEFDPILNLKPNGGCSEGVVDGGDERGRGGGAQGPGGALPLELRAAVHPPRRQGQRRRSIAGQEAARGGGGGAEESGERRGGAEDGHVPQLLGSQLVYVAARCWEY >OB04G33420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18760919:18763780:-1 gene:OB04G33420 transcript:OB04G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASLMVAMSVGAVEALKDQAGLCRWNYAMRSIHRAAKSNARAGAGAGASQAKKMPASAAAAAEWRRAERAEEGLRTVMYLSCWAIGVGLGSGNHGLRLAGCLFLLDCIYHDDDDNGESFWYLSIIVVSWP >OB04G33430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18770513:18770779:-1 gene:OB04G33430 transcript:OB04G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANAGGVSRGKKLPASAAAATAAERRRAEKAEEGLRTVMYLSCWAPN >OB04G33440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18772125:18772828:1 gene:OB04G33440 transcript:OB04G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTVGACKAHHPQPAALLSSRPLLRRASPTLPAGRYTTAQLAARAGDELRRAGAVPRLVVRRRCQEEEEQQQQEEEEEQSNGGGGGAEQERMTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFLKDKLKREQNVGTAVLQWDDPGF >OB04G33450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18776213:18776830:-1 gene:OB04G33450 transcript:OB04G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13780) TAIR;Acc:AT5G13780] MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDVEAKYYADGEDAYDMRKPLRQPQPKKHHHHHHHHHGPGGCGDGPGGCCSHDAPPAASGSSPPSNSPEKKAES >OB04G33460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18776330:18776869:1 gene:OB04G33460 transcript:OB04G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVMVVLLGLRLPQRLAHVVRVLAIGVVLGLDVVDLVPERGGVEVEGRSVGAADVEGDVLGPEDLVHRGLRGGHELGGEAELAVGAEHGEGGDVAVAGLGGVLLHLGEHVADDPAAVVLRHEQQLRPRQHVVEVVLHLVVLRQAHQVARLHRQQVVDGRLPDAHHLRRRRSLPSPPD >OB04G33470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18777435:18783135:1 gene:OB04G33470 transcript:OB04G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESNTLRVLVATDCHLGYMEKDEIRRFDSFQAFEEICSLAEQHKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSVCNLVNYFGKMDLGGSGVGQIAVYPVLVKKGTTFVALYGLGNIRDERLNRMFQTPHAVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFQYAEVVLKDEVDVDPNDQASVLEHLDKIVRNLIEKSSQPTASRSETKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQATGVDNIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVSKDDKMAFYACLQRNLDETRNKLNLEADKSKIEEEDIIVKVGECMQEHVKERSDRPKEDSRFTSSTQNLGTGGKSVTSQSNLNSFSDDEDSREMFLGARATNAGRKTSGFTRPSKDNTDAKTGTSRRGRGRGTTSMKQTTLTFSQSRSSAAIRSEDVQSSSDDENETYEANEVVENSEPEGSHQQTGRKRAAPRGRGRGRGATAKRGRKSVASIQSMLMNNDDDDDEDDRPKKAPPRVTRNYGAVRRR >OB04G33480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18783608:18787120:-1 gene:OB04G33480 transcript:OB04G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAADQQHLCRVGVSRGDDPVGALGETGRSFGFGGTGKFSHQGKFVEYGVKFGVGDTIVCAVDLDSKPLASIGFSRNGEWLGIAKHFDASDKGLGLVAAPVSPMQWESAIFPHVLLKNVVVEMQFIREDGLQLVDGYEPWPLSHVDGNAVFGPVFAQQRECEIVTMVGLPASGKSTWAEKWIKENKEKRFILLGTNLALEQMKVPGLLRKHNYSERFERLMDRATVIFNTLLTRAAKIPRNYIIDQTNVYKNARIRKLRPFANYHKIAVVVFPLPSEHKARAAKRFKEMGKDVPADAVDEMTANFVLPLSKGMPDSKEPFDEVIFVELSRDEAQRNLDEMKRLLPRASTPGYANFNNKNVSSTYGGTIAGTMPPLSPGYHRQMDNPYGSGMQTPGALTHQQAACGIHGFHSPAGINQHQIHISYPNIPYQHQIQSSYPSTPYQHQTQSSYPSTSYEDQIPSGYACNPNHQIHPSYPSTPNQYQSHSTYPNTPSSGHGKNVYGSNGGPSPYNPNPYNMNTNLQQRILAPVGDRNQSHTLVTNAAYGRSGHEAANSVGGPTNAHPSTPAVYSSGNYTHYMQHSNDVHNSGSQYSAPVTRPPYRAPTPNHLNPQTSIRYI >OB04G33490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18789543:18793916:1 gene:OB04G33490 transcript:OB04G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT2G20810) TAIR;Acc:AT2G20810] MRRRPFLDQRRPLSFKRRWQQRPWWARLAVSLLLALACLFLLLALRGSPDPDPVVFPSTGSSRSAATSSPLLRQRSYLDGVTDAFNMTDEMLSAHSISRQLMDQISLAKTYVVVAKEANNLQFAAELSLQIRRAQSILAHAAAHGGTVMEQDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTIEWFKSTELQRKFTEKSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDYRGATVEIQKVEDFTWLNASYVPVLKQLQNAATQNFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRKNNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDSYVDYSHPLLQRCFTH >OB04G33500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18792602:18794584:-1 gene:OB04G33500 transcript:OB04G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKYRSRGYSTMGSNTNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFAILLQERDQQLISLQALLQKEQEISKEMRRKLDALEAKTSILSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQLKENTADSGPDQMAAIKELLQQKEAELEEIKTKLHDYKKPDTNNENTTSDTVVPEDSANPGDSIPASAAEHHSYNNSASESNQDESTGASTNNENETADNLVLDKYTNSSDSTPASTEEPHPSDSTASESNQDESTGASTNNENETADNLVLDKYTNSSDSTPASTEEPHPSDSTASESNPQDGSSEEHFIKLTTNREDDGLQDKTGDENGNSDDALQGSHSEKSELPQWSSKLADNKDNSTEELDDTRQLENPQGDGSYESRGSKLLEKEVESTKEIEPMKETNPETELETSKDSLSEANQNSTQAVEPVADPAGGKPNMSIYNDETKETSKRRRRRKFRSRRKRINAAAANVDGEVTKVR >OB04G33510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18795956:18797580:-1 gene:OB04G33510 transcript:OB04G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNCMVMVDNCYGEFVETSEPPMAGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGLLIAEVMSAKGYRVQPLPREPRYDIVQAVELGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDVLKII >OB04G33520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18799060:18801618:1 gene:OB04G33520 transcript:OB04G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional nuclease i [Source:Projected from Arabidopsis thaliana (AT1G11190) TAIR;Acc:AT1G11190] MASERCSSSFVLAAAAAALFVLASAPAAHCWSKEGHMLTCRIAQDLLEPAAAHAVRNLLPEEADGDLSAMCVWPDQVRHWYRYRWTSPLHFIDTPDKACSFVYSRDCHGPDGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVWDREMILTAIAEFYGKDMEAFQKDLVHNFTRGMWSDDVSSWGDCEDLHSCPTKYATESINLACKWAYNDVHEGETLSDDYFSSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVAPTA >OB04G33530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18802440:18806413:1 gene:OB04G33530 transcript:OB04G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVLGSKPADCFQFRDPNSWTCMTELVSDVVVEVGDFSFHLHKPCSVELRGIPGGAAAFELAARFCYDVSAELNAGNVVSLRCAAEHLGMTEDHGGGGEGNLVEQTEAFLRDVLGSWDDAVRALRSCDGAGVLPLAEELLVVPRCIDALASKACADPTLFGWPMVEYYTAKGLEETVIWNGITTAGKPRTPGADWWYKQASSLKLPVYKRLITAMRSKGMSPENIAGSLTHYAKRHLSGLSRHSGGGGGVSGTVLSDVEQRVLLEEIVAMLPVERGVATTRFLLGLLRTAMILHAGAACRDALEKRAGNQLEEAALEDLLIPNTGYSVETLYDVDCVQRMLEQFVAANTSAFATSPEITDEGQMVDAPSTGELMPISTVAKLVDGYLAEVATDTNLKLSKFQGIAEFVPDYARAIDDGIYRAIDIYLKGDQRYFLEEIVALLPAKKGVASTRFLLGMLRTAMLLHASPLCRENLERRIGAQLEDACLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGLGTGYTSPAVAEESSHLGAPTAGSPSSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFYVSDNVDQGTPSAGHYTPEKSGGVDFGAGPEEEEGDDEARGNERSSSAMSVDDIRQRVVELEEECSSMKEEIHRLGKPKGALSRLGGGGGGGGRPAARQQQPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAAAADELRRRETQVDVLGALRFIRFGSHLCCC >OB04G33540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18806705:18813466:-1 gene:OB04G33540 transcript:OB04G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MLRRCVRDLHSLRPLRRIPRPISSEVPSPACLRPRSKSTKASQQGSTQNTVPGPQGEPSRSGSSVSKVLLGTLVVGGAAMAAYQAGYIDHQFKDRIFPSTIKVQNIRKKYEDLKAPSEQKDDEMQVVSDPNVDIVQNGNSRAHPPKDLPTEGVAPQEIPTTGEEPVFSEEKERETLAQVMPPVPHEHGVDTKPPSQDVPVIDIKPIVNDKAASEVLPEETDKTTSAISPVPSSSATVDPSYHFHTDTDAPKDPSSAGVNEHKSLAETYLLQEEPDNSKYTSAKESKHDEAISTGASDDRKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKVKSAAAIKELQENAEQKLRDELQRKDEEASQQVEKARELAKAELAAALAKEKASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRAEVDQLRKSLEGIDKDSLLELALSSVPEDVLEYGSDTPMELKQKFNSLKETIRHFSLIPAGGGGILTHAVAHVASSIKIKEDQSGVGIESLLNRVENLIVNGDLSTAAEALEGGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >OB04G33550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18814475:18817626:-1 gene:OB04G33550 transcript:OB04G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAFSEEVLAEKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWEKQFHSFGNEQKTPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDERGKRVVSRLVEIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSAIKIVKRDSRSVKLRLGVGGTAERIVSALHTVLSEQTDEDSDLEKCKNSMRRVGKMEKDVSSACNKGENPRRETLCTELKEEEDSMTKCIEKLKVVEANRAAVVSELKEALQEQESELEKVRTQLQLAEAMVEEAANMQRRLKNEPVIPSSKPLSSVVPGKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRQDKRLKIEQSPQVPSVANAAAFVPMPPMTTTTAQQPQAILVQQTPIQNQPPAPQPQYNIYQPPPHQFVQQPAGVMMGMAYNMNTMTPPPPPPPQMMNLARPSPSAPQPPMGIMPQTQPPPPAQTMLQQQMPMNVGPPMQFALQQSGAPPFRPLQPPPGMQFFHPQSQ >OB04G33560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18431530:18822030:-1 gene:OB04G33560 transcript:OB04G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPEAEAPRGGEGLLAGGDVLATEGPVFDIPDFNMGGKKNDDDAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEGEGYDNPKGTDNNKKQRGEPEENGEEDEEEPEGQEGGGGDDDDDDDDDNEDDDDDDDGGEDEDEGVDEEEEDQDNEDDEEDDDEDSLQPPKKRKK >OB04G33570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18827614:18828879:-1 gene:OB04G33570 transcript:OB04G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRTSRTVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQTQNPSSNTNANSGASNNSGAASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPMRNFDGKMLNVQKAIDGRTKNTPGTNANSNPSATAASAAAAAAQLTAPAPAAITYDPSAYGATAVPDLGFAQQAAMLGMGAQQQAFAQPNAAMLAMMAAAMQNPAMLATLNPAFAAAALGAGGQQVHAAGIPGFGAQAFGSQGFAAGTAAFPNAAGVQAPPGFQGPPGFQSSAGFQVGQAASQTATAAAAAAAAGAAGYQAGAAGQGQVPGTQIGGAGFQGGF >OB04G33580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18834121:18834839:1 gene:OB04G33580 transcript:OB04G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSSPPVLNPINHPGKKKKNWDFSMMKIEKGGCERGKEKGGASQSRPKGRQCHEGIGLARVERFFFFCAFMAKAKGEFFVVTHQRYAIRKRGGAPLHS >OB04G33590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18836237:18842836:1 gene:OB04G33590 transcript:OB04G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSPSPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFETRLEEIPQVVLDQEGINLDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQGVYANGNLQEPNLGFAGSIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTSELELKLLVEAGLENYNHLFKMKEEAANSNVFYVMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTEQQVAEVSGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFGSPEAYMVHMTNAVEQLRSLVQFVTQADHLRQQTLQEMHKILKPPQAARGLVALGDYFQRFRTLSSLWAARPRDSGIS >OB04G33600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18845316:18846324:1 gene:OB04G33600 transcript:OB04G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLADARGHYRTLLLQLAAVLLLAATCDGIRPAPSDGADPVLVEEKISTATLVISPQGRATAGENVGGGGGVLQEEVYATGSSLPDCSHACGACSPCSRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >OB04G33610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18850539:18857272:1 gene:OB04G33610 transcript:OB04G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIKCCHCRPNSSKVVIKHDEPACCSISHDRNFLIVRQEYSHSSSGIGVKDNSAFKIGVYNNFSVQSNAQEWIEESKRISSIKTRNSVGNTIYNGSTHLRAGVLHHEPLEDYKSSKYSSLYSVRERMAPNSLANRHANMELTKHHLMNQAVSAVSALTSVVNDDIKPLNKPSGSELKTQWPGGAKIDASVLKISKVETSLQFDDEVRDGSDGDLPECTAKKTVQDSPATVQDSPVKAPMSKESIDARKALATVYDKVLVVDNVKSARGVVQLLTSKYKNFIHACDTEVANIDVKQETPVGHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMGAVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVKVLQRDDREMWICYSSLDSMSTLRLYESLKTKLEKKHWTFDGCPRGSLYDFYEEYWRPFGALLVKMETAGMLVDCAYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSKIIKVPNDGSLVAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLTTDLVYTIDDLEDDKCSSDSEISENDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCTEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLANCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEERKVLLEWHPQPGQDKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQIKQKELAQEKCEVYTLLGRSRRFPNMAHATPGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESAGLAKAIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >OB04G33620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18857813:18858996:-1 gene:OB04G33620 transcript:OB04G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYLVSNCGLKPAAAAKAAPLFANLDSTSRPDAVLAFLRSQGLTRRQVRRIVSRKPELLLRDVDATLEPKFRAIRALGLGRADAARLFALYPPALTFGVQTKLLPRVLFWLDYLGSAKLLIKWLAKTWLLQYGVDIFFRNLSTLRSIGIPESRILATSEAKLQKLLDRVEGLRVPPSSGMFMWVLYTLHNVSESAFRAKKAAVMSAAGCTEEEFAAMCRRAPCFMFAPAELLRQKVEFLLGKAECDARYIVNNPVLLTFSLSKRMVPRHRVIETLRSRGVDIGTKANLRGIMRSTEAMFVDRYIVRYQEQVPELHELYPPRQVNGKEELAVKAR >OB04G33630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18859664:18860856:-1 gene:OB04G33630 transcript:OB04G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWLRVPLLQHVLFYDCEGGDLPPFDGVVESLRSSLGATLATFAPLAGRLVHLDVAVVCAASDAVKFVEEEFHADLRVVAGGEAPDLQVLEQLAPELDDMGKPPTSGVAVGVTVHHGVADGRSFWTFVEEWAAACRGETPAASPCLDRSVINLPGDSDDDGSEDPGKLNVGSPLGGGN >OB04G33640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18862378:18863821:-1 gene:OB04G33640 transcript:OB04G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSIVDVSYVALPATAALPPEPIKLTAIESLWLTIPVLQHVLFYEAAGLPPFDSVVQSLRSSLGLTLQSFAPLAGKLVYLEDTGDVAIACSASDGVKFVAADAPAARRLAGDELHDLKTIERLVPELDLTRLPASVLAVQVTRLEGGLAVGFTVHHGVVDGKSFWMLVEAWAAACRGEAPAATPCFDRSAIKLHLDEEMARSVLRKYTPKLPEVPELDLIVEERERFTRRTFTVDAQQLERLKQRIVREGEAHGTPLRRPPSTFVAVVAVAWTCFARCMTAAADDGEVFLMFLADLRERLNPPVDAGYFGTCLTVCVARLPARDLHGDGALAAAASATQEEIRRVAEDPLGRWDFMTLAGSMAQHRDKFMNVSGSPGFRPYDVADFGWGKPRRTEPIRMNHDGQVALVRGKDGHGVQVSVSLLRSAHMDTFKSQLIELLE >OB04G33650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18869577:18871047:-1 gene:OB04G33650 transcript:OB04G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVNIVDVSYVAVPAMATLPPEPIKLTAMETLWLPVPVLQHVLFYEGAGVSPPFETVVRSLRTSLGLTLPRFAPLAGELVYLEDTVDLAIACSASSGVKFVTAESDADARRLAGDESQDLQTLESLVPQLDMGRLPMPVLAVQATRLQGGLAIGVTMHHGVADGKSFWMFVEAWAAACRGEAPTATPCFDRSVIKWSSGEEIDKSFLRKFAPYFPLVPQAESFLGERKQLIRRTFTVDAQQLERLKDLIARDGEAHGEAHGAPLHRPPSSFVAVLAMAWTFFARCKTSAADDGDVFVFFFADVRARLDPPVDPGYFGACLTGCRVSLPVRDVHADGALPAAASALQEGIRRMAEDPLAGWNFPSQARGKGPADRVMNVSGSAGFRPYDVADFGWGKPRRTEPIRLNRDGQLALVRAADGHGVQISISLLQQAHMDAFKSQLLELLG >OB04G33660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18881426:18882525:-1 gene:OB04G33660 transcript:OB04G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEKEENRFQEPTGDRCEEDDKEQKYNSEESSVDRREEDDDEEKEEEKEGSEEATSTAAAVSSLFAHPCSLLRYIARVCACCLGLSDSFCDPKPSAVPAPAAADPSQQGEEEGKMTSYFFVQEATTRVRAARLRPKSPGNPREGSGGNGGHHH >OB04G33670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18883183:18883428:-1 gene:OB04G33670 transcript:OB04G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWRWRRSSSVVIAMTRRCTRAIRFPFFLFKETITRCVFDVPCSLFFVQGWFHREEKCCISFDMNQDQYILNRDVWKSYM >OB04G33680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18885801:18886700:1 gene:OB04G33680 transcript:OB04G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVDYSRRMRPDSLSSSVGTNDDGAVSKNPVLRMTSPSPTRAATGRALEAPPMRRRPGRRSRPVRMFHTVCRSLPVLTPRFGRLQPGACRIAAPAPRVSPSESLLSQLVASSSVAGTPRRRMTGTLFGYRNDHIALSLQDNPRCLPTLVVELALPTHALLRDLGTTAGARIVLESEKHAADGDGEADGASWKREQQHGWVLDEPTWTMSCNGKRVGYAVRRDPTDDDIAVLETLWAVSMGGGVLPGMSDMDGAGGEMAYMRGCFEHIIGSRDSESLYMVGPHGGDCPELAVFFVRL >OB04G33690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18894385:18895062:1 gene:OB04G33690 transcript:OB04G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAQIKDRLLGLVERVAGCGRGAGDKDVREPTKTTTVQHIEIRSREPNVSGGDKPPNN >OB04G33700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18897765:18898550:1 gene:OB04G33700 transcript:OB04G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDNKDGAAGVEEPSKSASEEVLRSEEVVTAQHNVIRSRGGDPQVSGGAKPGINAVGI >OB04G33710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18899589:18900264:1 gene:OB04G33710 transcript:OB04G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAIICEMTPNQRAGVAPPSSQQATRRDGGKIVLQPRLCTLRSYSAGSGLVARRRLVGEEVIGGGADGAGSSPFFVSLADYIDSTRKSQDFETISGRLAMVAFAAAVAVELTTGNSLFKKLDMQEIEEAAGVCLAVVAGAAAFAWVSSARTRIGLMFTLGCSAFVDSLIDNIVEALFSEGELQDWSDDV >OB04G33720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18901652:18904418:-1 gene:OB04G33720 transcript:OB04G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPPAATANPRGNKPLRLIAAAPPPRQWRAAAAASSSAAPGVDLKALQAAIDKKDGEEVKQALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVIAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERISRHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTRPTLTKDQQQNLTRWAVLFAASLLKNNKVAHEALMSAMSQKASVLGCIEAIENAS >OB04G33730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18906846:18910356:1 gene:OB04G33730 transcript:OB04G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLTLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVIDEWASSLFREMDYRAEARNGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTNALTGVFQNAVDRGVQNISFGDLSGNLGINSSSRYLPTSLL >OB04G33740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18913730:18917315:-1 gene:OB04G33740 transcript:OB04G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPHRPSAARVPARFAAERPARPLVLGSDCRSHGARLKVSCSRKPAGIEKVYYSADEALILKQKAEDVIPYLNDRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKMVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKKGLTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDSYANADARVSLEHIAVKQGHNDVTILTPSAIAIEALLKMESFLTDKAMVIN >OB04G33750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18923876:18931612:-1 gene:OB04G33750 transcript:OB04G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAARGVLAVVVAVAVLWGGNVARAQTPVFACDASNATVAGYGFCDRSKSAAARAADLLGRLTLAEKVGFLVNKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNASLFRAIGEVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASKYAVGYVTGLQDAGGGGGGGALKVAACCKHYTAYDVDNWKGVERYTFDAVVSQQDLDDTYQPPFKSCVIDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAVTNNFIVLMRLGFFDGDPRKLPFGSLGPKDVCTSSNQELAREAARQGIVLLKNTGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGRAAPTSGAAGTASSSAPPHRPQPAPT >OB04G33760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18931253:18931762:1 gene:OB04G33760 transcript:OB04G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGSAACLFTRNPTFSASVSLPSRSAARAAALFDRSQNPYPATVAFDASHANTGVCALATLPPHNTATATTTAKTPLAAAAAAIAMPVDNLSPLLLPSSCHCRYIDRRGERWLLRVCVRAAGEGAARDRDAAARRELKSLSFLLALQRPLPCTPLCFILCVCFLPSP >OB04G33770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18936833:18939664:1 gene:OB04G33770 transcript:OB04G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:programmed cell death 2 C-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G64830) TAIR;Acc:AT5G64830] MGEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPTEDLGIKPHLLECSLCGNKLCLVAQVYAPVAKLNIENRTIYVLVCPKPKCGSNPQSWKVLRVQKCHSTEQTDGKVDETDQIKENFCSSEPSSSSSLNKSNEASDDDFDLDALANALEQAATLASNSKKQNKSKCSNAPIKCPTGKEKVDDPGVPVLPCFYIYYDKEKSRGKCILGSNSSESVLVKGLADVANDDEEKWEGENYEYERAIGADRTFLKFKKRLDAYPQQCFRYSCGGKPLLAAINLQDPGTCQLCGSPRQYELQFMSPLSYFLHEAGDGSLDYAPDGWTWLTLIIYTCAKSCCPSSCVGKSRSCCWGVAEEEIMIQED >OB04G33780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18944090:18946000:1 gene:OB04G33780 transcript:OB04G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMADLWFHGKEHIASSPFPQAVTSVNFTCLSSSETVKASKKMFQQSNQSLSVPDDGCRLVLGLGPASNLHYADNDSSGGSRANETANLFSQHFAIADHGLKLSISRGSTRNFQDTTVIEKYPHQSKKGIFFTIIDEGSTSAKRKPGGYMLPLLFAPRSDDTCLNGTPPVTDIQHVETVDDDEDDHIMTLNHQKVQTSPEPSATTDCSFAATSDMIVSSTNTEQRNQQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGNPGCTKAARGRSGFCIKHGGGKRCSVQGCTRSAEGQFGLCISHGGGRRCQYPNCSKGAQGSTMFCKSHGGGKRCIFEGCTKGAEGNTLLCKGHGGGKRCLFEGGGACPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKVDGCDKSAQGSTDFCKAHGGGKRCAWSTGCERFARGRSGLCAAHGTLMASKQVSESEHSRAMIRDSLFSRMVSTSATAGSSMDHAISCSRPGASSGCGELLEDMRNGKLLIPHQVLVPGAMKPSSSRGNGQEDGWSQKQQCFGFVVPEGRVHGGGLMSMIGVGGNLDDPKT >OB04G33790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18949012:18959063:1 gene:OB04G33790 transcript:OB04G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3M1V4] MHKCMEEPPKDNGQSSGGADPEMEPMILDDGIEGISHSMDDANGHSSMDVDRGLHSVDIMGSSMGDDGKGKHNSHAQIPIDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNDFVAYGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVKLEEPVFWAHGYDIDEESLKLKPRHARLQNMTYSSKMKVEVHIQVYSMEKSDKAKTGNDKFSYKKDIINETHYINFGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKVFIAQEQKCLTRIWVEERPCWTVSFLSAIRRRRIYIKLIDSTKNEDRKIISISFLYANMPIWLMFFALGITSDKDVFDVIDMQDCDACVINTISATIKESDELCEGFRKSDRARQYVDELIKDSKFPPGEPFDDYVARYLFPGISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSDRELQELDRYLDASIITNGLNRAFSTGSWCHPYKKNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTAVVSSRVAQPVINRFISCGMNKLHEIPTEEVPKMDKIFLNGDWVGSCTDPASFVLRLRCMRRSGLIGPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGGSYSFQSLMQQEIIEFIGVEEEEDTKSAWGIRHLFGSEGEKAALVKTNRTEDAFTIRRDIEEGVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVVADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKSAPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVIGFLESQENFPFTCQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPNFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENIARINVPYGAKLLYQELFSMGICLRFETEVC >OB04G33800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18964788:18967524:1 gene:OB04G33800 transcript:OB04G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKAAVGSVVAAVGVVAVVCAIAAVTSSKKGGDHAALSANVRLSTVCSVTRYPGRCEQSLGPVVNDTADPESVLRAALQVALDEVASAFNRSEQVAKDDDGKITKSAIQMCKKLLNDSSEDLRGMAGLNPEQVTEHINDLRTWLSGVMTYIYTCADGFDKPELKEAMDKVLQNSTELSSNALAIITRLGDLMPEHPSKSNGSAGAHRRLLGLQGGEIAVEGESLRRLLAVHDKVQELADVKDVSRKLLSETLDEITALSHDASRRLLGLTSETPGGEHRQLKMSYSVLDGTTNAISEEHAAGEHPQPKMYYTILDGPTNAIPEDPTAAGEHRQLSMMFNAISNMTRNADNVKRRLLSIPSNDGSEATGHDGGSRALLSAQLENLANMSDDMNRYLLAVEIPDDLAGRRTLLSSTLRALNEVTTEAKGQLDSIENGTLPSDAIPERTLSEEFEEYKATPHHRLLTTNVVGTIDDIEQERHQRPKAGEFPEWVPAHQRRLLQLTGMQKPNTVVAKDGSGDFKTITEAINAAPKKSATRFVIYVKAGEYNEYVTVTSDLPNIFMYGDGPTRTRVIGNKSNKDGVATMASRTFSAEGSGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVSGTIDFIFGNSAAVFQNCLMTVRKPMDNQMNMVTAQGRTDPNMPTGIVLQGCRIVPEQALFPVRLQIASYLGRPWKEYSRTVIMESVIGDLIKPEGWSEWMGDQGLKTLYYAEYANTGPGAGTSKRVAWPGYRVIGQAEATQFTAGVFIDGLTWLKNTATPNVMGFIK >OB04G33810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18971379:18972602:1 gene:OB04G33810 transcript:OB04G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNSTEARRDMVWAHAGRRATRSFSLPSVDRQRLRWKAASVLSTLGLSQGRHSGAFKYAATSVEEMMKSDNDHATEAAVPVQEAAAAAAAKRVVKPRTPMLTPPNEPEVINAWELMAGLEDDPPTPCASHGPLASPPPWMQADADMPIALEFDPEIISGFREALADTSPSEPTSCSVTEEDQPAQREKCAETCDTPVSPATGDMQELSGIVRARINAFQEKIERRSSKGSARGAKVVHLRPPGGEKKAVVYFTSLRGVRKTFVDCCAVRSILRSYGVRVDERDVSMHAVFRAELAELLGPGFFACAALPRVFVDGRYLGGADDVHALHEAGELARALEGCEAAPVRKLGYMEACAACGDVRFVPCETCYGSCKVFVDDDGSGAGEFRRCPDCNENGLIRCPVCCC >OB04G33820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18973294:18976896:-1 gene:OB04G33820 transcript:OB04G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIMSGMGYTVEVTNLSSRASESDLHEFFSFSGAIEHIDLIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRNYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALAKARAFDESHQVTATAAAKAAELSKRIGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAAKVVNGIVTSSYFSAGAMMLSDALHKAAQAAADLAAHGRHN >OB04G33830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18985010:18985412:-1 gene:OB04G33830 transcript:OB04G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSPLSSSISEDQITELLSKLQALLPESSQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >OB04G33840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:18996622:19002569:-1 gene:OB04G33840 transcript:OB04G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAATAGDGKVEKAANGGVGAGAGGGGGEERADQAVAFHELFTFADRWDLVLMAAGSLGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCVSSYAEIACWMYSGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIRDNILYGKPDATHSEVEAAATASNAHSFISLLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSENIVQEALDRLMTGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAVGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSHELRIPEQQILHRSQISGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATKIEPDDPETERLTAISGDIELRHVDFAYPARPDIQIFKDFNLKIKAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRKVNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVNQLPNGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEARERLRKGRPPVLVAPRLSTIRGVDRIAVVQVGRIVEHGSHFELLSRPGGAYTRLLQLQHNHA >OB04G33850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19002602:19002892:1 gene:OB04G33850 transcript:OB04G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPDLLAGNNRSRGSHPRLLRPPPRRPAALENHTTPHYTTLSPTLRAPPRFLGGVTERRGEALVRLGLRDVQKREGDERYVGVRDGGAFVFRAAVVR >OB04G33860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19005019:19005294:1 gene:OB04G33860 transcript:OB04G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPDLLAGNNRSRGSHPRLLRPPPRRPAALENHTTLHYTLPHTPGSASLSWWGYREALVRLGLRDVQRREGDERCVGVRGGGAFVFRAAVVRS >OB04G33870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19009557:19011119:1 gene:OB04G33870 transcript:OB04G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQRSSGCEVKKDCYEVYALVPGLLREEVHLQSDPAGRLIVTGEPEQLDNPWGVIPFNKC >OB04G33880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19013743:19013993:1 gene:OB04G33880 transcript:OB04G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRDEADLWDGQDDEEVKLETLEGAGVVRRDGAGVPDKLAAADDEGLAVDVGEAELEEDRHVGAGAERRDGGGEASIHVHAR >OB04G33890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19016019:19017292:1 gene:OB04G33890 transcript:OB04G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAATIGMMDGAYFVGRGEILSWINATLQLSLGKVEEEASGAVQCQLMDMVHPGVVNFHAKTEYDMIQNYKILQDVLNKLRLSKNIEVTKLVKGRPLDNLDNIRNG >OB04G33900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19017349:19020086:1 gene:OB04G33900 transcript:OB04G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSADGGPCIGKVCNAIAEEHHIEQIQQLSEKIADLNISVDSVEKERDICFSKLQDIEILCQRPELEHLPMSKAIKKILYAADARDSPLLAEANEIITGHQACSRMKLSDKWHQHTQAI >OB04G33910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19024389:19025208:-1 gene:OB04G33910 transcript:OB04G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFQTTYIKEAVTKAATKKVAGRTIIPHWVLTVFTIGSTVATMLYKSAKASKEIDGIASHLIKKCEKILESQAENKLPESQAEKVKTPHKLPEIFDFVNQFFSRWIAHQDLFTKRAQVNRVY >OB04G33920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19031108:19033679:1 gene:OB04G33920 transcript:OB04G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRQASFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMAAAKQFAEEQLAEEVALKRGISVGAATNMLVAGGERDEDIIF >OB04G33930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19036825:19039122:-1 gene:OB04G33930 transcript:OB04G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIACWMYSGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQVSLPSFSLGLPAYYKLASSEACSNLSRYDGIRYGRQVSADDLNELYGGSQANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKLSFKEALDRYNILVSPAAPSAAYKIGEKTNDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRVGHIFEQTLQNYSFVPPLLTES >OB04G33940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19043949:19046858:1 gene:OB04G33940 transcript:OB04G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATIGMMDGAYFVGRGEILSWINATLQLSLGKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVTKLVKGRPLDNLEFMQWLKRYCDSVNGGIMNENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSADGGLCIGKVCNAIAEEHYREQIQQLSEKIADLKISVDSAEKERDFYFSKLRDIEILCQRPELEHLPMSKAIKKILYAADARDSPLPEANEIITRSPGMFSDEA >OB04G33950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19048313:19052210:1 gene:OB04G33950 transcript:OB04G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLQGFTKLLFSSVIYLFFTNRRISAFRYHLYNNYDPGGLAILKLSDDVFSAVGRDPLIAQFHWPARAGL >OB04G33960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19054092:19055031:-1 gene:OB04G33960 transcript:OB04G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLLFHRGPTSVARPRRRAGRSASSAGAIPSTLITVATHRCRAQKSESDGPISPLPGDDNTEATGSALAADVDATDSTQDATGSTPSNGEDTATLFQQFVSILTGETSNFSVLVHALLALFVTAAKNPNIQKKVADQIGQRVPLSQSVTSFLLFLITTTSFLYNAIKSGKELQGLGSYLLKICKKKSKENKKSENSRWILYI >OB04G33970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19060380:19063327:-1 gene:OB04G33970 transcript:OB04G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATMAASSLRFCPSAGTLICRSVGTRPDRVSTGVLRCSKSRAAGLKLSCAAASAASSPQALPPLPWWAKELKQEDAKFFSIVDLDPAGQGQEEIDAIRDALLSGPLEPVLRALREIGAAGNLFRCRSFHIGTLSGALLVIAGFIQLCSMTPTLFVDIVLGYIFYKLSILSAELQRNGRATNICARIQLVLIFILSFKDNSTSKGIYGILSELIWFLNVQLYLSAVYSEVTGLKHMRLFWLGVYRLLQTKGGLTKVIKSLVKYGYGNDI >OB04G33980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19064845:19065213:1 gene:OB04G33980 transcript:OB04G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGEAMRASSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHRPMRPRTSPASPRWAAGILPDPR >OB04G33990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19070733:19074487:-1 gene:OB04G33990 transcript:OB04G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3M1X4] MPPPLQAQRLLLSHRRLPSAHRRRFTAVSSLPSAPAKTLATGPAPSTILSIRESLLSGERTAADITADYLSRLRRTEPSVRSFIHVADAAAEREAEDLDRRIATEGQDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQITKNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTAAILSVIAGTDKMDSTSSSHDVLDYKSELVPLDLLESKPLSGMRIGIIQQTLGEGVETGVISSIKDAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALDRYDILVSPAAPSAAYKIGEKTNDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRVGHIFEQTLQNYSFVPPLLAES >OB04G34000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19076275:19081378:1 gene:OB04G34000 transcript:OB04G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase III [Source:Projected from Arabidopsis thaliana (AT1G62640) TAIR;Acc:AT1G62640] MHERNVLGFKKIGNKYIKLCKGNGVVFQVWWRVLGREFAAAGDDSAFIRVIGMGSKLIGCGSATPSLSVSNDDLSKIVETSDEWIAARTGIRNRRVLSGNETLRELSVQAAKRALEMAQVNADDVDLVLLCTSTPDDLFGGAAQVLAEVGCTNAFGFDITAACSGFIIGLITATRFIKGGGIQNILVIGADALSQFVDWTDRGTCILFGDAAGAVLVQACGADEDGLLGFCVQSDGHGQKHLNCVSSHVESILSKTNGVPNFPPKKASFSNIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAIVKWG >OB04G34010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19082420:19085032:-1 gene:OB04G34010 transcript:OB04G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREHMSSKNADALPVVDLAPFLVAAGGDGGVSRATEAVRAACWEYGFFRVVNHGVPPELTARVLELSAVFFALPDEEKAKLGFNLYPDEPTGFREALDECFGKLTQLGVLIQEILNESMGLPPGFLKEYNGDRSFDFMASLRYSPATAEENNGISEHEDSNCITFVLQDGAGGLEVLKDGVWVPADPVEGSIIVNLGDVIQVLTNGKMKSATHRVVRKPAVHRHSLAFFFNVHGDRWVEPLPEYTEKIGEAPRYRRFLYSEYQQLRMRNKTHPPARPEDVVNITHYAI >OB04G34020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19085973:19091896:1 gene:OB04G34020 transcript:OB04G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFDPAHKTQYIDGQREMFKRLDESSPRSSVPSEVGGRSSLRFSMPSFGYGSFNPIRSFLSALRKGFGRLKSLTSSAPKTTLAEDLKSYKKTIFDPQEKFLFRMNWFCFLSCVFAVAVDPLFFFLPIIDDKSNCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSQVFGTGELVIDPVRIAIRYLKGYFVMDFFALLPLPQIVVWRFLHSLDGPDVLSTKNALVWVVLIQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHINDGCDRSYLYCSANHIGNYTSWRDNSNELLQACNGTSSFKFGIFEQALVSGILGPGNFISKICYCFWWGLQSLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDDRLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSNHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >OB04G34030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19092218:19093552:1 gene:OB04G34030 transcript:OB04G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80550) TAIR;Acc:AT1G80550] MISLVRRRRLALPFSTPPHTAVPAAADPPPSPLDATAVLETLSLYSNDWRRALEFFQWSASPAGASVPPTPATVARVVDILGKHFEFPLATSLLVSHHDPARGDPSFLRPALRSLLNRLAAANLIDDAVRAFDSTADSIGLRDEASFHALVDALCDHRRVDEAHHLCLGKEQPPFSPVTKTYNLLLRGWAKTRAWARLRHLWFDMDSRGVAKDLHSYSIYMDALAKSGKPWKAIKVFKEMKQKGMTIDVVAYNTAIHAVGFAQGVDFAIRLYRQMVDAGCKPNVSTFNTIVKLLCKEGRFKEGYAFVQNMHRSGIEPNVLTYHCFFQYLSRPQEVLRLFEKMFERGCRPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNALIDALLQKGMVDLARKYDEEMLAKGLSPKPRKELGTKLPGAESDSDNALGGVL >OB04G34040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19094792:19096317:-1 gene:OB04G34040 transcript:OB04G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLAVAPLLRCSFLAARRTPLPLGRLAIDRCRGAWHVRTERSVSYCRAIRKAVVRRRRQVGNVCRSCRRAGVRCASVGAAHRWKRRKATDRRWPWEAAEGHSGEAVGYQTDTNTGDKVYTRTRLLWEQKTGFRQLKTGTSRCHDKAPAEGAESLKMIEMVMSFQTVQTNGVQLITLIFKRLLPSPTKSEVGSKANIGLGTKLP >OB04G34050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19100296:19101821:1 gene:OB04G34050 transcript:OB04G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQMRSSFLLLASLVLLLLATRAHGIRPDRKLLEAINSKDIMGDSKAELPHETSRLLNNQRCTSDEHCNSGKAKRPLVQAGAAAEQKQPQQHQSPERSDDAKQEEEEALRQPEKKTSPSSSTTYPDILDIAGMDYSPATRKPPIHN >OB04G34060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19104599:19107545:1 gene:OB04G34060 transcript:OB04G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATSPGGGVACAVILLLFLANACVSDAGFEGSRVLLSEEHGRRGLLATWRRLIVETPLPAAGAAAPPPVNNSLVLAAARTHRSDPLANLTMYSGGWNISNQHYWASVAYTAVPLLLVAMLWFIFFGIVLIIISCCCCFCRNKSHAYSPASYFTSLILLIIFTLATVAGCVILHYGQELFHSTTIKTVDYVVGQGNLTVDNLRNFSGSLAAAKNIGVGQIFLPVDVQQRIDVVEEKLNSSANVFSTRALENSKKIKNVVNNMQYNLMVIGAVMLGLSVLGFLFSILGLQFLVSLLVVAGWVVLAFTIMMSATFLLLHNVVADTCVAMDEWVTHPQDHTALDDILPCVDVATASESTNRSKEVTAQLVALVNNVIVNISNGDFPPSIRPLYFNQSGPLMPMLCDPFNPDMSARTCAPGEVTFDTAPAEWKKFECQTTGPPGSEVCTTEGRVTPTAYGQMTAAASISQGLYQYGPFLMDLQDCTFVRETFMAISDNNCPGLELYSRHVYLGLLLISGAVMMSIVFWMVHTRQRRRRSLSK >OB04G34070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19108940:19118652:1 gene:OB04G34070 transcript:OB04G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLSAARLDPAMAYEYALVFSRNELEADDLAYFDHEFLHSMGVSVAKHRLEILKLXXXXXXXXXXXXSALSSRLVAAVDRVARYVRALVVVVHGREDESSALVLVPSQHPQPDFADKTPRRSKSKPKAKEVAAAATPKAMKSPVLSISGRAAATVHAVKDAEKGGGEDMVRWERLFQDLKPN >OB04G34080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19119749:19120042:-1 gene:OB04G34080 transcript:OB04G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIGVRLFGWIVFTEVYLQLFRRRQHHLPTTTNHQAVSCETEHTNENCTITVYTTMNMKTSKFGLKLQCGLPSETQNVCIIFQSDCVPLFYVMPDWG >OB04G34090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19121180:19123044:-1 gene:OB04G34090 transcript:OB04G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAEILPRTKLYSSQSLVLANAPHGELIGGSVPRYFLGPACCPMLPWLVTPYNDMDAKDDMSKESIFNHVHSHGMRLVRNAFAHVRSRWRLLDECWKGECQEALPYVVVAGCLLHNFLIKCDEPAPEEVQRCAAAEQFPDFEGEKDKEGERIRDVLAAHLSLTAQQSCQLYMIPYQILFVEKEGILKNIEIMQAFVLLDNNLAEFI >OB04G34100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19126238:19131891:1 gene:OB04G34100 transcript:OB04G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-associated (UBA)/TS-N domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G12550) TAIR;Acc:AT2G12550] MASDEASPAAAAAPAERIRVVGAWAGALEVELGAWTVPMLRAEGARRAGGGVEPDRISLIFGGRVLKDDPPSSLQQAGLKANAKVLSTLAAPDRGKAIAAQAAAAAAEEEHTNRLTRLWDAAQALSQRHTDGSFPEEDFNLDLEDQSGQKVMFGSVDDIKAVKMALMLHQKGKTFIKRDMHREALDVLAMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFMLRDVSHLEVAGTRLEKARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNTEKARGSLTSAQAKYMQLQVPDEAISILMSMGYGAQAAKRALKMTGYDIQSSVDLLCEEREKKIRRVQEDREIQKEILEQKKYGKTPMNKAVNMQKLKGLVTIGFEKKLAAEALRINENDSEKALDLLTDPERNCALQNIILSRRKRLSPGSGSGSSSSRAAASTTGSGVNNSQALVDTSTNVPDGSALEESLGLPVINEEAANNGEAANSDEAVNPEEEAESEGGEEANPPPFRDVAMENELANDLTGDALDDYDIDVSNEGQAISEYLSLLDSAATA >OB04G34110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19131046:19131801:-1 gene:OB04G34110 transcript:OB04G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKLSAVLILAMFALSSSPLALACNSCGSGGGGSAPSGGSAPSGGGIGGVVGGIVGGVAPVVGGIVGKVPPVVGGVVGEVTPVVGGIVGKVPPVVGGVVGGVAPVVGGVVGGVAPVVGGVVGGVAPVVGGIIGGGGSPVKHHGGRKVCPPSPYTPSPTPPTPTPSSSDSCPIDALKLGVCVDLLGNEVHIGDAHVQCCPLVKGIAGLSAAACLCTAIKAKVLDLSIYVPIALSLLVNCGCDVPPGYTCA >OB04G34120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19135077:19135571:-1 gene:OB04G34120 transcript:OB04G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCTIVHIDGEPSPQQYKNVRGEHGPGMAQARVIMASRRDTTAALAIAIMLAAASTLSVAAAAGEPRRGLWGKDMPVNPFCPWDAVKFGACAGVLGVVDAQAGAHLGSKCCALVDGLAAAEAAACFCTTIKESVLGIPTEWTVGVSVLASTCKKELPDSFKCV >OB04G34130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19139148:19144588:-1 gene:OB04G34130 transcript:OB04G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52510) TAIR;Acc:AT1G52510] MSCRPSTTTSSPLSLPRSACRSWSSGSVAFSARGGGARRRSGHLVVAASSSSGSKDETAEGGKQQEEDSEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGTRVKSGKLRWFVRETGSAGARRGTVVFIHGAPTQSFSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSLDELLGTLNITEPFFLVVQGFLVGSYGLTWALKNSGKVLKVAILNSPLTVSSPVPGLFNQLRFPLFGEFTCQNAVLAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFRDVLNRISSGFSSNSWEKPILLAWGISDKYLPLAIAEEFKKGNPAAIKLEAIEGAGHMPQEDWPEKVVTALRSFL >OB04G34140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19145105:19150336:-1 gene:OB04G34140 transcript:OB04G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSDSPDMIFPVYTPRKRKFEDSVQHSESSNTVSHGSGLVASAKHSHRSEESSHLGPAQPKRSEESFIKSLVGFSSILNNSQGFGLQDIPSETTELSGIRYTTSQHRPNETILHTISTDNGTTKCQDPIQSFSLVMECTNHTISSKPMHPHITFQLMHTKIESGNSYAKCADSTYQSQKAVTQNLLLNCEKGDQSFVAMDHPSLSPHDKTQQKEGIPSYEAIKHDVQDSTKAIDIPGTLSPHSLDDEITSVVGQLSPSVYESLWDGTIQLSRTVKVSVIAFFKSGEKNHDITWPKVIEIKGKVKLDAFEKFIQELRRCQTRALMVISLCWKFGTSKAGLRGMKEVAENFEVSQKVGFADICDGFSLYVCPRSDAVITILAKYGFFKGMSAFDTNQDTLIGCIVWRRNPLSKIMDHNRSETIGKGSPCALHVESRPLASLPFSEGYEATSCSTNPGMDSSRNASKIQNDIDHTQENRVKKLAVATGLPSQCGHAENTGERVHHIETVMPNSLFKLASTLTLQLPFKAQSLIACQLSQVPPFETTLHEFPEIQKNVQHITDSRSGYFGVGHPISHSQEITNFNPVFQPDKAGVKGLSLKFLDVQQRTIHYDLAAQKSYMVEAFSGSLTRQEYAPDAAESSKQHDNNPFLLHPNTCGSDTTNDTDDLPEFDFANLHKVEVKYDVHPLNMETAITSEVLIRPKLSDSKSSRPLQQSSQPSFQVEGIKNHDNVEVNVTHIMSRGIMNQVSRCETENFNNRDGIDAEDMVDRNFQGSDNEKPHQSNSTKQSSPSLKTFTGSRVPSHVFPLVPERSNNPLEREDISSGHHPDMPPGCLNIPRDQGSFTQHHPPVLGDQRLAARHPAVLADQAPIPRVPPPVLEDQSFVRCPPPSLPGEGFIPWHPPVPADQGFVPLRPPPLADQGSFPRRAPPAHFPRRPFHANQGAFPRHRPPHPRLPFAPGHMRPPGNFAPPGPWRPVLHPGQEHRQYGPPGRSNSPCGSGNVPGQGHHRPNFRNGPGCRPAHQAHR >OB04G34150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19150859:19151149:-1 gene:OB04G34150 transcript:OB04G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCSSASPFRSFTVQLRRLPPWPVASARRPKPPPVAAVAVRLCSTPKTLVPIFPQVTSRSLFLRSTQFVHGFFSEHEAYGSAKPLPPDAFPAGRV >OB04G34160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19154416:19156206:1 gene:OB04G34160 transcript:OB04G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit epsilon [Source:UniProtKB/TrEMBL;Acc:J3M1Z1] MAAAPDDHLFGLRNSFYVGAYKAVIAGAQAIPAQHALSPDDLAERDALLYRSYIAIGSHQLVIDEIGPSAATPLQAVRLLAVYLSGGAGDKESAIGKLNELLADDAVGSNPILRVVAGTVLMHERDYAGALKHTSSGGTMELLAMNVQICIQMHRPDHAEKQLRIMQQLDEDHTLTQLANAWVDLATGGSKIQEAHLIFQDLSEKYPATCMILNGKALCLMHMGSFQDAEGLLLESLNKDAKDAETLANLIVCTLNLGKSASRYLNQLKLAHPEHILVKRLSSAEDSFERACQAIS >OB04G34170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19161356:19165009:1 gene:OB04G34170 transcript:OB04G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3M1Z2] MVPFVYDVFCKIPLAPFYFLCRWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSGYITYHLVDLPPVIILGVLGGILGSLYNFFLDKVLRLYNFINEKGKKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILIFFGASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGLLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANVYDLLVKLKGFPYLEGYVEPYMRQLSVSDVVAGPLQMFSGIEKVGHIVHVLQTTGHNGFPVVDEPPFSDSPVLYGLVLRAHLLVLLRKKDFIHNRTASGLDASKHFLPDDFAKRGSGKHDRIEEIELSAGEMEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLL >OB04G34180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19168137:19169261:1 gene:OB04G34180 transcript:OB04G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSFHRWLCDTRLKVPKDNRKGFDTIAALGAWTIWKERNAGFNQKQRTWEEITSAMAAEAEIWRLARGGVPQPAPKLPKWAPRETTMKCRGFFYGRDDGRCMEDTV >OB04G34190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19174010:19176226:-1 gene:OB04G34190 transcript:OB04G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRLPTPQSSPTQTLLVGRRDLGMSDPKAQPKKFNIYLRWHFAFPAFPRPAAAPLPDSSIFPAYKKRKIAETLTMATAAATPATTASSLRFPSPAAAAGFVVSLPRPNTRSRAAASVGLLRRRSGSSSTVKLCRASRSSRTDKPRRLPWWAEMLTPEEFPPKDRVKPTGRGKEEMEAIRKALVTEPLQPILLAVREIRASGHFFRCRSFHYGIIAGSLLVIAGFCQLCKLVPILCVDIILGIIFYKLSVLAAELKRNGKANNICARIQTVILIILSFKDNNIFMDDYRIITELVWFFGMYVYIPAAHYEIAGVEDPRLHMLGIWRILQTKGGVMKVLKNMVRELF >OB04G34200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19177036:19182197:1 gene:OB04G34200 transcript:OB04G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGXXXXXXXXXXXXXXFARVENSRAARRRQLAATVASFSKMTIEDSRKLLPGDMCPSWVVFSTQQKLKWLNQELTKIWPFVNTAASELIKTSVEPVLEQYRPIVLASLKFSKLTLGTVAPQFTGVSIIENGEPGIVMELEMNWDANPSIILDVKTLLGVSLPIQVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKIIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAILYIRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDSDTQTVTVKIYDDDGIQESELIGCAQVTLKDLQPGKVKDIWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKDETPNPFRQEFSMTSLERSMTSMENGSGSADLNRLSSRKKKEIIMRGVLAVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRVVSESLNPIWNQTFDFVVEDGLHDMLVLEVYDHDTFRRDYMGRCILTLTKVLIEEDYKGSFNLEGAKSGKLNLHLKWSPQPILRDSREDNVTRFR >OB04G34210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19183405:19184107:1 gene:OB04G34210 transcript:OB04G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILAVCCLPPPEEAHYSTQIGLGLTGFGVFFSFLGIVFFFDKGLIAMGNVIILSFVFSLEFCGSESDEYACAHFCLISDSPPVRFGFDNWSEINYAILHQA >OB04G34220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19193538:19206960:1 gene:OB04G34220 transcript:OB04G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15290) TAIR;Acc:AT1G15290] MAPKAGRGKGRGGGKGDRRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCVITIVEEDYATEAAAVAHVRRLLDIVACTTAFANKPRDGGAKHKSSKHARPATPPSPPALAASPDSHGAGGGGGSQAPPISEAHEMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQDGDYFEIEVKVCNGKLLHISASIKGFYLAGKPQTISHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLESATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSILARMPCKTEEERVVRDRKAFLLHNLFVDTAIFRATSTIRRLIDLPVNSTSQQTVPDGSLAIEERVGDLHITVKKDQADASLKLEDRVDGVAFYQTSAMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNVGKQTSDVCDHLDGNLNVDVDDLPDGGSNALNINSLRMPLPKIVNSDITSSTQCPSPQSHADNHVRKLVCTVLENSLMKLENMPDKNPRIIRWELGSSWLQHLQKKDTPASEDKKSAGNIEKEPAVKGLGKHFEQLKKIKKKEGHVEGTMCEKEDSDGNCSVMNGMEESESIKETDISKLMSEDDFCRLKSLGSGLHQKSLEELTVLAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETGAESDHNLRLKWVESFLSKRYHWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVTYGTKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKCRRAKNNIRAHQGESVDEKESFEHDLESPREASKEEFQPVKLKTHPPVVSEENYAVHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSHRRPALTKLKVDHLEDGHTGSAYRAGVQLQTKGDKEDAINSSSQLSFGSFLKTDKVNGDPSNTEDKIFNAISKPERGTRLSGINKPATIASKLVSYKDVAVSPPGTVLRPILEQKEAKEKDNGQSTDQILSSEEEDRKLTAEEKEKPSNGSSKEVSSEPDEISHEEKSPDSNSDESPTATKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQAMEILPHTIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTLMRGSNSATTMNPHAAEFVPVKTSQQSDVANREPSADASVTDSADQQLTPQTSDDVKVGVPAAEQAVQGDSTTPGKGKENKGKDAVRNSCKTELARQILFSFIVKSVHDSLGSTGAESARKPSGPDEADNAQSSSITKSTSGRKELDKHQKAAVVPKSDNKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >OB04G34230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19197638:19197871:-1 gene:OB04G34230 transcript:OB04G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASDIGGAWEPPPPPAPWESGEAASAGGDGGVAGRACLDDLCLAPPSRGLLANAVVQATMSSSRRTCATAAASVA >OB04G34240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19211246:19211802:-1 gene:OB04G34240 transcript:OB04G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAPRVVSSSPAAAVTGGCVDTNTTFVQADPTTFRALVQKLTGAPGSGKTAGERRPGCRSGAGAAAAELARPQPLYYSHHHHRLMHSPVSPMDYAIVMASSSSCSSLSSSTSSSLSPSPPASSSSCGVVITKEEEEREEKAIASKGFYLHSSPRTGAGDGERPKLLPLFPVYSPRSSSLARS >OB04G34250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19217171:19217398:1 gene:OB04G34250 transcript:OB04G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRGGDSDDGGTVGWAASEVRDGVQDCMDVLSEEGFEVDEVERVTRPLLRDPEQVAVFAVYRLQRRQLQLGVDFTL >OB04G34260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19218152:19221758:-1 gene:OB04G34260 transcript:OB04G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT4G32140) TAIR;Acc:AT4G32140] MGSGLKYRAGLALIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRCSSSNKASKVTNKSSFGGSAPLKSGDFQKMLEMESQKTIVINYTEVDIPVIEETKPLICGINQCDNVLKEQELSTWDIAMCGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEVSNSGGTQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDIQKLFGYLGFFSLVLLWWLVWPLTALGIEPKFTMPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYIFGSIQVFSGFVIANLADRFSRFLGL >OB04G34270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19225863:19229807:-1 gene:OB04G34270 transcript:OB04G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 22 [Source:Projected from Arabidopsis thaliana (AT5G10790) TAIR;Acc:AT5G10790] MSSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRYLCPRQTPMRRRSAEASDKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLDLDARYNNSLGVASPKLHVRNGERSSGGTNSKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLEMAPYLSSSILRSRFGNRIFPSEASDVDSVSEFSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERATAV >OB04G34280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19231400:19233016:-1 gene:OB04G34280 transcript:OB04G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISNDTSRKVDMNRLVISMTHELDYYWSLGEVPDNGQKPCVIYKVQEHIRMVDKFSYEPCVLSIGLYHHGALALQTMQKEKWSYLDYVLNINCEKSLLDYLLALEDLAKAARSCYSDDIKMDDEEFLQMLLLDGCFVLVALGGTKGVLACGRQVQMDSLSSEEAVAETGGQKRSHPDDVKEANEIKNQTSSQDDKEGVQRTKYDEEIGQWFFRFLIHDLFLLENQIPFFIVEKIFKVVAGDVCMDPPFTHDIANYVECALRWFPKSIQEYDRPKDFHHLLHLCHMYFRPSHNAEVNCQTGQQYFLRFLSFGRKYFRLGHYLDETENSSSFNLEMLPSQDGHQLNRWRRTAQYLEAGAKFMKRKNEKSQPHSLLDIKFSNGTMEIPCIVVDEYTGTLFRNLIAFEQTCPQFGDDFTSYIVFLSQLISMPEDVTLLARRQIIVHHLESDEMVSDLFTMLSKDVVFDFNGQYYLKSLCQTMETYYQSRLNRWIAWLWLNHFRNPWLVLAAFATAIVLLCTIVQTVYGILAYVNPPGSNP >OB04G34290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19240170:19241865:-1 gene:OB04G34290 transcript:OB04G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSGVKRRTDHIDIHNMVSSMRKEIDNYWSNYDSIYSVSNFYSIHKVPQHILGVDRTAYEPTILSIGPYHYGKDPLQAMEKEKWNCLNFILRSNCSGNLQDYLAAIAKCERRARSCYSEEIKMDKKKFLKMLLLDGCFVLVFLNGTEGNAEENPGTYEGCSSRQEIISETASRNSREPKERTVTQTDQESNGSDGMGGWYSCCLAHDLLLFENQIPFYIVEGIYELFTGTEMRTRFLADKIAGCMESILRHYPIAIQEFDRPKQFHHLLHLCHMYFRPSQKFEEHQGVTRPSYFYRLLHFGKRYISLGRKPEKNQQIFLQSQQLNCSESEHLSSRWRRWRRAVQYHEAGVTLKKREYDQENKHSLLDIRFRNGVIEIPCLPIDENSEALFKNFIALEQTDPRYGNDLTAYVWFMSQLVTTPDDAALLVAKGIIVHMMDSDEELSSLFTRLIKKVVIKAETSCYLNSLCTLENHYQSRINRWMAWLSLNHFSNPWLALAVLATVVMLVCTVVQTIFTVLAYVKPPQQL >OB04G34300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19244484:19249274:-1 gene:OB04G34300 transcript:OB04G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80460) TAIR;Acc:AT1G80460] MAGKGAAVAAEEEVYVASIDQGTTSTRFIIYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIMESVKTCMANALDKATTDGHNVDAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESELSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVQAGDALFGTIDTWLIWNLTGGVGGTDRDGKQVFGQHVTDCSNASRTMLMNLKALDWDKPTLETLGIPAEILPKIISNSERIGVVANGFPLAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEVTLSSHGLLSTIAYKLGPNAPTNYALEGSIAIAGAAVQWLRDSLGIISSAAEIEKLAETVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQANLLGSPVVRPADIETTALGAAYAAGLAVGIWTKEQVFAGLHRENTTVFRPQLDEIHRKKRADSWFKAVSRSFDLADLSL >OB04G34310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19249865:19251365:-1 gene:OB04G34310 transcript:OB04G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVYPSGYGYGKFFLPVTDMGILMVRNFTSGYGYGIAVSDGYLPIAIPSSGLKILVLLDKKVIINLLSTVLQNCSACAKLYTSAYQFVNEHASRLVMASSVHPY >OB04G34320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19254371:19258092:1 gene:OB04G34320 transcript:OB04G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLLLHVFLLSTFGISYGCLDEERIPLLDIRASLLGTTATDDGKPPASWLRSDDCCAWEGVVCDSSTRRITHLYLASLIGGGDQAPSQCRMGLNSDAFSAFRELQLLDFSMNYGTFQSWDAFECLQNLRELDISSNRLNGSIPSSVFLLPHLEHLSLSQNLFDGNIPVTSSSNITSEFKTFNFSMNNLSGEFSFFWLRNLHKLEKIDVSGNANLVVVVNFPTWSPSFQLKMLVLSGCNLDKSIVTEPHFLRTQHQLEVLDLSNNSLSGSMPNWLFTERATLVYLNLGNNSLTGSLGPIWYPQVNLKAISLPMNRISGHLPANISSVFPNMSFLDVSSNTISGEIPSSLCSISSMEYLDLSNNSLSGELPSCMLTDYPMLKTLKVSKNKLGGPIFGGKNHLSIKWELFLDSNEFEGTLPLNLTADFDANGTLDLHDNKLSGRLDFSQWNLSNLCTLSLAGNSLTGEIHPSICNLTRIMLLDLSSNHLSGAIPHCSTALELEFLSVSRNSLSGHMQPFSFFNSSTVMALDLSHNRFTGNIEWVQYLGKIKYLSLGSNKFEGHISPGLCQLQSLRILDLSNNSLSGPLPPCIGNLSFGHTPFGISYWSLICEHRFPYPIFNYIGCYEQRGFSFRTKGNMYMYKRNFINWMSGIDLSSNMLSGQIPQELGNLGTIKALNLSYNLFAGLIPATFANMISVESLDLSHNNLSGAIPWQLTRLSSLSVFSVMYNNLSGCIPNSGQFGSFDTYSYQGNNLLRPASEESGCAPSSGSGSSLPDDGNEKVNDPIVYSVTAVSFVVTFWITITFRSIKMSNER >OB04G34330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19261255:19264631:1 gene:OB04G34330 transcript:OB04G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVVQWGFFFFFFFLVLCLTHPDMYMARGCFVEERAALMDIRSSLTSSNGTARRSWGPDGDCCSWERVNCSTSTGRVSHLYFSNLYDSQAFWSFNTTVFSSFPELQFLDLSGIYPSSLNSDGLVGVKLPKLQHLNLSSNWLTETILAPLGEMVSLEVLDVSSNYISGVLPTGVLGNLTNLRELYLSGNNFNGSLPKSLLTLPRLRILDLSSNSLVEGIPISSSSELVLLEVLNLNSNNMSGTIPTEQAFGYLRNLRELHLNSNKFSGNIPTFLFLLPYIERLDLSRNLFEGPITISPSSNLSLSLKGLRFSQNNLTGRVSFFWLRNLTKLEEIDLSGNINLAVDVNLPGWVPMFQLKKLALSGCDLDKGIIAEPHFLCTQHHLQELDLSNNNLSGSMPNWLFTKEATLINLNLGNNSLTGSLDPIWHPQTALKSFIIPTNHIAGKLPANFSSMFPSLSTLDLSGNNFSGQIPISLCHINRMQNLDLSNNEFSGEMPACVLTDFPELWALRASSNHLGGLVLGGMKNLSVGFAIELARNKLEGTLPRHLSGELRFMDLHDNKLSGELDTSFWNLTNLVALNLAGNKITGNVPKKLCNLASILDLSGNNLTGSIPRCSSASLSSLNLSVNSLSGDISDDTFNTSNLIALDMRYNKLTGNLNWLRHFDNIKILSLGWNEFEGQITPNLCKLKCPRIIDFSHNKLSGPLPPCVGNISCDSNTATPSPSPYYGIYLLGLLLTEASISVYNPRVFTFATKGAQYTYGLNFFRLMSGIDLSGNMLSGGIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSETESLDLSHNELSGSIPWQLTRLSSLAVFLVAYNNLSGCIPNSGQFSSFTMDSYQGNSNLHNMSKGNICSPDSGKGNLPSEGRDSMADDPVLYAVSAASFVLAYWTTVAFLFFHPLGRRAIIASRKVLWCGN >OB04G34340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19267824:19272255:-1 gene:OB04G34340 transcript:OB04G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (ubiquitin-hydrolase) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G42160) TAIR;Acc:AT2G42160] MFSLRIQSVDFPDDAATXXXXXXXXXXXXXXXXXXXXXXXEPLPSTTTASVTVPLELPGATSAAPARNPRINHTRGILHLYRSSSPSSSTASYASAVAATPSSSSSGLAASQLATDCLLPPWRGTSLLVLAVPTRVTPDDFVRFCGPYIEHASDINVIRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTELMVTPPVGSTELPTCPVCIERLDQDISGIMAATCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKDTQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDAKHSKFKSKCKYSGDDCVNCSCNDDEDIGSAIFSSKAETIVDEYNRLLASQLETQREYYEALLSEAKKEREERISDAVDKAVNDKIQEIQQKFENAMLEKKKVADMNEKLTKSQDIWCRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNENADDLKGGLLVPLPVVPDSGTKGKRSSRTSKRRN >OB04G34350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19274488:19274982:1 gene:OB04G34350 transcript:OB04G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAADTKDLLLAIPKLESAVWRWSPARRSSLSSSSSANSDDRFVCISNPVYERGAAQAAAEAGGGADTPFETPDASPSPIGITEEVEEEGEYSPPLSVMRKLPPLGVVACPPPSMGFIDGRPSLGFTDGRPSLTAWSGTVTDTNRASSSSSNLTAHFFSSWSPK >OB04G34360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19277690:19283081:1 gene:OB04G34360 transcript:OB04G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPECVIQPWTSSCTDKVDGASSTDQNNYSKSYVEHQIPLSGCKNYGTTSYHQPLHANFPREDSILKEADPFTNKHCPQEENVLPIEERKKKQQEEKKLKMEKKARLMEEKKQKRLESKRQKEAMKAEQAALKKLDKEKRKWESGKFATKSIVIEIDSSVIESGSVGGHLVQRFAEKGLLYRVTSNSIRGSILWNMKIPDEITQNQASTLEVPYILFVLQAEEFCDLVTAGTLLDHVHKVRSQYPEFTICYVTNKLMSYIKRREQSQYNKNVPNSNGWKRPPVEEGLCKLATDCVRVHSRQCTDEAEVAEHVVGLTYSLANCKFRKPLTWLSVHANGSSIKGIEKDKIKKSPWLKSLVAIPRVNPGHAIAIEKKYPSMRSLLNVYMDDNKSVHEKEHLLEDLMLEGPLGDLNRRLGPACSKRVYRILMAQNGAAEVEADKR >OB04G34370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19283045:19283278:1 gene:OB04G34370 transcript:OB04G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGYRSSRSTTTDSLQPIRSPCPESPERGVILQYTQGSTIPCKLTYQHNRKKG >OB04G34380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19293294:19298909:1 gene:OB04G34380 transcript:OB04G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGAIPYGNSAHQDGTFLGFWESGEASASGSSLHFGSSNEIKTEQRNIGGVLRIGERRLAAEHNLSLDNVDIGLNINGNDLSGQSSNVNGAAQGSEQHGGCSRTGTNAQASDLRLHPYRTFILGAEQPESFNSLNGSENSIGDFSLMPEGIDHRPGSSLDGRRLACKRKNIEGVHGHGQCSAGASTSFSHRNDSIFHSIASSSRNPSPSTNLPSPNCLLVPSAVDEQPPTYVATAGLSSSSYDLSGGNNNSGMSQRSFRPRTTLAQQIGPYGVWPSSSAIRHSNSWNHQPPPFQSTFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMAHRFSGQGTSSSRTGNLENRTIGSEDFSGRNVVATNFPDAVPPSTLDMRHLIPEPSSWNVDGRVTTIPGNVPSSRATASLMVNPPASPFIAHQNLHRRNPRNLSEEISRLSGALRSHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQREFSSWRLEASVEDEPCCICQEEYVDGDDLGTLDCGHDFHVRCVRQWLVVKNTCPICKNTALKT >OB04G34390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19301481:19302128:-1 gene:OB04G34390 transcript:OB04G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYRSSSEDSAASAAAAAAAAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRAYDTAVFYLRGRSARLNFPEEISSLASLSEGGGAGEPREPDGGTLSAASIRKKAIEVGSRVDALQTGMMVAPTHHRERQKHHQHHHHHPQLQPPGEEQHHEQKQQRTAWSGRAKNPDLNQAPSPESSDAE >OB04G34400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19312449:19312745:1 gene:OB04G34400 transcript:OB04G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIEVDQSRGMAKIEVDALVILTLTLLSPLVSPAPVVRDGDASGERWPSRRAPFKEGRRLRGANVRWGGVGIRVAPLVRAIQDWLGTWVGEPSTFWL >OB04G34410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19331742:19334371:1 gene:OB04G34410 transcript:OB04G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQPRGRRCRPPPTTPARPRPRPPPWSRYPTKPTTTLPPRPLSRASSSLRPSRAATPPPGRSRAPVAKSWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKFRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPAAAAAGDAAEHNLDLSLGSSAGSKRGNLDGGGGGDDESAGGGTGPDQRVPMAFDLDWQTAAARSTKAKFDQNSKHPQMPPVLQVSHLPFSPRHHQQFVSNGDPGTAGGLSLTIGGVGGQWPPQQQHGWGNAGGTSWPLPPHPAAPPTNAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >OB04G34420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19346293:19346511:1 gene:OB04G34420 transcript:OB04G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCKDLVRKTLHLLLESPTGKAERSLCSYTRVTFFSLPSTFASLSSSPSLLLHSHRMPSQAHSEAAAVHSW >OB04G34430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19345857:19348448:-1 gene:OB04G34430 transcript:OB04G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANSCILLTNERWVTMYNLNRNWPVSLTSPLCMISQLLHRWTTISFAFQCRKRRSTQKESKGYSEAYFVPFSLHPPLTPKEASSTDAIKTRWYKGIYVTQEVKMAHQLKLMAKTQKGLQG >OB04G34440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19345869:19352154:1 gene:OB04G34440 transcript:OB04G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTWLWRKKSSERTISTKNKANISEREQEKEKIARLERSLQCLNEKLSYAQAECVEKDAILAKQAKVAEEAILGWEKAEAEAVAIKTQLDDTLDQKAAIEQRICHLDEALNVAMVERELLIKDTAKMISHEQVKVEKLEGDVVEKINIIASLDSDNRQLSEMLSMKEKMISELTEAKAVIESNFKILSGKLESADKLNSSLRYEVCMLQKQLDIRSEERKVNLKSADAAHKQHLESVKKITKLEAECQRLRSMVRKRLPGPAAIAKMRSEVETVGNNSVVTRTRRFNSTTNLVQNSYHASHESSSLLARLHAMEDKNKSMKESLSIKEGELQYSQTMLARTTSKLSQVEAQLEELSRGRVATELVKSSPTVVENPLSSISEDGCNEDNISCSSSWASALISELEHFKKGKLRTPSCKSTGVSDLSFMDDFEEIEKLALICDAKTTESYDSKRESRELSGKELVTVDGPSETSNQLHQHKIEKAVLKLIELIEGVIQRSSKDYSSAVVLPGGSEGDHSNTLTDYVARAFLWKTSELISVLQNFVLGCNELLYGGTDVERFVLEVNITLDWIISHCFSLQDVSDMREAIINNLELNNSSGLEVVPVIKHTGFQTTDGMCEPRTPNKMQMSIVSVSSLMDIGCRDDDDARTLTNKAPVSKCQESDGKASTLRAELNALKETGKIMAHGVNGESTISELGKPSNYDINKGYQHGVSSLESKLQLERCPAKEGTKYVCRNEEQHVQMQLEISTASDKLIECQETILNLGKQLKALASPKDAILFDKVVHTSVQSERKPRSQSLNDMLAMDDGGFDCLSSPKTKEIICAELRSRHERSCSVDNGGDDSVTCSSHPMPVVPLAKPCRVDGACKAVALVPSKQKGNTNLLKRILTGRRKEAMAKPNVAVGA >OB04G34450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19352312:19352566:-1 gene:OB04G34450 transcript:OB04G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPQQISSRTPPATAVRRILPPKPIPSALAQPFGGARLASRKPAPRDRGGGGGLAPTNRESGTPGRYAYATPSTIEMRSVVKL >OB04G34460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19358101:19359033:-1 gene:OB04G34460 transcript:OB04G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 4 [Source:Projected from Arabidopsis thaliana (AT1G46480) TAIR;Acc:AT1G46480] MRLHHLHVAYQLDKASSSSCPAPSISPSIPGSAFPAFALKCLRPLAPKISLPEPRKTIAPPDFVPRARNVSKLLNYAVQVPTAGTTRWNPSPEQIKVLEMLYRGGMRTPNSVQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDSSSLPSNQTKVDMPDEKKKKELHDDGLTSCKRRCKAWGDGAGDGDAATEDATDDDVTLELFPLRPLGKA >OB04G34470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19364300:19364638:-1 gene:OB04G34470 transcript:OB04G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHMLLLAGVPAAATAAGGGFVQVFFQYSFLVWPFNLVLPLARHLPRVCVALRDAAAFLAGELPMFLSGRRGVQLAAPMPGYGRSSSSPAARRSREELVAHTMVALVGISY >OB04G34480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19369625:19369801:1 gene:OB04G34480 transcript:OB04G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRACACLWPRARWAVAEEGGGEVQPSPVEGGTLMTLIFMRFGHLVKSSDMATTYYNG >OB04G34490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19373921:19377156:-1 gene:OB04G34490 transcript:OB04G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGAAAFAGNPELCGEVIRRECRGSHLLFFHGPGNNGSAAPPVQSAAATGDGPQRDSISLPDSSTPSRKVRRRTAIAVSATAGAFVAVLLLCAMIAMKRGKKRRRPSSAAYPSPKKSAAMSEVSRDNTDIGYVECVPDNEETAAMMMPEEKARRLERSGCLTFCAGEGASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEADAFEQNMDVVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSLAKPLHWTSCLKIAEDVGQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRRLTPKSDIYAFGILLLELVSGKPPLEHTVLVATNLQTYVKSARDDEGVDLERLAMIVDISSACVRSSPESRPTAWQVLKMIQEVKEADTAADNDSDLTSNS >OB04G34500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19382024:19382698:1 gene:OB04G34500 transcript:OB04G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDIRAISAVLRARGPSNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLEAARASRAAECRPIQNLLFSECRLAINDLPNDLYDVAWDIILVDGPSGWNPTSPGRMPSIFTTAVLARTGATAAKGPTDVLVHDFQFEVEQILSKEFLCDENRVAGSGTPSLGHFVIRPDGRRDAFCSGQDSTAATSSEKTAGK >OB04G34510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19387597:19391123:-1 gene:OB04G34510 transcript:OB04G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALAAAALLLLLSMAAAADMSIVSYGERSEEETRRLYAQWKTEHGKNYNGIGEEERRDLVFRDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYRATYLGLRSKPRRESKVTGRYLAADNEALPESVDWRTKGAVAEVKDQGSCGSCWAFSAIAAVEGINQIVTGNLTSLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEADYPYKGKDERCDVNRKNAKVVTIDSYEDVTPNSEKSLQKAVASQPVSVAIEAAGRAFQLYSSGIFTGTCGTALDHGVAAVGYGSANGKDYWIVKNSWGASWGESGYVRMERNIKASSGKCGIAVEPSYPLKTGENPPNPGPTPPSPPSPATVCDDYYTCPDSTTCCCIYEYGKYCYAWGCCPLEGATCCDDHYSCCPHDYPICNVQQGTCLMGKDSPLAVKALKRTLAKPNWSFLGNRANGKKSSA >OB04G34520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19390608:19391132:1 gene:OB04G34520 transcript:OB04G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTASLVLNLGDGPLGPPVNGLRQRLVVGGEVPAGDLALPPRLAPEAEVGGAVLLVGEVGEPVEAEAERVDPGVGGRVVLVDVAEVVPEHQVPPLLLPDAVVVLAVLRLPLRVQPPRLLLAPLPVRHDRHIRRRCHGQQQQQRGRRESHGNPHLAELGEERPRKGGRRSGVDG >OB04G34530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19396784:19398550:-1 gene:OB04G34530 transcript:OB04G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSLLPFACCMIFFLNAALVGARPAPSVYMDNGAYALFVFGDSFADTGNLPRSHLSEASREWYYPYGSSWGNNKPTGRFSNALVESDLIAQMLGRHEAPPTYKRRGSYVHPHGMNFAAGGAGVFSVPTGAPTLDKQVDHFRDLVQDGTITRGNLENSIALVAISGNDYARLANVNSTGKMIEFIEKVTTEIAKQVHRLRNNGASKILVNNLHPLGCTPWVTRSTNYTECSFKGNTGADIHNGNLLMKINTTVHDYILHVDVNKAFNNLVNPNSNAKNAMSASFKNKLAPCCESIDVNGYCGQKGDNGTELYTLCKNPGDYFFWDDVHPTEAGWKAVMKQVEGPIKKFLGLH >OB04G34540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19404467:19407132:-1 gene:OB04G34540 transcript:OB04G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3M229] MAHTLRLVDGPLTWIVVEPEHRTDAVAAVLSRSSLNFVHIAGPESSTARLRMHALREIRKQKMDGVVVFADENSILRTELFDEAQKVKSVGAVPVGVLGEDEGTSETFLQAPACDAEGKLVGYHVSEETVLPANRGDMLLSSRLEWAGFVVNAQALWEGAAGRPEWVRDIDAIDDGAAASPLSLVIDTARVEPLASCAQTALAWSHRSDSFHEVKFPHEWKIDPPLVTIASQQQAVKPETPLKRTTLLNTEDKH >OB04G34550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19422440:19422700:-1 gene:OB04G34550 transcript:OB04G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADQFVNARLLVEEARVALRACAGGIGVAPDPGELAAVLADAVGEKGSGARARAKELAAEAAIAVRSGGSSYEDLERLVQEIHKL >OB04G34560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19427065:19428247:1 gene:OB04G34560 transcript:OB04G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHMLGNPPAHADDLSEHIHAENQISGNTMVGAEDPGDNSEAESWSSVLSTIMLTALNSRRMMISRRSTTRWQQILMVPTSPRLRLAPLPKSMQSTALTTPHPVQAAVHGIAERQMGQRHQMVLLVCYHYYSPATYPAYYTVAKREWCLRQLDVQNAFLHGLLEEEVYMRQPSGYEDKRCSRGLTDRFKTRLRPEGFG >OB04G34570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19428564:19429801:-1 gene:OB04G34570 transcript:OB04G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKAYVEGLLEEQIGKSLKQNFLWNLESWGFVSNTFRALEGRYLDAPLKDLGFKRVWAVGPVAPETDAAGVRGGEAAIAAGNLSAWLDAFPEGSVVYVCFGSHAVLTPSVAAALAEALERSAVPFVWVVNAASGDCMVPEGFEARQRWRSAGWWSAGGRRRWRRCGTRRWGINLSEKALTRIEGDLLILNPRPTEDLRI >OB04G34580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19430375:19430923:-1 gene:OB04G34580 transcript:OB04G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTSTPPHVLVVPFPAQGHALPLYDLASLLAARGLRLTVVTTPANAAQLAPLLAAHPDSVHALTLPFPSHPSIPAGLENISNCPPYYVTVFIHALAALQQPILAWAKSQSAHPVVAVISDFFCGWTQPLAADIGVPRVVFTPSGVLGTAVPHSLLRRLVKRPAGCDDDGFVRINLVISHY >OB04G34590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19431540:19434417:1 gene:OB04G34590 transcript:OB04G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT1G59840) TAIR;Acc:AT1G59840] MELARSLTLALLPATLRPPCRRRRCGLPLGLGPLFSPLPLSSQLRQQHEEMYIVRALRQRQDWVEGWVRSNDTLVRGLPILVGGASLLAALLNRAVSGIAAVTDASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVSNPALPELLWTWDSLTTATCCKSLVVVYGGNCVLQIGVAAGSPEDGNAVMVDAQKFMEGSLYRSAMESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDKGIAIIGGDIIRGFTNIDQAWIAMIADKLDATLSKS >OB04G34600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19433068:19436868:-1 gene:OB04G34600 transcript:OB04G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKRFGASLSDPKKRSKDVLLAFLVTFSKDFQNYFSKLVRRLQEQSAFQQYIKEFPDNISPEMKLVQLTAEHPEYRKNYSFPSYKEGWKVMREGKVTNFVNSNMLAIDCEMVLCHDGTEALVRVCVVDSRLEVKLDELVNPLKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKILSKGKILIGHSLSKDLQALKFDYARVIDTAYIFKYANLPITASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVIAKLKHGFNDPIEIARNSVPESDMVKLLAHKIPVYLPCQELLKLFSGNPSIDEKNDSRIRGDYYSTCILFGDIDEAEKAFDELDGQETKDSSGRLQKNVLLKRDNGDVASFYIRKMAYGSQLNESELSKKRPPPIEDAEPTKEVDGEKQKKRKTGKKHEKKTKISVAE >OB04G34610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19446274:19449573:1 gene:OB04G34610 transcript:OB04G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16150) TAIR;Acc:AT3G16150] MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDALRGGAAAVDVVEAVVRELESDPFFNSGRGSALTRLGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMDKSPHSYLAFDGAEEFAREQGVDVVDNSYFITEDNVGMLKLAKEANSILFDYRIPLGGSETCSAQAENPNGMVMNGLPISIYAPETVGCAVVDSNGFPAAATSTGGLMNKMPGRIGASPLIGSGTYACAACAVSCTGEGEAIIRSTLARDVAAVMEYRGAGLQEAVDYCVKERLDEGFAGLIAVSGAGEVAYGFNCTGMFRGCATEDGFMEVGIWE >OB04G34620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19454469:19463279:-1 gene:OB04G34620 transcript:OB04G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRFLRILLLLVALACAASEVGCSDRRFPHLDRLRELHRREARPAAEQEAAARGLLTRLLPSHSASFDFRVISTDQCGGKACFIVDNYPLFDGEGTPEILILGISGVEISAGLHWYLKHYCASHISWDKTGGTQLSSVPRPGSLPRVPSGGILIQRPIGWSYYQNAVTSSYSFAWWDWERWQKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLVLQKRILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYNCDTFDENTPPLTDPNYISSLGAATFRGMQSGDYDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQFYDFEMYGVLDMVASGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVKTYPTRRYGKSIVGLQDAWQILYHTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYISTSQTYLTKSSMNYIALDPSNNEYEQPHLWYDTNAVIRALELFLRYGDEVSDSSTFRYDLVDLTRQTLAKYANQVFVRIIESYKSNNANHVSTLCQHFMDLVNDLDTLLTSHEGFLLGPWLESAKGLARDKEQQIQYEWNARTQITMWFDNTNTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMERKEPFALEEWRKEWISLTNNWQSDRKIFPTTATGDALNISRTLYTKYLRNADLIQLEGSIVHMEHCHLHDSCQLRLRNSRLPMIQMAQQTPFLFPVKCYYAFYCRLLRTWYSYKFVRHCILQFL >OB04G34630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19464404:19466066:-1 gene:OB04G34630 transcript:OB04G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M238] MASRHNFACSAMAALLFSAAAAVVSAQLSTDFYDETCPDALDIIESAVRAAVFKESRMGASLLRLHFHDCFVNGCDGSVLLDDTTGITGEKNAQPNKNSLRGFEVVDDIKAQLEASCEQVVSCADILAVTARDSVVALGGPTWDVELGRRDGTTASLDAANNDLPPPTSDLGDLIKSFSDKGLTETDMVALSGAHTIGQARCVNFRGRLYNETNLDASLATSLKPRCPNPTGDGDDNTAPLDPATSYVFDNFYYRNLVRNKGLLHSDQQLFSDHRLRLQHGRLLRRLP >OB04G34640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19469717:19477829:1 gene:OB04G34640 transcript:OB04G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFIADQEWFSVEQRSLQLGFLADPKVMIRTCSGTTPAWSRCVSENSVIDDDVDGNLYGRARCSCSKGYEGNPYLANGCQDPTQQVTVNDEVITFFDAHSASPLDIQGAITRGRVRQLHLEGLAFSARPSVFRHGANQRRRAAAMAGLKKPDRPSTPSSRRAMIGAVVFQLLVTAAAAATQAAGRVALLGCPESCGDVQVPYPFGIGDGCSYPGFNLTCGGDAHRTVPKLFLATGNDSVEVLGISLLDGTVRIRSKLFQSVLVGSTTNASWSWPGLPADGPFTVSTAYNWLVAFGCNIVAQLIPHGDAAEGSTCAATCVDGWGNIAGPSCSGIARCRTSMGPGVRSYTIRVQNLADRPPFGLSTQTAAFVAEQSWFSANENDMLNNLSNHLPFTVRSVPVVLEWWLDLIRDEAFLPLSVGPNTTDFRCLSLNSSSYYNDLNYDRRRCNCSQGYEGNPYLRNGCQDIDECQQPDVCHGRTCINMPGTFRCSPKKSVKSLTGLITIIAVSASFGLLFSLLGIAKITSKIKQRRDKKLRRKFFKKNHGLLLQQLISSNRDIAERMKIFSLEELDQATNKFDQNRVLGGGGHGTVYKGILSDQRVVAIKKSKIVVQREIDDFINEVVILSQTNHRNVVKLYGCCLETEVPLLVYEFISNGTLSFHLHGQNENPLTWKDRLRVALETARAIAYLHSAASISVLHRDIKSANILLTDTMAAKVSDFGASRSIAIDETGIPTVIQGTYGYLDPEYYYSSRLTEKSDVYSFGVILAELLTRVAPVFSSQASESMSLASYFVSSIRDNRLSDILDHQIVDEVGVEDAKVVAQLAETCLRLKGEERPTMRQVETTLEDLQRSNVQLNHQIARVSNSILKEQTYKGSKCYEGTRQYSLENEFIQSSEYPR >OB04G34650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19478852:19479046:-1 gene:OB04G34650 transcript:OB04G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFVNFSALRSEINGLIFSHIIKETGKPYQLPIHRGSAYLGYSLDGVKSCQRATASPTLVICWWPI >OB04G34660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19482523:19483654:-1 gene:OB04G34660 transcript:OB04G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRLRRLISLRHLTLDLNISDLPQRKIDVLELAYALKAAPFMEKLELNMVMIGRHRRYCPDDGELRSLASSPHSHLSWVHIGFVGEKDQLELALHILHNAMVLKEMIIDTSSSTESVGVYFLPECVASDGYSVALEFLSKQYRNNIVCILEVDEQ >OB04G34670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19483837:19484016:1 gene:OB04G34670 transcript:OB04G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTKFKQEHKGSSHYMGHTAILNTEKKAPSSTNKSLNRTSTQTEQVSFKQQCKLQFSF >OB04G34680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19492077:19498289:-1 gene:OB04G34680 transcript:OB04G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 91 [Source:Projected from Arabidopsis thaliana (AT1G23090) TAIR;Acc:AT1G23090] MVGMRGAYGYNGSGNESGGEVAVTEIAAMAVQHKVSPPPAQSTASKMKARVKETFFPDDPFRGFKGQPLRVKWLMAVQYLFPILDWVPSYSFSLFKSDLVAGLTIASLAIPQGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSMKWPKLFWVSACAPLACVIISTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPAYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYHIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNIHQYKDAQRVPGFLILTVEAPINFANTNYLNERMKRWIEEESSAGNKQTDLHFVVLDLSAVPAIDTSGISFLIDLKKSTEKRGLELILVNPTGEVMEKIQRVNDAHGHFKSDSLYLTTGEAVASLSAYSKMTTP >OB04G34690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19503937:19508321:-1 gene:OB04G34690 transcript:OB04G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMMETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDEVSQLREEVDLKTLTQDKYKRKIAKMQKTSPSLVDEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKARKMEENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSLASSSSSSRIDIEANTWQESHSPRLRDRIRNGQQQLGSAIRQLDSIFSAGHIFLRRNPKALVWALVYLLCLHIWVLYILTSHPTISEARPGATFSLESLNKTGN >OB04G34700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19515291:19517523:1 gene:OB04G34700 transcript:OB04G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTVQMQDDLERIMEASRIQERIHKYKQADEMFCSFGIPQKEFKKALSAFEQLTNLLEIGLDVGQLEMDKETDIATNSDVLRFLRFLTEIEICTHEDYYKGFVTLSGEYASVLEFCQVEVRPENAEANNAQIVALVNALGVPLLVENLDTSLTHGLVLLNQHLFYPRPESEEGAMLGPLNLHDTVSSESRGTPMDLQNLPTTSGSSVTSSSTEALGLQSVGTSSTPSGGGADERTIDDLSWEERRRLVTLLYRPGHYDILYPV >OB04G34710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19519411:19522550:1 gene:OB04G34710 transcript:OB04G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDLLLLLHVLLLAAVMSARAPTAHAWGKEGHYMVCKIAEGFLTEEAATAVKELLPGWAGGDLAETCSWADTERFRYRWSSPLHFADTPGDCQFNYARDCHNTNGEKDMCVVGAINNYTSALKDSSSPYDPTESLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYRRKSNLHHVWDVNVIEKAMKDFYNDDVATMIKAIKMNITDEWSNEEKQWETCRSRTKTCADKYAEESAELACKAYEGVEQESTLGDDYFFEALPVVQKRIAQGGVRLAAILNGIFGGNRRIQSS >OB04G34720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19523260:19525585:-1 gene:OB04G34720 transcript:OB04G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPTAATIPGAAPPPPGRAAAAASGETSEEDSEDNSEEDDDENSGRSRPAKRAPARKRAGLRLLFWARNVVTKSDSAKEAERSKAQTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAIMGPADMVDDVTGGLKLL >OB04G34730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19540996:19545079:1 gene:OB04G34730 transcript:OB04G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPANNATQAAASPVLTRSLSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDRLVNKAPYGLIKSSEGMEASGREVTAEGNAQ >OB04G34740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19546101:19547854:-1 gene:OB04G34740 transcript:OB04G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSMSQKLTLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKVFPAGVVSLVSLVMVGGYFHGILRSTHA >OB04G34750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19550711:19554722:1 gene:OB04G34750 transcript:OB04G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGLQGQNGGLRSSMVEPWKLQRTVPRVLRSIRIVIFTSKLNLLLPFGPASIVLHYATKRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCVMLVAYASYLYFQLSGRSNTYSPIGSEEMPTEDAAEEDEEAEISMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDG >OB04G34760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19559344:19559961:1 gene:OB04G34760 transcript:OB04G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M251] MVSGGSGEHQPPKRRLPAPAAAAASSEQERQQQRKMLRLSVRQGDQDDDAMTAGVVPPVTVVLDGRSICHRVHLSRHTGYRSLAAALRRMFVDEDDDGAGADGDRGGGLDLSNAVPGHLVAYEDMENDLLLAGDLSWKDFVRVVRRIRIIPVKPSSRRKPQLTAP >OB04G34770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19564596:19570541:1 gene:OB04G34770 transcript:OB04G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETVVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDATGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSVKPPLGVFFAAIAPRLQPRYYSISSSPKIAPSRIHVTCALVYGPTPTGRIHQGVCSTWMKNTIPSEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGSSILFFGCRNRNMDYIYEDELENFLQEGALSELIVAFSREGPTKEYVQHKMVDKATEIWNILSQGGYLYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >OB04G34780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19571170:19571355:-1 gene:OB04G34780 transcript:OB04G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLTCFNGSISVQIWRRFDVSVSGSTAQFVYACVEYAVSNSYLIIYLWLYYPLQVGISCV >OB04G34790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19574736:19579004:1 gene:OB04G34790 transcript:OB04G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTSHQYPWPLNFSLAHHCDMEEEERGAAAERAAIAGAAQPPKLEDFLGGGGGGGVPVSGPAQTAAAELYESELKFLAAGFLSGAAAGAAPAPSPPAALEQNDDKQLVLPQAAVAPAQEQKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIINSNLPIGSMAGNRSSKALELAPASSTDGTMPGAAEASHAGVAPPPSTLAFTALPMKYDPADYLSFLALQHHQQGNLQGLGFGLYSSGVNLDFAGANGNGAMPHCYTNVSLHEQQQQQNQEQDQQDQSQSSNSCSSNIPFATPIAFSGPYESSTTAAAAAAAASSFGYYPNVAAFQTPIFGME >OB04G34800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19586433:19587098:-1 gene:OB04G34800 transcript:OB04G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLLVGFPALYLVKSSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSNETAFITIRDSEIITLILIPGLLFINTGETTRN >OB04G34810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19591745:19591996:-1 gene:OB04G34810 transcript:OB04G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGPVGGGAGMEVELPAVAMAVAPAVEAAVVVVAAAAAVVAAEVAVNDFMFFDDFVPYADVALFAVHVLLDLDQSWNKHYS >OB04G34820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19593366:19594032:-1 gene:OB04G34820 transcript:OB04G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALDGPTAGDGFGFMPTAHAASWWVLVAFAFAAALAVVAIAVFGCADGPEDRRRKKKDEKKRRREGGDGGGDDGGDAGGAQTHTAAGITTMDMAETTAAGTTITTDMAETTVEAGTTMVVETMDYPVLLVYSNPMNMTLRDRVRKRREEEEDDDMMLFIFPALSPVGSDRATLPLYEQDISYYEDRL >OB04G34830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19595569:19600860:-1 gene:OB04G34830 transcript:OB04G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASLDYATAGLRAKASAPCPPGAMASIGVMPAASWVLAALVVAAVLGLLATVVALSVFRRRRKDQRNTREKETQAEDSRIMTEEQKRQALITKRRQEKEDKKTSKNSRVENMMDRYLEMRTKQHEEEAVAREKEFSQAADYSVKKCVSMLSSMDVTKQREGKGFCA >OB04G34840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19602422:19603819:-1 gene:OB04G34840 transcript:OB04G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTALQERRRKKISTGAGKMVMAVAMVVAVAAGMVVATCRMWAAATSLGPLAVAVGIDGFAVPTADPAAYSWVLMVFGFAAAIAVVAIAVFSCGADGPDEDNRAVGGAAGVVAAQAAAAGASAGAGAAAATAAAAASGYGGGRGGC >OB04G34850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19610653:19614776:1 gene:OB04G34850 transcript:OB04G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPLLRDSNLPDAHDALQTPPAGHFNPHHSIARACKKLSNTVTIDAPTLFALVASIGNLLQGWDNASIAGAIFYIEEEFRLQSSPMINGLIMAMALIGAGISTLLSGRWADPLGRRIMLLTSSILSLTSELLMIFLSQKIYMIIIVRFISGLSIGLAVTHVPLYISEIAPAETRGKLNTFPQLSGSVGEMASLLEGTYIGHIPIKKEFIIGPTDEIKLFSSGEQVKLYGLKEDLSCIICQSKDENSQSKTNSLMRIGSSFFDPIVILTESVNENEADNICNETQDTNSSNEENQYTELDEENQYTELDKAQVSDEAEDEDNQYVSEGEDGIGQRLYTVGGGWQLTWKLVEEDGLDENTQSGIERVYLHEKCKGTQIDASLSNQFIKVTALVNRSVFPQHNNHNSIEAIGQMFSYLLETGVRKALMVGITIQILQQFAGINGILYYTPVILIQVGVGDVLSSFDLNSSSKSILLSAITTLLMLPCIGTAVWLVDIKGRRHILLSTIPILLISLIILVAANVMDMRTDLHATISALCVIVYQCIFVMGFGPIPNILCAEIFPTRVRAICLVPETKGIPLEVMSECYACTDSTSRPSKDEDEGSKEEK >OB04G34860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19615995:19620744:1 gene:OB04G34860 transcript:OB04G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKRSRSLKRLLSLGRRSSNSDESNDECGVDVEPPPPPTPNKPTWRCFSYEEVDRATNGFHRDNMVGRGGYGEVYRGVLEDGSAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHRNVTALLGCCVDRGLHLIFEFSARGSVSANLHDEKLPAMAWRQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLIAWAKPYLNDGVAQGLVDPRLGDGYDGAQLRRLMFVASLCVRPAAAWRPTMTQVLELLESGEISQDQWLMPEEEEEEDEFWDFDDLDDFEDDDDDDDDDNYDNDDESDSPSISSSACSIHAND >OB04G34870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19623949:19627203:1 gene:OB04G34870 transcript:OB04G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPSAAAAADTDALDAIYRYDEAAQARVQQEKPWTNDPHHFRRARISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFMAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKAGTSAPDSSGPEPMVEA >OB04G34880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19627886:19630301:-1 gene:OB04G34880 transcript:OB04G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M263] MNRFHGVCCLLLVTFLCCVALSEGDHRSVLWRGDSIAVEDAAGHVLVSPSGDFSCGFHKVATNAYTFTVWFTASSEDATVAWTANRDAPVNGVGSRAELRKDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVADAAGNRLWQSFDWPTDTLLAGQPVTRYKQLVSSAVKGLPYSGYYKFYFDSNNILNLMYDGPEISSNYWPDPFNKWWDNNRTAYNSSRRGSFDRRGVFTATDQLQFNASDMGDGRVVRRPTLDYDGHPRPYRLGARGGRWRATWAAIARQCDVHGVCGRYGICAYHRGPSCSCPDGYVPHDSGDWSKGCRRTFDVRCGEDVGFAVMRHTDYWGFDLNYTSVLSFDACRRLCLADCRCEAFGYRQGTGECYPKIALWNGRIMSIPYQTIYLKIPTRVKNLNPSLLHFDGHACTVDERNASVNGSYQLHGRSNGINFIYFYCFLAVVFAMEAIFVVVGYLFVFRADSVAAGRAHDEGYNLVFSHFRRFTYDELSDATCGFTDELAKGATGSVYKGVLDDGRSIAVKRLDELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLIVSEYVENGSLDRALFGDDGEGSGVVLAWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDLEPKVTDFGLVKLLSRDAGSHLALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLRGERVCDWVAADDGAGDLQRLAALLKEKLKRDDDELPTWLEELVDARLRGDFNRVQAAAMLELAVSCVDGEPSRRPSMDAVVRKVISLDD >OB04G34890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19634364:19636801:-1 gene:OB04G34890 transcript:OB04G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M264] MSTTMNPAHGLQLILVSSLCCTAVSVGDDRRSVLWRGGSIAVEDAAGHALVSPGGDFSCGFYKVATNAYTVAVWFTASEDATVAWTANRDAPVNGVGSRAELRRDGSLVLRDYDGRVVWSTNTSGTPADRAQLPHTGNLVVLDAGGNRLWQSFDWPTDTLLPGQPVTRYRQLVSASARGSPYSGYYKFYFDSDNILNLMYDGPEISSNYWPDPFRKGGANNRRAFTSSRHGSFDRRGVFTASDQLQFNASDMGDEGVLRRLTLDYDGNLRLYSLGAADGRWRATWAAIPRQCDVHGMCGRYGICTYSPGPTCSCPDGYVPRDTGDWSKGCRRTFDVRCGEDVGFAEMRHTDYWGFDLNYTARISFDACRRLCLADCHCEAFGYRQGTGECYPKIALWNGGVLSIPYQSIYLKIPTRVKNLNPSLLHFDGHACAVDERDAIVSTSYLHVRSNTINFIYFYCFLAVVFVLEAIFVVVGYLFVFRADSAAAGRVRDEGYSLVFSHFRRFTYDELSNATSGFRDELAKGATGSVYKGVLDDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLIVSEYVENGSLDRALFGDDGEGSGVVLAWRSRYKIAVGVAKGLAYLHHECLEWIVHCDVKPENILLDGDLEPKVTDFGLVKLLSRDAALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLLGQRVCDWVKTAANGAGDLQRLAALLREKLKHYDDELPAWLAELVDARLRSHFDHAQAAAMLELAVSCVDGEPSRRPSMSTVVHQLVSLDDRTPSRRHA >OB04G34900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19639778:19641616:1 gene:OB04G34900 transcript:OB04G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHGMCCLLVTFLCCVVPSAGDHRSVLWRGGSIAVEAAADHVLVSPSGGFSCGFYKVATNAYTFAVCSNILNLMYDGPEISSNYWPSPFNKWWENNRTAYNSSRHGSFDRRGVFTASDQLQFNASDMGNERVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVERQCYVHGLCGSYGICSYRPGPTCSCPVGYVPNDASDWTKGCRRAADVRCGSDDVDFVEMPHTDFWGFDVNYTSGVTFDACRRTCLADCNCKAFGYRPGTGRCYPKIALWNGRIPIKPDQTIYLKVPTSVKNKMINQTSFLLFDGHACATDERDAMVSTSYLHVRSNTINFIYFYCFLAVVFVLEAIFVVVGYLFVFRADSVAAGRVRDEGYSLVFSHFRRFTYDELSNATSGFRDELAKGATGSVYKGVLDDGRSIAVKRKQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLIVSEYVENGSLDRALFGDDGEGSGVVLAWRSRYKIAVGVAKGLA >OB04G34910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19641770:19642012:-1 gene:OB04G34910 transcript:OB04G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAAQPCIHELLQQLVVVTLQLLLEQCCQTLEIPAPLSAATQSQTLSPLRSSRSTTPKLYTSALPVMGRPTVQHSGAM >OB04G34920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19654031:19656714:1 gene:OB04G34920 transcript:OB04G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSGTAAVVVMIALIKRCLRFRKKMKKKMLHRIAKEATEHHRKLEAQDAMDSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTRCYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYDGEDRGRLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEILGRRRNYDLAQAESQEWFPKWVWDRYEQGDIECVVSVAGIGEADRVKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYIMGGGGSSSSMLTSSGGTTLSSSDTTRGSSEMAVSPPAKKSIDVMVE >OB04G34930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19657110:19658198:-1 gene:OB04G34930 transcript:OB04G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSHFIEGLQNERPVRFSAAQLRVFTKNYSHKVGSGGFGVVYRGRFPSGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDAEVKALVYEYMEKGSLDRHLFDNSAPEKIAFDKLHEIAVGTAKAVRYLHEECAQRIIHYDIKPENVLLGAGLAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEILGRRRNLELGVQQQHEHGRETGGQEWYPRWVWHRLEAGETEAVLARARAADGKEREKAERVCMVALWCVQYRPEDRPSMGSVVRMLEGEDHIASPRNPFAHLAPYAAGSTPSGYTTTATTESGSSSARTGNGTGRSA >OB04G34940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19660513:19661586:1 gene:OB04G34940 transcript:OB04G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGQARRARAAAGGGAGAGERRVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGGAAIGFDTLHGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLAADYAPKVADFGLAKLCNRDNTHLTMTGARGTPGYAAPELWMPLPVTHKCDVYSFGMLVFEILGRRRNLVPQHPAAESQEWYPRWVWQRLDHGRFDEVTAASGIRSTDAEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEELIARPVNPFAYMASLRMISSSGSGVSTATSGSGDSPQT >OB04G34950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19664238:19671609:1 gene:OB04G34950 transcript:OB04G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGARRRRSPEDDGEEDRSASGRVTRRRLSPKQGALSLAGAEAEASAAGAGTESSPGWLSTIVSGARRVISSVLFSSPEEAASGEEEEEDDEGDEIEDNRGAIVSYSESKLAIEDMVMKETFSRDECDKMVKLIQSRVTDSALPEACEYGTPKEIPTRNAVIGNDFTGAWRSLSRHKNCTESVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEDKRQGLGSKPEDHGPCTLNTDMLNSGFESGMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHIYDDAGKFKYSLPSSKVTTKEDYLSTFWENLEESRRSRLGSSGSSPDASKTRQYCSTSRLFENDASIFSPGTYKKFDEPEENNKGSDKVAEAEPANGHSSPITPSKDKNDVVVDPVDPAKDVENVVNEGNAASKVHIEEISQGNHISSTSVTKDAVEHDGDVKAPVAEPEIHGESINSASELRTRGTGHRTRASLNGSTKKTSANGLRDQSNANSGLESSGNDNPSYTNSSSAVRPTSNDIIDSTTDAADGDSMENGTRLTSKEPAQVDPKPPYVRRGRKRVVRGTRGRAK >OB04G34960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19672817:19673122:-1 gene:OB04G34960 transcript:OB04G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYKGVRQRHWGSWVSEIRHPLLYTRIWLGTYETAEDAARAYDEAARLMGGPAARTNFPLTHDGGC >OB04G34970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19692393:19699134:1 gene:OB04G34970 transcript:OB04G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 5 [Source:Projected from Arabidopsis thaliana (AT2G24520) TAIR;Acc:AT2G24520] MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLTSDEGARRIEVFGPNKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQSVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFTKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQILTLCNCKEDVKRKVHNVIDKYAERGLRSLAVARQEVPEKSKDSAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDASLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFSDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFMLAQLVATFIAVYANWSFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNALFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >OB04G34980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19702284:19702454:1 gene:OB04G34980 transcript:OB04G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGPRRSDWRSLKEATARAESVHRIRYWGCPWQHLFFLFSAAAKAQKLWLYASID >OB04G34990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19724244:19727811:1 gene:OB04G34990 transcript:OB04G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVPSAAASSCDEFGYAAAPHHHPHHHSATPPPPPALLPIMDQDSGGGGGGGIQREQQHLGYNLEPSSLALLPPSNAAAAAHHATIAHASPHDILQFYPTSHYLAGAGGGNPYSHFTAAAGSTFQSYYQQPTQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSAEKRRAQANKSAATKDKAGTSSSKNAGIGDGFEAQLLGSAHMSKDQDQAMDLGEVVKEAVDPKGKASMQQQQQQAHHGLHQQSHHGGQQHGFPFPSSSSGSCLFPQSQGAVSSTDNTSNIAQVQEPSLAFHQHHQHSNILQLGQAMFDLDFDH >OB04G35000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19732910:19733692:-1 gene:OB04G35000 transcript:OB04G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEFLRSMRRGGPTNDGPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRSGARPFSPPPMNLEFLRSMRRVCPINYGPTAFAMLDVSTPRAFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANSSAFFDAFVAAMAKLGRIDVKTGSDGEGRRVCTAVN >OB04G35010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19735399:19737634:-1 gene:OB04G35010 transcript:OB04G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGGGSWWMLARAAAVVVVVVVVVGVVDGAGAQLRQNYYASSCPNAESTVRSVISQHLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVEAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLTGGPSYSVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFASNGLTQTDMIALSVLSGISSRFDRSTSIFLRGLCRSRFFMFV >OB04G35020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19743443:19746364:-1 gene:OB04G35020 transcript:OB04G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQVRPLSNNGDDGRSTGVEHELMEKLRLRGEASSVKCTRQEQRWQSDHGIADARERFMLAYGRLRDDLVGDDSCDLTDEARSWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSYTRRDQICWYRRPEVGLRGINDGILLKCHILILIKKYFREKPYFLDLLELWNEIALQTSLGQMLDLIGTHTGADDLAKYSIQGYRRIVKYKTAYYSFYLPVANALLLSGAKLEHFNGLKDILIEMGIYFQIQDDYLDCFADPNTIGKMGTDIEDHKCSWLIVEALGHANSNQIEVLHKNYGKKDSRSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEDQKDRAAREILKSFLKKIHQRKK >OB04G35030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19745963:19746370:1 gene:OB04G35030 transcript:OB04G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLQVRCSRSSVQMDSKDKKIQTINAETIQRLIRSLLSEKRNIYLSKPAPGFVGEVTRVVADEVVPEPAVRQHEPLAGVGDAMIRLPPLLLPGAFHRRGLASQPQLLHELVLDAGAPAVVSIIAKRPHLHGHG >OB04G35040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19751661:19754804:-1 gene:OB04G35040 transcript:OB04G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRERFVRAYERLKSELLNDRAFNFDFTPETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGLSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGVRLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANESEMKILYENYGKSDPKCVAEVKNVYRELDLQDIFLEYESRVYKHLVSTIDAEQDRAIRDILKSFLKKIYRRKK >OB04G35050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19756670:19760297:1 gene:OB04G35050 transcript:OB04G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGPLELLHLLFSPKVGRNRAVDCFTSTEVHSFRRRLSIFVNLLLQLFLLSLVGPAVAALGGALEFALNLVSNVLHGRMEYPDRKSASYRSITGFIDPRVDLERSIQPTDSRYHAALCVMASKVAYENEAFIRDVVTRRWQMEFVQFYNCWNDFENGYTAQAFVFCDKAADAELVVVAFRGTPALDAARWCADVDPSWYKIPRLGRAHAAYTHALGAQRNLGWPKWVEQVKGKPQRVDAYYAIRDAVRRLVEASGGRARVLVTGHGSGGALAVLFSTVLAYHREKAVLDRLAGVYTFGQPQVGDAMLAMFAERNLDRPRKRHFRITYGDDPLPRLPGESSSAAHFLHFGIRLHFDSFYNLKVVKEIPGDGSSAAPPAAVVTSRLNAAWELARSAYLGYWRSAYCREGWLLLAMRAAAVALPSLPK >OB04G35060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19760883:19761171:1 gene:OB04G35060 transcript:OB04G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAQFAVPILTIVAAAAVTFYAVSFMELREKSFEELDEKYSEFDDAGGRQRRARRRAERKGKKK >OB04G35070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19763714:19769448:1 gene:OB04G35070 transcript:OB04G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSTVAPAAGGAGEEGAGAAAAQPQQAGPVERCKGVNGLEKVVLREVRGSSAEVYLHGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEPHGFARNRTWSTEDDPPPFPVPTSNKAYVDLILKSTEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIDKQITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSNVPEDSIS >OB04G35080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19773364:19774641:1 gene:OB04G35080 transcript:OB04G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVREEAWPLAAPAQQQPSAPPPQPPVQQQNGRIDLRELKLQMEKRLGPERSRRYFSYLKGYLSERLSKADFDKMCLLTLGRENLRLHNRLIRSVLYNAYHAKCPPPAPSPDVGRSVGATVKKASQANEALNSCNGDIRLLQMQGSRHMGTMQDHQSKDRLKSMGLSCRAEAAASHNQSAHGGAAGAENGTLSSPDLKRSVHFQRESADPLAKHQRVEQLPTGNIIKLRRSMSNVSDHSAEASNSPLRAPLGIPFCPVSVGGARKLPPPISADEDQCTSCCEHHELLNTELLHRRMEKTAESLGLAGVTLDCADLLNSGLDKYLKNLIRSSVELIGANVQNDARKGALYKQHSYGKNVNGVWLPNHVQMQSSSGPSGAANDIRSNHLISIDDFKVAMQLNPQQLGEDWPVLLEKICLCSPEEND >OB04G35090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19776653:19777147:1 gene:OB04G35090 transcript:OB04G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAGSSAVDDRVIDGGGTTAARRGRARPEPGRSPATAARPATSPSPSAAGSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPTAARRGRARPEPGRSPATAARPSTSPSPSAAGSPTSPARPRTRTSGCLRAASPGRRVHLTNNKIQVPPATSVFIYS >OB04G35100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19776696:19780107:-1 gene:OB04G35100 transcript:OB04G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQRAVVVPVKRAWVVLAARLRRKKEHDGRGVLVKLHEDIQTCAYEDVQVMWEILQRSETARLTAAPPSPGSARALVWLGRAAPPSGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXETLQRSETARLPAAPPSPGSARALVWLGRAAPPSCRRRR >OB04G35110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19781119:19786190:-1 gene:OB04G35110 transcript:OB04G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MAATSLASSAAHRRLRLFPSTRSTAGSILRTPHRGRLPSVLCSSSSSSSSSSPQPTAGGEEEEAGQERRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNYIAHLLNIKIPLILGVWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDLDAGVGRFGNTQMTVNNQIVAGTLMNLADNPTRVSIGQKWRESDVTHRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPDREDIINIVHRMYTKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILKWVEEIGGHEQLNEKLLKRKKGEKLPTFIPPETTVDALIESGNSLVKEQELIMNLKLSKEYMKNLDD >OB04G35120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19790937:19792043:-1 gene:OB04G35120 transcript:OB04G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLSPFFTVLEKSSDRVMVAKVKSSVFERFLESGKQLLEMAKKGEGVEKGSAEEKLGKIGLLFGFSKRFSDIGAKPETVQANRKVLFGLRDAFVKVEKGLELSGVEIAVPEFENTDAPAVTGVDKNMDLDEVKVEKKKKKAKKAALVESEEEEAKASKQGKKVKKEKKEKKKKKKVEVVDGGDVAEHSTDAPAKEDQQMGDGTDAITFDETLMSNLQKQFEKAAAEAGMVNGGGDSSVSPVSSKAAKKRKRAKSADRLAASDGDDGSSESNAISQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVQPGPIKETPTPLKKTKPKAKSAKKVLKKPSSAVKRLRKLQNFSA >OB04G35130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19793726:19795965:1 gene:OB04G35130 transcript:OB04G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIVVILILLGFCWKFLRPDIMRRLMRPKKAPSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFHKKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFVLTNFAGVDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDTKLQADGVDEKEVARVCQIALLCVQPFPNMRPAMSEVVLMLTMKATDQSIPAPVRPAFLDRNSLTDKNNGXXXXXXXEVVSASYWLGTPSPMVDRPYDMSCGI >OB04G35140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19802253:19802651:1 gene:OB04G35140 transcript:OB04G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYVQTRNTILISLSLSINRIALLSTSQTNQIQEENKIKTKTKKTNLEREKKEKQKGREREIEGQRRKGSRREIILDAPLLSFPFFSSSSPSSSPPPPRAAAGELELLANTPMAPAIYMCMWQWTYARFAA >OB04G35150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19816242:19817177:1 gene:OB04G35150 transcript:OB04G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLLFLVLVPGILLLTSLADASSTSTLGRQQVQATRVLGRRGRELKGEGLLGHPYRHEADHKQQQHEEVAMETTTTRTAATSTAGWADEDEGEREEGLIESADYSGVAMHSPSPPKRKHPKKP >OB04G35160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19817410:19821525:-1 gene:OB04G35160 transcript:OB04G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:J3M291] MAQAVVPAMQCQVGVGGRAAVRARPAAAGXGVGGRVWGVRRSGRGTSGFKVMAVSTESTGVVTRLEQLLNMDTKPYTDKIIAEYIWVGGSGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPAGEPIPTNKRHRAAQIFSDPKVASQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAQGKGYLEDRRPASNMDPYVVTSLLAETTILWEPTLEAEALAAKKLALKV >OB04G35170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19822737:19825799:-1 gene:OB04G35170 transcript:OB04G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATKPNKRKKRKRCGDGAWPSSSAFNRRVFPILLAALRSTRQTQHRHGADSTVARLLRRALSCSPPSLSPLPGSLVALLPLLLTSRGHLADSAVLPSILYLFSQVESISGITDSKSTDCSQSACKANKSFYLIIDTVVLMLNSCKVDRLHNLQQDLVRKVLRLLYKIWKKVGILGLSTDCINSKNQLQSREHDISEAIFRLSVDITYAAHMEPDEVRRSIFGQLVSDYENFLLNYWEKSTYLRTSKKKNLEEDSVFTSLLNGFDPKTPDTIIQSLVNGIVSCPAIASDELDISSFLREVQGSLGTTVKYRQDIRVVRTRNQCDQTSRSYGVEEHFFDDGITFQDADAFVEKCKDAFQNGFSVALRGMEFRSEKIAAIASAVADLFGQPSVGANIYFSPPRSQGLARHYDDHCVLVWQLLGHKKWTIWPNAKLLLPRLYEPFESLDELVDSGGRMEVLLEGDIMYVPRGFVHEAHTDVDVGELQANSTADCSLHLTLAIEVEPPFEWEGFTHIALHCWTEKHWSSAFLKSEVDDQTSLFALLLHVAIRLLSEDDATFRKACMVAAKLPPSSSCTRTHLKALESNQRSIFDEIIKKVDKSCNFKETLMCIEIAVKEKNDEPFQWMSWLRHLPKRGDADDKVDFCNILGALEELLEAVSCNLEQTLAEFTDFKSRFCRYVVYEDARESFEMLLQMYRTTRNQYMRGMLALHRKHENWLV >OB04G35180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19828670:19829323:1 gene:OB04G35180 transcript:OB04G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPKLTESVQKRSLDRFLLTWSPEVPHVSFFSYFIFSPLLLPSSPLSFLPLSGASSSLLSGGQRRVRMAGDDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHNTYDAKEKGRENVPCMDAACIGHRLTC >OB04G35190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19830846:19834597:-1 gene:OB04G35190 transcript:OB04G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSTVIPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDSVDGQIVGLFGVFDGHGGAKVAEYVKQNLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVSNDEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >OB04G35200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19838161:19839237:1 gene:OB04G35200 transcript:OB04G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSVNDGGGGEEAAVSGSVNEEPRVTGVHQFTIRQYSTIKGKGVGKSVLSRNFTVAGRSWFIRFYPDGYNGTTADHVAFFLQSLHRPRFGSAYNVEFSFTLLNPNIVADADAGGAVVHNVRCEHPCRFDNHHSSWGIRKYIPREQLEGAALGAIHDDSLTVRCTVHVIQRRRSRRAGPRPAARVGIQVPPSCHAKNAMHFLLSGDAPFDLEIHVGDTTFRAHRLVLAGQSPYFRTLLYGGGSEASSPRITIHERSPEAFGAVLHYIYHDSLPKEATKRQGRKAAAMARELFEAADMYAMERLKLICANTLSRFVNDDTASSIMELGRVHSCDALTKTCQNYMIRRRISTITPLAC >OB04G35210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19841101:19843393:1 gene:OB04G35210 transcript:OB04G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPLEVASSPQLDDDGHPRRTGDLWTCVAHIITAVIGCGVLALSWSVAQLGWVAGPVAMVCFAFVTYISAFLLSHCYRSPDSEKMQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHREGRDAPCDANGEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLSLGLAKTIGNGTVKGNIVGVAMATPMQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLRSPPPESETMQKGNVIAVVATTFFYLCVSCFGYAAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAASFPNSAFVNKSYSIKIIPWGGGAARCEVNLQRVCFRTVYVASTTGLAVVFPYFNEVLGVLGALVFWPLAIYLPVEMYCVQRRIPPWTPRWALLQAFSVVCFVVGTFAFVGSVEGVIRKRLG >OB04G35220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19846145:19852435:1 gene:OB04G35220 transcript:OB04G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:J3M297] MKLLHRDLVRNGPGKAKLLPEEDDDLWHAYNLIVPGDTVEAYTVRKVLREMASGGRDSERVRLKLAIVVESVDYDKEGSVLRVRGKNITENDYVKIGQFHTMEFELRRQFTLTKDVWDWLALDIIQQACDPAASADLAVILMQEGLAHLFLIGRSVTVTRARIETSIPRKHGPAIAGYESALKKFFEHVLTAFQKHIKFEVIQCVVIASPGFTKDQFRDYMYLEAARRDIREIIENKPRIILAHAPSGYKHSLKEVLESPSVMTLIKDTKAAQEVQALKDFFNMLTNDSDRACYGPKHVEVANDRLAIQTLLITDNLFRNSDIATRQNYVRLVESVKKFGGTVHIFSSMHASGEQLAQLTGIAAILRFPLPDLEDIEM >OB04G35230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19862448:19863239:-1 gene:OB04G35230 transcript:OB04G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTRRSLPPPSPPPPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAAAAGRAAEGRRAPTPGPRRRRHGVLQPPGGDRRLPALAARAGAADAEPRRPLLLPMIHRVINICIIWLIISSSCTIICCFCCCSIST >OB04G35240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19875718:19880413:-1 gene:OB04G35240 transcript:OB04G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARLMQIGKSRKIVHDVLVNSKPNSLCSQNIGSKFAFGLAYTGRACLHGKVPNGPSTSSMLGRTKESLYWSPAGRNFSVLSTCSQNAFHGQLAWKQLLTMGSHVPKASPFLSRVACAISLAATRSNLPSYLFAFIAGEMMLAQKTSADGEYVPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSILMAPFANTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELAKLHTKAPAHSFSYTKKTVEKAFGRKLSDIFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAERTLKLSKQQNCPNPKAFIEEVERAFSFWGTAEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >OB04G35250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19880348:19880620:1 gene:OB04G35250 transcript:OB04G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPRSGRVNEGRIQAPANRTRLERDIHSHQKPGFSDAIPLIRERGGRSNREHIQPREGWVGAEDRRGLTDAGEGSDGAGEITMAGPRKP >OB04G35260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19883526:19884569:1 gene:OB04G35260 transcript:OB04G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G16510) TAIR;Acc:AT5G16510] MSLEILDSEVDIVIAALQPNLTAFFEAWRPFFSRFHIIVVKDPDLAEELQIPAGFDLKLYTKSDMGILGTTSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDNGGLTVDAIAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRSQHNTKYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGKHRWDTLEDVWNGLCAKVVCDRLGYGMKTGLPYVIRSDAEAGKALESLKEWEGVKVMDVVLSFFESLKLSSTAVTVEDCVKELTSIVKENLGPQNAIFAKAADAMEEWTNLWKAHGAQNA >OB04G35270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19882457:19897124:-1 gene:OB04G35270 transcript:OB04G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSPGRPPCGXXXXXXXXXXXXXXXXXXXXXXGGRDGREMASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSRRALPSLRQPVRSPSTVRDIDGDDEGSSGDNHSGFSGPPQDTQTPAASDLEQENGRKDLVSESARQDISDEFHDGKLKTTGSSSSSDVELMKDSMVLNKDPTLVFHEKLSLESSSAVIDLNGKVTREVSEDGPPKKLTSTGQESRKGDGKYVEDESKDGSSLEDGDAFTFQAGRENINFPKEGKASVVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAQASTSSTGEELQYNGAQNDLAKELKNRMAQKQKENDSEPMNGGELLKYVMRLREEDIDGTVFDEGIPGESLFPLQSVEYSKIVAQLKPGESEEVILSSCQKLMLFFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTNFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILIRLVNTLHSLNEATRFASISGSGTSVTQNGSTPRRRSGQLDPAMLEISKTRLDHHHSSGSLQSLQADADRHHIILDPSSSPRFTDMAAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVGHLSGLGRHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVFQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDLRKVEQALLKKEAIQKLVKFFQECPEQYFIHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >OB04G35280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19899116:19901744:-1 gene:OB04G35280 transcript:OB04G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGVVASLFSLLCCNRGPSTNLCFKYRSLLLSLLYAYIPFDLGYYSYSLLLDDVGNRLSCAVQAADQPYPKRRVKLCVKF >OB04G35290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19903689:19906182:1 gene:OB04G35290 transcript:OB04G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRSSASMAPTASASRRLPEPGRGSLAVDEAGGGVASRRPRGWKAVGFIIGLYMAVAMALNAFAQPVTNYLIKRYNMKPNAATNVANIFSGTYSFSPVVGAFLADASWGRFRTLLFGAAAAFVAMVVITLSATIRQLKPPLCGDVARQAGECAGPSGLHRAVLYIGMALLVVATGGVNPTSLPFGADQFDFDASSSGERREEPAGLKRYYNWYYVVTMMAAFMALTFIAYIQDRVSWGLGFGIPTALMLVTFVVFLAGTPLYVYLPAEGSIFSSVARVLVASCRKWRLRLPHPRDARQQEAALYSPVVVGTGRVFKLPLTLQLSFLNKAAIVTDAGEIRPDGSPTRPWSLCSVQQVEEVKCLVKVVPVWISGVMWFISVAELTNYTFLQALTMDLHMGKSFTIPPVSIAAIFNLAIILFVPVYDLLIAGAAERVTKVEGGITVLQRQGVGLVISGLALVVAAIFERKRRASALDNSGASPMSVFLLAPQLAVMGISAAFTMIGQMEFYNTQFPDQMRTLANAAFYCAQGASSYLATLVVNVMNAKTRRRGGGQGGWVPDDINGGKLDYFYYAMAVFTAINFVYFLLCSHFYRYKGELPEAESPPATPEVALLKH >OB04G35300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19906320:19906851:-1 gene:OB04G35300 transcript:OB04G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVLRVDTSCDKCKRKVLQAVSGLQGVDKIEIDSEKSTMTVTGSADPVDVIERTRKAGKRAEVVTVGPPASSSKPGAAGQQQKKKQQPAAEEKVQQPAAAEKRALEPPATVYVHYVPSYSWPSYEQSVVYHHQDPPPACSIM >OB04G35310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19907989:19911562:-1 gene:OB04G35310 transcript:OB04G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHEEDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRINKILRDKGQPAPNCMVFSSYEELLWGKIPGLLESVKNDCLPQAYATNVMSQHLGANHVDGGVRVRVSKNFLELVGKNVLDSRPAWRVNASAIDTGADSALLISDHTLFSGNPKGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHLGEISKTSEYNPLDLFSGSKEGIHMAIKSFFSTPQNNFRIFVNGSLVFGGMGGGVDNVHPNETEKCIEDLSKVTGLQLSDFIELLSEAIFKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCRSISDPELLCKYSILHSLPLDKSERIVRDFLISATAKDCSLMISFRPRQSGAIDSEYDSVFLKSVNQSYDYKANFIDLDVKPLDKMAHYFKLDQKIVRFYIRNGDVADPCPKGGSPSDDKEIQPQR >OB04G35320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19922317:19922484:1 gene:OB04G35320 transcript:OB04G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASAPNRTGASDSNPHKCSDPLAWDPLLLYTRTVVLIAPFQIINNCYSIFKFYLE >OB04G35330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19922787:19929495:-1 gene:OB04G35330 transcript:OB04G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRXXXXXXXXXXXXXXXXXGRGKRAKASPKPEEYFPEKRNLEDLWLAAFPIGTEWENIDKIKEFNWSFENLEKALEEGGELYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLENRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKIKDEVLPEDEKDKFKDFLKEKVRERKRELKQAKEVRKKAIDDMDPKTKEAFENIQFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLM >OB04G35340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19932856:19933307:1 gene:OB04G35340 transcript:OB04G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAAGTNKNYRKHPLQSAPGFPQHPGLQEQTKPAWPLAALSPRPHSAAPMVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPAASGGKASGEHVLKDDE >OB04G35350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19934817:19941272:1 gene:OB04G35350 transcript:OB04G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MARAASLLLNGPHSILLALTFLLKSLANYPCFATEKYELYPKMILTAKRWVEAGWGRGRCALPANRWNGPPDGYAVVASDGPGEYPVITESRAGDDALGVVVAPGTVAYVTTGGPIPDGADAVLQVEDTELLAGAPDGSKRVRILVRPTEGQDIRNVGCDIEKDSVVLKSGEHIGPPEIGLLATMGVTTVKAYRRPTVAVFSTGDELVQPVTASLSRGQIRDSNRAMLLAAATQHNCKIVDLGIAKDTEESLKEHMDVALSSGADIILTSGGVSMGDRDLVKPCLANMGKIHFEKIRMKPGKPLTFSEIVTKDTLKPSKTVLAFGLPGNPVSCVVCFNVFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYLAESTGQQASSRLLSMKSANALLEVPLVGQILEAGTSMQAILISDMINSPFDKLPTASNRLPSHLFPPAKSTSSDLSQVPASQNAEVKVAILTVSDTVSSGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDHINLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYIMLQESLKVTPFAMLSRATAGIRGSALIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASTGMGCSCEP >OB04G35360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19942364:19942561:-1 gene:OB04G35360 transcript:OB04G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADQIHVYQRIYAGHLPQDIRFSVRAASSVLRNFVGLATHAIWHVLYSILFMGNCQHPVRCILA >OB04G35370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19942311:19948090:-1 gene:OB04G35370 transcript:OB04G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQHRETNYLPDGGPLMPPAKNIGSFPSTYEQEVPYNYSSTPGVGNAMIQYPSSQTQPPPTASTIQDGFPQAASSMHIAPSLEQPHFGHDGQSSKIVVDPSDQPLEFNSRKAPDMTVHRTTNFNPIAPSDHDADSAATHSWVPSTTVLFPQSSVPPQAAQMDPSVHAAPLFGAVSGSSYVPPAAFGVGNVMETFPVDASTPFSVAERSKKPPVPTWLREELLKKKSTSASASVQHSTEFHSTGSEDAEKTLKIVDQAESRSLDSVKSTDDDEDDEDEIEAARMAAINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAEPNEPTRVSSVKGPGLGESKPMVAAKVVLPAKTTNASSANHSDCTELSSPKGALLGLASYDSDDEDDEGDAEDKVPASNLSETKAGTAHPEEGEKASDGEQRGNHNERNSAVQNSSSGEDCPEDRKVNDEMFHGGSTTELKQELHSHDTHSKEFPSDVKISSQPKDVVPTMDEKTHVYFQNGKVPSSGIHAENYSDVESGHRHLGKSSHQENYVNEPKAVRRKEPESSSKRYNDDGKSSMYGNIDKKGSFKEEKGSDSSAKHGADTRESCSRGNFKQDDAKGDRKDYQKDIREKSRASMDRREKEKGKHDKEDRSRQITRGSSSHTSRRSRSPSARSRTKESSHRESVSSDEPSENAKRRKLHSRKSSMSPSPPKSRNRRISRSPHSKHSHRRHSPHSSAERKKRSRSRTPVKRR >OB04G35380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19951547:19953214:-1 gene:OB04G35380 transcript:OB04G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:J3M2B3] MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIQRRKQAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >OB04G35390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19955230:19959029:1 gene:OB04G35390 transcript:OB04G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPAAIPSAAGS >OB04G35400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19959797:19962061:1 gene:OB04G35400 transcript:OB04G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFSWPFRRRGSGGGGGDGDGPSQPYAAAGDGDEELGVTPQLLDFLRTLSPGTFKSSALQLQGSAHTLSPIPISISTLPSLIRLHRDVVVRCFLAGGGSAGVEAGELTGWQERHAVLVLSQAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSYTSPYELRAIQKEKVRRMETENGKAKDVTTVEVEMQESKCRRDSKTSPSDEESQDS >OB04G35410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19962719:19964843:-1 gene:OB04G35410 transcript:OB04G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L RNA-binding motif/Ribosomal protein S4 family protein [Source:Projected from Arabidopsis thaliana (AT5G15750) TAIR;Acc:AT5G15750] MRKLKFHEQKLLKKTNFLDYKRERGHRDAVITQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRAEMTDMLLDKLYNMGVIPTKKSLLTCEKLSVSSFCRRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPDTVTDPAFLVTRNMEDFITWVDSSKIKRKVLEYNGELDDFDAMF >OB04G35420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19968572:19968895:1 gene:OB04G35420 transcript:OB04G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGRLKILRLSQPSHFTATAGRPPFSLSVLLRRVSAPLKWPWREREKKRKKKEKKKKWWQEQSRACTYAQWRAERLAVAGITTRPCRWQCRGGAGGRRRRRRSSR >OB04G35430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19968819:19974948:-1 gene:OB04G35430 transcript:OB04G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLKSAVEIFARELLRQAEEEYGFPSGAYCGPIALPCDEGLFEHVLRHLSSPSSATRFVTLEDIQSGALTCCCAAAGDALPLLRGIATDKAVW >OB04G35440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19985802:19986152:-1 gene:OB04G35440 transcript:OB04G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVITIQSLVWLRRTVRRWRSCAAARPGMERDRAVPAGHVAVTVEGALPCDEDHFRDVIRRVSSDERHHLVRSHSLQAFCGAAAGRGLTKRRAESSSSSSRAPLLQGMAMDSLVW >OB04G35450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19991239:19993730:-1 gene:OB04G35450 transcript:OB04G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavanone 3-hydroxylase [Source:Projected from Arabidopsis thaliana (AT3G51240) TAIR;Acc:AT3G51240] MAPVAMTFLPTASNEATLRPSFVRDEDERPKVAYNQFSDAVPVVSLQGIDGAARAEIRARVAAACEGWGIFQVVDHGVDAELVAEMARLARDFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKARDYSRWPDKPAGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTTALADACVDMDQKLVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGKTWITVQPIPGAFVVNLGDHAHYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDAKVYPLAVRDGEEPILEEPITFAEMYRRKMARDLELAKLKKKAKEQRQLQQVALPPPPPQLAADLAAQKPKSLDEILA >OB04G35460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:19996133:19996861:-1 gene:OB04G35460 transcript:OB04G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSHSASVSWCPLRSHEAPACNGHCKKAAANGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNLSVYESPAGDAADHRTIHSVLHLPFCAQCEHHLLPFYGVVHIGYFDNGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKACFLQNVLDTTGLAV >OB04G35470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20002497:20009691:1 gene:OB04G35470 transcript:OB04G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G19880) TAIR;Acc:AT1G19880] MSASGATEEAPAAAGAEQKSDGAKKDAAGPGGGELLYCGATNFETMGRKVVGGAQGNLVSPTRLRSLVGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDILQRNLPTVVSELSKYKVIKASVGRNHTVVVTNDGKSFSFGHNKHGQLGTGSLRNEIEASPVPCLVTEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNVKDASVKLAYDPQPRPRAIASLSGKTIVKVACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIISAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVISVGCGYGLSAIVVDRAIAGDRLDQLDIYDGDTSTEVEDRVEVHVTKKPRASASTNSRSNKRKKAKDVSESDEDDDEEDESGDDENGEIRGAKGRGRGGKASNRGRGRGAKKATPEPKPSGRGRGRPKKTESPAQKTVSSGRGGKRGKRGRARK >OB04G35480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20009963:20013078:-1 gene:OB04G35480 transcript:OB04G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLGKSSDSDHSSPVEHLSSRSSRKALIYLVLTLSHMYPDYDFSSAVRAHLFFKEEEWESFREMIDTYLSEASKQWAARNEGTSLLDSMTNVIDEVIKIRESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSALSDGDEEDALIDMDI >OB04G35490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20014210:20016093:-1 gene:OB04G35490 transcript:OB04G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLDPEVSVLPPANDLYSTDLTGPKFYRFNFNSALAPPSFVFCRGAAPASVVSGGQNRIRSRERISAVLASPSPLAPTEAVALCSEETRRAGEIRGAGGTR >OB04G35500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20023434:20029545:1 gene:OB04G35500 transcript:OB04G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKNGCLKILTCAGGGSDPSAGSDADADDHVDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNIYSSTYSYASEKPLHQEKPDEKILHQEKPDEKILQQEKPDEKILQQEPDEKTLEQEKPDEKILQEDKPDEKPLHEERADEKLTEESLEQPDDEPIEKPADQIIERSVEQAAENITEVPIQEPAERITETPVVKPNDKDVEEHAEKTDESTFISSTEVHQEETTSLFDRSSADHQEDHSEAAADVIQSGIRAYTEEQELPNDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRARQAQQHGGKFQDTSNEKLLHNGFALKLMDSMSTSKSIHIKCDPSEPDVAWKWMERWTSMIPPHSEGHLLEDRETNELVDEKIEDTQHEEALPLDSDISFPILVPDDVEETLRPSESCALEDSACVPAINSGTEVEDVPESELIEKSNEDVEKLTDPKTENVVEQHLEVSGEDSTQIATSREPTPLPEKPESSYDDTMDTYKTEQTLETEGKRFTAKKACNPAFTAAQLKFEELTSNSTVSRSNSLDGASKSKVHTPRSQDNSSPKQNNDTSIPESSVGHDPKIVLAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNHVADNAEKDTSVMHSEVNTSGGIVQPEKEEEQTDDIIIPDVAVDPVLVEQPHLGQEKPDLHDELEKSVGSYLKSPEGTPMSRTTFAESQGTPSSEVSVNTRKSKSKKPKPLVSKRSLTSPSSDSVGRSSTDNLSKDYRLGRRDSSGKVKSDLVDQEPGISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSQAQQNVKSNVAVPHNSSDRRWHI >OB04G35510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20031149:20035873:-1 gene:OB04G35510 transcript:OB04G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRRSRRVSWAAGGNLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSADDSLPPGFESLPPSNNLKIDISQIPLIRWKCPPHILLDQDWHIVSGEESKEIEIQNERINGVLEAIYPRPSNIPPNPFLSSDVKDSHFDDSKTLLVPLIPLEDDDASDQLEGPPLDLPNNYQLSDKYDSANINAQRVSKTPITTEQQQPCGYIGAISSGITIQPDVEAAARAIIQTVQSNQNGSMIDKDLLFKILSDPSQLERLMKECGPTRPEQSSSSSAVSPSVSIPPPQITANAPAPFSDHMGTFHSTNPTLPPPPPMMNRLPSTIPSVDMNPLPSSSPAMNYGSVPARGIGYYKTLIHQHGGERQEHPFEQHGMQFGMYRQPGPPQNGGVDGINGASMVSRDAKVRPMKPCAYFNGPKGCRNGANCTFLHDASAPTRKDQKQKGSKRIKLDNAIGGRN >OB04G35520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20037398:20037730:-1 gene:OB04G35520 transcript:OB04G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OB04G35530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20039259:20042214:1 gene:OB04G35530 transcript:OB04G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) TAIR;Acc:AT3G07860] MGRAAVEMPSGPTSTDRGELALPGGGADAMDTGRSPSAAEEAIDSVSTKAEEVAAYHSSEAKRARLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRITVVKLDSTSFDVAVLNTATVKDLKMAIRKKINEIEQEQMGHRHISWKHIWDNYCLTHQSEKLMDDNFVLSSHGIRNNSKVSFLPHIMSRVYRKHSRRRKHRFFHGLSRKM >OB04G35540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20043304:20045024:-1 gene:OB04G35540 transcript:OB04G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQPQQQQQQRQVGGGEEVAGRSGGGGGGGVSVCRPSGTRWTPTTEQIKILRELYYSCGIRSPNSEQIQRIAGMLRQYGRIEGKNVFYWFQNHKARERQKKRLTTLDVNTAAADASQLAFLSLSPTGATAMAPSFPGPYVGNGGAVSAAQTDQATVSWDCTAMATERTFLQDFMGVSSAGAAAAPTPWAITTREPETLPLFPVGGGGGGQECVGHGNLQRWGSAAAAAATTTATAQQHQLLQQHNFYSSSSSSNQLPSQDGSAAGTSLELTLSSYCTPYPAGSSM >OB04G35550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20055667:20059412:-1 gene:OB04G35550 transcript:OB04G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT5G39590) TAIR;Acc:AT5G39590] MGGAASSTGNPSPEAQEQREQEALASASLALPLLRAAFTRSAASLAEALSVPHGASSFRSDSPVPVPPHFQGLVARLGSAIASLFFSDLGSAGDAGWLEFLRGFNRCCARGPASQSLALVLRVYAAACADAGAPCGLQFHPDEDGEGKVVGELAPGEIAVLLWMCWVMAWSGSAPRVSGGQDEAKGEPVVLPDVTHLVLSALVSAGAVADDAGVWGWEVSGGGKGVKVQEFTSWVLSTASGLGNYLSRYVQERFRSCAADPAQERPVSTGNTSSCNPDVYLLTRGKAWAISLSLRNTLSEKILSASVIGMDTEDLLYRSSVHGKGLSRFWSCVEGYKGPVVILLSAFSSSGDADRRWGIGILTEEGLENKDTFYGSSGFLCSTYPIFRMLPPSGKEKNFTYCHLHPQIRVYEAKPKPVGLGFGGTIGNERIFLDEDFSKLMVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDVYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREDR >OB04G35560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20060482:20063140:-1 gene:OB04G35560 transcript:OB04G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3M2D1] XXXXGGEKLRASHILIKHEGSRRKASWRDPQGVAISATTRDDAADLARALREKIVAGERKFEDVATEESDCNSAKRGGDLGPFERGKMQKAFEKAVLALKVGEISDVVDTDSGVHIILRTA >OB04G35570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20065007:20070023:-1 gene:OB04G35570 transcript:OB04G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related [Source:Projected from Arabidopsis thaliana (AT1G19850) TAIR;Acc:AT1G19850] MASSQEKAKSGVLRNAAALLDEMQLLGEAQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPKYPNLPSQLLCQVHNTTLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGTYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTTLSSSVLSTDSMHIGVLAAAAHAASSGNSFTIYYNPRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTIVGISDYDPMRWPSSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFPSSTLNSKRQCLPGYGVPGMEIGSGNISSFQRAQSNPYGNLQHIPAVGSELAIMLLNQSGQNLGSPLSYQQSSYSTIIQNVKQSYIPPLEISNSASSMKQGSMASDDAQHQFHMANMQNGDLENSEVQPVIDSISESKLNVTSRDPRNTDSYTSRSISEQNSKGEPSGKTRRSKKGMSHKTISKKSDLSSAPSWICDNQQVGLDSKLVGCDEQVNCENIEDSSGGLTQGNFAGQPHGHQVEENGVLSPPKVESSKSPDGGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDNNVIHSADASDNIFSSSADIPPTIIADTMETFQASCLSECLPNSIQEFISSPDLNSLTFLSPEMQNLEVQLQHDGSNLSSTSNSFVQMSFSEESGNLSGLHMESTHRSMNTTSCSQPLSTGCFDTGMYSKLPRLKESQILPLPEIHTNSIETSACSMDATEYSLDRSAKPMKPPVRTYTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMHVLNDCIQAA >OB04G35580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20082454:20083806:1 gene:OB04G35580 transcript:OB04G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVQSSKAVKPAYGTGDATPVNTGDVVPLTVFDKANFDTYISVIYAFRPPAPANAVLEAGLARALVEYREWAGRLGVDDDGNRAILLNDAGARFVEATADVTLDSVMPLKPTAQVLSLHPSGDDAVELMLIQVTRFACGSLVVGFTTQHIVADGRGTNNFFLAWSQATRGAAFDPVPVHDRVSFFTPRDTPKVEFEHRGVEFKPCDERVDSVDVGAERAAGDEDDDEVVINKVHLSREFISKLKSQASAGAHRPYSTLQCVVAHLWRCMTKARGLDGRESTSVCIAVDGRARMSPPVPDGYAGNVVLWARPTATAGELVARPLKHAVELINREVARISDSYFKSFIDFANSGAVEKERLVASADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMNVFKNCCYSLDQDQTTI >OB04G35590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20085875:20088531:-1 gene:OB04G35590 transcript:OB04G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKPVKPAYGGAVSAAAVTTTTADDDVVPLTVFDKANFDTADPADGDGHADNDDDVVVIHKVHFSREFISKLKTFASAGGHRSYSTLQCVVAHLWRCITMARGLDGREATSVSIAVDGRARMSPPVPDGYTGNVVLWARPTATARELVTAPLQHAVGLINREVSRINDGYFKSFIDFASSGAVEEERLGWLRIPFYELDFGGGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSHDMDTFKNCCYVLPDLS >OB04G35600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20094707:20094940:1 gene:OB04G35600 transcript:OB04G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQTGGSSALYLFAFLRFSYTVSRLLSAFYANFMIFLKGSITNDKGMFGSRALVVLRLPNGRHLTKSVSTRSRRPGGD >OB04G35610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20095233:20095717:1 gene:OB04G35610 transcript:OB04G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCCTHASPSTGERARVSPPAPEGYTGNVVLWARPTATARGLVTGPLHHTVELINREVARIDGGYFKSIVHRLRQLRCGGEGAARLVAWADPGDGAQAEHRGGQLAADPDIPFHGLDLGSGQPLFLMPSFLIL >OB04G35620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20098944:20101498:-1 gene:OB04G35620 transcript:OB04G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTNGKLHLDNGRTAYHFQPAKFWQNDPNGPLYHNGLYHFFFQYNPHGPLWDTGKLSWGHSVSGDLVNWAFLGTALDPTDPFDVNGCWSGSATVLPGGRPAFLYTGRDADGVQVQNVAFAKNPLDPLLREWEKPSCNPVVAIPADVTNNNFRDPTTAWLGRGGLWRMAVAAEVGGAGSALVYRSADFLRWERNAAPMHSSAAVPVLECPDFFPVVEHGSEGLDASANGAGVKHVLKLSEFDTHQDFYMVGRYADEEDTFSPEESERGDNCRSWRCIDYGQAYAAKSFFDARRQRRVQWLWVNEYDTKVDDVAKGWAGVQAFPRKVWLDSDGKQLLQWPVDEIETLRTKRVGLQGTEVKAGGLHEIAGIATSQADVEVVFEIPNLKEEAESFDPEWLDPHKLCKEKGAAFAHGGVGPFGLIIMASGDLQEQTAVFFRVFRHHGKYKVFMCTDLSRSSTREDVYKDAYGGFVDVDIEKDKSISLRTLIDHSMIESFGGNGRACITTRVYPEHVATSSSHLYVFNNGSGTVNVSKLEAWELATANVNVADADLAALDAIRVADGTCQDAVAV >OB04G35630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20102897:20105121:1 gene:OB04G35630 transcript:OB04G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19 family protein (CHCH motif) [Source:Projected from Arabidopsis thaliana (AT1G02160) TAIR;Acc:AT1G02160] MATTAKAATAPPTHRASSPAAASPPYPSAARIADSDCFPQYTASLKCLEANQDKSKCQQQFDDYKECKKREREARLERNKSRSLFG >OB04G35640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20105630:20106984:-1 gene:OB04G35640 transcript:OB04G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGENVVVSAAAAAPRMKKLASMLCMKGGSGDGSYLNNSQAQSSGRDVPEFQVFFSDLPSNDFNTLFQLLPPLLAPAAGSLEECLVAGEGSTATTRPYLAAGVPGTFYGRLFPGGSINVFTSTFSLHWLSQVPDEVSDSTSAAYNGGRPPRPPEAPAAAYKRQFQADLARFLQSRARELKRGGAMFLACLGRTSADPADQGGAGLLFGTHFQDPWGDLVREGVVEREKRDSFNIPVYAPSLQEFRDMVRAHGAFAIDRLELVKGGSPLVVDRPDDAAEVGRAMANSCKAVAGVLVDAHIGERRGAVLFERLERRAARHARELVEKVHFFHVVCSLSLAP >OB04G35650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20111541:20114498:-1 gene:OB04G35650 transcript:OB04G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial cytokinesis Z-ring protein FTSZ 1-1 [Source:Projected from Arabidopsis thaliana (AT5G55280) TAIR;Acc:AT5G55280] MASASPSSSASALIRLPGPTHLGSAPPRGCPRRRRPRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLERSVDTLIVIPNDRLLDVVDDNTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLAHKAVAAATAQPIPAVTWSRRLFS >OB04G35660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20116554:20120353:1 gene:OB04G35660 transcript:OB04G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESLLRSSGGAAGEASLAAVLQQAEAKLGVELAHKATFIREQMDLFFGPHLQPHPKAQAAAAPPPAGGAPAPAPAMMQLQVQAPLQVQAPLQQMQQQQLAVLQPQLIFQAMPQLPAGVPGGPTAAVSPPPPVPAMAFYPPPPLAFRVTSGLGGVASGGTVSFQQPPPGTGGTASPTAAVQPGGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETVMSRTQIVKQLWQYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIRDVKKFKPSNVATQPMPPINQPSVVISDALAKFIGTEGAVPQDDALRYLWDYIKANQLEDVITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >OB04G35670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20121375:20124412:-1 gene:OB04G35670 transcript:OB04G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVVVVGCLCMLQDIMNAKKIKLRDCHYGVPLCDPSPPAQLLSAAAGMSFHPGLVSTAAHHHQQHGAGGWLSEEYAPKSSSSSSLLAQTCVGSNVAAFYAAEELLGMAQFDCAGLGTTTTLAAMPTAIKAPFRSSESELLPVDPLLLRGDHQSVSTYYVRPQKRGAGERAPLPPPPPSQQQQDRLHGLFAGAPTTRLLSGEPKIHSFSPQVAAKPILPVMDAPISLQSQMENQLSRSCIGATPPVTPTGNLAGSAAAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPATSEGKQQEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRSLQLRIEEQGKRLQKMFEDQLRASRGVMEPQELDVVAFADGDGHDDAFDDDVQLLAVAAGSDYDDAGFSSKIS >OB04G35680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20130885:20131658:1 gene:OB04G35680 transcript:OB04G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYCRNLLNPWWHLARRELYKPKSSRRRGPQYSPARSMAPLFHMEALLPSSISPKINTILHSHIYPQVGHVFRALAKFKSLLLDVLSKKRAPTRGGGGGQKYAIGYRSRPEKSKKRIISKVAGFMKLRFTWAAAAVVAPARAKDLELPYPPYCHDDSTWNVVVPAAEAAEELRGGDDSEDCGYLCWLEEATSPDALPAAEEGEDGGGGGGGDSAMNEIDRLAERVIARGHAKFLSSRGAMPSSCWRSRSRTGGTRR >OB04G35690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20133010:20136638:1 gene:OB04G35690 transcript:OB04G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGATRKRSRPDMANGAAAGGKRSRETESFQTGLSSKSKPCTKFFSTVGCPFGEGCHFSHFVPGGYQAVAKTLNLGPAVPALARAPMDHVAAGNSHSASSGKTRMCTKYNTPEGCKFGDKCHFAHGERELGKPAYMSHESAMAPMGGRFGGRPEPPAPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASKMVGELIATISASTPTKKPSSAVAPAGRGGPGGRSNYKTKLCENFVKGTCTFGERCHFAHGENEQRKGAA >OB04G35700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20137881:20140796:1 gene:OB04G35700 transcript:OB04G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSAKESLLLAFRDAGGFESLVSGKATEMQKIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGALAARLLFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFIGQTAGALEQLPAPIRDAVSEGIKLPLNGMFQRIFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >OB04G35710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20141500:20145005:-1 gene:OB04G35710 transcript:OB04G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLGWGWGVGVGRRGEPHTPLHSRRHEAPRHEVPVPRLAVGNGRREQVLPKSPPHHAAIAKAPAPRKIRIVHVLAPEVIKTDARNFRELVQRLTGKPATASSSSSTLPDPRDTAGDELGGLVADGAGAAAAIKAEAKVEETEAETASASAAGGFPHHALGEEDSNDGLSQWLENGFCADMDSISF >OB04G35720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20158046:20159702:1 gene:OB04G35720 transcript:OB04G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALKETLDRVQLPRRRRPAEKLLLTAADLGCACGLNTLVIADTIVQHMTRMCGASCSTEFCFYFSDLPGNDFNTLFQLLSQHAAAAAGYFAAAVPGSFHDRLFPERSINVFTSTFCLQWLSQVPEGVADKQSPAYNKGKVFVHGASDATGAAYRWQFHSDMARFLRCRAAELSSGGAMFLVCLGRPSSVSSTDQGGAQLLYGAMFEDAWNDLVDGEVIDGEKMDGFNVPAYAATLEEFREAVDADGSFEINRLELAMGNPIVADGPDGPRAVGRTVANYVRSLLGPLVVAHVGGAVADELFVRMQGHAEARAQELVEKMRFPHIVCSLTLA >OB04G35730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20161311:20163944:1 gene:OB04G35730 transcript:OB04G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQDIKNVFCMVGGQGESSYINNSQSQSRNLKMMLYALEETLDKIHLPRRRPPGRKPLLAAADLGCSCGHNTLLIADAIVDHVTKLCGTSGGNGDAAAADPEFCFYFSDLPSNDFNTLFHLLPQHAAATAAAAGNGRRYFAAAVPGSFHDRLFPEQSIDVFTSTFSLHWLSQVPEGVADKRSPAYNKGKVFAHGASEETGAAYRRQFWSDVSRFLGCRAVELKPGGAIFVVFLGRPSSTRGPTDQGYMVEEYGGLLEDAWGDLVEGGVVDGEEMDSFNVPLYAATVEEFREAVDADGSFEINRLELVMGSLPAVDVGPAARGAVGRTAANFLRALLGPLVDAHVGRAVADELFVRVQRRGEARAEELVDGMRFPHILCSLSLA >OB04G35740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20166833:20167585:-1 gene:OB04G35740 transcript:OB04G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3M2E9] MAATRFPPLVLCLLPIFCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNMLREGPDQASRLIGRAQGLAAHASLDETGGLAAIEFVFSDYGAYSGSTLATMGRINASGPSERSIVGGTGKLRFARGYMTSRLLSSTDTAIVVVFDMYFTLDH >OB04G35750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20167847:20177913:-1 gene:OB04G35750 transcript:OB04G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MNGAGDGYDSAGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQVREKFTLEDMLCFQKDPIPMSLLKISSDLVSRSIKLFHVILKYMGIDSPAIINLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGAKSSEVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVAQTYKPPNIEIYEKRVQELSKAVEDSEKKADLLREELQKKTKQERDMQEELKGLRDTLQSERQNIKEVASDLDRLKSLCDEKDSSLQAALVEKSRLETRLKTGQSQESSNKTGVSGNHFERDTFPTVGTVNSSIEMLTKLEEELKFCKKELDISKELSKKLLMEKNLLDQKVQRLEIAKCEERSSMERVYEDESHRLKRQIAELEQKLESRTHSLNVAESTLALRNAEVDTLQNNLKELDELREFKADIDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELVEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIVNDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTAKEKADNRLTS >OB04G35760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20187127:20191235:-1 gene:OB04G35760 transcript:OB04G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G78690) TAIR;Acc:AT1G78690] MEMEASAAEGAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVVIAAVGAVAKAYSSLLNTTTVHNADALLCLVSSRPPGTPLLTVSNHMSTMDDPLMWGFKGFPITDAKLGRWVLTAQDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMNEALEVLSSGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLWNKEIHIIIGEPVEFDLPSLKQAARTVPKDSSFEGKGWPSIMPDGLDEAAQRWLYQKMSDKIQSVMETLRKRLLDLK >OB04G35770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20192786:20193588:1 gene:OB04G35770 transcript:OB04G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSPSWTATNTGEDPSGVICTCMPSVSAQRIHQVKQSETSALQVAYKKRCCQSSYTSYSSDSE >OB04G35780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20193625:20196171:1 gene:OB04G35780 transcript:OB04G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTTKNVPLEQLPSQELHPQPMPVINLGHLSLDNPMARSGVVDDIAKACRDLGYFQVINHGISQSVMDGAVEAASDFFKLPTEIKEQYASDDIQQPVRYDTAQRMWPQQPPIYRQAVSSLKREYMAKYAVEVRVVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTTIGLAAHSDYGLLTILLTSCPGLEVVDRTSNSWKVVQQLPHALHVHIGDHMEVLSNGRIKTVVHRAVLNPQEARISIASIHGLALHEKVSSAKELIDEENPQKYKESSFSDFLEHLTANMDNRQRNFLESLRMLFFFSIPLYRYGSVEGKENQPCKVFIQ >OB04G35790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20200793:20202086:-1 gene:OB04G35790 transcript:OB04G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQQKGVPPLPRLLARPLPVINMGRLSMDSASQALAVQDIVLACRERGCFQVVNHGISKSCMKGALETASEFFQLSTERKEEFASDDIRQPIRYTTSSRDGISMSRSFLKHYANPLDDWIKFWPQQPSTYREKMGEYAVEMQRVSMQLMEATLQGLGLGPLYLQEKLEGGVQFIALNNYPQSSAKTADEIGLAPHSDYGFLTILLQSSPGLEVMHHDDDAWTPVPAIPGALHVHVGDHLEVLSNGQLKSLIHRAVLNPNESRISIASIHGLSINEEVHCAEELIDEHNPKMYRGSSFQDFLNFLPANINKYKRFVESLRIDTP >OB04G35800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20202005:20202691:1 gene:OB04G35800 transcript:OB04G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATAATEALPSAGSPCTSSRPRRACHSSDELRARRTPRTALPLRTGCLQKSTGAQVFIGSAESAAATERLKATWHISHWAGKSPAHRLPPIGQINLGAFRAIWSRSSRQLGHLEYGTHNTTTTTGAGHSIPSPGIASSGHQLCFSLSLRVALGASSFAAALPDNDLFFWQDWVICGDGDGIPRQSWVSGFGFWDMTKRLPFSVLGGESYSLLFYVGAILPPRCSE >OB04G35810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20202093:20209705:-1 gene:OB04G35810 transcript:OB04G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:J3M2F6] MAAPSSAAAAPAPAPVSPDERWPPLESSPDVFNQLMWSLGVPEDVAEFHDVYSLDADALEMVPRPVLAVIFCFPDPTQDASNPSQHVLVTGEKETLFFIKQIESLGNACGTIALIHAVGNAYPEISLLKNSSLDTFAKSSSGMTSYERAMFLEKDDDMARAHSVAASAGDTKLSDDVEEHYICFVECDGTLYELDGMKPGPIKHGSSSPESLLQDAVNIIKATMHNIPNSVKFNVMVLSRKAEQYGAIIPDN >OB04G35820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20213399:20214458:1 gene:OB04G35820 transcript:OB04G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKLVLKLDLHDDKDKQKALKAVSALHGIDMISMDMKAQKLTVVGDVDPVDVVGKLRKGGWTAASLLSVGPAKEEKKEEKKEEKKDEKKPPEVKFVGYPPWHVAPAAAAAPAHHPXXXXXXXXXXXXXXXXXRGPAARAAAAATHYYPPQYVVHSTEDDPNSCVIC >OB04G35830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20217215:20220505:1 gene:OB04G35830 transcript:OB04G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) TAIR;Acc:AT5G56180] MEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHSDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQAVLALYAAKRTSGIVVNIGFNSTSIVPIFQGTVMHEIGVETVGQGALKLTGFLKELMQQREITFESLYTVRTIKEKLCYVAADYEAELRKDTQASCEVDGEGWFTLSEERFKAAEILFQPQIGGARAMGLHKAVSLCMDHCYNSEVFGDDNWYKTVVLAGGSSCLPGLSERLEKELRELLPAHISEGIRVLPPPFGTDSAWFGAKMISNVSTFTEAWCVKKKQFRQKTRRNGPSFVNVW >OB04G35840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20013916:20222991:-1 gene:OB04G35840 transcript:OB04G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 30 [Source:Projected from Arabidopsis thaliana (AT5G56150) TAIR;Acc:AT5G56150] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKNDRAKYESTARSWTQKYAMG >OB04G35850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20225702:20229060:1 gene:OB04G35850 transcript:OB04G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASRRLLHLRPELGLCLRSRALIPYPCWYLSTLSFSRRDPIPFSISQLPQNALSGRRGLVVSAARNKQLRRLTSDEQVTRGKDDDDAPATFADGFAQLSCEEEESDDVVGGISESMVKDVEKAAVELLAARAFTVSELRKKLRAKKFPDNAVDSVITDFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVPDAEVDQATRRVFQDGHSNQTMHGISEDSLDHLFAQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIVRKLEAQHPP >OB04G35860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20229414:20229641:-1 gene:OB04G35860 transcript:OB04G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFALVVILLAAVAVAPFAEAKTVTASISSSRILESSTPAEAPAEGPAGPAAAPGPAEESSSASSPSPSAADA >OB04G35870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20231946:20233001:1 gene:OB04G35870 transcript:OB04G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15710) TAIR;Acc:AT5G15710] MAPSVWAALPDDLLLEVLARVPPFLLFRLRPVSRRWDSILHDPAFLAAHAGVPSHGPCLLTFWRGAAHSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAGFRTVVCNPLTQTWRVLPDMHYNQQRQLVLAADKKRRSFKVIAASDVYGDKTLPTEVYDSKEDKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDAWIY >OB04G35880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20236364:20238067:-1 gene:OB04G35880 transcript:OB04G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEHKDRSGLVEMLGCSISCARVKRLVDDVVTAIRSGVDPVPIGSGLGGSYYFRNIAGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFHINCPVNGGSPALPHDQNQQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFSEEELEYIRNLDPMRDVAMLRRELPIIREACLRVLVLCTIFLKESAASGLCLAEIGEMMTREFRGLEEEPSKLEVVCMEARRKLAEWEPYSPMVEQGEGMDFQFSMDILGEYNDVIRSPRFNGSGMKGNGFRNPLSKLVESIDEDNDDDDDGRSESSTRSSERVPSGGLKLPSADKSSGSNGSVHALNRSADEQLPSSVCFVRLSDMNAEEWSIFLEKFQELLKEALQERAAAAGQRMKQRLGTSCKF >OB04G35890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20238434:20239178:-1 gene:OB04G35890 transcript:OB04G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRGFSARINIAGIPRVVWIRQERKPSEPRGIRWAAGAGAVEESGVEGSEEDEERYLDGLRNRALLRPPPPPPDDPYPRLCTRTPYLPPPWRDPMIATRALLPLSLTA >OB04G35900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20241982:20246440:1 gene:OB04G35900 transcript:OB04G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT5G50100) TAIR;Acc:AT5G50100] MASSLARMGAALPRXXXXXXXXXXXXXXPGRWDSSAAAPWACRRAPMYGFRCQVHSDVKVGPTSGLKDGGNSSENWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYETAMGRIHAILSDGTVVTDVEAFRRLYEEVGLGWVYAVTKYEPVAAIANAIYGVWAKYRMQITGRPPLEEIMESRKQAAECKDDKVCKM >OB04G35910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20243790:20255229:-1 gene:OB04G35910 transcript:OB04G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:J3M2G6] MVLRRLGGALLFREALSLSNIRRRASNSWAAMRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSFRHVQQSRIDKRLHSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGYALGWRGGAWYTRRSFRREQQKLMGQINSHNRWHWRPFSKLKNRFRRNRSATKNADAPRLTAESTKAPSGSRASENGADEPLVTAEESWVVKLEQSVNIFLTESVIMILDGLYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNSLWVDRFLARFAAFFYYFMTVAMYMLSPRMAYHFSECVERHAYSTYNKFIKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQTARVPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSIQNCLETDTECVIPEDDCEGIMDCVKKSLVSKE >OB04G35920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20258999:20260009:-1 gene:OB04G35920 transcript:OB04G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREKTRGEEAVGKVGSYPKLNFSLPKAIPSPRRLPPHPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRVPRSARPAPDAGCHSDCASSASVVDDDGDDASTVRSRVAAFDLNLPPPLDRDHIDLCTDLRL >OB04G35930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20263084:20266667:1 gene:OB04G35930 transcript:OB04G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPTRWGPREQRETYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPDLKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDILAHADLDMLKPPTMEGLDKKLKKNYVSKSHSNIVVCHPSESPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHINFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIKESAAGPLGIELFEKEQDDLLSDLNNIPKKACDRRINEFVKRARSAKVHAHIISHLKKEMPALMGKAKAQQRLLDGLDEEFAKVQKELHLPAGDFPGVDEYREALSAYNFDKFEKLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >OB04G35940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20267858:20268542:1 gene:OB04G35940 transcript:OB04G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPADAAVAVVALPAAVLALASALTAEEQEVLAYLLSGGGAAGGRRRRRGAHPPEMGCGCFTCYKSFWARWDASPNRHLIHRIIDAVEEGSGGGGGGGTGPPRRPPPPPRRRRRGGRRGGGDGEEDASDIKEADVNVEHHQACGFDGEEEGDYEGDGDDEEEERDSMDGDADDETTLSESDCSSSSSGNVNAEKSTVGRLVRFIGEKVWGAWN >OB04G35950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20268649:20268888:-1 gene:OB04G35950 transcript:OB04G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQLKITMKRNGNGIVLLQKKFIVELEEQKYGTERLKGRTVIWIGSHHPDFPSKPRCSLECKKSKERNSKRSLELRCS >OB04G35960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20273695:20276453:-1 gene:OB04G35960 transcript:OB04G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDQAGVTLYGPSWTSAHDPMSSLVRFLFSLAAAIAAAAPLLAASLRRRAPPSGLADQLDPAPMAAARNRSFVLWLHGLGDSGPANEPIRTFFSAPEFRLTKWAFPSAPNSPVTCNRGAVMPSWFDIHELPMSAGSPQDDSGVLKAVENVHAMIDKEVADGVHPENIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGLADNVVLFEAGQAGPPFLQNAGFTCEFKAYPGLGHSISKEELHSLESWIKNRLKVSQDKEN >OB04G35970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20276620:20277862:-1 gene:OB04G35970 transcript:OB04G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDREVAGGTSPSNIFVCGLSQGGALAIASVLLYPKTLGGCVVFSGSLPLSKSLADRIPSEARKTPVLWFHGMADGLVLFEAGHAGCAFLQELGMTCEFKAYPTLGHTLVDEELQYFQQWIKDRLSQGTGVPLLSLSDNRDLH >OB04G35980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20278983:20282054:-1 gene:OB04G35980 transcript:OB04G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-methyl-5-thioribose kinase [Source:Projected from Arabidopsis thaliana (AT1G49820) TAIR;Acc:AT1G49820] MASAAEEQQQQGFRPLDETSLVAYIKATPSLSQRLGGRLDALTIREVGDGNLNFVYIVLSDAGSVVVKQALPYIRCVGDSWPMTRERAYFEASALQKHRSLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHSDQANDRKAYKEWILKTVEDSWNLFHKKFVELWSTHKDGNGEAYLPPIYNSPELLSLAQKKYMTSLFHDSLGFGAAKMIRRIVGIAHVEDFESIEDSSKRASCERRALNCAKAILKGRRQFESIEQVIVHVQTFVRD >OB04G35990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20284984:20286858:1 gene:OB04G35990 transcript:OB04G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3M2H4] MATSRRSLHALLGGGAVADVLLWRRRNVSAAAVAGATAVWFLFERAGYSLPSVMSNALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADKALVWINRVLAVGHDIAIKRDRNVFIKVILVLWVVSYVGMLFNFLTLIYIGVMFSLLVPPLYENYQDHVDEKIGMAHSVLSRHLDTIISKTGQSTKQKKTE >OB04G36000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20290293:20291639:1 gene:OB04G36000 transcript:OB04G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNARLLAFALVLAVAVLLRLRGVVCESNHTHFAMVSRGGGNAPKGSGRVPAAAPPPLDTCGCGPSPLPSEFLNRKLAALYPVIQAFKKTITCDPRGVTASWVGPNLCDSHFGGMAYKGFYCDYPPVTGAPQDNTTLTVASIDFNGFGLCAPSIAGFVDAFPDLALFHANSNNLSGEVPDLTGLPYFYELDLSNNNFSGAFPANVVPLGGLLFLDLRFNRFVGTVPPPIFDLSVVALFLNNNGFYGQIPDNFGSTTAEYLVVANNQFTGPIPRSIYNTSANLSEVLFLNNKLSGCLPYEIGLVEGLTVFDAGGNDITGPIPLSFGCLGKVEELNLAGNQLYGHIPDVLCILAKTGKLQNLSLSDNYFHSVGHHCLELARSRVLDVRLNCILNFPNQRPALECARFYADPPQHCPFVPHIPCDLPGFRPPVAAALPSAVAHGGGGN >OB04G36010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20292480:20292716:1 gene:OB04G36010 transcript:OB04G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLKMRQRLSGGTEAEIAERLHAASSPLLADCMSSMSSRGVQLSERALQSLHAQWAVLALHNSKISPSIQLSCTIA >OB04G36020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20292200:20296030:-1 gene:OB04G36020 transcript:OB04G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAAAAASFLLLLLAVSAAPDMSIISYNEEHGARGLERTEAEARAAYDLWLAENGRSYNALGEYDRRFRVFWDNLRFVDAHNARAGEHRFRLGMNRFADLTNEEFRAAFLGVKVAERSRVAGERYRHDGVEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGEMITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAADGKCDINRENAKVVSIDGFEDVPQNDEKSLQKAVAQQPVSVAIEAGGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYIRMERNINVTTGKCGIAMMASYPTKSGANPPQPSPSPPTPPPPSPPDHVCDENFSCPAGSTCCCAFGFKKLCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRAGTCSASKNSPLSVKALKRTLAKLNTA >OB04G36030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20297201:20298482:1 gene:OB04G36030 transcript:OB04G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDPVMTAAAAATHRGMAVGGTYDSDGNGGGGGDERNDADGDSDDDNNSRARARATTTKAVAPAPATTTSTMTTATTMLTFSLTVSSLASAYCLQHVSTESAASLICCFHLNYNVMT >OB04G36040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20301228:20305614:-1 gene:OB04G36040 transcript:OB04G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein / OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G67170) TAIR;Acc:AT5G67170] MKQSPGARRILSLSLSLSLSDSDSDFSSVQGCGRVPYHPRPIPPPLSRRRRRGILGEAAPPRFAMVQHKKKVSVASKARKPKRDAEEKKFGKKADMTEFRAQLGLLGLQIIEVTADGNCFFRAMGDQLEGNEEEHMKYRGMVVRYIKEHRVDFEPFIEDEVPFEKYCDSMLQDGTWAGHMELQAASLLTRRNICIHMLNSPRWYINNFSDHEATGTVHLSYHHGEHYNSVRLREDPCQGPAMLVTIKTDATVASTSNNAQTKSKDSKKSLGRSNYDHISVKLVMAGTGCPNVAIVEQVLKDMDGDVDAAIEYMLAEQLVLGADDADGDPYMDYTYDDDELSTKQGDIQLVEHKEEESDCSSKDQTTQKQNSSHSKKEKSKTEECSCGSARKHKPSCNLATSEPSKTIEPSREPPRTKGGQGKGQKKGKKQKKERAEASAVQNHDSEVVPDLGALCI >OB04G36050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20307030:20310228:1 gene:OB04G36050 transcript:OB04G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDAFAFIARNGGIDTEKDYPYTAMDGRCNLAKKSRKVVSIDGFEDVPENDELSLQKAVAHQPVSVAIDAGGREFQLYDSGVFTGRCGTSLDHGGVAVGYGTDAAGRGYWTVRNSWGPHWGEHGYIRMERNVTARTGKCGIAMAASYPVKKGPNPKPSPSPSPPAPSPPSPARQCDRHNKCPAGTTCCCNYGIRNHCLVWGCCPAEGATCCKDHSSCCPKDYPVCNAKARTCSKLQCKAQVYKAQPNGGGGAARRRRPPLPLLLLLLHLPAALAFPRPLPPARRRLLQARVSPLLHAPPAPPRSGCASRGGTGGAYSLVRAFDALTERRLIEQNLSRKIVHVLSGVLFMSSWPLFRELLRGPLYYVIVLLVSVLVFWRESPIGIISLSMMSGGDGFADIVGRRFGSMKLPFNKKKSWIGSISMFISGYLLSALMLFYFSCLGYFNVCWELALGKLALAALAATVVECIPVTDVVDDNISVPLATMLAAFLLFGFSACC >OB04G36060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20311517:20315016:-1 gene:OB04G36060 transcript:OB04G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G34270) TAIR;Acc:AT1G34270] MKRLALLAVPVVLLLSISFLLLRPSSRPLLFTQQASGPDRRLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRAHSQYPDSPLIKQYSAEYWLLASLQPGFSSAPAVTVVADWRDADVVFVPFFSTLSAEMELGWGAKGAFRRKEGNEDYHRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRKEIAPSILLVVDFGGWYKLDSNSASSNISHMIQHTQVSLLKDVIVPYTHLLPTMQLSENKYRPTLLYFKGAKHRHRGGLVREKLWDLMANEPDVVMEEGFPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAIASLCIPVIVSDEIELPFEGMMDYTEFSIFVSVGNAMRPKWLTNYLRNIPRQQKDELRRNVARVQPIFEYESIYPSRMASVPQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >OB04G36070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20316541:20322377:1 gene:OB04G36070 transcript:OB04G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPPPAAALGDAMGDGMLQGLEEEDVRAPLPVKRETLYGDAPAVVHRPNSTVAFRNFEEEARQSAVWDSEQNAASSSRDNLAALYRPPFALMFNGPFDKAKLEASVLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAVLLIDPITGQKMRGWNGMVHPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKVDHETSAGKQGKTPVPVAVEDEDEELARAVAASLEESKGVDGSDATDEKTEPEVENEPSLSVKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPVKLLWSFCYPQVEDGGKKAFHFVQFIPGSSKNLDYESDKTFKEAGLANSMINLLWD >OB04G36080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20332250:20335165:-1 gene:OB04G36080 transcript:OB04G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRPLAHEVKCSIAQAQCWHMERSATQRKKKDYERNAAEITARRGRERGRGAGLVRTPCGIVNTRALRSRPDAQTPNALSFGFSFFYLSPRALQRRHSERARGEGRWAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLPAAVVAPPPASVSAEEEMSGSSESGEEEEEEEGSGGEPEAKRRRLSRLGSSVVRSATVVARTLVACEEKRERRHRELLQLEERRLRLEEERTEVRRQGFAGLITAVNSLSNAIHALVSDHRSGDSS >OB04G36090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20338459:20340531:-1 gene:OB04G36090 transcript:OB04G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRAIRACAAAAGWSGRGMASSAKEVAAAGARAAAAVARRGRDPEDGRRVQWVFLGCPGVGKGTYASRLSQMLRVPHIATGDLVRDALASPGPFSKQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTEIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRADDTEEVVKERMRVYHDLSEPVEKFYRARGKLLEFNLPGGIPESWPKLLQALNLEDSGNERSAAA >OB04G36100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20341167:20344324:-1 gene:OB04G36100 transcript:OB04G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGLKTGGLLLPTIERRCVSPPSVIVIGGGISGLAAARALSNASFEVTVLESRDRVGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLRLYRTSGDNSILYDHDLESYALFDKAGHQVSKETVTKVEETFERILDETVKVRDEQEHDMPLLQAISIVLERHPHLKLQGIDDQVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALSQGLDIRLNQRVTKITRQFNGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPAWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLIMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGLATADDCRKRILMQKGIPDLVQVKAYEEMAGVIAPLQICRT >OB04G36110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20346079:20350558:-1 gene:OB04G36110 transcript:OB04G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPNGFAAGGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEEILKETVKVRAEHADDMPLIQAISIVLDRNPHLKLEGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSAISDLGVGIENKIALRFNNVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIDAAEDCRRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >OB04G36120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20359163:20362220:-1 gene:OB04G36120 transcript:OB04G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02720) TAIR;Acc:AT3G02720] MASKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAVCPGKKSGDSCRTAVHQMTGHQTYAESRGHNFALNASFDEVNVNEFDGLVIPGGRAPEYLAMDDKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVAGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPEKGAGEKCATAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVRGFMDKAKPVASICHGQQILSAAGVLKGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMTLLGIKVTF >OB04G36130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20364127:20368244:-1 gene:OB04G36130 transcript:OB04G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAEASTEAGASASARPLAPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSEGARMNPRDCWYWLNSNCLNPKCPFRHPPIDGMFGAPTPGIPAVPSHYAPYNSGKQMVPCYYFQKGNCLKGDRCAFYHGPQSIGNNPPEPVAKVTSLPLEQPQTQKNDLLFIKDSVQPNNSIQQGAPIVDDRGKMAVDRSKVNSARTATVAVHTASNAVSWPKSEMIKNTMPAFKESFAATSVDDHPESYQNHLPMESDPERDWNQSYEMPSADDLPQNSREADELLGESSPGFDVLVDNNADGAAYLHDEDFGGDMYPVEDYEYAPADFDVHAHHERDRFNGSNEQDQVGHMYDGYERKRRRSSSERSMERPFHSERRFLQGNRNPVEMDGSDLRHRLRRRRINESSLAISPERNGEHRRRDERYRDRDERHRERAHSNSTHRDRHQGSRGSTLSSRLQGRIKLPGRSPDRVDTHSEKERDRRRLCDRLSPVRRMEYQGDRHMDAGQCEERTRRRSSELALGARNADGQHSTREVVDSRTFSNRKTLRDSSKEKSVEPEASLDFEGPKPLSVILQRKREAAWGNGSSASPKEDKSAEVSRGQPTSLPEVEKEGENIISSEEYKSGSGDEEFRDEGHIPFEGHGQSSSHGDRLEGEDIIEVDPVENQDAEKYDQREGESYYEAIEGQDYKSEDENAYEDDEEFDDDDDDFARKVGVVFS >OB04G36140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20370516:20377872:-1 gene:OB04G36140 transcript:OB04G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin response factor 8 [Source:Projected from Arabidopsis thaliana (AT5G37020) TAIR;Acc:AT5G37020] MSSSSAASIGPPRPPPPPAPPEEGGWLEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSTDHDQYQAVVAAAAAASQSGGYLKQQFLHLQQPMQPPQEHCNLNPLLQQQILQQASQQQIISPEAQNIQTMLSPSSMQQQLQQLQQMQQVQNDQKQKIQPEQSYQVPSSAVLPSPTSIPSHLREKFGFSDPNANSSSFIPSSSSDNMLDSSFLQGGSKAVDLSRFNQPVASEQQQQQQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVADGSLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >OB04G36150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20396506:20399430:-1 gene:OB04G36150 transcript:OB04G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPRARRATPPTWRCFWPSPMAWTARAPGWSDGVPTATPPAARGQEFPAISGGWWGWISPTGVSPGTRFAAGRWRSFRGSGGGFPAIEAVNISSNGFTGPHPAFPGAPNLSILDITNNAFSGGINVTALCSSPVKILRFSANAFSGDFPAGFGQCKLLNDLFLDGNGLTGSLPKDLYTMPELKRLSLQENKLSGSLDEDLGNLSVLNQIDLSYNMFKGNIPDVFGKLRSLEFLNLATNKLNGTLPSSLSSCSMLRMVSLRNNSLSGEINIDCRLLPRLNTFDAGTNKLSGAIPPRLASCTELRTLNLARNKLQGGLPESFKNLRSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKTLQVLVLANCALLGTIPPWLQHLNSLNVLDISWNNLNGKIPPWLGNLDNLFYIDLSNNSFSGELPESFTQMKSLISSNSSSGQASTGDLPLFVKKNSTSTGKGLQYNQVASFPSSLILSNNLLVGPILPAFGRLVKLHVLDLGFNNFSGPIPDELSNMSSLEILDLAHNNLSGRIPQSLTKLNFLSKFDVSFNNLSGDVPAGGQFSTFTSEDFAGNPALNYRNSSGTNKTPDPEAPHRKKNKATLVALGLGSAVGVIFVLCIASVIISRIIHSRMQEHNPKAVANADDCSESPNSSLVLLFQNNKDLSIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLEGYCKIGNDRLLIYSYMENGSLDYWLHENADGGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEDRETEVFDPSIHDKENESQLIRILEIALLCVIAAPKSRPTSQQLVEWLDHIAEG >OB04G36160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20399823:20400107:1 gene:OB04G36160 transcript:OB04G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKEEERGAGGKVRGEKRTVGFDSNEISSKQQPALPPLAGFVYFRGLNQFSSLTQSFLVRSLLLLLLLSLVGRSRTSASCAFDMVMQTTAAKGN >OB04G36170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20412208:20417991:-1 gene:OB04G36170 transcript:OB04G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:J3M2J2] MAGSKYRTQTKAQVAHGLLHAGLELSYPMASPQESSSLCLKRKLVDDCLSKDCKSRRVKTEDGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRGNKSSVVAVMEEEPVLVDFLSMTMVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEAAKEGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPSTADILRKKIASVERGSEGFLFAQDLFLSGMGPFATLNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSETAEGPTSPWISFRVLFAMIQDNISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSSVEVASSDPARTAAEDRLLDKTTGNCSLTTSVIHAPNAVAENSTNLCAKGCDAPAIGTIPKGYNSLVPKAVPETSSSANAIYRGSPNLEPKVRNPPIQTMLSGSSAPLHAKKQDPLVSRMPPIVHNGLVRMPSGKSTSLAAQVCNSVKPSTGPSGCASTNTSKRGGIFAPGISPMGSESLAPGIGPKGSESLVPSLALGNSKCAGVAGLSSAPRVTPKDKEFLSLSIASHHPIKVHDGTSGAARPVHTPTPGHGNPKSLATEACDPLSLSIAPKAHDPAASSKEPKDNASPIAGMVPESQHSQAPSVATKGYNAPTPITKEPKEQHLQSVANSQSSGPDVDASSSNVTRAADTLVALSTLREKGK >OB04G36180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20419839:20423719:-1 gene:OB04G36180 transcript:OB04G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTAFRFRSSDPTVAPGQVGSLPRSSCVMGAIQLFAAAPARRFGRVYWQCVESKETAQKWRELTIHINLEGKSVKSLPRFIVLMCHLLVFLSVVNVLHVSRSLWGKLFMEEGSLQGQMTSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKLVAPQSQCAACAPILTDSGEGRNKKFNRLTSIHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >OB04G36190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20428407:20430482:-1 gene:OB04G36190 transcript:OB04G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPRTRRATPPTWRRFWPSPMAWTARAPGWSDGVPTATPPAARGQEFPAISGGWWGWISPTGVSPGTRFAAGRWRSSAGFRGSGGGFPAIEAVNISSNGFTGPHPAFPGAPNLSILDITNNAFSGGINVTALCSSPVKVLRFSANAFSGDFPAGFGQCKLLNDLFLDGNGLTGSLPKDLYTMPELKRLSLQENKLSGSLDEDLGNLSVLNQIDLSYNMFKGNIPDVFGKLRSLEFLNLAANKLNGTLPLSLSSCSMLRMVSLRNNSLSGEITIDCRLLPRLNTFDAGTNKLSGAIPPGLASCTELRTLNLARNKLQGGLPDSFKNLSSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLMLTNNFHDGETMSMDGIEGFKTMQVLVLANCALLGTIPPWLQHLKSLNVLDISWNNLNGKIPPWLGNLDNLFYIDLSNNSFSGELPESFTQMKSLISSNSSSGQASTGDLPLFVKKNSISTGVGLQYNQVASFPSSLILANNLLVGPILPAFGRLVKLHVLDLGFNNFSGPIPDELSNMSSLEILDLAHNNLSGRIPQSLTKLNFLSKFDVSFNNLSGDVPTGGQFSTFTDDAFVGNPALRVFRKGSSNNDSNVQVVAFEAHHDSDSAFGLLNVGAGFTFGLWTIWNILLFASSWRAAYFRMVDSFCDRLYVITMVNLNRLRRKWEYKDRP >OB04G36200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20432636:20434303:-1 gene:OB04G36200 transcript:OB04G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50420) TAIR;Acc:AT3G50420] MYSRCGSPRDANQVFDEMAMRDVVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCRRGRALHGWVVKLEELDPDMPLRNALLDMYSSCGDLDTALRVFERIEAPDLVSWNTLIAGFSGVGDGWSAMDAFVQLKAVHFDEQVVPDEYTLAAVVSASAALPAMFGGRPLHAEVIKAGLESSVFVGNTLLNMYFTNEEPDSARILFDSLTHKDVIMWTEMVAGHSSLGEGELALRYFVSMLHEGYNVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFCNIQNPDLKCWNSIIGGYGNHGDSEMAFKLFGEMIHDGLQPDHVTYISLLSACSHCGLVEKGKFYWFCMMTDGIVPGFKHYTSMVSLLSRAGLLEEAVDLMMKSPLAKKCPELWRILLSSCITFKSFSIGVHAAEQALEQDPDDIATHILLSNLYASVGKWDIVAEIRKRIRGLMVEKEPGLSWIEMKNVVHVFSADDKCHSHIDDCRGELIRLKGNMELSDTYENELMSSG >OB04G36210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20437977:20441486:1 gene:OB04G36210 transcript:OB04G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAAADQDPVSLVRRVSRALNRRVSDLVGLLFHHKTAGSLGAVAGFAIAVVFAWKFLRSSPARPRRPEPKRPSVGPAATDSAAADAVEPAGDPGKLSTRQIVVKRLSGCRKVTCQLLGVVFEEKTPEELQKHATVRPSVVELLLEIARYCDLYLMETVLDDKSEENALIALESAGLFKTGGLMKEKVLFSSTEIGRTSFVRQLEADFHIDTSLDIISQLSRFIRCQLFVSSIEGGQLASNVFNSPSLEQFFS >OB04G36220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20442972:20445765:1 gene:OB04G36220 transcript:OB04G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAVSASTASPPETPSTQKRRQRGLVSRVWKGIFGGREDVEKLLQALSKEEEAVRSRLHRRARASRQSAHNVLAIAAALEIAAVGYAIMTTRSPDLSWQMRATRVLPMFLIPAIAAFIYSTIASVSKMLDNRDQHTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGEESNRDASLSKSNDAHIGQTTGLRQRKPSHLGNGAGRTYATESLGGSYAYDGSEEGLTTPNQRTVEHFRGPAGNDGGWLARAAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHDEHEMVSNSGKETPISHYDGSIGQAGASLANSGAGSPIAKNLPTVEELPAESPVDTHLPSAEELPAESPVDNRLPAVEELPAESPVSSNPPASELPAEGTVKASIDHPAS >OB04G36230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20446033:20451612:-1 gene:OB04G36230 transcript:OB04G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSTSSDLGSGAGAAGGMVMAPRGMSGTSSSAGLFLSPNTGVLSNASVVGPSRSSSGDVFHSTVAPKYKFVTGSPSDWSELELNTLKEGLVRYAREPNIMKYIKIAAMLPKRTIRDVALRCWWATGKDRRKKPDGFFTGKKIRDMKQPIQDKMVASASMANFHLAPANTLTPFSISMQHTNQQCQVPKEVPVVDSATQHLLEENNHLLNQISTNIETFKTGENADLFFRINNNLRTILSRMSETPGIMGQMPQLPVHVNEDNLNSLLQLDRMVPSYGVTHTSHMKQEPRS >OB04G36240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20452277:20452450:-1 gene:OB04G36240 transcript:OB04G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVAPFQYKDLEPKLDAICLDQTGRKKIEKKKRERIILVFGCVELGWVGLGSSLVYV >OB04G36250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20478253:20479553:1 gene:OB04G36250 transcript:OB04G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSPAPALSPKVVVATSPKAAGDRKVVVPVVPADEAAQSEMHVLAVDDSSVDRAVIAKILRSSKYRVTTVESATRALELLCLGLVPNVNMIITDYWMPGMTGYELLKRVKESSQLKEIPVVIMSSENVPNRINRCLEEGAEDFLLKPVRPSDVSRLCSRIR >OB04G36260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20479783:20479944:1 gene:OB04G36260 transcript:OB04G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWKDLLRDLLTWEQILQKRPLHCMWSLSLMSLECTVLMSVVLIPDYQKRSQ >OB04G36270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20486948:20489771:-1 gene:OB04G36270 transcript:OB04G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSVPEGIKIPSSLANIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISLKKSGIVFLLWGNSAQAKIRLIDETKHHILKSAHPSGLSANRGFFGCRHFSKTNQILERLGLSAIDWQL >OB04G36280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20492993:20493646:-1 gene:OB04G36280 transcript:OB04G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLVALLLFLALFAAFGERSDAITFEVGGEHGWAVPPANEAGVYNEWASKNRFLVGDSVHFKYAKDSVMVVTVDDYDKCQASHPIFFSNNGDTGVRLDRQGLFYFISGVAGHCERGQRMIIKVIGHDAPPAPAPNEASPPPPSSAPPTPPHPSGATGTGLAGAIAVAAVLLPVAVLGA >OB04G36290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20496876:20497712:-1 gene:OB04G36290 transcript:OB04G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPFHVAGGGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVTSAPRRLLPRRSLPYRSTNPAPASPPPRASSSPSTPSPRSQRRPRQLSSAATPSRSFFPWSPATSRGPKPSTPSPASSPPSPPKPARWKPSTRPSASSHPSSTAKATSTRRRGAPPRRGGGXXXXXXXXRRWPGCPTPSTACSARRCASATRSSGA >OB04G36300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20498510:20498746:-1 gene:OB04G36300 transcript:OB04G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDETKNLTLLNAGGINKGVFIFFSFYRSCFFTYIHTLPYSRFFFCPRPTGTFPDPSPPHTIFLSNEKHSSYFFPLI >OB04G36310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20505708:20507808:-1 gene:OB04G36310 transcript:OB04G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRCLRLRPPGSKAIQMNACGGLHLVYRSRSKARLSMGNVTGALADAEEATKIAPKFPQAHLSRGDALFAMEEYRAAEDAYADALDLDPSIRRTKSFRARLEKLRQKLASVDVSS >OB04G36320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20507904:20509784:1 gene:OB04G36320 transcript:OB04G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme Q biosynthesis Coq4 family protein / ubiquinone biosynthesis Coq4 family protein [Source:Projected from Arabidopsis thaliana (AT2G03690) TAIR;Acc:AT2G03690] MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVMQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEELRRSWGIIPCPDPKKSSL >OB04G36330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20510513:20515569:-1 gene:OB04G36330 transcript:OB04G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTHERRKKKTSRVEALSVKLTDFPGGAEGFELVARFCYSNGRVPLCPSNLPVLHCAAVYLEMTDEACTCNLLTQAEAFVDGLYYWTWADVLTAVKSCEPFAAAADASGLLEKLISALFSKITANPETPVAAAAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPDSMKPCFAREWWFDDMTSLCPQTIEKATLDDLLVSGDDGGVYDVNLVMRLVRVFVSSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALGSQQSKLQIRPAAAVAKGAGGRPDPSQTPRKVVVGGGGGRARSVDLDSMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGSLKEICETNLLGL >OB04G36340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20518594:20521399:1 gene:OB04G36340 transcript:OB04G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:J3M2K9] MAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVVTQCASLGGKLPFDDASVGSVLAVIKKVENLGDQFITEINRVLKAGGMVLVQSSTSDQDPNNHIERKLLLGGFVEVQASAASSQDSEHSVTIKAKKASWSMGSSFPLKKATKGLLKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTLEQINNPQSACGNCGLGDAFRCGTCPYKGLPPFKPGEKISLPGNFLAADM >OB04G36350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20521959:20524620:1 gene:OB04G36350 transcript:OB04G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G18620) TAIR;Acc:AT3G18620] MEQQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQTSRKPDSICFSHWRNFRDRFFVTVLILFMLFVICGGVWAVYPVIFSISTFCGIFHCILTALLAVFTIISYGLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKMCIVDMDHHCPFIGNCVGTSNHRSFVIFLISVVISCSYAAGMTIYASYRIWPPLDFEGLASTRRSMGYMKMLIEIIGTLTSSAFFLSARGLITVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLNSPNVIHGERGVQNLIRFFGCPYSVSRVLAGYSNAGKLQDNSSSKLL >OB04G36360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20537374:20541771:-1 gene:OB04G36360 transcript:OB04G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGRGNEEEEAAAEESVERVFEGRVVPEWKEQVTVRALAVSAVLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLHKAGVAGVRPFTRQENTVVQTCVVACSGIAFSGGFGSYIFAMSDRISDQSGEVRDEHNIKNPSLSWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKTLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVISWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFISIALILGDGLYNFLKVMTRTTTALVMQVRASMSGPPLPISGGNGAGGGGDGQTPEETFDDKRRTEVFLKDQIPNWMALGTYVVIAAVSIATVPRIFYQLRWYHVAVTYVVAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWADASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFHDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAGPKVARFVPLPMAMAIPFYLGPYFGIDMCIGSLVRLVWDRLDSARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLARGTNVKVDEFLATLPSSS >OB04G36370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20543308:20545310:-1 gene:OB04G36370 transcript:OB04G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRRDIVSVLLPNVPAMYEAQFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLREALRLLPAGHPAPRVVLVEDPHEKEFPPAPADALTYERLLEKGDPEFSWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLITVDSLVDWAVPPRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVYDTIARRRVTHLCGAPVVLNMLANAAEGVRKPLPGKVRILTAGAPPPAAVLSRTEAIGFEVSHGYGLTETAGLVLSCAWKGEWDRLPASVSVLSLADADVKDAKTMVSVPRDGKTVGEIVLRGSSIMKGYLNNTKANSDAFKGEWFLTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEIVLRGGCVTLGYLNDEAATKAAIRDNGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKQQQQGGGGAVTAAEVIAWSRERMPRYMVPRTVVFRDELPKTSTGKIQKYVLRNIAKEMGPSTNRNSKM >OB04G36380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20549221:20551628:-1 gene:OB04G36380 transcript:OB04G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9C1 [Source:Projected from Arabidopsis thaliana (AT1G48930) TAIR;Acc:AT1G48930] MARLRTMRKTPATSSGLVVAASSLLLLLLLLATGAVDASPSDYASAFDKCLQFFEAQRSGKLPADRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGFPMAYAATMLSWGVVEFEKEMIAANQLHRALDAIRWATNYFIKAHTQPNTLWVQVGDGDSDHLCWERAEDMTTPRTAFKIDANNHGSDVAGETAAALAAASKAFKPYDSMYSDLLLLHAKQLFTFADAFRGKYDDSLQSAKKFYPSASGYEDELLWAAAWLYEATGDEQYLHYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGNAAGCAATLKQYQAKAEFFLCSCLQKNNGHNIKMTTGGLLYVDDWSNMQYVSSSTFLLTVYADYLAESHGTLKCPDGEVKPSEILRFARSQVDYVLGKNPKGMSYMVGYGSYYPTHVHHRGASIPSIYAMNATIGCMDGFDKYYNSKNADPNILYGALVGGPDANDGYADERGNYQHAEPTLVGNAPICGVFARLAASPADNTPDNSPPQGPSPSNDHDSPLEFVHTVTNSWKTNGVDYYRHVVTAKNTCGHAITYLKLQVEELSGPIYGVSSTNAKDMYEFPSWMSRLDAGAQLTIVYIQGGPAAKITVAEYKTA >OB04G36390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20554177:20558929:-1 gene:OB04G36390 transcript:OB04G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPASPSGDVVRTAKRRLRRTVGRSTEKKNPQNMEFERRVAALEYRQEEQRKRAYNNLRLYLTMSPVSSHFVENGDDQVLTNSTSLLDGLEASASSSLIVVHFVPEESLRRCLINSSRALLEDSQKSDKAPDDDFSVNTFSNASVDVKRTTRKKGKKKNKRHKRGHGKKVSESSDIQSTQSKGASHCIDVATGESLTLPSNHVADKLFGDLSSDSSVREVSAERPDSETGNDGSSVTSIPSTSCTDERESSREACYFECCEQSSSNNSRCLDTASTSTLTDSSLDGHCTDSSWNFSDDTENLLIDKNECPCIQSKPTDFRGFKCGGHEGWLNKSNHDKNSCFRNSTGGCDGTQEMQLCSNSGSDGDFLPVMSRKRARKNRKMHLFGSCNVEHICGVEHGQSGSHSNCSSIPSNVCTQVASKGSTKDFIHPIKARTWTPHVVTLNDYMIGANMNHLLDPKQNRRGKPQKYSLSEVANNGFIEEKDACTAKMLPGIMRSTETNVGQMASSSTSDVIVQEITEETCSPIGPPFQKGGLHVLLRDDNVAVGTDSHDALNHVSSVDLKEQKKVDNAVSSKPHGMEGDLQAQEASSEFPECTTDYLEISSPTDGRSEVDCHSISAFEGHCTLNQERFVSSKLQSGEIIKAANDACKVQVASDVHLISGHPLADFEIFLYYATPVIAKTSCMKSGNYSQDQIVGSSTCQYQISNVSLRNVWEWYEEPGSYGLEVEIHQSLSPTKPSCGVSEFCAYFLPSLSAIQLFEPPKNNLHHKFDSDGEDLLLSPPNSLCLPKPSLSVQDHGELVFEYFESEHPSSRPPLFEKIKQLISGENLSTYQIFGDPKMLENLKLCDLHPASWFCVAWYPICRIPRGSCRAAFLTYHSLSEVVPRIRSPYKAHVPTHLVSPVVGFLSYNDKGEHWLQLRDPEIKPMSLDDATKINRAEVLKERLKTLRHGASVMSTMVIPKANGEESMNRHPDYEFFLSRSN >OB04G36400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20565274:20586419:1 gene:OB04G36400 transcript:OB04G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 type (RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT5G23110) TAIR;Acc:AT5G23110] MERRHQRGPHTSERQRRVPGAPPSGSRNLEEEDGDEPTTKARSAQRRSQISNQRSEGGGKHAQIGKSHHPLPRLLPFQTLAAAGASSPRASEWLGSFSASIAASPWIRGAGCCSRTSGSGWTSRGASARCSPTTRRALPRCGSSSRTPTTRGPPALCLDRRSHGAGSLLAPALAQWQGPALLVYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDMPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYCAFGCNMKAPFQGTLFRFPLRTAEQASCSRLSRQVYTENDILFLFAQLYEEAVYNLLFLKNVLSIEMYVWESGMSEPKIVYSCSIGSQHDSLRWHRQALIRFSSTATESSEQKIDSFSMDFVSESFLGKKTEKKSYTYFIVQGMASALSKIGIFATTAAKDYDLHLLPWASVAACISNVGPEEVILRQGHAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNLSLLEDVVAPLFRELLLQLRMILGSKVSYYSLWPTGSYEEPWSILVEQIYKVIYTSPVFHSEVKGGTWISPAESLLHDEGFSRSNDLSEALVVLGMPVVCLPGAIADMFSKFHMKCMLKIVTPAAVRNFLKDFENLGTLEKSHNLILLEYCLADLDSGNIGQCMNGLPLIPLANKQFGIFSEVSQKNQYYVCDDVEYELLSADSDRIIDRSIPPVILDKLYQIANSSQVNISLIDGRTFLQFFPQLFPPGWKCRNQVPWDPELAGSFPTSAWLKLFWQYIGDRSYDLDLFNDWPILPSTSGHLYRASTVSKLIKTGSLSNLMKELLAKLGCKILDTKYLSECQQLSNYVYDGDASGVLHSIFGVASLEGIDLQALFQRITPGEKNELYQFVLDAKWYLGSHLSDMSINLCKKLPIFRVFDGGSPSSYGFSDLSSSTKYLPPLGVAEHLLNGDFIFCISPSDEDIIMRYYGIERMPKSNFYQRYVLNRLDELQTEFRDSILITILQDLPQLSLENPRFKEALKVLRFVPTTNGTLRSPQSLYDPRVEELFALLQESDCFPYGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHAHKWYVHKSFDGRRKVNMLAKVTTVLRSRDTSRETDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVTDHALRQELALVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAQTDEVVLTGHLHLAPYIRVIPTDLAVFKDLFLELGIKEQLNPVDYASILTRMATRKASTSLGAEELRTAVLVVQHLAEFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFGYDTTGNASSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILDQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYTPQDVEMLFSSFSEIVSEALLFLRNIKNITLYVKENDSQEMRLVHRVSKHNSYEMAKEPHALNMMLAFIHGNQPSGMDRHQFFNKLNKTKDSDLPWSCQKVSVFEQSPTACLLHSWILTECIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVSLDDTKELYGEAEVNLDDLVLKHQSLGTSKGRKNFEGRSFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQELGPSDLFLSFWPTAVSVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKAVELAEVLSEAGLPVVSVSKPIVDNFLNVYPSVHLLNPHLLRNLLIRRKRGFRNREEAILVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFSNRGGGERVFFSSQMEFDLLKDSIPHLVVDNSLPDVILKKLYDIAWSARSNIYLFTCNFLLELLPRILPPEWQHAKQLFWSPGQQGQPSVEWMVSLWNFLRHSCEDLSIFAKWSILPLVDGKLMQLGNASNVILDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLSNFVQEATAVGVLNAVESVACNFQDSKELFMGISLAETHELRSFIFQSKWFSGNYMSSSHMNTIKNLPIFESYKSRELVSLTNPRKWLKPEGVHEDLLNENFIRTESAKEKSILESYFAIREPQKAEFYKDHVLPRISEFLSQPAVVSAILRDVKLLIENDTSVGAALYEIPFVLAASGAWVHPSRLYDPRVPELHKLLHKETFFPSDLFMTTEVIELLASFGLKSKLGFSTLLDIARSVSLVHGSGKQDDAFEHGKKLLTYLSFLEFKDSNTQDKKAFHEDDNQEASKTDGNFEEKNDEDGHDPDENIMSLFSNFDDDLPEDEFWSELKNISWCPVHVAPLLERLPWFISEDHVAPPVITRPRSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPNVSILSSQLVEISKSYDEPNMFSEDRANDAVLQKEIQLIYSKLQDIIDTADVNILKRNLDGHPWVYIGDRFVQPQALAFDSPVKYHPYLYAVPSELSEFKRLLSVLGVKQTFDAADYLNVLQCLQSDAKGEPLSTEQLSFVHRVLEAVVDCYPDSQAPDVLLNSLLIPDSFGVLTPARNLVYNDAPWMNADTTSKSFVHLSIGNDLANRLGVRSLRGSSLLDDELMTDLPCMEYAKISELLALYGESDFLLFDLIELADHCNAKKVHLIYDKREHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNILNYGLGFLSSYFVCDTLSILSGGYFYIFDPLGLTGGTTSTAISSARFFSLIGNDLVERFHDQFTPMRVIQEASLSSANSTVIRMPLSSKCLKELEAGCNRVKNIFDRFTQNPSSTLLSLRSIIQVSLSTWEDGASQPTLDYSVLIDPSVAALRNPFSERKWRKFQLSRIFASTSAAIKMQAIDVHVIDGGCSYIDKWFVSLCLGSGQTRNMALDRRYLAYSLTPVAGVAAHIARNGVSTNTHASSCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGSSHDKSLSDLEMSKNKLVEAWNKELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSLSTILQAYGDRVYSFWPRSKQHPASLTGHGSTVTNVNSPRASKADWQSLVEQVIRPFYVRLADLPVWQLYGGNLVKVDEGMFLSHSGSGDDDNLPSTSVCSFIKEHYPVFSVPWELVSEIQAVGVNVREIRPKMVRDLLKASSSIIPRSIETYIDVLEYCFSDMDPYRFSDLHIHEESRISNQQSKMMNSSTSHSMPSSISSFSYHRDTQRHGASGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIASEEQQLLMRPFLNHFIHHQCLKKAFLELLLTTQVIHRPLKLRSFSPHLLSGHLKHIFDEHWVHLTVEKKSPWIPWDNNVNSSTAGPSPEWIRCFWKIFSSMNGDLSLLSDWPLIPAYLEKPVLCRVKECHLLFVPPAYDSNPDSGVVDSAARVAGTSVHPGDDTGEAEENSILDAAFQSMNSKFPWLPALLYKLNIPVFDLSFPEGGAICNLFPSRDQTLGQIIASKLVATKNGGHLPLPLSLSSEDCDKLFTLFVSDFRLSSDHLYQREQLDVLRELPIYKTVTGTYTSLSGSDHCIISPTAFFHPNDSRCLTSTANANLFLQTLGVEQLTDQEILVRFALPGFGNKSAQDQEDILAYLYANWKDLQLNSSVVNTLKETNFLTSANEFCKDLFKPRELLDPSDALLTSVFSGERHKFPAERFMSDGWLVILRKAGLRTSTEADMIVQCATKIESIGNDIMSSLEDPNDFEADFSDNKNEIPFEIWSLAESVVNVILANFATLYDSSFCERIGKISFIPAEKGFPSIGGKRGGRRVLASYSESILSKDWPLAWSSAPILTNQAIIPPEYSWGAFRLRSPPAFATVLKHLQSVGRGNGEDTLAHWPTSSGIMTVEDAFLQILQYLDKIWGTVSSSEKNELHTLAFIPVANGTRLVTVKSLFVRLTINMSPFAFELPSLYLPFVTILREIGMQESLTNSYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQAIADGSEGIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFSHPDLPQNICNTLGVKKLSDVIVEELDGKEELKMVHSICSVTLDRIKEKLLSKSVQDALRIVMIGVANHFPSFEALNLVRIESVLEDISQDLQFVQRLHTRFLLLPMLQDVTRSSQHPPFPEWSSNGKHRSVCFLNKSTGHILVAEPPGFLTIHDVIAMVVSYRLGAPVILPIASVFACPDGTEKEVLKILHLGADFGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYNGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETSPGETCILLSSQVYSFKSVSMADLSTTPLQLDSGRVAGGGQQGLSPINTGTEAANDVVTGLEYGKVSSTELVQAVHDMLSAAGVRMDATKETLLQTTLTLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAEVNMTIIPCGHVLCNRCSNSVSRCPFCRTQVSRMMKIFRP >OB04G36410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20587708:20589797:1 gene:OB04G36410 transcript:OB04G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23240) TAIR;Acc:AT5G23240] MAALVLNTAAVSPAHPKLPFPTSTVRRRRTSRCQASSGGASSSSSSNGDGGGGGRSARGSTWVTEYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDKEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERADLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVDKFQKRFQEMKQKSSTRESEESEAARQSRSSAVQTIRSISNWWYWRPCGAPPPPVLASPPRPPPPPSPSMPADPVTDRLQEAAARRKEGGATAAXXXXSYTRRDDYWTPQLNLPSSASPPEPPHRRHRAAAPRSHTRRATATGNRAAAGGDGGGGKGSIDLTAPLLMAIISAGFVGYNREEVAGGGGIQEHVGGAAALGLVNSFELKVVLASVTWFIIGAAIAGFVQFLARSEVNFRK >OB04G36420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20590104:20594744:-1 gene:OB04G36420 transcript:OB04G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like b [Source:Projected from Arabidopsis thaliana (AT1G34130) TAIR;Acc:AT1G34130] MASAALDSLPAPLRSLRLKTKQQELLVRVSALALIYVLAFVIRLFSVLRYESMIHEFDPYFNYRTTLFLSEHGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLLPLYVLVLLITGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDTRLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFVVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSAKATGSKAAAKGAVDQSLPFQQNAAIVLLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >OB04G36430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20597557:20598945:-1 gene:OB04G36430 transcript:OB04G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSPACAPTAVSYTSAMAALARAGRPADAATLFDDMLANGVAPDRTAFSFLLHIYSSHLHLPSAAHSVLVWMSRLGLHPTAIDYGDLIFSFCRAGRLPDALQLLDEMRTLNYPLTPHIYAPILKAYCDNADIQAAESLISSMRFTACHPDVVIYNIYVHGLCKVGDFDAVQRVIDESGQNGWVPDAVTHSTYIAGLCRSGCVEEALQQLEIMVTKGLQLTVVGLNILLDYVAQELDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKSKWLRVLKLFTDLLKKPVEPNVQTCNIFISCLCRAGKFQFAKFVFSCKGFMADTVTCNILINAFYEARKEDELGFLFTDVNAGKIVPDMITHTTLVDCLFRSGRRTEAVNLIRHTGDGYLVEPVAHLAYWLVRCGNVREVLRLFDEMLEKGLVLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIM >OB04G36440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20599564:20599743:1 gene:OB04G36440 transcript:OB04G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQITANGRTFDWWSAARRSFRTRYSASFDSLCLLSTWLIWKERNASLRWTFVHRPADF >OB04G36450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20600323:20601740:-1 gene:OB04G36450 transcript:OB04G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILSRILSLLPINEAIRTSVLSRKWKYVWCSHSNLTLNKGTMRKPYVKTSTGYRWLRDNEFITRADAVLRQHSGMGVERMEIKFGLHSKHADHIDRWVNFAIASKTKEFVIDLSGWDKSIFFSKLSAGNHRIVREPPYNLPSQLFSPSYGSSLRCLELTTVSLQIPADFKGFLNLKSLSLVDVSITDEEVQCMLSKCNLLEFLDISFCKTVTSIRMLHPLDQLKHLVIDICPKLQEIELNCSLTTLKYSGNMIPLIFASTSRLTNSSIVFLTYGSALSYIATGFPSTLPRLETLNLHCAERERTIVPEGPFKFTYLRNLRLELFLPGHENIRKTDVLDYAHLLKIAPFMETLELSLVMVGL >OB04G36460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20605386:20606451:1 gene:OB04G36460 transcript:OB04G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRVASVHKIRRIVWESRSITNLNFRVADNVALDSARTIWETVSADGGHGTIDNLFILRGKDEAMKLIQDKIRRLRGWLDHVDGQIAHLESDVKKLEELLDSGGPHLPPSHETVRLDGPVAFIDIDQVGDHEVIEEHISDIQSRYSIASPGYPEPSNGYHSEEQHQMRERADQRALARSSRPFGPGFLDTSMYPGDGPGFDDEDEEDDADGASSDDEDDGEASPEDDGAGAPTEDGDRDRGA >OB04G36470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20609763:20611104:-1 gene:OB04G36470 transcript:OB04G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPLDQLKHLVVDSCPELQEIEMNCCLTTFKYSGTMVPLIFASTSRLINISIVFLAYEPALSYIVTGFPSTLPRLETMTLLCGEHERTIVPEGPFKFTYLRNLRLELVLTGHENVRNTDVLDYAHLLKIAPFMETLELSMWITSCRHQPYREEDGELRMGPPHQHAHLKSVRISGFFGHKDQVELALHILRICTMLEKMEITPKLEISDELALLEYRYEEQQHVDGHRVATEFVCKEDHRNVVSVERATPFCWETHEATVEERLLKRRRVE >OB04G36480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20613307:20617656:-1 gene:OB04G36480 transcript:OB04G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:paralog of ARC6 [Source:Projected from Arabidopsis thaliana (AT3G19180) TAIR;Acc:AT3G19180] MVEIPVTCYQILGVTEKAEKDEIVKAAMVLKNAEIEDGYMADVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDCRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKMALLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFYVTMLAHFALGFSTRQADLISKAKTICECLVASENTDLKFEESFCSHLLGEESGTVVFEKLQQLQSNGNSNSRNYGLPKKKNSSDKVTVNQSLELWLKDVVLSRFADTRDCSPSLANFFAAPKRIISTSKQKLGATRMIRLSSQPSSSVSPCNRALGEQTPRLSSTSHFGEAVKQLAPTNLGVHSSTDRPANGSTTTSVPLKRNLVPHPARTLESWGLTGDIVGKLAYSAIIGFALFGTLKLIRFQFGHMKPACASKGSAATRSLNEASTPEGSFISSSIRKHFEKLPKMLWLNNRLYSRSEETDLSSVANAVTATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDILPEILDGSMLSKWHNLALSAKDQSCYWRFVLLNLSVVRAEILLDETGSGEVAEIDAVLEEAAELVDESQPKKPSYYSTYEVQYILRKQCDGSWKIYEASVRDST >OB04G36490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20619877:20621246:1 gene:OB04G36490 transcript:OB04G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3M2M4] LSLSLSLSLPSSSPLLSAAVSFIGQSEFEAEVLQSDLPVLVDFVADWCGPCRLIAPVVDWAAEEYEERLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYVEPFLSTSTVA >OB04G36500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20621974:20623779:-1 gene:OB04G36500 transcript:OB04G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRAGVPPNEFTLVSALQASSFVVGTGVGRARQMYALAIRTGFDSNVFLTNAFLAAMVRHGHLADAVQLFVSANARDIVSWNTLLAGFARYSCVHLCNLWRWMAIEGVSADGFSFSTVLSGLARSANIVTGLQVHAQLVKSVFINDVSVSDSLLEMYMKNKELDLPSPVPPPPPPRHGLRLLDEMPRRNAVSWTAAITGLIRGGRPREALALFMRMRRAGVPPNEFTLVSALQASSFVVGTGVGRARQMYALAIRTGFDSNVFLTNAFLAAMVRHGHLADAVQLFVSANARDIVSWNTLLAGFARYSCVHLCNLWRWMAIEGVSADGFSFSTVLSGLARSANIVTGLQVHAQLVKSVFINDVSVSDSLLEMYMKNKELESGTRAFAEICHRDVVSWTELAAGLLHCGEPAKSLRVVSDMMLDGIRPNNYTIATVANACANLASLNEARKLHGYVIKLGEDFNVSVNNALIDMYAKCRSVACAYKVFQLVQQRQVITWSAMIMAFAQNGLAKEAVQVFDDMLLEGIAPNHTAFVWVLRACSQGGFVDEGWIYFNAMADKFGVEPGEDHYACMVDLLRKAGRTGEAEEIISRMPFCPKVLV >OB04G36510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20627074:20630794:1 gene:OB04G36510 transcript:OB04G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine d [Source:Projected from Arabidopsis thaliana (AT5G23300) TAIR;Acc:AT5G23300] MIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAAAHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSASPTTNDVKQGGKAGPGILGVNLGKNKTSEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALKLDGLIISNTTISRPPPADTHPIAQEAGGLSGKPLFDLSTNVLREMYTLTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >OB04G36520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20640914:20641447:-1 gene:OB04G36520 transcript:OB04G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNRRFISSAPIDGSRARGGGGAAGAFCAGGGAIAAAAAGRVFCMMAFHVASKSSSSTAAAAEASSSLTLSTAVAATAEGGSENSRSKTESSCAGGARLRLASDVYDAAEDASSSDSVVTQDSPPPESAPAPNSSLRLTTRDGASSAAGTAAAAGGGGG >OB04G36530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20641023:20641481:1 gene:OB04G36530 transcript:OB04G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHEPAPEISPDSGGGESCVTTESDEDASSAASYTSDARRSLAPPAQELSVFEREFSLPPSAVAATAVDSVRDDDASAAAAVDDDDLDATWKAIMQKTRPAAAAAMAPPPAQKAPAAPPPPRARDPSIGAEEMNRRFDDFIKKNRHSFGRQ >OB04G36540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20647974:20652711:-1 gene:OB04G36540 transcript:OB04G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGRREDTEGGGGGGGGGSLGAGRDEVWRAPDVLALPPPTGLGALVQQQPPHVGQNVVQHSHQYPVAAGLIRHPSPPTMPVQVGFPAYVPPQYTVVPTPAALPPQQQHQHRENFQNWVPSNHNVAALHAPGAFQELRPMCSGSAFLHFGQNAASSNMFYQNTLPCSINSSWPNNNNMLRNPVYPSYHPHAAIDDHQAPPFHSNSHDTDQGFQTSFRMDQAFVPASSPFPPVSSSSHILSSSQISNGPKNAKKAKKSDVKDTPISFRRSDMKIQKNDELDQTPASEPPSLSQNSESLAVRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALNQREGVMVKMDDFKIETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLTTGDILIIYKSSVSGEFVVRGEKAIKPNAVMPVVDCSCKNELNNSEECGFAISLQTKKT >OB04G36550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20655122:20659857:-1 gene:OB04G36550 transcript:OB04G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49010) TAIR;Acc:AT1G49010] MAVEEASSSSGGGGGSGEEGGCGGWTREQEKAFENALAATLGEDEDEDEEGDGMWERIAEAVEGKTPDEVRRHYELLVEDVDGIEAGRVPLLVYAGDGGPEEGSAGAGKKGGGGGGHGDKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDASATQGPITGQPNGQPTNPGKPSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >OB04G36560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20664328:20666472:1 gene:OB04G36560 transcript:OB04G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMLLYESMLPSVLFARDKWLRPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPSDNSPSDLNPLDVIHKKRRRGSDDAVLLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVTVSQSEENPRFLNIELHCSTGGQTLVKDYAMR >OB04G36570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20668145:20669578:-1 gene:OB04G36570 transcript:OB04G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT3G20015) TAIR;Acc:AT3G20015] MQFVANGNVLLGLVLAATSVLIVSHASPPPRFHYINPHNFTAAAAASVSASGSSSTASASSSAARRSRNPSLSLLHRDAVSGATYPSRRHEVLGLVARGNARVEYLVKRLASTYLPEDLGSDVVSGLGEGSGEYFVRVGVGSPPTDQYLVVDSGSDVIWVQCRPCDQCYAQTDPLFDPATSSSFSGVACGSAICRMLPRTGCDDAEKCDYEVSYGDGSYTKGELALETLTFGGTAVQGVAIGCGHRNSGLFVGAAGLLGLGWGPMSIVAQLAGAAGGAFSYCLASRGTGSGAGAGSLVLGRNEAVPTGAVWVPLVRNNKASSFYYVALAGIGVGGERLPLQDGLFQLTEDGDGGVVMDTGTAVTRLPQEAYSALRDAFAGAMGDLPRAAAVSLLDTCYDLSGYTSVRVPTVSFYFDQGAVLTLPARNLLVEVGGGVYCLAFAPSSSGLSILGNIQQEGIQITVDSANGFIGFGPNTC >OB04G36580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20674957:20676499:1 gene:OB04G36580 transcript:OB04G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G23400) TAIR;Acc:AT5G23400] MQLSRKPLLALLLVLAALPSRAMAGHDGRGGVARGDDCCGAWEGVSCDAATGRVVALQLEAPPPLLPPRRHYMEGGTLSGSLGGLEFLETLVIRDMARIGGAIPASLSRLSRLKQLYLEGNLLAGGIPSSLSKITSLQYLSLAGNRLEGKLPPELGSLSSLVQINLAGNRLSGEVPPSYKNLSRLEYLDLSNNLLSGAIPAFFGLQLRSLALLDLSNNSFSGEIPASLCCLRNLTDFSVSHNKLTGVIPSQIGSIASLNSLSIDSNLLVGSIPESLFGLRKLWNLNLSRNGLSGSLPPGIRHGLPAIVSMDLSHNHLVGGIDQFFRSISTPGRPTKLISSKNASSDMSVIFLPRELQHLDLSKNRITGALPEFGAGASLIWLDVSGNAIGGQIPGSVSKLSGLQRLDISRNKIRGTIPASMAGMASLRWLDMSGNAIVGRIPDSFAGMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >OB04G36590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20677776:20678491:-1 gene:OB04G36590 transcript:OB04G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHRIHPVGVGSPSPAPEYQAAGRQGAAPAPLSPPGRRSRSHGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFRVDRLTVTRFDVNATSMTVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPALYQGHRSTVRPLIRLAGETRLDSAVATQLARQQQDGFVPLTVWARVPIRIKFGAVKLWKMTGKATCSLVVDNLQVGRQLRIRSNNCSFKLKV >OB04G36600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20682095:20682436:1 gene:OB04G36600 transcript:OB04G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHVSYIAEEIISISTSISISWYQEASGAVQEQLGSVATTPSISSPASRCSSSLPAYASCMLPAGSAEVCFSGAGTRISQTRRALQLRLYSCSAQVIRQQQLPQNVIFLKVLD >OB04G36610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20681988:20685777:-1 gene:OB04G36610 transcript:OB04G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEGLFGRPVDGGGGGGDDDDRSKGAAMAAAEYHIPPLSPTAASVVHPSARIAGVPVEQLLLRFEPEQGGQPLVYARSLVEYCSYIALRVETRRHDHLADSEFHSLTYDMMLAWEAPDDETDAALQKMSSGFLHDGNDDDDDGGSMFCLSPTQMAIQVDGRRTVGPEAFAKITPACPAMAHAITVRNLFDALTNTTGGRLHFLIFHKYLKNLDKVLRFAQRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSIWPGRLTLTTHALYFEPVSVDFSYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKASSTEPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRLINLHKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQLQRHSSRFRGDEDFAQSSFDELTLADPFPLSAYTLVAMGLLTLKEDDNLEERDLTFRDVQTGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRILFLAEWEDPLKSYVFLFCLLFTVYRGWIWYLFPGFLLSSAFFMLWQRHIGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAHVQAGNIFLLKLRCLMLAAFPQSTNKVAAAMLVASAIFAYLPLRSILLLILLEAYTRHMPVRKKSSEKLVRRLREWWLRIPAAPVQLLRPPDTRRWRSRLRSR >OB04G36620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20686408:20690066:-1 gene:OB04G36620 transcript:OB04G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3M2N7] MANIDMGKILADLEAGVADTRLPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPVKLTKGQEVTVTTDYGIKGDENMISMSYKKLPVDVKPGNVILCADGTISLTVLTCDPEAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICIEAESSLDYEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPSVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAAMKSAVQKKLCKPGDAVVALHRIGVASVIKICLVK >OB04G36630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20695634:20705002:-1 gene:OB04G36630 transcript:OB04G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:J3M2N8] MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAGGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKSSSSSTMPLQNDSGSRANIGRLSVPQNSENNIKSSTGRLSVSQNSDSALKETKSTTSSGLVPGTPQRAGNGSSNKTVGNSTFASSGAGLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRTDAADVGPILSKGGRRIEIANDSRKESCDVAATVVPRTNSRAEMASDSAPVVPRANLRMEMSSDSAPIVPKSGRRLEASVESRKESADVASAAAPKTNSRMEVAPDSAPLLSKASRRVESATDSRKESADVAPVVPRSTSRMEMASDSRRELSAGRMSPFRAQSRYGELRKLNNAKVDTDKVDSGSKNSEADDFACQIYLPRRNGILQTVISEETREDVKPGVTDRMGFPSSAEPNTHRSENYVSRMRKPRDNCYIEVSRAGRARSIASNWEGRDRSPSNEEPTTSSSSSMASTGRLYPSRGSSQAAETPTVASDEDVLSVLMEQHDLFLSSTRSRLTKLQIVHQMWQRNDIRGVIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTELLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPSLSRRKGAVANTAQELSLVFQELM >OB04G36640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20710526:20714731:-1 gene:OB04G36640 transcript:OB04G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISELDNQSDIQEPSRNPGPWQCTICEHGNDARHSSCEQCGVLRDFSLYFNNALEVDGRVKRRGMHSTVSVLARTLFSPSSAKSKDVVFSGGFKVSRTATGNTQATLDALHRTYMTRKERRINIVPFKFDTPSPDDMVATGLKSSRSIRKVDTDAPCIDVHIAEKKVMDNDSFIITEKDTTADLNSLVKSNGFGESKNVSVDSENKTLILDHELQQLSLERKLQKSKPKIKKPVSLSLYKPEPWMLQHEDEDIPTQLNISIVGHVDSGKSTLCGRLLHSLGKISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGITMTVGVAYFDTKNYHVVLLDAPGHKDFVPNMISGATQSDAAVLVIDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVDNLIVAVNKMDSVGYSKERFNFIKSQLGTFLRSCGYKDSAIAWVPMSAMENENLMAAASDTRLSSWYDGNCLLKAIDTLPPPYRDISKPLRLPICDVISSHTLGQVAIGGKLEVGAIQSGSKVLVMPSAELAVVKTIERNSYGCNLARAGDNVAIGLQGIDPSHVISGGVICHPDYPVSVASCLELKILVLDITVPILVGLQFELHIHHAKMSACMVKIVSLLEQKTGKASKKRPRFLTSRQTAVIEVKLEKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >OB04G36650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20716712:20723355:1 gene:OB04G36650 transcript:OB04G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIILAAVFVLDVVAFVLAVGAEKRRNIATLGQDTSGRPYCVYSSDASTGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIACWFAFVIAELCLLAGSVRNAYHTKYSTLVMRGPLRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAADVPPPIVGGIGMTRM >OB04G36660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20722233:20722637:-1 gene:OB04G36660 transcript:OB04G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQQAMKQKPEKARHRPGESARPQQKQRVTTMTTAWPASSSSSAPMPYPVDASELYTQYGRPLVSWPSVACAQRTSPQLVRRRRRRTLYARINHKQATGYSSPCSGARTKARASGANTPTTMIMDAMELTSRS >OB04G36670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20729700:20734422:1 gene:OB04G36670 transcript:OB04G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTTAASTSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYVRRSTDERDKEKEKEKKEREARRLSSATDDSLDGTGSRNAGRIRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGDRKGELLGEGTVPRENGGGCSPFLGAVGNGSPEVATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVRLWDISTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVNAGQDVPVENSEHDLNGAVNRCTKRPVCEGVASTSNPPVDGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >OB04G36680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20734802:20735062:1 gene:OB04G36680 transcript:OB04G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVTRRRPAEIKAGDVGEGVPRRAGDGRSIVPRCEHAVIEIRDSRRPRDRSRCNAGETEWRQEGPVTEEREAGREVGARVRRRR >OB04G36690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20734811:20735464:-1 gene:OB04G36690 transcript:OB04G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPSLLSAAAASSKRPADSDAVPLIVSSPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPPRRGGGSKRRRVSADAEPSSTSPLPTTTDACADLPAGFPFLSDGAFLPPFGLAGVAPAAVSWPPAVPDLYNCVLAPWDDGTAVAGAAWDTFTDITGLDLSWPPPGN >OB04G36700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20743198:20744923:1 gene:OB04G36700 transcript:OB04G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSTLSVPKKGNLGAAVKEPAAFLSVSQKAKKPSLVVRAQVATAPPVASPGASTSRTDGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKSAGMAAGSYTIMHLDLASLDSVRQFVDNFRRSDMPLDALVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLMLDDLKKSDYPSRRLIILGSITGNSNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMVDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPQKAKKVWELSEKLVGLA >OB04G36710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20745740:20748719:1 gene:OB04G36710 transcript:OB04G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMLVAAGAVLLWAVSLGRVLSSPSPSCLPANSTFLSPPHGDRMSRNVLIVLAHPDDESMFFAPSILFLKSKGHNIHILCLSQGNADGLGNIRKEELYLACATFKIPAEQVKVLDHPELQDGFHEKWDHRLIAELTMEQAQLWNIDTIITFDSRGVSGHPNHCNVHYGICKLLRDHVQGHIEAWELVSLNIFRKYSGPVDIWLSSFTLSSSSKQPIYTLVNNSPTRSFEAMAAHKSQWVWFRRLFVLFSSYTYINVLQKI >OB04G36720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20750811:20753344:1 gene:OB04G36720 transcript:OB04G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGADPPAADGGGGLPGRFFGGERKYGRVGGVVPRGQEGDGGGGGGVLVRRRESSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQKDLHITEVQQELLVGCLSFISLIGSLAGGRTSDAVGRKWTIGLAAIVFQAGAAVMTFAPSFEVLMIGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHISWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRTDEAREVLLRVPASEGEAKEGLAEIEAAAAVTSAGKYDGKTVWQELTRPSPVIRRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLVATVAVGFFKTAFIALAILLIDRVGRKPLLYVSTVGMTACLVVLAATLAMLAHGSVSKSAGIAVAILTVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAVMNRVTSGAVAMSFLSVCRAISVAGAFSVFAVISALSVVFVYRFVPETSGKTLEEIEVLFGCDGEAAARGEVELGDGEHLVHKG >OB04G36730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20752720:20753373:-1 gene:OB04G36730 transcript:OB04G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVKTQNPHPLCTRCSPSPSSTSPRAAASPSQPKSTSISSRVLPLVSGTNRYTNTTDRADMTAKTEKAPATEMARQTDRKDMATAPLVTLFITAPSAAACDRSRSGKISELITQQMGPIPTEKKATSPHTVRMATAMPALLETDPCASMASVAASTTRQAVMPTVLTYSSGFLPTRSMSKMASAMNAVLKKPTATVATSSWLSVVIPASRKIVGL >OB04G36740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20755604:20756791:1 gene:OB04G36740 transcript:OB04G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAPSFAVLMMGRLLAGIGIGIGIMVAPVYISEITPATLRGSCASLPEIFISLGILLGYVSNLAFAGLPDHINWRVMLAAGIIPSISIAFVLLVIPESPRWLVMQGRTIEARSVLLKVTDSEDEAEERLAEIEEAARVTAAGKAVWRELLRPSPVIRRMLVTGVGVQLFQQITGIDALVYYSPTIFRDAGITTESQLLAATVGVGLSKTVFIVIAIVLVDRVGRKPLLYISTAGITACLAVLAASLSLLAHGALPRAAAIGTAILTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVALGFAVNRLTSGAVAMSFLSICSAVSVAGAFSAFAAISALSVVFVHVFVPETSGKTLEQIESLFGGSGGAGAGGGVELGDAEHLVHTRDISYSHK >OB04G36750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20758053:20761672:1 gene:OB04G36750 transcript:OB04G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:J3M2Q0] MGADEGILLREWRRQNAIVLEEKERKEKELRAQILIEAEEFKKAFYEKRIQNCETNKVHNREREKIFVASQEKFHAEADKQYWKSISDLIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPAPAAKEGAKDGAKDGAPAPANGTKQPAESKEKPANGAPAEAEKEQPAASE >OB04G36760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20760562:20766906:-1 gene:OB04G36760 transcript:OB04G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAYDKSPVNSNNNIHWIMAWTLSIDAITSGQSSCKGQGVNQPLLRTIQQYWIQCNICITIPEDSSSHVEVYNTATTTEDMSWCCIPKSKKGENPYSHGVSGIPTEKNIRLFSYSELRSATENFSRGNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGIREFLTEIDVITNVKHPNLVELIGCCVEANNRILVYEYLENSSLDRALLGSNSEPANFTWSVRSAICIGIAKGLAYLHEEIASPIVHRDIKASNILLDKLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSFGVLVLEIISGKSSSRSLLADDKILLEKAWELHEAGKLKELVDPEMGDYPEEEVLRYTKTALFCTQAAAARRPSMPQVVTMLSKPIRINEREMTAPGYIHDYKSTVSKATSSSNSRFKHSTSETSDMFSTVVPPTVSEMSPR >OB04G36770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20771589:20773396:-1 gene:OB04G36770 transcript:OB04G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILREFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAATWDDAAL >OB04G36780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20775488:20775688:1 gene:OB04G36780 transcript:OB04G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLKKSIRINFYINCRFFTGAPGLASSGGERLGGTCPSPRAWTIVRSAATSPRTTTGFFNSERPL >OB04G36790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20777431:20779035:-1 gene:OB04G36790 transcript:OB04G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEAHDVSDLCIGKPALRWLRPSSTVADAIAELECDGDRGPDAAVAVWDGKGAVAGRVCMVDVLLFLCADSNLASTGAALQATLADLLAAGPPPVRRIEPDASLVGEVSPSTLCSCDVSVAVAIAALLAGDLAAFVHCGAATSNATLHDLSCRLRRRNLLGMVDLLYSGDSSCSPTFSSSSSSSSSSSSSSDDEEDGFKNLVAAPCARRGNKQIMGRRPAAEAAVACHPGSSLVAVMLQAIVHRVTQVWVVDAADGDELVGVVLFLDVLRVLRHHLHQPSPI >OB04G36800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20785858:20788195:1 gene:OB04G36800 transcript:OB04G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDIDDRRQKAICTERYRRRDDDQNKRQVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDDRDVVDIATVTRENLRRLFMYIEKLPLVAPDNIFYAYDSHRMFLVLGCG >OB04G36810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20789018:20792819:-1 gene:OB04G36810 transcript:OB04G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT2G20740) TAIR;Acc:AT2G20740] MAGRVVRSCVQTALKTVNSVLGLAGMAVILYALWMLRAWFREVAELHHHHPVPWFIYTFIGLGVFMCLLTCSGHIAAETANSHCLSCYMIFVFFIVILEGAITIDIFLNSNWEEDFPDDPSGKFEEFKDFVRSNSEMCEWIGLSVVAAQVLSIILGMVLRALGPDRECDYDSDDDPSVPARLPLLRNQSQHGINYAEHILPQSSDSWSVRILDKANK >OB04G36820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20801900:20807174:1 gene:OB04G36820 transcript:OB04G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor-related [Source:Projected from Arabidopsis thaliana (AT4G17020) TAIR;Acc:AT4G17020] MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELEAYALEQWECFLLQLINSSQVERGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSSEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSASLSDSSSSKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVEMTLSHLYEDFPSKDMFEQCCDYARDHGCLLWEDAKKMRLIVRVEFHPEMREFLRRLR >OB04G36830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20807846:20809618:-1 gene:OB04G36830 transcript:OB04G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEWTPQCGGCCTKKYASLVQIPWRVFCKKGCDADGDTWDECVGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFDIPAEKVEQIKPNRPSKPPPPPVVERPTSSEPVVKGNDVPCTSA >OB04G36840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20811294:20815379:1 gene:OB04G36840 transcript:OB04G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) TAIR;Acc:AT4G04955] MATAAAKGRVLPLLAVAAALAAALLYRAPFSKSMGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKAGLINAITVGDYRSFLLRRPVVDYGDAVIMPGLIDVHAHLDEPGRVEWEGFSTGTRAAAAGGITTLVDMPLNSHPSTVSEETLKLKVDAAKDKLYVDVGFWGGLVPENALNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDDQIEDGLDGELDPKAYMTYLKSRPPAWEEAAIRDLQRAMKDTEVGGRSEGAHIHIVHLSDSKTSLGLLKDAKQNGARVSIETCPHYLAFSAEEIPDGDTRFKCAPPIRDSTNRDNLWEALLDGHIDMLSSDHSPSAPDLKLMEEGNFLRAWGGISSLQFVLPVTWSYGKKYGISLNQLASWWSERPAMLSGLKKKGAILPGYHADIVVWKPEAQFHLDDSHAVYHKHRNISAYLGRQLSGKVLSTFVGGNLVFAEDKHAKAACGAPILAK >OB04G36850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20822186:20825703:1 gene:OB04G36850 transcript:OB04G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKWDNNPHCDLCPTLETADHIILRCKTATQLWEKLHLLNLANKSSSISHFLEQVIAGNNSLKGIEPIWFATWLNVQWKSTSLYVVHNDDNQYDSLEQRFGEDPVYYHGKQSVDISFLPIKINFEKDFKREKVIGKGTEGTVYQCSSSFASKFSCAVKKINQEHFFILDPLCEPSEVAILSLLHHRNVIELYFAWSEAKLSRGHHYATGYVFVAMKHCPRSLKKYLNGRLELNFQTCNHIFKQIIEALAYMHKNGVVHRDIKPGNILIEDDLTIKITDFGIAKVKQQPNMSFAPGVYGSFPYSAPEINNMDKRHDEKVDMFSAGMIYGELFITPLGTREEKLNHLANLMRGRMKEYQESFTPFDLDVVLMRSIF >OB04G36860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20828618:20832263:1 gene:OB04G36860 transcript:OB04G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT1G65070) TAIR;Acc:AT1G65070] MAATAKPNLLLLPVAIPSSRAGLVCNARFPPLRLRIAAAASQALTSPVSAETPEARQMRLETEAALEWGGVREESGRLLEQTAAAVTLSAPLDFGGVEDVSAVIAAAAGGRLLAVREICGVGRSIRAARGVFDQLKRLSEETPDGRSYSPLLDILKDCDFLTELVQRIEFCLDSTLSVVLDRASDELATIRKERRKNIDMLESLLRDTSTKIFQSGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIKLNNMEVKLSGDERAEELAILGLLTSRIADSEMKIMHLMGKILELDLACARGSYALWINGVRPDFTDRDSGTRLDPNTECSIFIEGIQHPLLLEQSLSMVKESSEAEKGQLSNEHHVSPMPIPLDMQVRSDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGRPRLPWFDQVLADIGDHQSLEHNLSTFSGHISRLRKIVQVVSKDSLVLIDEIGSGTDPSEGVALSTSILKYLANKLNLAIVTTHYADLSRLRSVDDRFENAAMEFCLKTLQPTYRILWGSTGNSNALSIAKSIGFNQEVVARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVQRLYNEIRSEADDLDSRVAALRATESEKVQQELKFVKSQMDQIIKNLEVQLKNSELEQYNSLMRKAEAATASLAAAHQPTDFTFTDEENEGSYVPDIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKMKLVQRGTKDTPASSPVKAKFG >OB04G36870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20835240:20839961:1 gene:OB04G36870 transcript:OB04G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3M2R2] MAEGEGAAAAAALAGEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKAKHSVQNARKHLIEVILHHSLRRNLKARYHHNQTCPEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYISATRRQPALGRSYSFRSWSENTAIKCLFCFLAQFGQSVVRADYLILRKGFIMNHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVIAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARKRRRKHRGDDSTIRTETSTVCSLDDEDDDELFVESTPSRPYLKIQLQTRGGGGSTRPGTPCHHLPVVGMPPTGLRTQGSSQHALLQRQPSSLSAPRCRGRRVAPAPARRHA >OB04G36880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20841351:20841749:-1 gene:OB04G36880 transcript:OB04G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVTVQIPPALPALAVDQIIDAAGPADADDGNKKLRRALVGGGAGKISAALLLALFRSPGGVFVHSKVLFYAYYGILLAAALFGAAEVAAGYWVSASPSNRRRRIGKLLVWLSVVPIVAVAGVGGFAMLK >OB04G36890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20843339:20843737:-1 gene:OB04G36890 transcript:OB04G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSIQITSNAPGAVIAGDQMVDAAGRVNDGDEKKLQRALVGGGIAKATAALLLAFFRSPPPGGMLHSALLFYAYYGILLAVVVVGAAEVGAGYWVSRDPANRRGVGKLMVWPSVVSLVIVAGLGGFAVFK >OB04G36900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20845156:20849529:-1 gene:OB04G36900 transcript:OB04G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGEDELGGGGGGAGGGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNVCGSRHGDPDLHELDVRYWMNPNQVYQSGVKDSKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVFWSCQYIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSAVLKRYVADIGKSWPVLIVCGGLLPLFLSAIWLLLIRYFVAAMPWITVFLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHISGREISHLHAATVVMTVVMIIAFLCSIAIVRRILTATPVLKVAAKVIGEVQALIIFPVVPYFVLAIFYMFWFSATFHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSMHYTPHIGVAIFFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHEIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKVTSSSSQCCLGCVDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLIPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLIETLDEQNELQRLTQGP >OB04G36910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20852466:20855215:-1 gene:OB04G36910 transcript:OB04G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFAFLVAKLISTVIAFKEDNLRITRSPPTSPAAPTTPATSAPPQASLEGVRGDTSDDGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLQLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQKYITVVDELFPNWSMGSSTKKKDEDTTVSASSSKGPMGPVFSSLMYEEEDQGNDLELGDIHVSAREGAVDDITKHLVAGVQVNLRDSEGRTPLHWAVDRGHLNSVEILVNASADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADLQIKDEDGNTVRDLCPSSWSFMNQAN >OB04G36920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20855797:20857596:-1 gene:OB04G36920 transcript:OB04G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G24318) TAIR;Acc:AT5G24318] MHNLHTALVTALSPHHREIKVSTPHSLGILAASSPPSAGRFHGGYDMAVVKPLLRFLRATGAPFMVNAYPFYGLTNDTLDYALFRMNDGVMDNATGLVYANMLDAQLDAVHSAMRRLGFSDVDIVVAETGWPSAGEYWEVGAGAVLARDYNKNAIRHLGSGVGTPLMPNRTFELSIFSLFDENLKPGPVSERNFGLYHADMTPVYDAGILTVPQEVAPAAAPAPRRWCVPKPAADEVALQVNIDFVCGQGGIDCGAIRPGGACFDPNNVQAHAAYAMNLYFQSNGQHAFDCDFGETGVVTTVDPSYASCKFT >OB04G36930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20859083:20861911:-1 gene:OB04G36930 transcript:OB04G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:J3M2R8] MTSLPDKGVSPSSSDPLSEGNAAPSHSSSGQEDPSLKQSKTSILSCVFNSPFNIFEAHQDSPANKSPKSSSGSYGWSRVLRRIVCTGSMWRFLGVSKVLTSSDVWFLGKCYKLVSEESSSDSDSESGHAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALLFHHLGRSWRKPSQKPYNPEYIGILHMFGDSEAYAFSIHNLLQAGRSYGLAAGSWVGPYAMCRAWQTLVRTNREQSEVVDGHGSFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKLNPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNLEADTSSYHCSTMRDLALDLIDPSLAIGFYCRDKGKLLLLSDKCWSLLSSL >OB04G36940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20863430:20866225:1 gene:OB04G36940 transcript:OB04G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLVCAKGLEDTDLLNNMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFVFTVSGDVPELNVKIMDSDTFSADDFVGEANIPLEAVFLEGSLPPAVHRVVKEEKYCGEIKVALTFTPAEETRHHHRTEEEGYSSWN >OB04G36950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20867527:20870100:1 gene:OB04G36950 transcript:OB04G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphomannomutase [Source:Projected from Arabidopsis thaliana (AT2G45790) TAIR;Acc:AT2G45790] MAAARRNAGVLALFDVDGTLTAPRKVVTPEMLQFMKELREHVTVGVVGGSDLVKISEQLGKSVITDYDYCFSENGLVAHKSGELIGTQSLKSFLGDDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIFESDRTIGHTVTSPEDTAQQCRSLFMSK >OB04G36960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20870879:20874503:-1 gene:OB04G36960 transcript:OB04G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAQVGGGKATLAGQQESFSDKMNSAAGYEDGSEEEEPYEREFYDDEDDDIDDTKCVDPCDDSVLKEELHRKHIHEVESYDDLVVGEEECVTNPCALRVFKMERNEQELKQAQKMCNNIKENEIPAAKEIGTKPFKKRLVKFADDVSCYTYSTENFASAKLEKRKAQFDDQDKHLHKKQEHTPPSFPRDGSKLKEVDNTNLYVGNLPASVASHKLIEMFLPFGRIVRSRVVDDCFTGLSQGYGFVKYSDPHCAAEAIKRMNGRLVEGKALEVRVAGFPSSGSNPSIHAVPEDDNQPSKETNMTKLYVCNLSLSMNTDRLIDLFLPFGEVTSAKVARDHTTGLSKGYGFVQYSSSHHAAEAVIHLNGRLVEGRKIEVRVSGIPPKQPNSAVESPITTRTIKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITHARVMADPDTFSSKGYGFIKFTDSESAAKAIAAMNGTMVGGEMMAVRVARLSPSASSSAVQTSAEINKSKLYITNIPRSMTADTLINLFAPFGQISKVLMNLEYSLVWYADVPSATKAVEHMDGYLVEGKRLVVKGAEPIPTNFPESAFPQTGGKTKEIDMANLYVGRVPSSVTEDQLIDLFRPFGWIVQARMFPFSGYGMVRYDNPSSAAAAIDHLDGYRIGGSILTVRVAWLSAESIAATSAPTPWMPSNEQRQFDMTNAVESAFPQPGGKTKEIDMANLYVGRVPSSVTEDQLFDLFRPFGRVVQSRMFRHQGHGMVRYDNPSCAAAAIDHLDGYRIGGSILNVRVAGLPAESNAAPNAPTPWMPSNEQRQIDMNNLYVCHLPRYINTERLIDIFLPCGQITQAKVVVDRYTGVSKGFGFVRFADPYSAAVALTHMNGYPLDGHVLEVRIAGVQPAAMSSYMAHFYSHFTVHDPAKAAVGIPTSYWPYYYDESTYNTVSEIQGQGTTTSATDASAQTSQKEQLPGSKSGDLVAEKDYSSQSQSAAWAGPPGFEPHAVSKKYAAGSNASQACSKDHLAQSGDGHKRSSIV >OB04G36970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20877046:20881130:1 gene:OB04G36970 transcript:OB04G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00590) TAIR;Acc:AT4G00590] MAGGDGGGGGGGGGGGGERELVAGGGGGNESPNPGPSRRFFMAVHVGAGFHAPANEKAYRRAMKRACNAAAAVLREGNGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGTTSTFGSVGAVQGVKNPIQIALHLAREQMIGSSLLGRIPPMFLVGEGAFQWAKAKGLNLPETTSEGNSWLVTENAKALWTKYRSLLSSAKELANNSTGSGSESCSVQLEASGSEAEDITGVKKMKMITQSIMEDDQDCVMDTVGAICVDSHGNIASGASSGGIALKVDGRVGLAAMYGSGCWAASKGPFGTPFIVGCCATGAGEHLIRGFAARECCISSSLIQSGPASACTKVLRQVVKSSSEMSHDTGAGLLLVQADVLKRGKLPALGAAELVAAHSSPSFGVGYLGSNMNAPKVAMLRSSKTVPSGTINHFATRVNFDAQSEQ >OB04G36980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20881295:20890589:-1 gene:OB04G36980 transcript:OB04G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRFASGVGPSRTAPRPGLQIGATGNGFRTCSLRRPRHRCGGGNPIGASAFRGCGSGGLFHLAPKLGSPLALRTRGRALRCQGNDSLSYVDGSLEGTNGSVMDSTEDEAKGSGLDEEKGNDDTDNLRELLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVATVQEIISKEDDAKEAVRKATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQEEIKECQESLSKCEEELNRIQEKKMGLQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISSVDAVVELPAPAEEQASGEEDSVSEVYDYSTDGINDISERDEVSNVERLMDGDLAVEGIEQLESSREMSDEESADKLLVEPQKEAEPEIDKSKQGKKQEIDRKDSPSSNTSKASLKRSSRFLPASFFSSKADGEFTPTSVFKGLMKSARKHAPKLVVGMVLLGAGVFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPKRVKKLIELLPRQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFAAVPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIVGKTMLVTFIGRVFGISTIAAVRVGLMLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKSVTSDDESETVDGALAI >OB04G36990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20892026:20898074:-1 gene:OB04G36990 transcript:OB04G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) TAIR;Acc:AT4G25540] MDGVSRNGLEAVLLGLAPVEIILGTPMSFATEKLMMAYAGPSSNVRVERTSRVCFREGGALAELLSLFEKPGVDVPTNENDRCLMEINEEDNNPCGIKAIMTMPELVVQALALSVRYLKGFGMDRIICFGSSFRPFTANTEMSLSANALQQLEVLKNHSTGSMDGSLFQTINNTCTASGSRLFRHWLTHPLCDRNQICARHDAVSEISESIGSQRYSINNPQDEEDRSCPSSVRSDLSTILSSVLRMLAGTLDVQRGITRIFHCKATAKEFVGVIQAILTAGKQLQKLVLHDTDTMSSQHRTVHSPLLRRLINTASSCTVLANAVKLVSCLNKDAADQGDMLNLFIASVDQFPEVAEGHVTVEMAKQKLELLIIEYQKQLGLRNLEFKTISGTSHLIELPLDRKVPSSWMKVNSTKKTIRYHTPEVLKNLDDLLLAKEKLAVICRTTWHNFLADFGKYYAQFQATVESLATLDCLNSLATLAKQNNYVRPNFVHDHEASQIHIKDGRHPVLESLLGVNFVPNDTELHANGEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSARLHVVDGIYTRMGASDSIQHGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHHLLKEKKCMVIFVTHYPKILNIVREFERSVGAYHVSYLATRKLLELADRQVVINNTGMKHLGEITFLYKLVAGASDRSFGLNVALLAQLPSRCIERASVMAAKLQEELSVREKNKFGRLIDVPWESPPKVDLLCAQPYQGLAEACHKILSNITSSQNNDDLADALSSIREARDIALKAIKGC >OB04G37000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20899602:20902854:-1 gene:OB04G37000 transcript:OB04G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, 25kDa subunit [Source:Projected from Arabidopsis thaliana (AT4G25550) TAIR;Acc:AT4G25550] MVNSSSSVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >OB04G37010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20905444:20905797:-1 gene:OB04G37010 transcript:OB04G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHALPLARIKKIMKRSAGESSGVDGGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVAAAVQNTDLFDFLVDVVMADDHDAMD >OB04G37020.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20906955:20910182:1 gene:OB04G37020 transcript:OB04G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine deaminases;RNA binding;RNA binding;adenosine deaminases [Source:Projected from Arabidopsis thaliana (AT1G01760) TAIR;Acc:AT1G01760] MLSSSPSSPPPPPPPPWDGAPWAETTSSTALQHYHSLPKKGKPQGRESTVLAAFLLSTPQDAQSLTVLSLATGTKCLGTARLNHHGDLVHDAHAEVVARRALLRLIYTEVGRNSAPDWLVASGTGGKWKMRDGHHLHLYITQLPCGVMPVPPSPSELLREQLDSVNGCSDIKFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGVLEGFSVENNIKKVLDARLSSLSSKLPSPFKLNKPLFFEAPIPPKEFQQTSGDVPPLTCGYSICWNSSGLHEVILGTTGRKQGTSSKAACSPSTESLLCKRRLLEAFASLDNFSVKKLDIEKLSYRGIKDMAPEYQQTLELLRKAPFFSRWSAKPSPLDTFTVSRKCMLFSRWSTEIPYKFYSLKNLQAYYKAFGVMRHSCHLAQICKGKANV >OB04G37030.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20917069:20922403:1 gene:OB04G37030 transcript:OB04G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCGWGGGGCFVLRLLLALQCGAVLFQCGVASDVSALIAFKRAIIEDPKSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLNSISVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGFMAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSIRQRAFQICISGSAAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGVLLLVFVITGAITASRSCKLKPSIKISSWNRSKSWSDEITVLIDSDVLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSHHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKHKHEKAPGRISNKSSFPGNLDSSEDKQADIQANTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQPEEIGKLVDPELTNVRTEDLAVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >OB04G37040.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20923917:20925626:-1 gene:OB04G37040 transcript:OB04G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G48990) TAIR;Acc:AT3G48990] METPTLTTLLKAAVASFPSRRAIAVPGKLELSHAALDALVDAAAARLAADAGVLPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARLLITNAEGNVAAQAAASKLGLTHTTALKDAAGQVHLSAFPSSGAVQDHPGFANEPSDVALFLHTSGTTSRPKGVPLSQRNLAASVQNIRSVYRLTETDATAIVLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFWTDMRAAGATWYTAVPTIHQIIIDRHTSKPEAEYPALRFIRSCSASLAPVIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPQDGPRKAGSVGRPVGQEMAILDEEGRHVEAGKSGEVCVRGANVTSGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLGHPAIAQAVAFGVPDAKYGEEINCAVIPRDGVSLSEEEVVSYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVLPAKA >OB04G37050.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20932471:20935500:1 gene:OB04G37050 transcript:OB04G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVVSAHDLMPKDGQGSASACVELNFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYIYNINKSMDGSKSFLGRVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNLLPAMDPVSNNPPPTPAEQIAAEMVGPNLSTSQEHRAEVKTLHTIAKEVHHQHQGHLPASFPEHPSKYAVDQMKPEPQQPKIVRVYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARELPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVIVRDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLDDASAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVFIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRIHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTVVNNPNPKFNEQYTWEVYDPATVLTIGAFDNGQLGDKGGEKTSSCKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYARPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMSDFDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPEIVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLIAAIVLYVTPLQVLAALAGFYVMRHPRFRYRLPSIPVNFFRRLPARTDSML >OB04G37060.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20936250:20937835:1 gene:OB04G37060 transcript:OB04G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M2T1] MGAAESSSKLARGIHEFTVKDARGNDVELSRYKGKVVLIVNVASRCGLTNSNYNELGQLHEKYKDEGLEILAFPCNQFAGQEPGSNEQVVEFACTRFKVEYPILGMVDVNGSNAAPLYKFMKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTSSPLNIELHRHDYSSADGCLCRMTSRTC >OB04G37070.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20936961:20940878:-1 gene:OB04G37070 transcript:OB04G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSQSDLSYYAHQQQQQQQQHRMLGGGGGAGHSASPLAGMHGGPSVIRPMPNMGMSPTAILQSIGGGPLAGMQFQMDAAPPSLLHNSMGSVSASAGSPPVPAAQGAATPMEPVKRKRGRPRKYGPDGTMKVSAAAVAAQQQMMSAPPRMGSMSGADMVGSSAGMDDAAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAAAAMSRVHGSSPYKNPAIYEGLYEILSISGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASPVQVVLGTFVQGGSKPKSKKAGKQQQQQAAAAFSSDSLTGGQDASPSSGHNQNLTPAPPVTTGGWPSSGIFDTRSSNIDINSSRG >OB04G37080.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20943522:20944019:-1 gene:OB04G37080 transcript:OB04G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMHAWVAYIQSGGPAGGAKRARMQLGRVVLSTEGARETXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDVARRPRRRDFPSGRRTAQTSDRRLHTRCKLPVVLCAVVERDIAWKLEYYYLWPGSNISHLFVTCEPSTTSYRFIHR >OB04G37090.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20944091:20944267:-1 gene:OB04G37090 transcript:OB04G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHRKAGLDWTDDVLESRSNGGETMAGFGFLGMQGAVDERYDVSVTRYPSIHRLTS >OB04G37100.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20958385:20963846:1 gene:OB04G37100 transcript:OB04G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKVVSCCCCCRSQQHGVVIESTEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWESQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMEIPRGGATSVQSLALSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFPAAIDCYSQFIDVGTMVSPTIYARRCLSYLMNDMAEQALSDAMQALVICPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSSSGH >OB04G37110.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20967409:20974494:1 gene:OB04G37110 transcript:OB04G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04810) TAIR;Acc:AT5G04810] MQFLSLSAAVAASPAAVLPPKPFKPLSSAAPYRRPSPPPPPPPTPVSSPSPPPPPPPPRSSPPQNPLASKLWLSSKLSPPPPETLEQPAPSPTVTPLPPAEAEPEPEAAPRRDEDFRHKGKVFVGNLPLWARKVEIAEFFRQFGPLEKVELVRGHDDPERNLGFCFLYYGGDDAEAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRERWVDDGRRREARSPWHESRDEACRDFRRVVESRPDNWQAVVSAFEKIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCIEEMKSEGLELTIVTYSILISGFAKINDAQSADNLFKEAKTKFGSLNGIIYGNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNEKKCLMVFERLKECGFKPSIISYGCLVNLYVKTGKVAKALSTSKEMESHGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMIRSGLQPDRTIYNLLIEAFCKMGNMDRAICILEKMQKERMQLSNRAFRPIIEGFAVAGDMKSALDTLDLMRRSGSAPTVMTYNALIHGLVRKHQVERAISVLDKMSIAGITPNEHTYTIIMRGYAANGDVGKAFEYFTKIKEAGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTYIYNILIDGWARRGDVWEAEDLMKQMKDDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVGVGLKPNVKTYTTLIKGWARVSLPDKALKCFEEMKLAGLQPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMCENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEASSSISTRESEDYSDSDFSDDDNEDHDIDDS >OB04G37120.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20977828:20978061:1 gene:OB04G37120 transcript:OB04G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVESPASSCVSSDAEEEAAVAKPMVVAGCPQCLMYVMLSEEEQHPKCPRCKSPVLLHFLHGAGAGASNKPPSKS >OB04G37130.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20982265:20984206:1 gene:OB04G37130 transcript:OB04G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGGASSNVVGTHARARCAPRFLPPLIQFNCTSHIAVPRNFRLLEELERGEKGIGDGTVSYGMDDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMACVNPDTGLVEGNKLHMLANWQREYTMENILTQLKKEMAAPHNRKLVQPPEGTFF >OB04G37140.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20987899:20989031:1 gene:OB04G37140 transcript:OB04G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEFVAARKKPPPVMPVEFAAGRKKPPPGIAVLPSGFAGAGRKKQPAPVEIRQVWAHNVEEEFRIIRNAIDHFPYVAMDTEFPGVIHRPSKHPALLTANDRYELLRRNVDALHLIQVGITLAASPTAPPALAFEINLCDFDQRVHRHRVRRHAPESVQLLESHGLDLAAHRKHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLIKLLMGRKLPRSMAEFLNLVRVFFGDDVYDVKHMMQHCGDLYGGLERVAATLQVKRAAGRCHQAASDSLLTWDVFRRMRELYFLKEGVQSYQGVLFGLELDLHSAKNTASLAAR >OB04G37150.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20989296:20989487:1 gene:OB04G37150 transcript:OB04G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERQRTGHRVACWGQRASHISHCVWSECMYDKKKRVLPIVRCTRVLVIVGERLTRFELMSVARV >OB04G37160.1 pep chromosome:Oryza_brachyantha.v1.4b:4:20997591:20998076:1 gene:OB04G37160 transcript:OB04G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLRSTSEPAAVNVNDDDDDEEVIIRGLRSSNRRLFFEPDSTSSIVVKGRPAAAAAAAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMNGGAHDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLVVALASPPSSAAACSSSSAFLPLQAAVKKGSQIN >OB04G37170.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21000006:21002836:-1 gene:OB04G37170 transcript:OB04G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome biogenesis regulatory protein (RRS1) family protein [Source:Projected from Arabidopsis thaliana (AT2G37990) TAIR;Acc:AT2G37990] MAYDPSHHLAGASSSREVLREECLRKGTELAQAVADALFALPATEGRDGPMVRLPPPTTRLPREKHLPKPKPPTKWEQFAKMKGITKHKKNKREWDEQTQSWKRTYGYHRVNDDKDIPIIEAKATDEPGVDPFAQRREEKKKLVEKQEKNRLENLKKAAKAGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKPPKNPGKHRKFLPVAEGKGMGNQEKQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRKKDKEKSSSANKLKPQKKPLKKSSKKKA >OB04G37180.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21009005:21009619:1 gene:OB04G37180 transcript:OB04G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVPLARSASARPPYSVYYYLALSAISVGGKNVQLPERAFVAGATGGGAIVDSGTTFTYFDRTVFEPVAAAVVAAVGGRYIRSKVVEEGLGMSPCFAMPPGTKTMELPELSLHFKGGSVMNLPVENYFVVAGPAPSAGAPAMAEAICLAVVSDVPTSSGGAGVPGGGPAIIFGSFQQQNYYIEYDLQNERLGFRRQQCASSSS >OB04G37190.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21013002:21013619:-1 gene:OB04G37190 transcript:OB04G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTVGVLALIFYLIFRPHMIAATVDSASLVQFTLAQNSALAYNLNVDLTVRNPNKRVGLYYDNVESLALFDGQRFGYAPLDPFFQSTEASTKLSPSFKGQQPLTGDITAANFRSQQTSGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPVPNSNSAAFQPTDCKVWF >OB04G37200.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21017724:21017963:-1 gene:OB04G37200 transcript:OB04G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNIEMLCRGCGTYIGERNAGPIQNQKKKKKQRPKYSSCHAQHRPTFSRESLGPFTIGRVLLRKQAQAAIRLERISSL >OB04G37210.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21020742:21021410:-1 gene:OB04G37210 transcript:OB04G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKDCGGHKGCECEEKKLRRKVVWAVVALLLLTVFVVLVVWVALRPHKPRFYLQDLSVLCLNVTPPASTYLFTTMQATVAARNDNGRVGVYYDKVDVYAQYKGAAITVPTQLPVEYQGHYDQSVWSPFLQSLDHVVLPPYLAVALAQDETAGYVLIDIRLDGWVRWKVGTWISGHYHLQVNCPALLTVNDGKGSYGGTTGGGDGYFPLPPGQAAACSVDV >OB04G37220.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21026861:21032049:-1 gene:OB04G37220 transcript:OB04G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAEAAIRAKVKQLREAIHSSEAILEEAAVIGTSLSSHKAAIDGAIRPAKKRTHMACRAHDNVRRSLRAAGTILRHVDLVSEAEHVILLDRLNEDLNTYLEAVDKLRSAKYFFTSKRSCRDGNDVREHVNELLSKAVHGLENEFQRLLTKCSKPVEFEHIFNCLPSLDQQLSSQNLIGPSADGYSEAQLNQYDLCTLPTLIDPCYLTLLSKLSQKSVQLDCHQKFMEIYREIRGSTLEQSLKNLGVEYVTKEEVQHVQAQTLDAKIHHWRQSFRITVKLLFGSECMLCDQVFGRKCTWKDNCFAEVTTKSLSTLLSFGEAVVQSQTSPDKLGVLLDMYEATSELQPEVEAIFVGNACSENRKSALALIKSLSQTVKNTLGDFMEYIVNHSAMSMTVDGAVHPLTSYVTDHIKIHFKYQPLLKQIFGESCVGDRKDTDVTSQLFGVIHALETNLATKAKQYKDLSLEHLFLMNNIHYIVKSIHRLEAKDLFGADWIERQRRIVQQQATQYRRIAWLKVVESLSTQGYISLVGYSTDATQGSFSFRNIKSSATSRSVIKERFKRFNMRFEETFQTQINWDVPDRDLRETLILTIAEILLPAYRSFLKHFGPLVENSPGALKYMKYTPESLEQALGNLFAKKLRSDQATTNSDGNKHPA >OB04G37230.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21032715:21038589:-1 gene:OB04G37230 transcript:OB04G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSGTHAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNSLLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNPSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFDCSQSLRDKCFSQITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERFRSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVEVLLGNLFEGKQERT >OB04G37240.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21043269:21043870:-1 gene:OB04G37240 transcript:OB04G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAASPPPAAVLPVVFVDGDQSVDLGTVTVQPSLGVRKLQAVVADRVGLAPQQILATLAPEGXXXXXXXXXXXAPRGEDPGEDHPKAPPADGSGLPGLDPRAVADIRGVGLRGPAAGAAAAARVVPHAHGGGGSVLPARAGARGPAPLVFPAAAAGDAPFVLPGVRGGGVRHAGAGVPLVRARRGHRRVPLAGGAN >OB04G37250.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21045950:21049796:1 gene:OB04G37250 transcript:OB04G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVARLPSILSPIRTTTATPRRLPSSALRLARSRPLSSSCSASPLAVVASMESPPEGYRTNVGICLADPSLTKIFTASRIDIANTWQMPQGGIDAGEEPRAAAVRELREETGVTSAEIVAEVPVWLTYDFPADVRDKLNSRWGGTNWKGQAQKWFLFRFTGKDDEINLNGDGSERPEFCEWTWMPPQQVIEKAVEFKKPVYEEALKHFAPYLQSDPATSS >OB04G37260.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21050813:21054890:-1 gene:OB04G37260 transcript:OB04G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLRLLLVVAALLLRPPAADACAWPHISCDRAGRVNNIDLKNAGLSGALPPTFRSLDALQDLSLQNNNLSGPLPSFRGMASLRNAFLNNNSFDSIPADFFDGLTALLVISLDQNPLNLSSGGWTLPADVANAQQLQTLSLNSCNLTGAIPDFLGTMNSLQDLKLAYNALSGPIPPTFNGSGLQTLWLNNQHGVSKLSGTLDIIATMPNLQQAWLHGNEFSGPIPDSIGNCKRLNDLCLNGNQLVGLVPPALENMAGLKSLQLDNNDFLGPVPAVKAGNYTYSENGFCADKPGVPCSPEVMALLQFLAEVDYPKRLLGTWSGNNPCTGWLGVTCVAGKVTVLNLPEYGLNGTISDSLGNLSTLSDINLVGNHLTGHVPDSLANLKSLQKLDLSMNDLTGPLPTFSSSVRVNVTGNLNFNGTAPGEPPKDASGSPSSSTPNLPGRGALPENKKKGSAVLLATTIPVAVSVVALVSVCGVLIFRKKRGSIPPNAASVVVHPRESSDPDNLVKIVMVDNHDGNGTSSQGNTLSGSSSRASDVHMIDTGNFVIAVQVLRGATKNFTQDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEIAILTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQFELEPLSWKKRLNIALDVARGMEYLHNLAHQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDESRLDEDTRYLASWFCQIRKDEERLRSAIDPTLDLTDETFESISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNDETEDYMGIDLHQPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGR >OB04G37270.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21061732:21063170:-1 gene:OB04G37270 transcript:OB04G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGGGATSSGFRGVCLVMAVIIVLSIQAAAAAAVGGDGGGNQLQQLNLRASPVYVLGDSTLDVGNNNDLPGKDVFRANRPYYGVDYLPGFLRPTGRFSNGYNVADYISRKLGLAKSPPAYMSLVGPLNFTLVLSALTDGVSFASGGAGILDSTNVGQCIPLSAQMRNMEATRAAMAAKVGDRTVADLFSRSFFLLGVGNNDMFVFATANSNATPTQVAAFYSALAGNYSDAITGLYRMGARKFGVINVGLVGCVPLMRLQSPAGDCSRGLNSLAAGFNSALASLLAGLASRLPGFTYSLADSFGFAEEAFADPAASGYRSVDTACCGGGRLGAERECERGCSLCSDRDQVAFFDRIHPCQRACMLSANAYFDGPAQYTKPINFKQLASARSSSAPWATA >OB04G37280.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21068896:21070114:-1 gene:OB04G37280 transcript:OB04G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3M2V3] MEEQQEQVEVPSYFLCPISLQIMRDPVTLPTGITYDRDGIERWLLAASTCPLTKQSVPADCDPTPNHTLRRLIQSWCALHADHGVELVPTPKPPVDRERVAELVSRVQAATSSAAGLLDALRELKDVAAESDRNRKLLAAVPGAVDILAAVVVASCQDAKATCDEALEVICSLQLSEQCLMGVVERNEELVDALVATLQRSNTTSRAHAALLLEAVTAVMPSNWLVSLPEEVFGEVVQLLREKEMAQTGAVGKLCVVAQSEQCGERTRERARETLRLHARAGGDSPCLHSPCLQPHLQALYPY >OB04G37290.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21076211:21076549:-1 gene:OB04G37290 transcript:OB04G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHQPLTVCSSSFQTLSAQESRYQMAHPRRRIAIALLFLLAATFFFARCVDGARSMQTSYLNKPPSVSTATAVSSGRLFGYLPRAKLIPPSGPSERHNDVGPESDGDELIRKP >OB04G37300.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21080247:21087196:-1 gene:OB04G37300 transcript:OB04G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSNNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWLAGLNVLISPGQHGSLPQHMDVIRNGSLSFECGRDSSLSSSSAYTTDSLENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGDDSESFGDVYVWGEVMCDPTCRQGSDSNAYSACASTDVIVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTSVFQPRLVESLSICNIETIACGEFHTCAISATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETISCPKEVESLKGLRTISVSCGVWHTAAVVEVIMTQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAACGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGAYHVAVLTQNGEVYTWGKGANGRLGHGDIADRKTPTLVEALRDRSVKRVACGSGFTAAICQHKSISGMEQSQCSSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGVSYNKNTSPRLPGDTKADKMDSKANRVASANSSDMIKNLDVKAAKQTKKHDYPPQFPVILQLKDIPFIGAADQKSNDSTHTSRLLRLPNLNSSSSLSSESYEILRDANELLKQEVQKLKEEVNSLRQQREQQDVDLQKSEAKAHEAMTLAAEEASKSKAAKDVIKSLTAQLKEMAERLPPASGDIKQARQTYLPGGAVSPEMGRENQKRYEPGSFQYPQTPTSVASARFNGFLSQAHQISETNGNTMVPQDSRHDSNGNAKEFPVVQQMANGGGMTGYRPRTEDHDRREAERFQINLHGFNMRGSSSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >OB04G37310.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21094323:21097432:-1 gene:OB04G37310 transcript:OB04G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWAGPLSSAAQLGVLGQYFFLFEGIPGPSLVRWFGPGLDQIVTAHMAPGKQRGKAKGAPPPPAAAGGGVPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPPPPPAAGGGFPACLRLMPPSTVAISIHAKPGSKIATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASA >OB04G37320.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21099055:21101121:-1 gene:OB04G37320 transcript:OB04G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15130) TAIR;Acc:AT3G15130] MERLKMIADLLRASARGSSLRAGVQLHAVLMKLGFGSDIMLNNNLIDMYTKCGKLVMAGEVFGEMRERNVVSWTALMVGFLQHGESRECLRLFKEMQGSSTAPNEFTLSAILKACGGGTRDGGQIHGVCVRTGFEGHDVVANSLVVMYSKGRCTGDARRGFDATPLTPFRSLATWNAMISGYSHAGYGRDSLLVFREMRRQHDEQPDEFTFASLLKACSGLGAAREGAQVHAAMAVRGFSPVSNSILAGALLDVYVKCHCLPVAMKVFDRLERRNAIQWTTVIVGHAHEGKVKEAMQQFRRFWSSGVRADGHVLSSVVGVFADFALVEQGKQVHCYTAKTPAGLDVSVANSLVDMYLKCGLTGEAERLFRGMPVRNVVSWTAMINGVGKHGHGREAIDLFEEMQAEGIDADEVAYLALLSACSHSGLVEECRRYFSRICQDPRLRPKAEHYACMVDLLGRAGELREAKELILSMPMAPTVGVWQTLLSACRVHKDVGVGREVGDVLLSIDGDNPVNYVMLSNIFAEAGEWRECQSIRGAMRRKGLKKQGGCSWTEVDKEVHFFYGGGDEAHPQGGDIRRVLRDVERRMREQLGYSGDAQFALHDVDDESRAESLRGHSERLAVGLWLLRDGMDGGGEVIRVYKNLRVCGDCHEFFKGLSAVVRRVLVVRDANRFHRFQNGACSCTDYW >OB04G37330.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21102369:21103146:-1 gene:OB04G37330 transcript:OB04G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAETTVGGYELRERLGGRPPSTVVWRAVSRSTGCPVAVKQVRLAGLPGTLRDSLDCEVCFLAAVTHPNIIRLLDAIQTQSSLYLVLELCEGGDLAAFIEQNGRVEERVARNFMRQIGAPHAPCRPQGLETRGPRSQTNQSNQPIYLLIFNYLCF >OB04G37340.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21103763:21110479:-1 gene:OB04G37340 transcript:OB04G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDMEEGVGESSGPSPRAAAASAAAGLGYGSGGGGGGGGGFSTHGNISKAIYDRLVAIGNEEAISNPSLRLELDEHIERLPTSYSIDLDVNKPEEVLLHRRILADCADPDKRPIFHARFLRVESGSTPRENGNGGGFLPVNLRDDEFTESEPYERMMEDLSLERGKGGDDFEAISARRDPKDILVHEIIFSSNDKPKLLSKLSALLSEIGLNIREAHVFSTTDGFCLDVFVVDGWDTEETDGLLQKLKEAEAHSHGSLSNSTNSSASEKVLELQEKIGDSDFDRTLLQIGKKIASGSSGDLYRGTYLGVDVAVKFLKSEHVNESSKVEFLQEIMILKSVDHDNVVQFYGACTKHRKYLIVTEYMSGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGDMTAETGTYRWMAPEVINHKPYDHKADIFSFAIVLWELVTSKIPYENLTPLQAALGVRQGMRMEIPPRVHPRLSNLIQRCWDENPHVRPVFSEITVELEDILRYVQVPKAGSRHPKAKIQKKSPR >OB04G37350.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21117309:21118425:-1 gene:OB04G37350 transcript:OB04G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVFVVDEHSRKVTLARDLLAPFIAATATGRYRHDDGLHCRCVLLRDLDQSTKIDDLSAHVAAATGEAIEAVALCNLWQCAVVVFRKEASVAIAVKTTTTLGRCNEPPAGTTATGSFDQLHPDEIPSHTGTTSEVNIQSESPRDSSSQTD >OB04G37360.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21120884:21125080:-1 gene:OB04G37360 transcript:OB04G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHYPYARGGIVTKFLKTYCTESSEIVQIYEIFRTAFCNREKSGGDTCGNIGAYDLPKVGKVAFRRIKDLISCLYSVTPGVFTDPNFLERSLVVDGVTPYTSPQDLLKIFSFVAVEAAVLVRDSETGYRVGLVVFADVLDMSAINLNPHWGLYATCIPASSHGDPRQYIRDALKDESTRRPSAELLRSLVPPQFLLEDKDKDLHLRSVFVRGVVDRRRKRGRPGGSAYGLCCLATDHLLARGYICAAVACWVEDAGLLVYDDAGTTELLARRAPRLCVKSIGLQMQDTSLLPLLQSESDLEASELRRMLPPYITQQQEGEDGMLGRRVMVLTGIDTQQCRCDAAEIAYFLQHQVGLLEVEAVIVHRALQKVVVVLGYGRDAVVVLREPPETWVHAFGQQATWTSVFVCPPPPPPPDGPASVLLLDDLQLWSPRDRMNPVDYCNVRTWIYENIGPGGRVCYSDIVHCLTGICALGNPATVWSRSFPHRALVLSGISCGTNRSDLCRRLSRFGWLDDVVYDHSTGIALVVFISPFEASRLYGVPGYAPQRVLWNHLGFTDCRPPCPEDAHVTIARRVLDRLALHL >OB04G37370.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21128051:21128347:-1 gene:OB04G37370 transcript:OB04G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKNFGSTQALALPKFWLARVENIYLDRCQFELRRNRRISLNARIWDVVQMSCTTSSSLLKLLPSCFSPTHLLLFLQCPAKLPATIIFLELNRTTLM >OB04G37380.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21129095:21129271:1 gene:OB04G37380 transcript:OB04G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTQQACLSCSFWMTSAKNNQMSTRIIKRSIVSNKRNGCYSTQTLKRWTKNRIYRW >OB04G37390.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21129859:21130861:-1 gene:OB04G37390 transcript:OB04G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G76920) TAIR;Acc:AT1G76920] MPSTPRPPPLAPLPFSAFLPNQSFSPVASSPSLLYLWVEAAASTPPPSLPSSASSSSSSSSTAHPPKSLAVCNPFAGTYSFLPRSDLPGRATAPSSLARRRRACPHRARRPLLHPVRIRQVDEASPLAPLQATEPYTRSGAGAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNTMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGTTGGKWDWVDGVPGYSDGVYRGFVFDGGFTAMP >OB04G37400.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21134113:21134775:1 gene:OB04G37400 transcript:OB04G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMNDTNNKLQVDAENPAVVPDDDDLAQRANWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVISGFAGLLAGACSMAIGEFVSVYAQYDIEVSQIERDGDNADAAAKEKLPSPTLAAFASGLAAXXXXXXXXXXXXXXXXXXXXXVRAGRRRAGPRGGGAVERAGGGRRVGGHGRHLRPHEALQGQWHLIDSSIRSSPLPSPISFNYWTTSFLLR >OB04G37410.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21143145:21143643:-1 gene:OB04G37410 transcript:OB04G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPNGNGNHQLKGDDGELNPAEQQAQPNDVDRVLERSLMRRIRVLGDTIRRLRRLFTFTQANNPGSPAAALLRIVERINRADTGPGDPRSTPSCTPTFAASNNINGAAAAAADD >OB04G37420.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21146220:21148693:-1 gene:OB04G37420 transcript:OB04G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETNAAAEQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPANTARDAIQYSMDLYAQYILQSLRQ >OB04G37430.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21146643:21147185:1 gene:OB04G37430 transcript:OB04G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTIFRIISTDCYYLVILLTLVNHGHKANCSSSEERTRNNRFLYLHEDQNIHWIIVFTQCPWNETVVMRVYN >OB04G37440.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21150145:21150582:1 gene:OB04G37440 transcript:OB04G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHHQGMRMGMGDAVAVGEAYMGGAGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLALVLSAVAQSPPARLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRFPPYFFIFLLLLIASDR >OB04G37450.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21150938:21155104:-1 gene:OB04G37450 transcript:OB04G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPWRSALRTTHLWVAASSFHSTPVSSAKWKGKFDCKHEHGARKLSKNYERYVVRQRRAEGKKALKDYLLYGKSSPHVQGGCTGSFSNSHDIPQFKTYRKGPRSHDSTKSRQSVHHHRKSKKDRERFYNFFREEYHVHPDNIFETMFGENHRFTWSHISWESFTFRDSSSSFRWTGESQRRRVSNDSDDESKDGTSERTNIGSHAHRSILGLPPRGPLTLDDVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCNVLKAA >OB04G37460.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21160028:21163732:1 gene:OB04G37460 transcript:OB04G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3M2X1] MGAGGVAEGVKPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFIERKNRTKMTWRVLMLSFVCGLVGGSLAQNLYISGMKLTSAPPPPAMTNLIPAITFVLAVLFRYERLAIRTAAGQAKVAGTLIGVGGAMLLTFYKGAEVTPWHTHLDLVAALQSRHHSTSVAGEAAAGNRVMGSMLVIGSCFFYALWLILQAKLSREYPFHYSSTALMCVMSGAQSAAFALCLDRDAAQWRLGLDIRLLSVVYTGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLVVVAVLGSLLLAEKLHLGTLLGAVLIVIGLYAVLWGKGRETAVEAAKVGDDHQHIDVVVVVQQQQQQQRDEEGDAAAATAATTTMAAQSAEESSDRTASSRRSDRYIHRSCGF >OB04G37470.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21173859:21174406:1 gene:OB04G37470 transcript:OB04G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISERHRREWITTASRRSGHLLPPGSKRDKAAVLANTTEYMDKLILQVSELEEKNRQLEAQLAMHAIAGEPQQTGGSGEESSERIRVDVAIAGSLASHRSREVSIRVAVRAECDVSKLVVAVLSRLSGMGCFGVVSVDARQRNSSLVCE >OB04G37480.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21180629:21184678:1 gene:OB04G37480 transcript:OB04G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3M2X3] MAPSYITLHLPLPLCLLRLRSASPPRLLFSSLLFSTPQALPGASVCARGLLALSRHAGEQLWRPPSRSQACASFAVAYSRLASHLEMSFRSIVRDFRESFGTLSKRSFEVKILGIPGLSGRHRGKSIGPSCELDDGPVVVHQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNAGTLCSQERTSRRFSSRKVSPKVPTGCYPIAQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSADFSSSRFSEFGGGALQVQDGDDVDKEKPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPVSGAASEPLHAGQAVQQQSQSSQPSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OB04G37490.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21186945:21188186:1 gene:OB04G37490 transcript:OB04G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAATSLGRSFLSLRRDHIPPPSSDHRAASSSDLEIDAFHRHAADLLLDLLSDSDDLLSLAWTRRLLDSFLICLDEFRAVLFGVAAAHQPLDRLLLDFLDRAVKALDLCNALRDGLDLVRQWRKHLAIAASALAPSSSDHHHHQHAPLLGEGQIRRARKALTDLTILMLDDKDAGGVVGQRNRSFGRAGSRDSHSHAHGHHRRSSSGGSSGSGSASHLRSLSWSVSRTWSATRQLQAIGGGLTVPRAHDITATGGLASAVYTMAALLFVVSWALVAAIPCQDRGLQAHLTAVPRSFPWAGPVMTLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLMEATDAAEFPLAEEKDAEVKEAALELVQVCESLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNAG >OB04G37500.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21191221:21191397:1 gene:OB04G37500 transcript:OB04G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRNDTNLMAIDPRAKSEVKCWNRAASSYLICLHRTSLLHLTLPSSKSPYKLPRNSN >OB04G37510.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21192923:21194664:-1 gene:OB04G37510 transcript:OB04G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M2X6] MATKVAMAVLLVSAALCAVRSAGVVTTGEPVVAGLSWGFYDTLCPPVEGIVRWHVAEALRRDIGIAAGLVRIFFHDCFPQGCDASVLLTGAQSEQNEIPNQTLRPSALKLIDDIRAAVHAACGPKVSCADITTLATRDAVVASGGPYFDVPLGRRDGTAPASSDKVGLLPAPFFTVPELIKSFKDRKLDKTDLVALSGAHTIGQGHCPSFSDRFDGSKPVMEPKLLQKLQAKCAKDTPPGTVAQELDVRTPNVFDNKYYFDLIARQGLFKSDQGLIDDGDTNRTAIRFSLNQPAFFDQFARSMVKMSQMDVLTGNAGEIRANCARPNARSSADILTAAGDDQGFAADA >OB04G37520.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21198057:21199261:-1 gene:OB04G37520 transcript:OB04G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M2X7] MASRSMGLLLALSAIAGLLSPALSARFISLPTDHRPVTAPPLADGLAYDLYSGSCPQLETIVRSAVQAALQQEIALAAGLLRIFFHDCFPQGCDASLLLTGANSEQQLPPNLTLQPRALQLIEDIRAQVHAACGPTVSCADITALATRDAVVASGGLTYDVPLGRLDSLAPAPSDAVFQLPQPTSDVSTLLNAFQSRNLDNVDLVALSGGHTIGRARCSSFSNRFREDDDFARRLAANCSNDGTRLQELDVVTPDIFDNQYYSNLVAGQGVFTSDQGLTGDWRTSWVVNGFAGNHWWFYGQFGSSMVKLGQLQGPSGNVGEIRRNSCFVPNGQSSQTILPAAGDDGFATSA >OB04G37530.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21202278:21203560:-1 gene:OB04G37530 transcript:OB04G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M2X8] MASKSAHAVAVAVAVLLLLLSPPAPAALAAAPQLSVDFHAASCPQLESIVRSAVQAALQRDIALAAGLIRIFFHDCFPQGCDASVFLTGTDSEQAQPPNANSLQPRALQLVDDIRAMVHAACGPTVSFADIPALPTRGGPSYSPSLGQLDSLAPAPANLVNQLPGPGTSSVQQLINLFGSRGMRDAADLVALSGGHTVGKSRCPFVRPQDDAFSRKMAANCSVDPNGKQDLDVVTPVTFDNGYYIALTRSQGVFTSDMALIRDPITAPIVRQFAQDKAAFFSNFTKSIIKLSKVPRPGGNVGEIRRSCSRSNRSPRLVETTGSEEEGFAASA >OB04G37540.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21209485:21210534:-1 gene:OB04G37540 transcript:OB04G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M2X9] MGAVSRMSAACALAVVAVLLLISSPPAAAAAAPELSVDFHAASCPQLQHIVSYYVEDALQREIAIAAGLIRIFFHDCFPQGCDASVYLNDTAASELRMPPNLSLQPKALQLVEDIRAKVHAACGPTVSCADISALATRDAVVVSGGPSYDVLLGRKDSLAPAREELVLELPSPRSSTIKELLDGFKNRSRGLGEPADLVALSGGHTVGKASCGTFQDRADRQEDTFSKKLKANCSRDSRRLQNLDVITPDAFDNGYYIALTYNQGVFTSDMALIKDPQTRPIVRQYAQDKAAFFNQFAKSMVKLSNVPTLRLDDNNGEIRRNCFASNKAPRVVVQDTTDDEDEGFAASA >OB04G37550.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21214530:21215546:-1 gene:OB04G37550 transcript:OB04G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M2Y0] MAAASGRSALALVSVVVVALLSPPAAAHELSVDFHAASCPQLMPIVRSSVQAALQQEIALAAGLLRIFFHDCFPQGCDASVYLRGGTSSEQGMGPNLTLQPRALQLVEDIRAKVHAACGPTVSCADISALATRDAVVLSGGPSYEPSLGQQDSLTPASGNLVGDLPGPNTASVQELLDLFGSRGLAEAADLVALSGGHTVGRARCDFFQDRAMRRDDTFSKKLAVNCSKDPNRLQNLDVITPDAFDNAYYIALTNNQGVFTSDMALVKDPKTRPIVRQFAADKAAFFTQFAKSMVKLSQVPRLDGNRGEIRRSCFRTNGQSLVDATATDDAQGFAASA >OB04G37560.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21218301:21221581:-1 gene:OB04G37560 transcript:OB04G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQCNPIQAKPAMGGATLLPLLLAAAAIAAEAEAGALVGDKCEASGCGAGMRCASCSPLPGSGPPVCSRTTPLDPKQHRGTELPFNRYTWLTTHNSFAIVGSASRTGAPIIAPPNQEDSITSQLKNGVRGLMLDAYDFQNDVWLCHSFAGKCYNFAAYQRAVDVLKEIALFLESNPSEVITLFVEDYAARGSVGKVLRASGLSKFVFPPAKMPKDGGDWPLLKDMIADSHRVLVFSSKPGKDGDGMAYEWDYVLETQYGNDGLVGGSCPKRSESRAMDSTKQSLILMNFFSTNPSQLWACGNNSAPLVAKLKTCYDSSANRWPNYIAVDFYMRSRGGGAPLATDVANGRLQCGCDSIAYCKAGSPFGRCALPPKTAATSPAAAPPETDTSIISPAAAPPKTMTPSSPKKVTIALETAVTVRAEEEASTGTSAADGTASSSSSNRLSTSSFLSGSFVPSLLLLISLSYIN >OB04G37570.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21222414:21228030:1 gene:OB04G37570 transcript:OB04G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALHPRRGLLSLLLLLLSFASPFLFSPAPAATAVGDCPLDFSWANFTSASIACSDPTQRAACCRYINAFVAISMSRYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGILSYDDIVKCFFGVQGITMFPGPSSVTSTPASSPNVTTPVDAPTPKTKSVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKDADLHMQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSLAAVKRMDKVSKQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWARGHLSCGKITPEFVDPTIRGLADMDQLHLVASIVQWCTQKEGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKGSVHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLEGGQAHSPPETL >OB04G37580.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21229236:21230599:1 gene:OB04G37580 transcript:OB04G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGRQAYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKADKSSGRKGAPPGKGWQEIGVIEEEGFLDDDDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIVMKSITFENFIIQAGTDASLVPTDMATTNSTVKFTYRNTGTFFGIHVTADPFQLSYSQLTLASGDLNKFYQARSSRRTVSVGVTGNKVPLYGGGPTLTAGGGSKGSSSVAPVPMILRTTVHSRAYVLGALVKPKFTRGIECKVLMNPAKLNKPISLDKACVYL >OB04G37590.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21233274:21235519:1 gene:OB04G37590 transcript:OB04G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDEDAKKAYADFEKKIKRTIYIDHLSPQVTSSVIKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQVKAALGLMNDFPFIIGGMPRPVRATCAKPEMFRERPPRPGMKKEFRWVKQEDGKEYEGMKKLRVLAKRQEVENMALIKNQMEEEKELAKQQQELLDANYKKYDMLENVVQNGNMKSLAHHYGVGLADEF >OB04G37600.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21236710:21237778:1 gene:OB04G37600 transcript:OB04G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77700) TAIR;Acc:AT1G77700] MATTLVCLSLVLLCFLSGGGVRGGESLRVFTIINQCKTVVWPAITPGESFGGGGFALRPGQSMVFTAPVGWSGRIWGRTGCSFDQAGNGSCATGACGTALQCGGSGATPATLAEFTLASKDFYDVSLVDGFNLPMVVRPLNGQGNCTAAGCDGDLRERCPSELAMKVGGRTVACRSACDVFDTDQYCCRGLYGNPSTCQPTFYSKKFKDACPTAYSYAYDDPSSIFTCSNADYTITFCSNTKRSVCTYHNNRLICSAASTPASSPFFLLLLLFTFLALLRFSL >OB04G37610.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21243983:21246951:1 gene:OB04G37610 transcript:OB04G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRAAAARETKATSDAGMKKKVTLVASRKLDQKEKKDCSKCSSREECAEPESPSYRLALRSLFSCRNNSSSHAHSRRPHAHHRTDCKKLGCNSASVCKLSTQRPADEELKVVKDNKPSLTAEPYCKRRASRAAGLGERLQQQQRTVREEAPEQAAAAGGELLAGVGVGVVVFVDGGRVVPGDAAAEPEAAVGVLRVPHGGGPHQRRVQGQLLHESGHLLLPRLRRDLRPPGVSPPPPGHPPCSVGAGGGGHEQEHNIDNIPVELAEEAEPGVRHRAHPQGAQRRRHPRRLRGLPRRHQGQGQGHPAPPPPALHRRRQRAAPLPLRHALLRPRPPRRHPPLLRRRPRLRGLRRHPPRLQGSCPDHGHQRPRARRGVVGPAGAGRSAPGDAGVPGDRRPGGGGGGGGGGGGGGGGGGGGVRLGGRDGGALLQPGRAGGLQPHGHPSLLRRRLQGLANSLVTFTVNCMHAWNR >OB04G37620.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21247764:21258065:1 gene:OB04G37620 transcript:OB04G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVWSLSTQLLGFFLLVLALSLSRKEEGSDPIPIPKRKHGGGWFVASLFSARPPVPFRRRRLMGNMTRSDSPISRRIVLSFLDFLNSVELAPGADPEALEVARECLESIFSINSSSVGERSEDQNEVTFDLDHSGDELFAKFYSALDEINFFKASSAGAEDPVQLSKATQFFDDALLEMRKSGRKRTSLGDLADFFKSKGNEFMRSKQHLKAVELYTSAIALSRKNAIYYCNRAAAYTLLDMFNEAVEDCLKSIEIDPNYSKAYSRLGSAFFALGNYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEQNTYTRQDQASHRQFPGQSSNGVPFTFFPPGNGPTPEFFTNIINRVSDISQQSSEHSININLNDIFSHANVSGNNQGTAQTENSSSTTPPASFPTNGAVPPFPFTDSGSEGNHPQQNSSGHEGVHGQPGMHRDGIQINLSGPEQAADALRTVMQMFAPQTSPHEGAPAASRDYHPLFVSCEKSFVYKKAVMGQVRPEEQDGCNTVTVQFLHGHILMFFFGTSLCRSAMEFQCAGRSYWDCEDRLPPDVPLDRRLRADILLEAGRLAAHYLVAQGVIPEHRLRAREDPKHNDPTSLPAAGYARKRDDTCHDDDPRWRRNGAADDWGRDKGDDDRLPRRSGWDRRSHSFDGRRKYNDGGTASGAGVDRGGRRTHEYDDQRRPTMSRSYSQNDRRVSSDSRLDRRRRSRSRSRSRSRSRSRSRSRTRTRTSSYGSRRDSDWRPGGSDLDHTKVPRPGIARDGDVDYHDAGDVPRDLKAAPRSVVVVEMNESANQAAATEGTEVESEIIGVDQDVCGDEDGENAADAFNDANTCETNVDHYQHKLSSSNMDDVDLAEYDGEPVHRQSQFCDAEGGMESPISPSDACLIEPVAEEVRDETRAPQSEVETDIADLGKDEQELPAWYGIFDLNVVESQENCEMVEIFSDAPSDNGRDSVPDLVGQMSQSANCVGSGTQGQDEHAVDHHQLEDEQVILNQSIGTHGLNNEEGLGNQTGDEHGQDSHQLEDDQMHVNHVMDVHDLDNGLLNSKEMLLKRYADEHVDHGQQMESEELLLNQGQSTSVEVSGNYHANGEQSRLNHDADEHSGNDHPVKSEQMLLDHVMGVHDLNNYDLDSEQMLLNNGADKQREEYSAQLQEGQMLLDQAADGQATLHNQSIGRMIPVIDLEDDYEEQSDTKEFIEPKTYLFSRPANFEY >OB04G37630.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21260243:21260410:-1 gene:OB04G37630 transcript:OB04G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKYTAPVHARHNNQVKDTSTPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPP >OB04G37640.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21261729:21264252:1 gene:OB04G37640 transcript:OB04G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTDKRAVPTVINGVSSGLEAGSRQQQQQQSDVGAMNDAHGHGGGAAASPFCYFHPRELVVGVCSHCLRERLLLLVADGAYKPLHRKTSSVVSLPKVFALGSFLQRVDSSRHHRPDIDANSDAASIASLDDSFISIKFEDNGKATWDSQKTKAPPPAPAVARKTDKAATVTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSSKAGGAAACQLGLDGKKTAAERSKGRGRSWIRSLTRRRGGMVVS >OB04G37650.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21268789:21270950:-1 gene:OB04G37650 transcript:OB04G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3M2Z0] MALPPAASPPPPPTPVDPIVWLACASPLSRLPVVGSQVYYFPAGHAQQCPTPLPLPAHPLFLCSLTALSLSADPTTGEPYATISLLPLRPGTPPAAPASLPAPGVGSQRQPPVFCYYPKQLTQSDANNGGGFSVPRLCAESIFPPLDFDDDPPVQILNMTDLQGKSWEFRHIFRGTPRRHLLTTGWSKFVNAKVLVAGDTVVFMRRPDLKLLVGVRRAPRFDADSRCNARARVPAQEIMEAVRLASNDEPFTVTYYPRQGAGEFVVPRMEVEKGLTSAFMPGMQVRIQIMESEDTRRTAWLNGTINKVYYQQMWNGLEVDWDSSAASFFRTDRCVNPWQVQPVGFPPLPSGVNINPNTISSAPICTEDSLLVPSPMLPPQPPVSIQGARHNNPNAHADIPSSSTSVLTTQALFPTGLQNSVPPSLGGGSSSMVNPQNGSPSNNPVNTPPSDLPDGMKTIQLCIPEKTIQLFGVKITSPVQSDTNGGFSSAQVNQVPEGMDDETSAQEATDTTPTGSPTNGHNQDAARL >OB04G37660.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21275301:21276053:1 gene:OB04G37660 transcript:OB04G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYMQQAMPMVVCGRPSWGEKQMMMRGRRRQVMVVVAAFKSRSKAAPAPAKRQKVAVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDATGIERAVIPPGKGFRAALGLREGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPVNEIEPLLLFSILFFFFAAINPGTGKFVTDNDQDQ >OB04G37670.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21276636:21276821:-1 gene:OB04G37670 transcript:OB04G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCFATLPRLYWCYIINTSALSTVKMIQHIRFEAPPTTIQLSLLQVHQSVQTRIEMPCSLNR >OB04G37680.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21277021:21277176:-1 gene:OB04G37680 transcript:OB04G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLRGVGCHENIMIFQGIVLLEKTMENQMLLIDIANRALVPTLHCNHHQQ >OB04G37690.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21277573:21286183:-1 gene:OB04G37690 transcript:OB04G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIDDIAEEEETVVGVAEHPAPAGFDDPKEDAAGMLLDETRAAQDHPTDDRASLIGGDTTVTAALGDEQHGQDTAGAACRDGNKPDLDAAVGVHFDDIAASGVDSIQVGASLIDDAINMLPVASEPGFDDQGEQEIAGGGHGQAAAGYVLGVQDDYPQNTVIKAGDEDSKADNADGAPEEVDTVALTRDNSEEKGVTTAGDGSAEGFQMDMPTTTGDEDEEDGKSAQNVVEDTVGGAEELETIGLTGDDKAENEADTAGDESADEEGMQMAAVTATGDEDEEDDKADENVVEDDADVPEEPVVGTVGEDIPEEDAAQIDEDEDDDDEPPPLAKKGGGRRKRGRASSKAQVVVKPSVKKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAHHMCYTCTYSLCKKCIKETKFVCVKGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISTAKSQKNGSSSVIHDNDLSEPLDTNEEEEGNSDSSSVRHLESNSKRKGRKRSKQAANDDSSVGKDGARKSTKRGLSGGRDAKSSSGRKVRKLSKRALSTDHRPRESESVGTSTSSAEESSWASKELLDFVANMKNGDKSVLSQFDVQSLLLEYIKRENLRDPRRKSQIICDSLLKSLFGKTRVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSVDADGHSEASIVMSSEKRKRSRKYDQKALQSNFDDYAAIDNHNISLMYLRRNLLEELISDVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGTAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKELRECVEKLKLLSTPEERARRLNEEPEVHADHTMDPDYESPEEQEQDTERSSFNKSRGSFFRKDANPVSPGKGEGRSPSQRDLKTNWDSNRNTWGESSTSIESPLGRRPAFSSHSESAGYTSKSESPNIGSHTVKVGATAGAPHGSSSETLGANVVSGGTQVSQSAINESEKIWQYTDPTGKIQGPFSILQLRKWNSSGYFPPNLKIWKSTEKQDDSILLSDALTGKFEKDLPPWEPPVGSSSDIDTRPRSDHLLEEGMRAGQQSSKSAVLNNSQSFSGRVGQVNDMTNLGPATIQSSTQGYYGMHNSQAAYAVQQSIPGSTGSWNTPSSQFGTTINPITLTLSQPTVGGLAVGQNAAVGSVSQLTPVPGPASVSAEVISQPQSQNQIASFLSQSDGRSTDGNDSKLVEDASHERTRSLGEDAGLAGAQAGAVQSNAQQLEDTRNQLQTDASNLVKPFQLISTSAEAVQPSSTTMAGGDNQNSGWTQMSSTSGQPQVAGNMTWGTTLQGNANMGWGMMGQNNMNMSWGGPAQSATGYNMGLTMQAQTNAVPNMGWVTPNPGNTNMNMIWAATQGQGTPNAAAMVGTQMQGVAMAPWGTIAQGNTNSYPGWGPQVGNMNQNAGWVAPVQGNPGPSTGNGTGQGNNNMNWNAPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWGHRRGVCFAFLDNGYCRKGENCRYSHSVPNDGYPSRNDRHFDRQNSGNERRYDRQNERADRQFDRQSSGNERHDDRHNSRDNDRHDDRHDDRQADRSQSRERQ >OB04G37700.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21289254:21291555:1 gene:OB04G37700 transcript:OB04G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAGCIVRKGKGWSGQQKHEKKMDKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFREVVVTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPRDPKNFRKTISRIMSVQYKIPEYVHVSQPCRHLLSRIFVANPYKRITMSEIKSHPWFLKNLPRELKEEAQAAYYNRRADQTSGSASTSTRAGAGASAPAAAFSPQSVEDIMRIVQEAQTVPKPDRPVSGYGWGTDDEEEEEAEEEEEEEEDEYERTVREVHASGELDMASLRI >OB04G37710.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21292340:21301259:-1 gene:OB04G37710 transcript:OB04G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1-CUL4 associated factor 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) TAIR;Acc:AT4G31160] MPAPEEDEEALLTRVQAIITRLLDLEDNPNPRLLHTLATICETHEARYVQECANNPSYNNTNTRNSHTIGKLANLLRENDDFYELVFCKFLSDNSYSAAVRSAAARLLLSCYSAWTPQYPHAFEDAIIENIKKWVTEDGGPSNECELKHLGRNNKPTDADMLRTYAIGLLAMALCGGGQLVEDVLTMGVSAKLMHFLRIRVHGDVASAQKDSNLPLDTKHPRSRDENRSKSRLVQDSSRLDGMRSGDGVSADPTSEKDCDRVMGMWHAHGERWIDDAVSLQHERADSSSDLFDVTEAGTTNDRAYSASIYDTKPRVGERLSALRPGRDEELNENVRDDLLKRKLTRTGSRLRGKGRAGESLPESERTPLSPTSGLKIGTRTSREKNVARIEDAKKDIDVNNSSTSLEPFTAISKEEYEDRFKDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKSGNNGDAVVLAAEKAAATVVEAAMSTSVSRSSNQVSEEHVVEEPVQISEDHELEDFVITDHGQLLQLREKYSIQCLQVLGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGNGHFTLLSDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNSVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDARDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARSTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRTRWPVLDKFLASNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKLIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKPSLAGNQQPAAAQAIGGAFPENRDKNAEKYTADRNVTANQGEPRERCGDGSTSQQGNTTQINTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNGRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLIGSGLTATAAMLQKEADLAPLPSTAAVIPVHQVAAQEASSAQKQWPSGRVQGFVPGTTKMTIDQTGQKCDSLLPSSKKKSLSFSSSFSKRAQPLHLFSGNRASNGLKSPVPTGNVDDMICAASTVNTGDAETSHKTPLSLPQKRKLVDMKDLSSATAAKRHAMVDQACQSPVFQTPAPTRRGLSVAVDSPTATFHSGRPNFNNIYMENLDDSQGTPGATITTPHHGANDHQSVNLERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHTHVCPEPSRSLSAPANMAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRIFDCNTANILETQACHQQLVTIVESASSGGNELILTSSVNEAKIWDAFSLSVGPLHTFEGCKAARFSHSGTSFAALSSDTTRREVLLYDVQTYNLDLRLPDNSGYSGGRGYVQPIIHFSPSDTMLLWNGVLWDRRSPNPVHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKLKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLDDVTSSIHTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVVAMDDPDEMFSSARLFEVGRKRPTDDDSDPEDAGDTDDEDDDDNDDSEDGIIPLTDITGDSDSDISNSSDDGGDDEDIDSGDENDDDDDDAEFIEGGGGLLEIMGEEDGDESDIMGSFSSGDEEGWIM >OB04G37720.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21304767:21305162:-1 gene:OB04G37720 transcript:OB04G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLVFYLGRAPNGTKTDWIIHEYRLVSVADHQEGWVVCRAFQKPTTFTLQSPPLLHLQQHMHGDSGLVLASPPAPAPADGDKESNMLLTPGAAGDPVADHHSQLLAMMKQQAGAIDWSFLDALLLHDST >OB04G37730.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21311071:21312270:1 gene:OB04G37730 transcript:OB04G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQGYGILTVTVASSSAAGGPSLAQVAFLYVSLYLIAFAQGADKPCGLAFGADQFDSDDPRESASRSSLFNWWYFSMAIGISVAIAVVSYVQENVSWTVGFGIPFAIMFCVCAVFLLGTPTYRLYAPSPGARNPLARLARSLVKDDDDDKESSEEARGVLRLLPIWATCLAYGVAYAQIMTLFNKQGRTLDRRIGNSGLEVPPAALQTLGPVTILLFVPMYDRAVVPALRRVTGNPRGMTTLQRIGAGMAVSLAALTIAAAVEGQRLERVREQRAVMRWTWVVPQYVAMGVADVLAVVGMQEFFHGEMPEGLRSLGLALYLSVMGIGGFISSALISLLDGITRRDGSQGWFADDLNRGHLDYFYCLLAAISAVELTFFLCFSRSYAYRRNKPLPLLC >OB04G37740.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21321738:21333911:1 gene:OB04G37740 transcript:OB04G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASQQYIKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIDLFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRILQFFQSTHASLRKLALGCVNQYIVVMPAALYMSMDQYLQGLFNLAKDSSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMVYSDDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLTRILDTNKKVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGPGIESLVAQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLVYICQACASWNEIKSEGLQNEVCQILNGYKQMLGSGGWEQCMSTLEPAVVQRLGRYGV >OB04G37750.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21339486:21347724:-1 gene:OB04G37750 transcript:OB04G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPTPQDSGPGMCAFGSSSSTSGVDDPLPSYMEALADFSDFHNDALLSDPFLNQWLQDQHQFPSDMCFNYDQGQILDTTHALYSPTTPDLSVTGAGAEHFSFYSNTACDTVLLPHPSWDSTASGQFVQLDSLCQNVTPGASIGSLDDADVPADSSQQYGTTAVVSKRNLSRELPDQLEAYAQRLFIDAGWTIKPRKRNDRAKMASYFTAPHREVVHTSLSQAWKFCGKKLYEATPGSQRGKCPKEWSDVDTFWKDLTDTMACVDKMFVNRQDAPTLLQRWELLDPFIAVVFIGRKITALQQHKTLRAVDSSTFVLDESRNMPAESKSMMKSGDLLPTRMIKPTPVITESDCSTLATESGNGNHALQSCHDLEDGQNGDTNLSSVCTQSQWYCVAGDRSCRTENYISESNVQRELCSGATIISNAVQKAKKKSKRISDIDSTGLDGLHSESFMQPAMEIVFNQEIDLASMELSMAENKMLSEEHVTCLSVGTSKSHLKAESKLAKLNTNNQSNKPDMVLPSEIMQTSMLQYEETVEQPAGYEILPENGSTPRESGSSKFVPLKNDEEKLSSLKESFMGIFPKDVHNLPIVNPVPVTLSYKSNAAVLKTNLSQELLTCKTIAAKRKPQVWEKYAKKRPRVLRINDDDLLITAMVKNRDLGSCHSFSADSVFLDGKKFTKFKSPKKCGRLLARTSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKSNEVVKDGHVTWEGILCNCCTKTFSISDFKAHGGCSLPKSSLGLFLQSGRSYTLCQAEAWSAEFISRKSDGCGRKIEAMDENDDTCGFCGDGGELLCCDNCPSTYHQACLSAQELPEGSWYCHNCTCRSCGNPVSEKEVSTFSAILKCLQCGDSYHDTCIDQEMVPCAGKKIDIWFCGRYCKEIFIGLHNHVGVENFLGNELSWRILRCNTDGRKLHSVQRIAHMAECNTKLAVALTILEECFVRMVDPRTGVDMIPHVLYNKGSNFARLDYQGFYTIILEKGDEILCVASIRVHGTKAAELPFIATSVDYRRQGMCRRLMDTIEMMLRSFHVETLVLSAIPELVNTWVSGFGFKPIEDDERKQLRNVNLMLFPGTSLLTKRLDGTMASKPEEDKNACSVSGLPNGKSLPNGEVNGHLELHDLDMLEAKLNAEDATNVSFRALKHECGPVTWFNSAKLAVGEV >OB04G37760.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21355190:21358807:1 gene:OB04G37760 transcript:OB04G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEISDASDLAPKDGAGACNPFVEVEFDGQNQRTPAKPADRSPQWNYTLLFDVRDPARLASLPVDVSVHHDRSLTDHHAARPHTFLGRVRISASSLAPSPAEALLQRYPLEKRSLFSRVSGDIALRLYLIANDSSHPSPPLAAPAPAPVPARDLPTMDITGALDPYVEVKLGNFKGVTRHLEKNPNPVWRQVFAFSRDHLQASQLEVVVKDKDVLKDDFVGHVVFDMSDIPQRVPPDSPLAPQWYRLADRSGEKLRHGEIMLAVWLGTQADEAFPEAWHSDAHSVSQEGLASTRSKVYYSPKLIYLKVMAIAAQDLIPAEKGRPLAPCIVKIQLGGQTRRTRPQGSANPVWNEEFMYVAAEPFDEPLVVTVEERVAAGRDEPVGRVVIPVAAPYVPRNDLAKSIEAKWFSLSRALTADEAAAAEATKLKSSFASKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSPIGILELGILGARNLAPGKSPYCVAKYGAKWVRTRTLLSTVAPRWNEQYTWEVFDLCTVVTVAVFDNCHVTGGPEAKDQRIGKVRVRLSTLETDRVYTHFYPLMTLTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTNPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFNRMTSLFSGAVAVAKWMEGICKWKNPVTTILVHLLFLILPAHMDTALSHAEPERVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATAIFVLLSLLIAVLLYVTPFQVVAVVLGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >OB04G37770.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21367111:21368077:1 gene:OB04G37770 transcript:OB04G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRDRGPNSMQILRRLFCPSLISSTPSLSTHSVSTNRSGGVAELWEEAAAAVSASGCGGRRRRRRRRRAVEGRRRQEATPAGRGGGEVSVEGVGGGGGAALAGILERVTKRNDAAAVTTALAELTAASAFQATTKPGTSIRTYAARIAWFDGCSSACYAVAYIYLDRLLSHDWRLAVSHSPSTSTACTGSSSPPCSTGLPRQVYG >OB04G37780.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21370775:21376328:1 gene:OB04G37780 transcript:OB04G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYPPADYCSDSDDVWDWVPPAAAAADDDDPTTPSSSSRGDDDERQRAAMLSAMNGQLNILASRFLASAGVDPEWLDVVTSLSWEGALLIQPHACTAGNDMDPASYVKVKCVASGSRRQCEVIKAGLVFRKNAAHKHMPSKCHRPRLVLLQGALGLDSHLGFSSFDSMEQDKQSLGASIAHIVRTCRPNVVMVEKTVSRDIQELLLQHGVTLLLDMKLHRLQRIARTCGAPLLSFSQLLDCPKLKHCDYFHIDKFFEEHNHHTLPAGKRQSKSLVFLEGFSNPLGCTILLRGANTEELKKVKQVLQYTVFAAYHLVLETSFFEDQRVFLNDREDGIPEITHQTPLISNCSLPAASPTDYRESLQEYYAGDQATTVSFTNKPPDALNSSAGHGTTINCDPNEAPPSENLLSSVSGSLRRFIDIFRYQNIYLPVTSSQDSTGHREEEKTEISQEMESDDALEKEKEPDHSYQYMDQLSDLQEQVFAKINQRKAQPDPLVTEKHEQLSVPMGDVEQYSTGYIGENRNSDTDEADDGLDSQSILILLSSQCATKQVICEQSHLYRINYYGNFDVSLGRYLQDILQNQNLSCSSCGEPPDAHIYSYTHRNGNLTVSVKRLLPRHHLPGESEGKIWMWTRCLRCEHESGMSKSSRRVLISTEARNLSFGKFLELSFSSHSAAKRLSVCGHLVNRDCLRFFGLGSKVAKFQYSAVEIYTACKPQRTLEFHNPNMHEWFEQEGRNVLASGVKLFSEVASLIQHIKNQFPEVAINCGDFLPVKEVSQLEEMLMEEKAQFVDSLVKAVEQSRMSSSSVNEILNVNWLYQDLLILLYMWDRRFHQLLECKSERKANCIKKDTVEFTGEPATDESAGSKLSLANGCIKATQYIEQPGMDRYSSTFLDENSRDERREKQHITEVPSFRNLEGLDIQGTGPVTNSVSIKQEQSGMPHFGIPEWYGRETWVWSPLQELRVSYRHELQVGCLEKFELVNNYSPSHLSHLHKQSSTEFAVGPGGNVLCISEDEISSIISRALAISEERRHLLLDSIVEGEAAYSRGTESSKMEKSYSSLSETSSASSSWSSIGSSDSDASFSSDDLFSSYDSSLLSSPLHPEVSVNGKLSLKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTLDDRFIIKQIQKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIKHGREVKLDLMVMENLLFGHKVSRIYDLKGVVFSRYISDSNDHGTVYLDQNFVDDMRVSPIYVGGRMKHLLQRAIWNDTAFLTSINVMDYSLLVGVDEDRHGFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >OB04G37790.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21376823:21379609:-1 gene:OB04G37790 transcript:OB04G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGAPSGLGSMRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIEVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKYFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVVTWFYTHESIGSALIIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISAMNAIGCAITLVGCTFYGYVRHLISQQQAPAPGSPRTSQTNSPRSRMEMLPLVGDKQEKV >OB04G37800.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21379913:21383876:-1 gene:OB04G37800 transcript:OB04G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) TAIR;Acc:AT3G10220] MSSSKLHLPADDSVLLLLTHSNLATFSSDIRVSKQTSVEALKEKLWRKTGTAVASMCLQLRDDTGAMIADLDQDDATLASYSPYDGYRLHIIDLDPSSVTSGGWLEDTSLVDKYKISDEAYNKLDTNFRKFKEKMALKNPASDDKEQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGIQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKIGDYPERDPFEEEEI >OB04G37810.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21383399:21386905:1 gene:OB04G37810 transcript:OB04G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMVSLQLTAAARPMRSRRALFVSTCAAPPRQRPPPSSTKNRRAPRHDDDARRRSPPPRPTRTRGPPGRHQQSYTDDDYDDQDEGSFAGGTRVAAMPNPPAGFVLDDQGRCIAAASKRIVTIIDETNKRPLECIIRRVFRSTQEQECMLLCPVDMPVQVLKSANFSGWVAIDDDQLKEIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSDDGSDGVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDELMDDPAVVGAIDEETEFTALVEEEEALLESVLGER >OB04G37820.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21387568:21389883:-1 gene:OB04G37820 transcript:OB04G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVEEDPDGGEKEKTRAQDAIPRLSSCSTDVDSGLSLCRVCHCVEPDLRGDSALAFLGILPHADDDDDPNSKDVLEFVSPHGEIFVCTSTTDLESGPLHHLIDLGCSCKNDLALAHYACALKWFISHGSTVCEICGNVAANVRPLDFNKVLASLKEYEALRERTSTGDLSYLHYRADTGVDPDAVAAIRRQRLSEISSWFNPQNSHIAISHGQTEQTPASPSDNALDHGAVAAARVAHARWTLEGTGVFLAIGLGVIVLTWLVAPHVGKKAAVICLHMLLGGLCTLTIIISLRFVFPRIQYGSMRCWAILFVFWFLVFGVWASRTHSIRSS >OB04G37830.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21391324:21395806:1 gene:OB04G37830 transcript:OB04G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFGSHTRLDVRRPQQHSSFSTAVAVFVALALVAVWMVSSTLVTPADFSSPFQPTARPSQTPPSTSTQEEDAYEPPPAPVTHHNTPDNGSQSQPPAEATRGESDRGSWPTQAAGSNKDTRQQQQQQTAAPSSYGWKLCNTEAGPDYIPCLDNLQAISKLRTTKHYEHRERHCPQHPPTCLVPLPEGYRNPIRWPKSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKRTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSRVFDVVHCARCRVPWHIEGGRLLLELDRLLRPGGYFVWSATPVYQKLPEDIEIWKAMSTLTRSMCWEMVNKVKDRINRVGIAIFRKPTDNSCYEARSSANPLICGEYDDPDAAWNISLQSCLHRLPTDPTIRGSQWPEEWPLRLEKPPYWLKNSEAGVYGKPATDDFRADYEHWKRVISNSYMNVLGIDWSAVRNVMDMKAVYGGFAAALRDLKVWVMNVIPIDSPDTLPIIYERGLFGVYHDWCESFSTYPRTYDFLHANHLFSKIKKSDRCKLVAVMVEVDRIVRPEGMLIVRDSLETIREVESMVKSLHWEVRVSYSQDNEGLLLVQKTMWRPNEVEAKL >OB04G37840.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21395852:21399193:1 gene:OB04G37840 transcript:OB04G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteinaceous RNase P 1 [Source:Projected from Arabidopsis thaliana (AT2G32230) TAIR;Acc:AT2G32230] MRLAAAPLPPPAALLLFPPHRSTSSLCRSSVPFARPRRHSSSSTANESNAARRRRARDSPEGILKGQLDRCSRANDLPTALRLYDAAISPASAVPLSVGHYNCLLYLCSNAAASSPDAAQRGFDIFAKMEACGVQPNEATLTIVARLAAARRDPAMAFSIVRRMATAGTAPHLRSYGPALSAYCDAGDADGATEVEAHMDASGVVPEEPELAALLRVNSASGRADQVYRLLHRARVILRQVSDATALLVESWFVSDAASEAGLDDWDATKVKEGVCNGGGGWHGQGWLGKGQWSVARSEMDKDGTCQRCGERLVCIDIDPSETQNFADSVAQIAIKRDVNFMLFQEWLQHNGPFDVVIDAANIGLYHRNGFSFSEVNRVVKGIQRITKSKKLPLIILHKNRVNNGPAKHPQNQKLLESWQRAGALYAAPPGSNDDWYWLYAAVRCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTLSDGVWNFHLPPPYSIVIQESEEGSWHVPTTNGDDIEKPRQWICATRRSSQKSSQALARAAG >OB04G37850.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21399638:21401579:1 gene:OB04G37850 transcript:OB04G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVEAAASSMMASRSAALCFSSAAAARSRHSRRCFLAVSCDARASDLLYSSLAAKLLGPPTSFDAGKLTVEFANSHSHSSKRVGFPRAYTLTHCDFTANLTLAVSDTIASDRRRLRADDVFAEWKQQQDAGGMALHVHCFVSGANILHGIAAGFRYYVFSKELPLVLKAVVHGDALLFAEKPELLEAKVWVHFHSSSNTKYNRLECWGSLREAADAKTTLRKRQLDGRLEQLHNAIAKGTRRRRRRNWSSPDAIFSALLALLL >OB04G37860.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21400575:21407453:-1 gene:OB04G37860 transcript:OB04G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEIFIHTGAKDDETPPQKNELRRLYEAFLNRDLVHSQTETVKGSSLEAITILKKICDHPLLLTKRGTDDFLEDMVTILNNQDMCMVERILEDNLYSDKRLQIVQGSSCKIAFILPLLRNLVEEGHYILIFSQTRKMLNLIQDALSIEGHKFLRIDGTTKVSERKKIVKDFQEGFETPIFLLTSQVGGLGNMLTKADRVIVVDPAWNPSTDNQNIDHAYRIGQTKDVIVYRLVTCGTIEEKIYKQQIFKRSLFRTATECKEQPQIYNQDLYLQDEQEFSSLRPQGFDVCRTQYQMQVGHHQQLVIGDSLRKHIQFVERQGIAGVNHHGVLLRKTEAAATLDDYDATDRKVRDMMVRRYYAPREHICSNIEKESLMAQGKDTEKEGLIAQVKETRKKLDGLGDAMRQISALEEEYAAELVGMLRENRWERSHLQKIRVQIDDLHEEHMAEFDEVLERIERMELVDEGELMAEFGETLERMRRRGDMDGELVLSLPLLSCTILT >OB04G37870.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21407481:21408103:-1 gene:OB04G37870 transcript:OB04G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRGDGYNVNNVEATFWDYVILDEGHIVKNPKTQRAQSLFQIPSAHRIVVTGTPIQNNLKDLWALFYFCCPDVLGDKNVFELRYEKPILRGNDNYATDQEKQVASDAAKELR >OB04G37880.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21410300:21418114:-1 gene:OB04G37880 transcript:OB04G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPPLPAPPPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPARYPNPPPPLSLLLSSLTPPPSQEPEPAKVKLPGRRRLCKLSSAPDDSADSIRDILDDLTTRLDSLSVDRPTARLRPQVTPLPCAIADDADADPEADHKGKTPILGSYVVNDGRKPSPLVYCEDDDDEDFATRSYGGVKEEVTSKVFKASSSFGGRGNDCKMKAKGAYAFDTVSRETVSKASVFGAYDGDEDIDQDAGNGKENRVIVENSAEDVDWEKTEDFKMEPTGTGVTHKPYKLPGRIFNMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLVVAPKTLLTHWIKELSVVGLKDKIRDYSCPNANVRNYELKYAFKEGGILLTTYDIVRNNYKAIKGNFSHDTDDEEETIWNYVILDEGHIIKNPKTQRAQSLFEIPCIHRVVISGTPIQNNLKEMWALFYFCCPEVLGDKEEFKARYELAILRGNDKNATNREKHIGSNVAKELRERIKPYFLRRMKSEVFLDSGTTEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKRAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMADDDDELQVGQDVSCKLSFMMSLLRNLIDEGHTVLIFSQTRKMLNLIQEAIILEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDESLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNENDAFDSNPRAMPMAKHYYKGASSDYVANGAAYAMKPKDFTARTYSPNSTTTESPEEIKAKINRLSQTLANTGLVARLPDRGDKIRRQINELDEKLTVIESSPVPSSSPLETGPAEVICLDDLSV >OB04G37890.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21421653:21426532:1 gene:OB04G37890 transcript:OB04G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) TAIR;Acc:AT5G63910] MLRRLLLVPLLLHAAAAGDICIVGSGISGSSTAFFLSNYTTVLSGGQLRVFERRAKRRRRAGGRLATVTVAGDDFEAGGSIIHPRNLHVRRFADLLGLAAKTNGDDDWLGIWDGSRFVFQTLRPPPPGASWLRRKLHALLNTLHLLKRYGLSLVKMDRFVQDMLQRFLLYYNGFESRPVFDSVEEMLKWSGLYDLTRRTLEEELVDAGLNSQTISELVTVITRINYGQSASISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLKAANATLHLQEGIDSIHYAGDHYVLKSNKGHEYDCEATVVATPLDEVNITFSPAISISPRKMQHTHATFVRGLLNPKYFGLSSVSDIPELIGTMEVPEIPFSSISILKKYSEQDMTYKVFSRKKLNDSLLDDIFSRRKETIRINWPAYPHYEAPEVFSPLILDGKQLYYVNTFESAASAMETGAVAAENVARLLLARLRLPLPSRLHLGADDPDQRADL >OB04G37900.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21428472:21431182:1 gene:OB04G37900 transcript:OB04G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRLLRGLLPLPQLLSADAWLPCSSRLQLLLSHSHYSRLTLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAEKITYLFRRPSIGDIVFFRVPTALQNHGINKDVVFIKRILATPGDFIEVRQGQLIVNGVALKERYTASHASYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPVSNIIGRYMMSFTRTSIQ >OB04G37910.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21432049:21434485:-1 gene:OB04G37910 transcript:OB04G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3M316] MESSSARGGDGRWYIGMSADNIKGLMLSLSSSLFIGASFIIKKKGLKKAAASSALRAGVGGYSYLYEPIWWVGMITMVVGEVANFAAYAFAPAILVTPLGALSIIISAILARVMLREKLHIFGILGCILCVVGSIIIVLHAPAEREIESVTKVWDLATEPAFICYVAVVLVVVAILVFRVVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFGGMNQLIYPQTWAFTLVVFSCIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTETCGFVTILSGTFLLHKTKDMADGLSASSSFRLPTSSAFRFSKQTDENEGTPLRSSESFRSPP >OB04G37920.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21440366:21447733:1 gene:OB04G37920 transcript:OB04G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCNTKVYHPNIDLEGNNCRSTARFMFRCNNFQTLSQYYTINIPVTLQITITEMYYNLLNDYMLKEECLLIASIFDFGTHTCPFRSSCFYKASLDCTSLGHLKGSCFFDSRKSAFICQWDLSGSELPFTIFVYLIYDQQQSNAIFSLSHRSGIT >OB04G37930.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21452744:21452920:1 gene:OB04G37930 transcript:OB04G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDSFPSSRRRWDEQVQDPTNTHESVTALFIRHHLYRRSFLCTHAYVQSPSHACIIR >OB04G37940.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21453395:21453964:-1 gene:OB04G37940 transcript:OB04G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell wall / vacuolar inhibitor of fructosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G64620) TAIR;Acc:AT5G64620] MVPPGASLLFLLILLTAGRATSAAANNKPQLQQLVQSTCNSTTYYDVCVAALAADPSSSTAVDVRGLCAIAASAAATNASAAGTSVLASAAAYQSQVPERAALLRACAARYADARQALASAQEAIKEEAYDYAFVHVSAAAEYPTMCRALFRRTQTPRGYGYPSELVRREEGLRRLCTVVLDIISLLVP >OB04G37950.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21454997:21456571:1 gene:OB04G37950 transcript:OB04G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEAPGGNAASGEKIFRTKCAQCHTVERGGAHRQGPNLHGLFGRQSGTTPGYAYSTANKNMAVIWEEGTLYDYLHNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >OB04G37960.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21457479:21462995:1 gene:OB04G37960 transcript:OB04G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT2G01720) TAIR;Acc:AT2G01720] MAPSLVVLSTAVSYILLAAAISISSSPPVPEDGIRVISAEKRIDLTSPVVKVFLTLKVENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSIKASDIAALPNGARLYSVLLSSPLKPSEVTTLEVFYALTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVMEQATYIKMPSNSVESFTRVDPTSRAGNEVKYGTYSNQIPNSYLPILVHYENNHPFAVVEELVRNVEISHWGSVQITEQYKLKHGGARHKGVFSRLEYQSRPSISGVSSFKNLLVRLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYLNLTFGCPLLDTVVNDLTIKVVLPEGSKNPQALVPFLLEQYFETSYSYLDVVGRTTVVLKKKNVVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFFVACIAYLHMDLSIGKS >OB04G37970.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21465495:21470201:1 gene:OB04G37970 transcript:OB04G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNTERARRALDAMKQLGFSKKEATPVLKNLLRLFGNNWEPIEDECYRALADAILDRHQETAPQAADIGDQEDRELGGCSATPDEAVCGGSRVADNDTDEPLTKKPRTNSTDLVTRQRGTSHHPQSPHPSLADDQDATAAISPQAHGGSPQFRPQTKASVRLSVTAPKRPRQMMDEDFQHTAFLKEPKPEPDMDARQGAAVTSDCPNSQPGLIDYPLNASSSRVTLPLALPPPDQNVPQISGLKKGTIQSCCMVNTGKGSSVESMQEAACLNINVASSTMGEVKMSLKCSAVPKFRMPSLEAVFKMVEDKYLHSYKILPPEFSIGSLMNEICQCVVQLGSDHTAEHNTESEIAGNGRSSQNESITGNIPFVKPIACENAGNRKCKSAGESFIVEGSGNSTIANQQPHLALANLKPIHDVTDISKGEERVRISVVNEFASEKCPPSFYYIRGNLVFQNAYVNISVARIGDEDCCADCFGNCLSAPIPCACARETGGEYAYTVEGLVRKTFLDECVSMNRFPEKHHKFFCTTSCPFERSRNESSPEPCRGHLARKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFSGEGKGWGLRTLDELPKGAFVCEYVGEVLTNTELHERTLQNMSNGRHTFPVLLDADWGSEGVMKDEEALSLDSTFYGNVGRFINHRCFDANLVEIPVEVETPDHHYYHLALFTTKKVEPFEELTWDYGIDFDDGKDPAKAFQCLCGSRYCRGTRHPRKRGKAAAK >OB04G37980.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21471673:21473607:1 gene:OB04G37980 transcript:OB04G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G13610) TAIR;Acc:AT2G13610] MAMAMATEKGRGCEVEVEARGINHHIPIAHSSLMMKIWSRDEADEGDQHLHPTRHVLRNVSCRARPGELLAIVGPSGAGKSTLLEILAGRLHPSSPPDLLLNGAPATSADLRRVSAYVTQRDVLFPLLTVRETLHFSARLRLGTRVSPKDIDALIDDLTLARVAATRVKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALQIVGALRAMAETRRRTVLLSIHQPGARIVKMFDSVLLLAGGCVLHHGTVDALRSMLASAGLTLPPHVDTVEFSIDNVDALRRRTAGEQATQPAAQLPPARDRCTLQQLFQQHKVADEDTLRMDMDSSNTRVRASSRYANCWAREVGVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLGEEKVAERVGLFAFLLTFLLSSTTEALPIFLQEREILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWLAGLRRTAAAFGYFVVLVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFITRAAMPACWVFMHYLSLFKWPFEALLVNEFAGGERCVARVLGACVATGDEVLRREGLGEECRWRNVGVMVGFVAAYRVLGYAVLRARCSLALRPAARRRGLISLRSIPGSSSSPTS >OB04G37990.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21471770:21472832:-1 gene:OB04G37990 transcript:OB04G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSARPATSMHTVRHAKSCRVRATFLKKRCDSTPTSRAQQLAYRLLARTLVLLLSMSMRSVSSSATLCCWNSCCSVHRSRAGGSCAAGWVACSPAVRRRSASTLSMENSTVSTCGGSVRPAEASIERRASTVPWWRTQPPASRRTESNIFTIRAPGWWMLSSTVRRRVSAMARSAPTICSAEALSRPEVGSSRMSTAGSWTASTPMETRRRSPPERSLTLVAATRARVRSSMSASMSLGETRVPRRRRALKCSVSRTVRRGKRTSRWVTGDAAQVGAGGGGAVEEQIGRRRGVEAAGEDLEQGGLRRRWGRRWRGARRGGRGRRRCGERGGSGGGVGRLRRPRPCSIFSS >OB04G38000.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21476352:21478385:-1 gene:OB04G38000 transcript:OB04G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGSVFGEAKPPEPPLRPLLFHAHSQALSLRLVATDLHSLAWDRSLSVSDIDDLRDDVGIGGSWSDFLEYLKSCLSSGEVKLLFAANTALDRVNLVATKAKGLPRITISLHRVTASAVNDVIANFSLSLYAAYRTTQDHASRERERASQLMESLSSEKEKNEIMQKQLEALSFLDKRKATKPKLVANQFPGVSGVAQGSDQVIVPAEQQMPVVSPIKLPPAKATKRIAPVSRRARRGALLQDTEENVEE >OB04G38010.1 pep chromosome:Oryza_brachyantha.v1.4b:4:21478512:21479428:1 gene:OB04G38010 transcript:OB04G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRVLEQAGVGAADALPSGFYDAFVLRGIRVEAAEPGRLLCRFTVPSRLLNSGGFLHGGATASLIHLVASAAFHTTSTSSSSSASPLEMNISYLDAAFPDEEIEIEAKVLRAGKAVGVAVVELKKKSGKLIAQARYSNYLAPSSKL >OB05G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:731:955:-1 gene:OB05G10010 transcript:OB05G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPKSNCNRCLSTTHDDTEIFDLAPIGLPPPTTFLISNTGTTSLPRITIGRSFFEIVLSRIFLYYQSNAYPG >OB05G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5082:11309:-1 gene:OB05G10020 transcript:OB05G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (ATCG00190) TAIR;Acc:ATCG00190] MNGMGAPGIILLLMNNHSRIKGTESKRGSALRLWMNQFKEFTLNKFLEYFWKLNIKMLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEIAFQLFAKGYQLLEPPIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLKEILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNSTFLLPRDVLAATDHLIGMKFGTGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSVKSPFYEISEKAKNKKERQVVYLSPNRDEYYMIAAGNSLSLNRGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTDSHKILLSSSGKTISIPLVTHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDRNGVVKLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRIGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSQKNFQVNREEV >OB05G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16542:16778:1 gene:OB05G10030 transcript:OB05G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETAGQSLHHSCRSLLVNRRCRTLPATPTPTLVAARRPRSDQRRRRDGLPCSRARPPPSSPAVPSHREHLFQAFATV >OB05G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:24652:24910:1 gene:OB05G10040 transcript:OB05G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRMMGDLTYKSTLDCIAKTLKNEARKPFSKTYIAHLLCPHKSFYFLLEM >OB05G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:35054:35251:-1 gene:OB05G10050 transcript:OB05G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding FITFFVATWASTCFGNQQNCRYTQTTTSNRYNSIHIDQGAMHTFSQDAYIDQDHISTIALSICTCS >OB05G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:37790:42116:1 gene:OB05G10060 transcript:OB05G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3M332] MATGGDGRRRGRRRSKMRLSRLYSFACGRRPTAVDDESTSRIGGPGFSRIVHANANAARPSYRSNSVSTTKYNAITFFPKSLFEQFRRVANIYFLISACLAYTKLAPYSSTSAVAPLALVLLATMVKEAIEDWRRKQQDTEVNNRKTKVLQEGAFHLTKWMNLQVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEASSNLQEDESFNSFTAVIRCEDPNPHLYSFVGNIEIEEQRYSLSPQQILLRDSKLRNTDYVYGVVIFTGHDTKVMQNAMKAPSKRSKIERKMDWIIYLLLSSLVLISVIGSVFFGIATKDDLQDGRMKRWYLRPDDSTIYFKPNKAAISAILHFFTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAQARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRRGSPLIADMASNTECFQTAIKGFNFRDERVMNGNWVSQPHSGVIEMFFRLLAICHTCIPEVDEESGKVSYEAESPDEAAFVVAARELGFTFYKRTQTGVSLHELDPSSGQQVDRTYKILHVLEFNSARKRMSVIVRNEEGKIFLFSKGADSVMFERISTTNSAYREVTQEHINEYADAGLRTLVLAYRQLDEDEYANFDMKFSAAKNSVSADREEMIEEAADLLERELILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQISITGGGDKAGAVTKASVVKQIREGKKQVDASVPGEAFALIIDGKSLTYALEEDAKGAFLELAVGCGSVICCRSSPKQKALVTRLVKVGTGGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTTFSGVTLYNDWALSLYNVLFTSLPVIAMGVFDQDVSARFCLRYPMLYQEGPQNLLFRWSRLLGWMAYGVASGVIIFFLTSTALQHQAFRRGGEVVDLAILGGTAYSCVVWAVNAQMTVTANYFTLVQHVCIWGGVALWYIFLLAYGAITPTFSTTYFMLFTDGLAAAPSYWVVTLLVPAAALLPYFTFAAAKTWFFPDYHNNIQWLQHRGSDADDPEFGHALRQFSVRSTGVGVSARRDARDIQLTPL >OB05G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:42031:47161:-1 gene:OB05G10070 transcript:OB05G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPSVATSPTSSNSKPNATPADTTSSSPPPASPPLAASPPPLAPPKSSPPPSEAAPPRNSPLSKPPRHSPPAPPPAPAPAAAQSPSPSDAPPPAPTLPSPPTNHKPLPAPATAADPADSNTAKHSSSNKSSSPAGRSGNSSPTNGLSASTTAVIGVGAVIAILVLSFVGAAIWYTKKRRRDTNGYRAGFMSPTSPLSSQQPSGGSADVESSFDPSLKTNYSAGSPKLKACMSDISMGNSRFFTYEELYQITDGFSAQKLLGEGGFGSVYKGHLPDGKEVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNDQRLLVYDFVPNNTLHYHLHGHGRPVLDWLARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTRVIETGNLEELVDPRLGRSFNEVEMFRMIEAAAACVRYSASRRPKMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDLSQYGWSSSRQ >OB05G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:46061:46358:1 gene:OB05G10080 transcript:OB05G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAPTPMTAVVLALRPLVGDELPDRPAGLEDLLELECLAVLESAGSAAVAGWEQEEERRKGTGTALLLAPELAAELVASALAA >OB05G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:49218:51193:-1 gene:OB05G10090 transcript:OB05G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G10590) TAIR;Acc:AT1G10590] MADSGARRQPTFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDLMKPGTAVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >OB05G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:54059:62527:-1 gene:OB05G10100 transcript:OB05G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGRRLAAVAVSDSDNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTRELDRIVSATPPPPTPPPPRSEAEAPFAQDRAISTSPHAISTWTPSSLNSRAALPHHHNNGLFDPMLQDQSIRKLSGEQSAPDSAVPSHLSTPSSRSLSPTRHRKEGDYDSKFNLAGQALLPLSETNSNIIWKQDLLAKVKEHEEEIAQLRRHLTDYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSSLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNVPVQSPSHPPGNALVTTSKANLDIVAQQAYSHVQSPMSSPVRARRDWDLLGNENHQTIASEVAAVNTEHDNIGITSPSSSNQVKKDVVAQATEHDSRAVRFNFESKSQNPSFKDLVRSDAPENLEGTETHISQEPPAQWGPEGSPNLASGLDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSTGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVIITEKFQQATAISIPYGRPTEFSILAADGAEYNLKPAENAPSRDIIVLILRLFRMKAVEKSKGRRKGIFFK >OB05G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:79906:85795:1 gene:OB05G10110 transcript:OB05G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDPPNPSSTQAQAQQGDPQQQQLVLDAPPPAVREDYVQNAVKFLSHPKVRGSPVLYRRSFLDKKGLTKDEIDEAFRRVPDPQPTTATPPSTTTSHHHQASHQNQSTLVQPHAPVQSATALAPAGSIIVATSPKFSWYRAFVAAGLLLGFGVSTALFVKKLLLPRLKSWIRKVVAEGDENDGAQLKSKIDDETAEAVKASASAVSSIAKTNQQLLASKDEEKKILVTLTQALDSQAKELKSLCDSLNHSRESINITREDRFSQYRALEEHTPSAARNGPANAPWRASQQTNMYGVPNSDFVSAGRPSFAPQHNEIAPGSFTRSYVEQTPAAQRGDRSSGSKPWEMQQYSQQQRIGYGSNSQLSDDGSSYPEVQDSHSYHQNGKAPDFQVEAEDARPSPSVYISGVEERPAPPQRRWVPPQPPAVVMPEAATAIRQPNKSLAKQPSSEEASEAHANGAPAGSSSLEEAVNGSDAVRSEIEEQSSEAV >OB05G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:86541:87422:-1 gene:OB05G10120 transcript:OB05G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPVPVKEEECYEEATAKRARKRSRYLSSPYTDDVSAAAATHTHTSSRSEEELMANVAVPDMLSALRAAALLDADAFAANAAAGEEVLRCFFTLHRNSSTRVTAEAELTPSSSSSSQKKEATTTTTGFALKKKKKKKKNNAASTPTTTTRRLPLTDVRNNLEKMISSLQGCSPMALRLPLAMATSVEQSLRERCDAFWPKLIRCSMHIATKLSYKPVTKAS >OB05G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:90847:91993:-1 gene:OB05G10130 transcript:OB05G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT2G33450) TAIR;Acc:AT2G33450] MATMLCSSISMPAARTPLLRTSSSSLGFATSQLAGLSLGLSASAPAPAAAVPKLHPIVARRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >OB05G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:93746:98467:-1 gene:OB05G10140 transcript:OB05G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) TAIR;Acc:AT1G19630] MEPGLAAAWSWSWMLLLLLALLLLALSCSWWKRLPQLAAEAAAAAPLPPPAAGPGAGGGSWLMRWVWQWRETAAFLAAHGSGRGFYHFVHARYLRHGPCFRTALLGRVHLLAAEPSHFAKRYARTAAELLGAHSILCCSHVEHRLARRAVATLFSMPSTAAFTATFDRLASDVIVGQWRPRNGDDTATVQVVALDAALEISYGAICEMLVGKKNRLERVRGEVFAVTQAMLALPLRWVPGSRFRRGLEARKRIMAALREEMAARQHEHDQHGGDLLSVLMQRRRQRHHHPDALTTEEQILDNILTLIIAGQVTTATAITWMLKYLSDNRHIQDKLRAEAVGVELKNNQAGLVTMQDLNGMEYAYKAVKESLRMATIVSWFPRLALDDCHLAGFQIRKDWIVNIDARSLHYDPAVFDNPTVFDPSRFNEEGSDDETVKKKKKKKGPSAAQQRRLLVFGAGSRTCLGMNLAKIMMLVFLYRLLTNFRWEMADDDTSLEKWAMFPRLKNGCPIRLTPINSSSQIPRES >OB05G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:103148:103959:-1 gene:OB05G10150 transcript:OB05G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPPEFHVYLNDLTGNDFNSVFRQLQQQPPPESCRRRRLGAAWPGSFYGRIFPAESLDYVVSSSSLHFLSRAPADAGNEGRMYVSAGGPARVLEAYRAQFQRDIRLFLACRAEEVRRGGLLLLTFVARREAAPSVHDCLWDLLAAAVESMDARGQLDSFDAPFYGPCPEELTEAIREEGSFRVRRMELFEVSRQGQGGQGGRRQLAEQTCSTIRAVVEPMLRAHLGWDAMAMDGLFSRYCLLLHDYYRQTHKLDQLTNVFLALDKIS >OB05G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:110563:111538:1 gene:OB05G10160 transcript:OB05G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVFFDVETTAADHHQRLLEFGAIVVCPRKLVEVDSYHTLIRPADLSAVSKRFTDVDVASAPTFEEDFGRRAGDLKMATLASYFGIGKQRHRSLDDARMNLEVLKHCATVLLLESSLPPGTLLGLGGAITRGRRRSNVQAPATLKLNQETISSNKASSAAAGVRKPSSCKRDSVGRMVAQRPKEATTTTAKPQCFHMILRHSRTILR >OB05G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:111147:117824:-1 gene:OB05G10170 transcript:OB05G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSGSSTLNASDTPWKGRLRSHHSTLCSLTALQSSSPAKNPKGDQEAQSLKKRAISLNSKNTGKRGIWSEETAEKRPPAAPPRRSPRLISNPPHLVDGVCKESMVRGNQSAIPFRRSLRLHQNQTNQNAFSVHQTCEKSSRSLRNAVVKHSTTMVNHMDSQKVCFQDCQHISPRTSVPYPSCKKTEKEASYSSCCKKLARKRKRGTEGGMSSYKSQSRHDHKPSPLEFQEIAPTNKPINTRHKKSESSSSSMVQPKFCDRLVDAESNNKELDGSERGETQCGHNWSEEQDMVLRKAYFTARPSPNFWKKVSKMVPGKSAEECLNRIHADLSTPTPIAPRPTKSNINFSPVAHFTLSDPKHRNVLEPSFKRRTAKQKSLAAQKTVRHLLKKQCITDKTEEADHFSVFETSPTVLPLDFSFEGSPGTPGSAGKKLIANLETIKSVGTNSSEPSPAVLKPVKNVILHEKYVDRLSRREGQKRAGKKAAGSKALDSVKTQQTGGLKAARNALISEATDFISHFKKMQSNPLAHVVEDNEDDEIDDIESY >OB05G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:119470:119631:1 gene:OB05G10180 transcript:OB05G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWCWISISQQNKQGNLCLYLTSTWLQRISTDGGRPAGQTEVDGRRRATAGEG >OB05G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:122275:124438:-1 gene:OB05G10190 transcript:OB05G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGGGPNSSGEASRKQGIPGRGGSPGSGGNRSWLRQTQRRAMATRARRGEAEWRWQQAREGARREGATAREGRSGTDGDGAFGKLHAEGAAEVFADSLEHVVPLVIVGVGVGGRGSTEKLLAAACLVLVGEGVVALDGADGGDELAGTPGGEGSRGRGRGVGGAVGAGGASEEVDLGRGGGVAEHADAIAVAGEVRHGG >OB05G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:122254:128548:1 gene:OB05G10200 transcript:OB05G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMLCHAAAPPEVDLLRCSTCATPWHSPCLSNPPARAAAARWTCPDCSADAPPPAPAPLAAGGAGQLVAAIRAIECDHTLSDQDKARRRQELLGGTAPADTDADDDEGDDVLEAIGKNFSCAFCMKLPERPVTTPCGHNFCLKCFQKWINSGKRTCGKCRAQIPAKMAEQPRINSALVSVIRMAKVSKNANSAVSAAACHYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPILAENDPRRNMGVRVGETWEDRLECRQWGAHFPHVAGIAGQSTYGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLNGYPVRVVRSHKEKRSSYAPERGVRYDGVYRIEKCWRKISVQGTFKVCRYLFVRCDNEPAPWTSDIYGDRPRPLPKVAELKGATDISERKGTPSWNFDDKEGWKWVKPPPISRKPNLSGDPETDKQIRRVVRRAQMSVAERLLKEFGCSICKQVMKEPLTTPCAHNFCKTCLLGAYDSQSSIRERSRGGRTLRAQKVVKKCPSCPTDICDFLANPQINREMMGLIESLQKKAVEEGDNKTSSDGSNGAESSGDDENTEALEKEEDESSLNDEDDGDRHEKTGGLLIVDSDAKDDGEVVKKSKGEDEKGQGDKKTKDSADAVVDIAVEEKQMEGSKKAENKQGGGQQARKRKGNAETVATNGGKRMKTAAGGGDDMETVVEEDGPLNGGTPMKRSRKSGEVDAKGSGGSPVASSPRRVTRSSAKAGEADGSPATRTRRASRADTGAL >OB05G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:128994:135228:-1 gene:OB05G10210 transcript:OB05G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFAPASDSSSSFFSQDLVPTERQVGFWNSESMVDQKGSKSVFASPLEKIHPNGANHAGGLETPGGQAFKGLDILSLSNLMGQENASGSPSISWDEILTNPISKLGLSTRKSAFVEPATADQHLPGYGKGLSSSSLSEVFNAREIASGVLCQSAGPHTSICNGDEPLESMEEIEAQTIGDLLPDDDDDLISGIADGFEFTGLSTNQDDADEDIFCTGGGMELENNDSIKGDKVQDGSFKSQFSAGHFINKQSSRTLVVRNITANIEDSDLTVLFEQYGDICMLNTTFKYHGFVTVSYYDIRAAQSAMKALHAKPLGMMKLNVQFSTPKENVPNKDIDKGVLVVSNIDSSISNDDLHQIFSVYGDVKEISSSAISCTKKFVEFYDVRAAEEALHDLNKGDIPGPKFKVELNQHGEARSCLRQQHDRDWKQHDLPHQPKNSSPGTIGKLGSKFQENSALHNLFSPVNPQLESPTQCISTTCPQMLSSPIGIKSTLKHNNQAPIGDFSGSLGQGNFGHGIQTLHSRSLPEHHNSICNSSKSITVSGRNANSRQDGMDSNIHKVGSAGFCGRSFGRNNEAFGFTEIRSCPHHGHHYNWSHTNVFPQSPSAPILWSNLQHPMRMNSYAGVPPHMLNTGTHPMDQHHLGSAPENGGSFGNMHSFHPGSLGSIGLHGSPQLYPSEFSVFASNGGHFREAMFSPVGTGFPSLQHMCHAINDQNPLIHVSTSYDATNDRMRSRRHDGNAAQSENKRQFELDIDRIGKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSVISLDAKDGNPSTSPNQETNSHSVGNAESDLGANLNH >OB05G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:136012:136200:-1 gene:OB05G10220 transcript:OB05G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCIHSPMHEEEVALSSSSSNVNILLTLISSPKKRSVASIIYSCIEYSQIVVVAFLPCCLR >OB05G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:146761:149795:1 gene:OB05G10230 transcript:OB05G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQEPPPTLQDATDRIGKRGDSVDEKIKKLDAELARYKEQIKKTRPGPAQEAIKARAMRILKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMSAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESDLDSELNLPAAPMGHTPAPPNRQQEDELGLPTVPQASIRS >OB05G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:151881:154015:-1 gene:OB05G10240 transcript:OB05G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCEAVHQLHDQSSSSSSSLLPCCFLSAPQPLPFPGFPAGNNLEEPAPAAAAMAAYESSSCSTLDPTSTPIMMYSPMVLQPQESPLSFENAVPGDKKWMPGIQGSCTCSLGSTQEMDGSWGKSRKHRRSNVGVKGLEEKKARRVVVQQHGDDAKAKEAGGEPPAPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPMMYYEFGPGIGMYPDVLPQLAKMPHEQLVQCMGQGDQMGSTGGVPGGISLSLPAQGGPTGFAQDGSSNSNHMNALVMQVGEQGQQGPLHQVQMSSLCFFQ >OB05G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:154284:156472:-1 gene:OB05G10250 transcript:OB05G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMRSLLLLLVALAASAAAASAVQDAPFVVAHKKVALSRPGPGVERLAVTLDLYNQGSVTAYDVSLNDDSWPQEAFQLISGTTSKIVDKLDPGATASHNFILETKTQGKFQGSPAIIRYRVPTKAALQEAYSTPMFPLDILAERPPQQKFEWVKTCWEIWATGICRLLCRCVCLPGCKPIKIRCCKKQEEALNLFISFLFLLDLQECTPSNFIRFSYVL >OB05G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:158233:160952:1 gene:OB05G10260 transcript:OB05G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3M352] MAAAAATSFATLAIAAASSGQRAILAYKSPSPLLSLRAGRLSSPALFAPSPSAAQSRPRFVTSASADPKEADLQSKITNKVYFDISIGNPVGRNVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVVEGMDIVRIIESQETDRGDRPKKKVVISECGELPVV >OB05G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:164660:166357:-1 gene:OB05G10270 transcript:OB05G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGKQERDVAETRSIDLAVIRDRKGQEPESKRCSSSVKNKKKKKKKKRRELIGGDVYSDDDDEEGDEGEVDDGVDDDGHGAGVKVTELHQQQAIKCEKIEQGVDVWWSGVDGMERKAEQLLGGRQSHCQLAGYPE >OB05G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:165063:165272:1 gene:OB05G10280 transcript:OB05G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQLPGKHHLVEFGNLHTSAMSIVVHSIIYFALITLFVIVIGVHITTD >OB05G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:166962:169146:-1 gene:OB05G10290 transcript:OB05G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEGRHMGPTEKSPTLTNKPKPKPKEDGPSSSSPASLSSLLSLYPRTATFFFSPVPCSRPASSPPPPSARRGRGRASLRRPAPHPPPRSGALSSSLFVTMGDYTIQISTKLIDQLARDDEKVKKKTRKSKPKKTVKQHKEPQDNSRELPPSEPNVTTAPPGWPLQMYLPVTPAPPPPPPAISELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPMPCTEERANCLQCYVSNAQDPLKCAEAVKRFEACVRLARQMGNMKVAQ >OB05G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:169947:170141:-1 gene:OB05G10300 transcript:OB05G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGSLGPKTKNIVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDSGNSSTAGS >OB05G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:171925:172647:1 gene:OB05G10310 transcript:OB05G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRARARGSQRWRVPASAAAEAASRRGLRRRRGGEGREGNEERAGGEKNLDSPARPRSTYLSRVDDADSRIEDGTDELLGML >OB05G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:173537:177717:-1 gene:OB05G10320 transcript:OB05G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSARSKVQAFLEAARAGDLASLKKLAAALDEEGKGAAAVAAAVKDANKRTALHFAAREGCTDVCRFLIADLGLPVDPKDDDGETPLIHATRQGRLQTVTYLLEHGADPSIASSLGATALHHAAGIGNLDLMKLIISKGVDVESESDAGTPLIWAAGHAQREAVELLLQHNAKPNTETDDGITPLLSAVAAGSLPCLQVLIQAGADPNIRAGGATPLHIAADGGNVEIINCLLKAGGDPNACDDEGLKPIQVAALRNNREVVELLLPLTSPIPDVSNWSIDGVIEYTKSVEEKTQVKEATTQKTDRLQTPQLVEVSSEAKGRSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLYSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENTELVSAFREAVEAGRKFHGTDKQNANEADATV >OB05G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:178484:181754:-1 gene:OB05G10330 transcript:OB05G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILLGSGILGSILVGGDAKLPTAGEVLYGASKFVKKHGNEDKKTSSNSDAHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAAKSGPGTFTVTVVVVAGVVGYAYIKWKGWKLSDMMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDINLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQDRTATGISDLVEFTQKATVRQVPTASVPSAIGSSERVVRRATSLPQAVALPALPAATPAAEPSHRAEASEEQRGIASRISSSSEGSGLLQEQRGIVSRTSSSSEGLGLLREHRGIVNRTSSRREGPGLLQEQRGVVSRTSSTREGPPESSNEISSPTGASTSTGTRNTSASRFGGLRLPGLGFLTSYTS >OB05G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:183341:185211:-1 gene:OB05G10340 transcript:OB05G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAHQLGIDCAPAESTDPAEALRSLLESVGATHAERRRKDVTWISSELHRYHWRPPGSVHWSSINLVGARLDPLIIDIDLISARLDPQAMTTAASEKTVMVVDNILIYEEKSSLTLSTSCDCPGRRAGYKRGMEILDQMGISDGNERVERSGKEGGGAW >OB05G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:188171:192723:1 gene:OB05G10350 transcript:OB05G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3M361] MAMAMAMPPPSSRRPLPDLMLLLLLLHLLLGGPCAVSSLSVSAPPHNHTSQPQLQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPQPPEPMAAHAWNPFVPTPPQAPPSEEEDSPSEKSMRKSRPLHSDKLKPGSLHTKDEMIHLYLNNSTMAAAMPREVCLLGAPRCHGIGMLVGALGVSKEQVREALMEGNAHGLGVEALRMLMQMTVTNEEELKLKYFKDDPSIKLCPVEAFLKAMLDVPFAFKRMDAMLYIANFYLEVNQLRMSYATLEAACQELKSSRLFHKVLEAVLNFGNLMSINTGSPNSHAMEPNTLLKIVDVKGADGKAALLQYIVHEIVKPEGHNPLYKTNASTTMQYDVECRKHGVQVVSKLTAELSNTKKASSIDMMKLSRDVSELGVGLGKIHDVLRLNSMVTSADSARRFHNTMSMFLRQAEEEILKLQAQESICLSCVKEVTEYFFQGDSSGEEGQMVRVFGGVREFLAMLDRICKEAGDEMKKSSGYMGRDWNMAAPMGMTTP >OB05G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:193940:200564:1 gene:OB05G10360 transcript:OB05G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSNNSPPSRTALEPFATLDPAALAGLPASSPLTVRSAALSAHYLYVGTGGGKLLLFTLDSPDPEFLRLLPIGPTRPVSAILPLPAVARLLVLADGLLLLADPLLSRPVRRLGSLRNVSAVAARAPDRPSSCSLALSVGKKLLLVDLTLHDADELEVQTRDIAVVDAVKALAWGGDSVFVGTASGYSPFSTATTNAQGVDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPIGSSFVFNTTPDCIAEVFPYVVVAGDYKVDVYRRRNGAHLQAIPFARAGTGGLIVASEDAGTGSGVVVVATAYKVFCYRKASAVEQIKALLRRKSYGEAISLLQEFKADGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRYLCASREKDLSSAEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHTSLSETDSLETSAGKMSGEEIAAVEASKILQASSDLDLVLEHLGWVADIDQELATTILTSEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQGCDEPHYHTSYALSLSKSAMDAIHLESNHGEKNSKEFESDMQFIYLLRERLQLFLQASDLYDPEEVLDVISDSELWLEKAMLYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPLQVLERLSADMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASGRGRNFRRSAIFKQSWLVSR >OB05G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:200984:201946:1 gene:OB05G10370 transcript:OB05G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTMDVKLMVVVLLLLLCSAVALQKCDEDDEAALLAMSDALGKPPYHSYDVGCDQDSGRVVSLSVFQDANLTGAIPDAIANLTHLRTLVLRHLPNLSGAIPDSLAALTGLSQLTISWTAVSGPVPSFLAGLTSLTLLDLSFNSLTGVIPASLGALANLSAINLSRNRLAGAIPAGLFANLQQQEAYLRLSHNNLSGSVPADLAEVRLAVVDVSRNALSGDATAVLARAKYVDLSRNGFSFNMSGVVLAEEAYYVDVSHNGIRGGIPAQVANLTGLRTFNVSYNRLCGAIPNMASSSFDAFCFHHNKCLCGAPLPTPCPP >OB05G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:204133:205074:1 gene:OB05G10380 transcript:OB05G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVVVAAAVVLLLAAHAVSAATKKKDCNAGDKAALLAIKSALGDPYHFASWTPDNPCCDWYDVDCDDSTGRVVGLSVFQDANLTGTIPDAVANLTRLRTLVWHHLPNLSGPIPPALAKLSRLSMLIISWTAVSGPVPSFLGALKSLTLLDLSFNSLTGAIPPSLAALPYLSSVDISRNRLSGTLPPALFSKLNGSQGAYLRLSRNNLTGGIPGEYGGVRFEQMDLSRNALSFNMTGLRLREGEGWLSLELSHNMMYGSVPAQVAGMANLHYLNVSYNRLCGELPAGAARFDQYSFLHNKCLCGPPLLAACN >OB05G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:206229:207265:1 gene:OB05G10390 transcript:OB05G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIGLLLLLAHVLSMAAAATRYPRCNAGDRAALLAVRAAFNNASYFQSWTPDIACCHWYGVDCGGDDYDYDPSDDDRVLSLAIIRDDNVTGAIPAEAIAGLTSLRGLMFFKVPGVTGPIPEALANIPGLTDLTISRTGVSGPVPSFIGDKLTALQSLVLSFNSLTGAIPPNLGNLPSLTYIDISRNQLAGAIPPLLLSKAGRKNQTAVSLKLSHNNLTGTIPAEFGAVNFMEIDLSRNQLTGDASMLFGEGKEELDGVYLSRNALSFDMSQLQLPRQLGSLGVSHNFVYGTIPAKAAQLEMLNVSYNRLCGAVPTGGNMASFDAYCFQHNKCLCGAPLAPCNH >OB05G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:207748:210177:-1 gene:OB05G10400 transcript:OB05G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 2 [Source:Projected from Arabidopsis thaliana (AT2G39990) TAIR;Acc:AT2G39990] MAAPEGPVLLFPSTSSASARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNCYVVPHSESADQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQSPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGFDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFIADTVASMPKLSPAAFDKLFNDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >OB05G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:211669:223393:-1 gene:OB05G10410 transcript:OB05G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MAAAADLELELESFLATCAASGDAASPAAKAVLERLHHPPSRADARRLLGAVRRRFAGPAAGEECFRTFHFRIHHVLLDDPHLQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFCITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRSLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGRADCNRAEDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPFHAAILCGLVKNQVYSDLEVAFAISEDEAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQKMIGFSNSAMSTLKEAEFFVPDPKESGVIHMDLDRSFLPVPSEVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPEDLCSEIIYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVLAAKFVNVNTVTIPTKLDSGFKIEPRVLADTLETVSRPWVYISGPTINPTGLLYSDNDIQELLSVCVKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPNPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDERFSNLIMEQKETLKSRAGHLIKTLESCGWNVAGSRGGISMLARPTGYIGKTIKVEGFEGKLDGCNIREAILRSTGLCINSSSWTGIPDHCRFSFALESGEFERAVGCITRFNELVLGSKGSDMNVLAFGITDWPKVEEDSRKALALDATLVKGHYLLGCALLEKEQCALAIKEFDRALDLLRSSNLKDKMVEDIWQVLAKAKYIDWEIHSTERVWKMQSLKEACENALQEHHFLSGTLVGDGATSEYSDQLKLLSEVFTKATIDDTPTDVPDYLCCQITFEIFRDPVITPSGITYEKSILLEHLRKVGNFDPVTREPLKEHQLVPNLAIKAAVQAYLKDHSWAYKLN >OB05G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:228728:231578:1 gene:OB05G10420 transcript:OB05G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFDNISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAHKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRSISKKPVASDEAGGGAAGAVKEGKTINVAAGDANSEKKQCCSA >OB05G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:230443:236072:-1 gene:OB05G10430 transcript:OB05G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G11730) TAIR;Acc:AT3G11730] MSIEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSDIKKRMATQPAVERKPTVHLHMRGQPIQQTSSSSSCCSS >OB05G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:237211:243004:1 gene:OB05G10440 transcript:OB05G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor E / Pfifferling (PFI) [Source:Projected from Arabidopsis thaliana (AT1G71440) TAIR;Acc:AT1G71440] MAAVAFRLGQRVHAAGDPARVGTVRYLGPVEGHSGDWVGVDWDAGAGGRHDGSLAGRRYFLAAGERSASFARPTALSAGITLPDALRTRYRVDDFTKEEQDEMYVFSTSQKRVSVELVGKNKVQEKLKNLNDLTGASVSYMGVSSIGPQDELKNLVPNLIQLDLTGNLLSPWQDIFSLCEALSSLEVLDLTNNTMENDFTKSSSVKNIRVLVLNYCGVTWELVEKIKFPFLCLSELHLMTNKIKIIMTPVGDIVQGFHTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNMLKHVAYPSNLPSPGSLGDAAVVPFEKLRVLLLGSNQIDDFLSVDSLNLFPSLTDVRLSDNPIADPAKGGVPRFVLIARLGKVKILNGSEVSPRERREAEIRYVRLVMGKVESYDPEEVKRLHPRFAELKACHGIEDEKPVSRTSGHQKLSSGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDIKLRLYLEEEGCPLPQFLEEDTSSLMELGIGTGSTIIVDEES >OB05G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:244776:247196:1 gene:OB05G10450 transcript:OB05G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNRIVDPVMQVIRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFAVTPVELSLIVPFLRFGEVITGSGHFPLTADAFKKVITGQASKDVMLSIVHAMLGWLISAPIVLAALYMVFIPFFKFLVDKFGGVPSSPRTPIKLV >OB05G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:247320:254453:-1 gene:OB05G10460 transcript:OB05G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDFDWPTIVLSTSPEAKNVTSSSMRNTTRNDMVVQRGFISSNWPWDGMKCENNTQFLSHSAGDGMIYRKDNQFPSHANRGSSNLDYQEQPSKFNYVTDLGSHGYSKEARTLGRIYHRQQPYFSTSSCSYEKSSALKVVPSSPDASGSVVWEMRTKNNNLSARSNYLSYPTDFRHMRRYPDEAKVGLNPDDDSKQYRRSDRFTAFSNFNGQSSKHLQTAKGANYMDETTLISRQWCFDNRGSSPPRGLEYGNEIPSLSFKKCNGHVAPLYPSIWDCGAETSSLRQFNGDEIPSLSHHWHYQDKISLHSGQWCHDAEAHQLASYQQGASRGNARLREDISRGVRNKQGKFITSRHTMTKPRAANKVVSSTDHYRIIKDNPWRSSEDIRDQVRGPRANKLNDSTSSTKNSSMPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKCIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVRWHIIKDIPNWVFREIFLENNEGKVVTFSRDTQEIGLPQGLDMLNIFKAYHQGTSILDDFYFYEEKENIRRAQKGRNLEQINQDWFSDDFRSISVENLEESMQSLSFYRTWD >OB05G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:256440:257741:1 gene:OB05G10470 transcript:OB05G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLIPFRATGAAAAFTAPKRMVAPVMASKSGAKVKVSAGCKTCRGKGAIECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >OB05G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:260840:265378:1 gene:OB05G10480 transcript:OB05G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MATKSRRRSPSSSSSTSSGSYSRSPASASSSSSPSRSRSPPHPTRRGRSPSPPPPPARKASPAPESTVLHVDHLSRNVNEDHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKKRADAEKALLYLDGGQIDGNVVKVRFALAPQQIAVASPKAHRSSPKGNAVDYNKVGPSAEKANRQRPREASPPRKPAPSPRRRSPPSRRVDSPRRRPDSPPIRRRPDPSPIRRGGTSPNRRPLSPIRRRSPSPPPRRLRSPRHLSPRRDRGSPIRRRSPFPRRRLTPTRRMWNPPRRPLPLNHRSRSPIRRPIRSPSRSISPRRGRGPPMRRGRSSSYSDSPTPPRRGPRRVLRSRSPRRPIRGRSASSYSHSSSSSASPIRN >OB05G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:265967:268574:-1 gene:OB05G10490 transcript:OB05G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSTLRGRGRGRGEGGDPPRPAARWVKHWIPQDLATSSGKGKCALFKWVREDVYKNLKDGTGAAEAEAVKTEPTTEILFLCSYENCGKTFVDVAALRKHAHVHNERQYICQEPGCGKKFVDSSKLKRHHLIHTGQKDFICPHPGCGKAFSLDFNLRSHLKTHALENYHVCPFPACGKRFTSDSKLKSHVKGHEKTGTPITAQYMPASDKPQICTKPVTPAATKPVTTAATKPTAPSPASFAERPFVCPYDGCGKAYIHGYKLNLHFKTQHPEHGQEENGKIAAPAGEHAVNDRANHYNYAETGDLAPNPKRSKTNSVHKTPSSKVYNVKISSASPADISGVKNQWPGKYEDDSEETEEDQGNNIEDGWRYGNQNADDEETEYED >OB05G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:269418:272096:-1 gene:OB05G10500 transcript:OB05G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:J3M376] MSSAFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OB05G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:283771:285187:1 gene:OB05G10510 transcript:OB05G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3M377] MDGKKPYVMAVVIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPPMSLRLFTKLFMYALLGNTISMNVYNISLKYTSATVGSATSNSVPVVTFFLAVLLRLEVIRLRTLSGMAKAAGVALCLGGVLVIALYAGPAISPLNHHRAFTNEARRRRRADEVGEGDVPDAAVQHGMVAVDRAPGVAAQGVPQQAARHAPAPNKLLATLLHTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVLTIFCSSFFLGEIVHLGSILGGILLVAGLYSVLWGKSKEHNMQLTLAAAATVQVKQQQDDSADNKMDIAADEDEKQQKLASPEQQV >OB05G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:287103:289587:1 gene:OB05G10520 transcript:OB05G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARRWRCFHSTASALSKSTPHIRFAVREKRGDAKAALKNILLNGGPYSESSNKQKRQQKGSGKSKLTNSNYGKNPHRKGKSAQKWRNFDEDDCSDTPYGNFGGKRSFTWYWPGENDESGSPSGFQWRDESQSTKSRQRVWNESDVDEEEELCYDNLQRHRISLGLTPLGPLELDHIKSAFRASALRWHPDKHQGASQAEAEEKFKRCVEAYKALAGAFKPSG >OB05G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:293661:293960:-1 gene:OB05G10530 transcript:OB05G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCLFFASHEQQQQQSFSPPPSLSLLIYYILLLFLLFFSSLFGCSGSPAVVVCAHHHLSPHALLVFLLWTLHSLYCYYSLFSPLLCCEWHHKGVQYS >OB05G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:293528:299101:1 gene:OB05G10540 transcript:OB05G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRVASSDVLRASISSAPSTSSHGSAPDDCDSLGDVYVWGEVFCENSVRVGSDTVIKSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGEVFTWGEDSGGRLGHGTREDSVHPRLVESVEACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALEGLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISSPREVESLSGLKTIAVACGVWHTAAIVEVIVTRSSSSVSAGKLFTWGDGDKHRLGHGDKESKLNPTCVASLIDHDFYRVACGHSLTVGLTTSGQVLSMGNSVYGQLGNPHSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTSRSEVFTWGKGANGRLGHGDIEDRKVPTLVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCLLKLKNASDSDSFNKRKDIVSHLSGESNGDNKVSKTILPSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMSRSAPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSAADNMAKTNELLNQEIERLRAQVDNLRHRCEHQELELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPEQGTYDVSEAKPVHIPNGIDSHIAMYSSMNGARQPRNELLLNASNPQGLNSGRSSHPNGVSSQHKLVGEASEGSAQSHRMTTSPRKLDLRHRRAHSNSDDVLSASTRADENVSLDAMSLQNGEDGYKPRGTVSVSSSQVEAEWIEQYEPGVYITLTALPDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSSAASTRSAY >OB05G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:301766:302949:-1 gene:OB05G10550 transcript:OB05G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRDIASHNTIMTAYAATIGTIHAARHLFDGMFLRNAVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVTMVGAATACARLGRLGAGREVHCAFLRRFEEYNLLFWTSLVDMYGKCRDVDAARKVFDQLIFRNVVCWNAMIIGHCVYGEPGDGIQLFHEMVGQDHQHGLLPDEVTFIGVLCACTRLALLYDGKAYFEQMSTMYNIKPSFAHYWCMANLYASVGLLEEAEGLLRSMPEELKAHAFGGLLGLCRFRGEWELGERIVLRLIELEPRNSVHYALLCNVYACAGRWEDAHRVKAIIKERDKKLSPGHRLENLNGIINQFRERQPENLEIYAILDDLVSRLKVK >OB05G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:305799:315328:1 gene:OB05G10560 transcript:OB05G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWPAWVALLLVGVCAALASAAAPPSKPKRVDLPTHDGARWSPPTAPALSVTRTAQANGVVVELRGVFRIVANAVPITREESRVHNYGVTDDDCLVHLDLGFKFQALTDDVHGVLGQTYRSDYVNRLNITANNIPVMGGAANFVSTGLFATDCAVARFGAQPTAAGTGAGISMVTDANLSVEARSISSIACPRRISHLVSGDSAPASAGFHALGGGRLLMAGTLRDPGSDAPPTPPPPPSAAPPLAQYLSLDPLAWVDPKHSRHGELRRALQGDDRPQELRRIRATVADSSSKAREKARSMQEAVDKVDRCRNVLNRKRQRCDPAAAGAEKLGTASSGALRIGAQNNSSNKRVRSSLADGRLEGRNNISTRQSPLVNNEKSSPVEKEKGCGRTSGLSEDKLRGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANARPSDSLAHRHGASAIEFAGSRMDGSSQQNSNSSRILCKTDVDYATPPNERRERHAGIEKERAMVKGNKAHASEDIQTGNISPFPKAKACRAPRTGSHVMASASSFQRSAGGSDELEEAPCSNKASLLGDMTNRKRSTHSSASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSDGSPLDTAIAAKPSSVESCGVMLTKDGTSSHTQTANKMDDSFSPVGLSGSEGSAVTEGKVKEKATNSDEVENEAENIVRNSAGLIVSSNKNMIPLKEELQDGSVRRQGRSCRGTMHVKGYSSISKEKLDAAETRKPLKGGRPGSEKNESKVECPPMRKGSDRKDSSCFPQSLNCEQADDQEELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKNQIDIVEELDLGMSYMPGGEYVLASTNYSRQKMDFSCGELVPSNSSILSEQNETNGVGLKGTIDKFYPSEENRHHVPQKMEADKWLHEMVPMENRLLSAIIMEDDFSEPNVLQRDILVEFSNSHVPYAASRFLRNELQASAISSNFGLSVDFMNSNNTSVVHRSMRNGFTNSSSFISSSSQSSVHTDNLSDGVNFVYPEDGSFHNLIPQISSQCRNPGKKFSSSPHEYQYGQLSVNDKIFIELQSIGVCPETVPKLDDGEDENINKMISELRKRLHDQVKQKKCKLSKLDKAIQDTKSIEERSLEQHAVNKLVERAYQKLKGGRTGSSYKAGASKSTSKAAKQLALDFAKRTLMRCHKFEESKKSCFSEPSLWSVLSAPLPSSITKSTEGVERLKHQKQDRSPLDQGGIKWKKSHKEREHIRDASAKGSGTRSGRHSSGSGRSGERKNKTKPKQKIVQLSTSGNVVGRVVESVPTPARQEATGPSAPLGTKVTQQPRNPPENAALRLPEAPLANLPGIYDIFAGTEGLDEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDITELGFM >OB05G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:315021:315188:-1 gene:OB05G10570 transcript:OB05G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTILVKLCQIEAEKTMGQLYYPFSPQKKKKKKKKKKKKKKKKKKKKKKKKKKN >OB05G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:317495:328774:-1 gene:OB05G10580 transcript:OB05G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGVSLIRGIYCMLLSTTLVAGDLPRRPDSAEIEIADKIFSCASTTAASEMPSLQLLQLTEHGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEENYGDDASALARNGDRTAQNGVDGRLAGTKRRKGSLRSLHFLAAILLKKIGPNGTRYLIGLMLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSTVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMAWIVAYILVAGGAIRNFSPAFGKLKSMEQQLEGDYRQLHSRLRTHAESVAFYGGESREAHYIMQRFKALIRHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDRSLNHNSPAGNYISEANHIEFSGVKVVTPANNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQETEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDGSSFSTEESDYASLETDRKSDALTVQRAFMGRAKSNASKTKEHSYTTKVIATSPRLEIEQTAQTHKVPHLRCFPRPLPPRVVAMVKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKVAFMRLIGISILQSAANSFVAPSLRTLTAGLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLFGLGFLRAVSPDFGHLAGQEQELKGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFKKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLRVAQRDTVASSDVVSAASEEIISFYEVDIVTPSRKLLASKLSCNVQQGKSLLLTGPNGSGKSSIFRVLRDLWPVSSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKISSLYNSGNRSSASDLLDDHLKTILVNVRLVYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >OB05G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:334136:339060:1 gene:OB05G10590 transcript:OB05G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:J3M385] MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEAQFKNEETTEQVGKVKIVACDSKLLSQ >OB05G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:342041:344951:1 gene:OB05G10600 transcript:OB05G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAHHGFLPAAGRQHLYGHPHYQLSGDDEWWEFIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSCKPSSAAAADKGSYEEDSYFEAPSSHCIGRPVPDSSPDPLLSQFICCSIAPPIDSLRRSEAEVEGHCSSYSDDQRKEQGAMDDASKQELEERLQRIEFVKQMLMTTIAL >OB05G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:344121:352907:-1 gene:OB05G10610 transcript:OB05G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAAVGRSILRFTNESMRIVMVTIIGVLFGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSSTTFKIYVPTNPKGAERLAPGIVVPESDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINKAVKKFSDNFAILLFHYDDRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYRLDISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGLVFFDDDKKDDEGEVKKKGGDDKGEVLERKVEDFFRSLKKGPGQGEAKAKKKEAEPPQVKPEEWPQPYLASRTTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQAMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEHIRKAAASFMGEIWQVPPMFSAIKVGGEKMYDKARRGESVELSPRRISIYSFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDYSVNDAWSFSELEEQITKGYL >OB05G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:356132:360872:1 gene:OB05G10620 transcript:OB05G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPELDTEPEFAEVDPTTRYGRYTEVLGRGAFKTVYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHNNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILNGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPNSLAKIEDPEVRFFIEKCITEVSHRLSAQELLMDPFLRDVDGEKIFYPFQSNMKRSDGAVSSNSSMGYKYARQ >OB05G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:361121:361924:1 gene:OB05G10630 transcript:OB05G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQCLSYTPFSFQCNTAGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWTVEESVENQGDEGAHSETNSSEADDETSELRIDPNATHNGFVQEHLPSGHKYWSDSPRRHSEMSHLANEIFKRNATDDIASNNLGTSNHTVEDMCERVSHSADLSNSSMIDRKSGEASVSSTSHRSFDGDEHVEADVTERLANLLAQQQEELNVLRRKHKADIELILKGVPEEHREETLTRCRLKADERNRSEKP >OB05G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:365185:365469:1 gene:OB05G10640 transcript:OB05G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGMRPALFVFRPIVLSSASAPAGAMLLDASPPPPTGFCLYLYAWKLE >OB05G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:370257:372174:1 gene:OB05G10650 transcript:OB05G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGGWALVVFLGGRIPQIEGSSSSPVRGRRPRLAVRQLLIMIVRSYSTAQSTEKMVNAIKGLFISCDVPMAQFIVNLNASMPASEKFILQTFDPTHMFVQPHVAEMIRSKIAEFRDQNSYEKPA >OB05G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:373387:376322:1 gene:OB05G10660 transcript:OB05G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCMHACLKAKSQPDRHSEQSLKLWHDSAYTQNCCSLSEPEPLLPSNCLPESMATNTHPCMHSSFILPAPNQSSLVKKNNSSDMELKLKLSVAAVGLLLCGVACAGAGAGAGSEFSIVGYAEEDLASHDRLIELFEKWVAKYRKAYASFEEKVRRFEVFKDNLKHIDEINKKVTSYWLGLNEFADLTHDEFKAAYLGLTPAPARKTGSVSEFRYSDADGEVPKEMDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCSGGLMDYAFSYIASSGGLHTEEAYPYAMEEGDCDLEKGAGATAVTISGYEDVPENDDEALIKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGEELDHGVTAVGYGTSKGQNYIIVKNSWGPHWGEKGYIRMKRGLCGINKMASYPTKDH >OB05G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:374506:374847:-1 gene:OB05G10670 transcript:OB05G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLHPLFPSVLQSISSCSDSDVRFPVTIALIPSTAATVENAQQLPHCPWFFTSVTAPFFLQSISLGTSPSASLYLNSLTLPVFLAGAGVRPRYAALNSSCVRSANSLRPSQ >OB05G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:378475:380561:1 gene:OB05G10680 transcript:OB05G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVYTLEEVAKHNSKDDCWLVIGGKVYNVSRFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYVGDIDASTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRIYTKSESA >OB05G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:382861:383079:-1 gene:OB05G10690 transcript:OB05G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRSGGEQAEEEVKRSWPEVVGMPVEEAKKVILKDMPDADIVMLPATMDFRTNRVRIFVDTVANTPTIG >OB05G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:384495:390164:-1 gene:OB05G10700 transcript:OB05G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMATRGEAYGAAAADRDMELFRSGSAPPTVEGAMASAAAAAGDVFLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSGLGGIGDGRRQPAAAAGAPGDGLVGLPGIDLDRQGSFSSIFQENSYQRDMGKQGANRNNSDFLDSSRAQYALRETRAMGGLQSDSTVQKLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSRATNGQTMTQSELYQDVDNVRKFLFDRHGDQSNGSQQRSYMKNPEQGHFKTPDGYSANSPNSSLIRNQINAANFTSFDNLPAGSGFASPRIGSRSPGGTLSSRQNLVGASNFLNYNGIGSPNAATSLQAPIDPAYIQYLQAAEIAAQLAASCDDPLMASGHLGSSYMDLLGPQKAYSSPLLQSQKNCGYYGNLGFGLNYAGSPLMSPVLPSSPAAPGSPLRHGERSMRLQSGMRNFGGSFGSWNTDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQRETILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTTAA >OB05G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:394442:394708:-1 gene:OB05G10710 transcript:OB05G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRSAAEEVGAGGTAAGEAAAAAAKRSWPEVVGLPMQEAKAAILKDKPDADIVVLPVGAPTTRDRRPNRVRIFGAATVAETPTIG >OB05G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:402234:402980:1 gene:OB05G10720 transcript:OB05G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFLMGSGFERLLDQLTQIEAGGLARARENPPASKAAVESMPTVTIAASHVGADSHCAVCKEPFELGVEAREMPCSHIYHQDCILPWLALRNSCPVCRHEMPTDAARPRPSNAGTEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTERSAIRRIFRNMFACFGRSHLSNSQASSSHSRPELNEASDRSATFSHGSRSRSTSWRLEDGHADAMVQR >OB05G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:406386:406748:1 gene:OB05G10730 transcript:OB05G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAASAGAAVVSARPRACCNSNSSPWAAASRRRWRVAAVGEVAAEGNTYLIAGAVAIALVGTAFPLVFSRRDTCPECDGAGFVRKSGATLRANAARKDQAQIVCPNCNGLGKLGQIDK >OB05G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:410163:410528:-1 gene:OB05G10740 transcript:OB05G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGGREKQAPAVKSLRKKEEEEAAEAGVQEVMNKKKSDLKIIKEATPTTGGKQQKSAMQPAPASPETAGGVKLLCRRSYSAESLSLKQRLTKTVKEHRARFYIMRRCIQMLICWRDEY >OB05G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:412449:414476:1 gene:OB05G10750 transcript:OB05G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MAAAASTRMGFSSPFACPHLRPPRHAIAARRGPRRDGAFAARRGPRRAGLAVPAAGGSPPTVLVTGAGGRTGQIVYKKLKERAEQFVGRGLVRTEESKAKIGGAADVFVGDIRDPGSIAPAIEGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEEASYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELIVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGAPTTDFRGLFSQVNSRF >OB05G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:415046:421356:-1 gene:OB05G10760 transcript:OB05G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDRRPFVNLYTITETSQPFDDSSSSSQQQVSLYTYSLSNPRLFSQPTTGSRTYLSGAVATAGMRNGKSNLGQTQFQIDAGASLEYQRIRSNDALYHISQMLMEDSDERVDLHQGEAALQAAEKPFYDILEQVYPPSLNRLPLHSANEPDIPDENTSSNNYHNRQHTSHSSYTMLQPLLTPLSSYSYRRSLFLPNQQAVSTAWTSRFDIPSLQTRRGVEGANRFIPSIDKLVINLDSDRLSISKMTTKAKVGDKSKYAAFKVTDQRDNPYIMDLDILEGRNSKRYAIYYCEIIRNEMFDKVLLCYGVKNFAEASHLRETMAKEASKNSLNGQTKGSARRKLRYKKQLQKDVVDLRSLLIHCAQAVAADDRLLASELVKKIRHHSSADGDSNQRLAFYLVDGLEARLAGIGSQMYHKLMARRTSTENMLKAYSLKLSACPFDRASFAFSNHTILDASKGQQPRKVHIVDFGICTGFQWPSLIQRFANEEGGPPKLRITGIDMPQPGFHPCEIIEETGKRLADYANLFNVPFQYQGIASRWETIQIEDLNIDKDEVLIINCMFRMKNLGDEMVAMNSARDRVLKTIKMMNPRVFILGIVNGSYSSPFFITRFKEVLFHYSSLFDMIDANVPRDNEARKMIERGLFGKDALNIIACEGAERTERPESYKQWQARCLKAGFKQLPVDPATLKEIVNMKKGIYHEDFVADEDSGWLLQGWKGRFRRGVEEAKKFVPNIEKLVDDTDRNDLSACKRTIEAREQKGKHENEVHPHVEDLELMEPRNIKHLAISTCGRIRDEMFDSVLLCNRQLPGEVAHLRGMMAKETSDKPKKVQRKGCGQGQRKPRSQKEHKEAINLRVFLMQCAQAIACNDHPFASELIKKIRHHASPYGDGSQRLANCFADGLEAHLAGTGSQMYEKLMTKQTSARDMLKAYHLYIVACPFEMVTYYFSNKTIIDVLEGKPTLHIIDFGILFGFQWPCLIQRLAKGEGGPPKLRITGIDVPQPGFRPHERIEETGKRLAEYANMFNVPFQYHGIASRWETICIEDLSIHKDEVLIINRMSRMRKLGDEMENIDSARDRVLRMMKRMNPEVFILGVVNGLYSSPFFPTRFREVLFHYSSLFDMLDTNVPRNHEARILVEKDIFGNDALNVVACEGPERTERPESYKQWQVRILRAGFKQLPVDQDILKRSVYYKEFYHEDFVIDEDSGWLLQGWKGRIIHALSTWKPS >OB05G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:423419:427283:1 gene:OB05G10770 transcript:OB05G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40700) TAIR;Acc:AT2G40700] MNESCVCFVVISFNCLDKLHYHKAYEEKLRKKDSLACNVTLARLFGLDKMGFQAPTRIQAQAIPVALSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTHGTFALVLVPTRELCLQVHGIAQQLVHRFHWIVPGYIMGGENRSKEKARLRKGISILIATPGRLLDHLQHTSSFVYSNLRWIVFDEADSILELGFGKALEDILDHLGSRNDGSDQNRNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLEEQNKPSDRSSAHGKNHTSLLSDEEEDLLEKHNAIVEQAVDDFKLPAQLIQGYVKVSCGSRLAILLTILKSLFERQPSQKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRTLESFVISEDTRKKLARDAFCSWVRAYTAHRGELKNIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >OB05G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:426350:426601:-1 gene:OB05G10780 transcript:OB05G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLAGIFLLFAGLSRFCSFFLFLSCTWCDLPTNEGCSFNPKLLATCPRWSFLTIKIFFSSPRWAVYARTQEQKASLASFFL >OB05G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:425990:428926:-1 gene:OB05G10790 transcript:OB05G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKPSSSSSKQSRAQAQQQNGHSKLARYFDPEASWDKDQLLDAVHWIRQVLGLACGLLWGAVPLVGAVWIALFVAISTGLVYWYYAYLLKIDEEEFGGHGALLQEGMFASFTLFLLSWTLVYSLVHF >OB05G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:430896:434172:-1 gene:OB05G10800 transcript:OB05G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGTRGGGGGAELSRQMSAQLAALRXXIAAGRGREASTSATYSAALLSARSFSRQTLSRQGELNGLKLQLRKLEDHLSEALSVKISKESKRQLTEETISSTRAINEKLKSMVTDQTNKRDQHAIVISDHLKAVEALEAKCNEDETQRKNVQEAVAWYNKFLGFQVVGGEGVKFIFSKIDMRSPDKEYSVTIKLNKDRYALLQCDPSIKDSEELMKDLNLTNDLFKFVRIMRQRFQAEAATVNGLPMSSAVCPDASSIPVSSPVLMSLDSTIENVPDKSLSRSKSKKQGLPSKRRAAALSATTPGSVVSSVRRSPRFVGIR >OB05G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:435390:437693:1 gene:OB05G10810 transcript:OB05G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MLEALYHQARDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEEDKSMFMALSSIWMLLFLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDAITRANDMVLIGLGYLIGYPIASASAGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCGCPLEYRTKVEKSLSGPRTWVYGRVYLVKQGHPRKRRWLKD >OB05G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:438004:441407:-1 gene:OB05G10820 transcript:OB05G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDSPCRSSSSPSGGTSKLSKNGAALSNSSVSTASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLTSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDAKETGTNPQLQKKSSSKSAGSNGSKPPSRGKPASARPV >OB05G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:449005:453789:1 gene:OB05G10830 transcript:OB05G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLTPGAVQAIADHPDGAGTVQPVLQVVDVRPVTTKNAPPTPKPADRFRMMLSDGAHTQQSMLATALNCLVKDGTLRPGTVVQLTDFMCNTIQGKRIIIVVKLDVLQNDCAIIGNPKHYEPKGLTKEQDPNLQASAAQTSSGTYSGGASMLGSSMAPTVDPAASNRSYGGPYNSAQGMLGSSIGQTVEPGPTNVSIGGPYRAISAQNTMNANLMWSTSQQSLVNPNQNQRFSGPASGGGSGPPGNVYGQPARPSYQQPPSVNMNRGPAARNDSATRIIPIAALNPYQPKWTIKARVTAKSDIRHWSNAKGSGTVFNFDLLDAQGGEIRAQCWKESVDKFYGQIEVGKVYLISRGTLKPAAKKYNTLNHDYEMTLDAGLANLEVCSDDDNSIPRLQYNFRQISELENIDNETIVDLLGVVTSVSPSATIMRKTGTETKRRTIQLKDLSGRSIEVTLWGNFCDVEGQQLQLQCDSGLNPVVALKGARVSDFGGRSAGTISSTQLIINPDFPEVERLRQWFMTEGKTAPCISLSREISNMGRADARRTIAQIKDDDLGRQEKADWITVKAAISHVGTDNFCYPACPKILSGGRQCGKKVINNGDGMWHCDRCDESVQNCEYRYLLRFQIQDHTGTTYVDVFQEAGEQVFCRKAEELFSIRNVDQDDAQFAEIIEGVRWHQYLMKLRVKEETFNDETRLKCHLMKIEKLDPSKESNILLGAIDSLLLDGSGSSPEGQSAPSPNAGFTDLVGGHSATTSSANQFGQLASVSAGMSAPLSATLNMQTCSICGANGHSAQNCHVGADMGRQETSAVGGFTVSNHNSIGGNTSSGPCYKCNQPGHFARDCPAQSGLGPCYKCNKPGHFARDCPGQSAGVQHQTYGNSVSSRGYNRQSFVGGY >OB05G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:455048:455407:-1 gene:OB05G10840 transcript:OB05G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G46560) TAIR;Acc:AT3G46560] MDTAAAAMATGGGEEDQARMEAITDNLQTRDALRLYNWVSHRCFSDCVTTFYRRALGKTEEDCVHACVRKFLLLSTASASRFAHLAAAAADDD >OB05G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:457218:460142:1 gene:OB05G10850 transcript:OB05G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGFSGSISSPKIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFDCLHRGDVSKHRLEHMLKKMCKEGAYWGTIAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHREKIIKDAITGGAVATAVEFINYLT >OB05G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:463502:464245:1 gene:OB05G10860 transcript:OB05G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPLPPPRRPSSWPLPPTRRAPEGWRWRRRAARTAGGGGGPRSAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPAAAAAPASAAPPAAAAPAAPATEELAMPWILPYYLLLSSCLLGRCSELACLL >OB05G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:471992:477684:1 gene:OB05G10870 transcript:OB05G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:J3M3B3] MASPAPSSASAPASHQLRLCHQLRLGWRRRGRGGAVGATFSVALLAAALLLALSYYASSPPTASSSALVGLTLVRRAKEKGAVCLDGSPSGYHLQRGSGTGSRSWLLHLEGGGWCRNLRSCASRQKSVLGSSRYMEHQIEFAGILSNDRFQNPDFYNWNRVKIRYCDGASFSGDVKDELQNGTKFFFRGQRIWEAVMGELLLKGLSHAKQAFLTGCSAGGLATFIHCDNFRALLPRDSRVKCLADGGFFLDVEDISRKRTLRAFYSDVVRLQDLGGKFSHCSQNMDLGQCFFPSEVVKDIITPVFVLNTAYDAWQVQHVLAPEASDPQHSWLECKLDIRKCDSDQLEILQGLRKELHDAISELKHKRNWGFFIDSCFVHCQSLNSLTWHSPSSPRVNNKTIADAVGDWFFDRREVKEIDCEYPCNPTCHNLVFSRPFKGR >OB05G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:479110:482505:-1 gene:OB05G10880 transcript:OB05G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQLGDLDSLAALLAADPSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRQKQTPLMLAAMHGKIDCVLKLLQAGANILMFDSVHARTCLHHAAYYGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILNGTKYSLPSPSPYDDSADDDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTKSACDPDKPASPQLTRRRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSATLDKPEHDL >OB05G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:489973:491775:-1 gene:OB05G10890 transcript:OB05G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSISIAKVSAAVAPELDVAIVRATSHEDAPAEDRHVREAHSASWDHSAFVRAYADYLDHRARFLISLLPAPRTVRFADERGSSPTPASSVHDMDTEALLGRALQLRQLLDRFLACRPSGGARSSRVVLATLYAVVKESTQLCSDVAVVLAVLLDRFFDMDYPDCVKVFEAHVSTAKQTDELLAFYAWCDDVRLARPADFADVKRIDDKLLETLEQFVRERGRAGQSSPPPWQQQPARSDDPYPDMNGIKALPAPEHHAAEPSRSAAEKVAPVAKRSPEQVAPPQTGDLVDLREPVGDDQENKLALALFSGPPVADGGWVAFPSDDAPEVTSAWQTPAVEPGKADWELALMAEMERKQQLLVQEQQMWAHYRQGGMQGQVAMDRLAAGGGAMPMQMPMQYGMPMASYSGYY >OB05G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:497311:500593:-1 gene:OB05G10900 transcript:OB05G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNNNQQQQQQHAHGHGHQVEAGCGGGGDGNSHELLQQSIMPAATAGSTPAGSTTSSTCTTANAPALPAMLGGNLSILPPLLRLADFDAMSLGSTFSGMGGKPPVDAAGCYSVGSGGATTAAGLEQWRLQQMQSFPFFHAMDQATLAPAPAMAMPGMFHLGLDGDGHGGGGDDGGELHHAMPSKREAGGGYPRGMYGDHHFAGGYTTSYSSAATGRD >OB05G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:510120:514222:-1 gene:OB05G10910 transcript:OB05G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASCSPSVSVLLVMAVVVVVAAAPCSAVDPVNTYCGKNMSSAQAQVNECHVNINYGSHNVFPFPSKGYSTARPHKEESTVVPMGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWKEKWCVLKEATRLYGASWVRDIGPELRPNDYKKAKEEPDIKTEEGRSEPTAVEDFLGALKGGAEKAKPALQRLYMARASNYTDALKNYVESYKEGLKEHLEEEAMVKGHRQDNEATKPPQSPSS >OB05G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:516563:518557:1 gene:OB05G10920 transcript:OB05G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSCVERLPPVALRRGVSDAFLRPLLRGSFLRFLATAEITHPPRRGEFRRDPGSEEHRLASLGRQRGVAAARAMVDGMPHRDDDVDAAMVAIHLRSRDLPRAEALFCAAPPLARGLHLDTLMLGGYVKSGHLDRARRLFDGMAVKSVVAWTCMVSGYCSAGLVDEARRLFDLMPCRNVFAWTTMVQGYARNGMLREAREMFDQMPERNVVAWTVMVKAYADNGCIQEAGELFSRMPRKNTYSWHAMITGFMFAGKVDDAIELFDKMPHRNVVSWTIVVTGLAHNGFACKAREFFDRTPKKDTPAWNAMITAYTKDGHLNEARRLFDRMPAKDLLTWNIIINGYSINELKDEALRFFLLMLRSAVSPDSATLISILLTSESTMEVRQIHGLSTRLGFQSETNLGNTLVTMYSRSGDLSSSWLAFRGLNVKDAITWTSTIQALANHGCAPCALQGFAQMLRYGYKPSSTTFTAVLSACTHVGLVEKGQKIFKSIHHVYGLEPTIEHYSCLVDLLGRGGYVREAKEVVDSMEQDMCDEAILGILLGACMTHNEVEIAREVAESLVKIDPSGSGGYTLLANVFASGGMWNDMASVWKIIKASKVKKTPGFSQIEVNARNHVFYSRDQMHSQCTEIYEMLNCSLVPQMKGSSSLGTGSTDQNIYYIV >OB05G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:521460:525186:1 gene:OB05G10930 transcript:OB05G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTIVMCCIWFQCAYIPTQLKQTRRMRQKMLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKMFEANVKRAMAGGYVGQHYFPRCA >OB05G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:527446:527649:1 gene:OB05G10940 transcript:OB05G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDFSYKKICFLGANFDFYSEKLGRRRRRRDPESPRGMPPVGVGEVAARGGGGGGMVLGGGAAAAAGGG >OB05G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:527889:531379:1 gene:OB05G10950 transcript:OB05G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39570) TAIR;Acc:AT2G39570] MSMCPSSYPMSFYPEITQPGPSQFYLLKLFSSDRKGLLHDVTHILSELELIIQRVKVSTTPDGRVIDLFFVTDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRMELADGDNCSRSLSAEMKRVQKATINFDNFLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKVIDPEKQDGLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQTASERQWEVYRFLLDDSNEFPLANSLVNRNRVVDRVRKTLLGCFN >OB05G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:539340:539561:-1 gene:OB05G10960 transcript:OB05G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATISAMTLGWRSGRSMRARRRLRRRMRSAWRMRSGKAKWSGSSPCHTPLDDVFTLAISASVTADIVLAPLTR >OB05G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:539362:543092:1 gene:OB05G10970 transcript:OB05G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 20 [Source:Projected from Arabidopsis thaliana (AT3G53720) TAIR;Acc:AT3G53720] MSAVTEAEMAKVKTSSNGVWQGDDPLHFAFPLLILQALLILLLSRLLALMLRPLRQPKVIAEIVAGILLGPSALGRNKSYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRQAFAIAAAGISLPFACGVGVAFVLRRQLPGAAQAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGSGDHRSPIVSLWVLLSGAAFVAVWMLAVKPAMAWVARRSDGQGGGEDGEFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGAWGMLALVIGTACAGKIAGTFGVAMACGMSAREAVVLGVVMNTKGLVELIVLNIGRERKGSENTDQSSKFNVAYTCLPSIDHSSNVSSEQVAHGVCVVFFGGPDDREALELAERMAEHPGVQVTVMRFVDGKAGSEEHAEVTLQPSNTKNADKSYTFSTAIVDTHKEKELDEAAVAEFRQRMGPMVRYEERVVAGNVVEEVVSIGKSREYGLVVVGKGRLPSAMVAELAVRAAEHPELGPIGDALASAGQGVTSSVLVVQQHDMSNADEVPVSVVVVVDGHGHGHTATATTAAASSATTARTWWSHEIGD >OB05G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:543838:546299:1 gene:OB05G10980 transcript:OB05G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDECKLRFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPILNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEAGSNAVESAVLLNIDTKTAGIVWATGNQQYQSQNPQ >OB05G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:546530:548204:-1 gene:OB05G10990 transcript:OB05G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLERVPAVTSDGTSSGIFADGITSLPEKHCALVDGASQVAAENADRLSEAGTGMDFSNATSLGVKKGFQKCMTFPPSGGEAQQQGSCCAADKDHKDAPIYERSVSLPPTLKIISAMKGSREKNGLASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKEKQKKKGRSKKNRQNTIQSSAVLQAPGKLDRLEDAEASSGSSAPDDFNKHETEILDYGISSQEAKCGSSFLRETAAKMHFSTAEAS >OB05G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:548487:548939:-1 gene:OB05G11000 transcript:OB05G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRRRNREREENIPTPPARTRLRSELQICGDHLLPPRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVVGAGGLAPAPPPPPRRRARPPLRLLPPSQALVLPPPSSLPPLLLLLL >OB05G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:555074:555656:1 gene:OB05G11010 transcript:OB05G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3M3C7] MDSVVGGGKVKKAVGRKLGGPKKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKSDKPAAKKDAAKSPKKPARS >OB05G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:557040:559632:-1 gene:OB05G11020 transcript:OB05G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESFELGILPKLDVKSCKVVLLVVILVWRNLLTSGLNDIFFVRYFHAASGSNCNHFLHGFKVSGFIVFLGSNLFVWLLCDYACPNGRACLMAPAVEAVEKKTNSAPKAPALNERILSSMSRRSVAAHPWHDLEIGPGAPTVFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASSAYEAIQHSMDLYATYIVEGLRR >OB05G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:565039:569888:-1 gene:OB05G11030 transcript:OB05G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37440) TAIR;Acc:AT2G37440] MRKGNARFPKSSSWPRTKTVVKKWLNLKNEKFHSDCCIKESFGQGRQERRKSCSDKDGSLLSGRDLSGGWLVESSENLRPPARMFVGTWNVGGRTPHQGLDISNWLLDQHPASSPAHIYVLGFQEIVPLNAGNVLGAEDKGPADKWLDLIRQTLNHSSSATSHSFPNNYRYATGASPELTQKDRVSFSDLLAMEDRLSMVSELDDDSEPSTSNPESSSEEEMGDAAAHRRPASHGYRLAASKQMVGIFLCVWVRADLMPCITSLRVSCVGRGIMGYMGNKGSISISLTLQGSTTMCFVCTHLASGEKDGDEVRRNSDVVEILRRTRFTRRPHHRLPHHRLSPPETILEHDKIIWLGDLNSRLTGSGCGGTQELLDRNDWQALLEKDQLRVEQREGRVFGGWEEGRISFPPTYKYLADSDTYAAAVTFASGKSHRDKKRTPAWCDRILWRGSGMEQLRYARGESRFSDHRPVNSLFSLQLAADHLLRTREAAAAIGLRSSRF >OB05G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:582725:582970:-1 gene:OB05G11040 transcript:OB05G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRAMTGGMPRAIAVDKKPDDHSDDDGSGIKRGLWLDLNHPPCDDAGDDDSECGHNVTAGITFHQFLDTGAMAVDCVGY >OB05G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:587949:591120:-1 gene:OB05G11050 transcript:OB05G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplastin interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55340) TAIR;Acc:AT3G55340] MVLARKKLKQKLRKVVPGGDAEAVAEVGSPEDGLVSSKSKRPRPKRPKKVKEPVQRRKRQSEEQFRREVDLRREERRKEKKEKRRIRRLMEAAAAAGKVQVEPECEEAEAEGEEEAAVAEGEQAPGPDGATKAKNQKVVEKRKPKVKNQELQQVGDHAVRSDDPFLAQESEENAKKVYVGGIPYYSSEDDIRSFFEACGSITALDCMTFPKSGKFRGIAILTFKTDAAAHRALALDGADMGGFYLKIQPYKSNREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKRFFSDCKISSIRFGIDKETGDFKGYAHVDFSDGTSLAVAMKLDQMAIKGRPVRIRCAVPKKDNQKLNGNANPDSSKNKIRTCYECGAPGHISSFCPNKSASEAISDGKKANVDSATLSSKKRRTCYECGIPGHLSSACPNKKAEDAVSIDKGADDETKSAPSITPEENKIGEESNSATSKKRRKCYECGSPGHLSSACPNKVAVVNSDMEKPYEGSSAVPSAPSDGNKASDDSKSVPAKKKKRRTCYVCGIAGHLSSECPNKTT >OB05G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:591992:595461:1 gene:OB05G11060 transcript:OB05G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKRKGHKCDRIAAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEEGGKS >OB05G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:600594:602254:1 gene:OB05G11070 transcript:OB05G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVEEEVMMVSGGGGGGNKKKNMSGDDVSRMRKGPWTEQEDVQLVWFVRLFGERRWDFLAKVSGLKRTGKSCRLRWVNYLHPGLKRGRITADEERLILQLHAQWGSRWSRIARSLPGRTDNEIKNFWRTHMRKMAQEAKKKKTPASSAAPTSSSGSLLSSSSLTSSCSASASASSNNGTVTTATTTTTTTTSSALTRALQESNSGGDDDAGDQLVAATTPASHHHHQQQEEQMDYTMDQLWNEIAAAEAESWAAGCGGHDAAAAAMASPVWDQYCTDDYSLWRIDDEEYYKKMLDASQ >OB05G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:631848:633992:1 gene:OB05G11080 transcript:OB05G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFGDAAMDATLLSSLWAFQDDLQPQESMEELRQSLMATTMELDAAREELKKKEQSIAKLADLVRQVAKERDEARDQLQHLLAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRTAVVRATRLVGWFGWLGGGRVPCR >OB05G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:639316:639495:1 gene:OB05G11090 transcript:OB05G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQAAQFVRLRSSVRSSRYLAAADDGETVFLCARRGLHNTVWAVEPVAGVIPGASAGP >OB05G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:649358:649855:1 gene:OB05G11100 transcript:OB05G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRDVSVAGDNASTMMQWRVEVVPPMTVRPLLDDPPAQLMHRTNPPVESDVSRVIWYVRADGNGRYAEQEWASVRVNTNNLTHLRLTLSERLGHSRGDAAQITLCVRAGRYARLSPLLVDLPMGNNPLHIVVVNHGTPGQHD >OB05G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:651040:651895:1 gene:OB05G11110 transcript:OB05G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKISLKLLVDTKSKKVLFAEAGKEFVDFVFSLLKLPVGAVVKLITAETMNGSIGRLYQSVEHISASYLLPNKNRADLLQPKVLHPDARELLLLQGKGQGGQVPESGSGAGAAAGSPPDKFKLYTCAGYCATAAMEAKAACPQCKQSMATEVELVLPSAAPPQGQAASSSSSGDEERSGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGKDVELAEKYACVGMDEGLGLLRAALRSDTVLSDVFLAKKNVK >OB05G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:654697:662780:1 gene:OB05G11120 transcript:OB05G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASSSSAAGGGAXXXDKDDRRRMSARCGFAVVGIMSTLLVYGVLQEKIMRVPYGAEKEFFRYSLFLVFCNRITTSMVSALVLTASKKSLDPVAPLQKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTVGCSLFILYPTSMDANPFNKGRENTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSGLILQNQMIPAVDFMFRHPDCFYDVVILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTKSLLRSKPQKPAAASTSNSANNS >OB05G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:658262:672942:-1 gene:OB05G11130 transcript:OB05G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLAFLILALLAASADAARRHPSPADSTASSGGVGGDPVYLWPLPKSFTSGSQTLLVDPDLALDAQGPGGGAAAVAEAFERYRKLTFSPWAHAARNGSGGYDVARLAVVVASADETLELGVDESYTIYVAAAGGVNSIVGGATIEIVLNFYGYMGDPTGKVYPSGYGYREIFLPVTSIGILMTNTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWHIQDEPRFAFRGLLLGIHVMAEIDVPGHAESWGKGYPKLWPSLKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTVLSNLILFPTSGCWNTTPHVRQWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWEDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAVLFLNSSHVYRIQDLQLAHWPSSFELSAVFRKPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKADGSASAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIIHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQPEFWDAFSSAPVNSDSNTKISKDQIDSASKSTPGSRRVESYDADFKLVFKAVTGGVVPAFSSSGAGDETHLPARESSWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQNLAAEASTSPPFLSPSSLSSDSSISSKYSLDSPSLSPSTCSPPSLGLSPGSSNFPHALVPSSRSPLHQSSNEEPSKSGVGSIRSPSKISSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNCVKQLSGVCCPEKCTGNSSTVHTGLTERTDSILEACSKVQLLVYRWPSMEKLTTFTLKDLDPKSVLIFVTPDDSRSEAVEMVHIWIGGEYESKCVDTVDWQQVAGDFFQQKEFSNTLPVKVFKEHEREKLLEVLNAR >OB05G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:676287:678675:-1 gene:OB05G11140 transcript:OB05G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDLTPRPPRKAYGGEGGAYYEWSPADLPMLGLASIGGAKLCLAAGGLSLPSYSDSAKVAYVLQGKGTCGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNLPESATELVVLFLGDTSKGHKSGQFTNFQLTGATGIFTGFSTEFVGRAFDLPESDASKLVSSQAASGIVKLKAGQKLPEPSAADREGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGTDGKRVLETRVEGGNLFIVPRFFVVSKIADASGMEWFSIITTPNPIFSHLAGRTSVWKAISPEVLEASFDASPEMEKLFRSKRLDSEIFFAPN >OB05G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:680076:683923:-1 gene:OB05G11150 transcript:OB05G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLVDLQNKPDWFLKISPEGKVPVFNGGDGKWIADSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSCFVTFLKSKDSNDGSEKALTTELQALEEHLKAHGPFINGQNISAADLSLAPKLFHLQVALEHFKGWKIPENLTNVHAYTEALFSRESFIKTKAAKEHLIAGWEPKVNA >OB05G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:685833:687317:1 gene:OB05G11160 transcript:OB05G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCLMLHVCSNQINMASSPPKPKRLRRSPSYGQPRLDGDLLVDEVLTRLPIAAAVRLRAVCREWNAALTSDHFVRAHSARAAAAARQPELLFFAPGAGKGISTSFYACSLRDGEAPTAARELLTIDYISANRTVMSPTPCRGLTLIFNTRAPEYYLLNLSTGDHVVLPPCQRAEVLDGLLLRLPCGRTSYFPARPPWSPFELSTTGLGFDTATGEHKVVRLFKKRNWDHTCEVYTPGRAPGGWRPCVGRVPACAANFVPALPPVFVDGYLYWLLPPAGRREEPTHRILSFSVGAEQFGWVHVPTGLSTMMCHLANLDGLLCAVVDNRLFGDVYALFTWSGRSSASASWSVRCSINLRSLPQQVSDELGEERVVVPLCSAAGGKVLLATGRHKVFAYDAESNTVERVFRMQEFVDVPDDALTARLLLGVGLHEERIADVRHGAGGERRLDVKLGRCDNVVAKREVPADIKYDDDGKMTVFFKHLAELGPPHLNM >OB05G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:689922:692731:-1 gene:OB05G11170 transcript:OB05G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLCFVCSRGRGGRGWGSAAALAGGGGTAAAAREEHAMEHGPAADEVSSAAPTISPCSHTSVPAGSGGIPPPPPSDGAALAPTTPAFRVVGCDALLRASISTRWFLFGDAHEPYCPALLAACCAVALDDTRPDGFSIAGPGLEAFAVSSDVGLGLSLATPDGEILWCSFLSTADDDAPDTVARVPPLPAGPYLVHSTRRCGRWRVFVRTASSDDASLAPPSSPTAGIHVYAIGRGSRLDLAKSLQGLIETTDDGSYSDRYERVFVQSGHAWAGYWRTSVHVGGTATASSSAPCSTVVNPCGCPEWQNRRGFAVPVLPLLPVPEDCVVEGAMTPPRPVWQMFYARRTGPSEVYHAFFRVQDVVHRNDAVLWQLYIYDMDDDEEEEGGGGSPAPLAAVPL >OB05G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:694522:698543:1 gene:OB05G11180 transcript:OB05G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSAARTATPAAPEPEDVPASREDRDPVVSSMEETSFTFEFKRGSKRAKKSMLPAEAHGGQDDPSGKNDSRQGFSSKRNLVPAKTPSAKEGPEQVEFTHCAPGIVARLMGLDTVPRPKKAIDRWQSDIQCSMQRQLSGVDQVYDASSEDQPCSSRADDLPELKDVFEVTEMENKEMCIGLQSGKEESCPRSDKDDLEFVRQKFLDAKRLSTDEVRRNSKEFCEALEILYPKKDVFLEILQENSGALPGFSGHIFGHSALQCPPFKRETADTKLFEQDNHCSMAVESGRFFDVVKELENLNPSIHLKENYAVPLDPLAPKVSKSKGSSRRSQIVVLKPNLQRKSFTPVLSSGETSHFGQMSTHNCSRPQQHCMYGHQVIHSLPLNNDQVLELKGGTPGQNGAKETPKIGSRRRKPSEKASQFATGSERAKDTPNSFEDNISIFPPNHSVGSSVCRKAKKHLSERWQKASQSDTEISMPKDTITLGEMLEMTDKDAEKVTTHKISSETNYNHDNVQKAPACPVGISSKDGWKTSIYCKDDSRAGTSRNFSRSKSLPTSATNSAKLPGRKQSAPTCNLPILKDLLNAPTDESGSEHVRNRTPFRKTKHNNGRAIFHAGKENMLPVKEIHVTSEKARHSICISDLSRARNTHNEYPGDVMSNGEHQTSVSTALDDDLEISKENMGWTELKVTPPLLETEEDVHNQDNIVLKDHEGRSQSVEIDIAEVESQGIHYSHIVSLDHRKCSNTTASLQQICEHETAYSGIFKGVSDGIQELRLQLKMLKMDGQDDTYGDDIYMLSGDECSDTDIFKHQVMEEQLPVFKDEDDRDFTYTNDMLAAASDFLFYPEDWQVSSNVFLWLENKYNKLLLWSKSDRRLLFDLINSILADMTSVGNNLQTNIMTKCWSEMDPRKLAENVWQTVLLRRSHEPFSFDCVEALPLDHHSEVEAIGADIVKMLHNYIVEESVAEFLSQ >OB05G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:699278:700800:-1 gene:OB05G11190 transcript:OB05G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRIDSSKVVKPLYDGAAAPGTLAGGESVPLSVFDTVTYDVYMAVIYAFRPPNPPNAALEVGLAKALAVYREWAGELADGDGTEGRRPAVLLNDRGALLVEATSSPSRHGYSTFESVVGHLWRAVTAARGLGAGEPTTLRISVNGRTRMRPPVPRDYFGNLVLWAFPRSTAGELVSGPVQHAAELIHRAVARVDDAYFRSFVDFASSGAGGAERLAATADESQAVLCPDVEGDSWLGIDFYDLDFGGGGPAYFMPSYLPMEGTVFLVPSFLGDGGIDAYVSLFETHLDEFKKICYTY >OB05G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:712735:716827:1 gene:OB05G11200 transcript:OB05G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) TAIR;Acc:AT3G46200] MAAADPGTAYKLLLSCPAGLPRSRVSVKFDQSFDRIPHPDAALEESISEIWNQRLQKNPSLYNGTKFRYGGHAIHYKDELNKEYYVSLHLGLTDYRTFVGTNLNPLWEKFLVPSEDDSVCCQHTSNPLGNGAIVETSDDKVIILQRSYNVGEFPGYYVFPGGHSEPQEIGILGHQADEKDISLLNERVSQEMFDGIIREVVEETGVPANSLTEPVFIGISRREMNVRPTAFFFTKCSIDSSGVYELYSKAQDGYESTKMYAVSEEELRGMTERMPGCHHGGFALYEMMRNAAKQS >OB05G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:717151:724749:1 gene:OB05G11210 transcript:OB05G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSNVRLSERNVVELVQKLQERGIIDFELLHTSSGKEYITSDHLKHEIKMELKKRGRASLVDLSDILGVDLYHVERQSQRVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNTLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEARYPDGIALEAVFVHPSVVDMLDAAVGDAIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKSSKAVVFGESCVFSNAFIKGIFDRLEKQIDSFGIKHSAGQEKLLNPTPSSEHRIGSGQFSDVKDLGDNDASSTGASSDRGPKKKRGKVSGSTKGITLEKDDENDESIPIKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEENTNIFPCELIEQKVLTVAPELEELGGSDDLNGPLKLLASHLRPMLMDSWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLTLDKDNKLKNGMEVEDSEENVQLSTADRTSLAKDLPGSLSVKAQALVEALEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPASTHKVLADYHSTTVKVLALQAAATEDEEDCTTDRMLERKEDLEERLMPELKSLVLGTSKDSKE >OB05G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:726495:728261:1 gene:OB05G11220 transcript:OB05G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVMRASSSSSSSPPAEVGEEEAGEFLHECAASSPVEDVAAALAGVAALQAHLLSLCRCLRGRMVEGRTAAGELERAVAEAESYASKEQVRHNKFLSPRALREHIKNIEKAAVAALQESPEALLLQQKSSDDKHGNVQLWWAGKELAIGKKLSDYIGVNDKTKIVIRLRHISQPS >OB05G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:732088:732966:-1 gene:OB05G11230 transcript:OB05G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQEDYIDMDLTPTTTPLPPSSPRLREFEFHSSAAGSKAFASPADELFYKGNLLPLHLPPRLQLVQKLLQEQQVQVQGIKKASSIAAAEVEDDDIVDMSKVCAKKYSWSKRLKMMKRWTSRDYIKSLFLAAAKPSDIGVVAGNGGGSVMDPAEELCGHRKSFSGIIRRVRLVATKAASAPATPPLCSTSSSSSSTPSCGNASGFLARPGAASAALKRSSSAGSEEGAIQGAIAHCKRSQHQHQHLQLQQRRSVSDVVFYSVTNTPRVSSVAAGEAAQERQEMCRG >OB05G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:740363:746054:-1 gene:OB05G11240 transcript:OB05G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQDAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGDWLPSLPARDLVPGDIVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTAHAVPADDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELVGWTPTNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDGSGKVRSFKVDGTTYDPRDGRIHDWPAGRMDANLQTIAKVSAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGIPEGKNGLSLDPSETLGCCQWWSNVAKRIATLEFDRTRKSMGVVVKSKSGSNALLVKGAVENLLERSSHIQLQDGSVVPLDEKSRKAILESLHEMSIKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAAIETNLIFTGLVGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITMKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVAPFTAGARTFTFDDPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRSKKQKGE >OB05G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:765666:768302:1 gene:OB05G11250 transcript:OB05G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASRRRAWLAALLLLVALFSSSRSEEEAASSSTLQSLLKRLNKPPLATFQSPDGDIIDCVHISSQPAFDNPLLKNHKIQMRPSIHPSGMYGEATRPITQIWNQNGEKCPDNTVPIRRTKEEDIMRATSVTAYGKKMHGSIPKPLDRPHSHLGAVTDGHHYGVAYATGDANYYGTKVTINVWQPTTSPGDFSLAQLWITAGSYANNDLNTIEAGWQVYPYLYGDDNTRLFIYWTRDAYNTTGCYNLACSGFVQTNQQIVIGGTLSPVSTYGGTQYILDYLVWKDPASGNWWMQIGGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQINTPMGSGHFPEEGFGKAAYIRTIQVVDSSNTLKAPNGVGLIAPLPNCYNIISGSSTNNWGTYIYFGGPGCPQNSQIEVM >OB05G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:773416:773938:1 gene:OB05G11260 transcript:OB05G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSTDVEEASVLGGAPRTASRVSDLGGAGAGGDGECYSLDGGAAAAAGAEPPEAPQQASSRNLSEPRLRYHRLCAHLQTASIRSPSPQEPYNPGEVTMPLPHVISLCVHMYMRDTSP >OB05G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:774014:776002:1 gene:OB05G11270 transcript:OB05G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTQPNGMYGEATRPITQTWNQNGEECPDNTIPIRRTKEEDVMRATSVTTYGKKTHGSIPIPLDHPHHHLAGVTDGHHYGVAYATGDANYYGTKVTINVWQPTIATPGDFSLAQLWISAGSYANNDLNTIEAGWQVYPTIYGDDNTRLFIYWTSDAYNRTGCYNLACSGFIQTNTQIVLGGTLSPVSTYAGAQYVFDYLVWKDLEGGNWWLQAGGNYVGYWPSSIFTLLQTGVADSVQWGGEVYSPQITTPMGSGHFSEEGFGMATYSSVIQVVDSSNYLKPPNDVGLIAPLPNCYNIMSDVSSTTSWGTYIYYGGPGCPQNHQSQVM >OB05G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:779283:779543:1 gene:OB05G11280 transcript:OB05G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTSKRRACLVAAPLVLALASLISAAPAPAVKESATAWTAAQRRQVQSLLKRLNKPPLATFQAVQSIGIAFCIVGHRNTEISV >OB05G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:785036:788646:1 gene:OB05G11290 transcript:OB05G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHPDLGDVRDGHHYGVAYATGDSNYYETKVRINAWKPRVATPRDFSLAQLWITACSYPNKDLNTIEAGWQVYPKIYGDYRTRLFIYWTRDAYNRTGCYNLVCSGFIQTNKQIVLGGSLTHISTYGGAQYAQDYFVWKDRLVVASRRQYCGLLAIIHFHPLEGRRRQGWRGVFASTYHTHGQWTFPSGRVQQG >OB05G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:795041:795256:-1 gene:OB05G11300 transcript:OB05G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKSAIGCVDARVPVRASYVNLYKWPESDAEFVRSVAMARRQGEPPESPAVAHHGYYCNGSGSKGGGGX >OB05G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:803857:804732:-1 gene:OB05G11310 transcript:OB05G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVLANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGGSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDATRCFSRGVEMFDGTPIGSMPEAVKLKVLDAISNTLGSNMTASTCVTTGADVLRQQAVTDIGKWKSLLVTVAWGFFFRALFYVVLLVGSKNKRR >OB05G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:804898:805119:1 gene:OB05G11320 transcript:OB05G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLLSLLSAAGSAACVDCHLTLKSASVSAPSADWRSSSRMVSSANSAGFSLSGMGSPNSEKKGLRPDGVPA >OB05G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:805159:806502:1 gene:OB05G11330 transcript:OB05G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMRALGWWMVMMTTLPLCATRRSTCTTMKALAESRPEVGSSRNSRIGSWMMSVPMETRRRSPPDTPRWPSSPMTVSAARARPSWSMSASTRARFLSGGRARGRRYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGAAPVDAVGEVGEGEDVGHRRGPGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVWFGARRAPIHGCRRSVALPLI >OB05G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:807835:810692:1 gene:OB05G11340 transcript:OB05G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTRLYVGRLSSRTRSRDLEYLFSRYGRIREVELKRDYAFIEFSDSRDAEDARYNLDGRDVDGSRILVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGAREVIRAPHRHAVDVVAVGAIAEAGAGAGVTASLGPGPCLDPRGDAEIVMIGDQGVLATAEVQGDLSLLQQMRRSAAAPLMVVGAQGVHGTV >OB05G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:818667:819890:1 gene:OB05G11350 transcript:OB05G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLFLSRGRTVYAGTPAELKRFFCEFGAPVPDNENPAEFALDTIRELENQLDGAAMLVQFNSRWQEASRCALPAAGKDSKLMCTMPLELAIAESVSRGKLVAGSGSRTASATSVPTFANPLSVEVWVLMKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVIANAVVAFPPLVVLSLAFAVTTFFAVGLGGGGGAFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDATRCFARGVEMFDGTPIGSMPVAVKLKVLGAIGRTLGAGS >OB05G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:828870:832050:1 gene:OB05G11360 transcript:OB05G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLPSKSRSGPNESPISRRPSTPSSNHRPSTPSSVHRPSTPGGTRRSIGGAPSTPRSRNNGAAGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDKQPRKEAELQSRLESAQEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHATDLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTAESEESKNRETEELVKNLESEVSVMKGKLEEARVIEERLAEMEKLIEELKSELADAKKAESEARQLFEEWKHKAGSLEMELEAVTLSEKIKSESLASTTEELGKIQSVLQDRESEIEVLKGKTTALEIEVARLLADVNESNEQIDASQQEVFGLQTTIDVLRNKLEVAEAAASEALYNEKIANAKIENLTEENVKLIGELNEARDREEEQKRTVEDLTASLNEESDKAKEAHERFLSKEDDHEHALEQIGDLKMALKSTKESYEVMLDEANYDITCLRKTVDGLEAEVNKYREEIESKEAEIVRLNQQSEEEIGALQVEVDKAVESLQDAEQQLQAANEEKENLQEKLRYAESACVEANKVLDETKAEKESLEEKLIYTEAAVAEANKAVQEATAENSQLKERLLDKENALQSLTQENDDFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVLVDEAHSSVREEASDSVVENGDAESENDKNPKLELDVLNRSSNGDVNHEEEKDETKVQQEEVKTECTTQESIKIVEKQPQPDRKQETVASKDELEPKEDAANGTVSEDASKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >OB05G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:834440:835479:-1 gene:OB05G11370 transcript:OB05G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G44580) TAIR;Acc:AT2G44580] MKFVGNSNSVFLIPPGEPAAPSSRPNDANGDVSASSSTDAVASVIKVASGSMELVRTAPRLDKLRKLLDERPYVLDEDLCSDMQHKKGLYTWQDLCELVQASDGELSEELSSLSAVEIDGFWRVVDDNSANTVLDMILNNSVLHDWSLNSVPENDVLDAMESDGFMRKIVTHCLKRFGTKVEQEAKSCWSLDERRVCLQFARRALGAGKMKLENFMDKWVRSIPSGMHVDLQMLQGEVLCEKIGAETWVHTFSVSDLPLAPADRFAALFRERPRWEWKDLQPYIRDLRVPGVSSEGLLIKYTRRTQPSADAEPIFTAR >OB05G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:840341:844415:1 gene:OB05G11380 transcript:OB05G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLHDMEDRVKFILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYSMLEEDDDNLPKAFTAVDPRKIHKSTVERLMKKKKGGKSGLKDESKNSGAKINNENAQQEISRLQKEILALQTEKEFIKSSYESGIAKYWDLEKQINDMQAEVCYFQEEFNESAVLEDDEARALMTATALKSCEETIIKLQEQQKLSFSQVKIESEKVKVSRDKLKNIMRGHGKSLPNSGKFLESTGSGKISSKNVTNDASNSVDGAYSINYEKVELQATVDKIKEYFQKDSEASVAEMADKIDALVNKVVDLELMVSSQTAQLNRLCLENTELEKSLHELEEEKAAISSVPGELNSKLKQAEEHLVRVQNIESSFHAEGTIVHSNFTETIIMYRDISGMLWSPVVEHQDSSVPMLTDKTTPSLDMETSSEYDTPNSEKDPQSDESAGKHEVDELPDHSKEPEPAGLCDDAHSCSGYPERNAEGSEDPWCCALEGKSSLLAASVNEEEAGNADSDSFGDHNNRREDHAPEEVQTLEVASDNGSGMQECTVHSHEKPILEHLHHISSNGRGDSNPKKEENEKDLSISADGTFEGNSEQKMNKADTSRIVEDTAPISRKVDEAGDQEEHMIKLQQLLMSGLQDKEKILLTEYTSILRNYKNAKRKITEVQTENQQRLNEMTTMINELRYANAMKDEEIRSLHELLNSATNKDVSRNGHQMNPTTSFSSSSRTFRGHRRTPSFSPAHQRKQSVSSISRIILESPMEGDASHDTITDQENIILEDIKLIDVVQMDNISPLEEKFRQDIDALLEENLEFWMKFSTSFQQIQGFQTKYEQLQPEIGKITDEEKLKTNNGRADATSVKVESNTMEKQLRELKIELQVWLEQNAMLKGELQYRFASLCSIQEEIEAAMEIGAEPEEGAHFTSYQAAKFQGEVLNMKQENNKVADELQSGLDHIKGLHKEIEKVIEKIVKRSSLSETNGSSTWKNAPSRTRVPLRLFLFPAKKKKSSLLACVSPALQKQHSDMVFFTK >OB05G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:848063:849233:1 gene:OB05G11390 transcript:OB05G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHEESDKGLFSNMMHGVAGGHGYPPQGYPPQGYPPPPGAYPPPPGAYPPPHGAYPPPPGAYPPQHGYPQHGGYPPPGGYPPPGGYPTHGGYPPAGYPGSSHQAGHGSSGGGHMGTMLAGGAAAAAAAYGVHKISSGSHGGGGHMGYGGYGHGGYGGHGGYGHGGKFKHGKHGGKFKHGKHGHGMFGGGKFKKWK >OB05G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:852683:854087:1 gene:OB05G11400 transcript:OB05G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKDEKDRGLFSNLMHGVAGGGGYGYPPQQGYYPPPPTAYPPPPTGYGYGYPPAGYPGSSAPHQGYGSSHGGGNMGPMLAADSVMCILTA >OB05G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:857416:858195:1 gene:OB05G11410 transcript:OB05G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKDEKDKGLFSNLMQGVAGGGGHGYPPQQVYYPPPAMAYPPPPPAGYGCPPAGYPASSASYHGMHASIDLILQSSSVRFCVAVAILCKAFYLRRLRQNSPSSSGGAGFGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGPWRLRLRWPWQRRLLWRPPRPRWPSRRILWRPSRARRPPRRILRRWSRPRPPWRRILWRPPRPRRPPLIFKSWRLSYLIYLICFSLIKSNKKKISRYLAVPNRF >OB05G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:858958:859713:-1 gene:OB05G11420 transcript:OB05G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aluminum sensitive 3 [Source:Projected from Arabidopsis thaliana (AT2G37330) TAIR;Acc:AT2G37330] MLKPLAATAVVGMAVALSFTQRLGLEGEMLYAIARSFLQLSVIGFVLQFIFTQKSAVWILLAYLFMVTVAGYTAGQRARHVPRGKYIACVSILAGTAVTMFLLVVLSVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKMQRNVVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTNAFQLNDAVFSD >OB05G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:862270:863658:-1 gene:OB05G11430 transcript:OB05G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSCGSLYVEVRDLTPMGFLQNTFSLLIGTGCGIYIAQNYDVPNMKTLMRNLMGKAKEVEESYKKPGNNKD >OB05G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:870733:870990:-1 gene:OB05G11440 transcript:OB05G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQQQQQQQSGGGSSVGTTTRWCPTPEQLMILEEMYRGGLRTPNAAQIQQITAHLSTYGRIEGKNVFYWFQTHKARERQRLRR >OB05G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:880828:881199:-1 gene:OB05G11450 transcript:OB05G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSNAYIGFCSFCQDMHVARYVASYSYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLTIRLI >OB05G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:885908:886252:-1 gene:OB05G11460 transcript:OB05G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCEASLYDALLAANWEPPSRLRRVCVLGNSFRNYATQAEENRSGPAAKAKHVLAAERFAWEQRVDETGGVDDDDDVFARAFNETSWHFFEVDDAVDLPPPFPPPAASTGGWR >OB05G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:885986:886984:1 gene:OB05G11470 transcript:OB05G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLVERAGEHVVVVIHAARLVNPLLPSESLRRKDVLGLGGWARSVLLRLGCVVAEAVAEHADTAEPRGGLPVGREEGVVERRLAVGHVEKGGLVGAAAAPVVDVWHGEAQCRRRGALGCGEDGVEEFGVGGGFRGEEEVATEECGERELEAGGVGALEAADSEKRQPRGSEEGEAAAERRVRKEEAPVEAGGGDAGDGGAGAL >OB05G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:890932:894753:1 gene:OB05G11480 transcript:OB05G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSSGARVPRLDGDASPEATEDEDEDGEEEESPATPSQESEAGDFLGGEENGDVVGLGEDEDEVDEEEEKEEEEEEEDSGMGSDELEITELGEAGSEMCQVGDQSFAMPLDLYDLADLAEVLSLDAWNTLLSEEERQRLAALLPDMDQETFARTLVELLRGDNFHFGSPLAALSDRLKGGLCDPRIVLYRRGTCFAERRKHYYRLQSYHNSMVRGLWEIKDCWKGCQGYSRNDRLRALDAMKAQQKQKALPGLDGRAGSETDSENREYGEPLLMRLKPDRTGLKKSGKLGKERSKGLLRVGVPKGSGEEHIGGAGRDAAVALSELSRRDNTYGYDLGVMHRGKLRRSLEGLDSEELGDDRDLRLIRSQRPMPKLGKDLAASYDGSSYGNSYHENQNGSSYYYGRNAAANQVVTVATAYDRPYFDTARNTKYSERDWVYGGQNMLSKALKGDEMDWPAGSHAGSMNDWQRGQSAGDYRSRKAQTGHGLKVKSYKSIERQINDANFGSDHRGKMPQGRIKGKPSSQYDRIGQKYSRSSAVYTQSEETESDSSEQFEGGGDIDLLKKQPEHHSGSHRQAYGAKKLNKLPKASKLNYPTTTEDVEPYQSKGTHRGKAKESDYLRDVHVTATEQISELMKPPAARGERKRKVMASVDTHDYGNSELRDSNENADESLRSPENDERLTSGSGYVDSNGDIEKKKMPVSSCSSGSKKQKVKVEAASLAEHGEDVPSAPKLVENSGGSKKKGKKKAEAVTKKPEAVTNNPEVVTDVVSVAEPAPVPEVNEVVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVRKAMATPAGDTPLSTKQPDGEESRKWFNNEDHTKMPQDPSATEQAQQGHEAADASGPEKAQQGLEAEDASAAEQTAPNNLPALTVQEVVNRIRSNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKTLVSYDKSNKSWLWVGPLPSGSSDGDPNEETSPDAWGVPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPDPANLDLKERFKDLRAQKSLNTISPSSEEAKAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLKDSQYLNHDESNKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGRDEEDFEDDGTSSTKKWKRPRKDSDPAEAGNDDLEDDGTPSASDAKKQKTDSTASGEDKDSEDPATQDPSGGDLEGDPDPDH >OB05G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:896339:902113:-1 gene:OB05G11490 transcript:OB05G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRIGLTSPSPKSTEKSGRDLRSGGDANGGANNTIPKGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTRNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAMIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELGEKLGKTQKDLEDTRNVLHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLRAGLENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTDQLDTLHKTVSISVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDNLAGEIDMNSQTTFERLNSQVQSHTSTLEQCFGGIASEADNLLNELQCSLSRQEERLTQFANKQREGHLRAVEASRSISKVTAGFFNSLDVHASKLTNILEHTQNVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVNRTSHLQSEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKTKTNLGAQQWKNAEESLLSLGKGNVESVDSIVRNGTEANQLLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIQPCHKEMSELKGGHYHRVTEITENAGTCLEEEYLVDEPSCSTPRRRQIDLPSVESIEQLRTPVYEELLKSFRESKASWKQANGDMKHFLEAQEATPPSITDPRSPLIARN >OB05G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:908378:910491:1 gene:OB05G11500 transcript:OB05G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT4G31130) TAIR;Acc:AT4G31130] MALTSAQRIALTVSFFGLLAFLLGVVAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLAIIVGHAAIFYPYKGKSVPRGALFQSASLSVFFIIAELVSGLAFAMLLWATVTEALHRTSNIHRDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVYASDVEGSKV >OB05G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:910785:914460:-1 gene:OB05G11510 transcript:OB05G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGAATIQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTTQEGLWKATPLLLTVAVIELSDIAFAIDSIPAVFGVTRDPLIVLSSNIFAISGLRSLYVLISESMSELDYLQPAIGVVLGFIGTKMIFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKNK >OB05G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:917716:917955:-1 gene:OB05G11520 transcript:OB05G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQLQRRRRTSDHTSTTFSSLFSFFFCKFVLQKKPLVAHKKERHLHCRSFHVDVLQTIANLRMMSHLGTWLIMILLATMH >OB05G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:925520:928828:-1 gene:OB05G11530 transcript:OB05G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGEMPTDAAVQEADVNLNLSISQPASQQSPKRDSSSLGLQIHHGSFEGSEFKRAKNDAPSELASRPHQFPLLTEHPPIWTAQPHPLFPNNEDASRSSDEKRKQEGPGVPSWAWKQVSQHHHHHPAAAPPPKLPLPFFSSSSSSPSSAAASSGFSKATTTGAAHTASLRFDPTAPSSSSSSRHHHHHHRQ >OB05G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:950390:950653:-1 gene:OB05G11540 transcript:OB05G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKSILLYSFIEDLTHTDDIGCLLEETKKRYYSKISQYLAEWSIYDLSLSVYLCLFCFHFCHVYSLSGTVGFCLILWIVYNLKIS >OB05G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:958701:958883:-1 gene:OB05G11550 transcript:OB05G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHHHIQAVRGGPTANLTTSGWISQYCCSSRVDARNVRSTTQSYTLYYFFLNAKIPTKQ >OB05G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:971772:980586:-1 gene:OB05G11560 transcript:OB05G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLDVLSLPEVELMGVLDAGIHTARAAIAHVSEIACPPYQTALALLETVRVRGDGRLATTLRGLDEALRGGIPAGKLTEIVGPSGIGKTQFCLKLALLAALPECYGGLNGRVVYIDTESKFSSRRMIEIGEKSFPQIFHQEGLAQTMARRILVLRPTSLSDFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSSIAEFSPIPVVVTNQVRSQSNDDGYHYSFEGEKKDDSSSADRFESHLVAALGIQWAHAVTIRLVFEAHSGHRFIKVAKSPMSPAVAFPFTVESSGIVLLSDEGIDVPGPEITSIRCQVAYPVPIHCSMGAEPWARVASTSTTVRPTPQTSRTNEEGALARCAVPRAFKNRKDDERRSRESAPASNPRVPPPAPPAPIDALSAQDALSAAIASARQFQDKQRDVARRAAQGGGTAGAGEDDQDHVVQRSLHLTPGCAQALIDHGVLRSFESLLRVLNGFNPWRLAHLTAARQPIIAGATEMSLAAAAVAAPSPAPSWAYQIRMAASQGQFLHAVSLFLQMRSSVAPRSSVPASLPAALKSCAALGLGALAASLHALAIRSGAFADRFTANALLNLYAKLPHFHRPFGTDGSGSLESASFESMQKVFDEMLVRDAVSLNTLILGCAENKMHQEALSMVREMWKDGVKPDSFTLSSVLPIFAERADIKRGMVVHGFAVKNGLDNDAFVGSSLIDMYANCTQLDYSMKVFDSFSDCDAILWNSMLAGCAQNGSVEEALGIFRRMRQAGVRPVPVTFSSLLPACGNLALSRLGKQLHAYLIRARFNDNTFISSSLIDMYCKCGNVSIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDKGWKYFNIMSDHYGIVPSLEHCAALADTLGRAGELDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTILAEEVAKKIFELEPRSMGSHVILSNMYSASGRWNEAAHLRKSMRNKGIKKEPACSWIEVKNKLHVFVAHDKSHPWYDKIIDAMNVYSEQMIRQGYIPNMEDVLQDIEEEQKRDVLCGHSEKLAIVFGIISTPPGTTIHVMKNLRICVDCHTTTKFISKIVAREIVVRDVNRFHHFKDGNCSCGDFW >OB05G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:991862:997706:1 gene:OB05G11570 transcript:OB05G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARMEPTASSSWRRQFRRRWHLLVRGEDERRQGLCFLCGFEEVVAAEDSRLEPAGDASEEGRVVDPVGATEEAPEQSESPKQRKGKAGVNLRKSLAWDSAFFTSEGVLDTEELAVVNSTFQKAQGSRLPGIAEEMRRSWESTTSTLESESWVLENLETELFDNVRASIQRSHGNPRKSPVTSVTSKPSKSKTNAPRVAARVDLMPQSKLPTRPPISTSHGVAGGKQRLQDTLKESTATRVALPGSTEVKPSLKPPRALPRVATMRAPANTAMASGIPDKRSSTGGTVSRQAVGKSVNNSASTHSRPGGMTKSVSTSKPGVLPPPPSAAATSIGNMAGQKAKPPALSNKSRTAQRIPVRSTSRTDISKVNPARASRNNIPSRGNSDRISPSISPSSSVDSLSSVVSGASTTSTVGKMSHTSESFSTRSSSLSPLRKSNDHPPIRADAATQGKGSKPSGLRMPTPKIGYFDAEKSVDQHIGAQMPKKIQCSPQRSSAQMGTPAPSTLSQPESRSTPHDTKGSVQSKPSPLLPLEAVQIELEPSQAMQHEVCTPKPGQVVAADTAKENIPALHQNIQPNNDGAG >OB05G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:996505:998984:-1 gene:OB05G11580 transcript:OB05G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQQQQEEENLTLLPTTTMSAAGAGAAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARREACATYAALVPVVAGVVIATGGEPSFHLFGFIMCVGATAARALKTVLQGILLSSEEEKLNSMDLLRYMAPVAVILLIPATLIMERDALSMVTVLVREDPSFIWILLCNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVTGVVLYGEAKKRSK >OB05G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1002240:1004380:-1 gene:OB05G11590 transcript:OB05G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDMGGEYNYYGSEITCSYPGFAPRLFIYHKRYMNVYRNIRCLYYFRCTSHCHLCSFPPMYKQSSLVCAHVCVHALQYGTCL >OB05G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1012689:1012955:1 gene:OB05G11600 transcript:OB05G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPFWRSVLRSRCTVKVFPSYAPMKDYEQFMPKLSTGGSSQNKLKDKGSFPVHVGLFGKNSQLTSIHRSRRLFGTAAFTLLKMLKRA >OB05G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1014960:1015154:1 gene:OB05G11610 transcript:OB05G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYEQFMPKLSTGGSSENKLKDKGSFPVHVGLFGKNSQLTSIHRSRRLFGTAAFTLLKMLKRA >OB05G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1015615:1015794:-1 gene:OB05G11620 transcript:OB05G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKIDCRTFPFHAIIQYLLDRLCKYMNTTFVQPTSALAYKYCSTITRYQVSVRTTHIQYRT >OB05G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1027401:1027586:-1 gene:OB05G11630 transcript:OB05G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFSTYGTSSYLHYSNVSLLTLVVLKCLGKNTYINYFSFVTMDFFFKSRNPLGFLCLPVYRR >OB05G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1028390:1029157:1 gene:OB05G11640 transcript:OB05G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDMEPPASMRRDMEPSASRCWWMAMASACRCSSPSKRGEADRRMRTAAGQGGSEAERKAMPASSHIHRRPAWNRRPGPRRRQEPLPAIAVVRKLRPRSSPPGTTATSQIHCRSDRIRSPPLRPDPQPPRPDPSSLRHDTSLPRPDPSQRPPPPPRASWPAAADTTFAAPGSAVSSRLPRPPSLGPLPRRNAGKQGESEAAKKRTRGAAHGEQKRSLVAIYVARAGSARREPTDRDVATHTPPPRPSVHLRSDG >OB05G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1032016:1036381:1 gene:OB05G11650 transcript:OB05G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTVEKTNAVKVAHSEDNSENTDGSDSSDAVEWDPWNPPYPPRPAFPPTADFMTKMQLIKQHGFRIQAALAASRSTNIIAPDRTPQVVLGNFCKISGELELILKRDSVRSFLRLYKENVDCMAWGYIITPQTLNLIIARNALRCAKLVLEGKAPAFCQMRANPNCMTSSGYFPLHQAAENFSVDMIKLLLRYGASANLRTSGEKVIEGLLPLHVAIEDTCMHKYLEDNLLTDQKHKQVDFGFIYKLVHLLCLPEMKIFLATTRLLADYTDNLLDELWNYIKEGKLVHAAILLLAAQRRIRTCASSNRNIKHNPSGFTIIKDRIMGFIVSIETEWPGLTSGRNSKAYRQLEEKRMLFCNALVLNSMISEAGEALDEYIQTHSEVSHREILESVSSILKDHGFDSAGKGINIGELKCCPYDCEEPDSLLKSKHEKYFMTEAGGESPNLNVEAKNAVGYKPPSRWELQKTKDMFFPIWRSVLRSRCTVKVFPSYAPMKDYEQFMPKLSTGGSSENKLKDKGSFPVHVGLFGKNSQLTSIHQSRRLFGTAAFTLLKMLKRA >OB05G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1055496:1057096:1 gene:OB05G11660 transcript:OB05G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRRTLLEKQRKKRQDPVSTSTESDGENSPRVQYPRGRIGKKKADEVSPRRRGKRTTTVSALLVAFGLRNHPTLLLPPGPLAGLVTPRGQIMKLLWGSSASTPSMCTRKKSSITEMKRIDWDFMQKQTSQVAKTAIRLCHQKNIDVLMSMEHNWSEELIGQFYASAFFEDSDDYTEEKPALSQDTIRRLYVDDSNKVTLGTIKALLSHYDLLLKMIKTTLSPKSGDKTALTARHAALLWSMCTNAPPFSIMRYIWNKIQAIVLDPSKGLAYAPFLHQMIQRVTDFYFNGECVHYPYRPQIPQAPKISRSRRRPVGSSSQPVCTSSCSSSSSPIKRALSTIFGLCKKNAVKIKSNECKINQILRDSGHEIPPESEDEDYIDHFVAYEAELAARAADASSSRAPQDSDEDTEEDDEEDEGEGDDDEEDDVDDDDDDDEE >OB05G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1070467:1076209:1 gene:OB05G11670 transcript:OB05G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPGLCALLQSTDKTMIDSAVSCLVLVSYGASGSAKHMEKLYELNAVEAMMRLMENNGWKSLSDDTLSGILGLLKDLASVSARVAKSLFELNICDFLKQMITYYTLSHSDHNKVQMLIELIYYLMPPLERCDHRTELIIAKKNVIIEESRYVEQVASILTFIIQVAKSAALSSICYSCVVVIRNIVELSTPSSLVEVQKTVNISSLLAVWLARKNRHIIFQTLNISKTLLKKHQKFFFETFIKEGVKHAIDTILAQEKDAKSNHKQRGKNLQESCLCFDLDLDTSTDDACRIEKGAILKLSEDIKKNFLVKETAKPHHRFGCDFKSIKELFSRLNGHAEPPPAKEQNLNRSEKDQELCKQLSDFSRQLLSDELPSTSTFEFVQSGSVKHLAGYLSNGAYFNSNIRNCQDFLGELKEVKNRLQKFTHLALTVRNQSSVKPLGILVEKLIDALHVCYDSFPVILADEQHTRESTMIPLRDSGTEGPVDLYIKFSRSSTKEELDNYNSVLPVYLSSTPESIEEVLWPEICRRTGNESAYKEATQEANGSRTPVGLRNGGGRKSSRLKFSYKGTHLQSSTTFFESILRSMHEGQTDIQIDPSFWDKDHKIVYRRRNKSKKISSQSSYNTQLSRVHEKLGISLIKDPFFSTIFTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLSMDERLCKFSEGYLQALDDLMVTISPIPRDQFVSSLLTNKLEQQMQDSLFGDGLIPSWCIHLVETCPFLLSFEARWKYFCLTAHCSFMTDKNGSSTETKKYRVIRSKILECAASMFNKHGSDTKFIEVEFGGEAGTGRGPTFEFYTTVSHELQKMGLGMWRGDITSQENKAGFVHAPFGLFPQPWSSANTSSRGISLSDVVQKFKLLGHLVARSVLDGRVLDIPLSKAFYKLMLEHELDIYDIPSFDPELGKTVIEFQALVKRKKFLEERTSNLAADLSYKNVRFEDLCLDFTLPGNPEYELVPGGSEKMVTPDNLEEYVSSVADATLKSGISNQIEAFKAGINEVFALKTLQLFSEDEMERILCGEQDSWASNKLEDHICFDHGYDANSPPIISFLEILREFGREDQRAFMHFTTGSPQLPLGGLASLDPKLTIVRKQCDGKVDDELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >OB05G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1077886:1081292:-1 gene:OB05G11680 transcript:OB05G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGFMFWAKFKAADASENSAGGGEKVALVAADRMASPEKGAVTKNGGEGEVETVMRRPGRVRVVHPDVAEFLSSFRHLRTKKPKPATTTTTPPTAGERARYGCEFEDEGEEGRRGGFAPGRLVWGKVKGHPWWPGQVFDPADASELALELPRKRGATLVAFFWDKTFAGAFRGEAFAGYISALPLAPLAGADRLDLAIARAQMEALDRWRGAARPVPEYTSHDGIEAKAMVAAPARRRKRGRPRKNSTSDNVEDDALELDSFEPTPQPRSHQMSTKIGKLMSRAAQQMSQSPAASHRGTDGQAPPPAMSLSMARCTMPADESPPLKEKNGDLKDDPLLAGLVLNFICPSAVLPLGDLVKIFSQFGPIMEAKTENSYALVMFKRRSDAEAAFSGTANIGVLSSSLISFRLNYSMSASPIDLPESTLNTDKDHLFFENVQ >OB05G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1086264:1091085:1 gene:OB05G11690 transcript:OB05G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKTRNGPSDAPQKTSPATPRSSRVAKTGGNETDSAGITPTRTPTERSPKVIERRSPRSPITEKRPSRLSELESKVSQLQDELKKAKEQLSLSESRRRHTQQEAEEAKKQEQAAASKLEDLQRQLDEFSTAEESRLQELRKVSQERDRAWESELEALKKQQSVDSAALSSALSEIQRLKQQLEATTQSDAARAKQCEFAESELDGLKQEMELRLATIEGLKVNVGESDEAVAEANAVAAEAKQQLETSKATIESLLAEGARLQECLRSKDAELGQSMARVATLEEDLKKAQTAGKGHLKNEQDKDNNVDGSFGSPDHGEAMEKVVVAVPDSNVGCAVSGAEIEQLRAALEAAEIRFQEEQTRMTIETKTAYEMLEHAKSEYECRLRDLELELKSKNGELDEAKASLAVRPDGKSEMMQPELEAKLMKSITDMTELKASLMDKETALQSVMEENEALKSEAGKKEAEVQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAAGAEMEAELRRLRVQSDQWRKAAEAAAAALGGGGGAVTGGIGRNVERTGSLEPAEYTTSMIGGRSKLASSPFSDEPDESSPKRRNSGVLRRVSGLWKKSPK >OB05G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1090360:1090896:-1 gene:OB05G11700 transcript:OB05G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVTAPPPPPRAAAAASAALRHWSDCTRRRRSSASISAPAACAASSCSDALAARRLLLSASSVTYPSRIRTSCSAALASSSSATAASYRRCTSASFFPASDLSASFSSITLCSAVSLSIRLAFSSVMSVIDFISFASSSGCIISLFPSGLTAKEAFASSSSPFLLFSSSSRSRSLHSY >OB05G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1093309:1097751:-1 gene:OB05G11710 transcript:OB05G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFHADLCGIPMNMVPNVYKTDVNYQFRVKTPVKMEFWIALLLTILGYLPGIIYAVYVLVA >OB05G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1099435:1103489:1 gene:OB05G11720 transcript:OB05G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIIGIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLKDRVADPAYWSTISACLRDGHACAAMRRPARNPNTGMLMTEPPDMFYARDLSPIQSGCCKPPTSCAFSYQNETYWTPNPGVPTVVNDADCSKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVVVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >OB05G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1103002:1103241:-1 gene:OB05G11730 transcript:OB05G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLYRQWAKGGFQFSSSSELEPARLSLGHPCHAERLIVLDPPGVAEGCTPSDVDDDEDDQHDDVEDGDLAPALLDAG >OB05G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1109275:1109948:-1 gene:OB05G11740 transcript:OB05G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDTACTVATAPPSPASPPATATTTTVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFTTAHRVFGASNIIKLLQELPEEQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVNDLKAQLARAHAELAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGMQASPIGWVDEPLWT >OB05G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1119538:1119720:-1 gene:OB05G11750 transcript:OB05G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDRLDSYSSDDLSLSETSSSVLLLRGGSKQKCIVRRGKKEASLSEKRRNFALAASYFS >OB05G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1123920:1124126:-1 gene:OB05G11760 transcript:OB05G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSEKWSSAFFHRWLLRRCFGLSGATAAVPMEDKDSTFRIKQRFRNQTNGAQLTPHTRSRFGGVTD >OB05G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1124029:1127862:1 gene:OB05G11770 transcript:OB05G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAVAPERPKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRAGSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRGGHRRGAAWHRGDVPPPRLQARGELHHRRRRGARAAQRRPRPRREAPPLRRRPLRRRRRRLRRAFLRRDPPDRGVWHMASSNNVGRPEESGGRGPAMQ >OB05G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1138554:1142486:1 gene:OB05G11780 transcript:OB05G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPAPAPVQQTEEVKVHEVEQEQSKHVTVEAVPDTVPPPVPIPAQTSALPLGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNIDRASVKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLARPASRQSPSTPTAKVSPILAKKKPATPKNGLSHVDDDARSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSVVTDGAETPEKGGGGGGGSVGSVKKKLSFQAGMVPPSPMRRHSGPPKVEGVVKDIAEPPQPEALVINGGSK >OB05G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1141481:1145582:-1 gene:OB05G11790 transcript:OB05G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Defects-in-morphology protein 1-like, mitochondrial (InterPro:IPR019190); BEST Arabidopsis thaliana protein match /.../nknown protein (TAIR:AT3G57110.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G60370) TAIR;Acc:AT5G60370] MAIIEAALSAAAAAARPVVFSAARRAVSLSCAAYKFAASSGGDIEDSPPPPPPRGSLLARFRDRRALAVTDITATEWCEKQMEFVLEHGRPERTQAMKAGSERHAQLEQEVIERADVTVRSLEELWAVKFMNFIMGANQLMLEGITRELPVIGLVEGSWMTGVIDELRMPPDAISLHPILVDTKTRFKPTVPSEAQKRNGRLQVMCYKYLWDNLIGEKFPADNFFSYFDLDPSYLLSDDIKQFIRFSGLNAKTFEDVVKYFKVTCHTLARSQEQLLLRYELQADNSLLEEYQFSYDAGWFKDQIQEVLSFWRGSREPRYVSEEERWKCSFCKFANNCPLNASTSRCC >OB05G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1148194:1160162:1 gene:OB05G11800 transcript:OB05G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSGCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQGQRHHGWGRKNSLTKEAVAKIVDDTYSRKMQIQCAPDLATRSHSGSDFNFRPKEEAYDPFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVIIPDKPGDSPEVPPIFYCELCRLSRADPFWTTSANPLPPVKFVSSGVANDGTSVPQSVEKSFQLSRSDRETVQRQEFDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGTNKICLSRVDTRTFCFGVRIAKRRTVAQVLNLVPKEAEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLEHLMIDPYFNRITSLLRHCNEDVNEVDVKPDGSWRVKGDAATRELSQWHMPDGTLCNAQEDVKPVMQNGNEQMMEGTSDGQKPLIIGIKRNPNGIWEVSSKADDKKPSVVGNHMQNNSGLRALNNIVHMSNSPTSSYRDGEDPSVNQESNTDDRLQQQHNVADVIILSDSDEENEAMVCPPAVFDNTTTANGSGFPFTTNDPGYTERYQEDAGVGTSGLGLLSNNVDDFEINNWQMHPSYQQPEQGFQFFGNDADVHNTFVGSHNSFGIAPNDYSLDCNVGVEEASVTPALTVCRNSNEMHGSLVDNPLALAGDDPSLQIFLPSQPSSAPLQEELSERVNAPNGVQSDDWISLTLAAGGANEEPAPAEVNSQPQIPSTEARAEPLSDAASFLSTNIERRSGADLNPRRIENIFSHPRQPRSVRPRLCLSIDTDSE >OB05G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1180455:1182647:1 gene:OB05G11810 transcript:OB05G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALLVLLLLLLAAGDYAAAALRFDYATLTLGSLRLLGDAHLKNGTIRLSRDLPVPNSGSGRALYATPVPLRQGFSTQFAFTVATLNTDSVGGGLALVVARDGTTLGDAGPYIGVSAASDVAVEFDTLMDVQFGDVNGNHVGLDLGSMVSAAVADLDGAGVELTSGRTVNAWIEYSGKGLMEVFVSYSPKRPPEPVLSAPLDLGEYVKDEAFVGFSASTQGSTEMHAVECLHGEGHVLDAVDARLGGDYDEGEMRRALLVGLACSSPEPALRPGMRAVVQMLSGEADPPFVPATRPSMSFSANHHLLLSLQDSVSDYNALGLNELSDDSSSDSLSSSSLTSTLRKGGHDIGFSSTAGDAAR >OB05G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1186857:1190513:1 gene:OB05G11820 transcript:OB05G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGLLLSLKPSETSARIFGQSWEYLASANVQYKPAGSTCQYCIITDQKGAAQCITCLDHNSLSVTEDLSSVQSFIYQQQPESLGPLRVETLESSFSLFDSKQTDIQSPDQTDLNDNYEWPYSFLIKFEQSRSDQFDNKQGSHFPCSAQEQSTDKKMLSNRMDAMPAAVMKKVSTQHGSSLKNSGSDKLPHACSNHKQSSKAAEKTEPPKRISAPAKTQKTFTFRELATATNNFHSDCILGEGGFGRVYRGQLENGQVVAVKQMEHNGVQGNREFIIEVLVLGNMSHPNLVDLIGFCTEGDQRLLVYEYMALGSLADHLLDITPDQEPLSWHTRMKIAHGTAKGLEHLHENTTPSVIYRDLKSPNILLDNDYNPKLSDFGLAKVGPVEGGRHIETRVMGTFGYCAPEYVKTGVLTTKTDVYSFGVFLLELITGKRAVDTCRPVCEQILAYWAKPMLHNRRCHELVDPLLRGEYPDKDFCQAVAVAAMCLYEEASSRPTMSDIVVALGFLAEVPAGSEEKIKTVLQNKKDEDPSVTSSSKQDQRASDRQRAVADAIEWGFMRQKQKSSNSRKETQHEGIIAPN >OB05G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1193568:1196549:1 gene:OB05G11830 transcript:OB05G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQFMVKFEPDDKQCVTQIRHPNNQAKNNPFLKSNQGVNPDKKNASKRMEARQVTVVKKASTQHGASLENSESVKLPRFSSDQKQSPGAAANTEPPNGSPVIAKTGKKFMFRELATATNNFRSDRLLGEGGFGRVYKGQLENGQPVAVKRLDLNGFQGHKEFLVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMALGSLADHLLDNIPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDNEYNPKLSDFGLAKLGLVGGKAHISTRVMGTFGYCAPEYVKTRELTTKTDVYSFGVFLLELITGRRAVDSSRPECEQILVKWAQPMLKDRRYHELVDPLLRGDYPKGDLDQAVAVASMCLQDEPSVRPYMSDTVAALGFLAEVPTGYQEKINTVPQNKQDKDPSVTGNTKQEKTAFDRQRAVAEAIEWGAMRQKQKAQVQEKKNHLQVAPTDTNRL >OB05G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1200023:1201091:-1 gene:OB05G11840 transcript:OB05G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRWLPSVLRLAAPAAGGVARRLYSPSSLLFDETQEQFKESVSRFAQETIAPHAAAIDASNGFPEEVNLWKLMGEFNLHGLTAPQEYGGMGLGYVYHCIAMEDISRASGSVGASYGTHSNLCINQLVRHGSPAQKHKYLPKVLSQIINLSIHHFYVFLPFL >OB05G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1201779:1205557:-1 gene:OB05G11850 transcript:OB05G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isovaleryl-CoA-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G45300) TAIR;Acc:AT3G45300] MAAVHRCLPGILRRAAPATGGGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGEFNLHGLTAPEEYGGMGLGYMYHCIAMEEITRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDVVLPYVRQREQFGRPIGEFQFIQGKIADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILLAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >OB05G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1211141:1213727:1 gene:OB05G11860 transcript:OB05G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAMMLLIAFLLGLTAFGFAKHIQDAKYWRPALACVVGSKACPKIASWTPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQEEDCFRWNNAEGILCYGCESCKAGVMEKVREDWHKVSVLNVMVLVGLVCICACGCCAFRNARRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >OB05G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1214767:1216466:-1 gene:OB05G11870 transcript:OB05G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRALRRALPPLSAATPAAAGALLRRAPLPTAAAVSLPCRARLLDPIGLRPFSVAATASQAPAMGASLFEGLTETRFPKRRPGFKSRRKRASLRPKGPCYWVKCKPEEPIPANQPNAGSVQGRKEKKRIKQRKAFIMAEKKKRKAQYSAAVKRKDAERTERKMAAVARERAWAERLIELKQIEEEKKAAMA >OB05G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1218037:1225474:-1 gene:OB05G11880 transcript:OB05G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMPPPPPRTSLSSLIRQNRSAISEGYYRQGGASYDRSYPDESLGYTPSRSDRYWVEDEDGGYKGFGRYGGGGGGSRRDGRDIRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMCYDPPGDKAERGDRDHHHRVTPWRPLRRRESRSDAGDTSGAEPVPAGQATTAAASEKDACPDFSANHQEHPSPPPRRSPLRSVAVPMCYDPPGDRAERGDKDHHHRVTPWRPLRRRENRSDAADASGAGPVPAGQATAAVSSEKDSSAQSLAVAAPQASEEEAPRKKPRLGWGQGLAKYEKQKVHGPADSAEAVAEGSPTSTDQKAVTNTPAFAPCVSPVATPSPAPLCKSPVPEDKSCEMTANTVTESNKNIPVADVQACNNEVPTTLDQLEGDPIDSLAKVLSVLVQHEDSCSGDSKGLTNGSKLLLLKESISKELEKTELEIDSLEGELKSVTTKARNRALKDPPKALTYAQNPLPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAQGQVPAAADVIPSDPSGKIGSGIDVDNGQHEENPCHDNFNAMKADDNSDLTRPCSYHGVKYNLVDTVLSMNRSLAKNTSELLFKPVLADRSHLDLLESSHLSSQMKNGLIIKKKYAILKNQQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTEQNNRAPQSGSQRQRSSNRSRFAVPAGNLSTFPITEMSDIANKMFSEFQLKRCRNYLKMPSMIVDEKEKAVAMFVNKNGLVEDPISVEKERSLFNPWTQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLAAVSGKKWNPEANAALLDMLGVATEVAAQGLEYANEVKKNSVKSIMQIACGAANSTKGSEDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADHGQKIGISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSRFIDAMNSYGKDFARISSCVKSKSSEQCKVFFSKARKSLGLDLIHQGGADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPEMEVDACPISDGDIQGHDPLSGVASKQPEADKSNVPDEDINAKEGGRKAEKDCSIIDHKQLCEDARETSYPRIDINCPDSTDKLQDTEDVKPVNMHANDAMVSSVEQAVAAHVETRTSSHSVEVIESSRASKEIVMDVSRMEGRSHETAICKGGKSTPPVCLPALGVSKENIIHFSNMDEATPIRPAFTSNYQQTKLADPMQSKPKPLTPKDLMPVQFTTSLPDPTSICFEGIAAITTPNFEDDGNKTSIASGAKDVSMFPAYKDQSGNHHDPLFHNVEGYMQQRRNNHFRTEVPVLSESTIGGNAGVSQLDHFMVSKFQNGRSSSLGLSNGNLGVLSTGRREEVREGLFTPCSVKASSGNEQQQKRPGDVKLFGQILSHQSSLQSSGSSTHGSKSKPLSPKVDKSASRLLSNSRECLVYSSRPPNIANLGLEERTMRSYDHFDTVQTIQPEPMVMVAKCQRSSAGVPVYSTKNGALSVFRELQPPSVQPLSSDHKLLENFGDIHKRNGIELISGFQQPGRLGSAGVLVSSVSDPVAALKAQYGPGSKMLSNDVDTWKDIGSR >OB05G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1232701:1232955:1 gene:OB05G11890 transcript:OB05G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTEGWYMQVLIRQLELLVSSSSGDGHHLLFLFYHPNHAQVFCLLHDFFYAEVFVIFFLSCYAILVANCKIVSKRFHFSVES >OB05G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1232344:1237242:1 gene:OB05G11900 transcript:OB05G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRIIDDWKMALKKHRHTNLIIDNKEHISVPDYPVICPSRNRWMPYFLGKIPFKRKGKL >OB05G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1238029:1240329:-1 gene:OB05G11910 transcript:OB05G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAMEEKPESSALPSSVVSTVAYCCGACGYDLKLSSSARNTAGIVVAGGGGRGYRRRGGGVVRFDAIEEARFGHVDEFRLLRTQI >OB05G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1248050:1253582:1 gene:OB05G11920 transcript:OB05G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:J3M3L8] MTTYRVCCFLRRFGAASSEPSEELGDVFQAYAGGGGAMGEEALRRFLREVQGEAAGEELEATAREVMAFAAEQRLLRKGGAGGGLTVEGFHRWLCSDANDALDPRRRVNQEMGMPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALHDGVRVIELDLWPNAANDAVHVLHGRTLTSPVDVMRCLEAIKEHAFMASPYPVILTLEDHLTPDLQSKVAKMIKETFGDMLYTCETESMAEFPSPDDLKGKIIVSTKPPKEYLQTKNAAAVADDESVWGEEITDDRASAKPAAEEAVDEEQEAETDKKTQQGVDNEYRRLIAIPLTRRKHDMEKDLRVDPDRVARLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDADKLFDPTSKLPVKTRLKVTVYMGDGWRFDFRKTHFDRFSPPDFYARVGIAGVEADTRMEQTKVKMDTWVPAWDHEMEFPLSVPELALLRVEVHESDNPQRADSAGQPCLPVWELRPGIRSVRLCNHNGEPLRSVKLLMRFDFT >OB05G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1263764:1264837:1 gene:OB05G11930 transcript:OB05G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRSGPSSFKDPAEEFTFAQLAAATKDFALENKIGEGSFGTVYRGKLPDGREVAIKRGESGPRARKFQEKETAFRSELAFLSRLHHKHLVGFVGYCEESDARLLVYEYMKNGALYDHLHPRPSSGASSPSPVASSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILLDGNWVARVSDFGLSLMGPETEEVQHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEVLTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELNKVLDGRAPAPTSHEAEAGELVAYTAVHCVRLEGKDRPAMADIVANLETAVALCEDSAGGGGAATGLGNSSSSASLSITSMELSRMD >OB05G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1268934:1272502:1 gene:OB05G11940 transcript:OB05G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLGVGRGATDDDLKKSYRRLAMMHHPDKNRSPHADDSLFKQLSEAYDVLSDPQKRAIYDQYGEDGLKARXXXXXXXXXXXXXXXXXXXXXIFSEMFGGAGPRTPGAGVPHGFPGFAGSPRAAAAAREASPPQPPAIERQGACSLEDLYRGATKKMKISRDVLDATGKPTNLEEILTIDIMPGWKKGTKVTFREKGNQKPNIKPADLVFIIEERSHPRFKRDKDDLIYTHKISLVEALIGCTVQLTTLDGRNLTVPVKSVISPTYEEVVKGEGMPTKEPNKKGDLRIKFQIRFPTSLTSDQKSGIQHLLS >OB05G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1277288:1277747:-1 gene:OB05G11950 transcript:OB05G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVATNAAYRSVEHRVVVNAADERLSIAVFYNPKSDLPVAPLPELGPPLYTPMTFDEYRLYIRRKGPRGKTQVDRLAAAAR >OB05G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1281044:1281226:-1 gene:OB05G11960 transcript:OB05G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPSFLYVEMCTNDVLALFFLTVKFDVKATHPRDIFSGRIVFNSKLVDKSATFSTLVI >OB05G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1282880:1283969:-1 gene:OB05G11970 transcript:OB05G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGGGWPEPVVRVQALSESGAATIPERYVRPEAERPWSAAEEGGTNIPVVDLSSPGPAAARAVAEACREWGFFQAVNHGVPPALLRRARRVWRGFFHQPMEVKQRFANSPARDEGSGSRLGVDAAAPLDWGDYFFLHVRPPHLLSPDKWPHLPPDLRDTTAEYSEEVRRVCERLMAAMAEGLGVGQGRLQEAFGGAEGAGVCVRVNYSPRCPQPDLTLGLSSHSDPGGMTVLLADDRVRGLQVRRAGAWVTVHPLPDAFVVNVGDQIQIIVTKFN >OB05G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1296162:1297145:1 gene:OB05G11980 transcript:OB05G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLASPPHHFAPDPLPPPPPPLLPDPPPSSSADRERLPQWSHAETAAFLAIRADLDHSFLSTKRNKALWEAAAARLHALGFSRTPDQCKSKWKNLVTRFKGTEGALAVAPDQIMLQGGAAAARGASTAASPSSFPFHDELRRIFDARVERARALERKKVKGKEPDEQDDGGGEGDEEDDEEAEQAEEDDGDGASGDTTRSGSKKRRRKAVAAAAAARRTSGSGGVEGEVEAMLREFMRRQLEMEERWMEAAEAREAERRAREEEWRTXXXXXXXXREREDAWRARAEEREERRHQLVAALLAKLNAGDASA >OB05G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1299076:1299237:1 gene:OB05G11990 transcript:OB05G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKHITIDFAVFFFQNMLISLSALDSLIVLEGKITCFSYIYVLPFKTCHQFN >OB05G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1302615:1303756:1 gene:OB05G12000 transcript:OB05G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPALTTTTRGGGSCFAPGRRTTAAAAADFGAARVLPGRRLRALPPELSEILSPKLVPGSPAYTGDTSSLIPISAVMLLFYFVSNWVVPEFIMKGLQEPNKPEEDEATAAPAAAMSGTADGQPETKTRVKVKKTKKNKKAAIKL >OB05G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1312317:1314279:1 gene:OB05G12010 transcript:OB05G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSTPPLQQQQQGSGKEATAMAMAAVVLELAAADDVAAVRRAVEEEKVSLGAAAMWYGPSATGVARLGLESRTPAMVAALYGSTAVLGYVLSAAPAEAARASETDGATPLHMAAADWGSPDGKLDWGVQADELHKFRKSASFAFRGQSTMPVTTQATPTAEPDVSWVNSLVKDGHAGDIFAQWSEQEQMVA >OB05G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1318229:1319155:-1 gene:OB05G12020 transcript:OB05G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALDFLRRLLCARTAAAGNAGTAQSAECVGDGAAAVRRPGPAASPGRSPCVVARLMGLDALPPALDCQPPPQRRSLSVSSAEGWPPYLREENDEFLVLSFSPEAARHGDDGACDGSKRRDATGSKKHTGGRPRRKLQYGGDDEEGEAGPRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRRRRRGGSAVLEPRQEKSGGGVVRHAQFEPGVGAGRAALVVAVDVVCHHHHHHYHHLVLVGRSGAELAFAYLRFVVFAQSPLPLSIYTQPAAAAAHSYCVLDYISLIN >OB05G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1318397:1319161:1 gene:OB05G12030 transcript:OB05G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVADDVDGDDECCASSTDTGLELCMPHDAAAALLLAWLEDCTSSSSSPPDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWPRARLSLLVVAAVLQLPAGASTGVLLGSGGVSPLAAVACAVVAVAGCLRAEAEDEELVVLLPQIRRPPLRRTHRQTPPLRRRLAIQRRRQCVEPHQPRHHTGAPSRRRRRTRTPHCRCTVADTLCALCSARIAGGGGARAEEAAQEVESQGGGGHD >OB05G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1324845:1326821:-1 gene:OB05G12040 transcript:OB05G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNSVPPQIAEVRMDISSSTSLAAGNKICRGAACDFSESSNSSKDARERVASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHSHDHDHGDSDDNHQHHDGEEHGHVHHQEDGHGGSITIKTNHHHHSGTGQHHHDAEEPLLKNEADCDSAQSGAKAGKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIRMLRNILEVLMESTPREIDATRLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQNADADQMLDKVIGYIKSEYNISHVTIQIERE >OB05G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1327264:1327464:-1 gene:OB05G12050 transcript:OB05G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSTNALVLQPANFCCHNYFLSTVVLFQLLKLYSDLINAFIICKVYIVCTGKIHSLAIFVKQMNTG >OB05G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1347599:1356443:1 gene:OB05G12060 transcript:OB05G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-cysteine ligase [Source:Projected from Arabidopsis thaliana (AT4G23100) TAIR;Acc:AT4G23100] MAVASRLAVARVTPDGGAGRRRRAGGAGATXXXXXXXXXXXXXXXAVAASPPTEEAVQMTEPLTKEDLIAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWTLSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRQKVPVTGLKTPFRDGYVRDLAEEVLQLSKNGLERRGYKEVGFLREVDAVVSSGVTPAERLLNLYETKWQHSVDPVFQELLY >OB05G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1360300:1362357:1 gene:OB05G12070 transcript:OB05G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPW1-1-interacting 1 [Source:Projected from Arabidopsis thaliana (AT1G80600) TAIR;Acc:AT1G80600] MAAGIAVNALGHADPDWVATVAAQAGTLVHASNVQYTVPQVALAKRLVEASFADRAFFANTGTEANEAAIKFARKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAHEAYGVVPDMMTLAKPLAGGLPIGVVLVTEKVASAIKYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLEGVAKKGENFKQLLSTKLSGNSHVKEIRGFGLIVGIELDVLAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >OB05G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1362450:1364402:-1 gene:OB05G12080 transcript:OB05G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3M3N4] MAGRALLAAAIGLVVSTSCMVRGAHGHDYRAALAMSLLYFEGQRSGRLPPSQRVQWRGDSALGDGGDHRVDLTGGYYDSGDNVKFGLPMAFTVATLSWSVVEYRDRLDAAGELGHALDAVRWGADYLARAHASAGGGGEALYVQVGDGDSDHSCWQRPEDMDTPRTAYMVNASSPGSDVAAETAAALAAAAVAFTGADASYASTLLVHAKQLFEFAKNHRGLYHNSVPSAAKFYASSGDEDELLWAAAWLYIATAGEEEYDGYIAGATNLGGLVLQGKLPGDGSHAEMKSNLEQFICNLVLHGGGGGGARLSPGGMLWWDSWNNMQYVTLASLVLAVHADHLTAAGAAAALRCGGASLPPARLTAFARSQGDYILGTNPETTSYMVGYGSRYPAEVHHRAASLPSIKSSPAKVTCKGGFDYFSKGSPDPNVIAGAIVGGPDANDLYNDSRQNFRQAEPSTVTVAPIVGVLARLLP >OB05G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1374740:1375192:1 gene:OB05G12090 transcript:OB05G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPESNTSGGGSGADERKRKRMLSNRESARRSRARKQQRLEELIAEAARLQAENARVEAQIGAYARELGKVDGDNAVLRARHGELAGRLQALGGVLEIFQVAGASVDIPEIPDPLLRPWQPPFAAQPIATAATGAMADAFQF >OB05G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1378121:1383869:-1 gene:OB05G12100 transcript:OB05G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGAASEASGVAGGGSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEIGLDPELDEFMETYCRVLERYKEELSRPFDEAASFLTGIHTRPPPPGGGGAAPPPTDNSDEMVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMEWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFVNQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >OB05G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1400249:1401155:1 gene:OB05G12110 transcript:OB05G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSSMRADGSVPKRRRQDVQKVVVSLKDHKVVQGPPADSWSWRKYGQKPIKGSPHPRYHQLALVPHTDTAMDVLVILQSFAFALVLACVMYITDNFYLLTYSLCGSYSASRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDKYTATSSVQEQKHNPDSTDAGAVLSNGMALAEVTVASSKLNNEEESCDFFDELEELPVSASPLPSLSFMVQDSCFSDARTRLL >OB05G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1400891:1401109:-1 gene:OB05G12120 transcript:OB05G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRLGKGDADTGSSSSSSKKSQLSSSLFSLEDATVTSARAMPLLRTAPASVLSGLCFCSWTELVAVYLSWL >OB05G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1401779:1411548:-1 gene:OB05G12130 transcript:OB05G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56440) TAIR;Acc:AT1G56440] MYNYKSYSSYLNDEPMPDAASEKEQGNEYFKQKKFTQAIECYSRSIGLSPTAVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRITARKELGKLKEAMDDAEFAVSIDPNNPELRKQYSELKALHLEKVAKRTTPTKRTVSEFGESGDKKGTSDLSSTSQKDSFMEVDPPSRVPVEITEKADDTSKGGSGVVFKDSTMQPSRDAKQKPGPEASIQDLASRAASRYMASTVKSVKTPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNALSAAFLIDIVKCTASIFREDTMLAVSILENLAKVPRFDLIIMCLSSMHKSELRKVWDQIFLAETAPADQVEALGKLRAKYIQEGWQDNTFTSS >OB05G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1414891:1420039:1 gene:OB05G12140 transcript:OB05G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSFLAAALRFFLLSGSVVAAAADDDAGAAAGAPVILATVCGNATAADQQGFDVSFVNALELIYQNVTRSGFGAAASGEGADQVFGLGQCMAYLSPTDCQLCYAQSRVKLPHCLPATGGRIYLDGCFLRFGPDNFTAVASDANDTAVCSNATVSSPAFSAASSALLRNVTAXXXXXXXXXXXXSGASSSASSALPGAPRVYAAAQCWRSLNASACAACVESARDRVVRRCLPRAAEGYGLNAGCVVRYSAQPFYLPANAASASGSSTRRIIAIVIASVFSAVAVIGIALVWAKMRNRRNDHHDDMDESSEIIRAIAASHLSFKYEELRKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIIHGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKSHNSVASSAEGHSLMSLIWKHYNAGALMELLDPNLREQCTEEEALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRDKELPRPTQPPFINIKGSNAKSDSSGSSSLHSNSDKSPFSLNQLSVSGVQAR >OB05G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1421378:1433698:-1 gene:OB05G12150 transcript:OB05G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAITDDGQSAAHLVSAATITYAFAVHRAAAAAQPLLLLRPWFAVLVASTAVDRLSCVAFGIIAERDFVVQLAGADRPVALAKANATLSRVDLLCETAGASAFALLLAKNDPLTCIKLSCVISLCALPLLIFMGGEMNRLADGIFDHSENTASRNAAPTFSVEKTVETVRQGWSEYVRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGDHHDVITISDTIIEAPLANGVAFLRRRQPVGDRRFRRRVGGGGDRRDVLDGEARQGARDSQGSSALVSCRSAGAAGLVAQSVPLGAAVVVYLTGTVTRRGALFVFLGLIVASRAGHMAYSAVGLQADAASPARGKAAREHQPSFADDLLLAFFRAKMVEEVGWDSEKPGYTGLIEVANRLMTRGKSALETEQSAVYNFLLSIPNVTTWAQVRVLQSLFPPLLLVLFKALLAPIADGQLASMMVGEFTGSHKLSPPPLVFVEKCKYLEESKCLGICINTCKLPTQSFFNDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNARRRRELGNGNSTEACRPAMSRGEGITVNLERMARRLMQRQEAAASDEERRMMVSTHRVSPVPAHLRDANPDAYTPRFVSVGPLHRGDARRLGAGERLKMAYLHSLISRGHPDQAGQLAVIQEYLRVVAARETDARAFYGEDVVMYADDFIQMLVLDGCFIIEHLANVAIGREEASLHATPFGPVQLSVDLILAENQIPFFVLIDLVRSTKLPEFASTGYSPEVLLMKLVLYYLAGEKGRDMGHDALPSAVDGIAHILHLLHATVTVARTKWEPPPRIQDSAVLGTAQEVGAASGEVELERSYLGRMMVELRDRSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQMYSSFKSK >OB05G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1439239:1439406:1 gene:OB05G12160 transcript:OB05G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRLAFTKPHKKKREKKRREEEELAHPPIDAMPWHSGVRESRGKSGCFQSQSQPH >OB05G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1439169:1442938:1 gene:OB05G12170 transcript:OB05G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAAPMDVKGVSDQDALIDLESGNNIVVGEHNNGEDANFVVAQERTAAWNGVMGTNGSCLKDDKNQHMCCCPASPDAAAKNGDDRKSDGEEKLGLLDSSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKQGSSSSNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKKNHSNSRPHESISFSAAPKNAETPSRLEIHSKARKMVR >OB05G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1445001:1446865:1 gene:OB05G12180 transcript:OB05G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MRSAKCRLRLPLRWLGRRRLLSAAPAARPPAEPLRTTERPRADLGSADAARHHEAAVRRLAAAGDVETEDALIAAIVAFARAGSADRALKTFYRARDLGCRAPGVRVYNHLLDALLRENLVGAVVPVYDNMRKAGVEPNVYTYNLLVRALCQNDRVDAARKMLDEMARKGCHPDEVSHGTIVSGMCKLGRLEGARGFLAETMPVQASYNAVVYALCGEFRMREAFSVVNEMVQRGLQPNVVTYTTVVDAFCKAREIRMACAVLARMVRVGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGLRPSTISYNVLIRGLCCISDLKRALAFFKSMKINASFPNVMTYSTLIDGFSKAGDLDGAMLMWNEMTSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLLNNCPPNTVTFNMLIGRLCDCGRVGRALTVFHGMRSHGCHPNDRTYNELLHGLFREENHEDAFGMVIEMLNHGIELSLVTYNTVISGLCQMCMNKQAVLLLGRMMVQGIQPDAFTFNAMVHAYCKEGKIRAAACLLGRMNAANCPRNVVAYTILISELCNQGKLENAMVYLLKMLCEGICPNEATWNVLVRGLFTNIGTIGPMHLFKYIVEDM >OB05G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1446167:1453523:-1 gene:OB05G12190 transcript:OB05G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G47240) TAIR;Acc:AT2G47240] MEGAGKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYKEVYDEVLQAGSALQHLGVKPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVVFVQDKKIKEVLSPNCKSAKRVKALVAFTSGTSEEITSADDIGMKLYSWNDFLKMGKDNPAQPCSPKPNDTCTIMYTSGTSGQPKGVMLSHESHAMYVKGVDLFMDQFDDKMTTDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRKIIFNALYNRKLANMKAGFTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFVQGYGLTETLGPSTVCYPDDMSLIGTVGVAATYTELRLEEVPEMGYDPLGTPSRGEICVRGNFFTGYYKDPELTNEVVTDGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDIWVYGDSFRSMLVAVVNPHEENTMKWAASNGLKGSFAEICKTEGLKEYILKELQSVAAKNKLRGFEYVKGIVLDHVPFDIERDLVTATMKKRRNNLLKYYKVILVFLTVSNSLMGSLHATEIPCC >OB05G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1465875:1469835:-1 gene:OB05G12200 transcript:OB05G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPEKMEISKTMPYTKGSQVEVAKLEGNPVVAWFSAIVEKAIWKNSVLVDYNCSKSDGSALPKEIIHLNHIRPCPPHASSFSFRINDEVEGFQGNGWWLGVITEVHPEFSYTFQPAHSGKEVQLDQKTLRLRYDWIDGQWQQVSQNVLTEKIGEGSKVEVTSNDEGFCGAWFQATAVKYVNNKILVEYDALKADDEITPLTETIEVQHVRPCPPDITVSSGFNLLDEVDACWNDGWWVGVISKVISDQRYMVYFRSTGEEIEFGHEQLRFHCDWVGGRWMRASLGLEAWG >OB05G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1471984:1476945:-1 gene:OB05G12210 transcript:OB05G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03810) TAIR;Acc:AT3G03810] MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITKYSLHMDDVLPFGPRPRPRRLWGPLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDHFISALSNDIAIVRGLPKDLREARKRIKFPTVSPKNSATPEYYVTEVLPKLAKSKVIGIIVNGGKCLQSILPASLEEFQRLRCRVAFHALKFRPEIRALGSQIVSSLRASGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGAVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMPHPPPHKSEKELIEAWKRAGPRPRPLPPPPARPFYAHEKVGWYGWIGENDTEPDPAPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTIAALFENVSDHRYHPPRNWTLAARQHLNDSANVEGIVTSAMLSKPVSFLAHPLPECSCRTPKSPGVQPMKDSHGRLLFGGEEECPDWMVRSLAMSSTKSNEPLNEDYEGELPDDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >OB05G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1483164:1483397:1 gene:OB05G12220 transcript:OB05G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFVFWTSINLHPDEGAAAASSQQGYHCGGALELAATPLYCYHPCYIHATCISEIYAYLKSRGYGSCTADSDIFS >OB05G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1490153:1491496:-1 gene:OB05G12230 transcript:OB05G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMEMEMMGMPMSPAMSAAAVASEDEGDLRRGPWTVEEDALLVDYIATHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILDLHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKQFRDVVRHVWMPRLVERIQAADSSAAAESDDVPVAPPVMVTRSMSSPAGAMYHHGQRSGAAPAAGAQFYHRRLHGGAGAGETSCSEPSQVVTMSPDDASSALQSSSSHLFIASPSSAGTVAAASGVIDGDVLSGSWSELLATTTTVTGRDGPTAGLPDFDELGDLEDNLWSLEDIWLHQQC >OB05G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1505140:1507848:-1 gene:OB05G12240 transcript:OB05G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLNB1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) TAIR;Acc:AT4G01900] MEGALCVIGEWLAPVLLLLLIEFLMGARYWLLGLAIGVFFLQGLLQMGIRGVTVSDVRGFGAQGGSTERHEGSEFAEDTFIDKVKMEIVVTKDQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMLGGLADKLSSAIPIS >OB05G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1508362:1512595:1 gene:OB05G12250 transcript:OB05G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGVDCGLGSPGGAGGGRCVVFNFGDSNSDTGSLPAAFGFYLGPPAGRRFFRRQTGRLYIDFIAEKLKISYLSPYMESSGSNFTSSANFAVAGAAVSEKSAIPLGTQVNQFLHFKNRTRELRPRGTGSMIRERDFRDAVYSIDIGQNDVTLAFLANLTLPDVERELAAAAARVGDAVRALHESGARKFWVYNTGPIGCLPQTLALRWRPRDELDAAGCLAVYNAAARSFNAELAAVCRRLGAEYDGATVVCTDMYGVKYELFADHGRYGFERPLMACCGHGGPPYNYANLKTCGQPTATACPEGERHVVWDGVHYTEDANAIVAAKILSGDFSTPRTKLEALCN >OB05G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1515989:1526483:1 gene:OB05G12260 transcript:OB05G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17310) TAIR;Acc:AT3G17310] MVKVEADVEDSGINASVGDLRDAAVNPQPSLLHATVKEEEGQASSSSSHVRSQFIGMGFSPKLVDKVLQKHGDKDSDAILESLLSQSALQKSGSESSSSLGDLFDSDNEENTSHLESKKEVIQDIKVEADSFSEKRSYLLSIMNFSQREVDVALNQLGEEASLEQLVDCIVTGQVAGFSGGKENGDASNEGKAESLFGVMDKTLHLLQMGFTEEEVSSVIEKFGLETPVLELADAIFASRIASSIEQKEVKVEPDLLDEAETNYTEYHPSNSGLRYYDDDYDNSRIKRAKHMFIDDSGGSSSRSGNQPSLNPWLKDHSSRASDGFVKEELDETTTGIRAKVRGDIANPPYFFYGNVVEIPKGTWRQLSEFLYNVEPEFVDSQFFSALLRKEGYIHNLPTERRRRVVPKSPLTIEDAFSFTRLFWPSWDTRKQFNSVTGEVAGIEQLCEKLGRLIKDSGGFLSQEKKIHIMHQCKLANLIWVGPDKLAPLEPQQIERVLGYPRNHTNLFGLNPQDRIAAMRYSFQTDTLCYLLSVLKDLYPDGLRVLSIYSGIGGAEIALHRLGIPLQCVVSVEQNDINRKILRRWWQKTEQKGELRQINNIWKLKINILEDLVKEFGGFDLIFGGNFSSCKGGTTVNTTMGMDSNQFYEFVRVVQRVKHIMGRLQNRG >OB05G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1526107:1529232:-1 gene:OB05G12270 transcript:OB05G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVVRSSLGFQNETSTSGDVDRLPNEMSTMSIRDDKDIEDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYTYQICRALSYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFVGW >OB05G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1544816:1547224:1 gene:OB05G12280 transcript:OB05G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQPVPVLEVQYHRCVAKGVEEVVGMSAAAAGGGGPPEVGVGVEVEVAVEVPHMVSLLKLGLEGAGGAPSVSIEALQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLMCPLPSAFYGVFDGHGGPDAAAYMKRHAMKFLFEDSEFPQASQVDETYVQSVENSVRRAFLQADLALAADLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRRGVAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDGSTSPLIAEPEFQQAMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKQLETADNLTVIVVCFVSELGSPRREQVGQAVVRPRSCKSLSAEALCNLRSWLETDR >OB05G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1547819:1554387:-1 gene:OB05G12290 transcript:OB05G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSESYEARKFCSEPCLVASAAFGAALPPERPYGVPPDRLDALVALFEGGGGSALGFGASGHGEEVDEGRKVEIRENEAPGPGEVTLHEWIGPSDAIEGYVPRHDRIIGGPNKEAKQNSACSAEQFRHFNVDSRNASSGEYDTVIPSSSVDTPVRSEVLADKMDDMVLTENTKAKKKEVTKTPLKMFKQDEDNDMLSSCISDSIAKQLEDVVLGEKKDKRTKKATKGTSKTGKSKSAKRPVGSDGHEVDFTSTIIMGDHDSGKMDHGSVGQYNFSSSILTNEQPSSSQYSAIDLVQAYTEELHEVFSNAVNIAKDETGDDSGRLAIKSSLKTVGSKNARHSVTWADEKGSVLEASRVFDSHSSDDKQSQEGMDSSIRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIIIVPDMVNQKQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSTFATMWAALFGWISRSSLAYVYGLDESSMEDLLVASGRECPRKMVLNDGHSSEIRRALDTCVCNALPVLVSNWRMQIPVSKLEITLGYLIDTMSFVDALPSLRSRQWQVMVLVLLDALSIHQLPGLAQTMSDSRLLHKLLNSAQVSREEYDSMIDLILPFGRSTQRQASLPS >OB05G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1562427:1568438:1 gene:OB05G12300 transcript:OB05G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3Q6] MTTKAVAVLVVVAMAAAMAAEASKELSVDYYKKSCPGVENVVKYHVAKALKANRGDGAALVRLIFHDCFVRGCDASVLLDPTPENPETEKTAPINIGLAAFELIDEIKAAVEERCPGTVSCADIIIYAARDASSLLSNGHVHFDVLAGRLDGRVSRASDAQRDLPDSTFTVSELIRNFRRKNFTIEELVILSGAHAVGVGHCSSLRARLTAPPEQILPAYRSLLAGKCAVAPDPVVPNNVRDEDPAAVAATIPSFLPKLRKFEFLDNTYYHNNLARIVTFNSDWQLLTEKEARSKVHEYADNGTLWDEDFSASLVKLSQLPLPPKAKGEIRHHCRRVNAY >OB05G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1569655:1569960:1 gene:OB05G12310 transcript:OB05G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRSIVPWHCEVAVCSNGGEGASSWISRCRWRVLDSQPSEEDQEGGGGGQGVSPQAAAARDAAGRQPLPGDDVAGLPVALPAGRRRRCRGRRDGAQAVAD >OB05G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1570007:1570234:-1 gene:OB05G12320 transcript:OB05G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALALARRGMLSSASWRTREAADDDEEGRLSREDKLRVTSPPGSATPSSPPGRRRPRPPGHRRPCPAPLLLPDP >OB05G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1576906:1578825:1 gene:OB05G12330 transcript:OB05G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3Q9] MVKTTTAAVAGVLSLCVCVLLAAGVQPAAADAGGYGYGGKKSIEATVREVVEKAIKRRPAVGPALVRLVFHDCWVNGCDGSVLLEKTPYSSSTEKAAVNNIGLDGFDVIDAIKAKLGAAVSCADIVVLAGRDASAILSRGRVTYDVATGRKDGVVSSAAAADAVLPEPTFEFAQLKDNFARKGFTQGELVILSGAHSIGVAHLSSFQDRLNDSTATPIDDQYRAALSADVGRQKMSQNTPNPTEKNNIRDMGAAFQQAAGYDATGVDTSAVGALDNSYYHNNLQNRVLFKSDWVMRTDGDAASDLAEYRDNATKWDVDFSAAMSKLSKLPAEGTHFEIRKSCRCTNQNYY >OB05G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1583877:1585039:1 gene:OB05G12340 transcript:OB05G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3R0] MTTKLVCLLVVVVFAAAATVGAGELKVGYYEKTCKDVEKVVNSIVVDSVKGNRGKGAGLVRLLFHDCFVRGCDASVLLEKSEVNRRPEKDSGANIGIRGMDVIDAIKAALEERCPNTVSCADIIAYAARDAARYLSRGGVDFAVPAGRLAGVVSRSRDADTYLPDAASNLTDLVRNFRRKNFTVEELVILSGAHSIGVTHCTSFSGRLTAPASQINPGYRSLLVSKCGGVSPTPSSNPTVVNNVRDEDAAAVARSLPAFVPRLRKAKDYMDNSYYHNNLAKAVTFHADWALLTGKEARGHVVEYAKNGTLWNLDFADALVKLSKLPMPAGSKGEIRAKCSAVNYHH >OB05G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1591018:1592868:1 gene:OB05G12350 transcript:OB05G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3R1] MAMATAACSRAVQVMALAMAAAVVMSTVPASGTTTTTLQYGFYGSSCPKAEETVRNVVEGMIMNDPTMGAAFVRLFFHDCFVRGCDASILLDPTRSNRQTEKTAIPLRGYDAVNKIKAAVEAVCPGVVSCSDILAFAARDSAVVSGNFAFAMPSGRRDGLVSSALDVIQGIPSPAFQLQDLIDSFAAKGLTAGDLVILSGAHSFGLTHCSFVTSRLYPTVDPTMNATFAAALKKVCPPPAKGGGGKAVSNNQVTDPNALSNQFFKNVATGQVMFTSDQTLTSRSDTAAMVADNAANPVAWMARFAAAMVKMGGIEVLTGNAGEVRKVCSATNTAS >OB05G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1595871:1597045:1 gene:OB05G12360 transcript:OB05G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3R2] MVSGSRDGGARRRRWYRMLVVAAAVFFGHAATAAAGLQVGYYNNSCPGAEDLIQTIVHGAIRNDAGNGPGLIRLFFHDCFVRGCDASVLLDADPASNGTVEKMAPPNFPSLRGFGVIDRAKRVVERRCPGVVSCADIVAFAARDASRIMGGIKFAMPAGRLDGRVSRASEALANLPPGSFNLTQLVTRFATKNLTADDMVTLSGAHSIGRSHCSSFSSRLYPQIDPTMNVTLGLRERAKCPAATGRHDRVVQLDFKTPLQLDNQYYMNVQTHEVLFTSDQTLIDRPDTAALVAQYAANRKLWSQKFAAAMVKMGGLDVLTGPPGEIRQYCNKVN >OB05G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1603731:1604819:1 gene:OB05G12370 transcript:OB05G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3R3] MKKSPWLVVFAWVVVVAAAAVVGASPAGKLKVGFYQHSCPQAEEIVRNAVRRAVARDAGLAAGLIRMHFHDCFVRGCDGSILINSTPGNKAEKDSVANNPSMRGFDVVDDAKAVVEAHCPRTVSCADVLAFAARDAAYLAGGIEYPVPSGRRDGRVSLSDEVLVNNVPGPTFNVSDLIESFARKGLTADDMVTLSGAHTIGRSHCSSFTQRLYNFSGEAGRTDPAIDPAYAEQLKYRCPPATDDQNDPTTVPLDPVTPTAFDNQYYKNVLAHKVVLHSDQTLLDNPWTAGLVKFHSAVDKVFKVKFAAAMVKMGNVEVLTGDEGEIREKCFAVNKPY >OB05G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1610404:1611762:1 gene:OB05G12380 transcript:OB05G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M3R4] MAPLPPAAALLALLLVMSSSPEVVQADGLSVGFYAESCPKAEAIVRETVSKAFKKGPGTPADLIRLFFHDCFVRGCDASVLLESTAGSKAERDSKPNNPSLDGFDVVDDAKDLLEKACPQTVSCSDILALAARDSAYLAGGLDFDIPTGRRDGLVSKEDEVLPNVPHPDFGAKDLLKNFTAKGFTAEEMVTLSGAHSIGTSHCSSFTNRLYKYYGTYGTDPAMPAAYAADMMRKCPPETAAQQDPTMVQLDDVTPFVMDSQYYKNVLAGHVAFASDVALLDTPETAALVELYAGHSALWLGRFAAALVKVSKLDVLTGGEGQIRLNCSRVNS >OB05G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1622949:1624139:1 gene:OB05G12390 transcript:OB05G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:J3M3R5] MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNESGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGRIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >OB05G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1628482:1631763:1 gene:OB05G12400 transcript:OB05G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLACCGDEDTQGVPDNRNPYPGNHYQTRSDAYRPSDPTPRGPQPVKVQPIAVPTIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQELLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDFPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARANNPGEHAGS >OB05G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1629529:1634806:-1 gene:OB05G12410 transcript:OB05G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPPSSLSSADLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFARESQTRKFAETKARSMEEEISKLQKCLHDKDEQLRSSTGCTEQYLHELDDLRSKLSVTQATAEASAASAKSAQSQCLSLLKELNEKDSSLKEHELRVNKLGEQLDLLQKDLEAREYSQRQLKDEVLRIETDIMDAVAKAGSNKDNELLKILSDVSPRNIDNLNKLLNAKDVEIARLRDEIRILSAHWTNKTKELESQLEKQKRTDQELKKRILKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQVAMKQPSVPYRDDKHNFWENQSFKFIASMSMLALVILTKR >OB05G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1644542:1644815:1 gene:OB05G12420 transcript:OB05G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMRRKVQQTDRLEMIVARCSGACSRDIRCALFRFFFHGIMPQCSHVNHRRSLKFEGLQCD >OB05G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1646413:1650219:-1 gene:OB05G12430 transcript:OB05G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M3R9] MVKGGVSGGGGRREVLLGGYEMGRTLGEGNFGKVKYARHLATGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFERIAIKGKLSEKEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDKKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYNGSLSDIWSCGVILYVMLVGYLPFDDRNIVVLYQKIFKGDTQIPTWLSPSAQNLLRRILEPNPMKRIDMAGIKSHEWFQEDYVPVVPYDDDDDDVQLGARLPVKEQINDEPGDKNSHQINAFQLIGMASSLDLSGFFEDEEVSQRRIRFASMHTPNEVFDKIESSATELGFQVQRGRSKLKVMRNCNGSKNPVSFMVSAEVFELGPAINVVELRKSHGDPALYRQLCERISSDLGVCKTEQIFRTASVDDDLQNSNAGTPLVAL >OB05G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1669729:1670238:-1 gene:OB05G12440 transcript:OB05G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYVCVWIFICIIYLHHEGNVERVDAGRRLRQHLLLGDRHRVVEQPPLLQAARRRRLHEPRPLAGARHPRLAAAAVHEPPGERVVLHQRLPQRLPDHHPRRRLTTIAAPGLEHVQRPHQVAQPGDAVDHRQRQRLRRRRRRRRHQRAARPRPRESHRREPPWIARSLS >OB05G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1669768:1670992:1 gene:OB05G12450 transcript:OB05G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGTRTSRSLVAPASPTPTEALPLSVIDRVAGLRHLVRSLHVFEAGGRDCREPAARVVIREALGKALVEYHPFAGRFVNGGGGEAWVACTGEGAWFVEAAAACSLEEGRLLDHPMAIPKEEMLPEPAPGVDPLDVPLMMQSRF >OB05G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1674800:1675651:1 gene:OB05G12460 transcript:OB05G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSMDAAGDGVHVRREDPYELTFTYDSLFVSDWTRLGFLDADYGWGTPSHVIPFSYHPFMAVAVIGAPPAPKLGARVMTMCVEEKHLPEFRDQMNAFAAAN >OB05G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1688821:1694495:1 gene:OB05G12470 transcript:OB05G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRPWPTETRRRRERVVGVGGGRGVATTMAGVAQXXXXXXXXXXXXXXXXXXARDVVARVSEVSLQFIYLAIASAAASFIQVACWMITGERQAARIRNLYLKTILRQEIAFFDKHTNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLVTFLGGFAVAFAQGWLLTLVMLATIPPLVLAGAVMSNVVAKMASLGQAAYAEAAVVVEQTIGSIRTVASFTGEKQAVAKYGRSLQSAYSSGVREGLAAGVGMGTVMVLLFCGYSLGVWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSATGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQNGATVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIRDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFATLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELIRDPDGAYSQLIRLQENSHDSEDANYQYKSGKKSDSAIRSGKQVFSYQSTPQRSSRDKSSNHSFSLSLAAALEIDIQGGSPKKLAEEIPQEVPLNRLASLNKPEIPVLLLGSVASVVSGVIFPIFAILLSNVIKAFYEPPHVLKKDAEFWSSMFLVFGAVYFLSLPIGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKVRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKTKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDKKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIIDRKSRIDPSDDAGVSLEPLRGDIEFHHVSFRYPTRPDVQIFEDLCLAIQSGKTVALVGESGSGKSTAIALLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGEATESDIVSAAQLANAHKFISSLHQGYDTLVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERVVQGALDRVMMSRTTVIVAHRLGPPPSTIQGADMIAVVKNGMIIEKGKHEALIGVRDGAYASLVALHSAARS >OB05G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1695564:1697245:1 gene:OB05G12480 transcript:OB05G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGATTLRGSPATPCATFLRGRRRSPARVSCAGRGGGFADEGHLTYYEAPPRKAVEAVARDLAKLRAMGLVAGDAAKEKVLSEATELLMEELDRMRDEEDELKKKMEEEKDAMKALKKQQKEAMKVATAMNCDEDCSSESSESECDEQIMEMSCVATATTQQFAASGIAAAPAMECDKAAIKAMKKREKEQKKAAKMAKKMKKKEMKMSTMTRCKDEDSSSESSDSECEEAVRMSRCATITTPQTQPSSTVFPIILPQIPESVTLKPCQDAEISPSPANTVQSTTSIITVVEKPTMTNRIEVCMGGKCKKSGSLAVLQEFEKNVGTEGAVVGCKCLGKCGLGPNVRVQSEGSVKKNPLFIGVGLEDVGTIVAGLSGDDDLGMVNN >OB05G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1698617:1703283:1 gene:OB05G12490 transcript:OB05G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLLVVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLARQGNQLLKTGGSDSDIVPLVDYLNTQYFGVIGLGTPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHNRYKSKKSSTYKADGETCKITYGSGSISGFFSKDNVLVGDLVVKNQKFIEVTREASVTFIVGKFDGILGLGYPDISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGSDPKHYKGDHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISMECKEVVTEYGEMILDLLIAQTDPQKVCSQVGLCMFDGTHSVSNGIQSVVEKENLGSDALCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPDLAFTIANKTFVLTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGNDRIGFAVSA >OB05G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1702996:1703976:1 gene:OB05G12500 transcript:OB05G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLNNLERFKFQKKKKINLLYKTLQNYEYEEQFMYSNINQNSNTTNRCVRIFYCAVYAIRVQDVQVRAAYTDTRTYAYVYIRWQWLLPRRQVGEVVTAAHAPAAAEAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQGGGGRRERVAVGALVRGDEDRLVLSASLHL >OB05G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1705510:1709221:-1 gene:OB05G12510 transcript:OB05G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMVQLKQSEEEEERGFFGREIKELDFFSAAGRRRVDDRDGGGDRADGVSNHAGSMVSTALDLLTAVNDDGGEEKKGESNNDHQTKMDSAATVEGELRQAGEENRRLRRRLEELTTSYGALSHQLVEAQQHKQVYVPKASLFEFCDRKESYVHTAVVAGVQLLDALAAASSSPASPLRRRAAAVDGDRTDSDGGEAGVENVDSAPPCLGSKRPATLTRLTPERGGAENNAGEQQAAAEMAPCRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMASQCPVRKQGLWLS >OB05G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1717173:1718564:1 gene:OB05G12520 transcript:OB05G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLALVSSPTARPPPRRRLLYLKATSRASTSSSSSRVLSHGGAGAATRRPLPQPQPTLVAAPARSQPPPLAAGAVRGDAVMGLAFLLLVLAVVMSSFLSLAILSFPTWRALKRLEIVGHELSKVVAEEVQGTLSSLKLSCLEINDLTSQLKNFRQRLMKVYLNRTSVDARSQTGRPKQVNI >OB05G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1718819:1721140:-1 gene:OB05G12530 transcript:OB05G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKSNWPWPTGGGGSGALMAGGKDGKSSWPWPPDGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQPFKVLGGGVSALMAEASASIGGYIASGYRRVAGVQMSINHMRSAHLGETVQAQAKPIHLGRTLQVWEVEIWRIDPSTSECKDLVSTARVTLLCNLPTPEDLKHYEQDFIKKHAKL >OB05G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1732064:1732414:1 gene:OB05G12540 transcript:OB05G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKARTILNQQGGGGGNSGSITAGGSDEHRHHRPKKQRRHSSSLSSSGTPSATAAGSGDVEVVEVARSSQLYGDVMACAYEDVQVMWSMLDNKARLCTTAAAAAS >OB05G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1736320:1739119:1 gene:OB05G12550 transcript:OB05G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRPFAAAMCSFMALWLAASSPSTFVSAAGRRARSHVASIVTEEMYNASFFIHKDDAACPARNFYAYSAFVRAAERHWIRAGKFLKMSVAC >OB05G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1741185:1741355:-1 gene:OB05G12560 transcript:OB05G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family [Source:Projected from Arabidopsis thaliana (AT3G05890) TAIR;Acc:AT3G05890] MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKQ >OB05G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1743274:1747547:-1 gene:OB05G12570 transcript:OB05G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVNLGFCWALYEALWAFYGPSRSSKPLLEKKKRKKSEQQRRRRKRPAAREMKTVSLGAARPTAVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPASFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVVRDQFLWDIGNLDSDPEEFARTLCEDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAKVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVEAIANEEVGGNDATEEINSRNA >OB05G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1747754:1752632:1 gene:OB05G12580 transcript:OB05G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSSKGTGSEPKEQERRQKKLPEHLELQRTRVVCKFDGPVNTEGFQYGGAFSAMGIDNSVSVDKFCKDFKVEIKRLTEDDVEFDMIGIDASVANAFRRILIAEVPTMAIEKVLIADNSSVIADEVLAHRLGLIPLDADPRLFEYISENDAPNERNTIVYKLHVSCKKGSPRLTVKSGDLEWLPEGSQLPLASPAQSRDKKKTYTSFSQSQKDILEKPLGVKLKDITIARLGPGQAIELEVHAVKGIGKVHAKWSPVATAWYRMLPEVVLSEEFKGDDAEELVKKCPVNVFDIEDLGNGEKRAFVAKPRACTLCRQCVTGPSGEHVHLRRVRDHFIFTIESTGALPPETLFTEAVRILEEKCERVISELS >OB05G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1753824:1754531:-1 gene:OB05G12590 transcript:OB05G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M3T5] MESDDEESPATSSSMDSCSGGEQQRSDPPQYSTASSGCCRRPAATTRQRGVVSTELHLGLTYGNPSSSTSTPRQGFVDLSIWYWCSSLFDKKIALATRQIDLLVQFVWQNSIFFISPVLADQFSIRYGLISPGKINHLICIRSSLTTATAAGDHHGRRRRAPGVEGGIGXXXXXXXXXXXXXXXXXRRRRSLFVKVYMEGVPVGRKLDLMLLDGYAGLAAQLAAMFTASTITCTC >OB05G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1781955:1784707:1 gene:OB05G12600 transcript:OB05G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSGYHKKSSSSSSSSIYWLHRPPILLLSHLHPSMENNLLDLDDDDGAHGKSAARSSKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGPTGLCVPPMMLPAMQHHMQMAGPMAHFPRLGAAAATQFPCPMFPAAPHMPMPMFGLPMPSPPPPPLFPYAATGAAEPTPPGGTDTGDVPVVPQCK >OB05G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1782104:1783684:-1 gene:OB05G12610 transcript:OB05G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGAGHLHVVLHCRQHHRRHAQPRRPHGHHLHLQLQRLEVLDGLLQHRRLVYLVAVRDQLLQRPHLLVDPVSSPLPPFHSNSMAISQWDCRIGMARRSGGGMVLTRSDRLCTSAVRLRFELLAADFPWAPSSSSRSSCRAGDVCLRQESRAIMVAPAVAWLFTCLLTSSWLADHSAEAEWLSLLLSWLRPPLPEQTDEVGAGAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEGRERVRRPPERRAVVPEQLRQLVRRLAPTHTHAQAHVRQCQSARRQQQEGRRRGRPVTDIRACRVAGGGQRLEAAAIDGWRCGRGRVTS >OB05G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1789885:1791396:1 gene:OB05G12620 transcript:OB05G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSPLLVILLCSLLVQLIIRCYVRREGDLLSKLPSPPSRLPVIGHLHLVGSLPHISLRDLAAKHGPNLMLLRLGAVPTIVVSSSSVAQAILRTHDHVFASRPYSVIADILFYGSSDIVFCPYGEYWRQGKKIATTHLLTMKKVRSFGQARQQEVRLVMDRIAEAATLHMEVDLTKLLCCYSNDMVCHAVSGKFFREEGRNQLFQELVEANSLLLGGFNIIDYFPSLARIEIIRKILCAKAHKVNRRWDQVLEKLIDDHANKQRSSSLVNHNDEESDFIDVLLSIQHEYNLTRDNIKALLVVMFEAGTETSFIELEYAMSKLMQKPWVMAKLQAEVRSVVPKGQKIVTEKQLGNMPYLKAVIKETLRLHPSAPLLVPHFSMADCRVDGYTIPSDTRIIINAWALARDPSYWENAEEFMPERFINNTTIDYNGNNFNFLMFGSGRRMCPGINFGIAAIEIMLASLVYRFDWELPGDQGGIDMTETFGVSVHRKEKLLLVPRLL >OB05G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1825562:1827986:1 gene:OB05G12630 transcript:OB05G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLSDADRRGAAPTISTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETPNLARPKVQPKELFLDQLAAGHESPSSCRSSGPTLYFPFQQPLGYGNECGSGDGANMNSLWYNQSDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGAGIGNAPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQNQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >OB05G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1824252:1832947:-1 gene:OB05G12640 transcript:OB05G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEERGAHAATERRGLPARYIQLYSSCIQADTGYKQRKLKHICHMIWNIRVCKHALSKCNLCLVIYQNQDVDR >OB05G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1839159:1839479:1 gene:OB05G12650 transcript:OB05G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEVRACRDLGLELPSDCTVEIQCYGLSATSSPTHTASATCSCCSSAAASPSVSSPGTYGTCIHACRRHISYLLLLLIPSWFLHAQINSNQQKKKICTSTTTSS >OB05G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1839674:1839877:1 gene:OB05G12660 transcript:OB05G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALPLLLRRAPASSASDASIHHHPTTRITAHHNRDRSRRHHHHEHNNRVNDRSGNKHGNSLINQANN >OB05G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1843009:1846738:1 gene:OB05G12670 transcript:OB05G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNADVEAVDFDPDDDDLMDEDASDPTPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFDSLGSDGGPGPVRSIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYEAFEEAQAAIKALDGTELLTQIISVDWAFSNGPVKRRNVRKRSPRAHRSRSPPRRRY >OB05G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1847203:1851318:-1 gene:OB05G12680 transcript:OB05G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLLKPPLGEGRCYPAYCKDIRPALNWCLVEGWTVPLSQANGRCWYAARLIHHRSDTDKSSSDEEATSDDDEEEARKSLKRSSNTSQEAPESSRKFLKRSDKSQESPGSSSLEISSDATATCRLNSQPATIATTSGILRPSI >OB05G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1858143:1859333:-1 gene:OB05G12690 transcript:OB05G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSTRPRPSSLLRRLATASSSSSPAPVLLLRRSLHAYRSSCLLLYSPFVRDRAAAARGSGSSSIRGMASQQFPPQQQESQPGKEHAMDPRPEAIIQNYKPAKKLQDKVAIVTGGDSGIGRAVCLCFALEGATVAFTYVKGQEEKDAEETLRALRDIRVRTGGAREPMAIPADLGYEENWRRHPRQQRRRAVRAAVHHRHHRGRSGARLPHQHLLLLLHVQARRQADARRRRRQHHQHVVHQRVQGEQDAAGLHGDQGRHRRVHEGAGAAAGGQGHPRQRRRAGAHLDAAHPGVLPAGEGEAVRLPGAHAARRPAVGGGAQLRLPRQRAGLLLHVRPDAPRQRRRRRQWLVWKPFPSRRRRE >OB05G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1863343:1864533:1 gene:OB05G12700 transcript:OB05G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISAPKPAPSVTNYQASATGSDHYAHKVNISSNMELCGKDTNDNDHFLKIGIGCSTGTEKRKVPVSSDLS >OB05G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1863093:1867069:-1 gene:OB05G12710 transcript:OB05G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDAFDVGADKYLLAKAYFDCREYRRAAHVLRGQTARKAVFLRCYALYMAGERRKDEETIELEGSLGKSNAVNQELIALERELSTHRRTGAIDSFSLCLFGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKKLWGRYERLMGVFRCSDYIQAQIATVRYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYHPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDVYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSHLQPNDAQLWNAMAQCYESDQLQMVEEAIKCYERSSNNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >OB05G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1869244:1874753:-1 gene:OB05G12720 transcript:OB05G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTDGAKKKKKKWEEGEPLPEEKLKTVLLCTAFVYKAMNGLGTLATIWATVVLLGGFSTLIKKQDFWYVTVIAFVQSIGILGGYEDPAHQMFLRAPQALIENKAVTALEQKMSWWRRRTTTTQQQQQQQKKRNHGRTSRRKQEEKTWTLCNIYGCRSRIGFAIRAIMWLAQVAAAGTFVALSWKRIRKQDYVDPEFIGQDDHQNITWSLNIFYYLVLAQGIIFISMMLIPLTECFKVLALRKYMLFEPSGRKILFLYTQNSYLEFIAGNVSAIVGMNLVTFAKNLAVSSTVDDQLLGVRAMDRILRSLEFGSLALRRLQASMEPDDLVKLLNMLGFVRTAIDQDIRGHAARVVLKLSPDLLVQSCPQILCLISSSLLSTSYNRVSKMDFDLIWFGLHILDKLTDNPDNCRQAKDDDSDLLSRIIDLTNLCGHGRGSTRSNDIISDSWIEQEFMPLLQKEDDIPPPLINKIDREIIAGMALNILSKLVAAPGAAGVCLREETSRNLQFLTNTGMILEHVHAARVISCLAVDKAARQDIGKLPEIIKNLKDCLLSKTWPYINITKVAAKLLLLEYSSEELLNQIQLFIEENRTVEDQSFSIPISAFIEELDLDQLLQSRRKTLVQTLDLEDLLSLPRVNHSAEAAKALILLTTECEQNVEAFLQQINSEQELNKIVKALSSEDEEKEKKRVLAHFEGRRSLRSETLHTVKKIIWAEDEEDTKSLHAKLLVNLRAYSGPKGFDMHLIDDALPKVFKVVIDATATLEDPSSSENLDHVKDDLWVKQGKVLESFIGLAVQICSSPNTTSDFSKALKDANLSVDTFVKNLKKILEAYKPPITDFPCIRIFTLELLTWMVEENSSYREIILQCGVYEELNEVARTARKLESFKLFHCGVGVPKDGTHGCISSFATKLQEKLRQSPNFKERYGCYGEHASSISVLIA >OB05G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1886553:1896056:-1 gene:OB05G12730 transcript:OB05G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRVFFFCFCVRDSHEGGARPAPPAAAAVAAEGVNLDLIPGSCDCKDIHPTAELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVSLIIAMGYSEPTARAAVLRAATQYNWRESLAGFGEAAVEVLKTEGDMLSEGASEEDTRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNPSSDLSVSITNPQTGVTFRGKLTPVPPSSYNTVKADSSATPENLNVPSSKPSVSGKVLSEIPNPKAKENSVPVPDHSEDQPFVAAATQPVKDDKPFPSKRGSSKRDSLHRQKLMGFDKSSRALGSKGSLRSGKHSSSGSAVLDRKCRSLTDSTTSSLKGSSKVGKGLSVSMKGSEVPPDLSFTGATLPSVPSFDAKLASNSNPLPAASTDLSLSLPLPSSNDSSTPSLNHDANTEGMDPSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKSESSARRREAENAQLRIQMEAAKRHALESATNILELSKKDENSLKRSQHWESERALLQEDLATQKSKLSQVHQRLQHAKEQKDQIQARWRQEESGKIEAVARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSEIRALEQQISQLKVSLDSSKVGAPKWVSDNKTTTALRLSEGRKKGNAQILANIAAAAVPQELDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRFVGIWLLSIATVWVKPQKDERGCDICGVEITHDALPVTAHPFRRSTAFLFGNEGTGLSEKECAICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPKGQSRGLYCNDSIEEVIEERKMRRENACDIFEENGSSEHQESNVLSMMLSD >OB05G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1901002:1906475:-1 gene:OB05G12740 transcript:OB05G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYEKIFVNNTPFSSLENVRAENEEDFNGNSTNLEQNEPLRYVSLVQKKGENIIRSCPLIRDANFLNVAMINITSYDGSCKHLSLTGNALKGYNDWDEDQKVGATCHRHGAGVLAGLARPAAPALQRIFRRGDQKEQGSDETHRRLLDGDGGRRRSARRRQGQGHGLQWIWAEEAFGVRGSASAARWARGVWAEASWRGEADRWVPQGRRGLLIVYHRGGFVKAFYAVNG >OB05G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1907639:1908849:1 gene:OB05G12750 transcript:OB05G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPRSGLIMQEEAEAWVMQLGYAKHPIAAALQHRVFLLFFALNLAVVHAGPGHRGGGGVPSVSCRVVAEKEKEMTPRRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGRAILDVVSSCSGAGGGGGGANWKVYFYVSPYERTRATLREMGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLSNFDNCEFRVMQLGPAGEYSLLVHHTREELQRWGLSPEMIADQQWRASANRRSWADDCSSFLATFFDHWNDHEDDDDDDHGEENGKINKLLLLE >OB05G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1915607:1917874:1 gene:OB05G12760 transcript:OB05G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSVNFPKQRTLSLSLNSLPLSPLSLCSSCLRGPEARRDHTSGLLLSAQSHECTYHLGNKDS >OB05G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1918899:1919971:1 gene:OB05G12770 transcript:OB05G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSPTTGAAPEDLAAKECLFCEMTRQHQPQCVRRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGRAILDVVSSCSGAGGGGGGANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAIKETRELFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFEHLNNFDNCEFRIMQLGPAGEYSLLVHHTKEELQRWGLSPEMIADQQWRASANRGSWADECSSFLSTFFDHWNDDEEDNDGNENGNTNKLLE >OB05G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1923856:1925776:-1 gene:OB05G12780 transcript:OB05G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFEGFEKRLELVFTLPVGGGGVQHGLRLMPVEALREVLDEAQCTVVSAAGNAAFDAYVLSESSLFVYPGRVVLKTCGTTRLLRACQRIKSRFVLLAASYIWFRVFDIAHKIDTTPLAIDLIERSGHPVCYRAHRKIWTP >OB05G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1933328:1933672:-1 gene:OB05G12790 transcript:OB05G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWQQSQKSMSKIGGGGGGPAAPAGGAGAAAGDVAVQKVFHNLAPTKHSFHHGGHGALGRMHTIKEDINKKADRFIQMTKARWFSQGKSFRQSPAAGPPAPATTTGRDGRHLV >OB05G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1940284:1940586:-1 gene:OB05G12800 transcript:OB05G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSAMAAAGAPAPSDIEVRVQKVDKLDLVYNILTKPRGPYGGAGKGGSNLPVAPPADGGGAGGKKHGGGNRGIVSVEDINKRSEKFISDRKRMFLGLN >OB05G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1945050:1945360:-1 gene:OB05G12810 transcript:OB05G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKNSSGNGEVDVQKVDKIAPVYNLVTKPSMYAASRRAATVTAKPKPPATPHAAPAPPPPSSPTPAPASWLQPHGGAVTKEYISKYIEDKKRQFNQGDE >OB05G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1951242:1951481:-1 gene:OB05G12820 transcript:OB05G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGAKNMTNELPVEGVVRVRKVEKIRQAHNLVGKPSSYATTKISPTGRAEGMAVTVVRVGSMAGKTGGDIPVAIANN >OB05G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1957270:1957521:-1 gene:OB05G12830 transcript:OB05G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGNHGGSSGGVRVPADGGEVHVQRVEYKIVPVMNGVLLPPAAAPEKKAGEVINVDDIAWDSIWRKKKGFNDPATNQAIN >OB05G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1960270:1960746:1 gene:OB05G12840 transcript:OB05G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQTVQRLARSAATDSRPATTNWRGQRCSGGGLAGQIWTGEEAVWPEAAAAGRRWTARYFFDGCRGCCLAGRWWRPDWRGEQRWGWLDLDGCGGRGSRRTAAWLAGRGGGRSARHRPPVRLAGQIWPVWGCFGQPDDLAIQLASLLLEFLKKMVKFE >OB05G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1963052:1963282:-1 gene:OB05G12850 transcript:OB05G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEGEISVSRVERIDYDKPVMKTKTAPPPTVVNAVPTPTMGGGAPPAGRNIDRESGAYIERMKRQWAEEEAAADS >OB05G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1970153:1970341:-1 gene:OB05G12860 transcript:OB05G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAEISVHRVEKIQTTTATVVNAVQTPSIDEAAGKYIDRVRRRLAAEAAAAARKKQQQQR >OB05G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1976055:1977051:-1 gene:OB05G12870 transcript:OB05G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAASISPSTWQQAGAGDDDRAAVCVDMVAKIGRRRQREDAAAVVRAPAAIAGDGVGVGVGVAVDRVEEIGGNGVDIWAQIFIDQMKWKMNSH >OB05G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1978715:1982826:1 gene:OB05G12880 transcript:OB05G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MAAADEEQQLLHLKLAFLAREPPSCVLSLARKAGGGSVTPPVQNFILANCTGNNAWGDQKCTYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSNNSSLNRTEKIYREISFLSPTDDNKLVSLVARLSCSTNMLEGDTGCSLWPSSLFLSEFILSYPKIFSKKCCFELGSGVGLVGVCLNYVGASKVILTDGDVSTLENMKANMEMNKLCVEKEGSQLLKENKNKVQCKYLSWEEASESVLSDCMPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEEISNEFSSTATEAPVAYIATVIRNVETFNCFEKAAADANFSVVNITNSEAPSSLLPYMLSYDRSSVQLLKITLST >OB05G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1983286:1986619:-1 gene:OB05G12890 transcript:OB05G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELSSLLAASPVVLAPSKDLHGVLPLQAKRPPQDAAAVHLCAHHLDAAAAMVMVPAHPQAFAMPDMAALIDVQADSHPDSVQLGLGITEQCARQEKILRFLRSGSDVKELDVSLLAELTGHQTMPINLGTQPYIPDDKISALPISMANQPYIPDDKLSICEFGLDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNGRVLFNGNGDEMRYLLSIVLDFNMHKRETSSCKSAYLVPYFDRKRRSRGNNQASDSNLASMAVDAKSTASMKSKSLSKKKQKNKNIKERDLYQKNYFHASEAVLSILLDKEMSSSTINSLKKAGPEISELLTQCSIGIAGTGLAVLLSVVCKMAIGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITGIFRSPSDMNLKDEEVAVRIQKSMNDIFFRAVTILAITAFKFA >OB05G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1988993:1991585:1 gene:OB05G12900 transcript:OB05G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication protein-related [Source:Projected from Arabidopsis thaliana (AT5G49010) TAIR;Acc:AT5G49010] MSSWEDDDSAAAAAATTDVELLKRAWRNEKASPEILRFDSPLVSRVREQIQLLEETLDDFAESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYTVHISKSEHLLSRLSQQEQRFAKSCTEVMEKHLEQSVLSKLPYGYDSITRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >OB05G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:1995133:1999949:1 gene:OB05G12910 transcript:OB05G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M3W7] MTPSEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNGMRRKRPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFIEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVVYSDNRPQSTSNVTEDLSRCLIRDNTIKSQQDSASVGASRVPQDLHITYILGAAARPGKVVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVSLGSSYPRRNQTCKSETGDAERIDTSQAGPPKPYVANKLPATVDGRSGHW >OB05G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2003186:2006016:1 gene:OB05G12920 transcript:OB05G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGSALVHISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSAQIYDPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >OB05G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2007356:2009362:-1 gene:OB05G12930 transcript:OB05G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAADELALRRLDDATRDAPRLQLETLRAILAESAGAAYLRRYVPDDAHHRRLLSIGLAAAADEFRRVVPVSSYEDYAESIRRVADGEARPHELGLELSPRRLLCFFLSSGTSSLRPKLIPYLDSPGARAASAAVMQANSALVRRMFPPRPSVSKALWFLYAGEVTKTKGGHEAMATTAWGIRSSGLRATSPVMSACVSPVEVILGADYQQQMYCHLLCGLRRWDAVDCIRAPYAAGLARALRLLQSKWRQLCADLESGTVCAEVVTDAAMRGAVQDGVLAGPRPELADRVRRVCEREDWRGVLRDLWPEARYVSCVTTGIMEQYFPAIKHFAGEAVPVLGTDYLASECPIGINLERTLPPEETTYVLLPTAAYFEFIPFDMDAGRRSDTAEPVDITGVEAGKTYEVVASTFRGLYRYRIGDVVKVTGFHNSSPRLQFVTRAPPPQEHAEMLNERDVMAAMDTFQLMLKEHEEPSLPAGGEVVEFAAFISDDGGRRLRGATIAVEVRNGSRLPDHERSGDAAAFLRRCCAPLEGCFGGAYRLSRATGDVAPLEIAVVTPGTFDRLAEEAISGGAPANQYKPPKIVRHRRLIDVLQSSIVCSSCTTDSLKG >OB05G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2010031:2016542:-1 gene:OB05G12940 transcript:OB05G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein [Source:Projected from Arabidopsis thaliana (AT1G57600) TAIR;Acc:AT1G57600] MGGVPLRRLELAALCLYALGFYLVVILKSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLILVNALRYCYSLKGRGASLLWLLLSLAYLCYLHGACVAFILIIALINYSIVKVFARYKHCVGLIWSFNLAVLILNRVYEGYSFSLFGHRLAFLDNHRGTFRWHICFNFVILRMISFGCDYCWSLHSPHFDHKKHMQRCQVCYSGKTCYFTLQERGLIVDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDVPQKNYSVAQISWYGVRWILSLLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINSCHDLESFWKSWHASFNRWLVRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQARSALGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSGIKLLMSQMLGKDALPVLAFIFITFYVAVKLMFHIREAQKNHE >OB05G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2017100:2022049:1 gene:OB05G12950 transcript:OB05G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQRRAREAADPAPESFTIDEEVSHLTRIRSEPSQRILGAFHAGRKRTLSTFELLSGREFGRSGAGGFSAADCAYVGRKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRGSRIRVYDADNGWKVHKDISCQSLQWTVSDIALSPDQQFLAYSSLSPIVHIVNVQSGGKESQANVTEIHDGLNFSNADDDDDYPFGIFSVKFSKDGQEVVVGNNDRSIHVYDLRANKVSVRIRAHAADVNAVTFADESGNVLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQQRLFDWDYRWMSFPSEARHYRHPNDQSLATYRGHSVLRTLIRCYFSPMYSTGQRYIYTGSSDESVYIYDVVTGDIVERLSWHGSIIRDCTWHPYSPTLVSSSWDGYLARWEVSGDEGDPWLLTDNDQRTSPPRQSYTRHLLL >OB05G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2020171:2025228:-1 gene:OB05G12960 transcript:OB05G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: P60-like (InterPro:IPR011687), Tumour suppressor protein Gltscr2 (InterPro:IPR011211); Has 709 Blast hits to 643 proteins in 201 species: Archae - 0; Bacteria - 32; Metazoa - 224; Fungi - 154; Plants - 45; Viruses - 0; Ot /.../karyotes - 254 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40430) TAIR;Acc:AT2G40430] MGKASKGSRKGKKAWRANISTDDIHDFYEKQTRDAHAGAADIPSLPSDSLFFLDKPASASTSADAAPKDIPVKRKIEKKREKVLHHESVLKRNPYVQPVPSSIAAKKDKKKAKKETKETHDAKIVPMEDDSGGEKILDIWGEDAKGDAKSKKRSTTASAIPAVEVEPPGCSFNPPFEAHQDSLAQAVADEMRKVYTKELGPTPVPLIVPGEAIAEEDKFFIDADDGDEAAEEGDGDQAVDALASQRKTKMKRVTRVELNKRARRKEKLRAEAEAKKIENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRKQR >OB05G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2026758:2036424:-1 gene:OB05G12970 transcript:OB05G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSELTALKEGRFNTSIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRSDIAAEYWLHKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFSMDADDIHRKKRFTERISRLEEEEKNQAEIRKRKFFSEILNAAREYQVQVPASYKRKKQRNDGVLAWHLRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSRPEGSEVPKGSESDDCSQVSGLKIESPEEESPSDVDADHNGSADHSKFNAGHRLDSTVHSIEEKVTEQPAALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGERQFNVLLTHYDLILKDLKFLKKVHWHYLIVDEGHRLKNHECALARTLVSRYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTKLLDILEVYLQMYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRAMLQEILRRGTSTLGTDIPSEREINRLAARNDDEFWLFEKMDEERRQRENYKPRLMEGVEVPDWVFATNEPFEKTPADEPQNVLLTTKRRRKEVVYSDSFADQWMKADDGVEDIRKTTPRAKRSAYSSDVQEVDFNERRKRHRTLENIADDASIPMWTPEKVRAGVSSYSKDENEDDAEDESTTSGLQQGGSFTWKTLRRKRSSHFSNSSSDSRGRSAF >OB05G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2041858:2051779:1 gene:OB05G12980 transcript:OB05G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3M3X4] MPGIRLAGVTNSVHSYDVETRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARSDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSARYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGMQIYIYGGLRGDILLDDFLVAENAPFQSEFSSSMYDRVPRAENQNRNHSFNSDSLTTNNSMDKKSIDMLTEASAAEAEAVSAAWHAAQEASHASSEDSLSEGIGSESPLSETSPMPEDVDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >OB05G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2055196:2060390:-1 gene:OB05G12990 transcript:OB05G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G03190) TAIR;Acc:AT1G03190] MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVRDKAASDPDSTPLCDFFESFDRAATAGDLASYMPPGVYTLADLRALGRDRRICPYFLARQMVKYANVVVYSYQYLIDPKVSSIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISHEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDTWLANPALPDDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLDTENVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFERFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMAAAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGSKTLLTEEDLQKMAQDAMEM >OB05G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2063379:2067059:1 gene:OB05G13000 transcript:OB05G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGPARLMVVSDLDQTMIDHNDPENLSLLRFQALWESEFSQDSLLVFSTGRSPMSYRGLRTQKPLLTPDITIMSVGTVITYGEEMIHDVGWEEFLSNKWDRDIVVEETAKFPQLKPQPERNQGPHKVSFFVNKQGAQEAMDSLPKTLKQRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFDAHGKLPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKINPMIIHSTERCAVGIMQAIGHFKLGPNISPRDLEFPYPKLDTIKPAGAVVKFYALYEKWRRGEVQNSPSIMQYLKRTTHPNGTIIHPSGTESLLHASIDALSSCYADKKDKKFRTWVDRVVTSSIGTDNWMVRFDKWEMEGDVRYCCLTTLLLTMKPETQEGFEMTHIHKTWLEGYSAGNEHACIL >OB05G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2068380:2072219:-1 gene:OB05G13010 transcript:OB05G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUGAR-INSENSITIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G47990) TAIR;Acc:AT3G47990] MAMRGVDFKWYDGFFLSMLATSLIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIFLLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLNRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPTSEPDHTPRPTSEPDRPSASEVTATRYVRSQPVGQSYLLRLQGLLLRPVIRHGGGDDMASAENGASHVAPAPATSASVESELPSIVVDDGHQLPDR >OB05G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2072573:2072770:1 gene:OB05G13020 transcript:OB05G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRKASGCVVFHAVFDLDGSSLIRRLMRHREEGELLHLPFGRRRCTRKQADEMKATEKINFSKQL >OB05G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2081390:2083741:1 gene:OB05G13030 transcript:OB05G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27550) TAIR;Acc:AT3G27550] MRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYNLRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHDTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPAAGITEIKEPNDQQSEDSDQEELDRFIAEIESAAEQQWVEEEAAEKEESSRLRYWDREEGSERKGFNRSYDNPGNDFRDQGRYMRDNNSPGNDFRDQGRCMRDNNRRTADSRRWDEDSEIDVSGEEWDSDDGRGNAVGSDNDMDAPDEHPRRFESTRREKSRTNRTHNYISRGSRSSNEIPRNTATASGRSAFRESEDDELDTEDDEVWGSNLKEDRNLRVPMVNFSNYHSGSSSEDEEIDHNWKQDACTGNTKNTDESWDSD >OB05G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2087399:2090613:-1 gene:OB05G13040 transcript:OB05G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPIKANKNVEFQKQSPTNLIVTNGHVFKKEVVNGECPVEPVRDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKNCCGSSCHIECALQHKRVGCFNLGKLIQLDGSYSCASCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLGGTSCFKELHGIIEDAKAKLESEVGPLDGMSAKMARGIVSRLSAGSYLQKLCSLAIQRADDLLSSPDLHLRDSLPAACKFRFVDITSSSVVIILKETPLPSSDNIRGYKLWYWKSREEPSMEEPVVLSKDQRKVLVFNLAPCTQYSFRIISFTGDGILGHSESKCYTGSNEVSFKRTTPNVAEGDSRTHTREKIQTFKSTGFKIREVGKILRQAWTGEGCFEGFCEDMHEVSCDRSVTDVEQPENREESQLLSGACRKLQFSTFSVPDLNVEAPIPMDISPDKCYNSKIAQARSNDSGDSETCAVGRSAEAGPPAVESRPEGRAKRLHGAESCEQDGASAICREKELARLMELDEDFEFCVQMIRMLECKGHIENDFRMKFLTWFSLRSTENDRRVVTTFIKTLVNEPSGLAEQLIDSFGEAINCKRQRNGFCNELWHGDKGQ >OB05G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2094990:2095523:1 gene:OB05G13050 transcript:OB05G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAALLTQNLTCIGLVTAALCLCVSIPPWSKADVQIVQNTANIQKPWIIRRNFTLPSNPCSMLPQQEVME >OB05G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2094675:2100381:-1 gene:OB05G13060 transcript:OB05G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35850) TAIR;Acc:AT4G35850] MGLLNNSLQLQGRLRHAAVAAAAAEAAVGRRGVATATDEYLRRNYANNISEYNTVFGALTAQRRHYLLRDAYDDMILDGVQPVRDTFHNLIVGSMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISACGKCKNSDAAIMLLEEMRAHGIKLKGETYICLLNSLAATGRTDQVYAIIRDMTAAGLGLNKFCYAGLITAFKNKEPTSEDTMTKILEFVQQSKGWKNVERISKDSSENIMMNVSEEELYNLPTAEYVHRRAFIFKQMTIYHVAIHACADLKNKETLEVLLDMLTKDNYTYDAFIVMQAMRCYLRCGDIDSAVKIFEEYASSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNPRMGTDLLLAASGEKTGGYTTANYVWDLMQNRKIAPSLPAVEAYYKGLKEREIPSDDPRLLNVSRVLDNLNIRFGPRRNTNAQA >OB05G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2102315:2108046:-1 gene:OB05G13070 transcript:OB05G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDSSDEDAGDWGKTQLVEDSDEERDDDGDDELSVGTQVLSDDEDLSNDEGEVKFCMNGSNAKVNSVLEGHVDTNNFVDSDASTDEEGGTARVSSEQTRELAAACQAFTANSLQQGNQQASIKGANLLRKTVENSTSCSRSVGDHRDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADTMVEEGKNNGRCFDGLSYVESQEPGDLSQANALEVVDRLISINAGISSQEPTPQKLDSAKPPHVSYKRGTLMLAEKVDCNRNSNGKAEIFAWVDSREDDGGAGRGKSKSHFPREKKCSTKVEAGGDKIGECKKGKNSKLSGKSDALPLSDSRIFNSDLKSKRASGNRTKKNLLKDLDLDDLSNGKNLEETQEKVSVALHDVGPDTQIALEAMEALAQCSPAKILSAKDESPLGNRKSKKAKSESKNDPCQKRTGSIQGGVTTRSKRIKLTELTPEPEKEMHKGSRRRKNSGDLKAKAKDKQAKLVPTKSKVLKISSDGNKCDAPVSHRTRHFSRNNLDERTEICSNKHSKRVINSTGGISMVGEVQNNHIANEFEQPMISERTTKSASIYVEKESTEHTSATDAQHLQLRRDGSSQHTSDSTAQGLEPCRNKPTIEVTCIEYSSHHPKQRRTPTKFVKPKDPAVTQNAINHETPQDEARPSKKRRVFIRSVSDYLKYAKRESSNGRSTSLLSTIIKKSSTASPALYSSVSADSKTSGFNSSENTHNGPSQVEDASKSPRSNSDFHSSALKTPSKLSNELSPTFSPLNPVKSSSRSLSKLSVARELLTLDPEKNPSNCQHKDSRRKKKPTSFSILFSHHLHGDVIKRQKKFLARLGLCEALSIPDATHFVADSFFRTKNMLEAIALGKLVVTSMWLENCDQAGCFIDEKKYILRDAKKEREIGFSMPKSLASACKHPLLLGKRVFVTPNVKPSRDVVICLVLASSGQPLERIGRSITKEVPDDLLVISCEEDYETCSPLLKRGASVFESELLLNGIVIQKLDYERHRLFSDRVKQTRSTRWLKDTAHGRFVPVSKCT >OB05G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2109083:2113855:1 gene:OB05G13080 transcript:OB05G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G17930) TAIR;Acc:AT5G17930] MAGKEEKKSRKEKRKEARSEKQKLRFLSWVQHQGGKNKKPVVTSVVSSPTPVEEKKPEKEPSIVKKRRRRDPESKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFEWNTVDHTKVDKKKEKKKKKKVTNDSIEELHDEGVGEENDEAVQESENEEPNVVELPTASKEKYVPPSLRATSNLESEEISQMRRRVRGLLNRLSESNVESITQEIAAIFRSIPRNTGCQVIGDEVLASCSRGPRGNEQYAAVFASFVAGMACLVGNDFSAKIIASLAKSFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLIYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNSVNQLKQKARPTGQDIGQAEMHSKRMEFMLETICDIKNNKKRPKEDPSHHTRIKKWLQKMKAEDVLLRGLKWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAAISKQVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKVFNKYYTVLASKLCSHDKNHKFSLQYCLWDHFKELDTMELNRSMNLAKLVAEMLANFSLSLATLKVVKNLAVEVIPKRIMHFRMLFETLLQKDDALVWNVFTRIAGIPELEILRDGIVLFIKQHVIAKDTGKDLTSKFKIAKKALDNTAGVLM >OB05G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2112930:2115658:-1 gene:OB05G13090 transcript:OB05G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MGCVESKLVAPIKYRRIQKDLDKKVAEALKERTKAKKKTFRSVNSITMGLPRFKEGLNNIRDVFDQYDEDSNGTIDNDELRNCLNELHVQLSEEEVDNIHRYCDIDNRKGIQFQEFAVFLCLMYLLFGSDVTRRISEFESARLNYVFDELIDAYLFFDKDGNGKMNRKDVTERMNEATHQERTPSHITTQLFKEMDLNRNGNVNLKEFLFSIIRWAGVESDEERNETSP >OB05G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2116601:2121211:-1 gene:OB05G13100 transcript:OB05G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTPVVMGDEPDREILKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGSDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHTLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >OB05G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2124232:2134266:1 gene:OB05G13110 transcript:OB05G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 [Source:Projected from Arabidopsis thaliana (AT2G47940) TAIR;Acc:AT2G47940] MARGGAALTCWFFQVEGVSKKRRGIGGGGGGGAQASSSSRKDRGLAVDFKESQVADFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGRQVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVNVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNIMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVIKLNGTAVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLDREEATTASSDILKEHAIPAIRSSDLSEPYVEAEQEIKKPNDDFGDSPVTNYEMGVDCLLWA >OB05G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2134494:2136317:1 gene:OB05G13120 transcript:OB05G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05340) TAIR;Acc:AT3G05340] MRPPGSSTTRTSAASSPAAAARETSASAPPSTPPSSRTPPTFASARAARGSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSAAARACDRATFTTVLSACGRAGAASLPACGMVHGLVVLCGFQAEVPVGNALVTAYFDCGSPGSAERVFHGMAEKNVVTWTAMISGMARAELYKESFTLFQQMIRTVDANNASYSCALLACAGSLAAREGQQVHGLVVKAGLETDLPVESGLMDVYSKCGLMEDALTVFRSCREPDEVFLTVILVGFAQNGLEEKSFELFAEMVGAGNDIDENTVSAVLGAFGASAPFALGKQIHALVIKKCFGWNIYVCNGLVNMYSKCGELRESVQVFDEMPSKNSVSWNSIISAFARHGNGSEVFQLFESMIADGAKPTYVTFLSLLHACSHIGSPKKGLEILNSMSSQYGVLPRMEHYACVVDMLGRAGLLDEAKSFIEDGPFTDSALLWQALMGACSFHGNSEVGKHAAEKLLLLDPNCTAAYVLLSNIYSSEGRWDDRAKVMKRMREMGLKKDTGKSWIELEKEVHSFVVRSTSHPDSAAVDDVLHHLSTVASDQDLMESTSL >OB05G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2137804:2138933:-1 gene:OB05G13130 transcript:OB05G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAVAALSDDLLREVFLLLPTPADLARASLACRPFLRAKGEDDGNGPLYLLTMRGFCVEVWAGAEDGAGAMAWTPVEKSVRFHKAMAMMHDSVELYHHGLDVIGVVAGVLFLRQWNCLLSIDLETMKLRRLSDEDCSSALIYPYAMPWPPSFLNPTAHGA >OB05G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2140281:2142040:1 gene:OB05G13140 transcript:OB05G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein S27/S33, mitochondrial (InterPro:IPR013219); Has 101 Blast hits to 101 proteins in 55 species: Archae - 0; Bacteria - 0; Metazoa - 8; Fungi - 59; Plants - 26; Viruses - 0; Other Eukaryotes - 8 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT5G44710) TAIR;Acc:AT5G44710] MSTGVGGLRQLLAAAVTTGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQVAQWYPYDIKRDDPLVMAREEKGRLSKLEMLKRRGKGPPKKGQGRRAVKRSK >OB05G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2142599:2142907:-1 gene:OB05G13150 transcript:OB05G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSSPPPWKTKAAGSQNGGAAGEKKKKEKTTTATRKRKEKPLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYMVRLDGGTTVLATRRRVRPSFVAPPP >OB05G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2144657:2151425:-1 gene:OB05G13160 transcript:OB05G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIKQQTRPATSGAGLESPVVNRREQRRSVDLGSSLRARRTSSSLHDEINTLQVENDSMYEKFNLAEERSEDGDVKSMHMAAVIADAIEPEANLISRKDAALEQRKAALRIASRRSNSASCDEITTLRSEAKAANSVARSASQRLKSTGSDIRSLQGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLDIHSDIAEEKQDYWSSFAPLSLEVVLSIGQKARDGTLSDNADMETRSKMSDASHLNDMTGDGNIENMLLVERGLRELASLKVEDAIMLALAEHRRIKPLSDGALVHRIFFLLDYALLNDIYNFWSTYRNCITHINGLQLCMTSSFIMTKHPITTGPASSEELSEEEQDDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPVGTIDVIEAATRCVQSLAAWFLSFCFCNGASILSRSRGESKAKSPF >OB05G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2154334:2160158:-1 gene:OB05G13170 transcript:OB05G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVNESITALVMGLITGGVILLVSGGKNSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIISLGAMALFKKLDVGPLQLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLGFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFLTKPLLNLLIPPRPDMAADLSSQSIIDPLLGSLLGSADFDVGQASPQNNLQLLLTIPTRSVHRVWRKFDDRFMRPVFGGRGFVPFVPGSPVERSTHGSQLGTVTEAEHS >OB05G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2159831:2160948:1 gene:OB05G13180 transcript:OB05G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFFPPLTSRITPPVISPCKRQPNRAKFKPHPCEAKPQTPENQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNLEASLSFPWREGDSERDVRRNGGVHLAMRPSENGGWK >OB05G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2164323:2170081:1 gene:OB05G13190 transcript:OB05G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence-associated family protein [Source:Projected from Arabidopsis thaliana (AT1G66330) TAIR;Acc:AT1G66330] MATRISGTVAASGVCYNDQYRMPCKLKGIHCMALNCIPQKVKLRKFMDGYQSAFRHCVNEKNGQTTGLSNGSLIHQGQNIRCHSYGSHNSSETKECSLEDGIDPYRKFEEPSRGTSQFSDNQVASKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDARARKDTQKIDHSVKERAARLTHFARILKEQAQSDLKKAADQHWSDGALEADLRRADLVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSYPANSTSFITLEKNGNTFELFPREVSTDQITAIEEAYRSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAAARAIDELTKQWELEEGDSLRFVLNQYLASEETADDSPAADDAR >OB05G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2171160:2171726:1 gene:OB05G13200 transcript:OB05G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSAIAVAVLVVVAACSGCARVAEATIESTCGAAARGDRRVDRCDGGLAKAGAKAPLPRYSADCQKMAIIGIAITGLLK >OB05G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2172790:2173242:-1 gene:OB05G13210 transcript:OB05G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVQQGKVDVEFGQDGVEMGSHIGKRQRGVRPRYGGDAARAGEGERSAALGGGGSWIAQSREEGRGEHSEKLSIN >OB05G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2179056:2180249:-1 gene:OB05G13220 transcript:OB05G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVLEVTLVSAKDLKKVTVFSKMRVYAVASISGGDPRVPTHRTQADREGGRSPSKEKHAVINKLGKVVAESINHGLELATIVGNGEISLVKGVELN >OB05G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2194372:2194899:-1 gene:OB05G13230 transcript:OB05G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNVAVPHLVGRIPLLQGGALQVVQKRTRRDLLPLRRHLVGVVLGVGVEPRHVDEEVPRVGLVGAEGVGTAAGAEEREGGAARDLVGRLGDGGGEVAASALGGLLREVLLEGEELVRLEPAVAGVSEEGDEDEPVAVAPAHLAVAGEGDAVDVAGPPRVGLDLAPDHLAEPDAA >OB05G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2194393:2195310:1 gene:OB05G13240 transcript:OB05G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGKVKSHPWWPGHVYSITLTSDGEVRRGYRDGLVLVAFFGDSSYGWFEPHELLPFEENFPEKAAQGGGRNFPTAISEAADEVARRAALALLCPCRSPDAFRPHEADPRYLLVDVPGFDTDAEYHPDQVTAEREKIAPRALLDYLKGAALEQRDAADKVWNRNIPAVHMSAMLEAYRRSRFALKDPTYAQAFGMDYEKLQAEKAAALKKARQGIHTHMPNPVSCTVDYGFVSAAMYSNMIVKLTVILMVLYRLNFDSFVLNRGVDLLELVVCFIFLMICMLHKCFFPIGLIRICHAIITLSLPN >OB05G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2198574:2201374:1 gene:OB05G13250 transcript:OB05G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSAGEGPKEGGGESRPPPEGQRGQHGGEDHGEDYAQRGGDEAQGQEEAGPAIKTKKAAGKREREEPAADASGTAAADADAGGEPKKKKKKKKLAELNGVAAPSGGAGGKPGLLPAKVDHNGVDLKQPQLPPPPPYHPRHSDGMHHQLPGPPPLPLPPYQLRTGGFPGQQQQTYRPGNGDDMPAWKRGGREFDEELMRVMLGIAKLVEPLTDKNGNFPYHLFSSA >OB05G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2202653:2203779:-1 gene:OB05G13260 transcript:OB05G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTASERAATMAQIDSGCEEWGFFQLVNHGVPKELLDRVKKVCSESYRLREAAFMESEPVLHEDNQWPSSPPEFKETMREYRAELRKLAERVMEAMDENLGLDKGRIKRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVLKGGEWVDVQPLADAIVINTGDQVEVLSNGRYRSAWHRVLPMRDGNRRSVASFYNPALEASISPAVDGAAAYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >OB05G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2211668:2212614:1 gene:OB05G13270 transcript:OB05G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTASERADTMAQIDSGCEEWGFFQLVNHGVPKELLDRVKKVCSESYRLREAAFMESEPVRTLKGLVEAERRGEAVAPVDDMDWEDIFYLHEDNQWPSSPPEFKETMREYRAELRKLAERVMEAMDENLGLDKGRIKRAFTGDGRHAPFFGTKGSQVLKGGEWVDVQPLADAIVINTGDQVEVLSNGRYRSAWHRVLPMRDGNRRSVASFYNPALEASISPAVDGAAAYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >OB05G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2217342:2219728:1 gene:OB05G13280 transcript:OB05G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYPGRVPDVELMFDCMDRPAINRTEHGGDGDPGAPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWSNEFMSIKQGAKATKWQDRVATAYWKGNPDVASPLRVALLGCNDTNLWHAEIMRQNWDEEAKSGYQNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALIIDPQYEDFFSRGLRPEVNFWPVRGAGAGMCESIRDAVEWGQAHPAEAEAVGRRGQRLMQELDMAAVYDYMLHLLSEYARLMRFRPEEAPPAPAQEVCEASXXXXXXXXXXXXXXXXXXXSEPCVMPPDAGE >OB05G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2220847:2226793:-1 gene:OB05G13290 transcript:OB05G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEKVGLTCFGDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEEFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >OB05G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2241858:2247133:1 gene:OB05G13300 transcript:OB05G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonneau 2 (TON2) [Source:Projected from Arabidopsis thaliana (AT5G18580) TAIR;Acc:AT5G18580] MPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGAIPSFYKKKPEDGSISHRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALNDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >OB05G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2248157:2255667:1 gene:OB05G13310 transcript:OB05G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALGDAGLYDCAQTLGCFLVSSAPVSNEAGMSMKVESLVLHGDALHGEREFRRALSAYKQAMQYSKSIPRQATSSTRSSATPTGRSPSPNSSSLAALNENEVKFKIALCHSALREHREGLQEMEGIPSKVRTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYVDLMQRFPNNVHILLEIAKVEAIIGRNDEATMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDPELL >OB05G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2255551:2256105:1 gene:OB05G13320 transcript:OB05G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISTVILLRTLVRTYVHLAAASWHGYTQSAPASRRSGTRLPDRWSDMTLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAAAGEHPGERALHVGAGGLLVELHHARVDAELPEQPRHGVGHAALAVAEHHHRLLRRQPRHPVHVSSWYMPSASSFFVSGRRRPWTTKCGYFGYSRSDVTRR >OB05G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2255572:2255988:-1 gene:OB05G13330 transcript:OB05G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQKAVVVFSNSKCGMSHAVTRLLRELGVDARVVELDEEPAGADMESALARMLAGGSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQGHVAPPIRQPRPAPPRRRRALGVAMPAGSCQVYVRTYQCAQ >OB05G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2258872:2260354:-1 gene:OB05G13340 transcript:OB05G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVDDQKIANRLDRVVADLGRKPLKILVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFKTLSNCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >OB05G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2264148:2274299:1 gene:OB05G13350 transcript:OB05G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGEYDDGGDDSEEEQQLSSSSSAGEEEEEEEEQQVGEEGFSGEEEEQEVEGEGEGEGEGEQVEEEEEEESSGGEEEENEEEEEEAEADGEGAEEEEEEEQGAGEEEEVDEEEIEAVTTGAGADDDDDGGDGGGAEEESESTEDDEVAAGKDGGGEDGDESEDATGNAEVGKRERAKLREMQKMKKQKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVQANQKKDTVGEGDDEDQLEPLNAEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQRYAKVFQERYKELNDFDRIIKNIEKGEARIYRKDEIMKAVGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQEYDERERLARKDKKNMSPAKRSSSRSLDTPPQSSSKRRRQSHTEGNAGSGRRRRG >OB05G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2274408:2278979:-1 gene:OB05G13360 transcript:OB05G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGSAALRCRNWSPVLADTRLFAPEFGMYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPTFCSTLRLAEHAAAEVALNELSRRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAAWSELKKLPRVGDPSSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPHQKEKQQSSNRLPSRRPSYPKSNASLHRLHLQKHTYPSVPPEQAMYHMWHQVHTTQQKPHFPMVPTMGSTGFPPPPTMLHLYPAPRGQFTMPASQDGLGLLPAPVLPRYFSPYPASYVPRSQLQVNVHKVHEKRLVGAGAVELPDAAVFSQYTSPDFSGPSENVGLDIRKEECTESCPPSEEETKAHTASSSTMHSPSQKLESNQEKEIMGGVRLESKKSAEQPPSPPSKVNPVLHGTGQQHHYSSVRHSDPVHRNSPQISVPTSPSSVHEGGDPAHRSSPQISAGTSPECWSPRAQAPPRFGTRIPSPSLLYQQRPPWLAASVTIRTAVPVCSARPNVVNSSAGAAHAQPAVQIFSAPPRQEPEAHKNTRETSGAAPASSELSKLHI >OB05G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2283050:2288765:-1 gene:OB05G13370 transcript:OB05G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVNIAVLERLVTRCRNLKTLKLNNAIPLDKLASLLRKAPQLVELGTGKFSADYHPDLFAKLEAAFGCCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCKNLQLLWVMDLIEDHGLAIVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNDPGRVCPLDSLPDESPVEKLYVYRTIAGPRSDTPACVQIV >OB05G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2298221:2307382:-1 gene:OB05G13380 transcript:OB05G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MDDGATEGDVSADRVIAELLDMGFEFDKISQAIGVVGPRRADVLEFVLSDSGNGRRKPSRESQGRHSVSSNAEACSSGRHSVSSNMEARSSDDGNKLANRRRRLKQLSITDHLASNTGCEKGSCGEKAITSYPYLVASNDPGVPISDDMCSALKPESQVLLANSRTESDRRDKISLVLQKHFGFSCVKGFQQEALDAWCAHKDCLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGKYKIIYVCPETALRLMEPLKKLAENPGIALFAIDEVHCVSKWGHDFRPDYRKLSVLRENFSSSKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEHTVIVLTSFFRPNLRFTVKHSRTSASAYGKDFRELIDTYSNSRNFMGKKQKALHEINPDSESSSYESPDDTMSDDEDTYVDSTSHVDNNFDKTKVGMPLRNENAENELDVYPGVDDFDVTCGEFLECTQPENFASSSQSNKTSSSSSEIVDQGPTIVYVPTRKQTVELANYLCKTGLRAAAYNAKMPKSHLRQVHEQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLMRAPTLLPNKRSGEQTKAAYRMLRDCFHYALNTSVCRARILVKYFGEEFGPDRCHMCDICINGPPQMHDFKEEAVVFMNVLQAQSGQPAEDMGCSSIPRCISGRRRFGEVPNFRVVVSYIREKFPRFATTDRIWWEGLARILEAKGYIHDAAETPRVLIQCPELTEAGLNFLSSQSEEEGLYAYPDAAILLAMNDPKPISTSSEWGRGWADPEIRRQRLAGKKMGRRKRKRHSRNQSTGFTTAKQRLAEILSKRRR >OB05G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2309991:2311868:1 gene:OB05G13390 transcript:OB05G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVFFLALVCALFLVHFFQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPSAAAANSPGGGGGGGGKKKKKNKNKNKNPPNGQPAEPAPPAATAEAGGGGGIPPESHDHGGSCDEASDDEGNKQEGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVLRRRRRRARAAAVRHELQHGAPAPEQRLLPPDGRRGVRRRRLPLLDRGAVAGVVVLHVQRGERQRMQRHVM >OB05G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2320368:2320547:1 gene:OB05G13400 transcript:OB05G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGAALDSLLGHVLERVCGAWVGSRWLQLHRTVMSARRETTAVIIKWYLIDKSSDELM >OB05G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2321595:2323850:1 gene:OB05G13410 transcript:OB05G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G12290) TAIR;Acc:AT3G12290] MARIIDGKAVAADIRREVAADVAALSSAHSIVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLAEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEERILNEISIEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLSRSGVTVKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSIDDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >OB05G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2324209:2327335:-1 gene:OB05G13420 transcript:OB05G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02760) TAIR;Acc:AT3G02760] MLLFGSKLVGGAGGAAAASFTKVPTVNGIFREAVRSLHARVRIELNAPVKLGKRDAVETGEGKEEALVVLATQLARSVQAMFKLSVARIRHCVERIDDAELCKKLTDDFDIDVLKGMLDKIMIDSNDVSVLRGVYNCLLKFRDILAWEAAVAMAVIETDSSIEKPQAGGENEAGSSTENPQASGEKGKGDKKSKKKKTLGKGTSAVLMLLRDHLTNGKEVLSVNSALLAEWGTELSLLFDPKCPRLMSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGLYEIKLNHRKLLDGMLEICGVPSEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETAEKIGDLVKTRGPPLEVLLELRKEGSKFMENAGSVAALNELEILFRALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKKQIRATETAVLVSIIGKDLVLAAELCSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLIATQEEEVDRTEFAQVLKQRLRNA >OB05G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2329264:2330322:1 gene:OB05G13430 transcript:OB05G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMDEAMGARLDPPFDAYASSVNFLLASYILPHITTSAAVGISPSLIGFASKRLQASILAGGGGGGAVIRLLLYQRADEVVAPYKGHTVAEFTRRISDWRNGASRCGAKDEGVKVLDRRQGAERRTISNILGAGDDSLGFARTPAEVLRILYGSRNEQIPGGFLPRGGNGTIARGFFQLA >OB05G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2333445:2335200:-1 gene:OB05G13440 transcript:OB05G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESGSDAAPISNRPVEEEVTVERTPESEEEERLRYLEFVQQAAAQALVLAPSSAPSTTASMASPSTSSSSSTARKRIKLHMKLVVGESVQELDRRVPPVVKEAPGLARSAAAELSARYNTAVLDGAKRGNAVATYLPLVPTERLSRVFAYPLADSASPPAPEMQPIPSQ >OB05G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2339107:2342732:-1 gene:OB05G13450 transcript:OB05G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGEVEAAGGGEVDVPEGDGEGGSLVVAAEAKDEGGGVEFAGGDGKASYLAAAGEASNGELGEGDAYPASSDAPVGEEKGELREEPEEKAAASEVNGAGSAAELADEEEKPEDKKGEELPTGGGDDAELGREKEVAVSAGAMEAAQPEDKVASEAEANGDLGDKAEEASAATAVAERDAAEEEAKPEPVTDASPVVNDPAQYSFVSRLIHTKMLNYSYGRIQVIDEISSEKIAPVSADNALEETAEKEQTIENQAGESVETVGVEKPTEVENNAAGGASSILSRELAAEQTSDNNVGDEDDGVAEVVDLAADDEIVLAADGDEDDGANEADDDEDGVSSDRGPARVAIIESSEAAKQIMKELGEGSASDSPVSGLGSSREYTNSMDGQIALDDSDEDEDDDDNEDDDEKGFDSAALAALLKAATGASPDGNVTVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRPPARSNLFSPSELAVTAEPTEEMTEEEKKLHEKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARRKALLLEAEGKEELNFSCNILVLGKTGVGKSATINSIFGEEKSKTDAFSSATNNVREIIGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNDGDSDIDLDDYSDIEQDEDEEEYDQLPPFKPLTKSQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYAGIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLSKFPANVAVQVTKDKKEFSIHLDSSISAKLGEEASSLAGFDIQTVGRQLAYILRGEAKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQISLGKRVALVASTGAMRAQGDTAYGANLEARLKDKDYPVGQSLSTLGLSLMKWRRDLALGANLQSQFAIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVAASIYRSFRPSEPSFAY >OB05G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2351426:2352717:1 gene:OB05G13460 transcript:OB05G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLLINLIYVLSNVSRQFNFVDHTSDIGQKEYGLSELELILSSNSSPWVILNRRFIEYCILGWENLPRILLMYFNNVILPQEGYFHSVICNSLEFRNFTVNNDLRYQVWDDPPQTEPVFLDMAHYDKLVDNGAPFARRFRGNEPLLDKIDGNILGRWGHGPVPGAWCSGRKNWFNDPCYQWSDVNIVRPGPQAIKLRQYMNRISEEAEIGSKSCRR >OB05G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2357030:2362824:1 gene:OB05G13470 transcript:OB05G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) TAIR;Acc:AT2G29890] MKGVDDAFLGVGDKPGLDIWCIMGSNLVAIEKPMHGKFYTGNTYITLSTVELRSGVRQHNVHYWVGDEAKEEDYLMASDKAVELDAALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSNQTTMFMCEGEHVARVTEVPFSRSSLDHKAVFIVDTQSKIFVFSGCNSSLQTRAKALDVVQYLKENRHCGRCEIATIEDGKLVGDSDAGDFWNLFGGYAPIPRDVEDTTMTELMTTSSKKLFWINKRNLVPVETHLLEREILNSDRNYILDCGTEVFLWMGMTTLVSERRTSATSLEDYMRCEGRQSNARSIILTEGHETVEFKMHFQHWPKNVVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPRYIISCNGSLKVWLVDDGSVSLLCTEEQEQLYNGDCYIIQYSYVEDGKDYHLFFAWSGQNSVNEDRVAATSLISGMADSVKGHAVVAQVFQGREPEMFFLVFKSLIIFKGGRSMGHKNFVSQRSDINGSYQKQGVALFRVQGLKHDCIQAIQVDLDASSLNSSHCYILQAGDSFFTWLGGLSSPSDHNLLDRMMDKLCPLKQSMLVREGSEPGHFWEALGGRSEYSKEKHVKDWPADPRLYTCFFEQGLFKVKEVFSFSQDDLATEEILILDCVEEFYVWVGLHSGVLSKDQALDIGKMFLQAGIHQEGRRSIDTTMYIVMEGDEPKFFTSFFNWDYSKQAMLGNSFERKLAILKGVSQKLETPERSIRKSSSLLTRRAPPGTSSEPATPEQRAAARPFSSASTGRLARERSPAASTAASSPSPSLSSTPSPSPRSRSSASSSPSWNSTPTTVARRLFPPSSEAARHPVATGSPRRR >OB05G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2363589:2368561:1 gene:OB05G13480 transcript:OB05G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEVAAAVSYSHIQNSDVVRFKPGDGDEERRHGDLGLLMPSRSYSSISLLCMDGHVVAGKHAGELTVVDRPSLRPLMVVTSASDPTGQQGVVRDVSTVVDLIEHQPDGMYGAAAAAPVVQGVSPGALRRVREVTPGDYVVLSGHGRWLGRVVEVHVSTNVVFDDGAVCRLDVTTRCRLHDAVVRNYRHRTEANYCYYPGQRVTGREAAFENALWVRGYWKPSLTEGTVAKVTTTGAVVYWIASAQLGIDKELVDVAAPPAFQNPKDLTLFSSDEECYWGLADRCFIAADEAKPRGKFSFKKDKRSTRMGAATRAVDKAMVVAGTCTTAEVEWQDGTRSSDVPSAELVPIYMLNEYGSRRQQSRHHLRRPFMGRACPRVSRRQQRRHVSQVGDGETSMVAHHEIRFVDCRNIWDLQDDLGPWVAEQEEPAAAAADSNARNNVAGDAGNAGGGGANAPAPPPTPTLTGRIGAAVQSGIGVASRLLAHGKSCLASVSSSLPSSANSAAATASAEATAPSEPAADGDGGDANTDDGNGGDDESLSFARFDVVQCPLDHHYLDAKQEGAAHGNKWVKRVQKEWKILADDNLPGTIYVRAFEDRMDLLRAAMVGAAGTPYQDGLFLFDVHLPPTFPAVPPQVYYHSFGFRVNPNLYESSTVCLSLLNTFAGRDTEVWSLESSTLLQVLVSIQGLVLTGDPYYNEAGYEALIGTPEGRGGAFPYAENARLLTLRSALQLLRRPPRGFEDLVRAHFRRRGRHVLAACESYLRGSRGAVAGDGDAEATCSVGFRLALGRVVAGGGGGGGGGGGRGFSELGRSSTSRAVAAADDDGTASSHTAN >OB05G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2371811:2372651:-1 gene:OB05G13490 transcript:OB05G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGALAVAFPALLAFHREADVLARLAAVHTYGQPRVGDATFAGYLSSSTAAAPASVSSVRVVYRYDIVPRVPFDAPPVADYRHGGTCVYYDGWYAGRTLAAGEDAPNKNYFDPKYVVSMYGNAWGDLFKGMFLWAKEGKDYREGAVSIVFRAAGLLFPGLASHSPRDYVNAVRLGRIAPKQA >OB05G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2374828:2376588:-1 gene:OB05G13500 transcript:OB05G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGGAAVAAKMGEEKLIIRSEKVRFIDILSLLLLRRRPLTSYHFVDAGDATAADLDGTPGEWFVALTEIVQKVLAAAYYPAKYLGVAVEFLLNFLALNGGLLGIIWNIFRCKLVIPLNREAPNFRSMIAMIDGRTELKPMKKPAAAVTEVDDDDDDDLESGGGLSEVTVMAAKIAYENAAYVENVVNNVWKIF >OB05G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2385112:2385576:1 gene:OB05G13510 transcript:OB05G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDRQYLLIFYPVLLMWRIVSPTCQIFFLFSFFSSFSPSLSPLSSSSLLSRVALATALGRAAVVGELGRSWQAVALAAVGGGDGVGMAVGGGRWRAGGGGGRGERGTGTNGGGRRRCWRPCCSRRSSSRRPSSSPPRARCSSPSRRCPPRPPPS >OB05G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2399922:2401433:1 gene:OB05G13520 transcript:OB05G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCPSWLSPVGERWDAASLTGALKAAGARRSAPHVVPLHAVLLKLGLSAYTILATSLAHLALRCGLLEYARELFDEMPHRDVVSWTSLITGHAHHGLYYDSLALIHRMVSSGVAPNGYSMSSALLACASIDRGALAYGMEIHARIVKMCLDELVDAVVENGVLNMYSKCGKVNYATRLFGVMLVKDIVAWNSMMAGCLRSGQAEEALGLFVSMVSCGVGGNDFSYAIFADACGELALLMQGMQIHAKVIRGGFVLYVVIRNSLVDMYAKCGCVDSAELVFNDAMSSDAVLWITMISAYGKFGRVYDAISMFDRMPKLGIKQDGVAYLAVLSACSHSGLVKEGWNYFKLMFHGPNSVKIEPKHYGCMADLLCRSGYLEEALDFIRNMPFDSSVAAWSALLNSCRIHGNAKLGQLAASRLLQLDPNNHSNWVALSNVHASEINWHDTLMIRESMSSECVKKEPGCSCVELHDGVHVFLMVDQSHPELVHLLQALDSLKEDIYC >OB05G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2404740:2404985:1 gene:OB05G13530 transcript:OB05G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDQWHHGDAITELIYASYHPPCSQCQRSSYASGSLGNACIDQMQSYFIFMKLFQLSRATYQRYKRSVIIQYTSAHLSLQC >OB05G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2444181:2445644:1 gene:OB05G13540 transcript:OB05G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDHAGRVLLTVRRRRHCRALLSLVETWEVYKGDADDGDTSQDEPHRLMMRATKDVGNSSCTVSMLAAGAGDGDEPACGHGRYRMSWSRREEWSRVHRSGSANSLVAEVRRKRGGPRKTTLLGKDVLSLTVQPGMDKAVAMAMLMISNSYR >OB05G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2445823:2453146:-1 gene:OB05G13550 transcript:OB05G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-TUBULIN COMPLEX PROTEIN 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) TAIR;Acc:AT3G53760] MLHELLLALLGFTGDFVLDSSSSPSPAPRRRAAHPEAGGDGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSSIDVSPSHADKTVKIKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNFLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDEENESSQVDAADKFTQKSAKEASLASWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNLKGSFRIQNHTGGSGALKDLPNFPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLLVPFQLAALKTIGDEDKYFARISLRMPSFGIKASTSQKDLQKASTPEISAHGKGTSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNSSATQLRRQRTKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQTHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENSRNMFEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSGRLRPSTAGTQL >OB05G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2455127:2460847:-1 gene:OB05G13560 transcript:OB05G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEEARKEAGEGEDGEGVGGKEEKAAVSCSICLDAVVAGGGGRSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPAQDVNMDEWAHDEDLYDVSYSEMPFRFHWCPFGRLAQLPSFFEEGESSPPVTFHDFMGQHVFTEHVAAVSAAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVAEQQGVPFGTMRAARVDGDTQRRGSSVSPSYFSNGSGSRSRAPNVPPMVPQFMRAHGGISEQYQQNSSSSLFAGAHRSGGMRTAPPPLPENPAFCLFPPGSSGHNSMETDDAGGSRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPTPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >OB05G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2465569:2465930:-1 gene:OB05G13570 transcript:OB05G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTINPFSSGTCLRDMIWAIRTCKTAAEERVVVQRECAAIWVAISEEDNNYCHRNMAKLMFIHMLRYAYSLQLNGVPQAHG >OB05G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2472361:2481306:1 gene:OB05G13580 transcript:OB05G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3M434] MADSMVPNVLRGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEIDEDDDDMIPGSQQGPADNYNAVASEKESTSRENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSFLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPVIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGSCEHTLNTLRYADRVKSLSKGGNTRKEQPAGPTMPSIRDSSSAPSYPISVETEEIVNQIQEKRPVETSRKAAEIFSSNSSMEPDRNSVSMIPSYSNRGKEENGSSGLNDRDKDRVDLNSSRTGYNSKPQPVQNSANVQEEEKVTKVSPPRRKAYRDDRPERQSNYTKKDSGPETSRTGYKVQQAKQLQQQQRPTSASASQTSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHAWLALFCQFFLCMMMRHVTTVLADMDGLVSAAHDKKEKGPRGKRRERERERETPPGSSSGGARGDERRGGGGRRRRPGGERRTAERHHLHQQPQREDQTRGT >OB05G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2483000:2485850:-1 gene:OB05G13590 transcript:OB05G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSQSPAQTPPQQQHPQGPGESARDDMMACVAALEAAMLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPTTEEMLRKDIATMEEELKTKSELIAKHKKLIEGWQKELKDQLGKHVTELERV >OB05G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2487569:2490245:1 gene:OB05G13600 transcript:OB05G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLIVKLYRFLYRRTKSKFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEEKNIAVIVGTVTDDKRIQEIPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >OB05G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2490990:2491499:1 gene:OB05G13610 transcript:OB05G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTNIHYHLFALIYKSISNCLSKFNSLNIYLVTLQFFLSQIHFFFNSLFIHPLYSNSMTSGPVCQPVFPPSPSPISPLSLFFSLSLSLLFLSAVVKQAATQRPARRGTGTRDAGGGATASTXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPGLALAGGGRGGRGRMGD >OB05G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2492323:2495285:-1 gene:OB05G13620 transcript:OB05G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSAFFPYRWVLIQFGAFIVLCGATHLINLWTFAIYTKTIAVVLTVAKVATAVVSCITALMLVHIIPDLLNVKLRERFLKDKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLGLAECALWMPTRSGSALQLCHTIHNSAAIGSVVPINLPIVSKVFNSNRAERIPHTSPLASIKADKSRYVPPEVVAVRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIVSLSSLLLETNLTAEQRLMVETILKSSDLLATLTNDVLDVSKLENGSFDLEIAPFNLHSTFTDVVNLIKPVAACKRLSVMVTLAPELPPQAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASMARPDALRGPHDPDYHPVISDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPDNVTDKWNSGNGLGLALSRRFVSLMQGNIWLESEGVGKGCTATFFVKLGMPEKPNANLRRMAPPLQPSQGAGGPDALSISIMDGDSRFQRLWGDWVSLNSEIVTLGKAGMCLHKAEGQITGK >OB05G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2498983:2502464:1 gene:OB05G13630 transcript:OB05G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVAALASQLLRGRRFPAPAPQRGPPRYLSSAAAAFAPLGRLKSPSFARPANPAPSPWGRFGGQKRGMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEIFAAIMDFYSSGQPLFLDSSTAASMDTAINEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGDEEAELTGQME >OB05G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2503255:2503488:1 gene:OB05G13640 transcript:OB05G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTKSSPPPDINIILEMKMASPSYLILMIIQDSYITGLKSLGGLLFVCCVVLCCIMYMYNVHQWCEVLSHVAVWV >OB05G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2502931:2505171:-1 gene:OB05G13650 transcript:OB05G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEQKVIGIAAAAEEEGRRCCVECRATTTPMWRGGPTGPRSLCNACGIRYRKKRRQELGLDNKQQQQPQPQPQQQQEHHHHQEDHSDAASSVKDSSSSSSNKSSSLQVVKKRRVLMGVEEAAILLMALSSSSTPTLLHG >OB05G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2512045:2516962:-1 gene:OB05G13660 transcript:OB05G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3M442] MSLGRGGGGGGGGSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAPQLAHSSALQQKLEGLPAMVQAVQSDDSTVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIEFLQREDYPQLQFEAAWALTNIASGTSENTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDNQALPCLLNLLTTNHKKSIKKEACWTISNITAGNREQIQAVINANIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLAAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNVPSGGFNFG >OB05G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2520651:2525408:1 gene:OB05G13670 transcript:OB05G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKTPNKTAATKKAAIRAIKLFILGVILQGGYIHGRHILTYGIDLDHIRWLGVLQRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIMAIMISALYVGLLFGLYVSNWEFKVQTGNSILKFPTPSNEVQMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPDAPDWCLAPFDPEGLLSTLMAGVTCFIGLHFGHILVHCKDQSPRMLLWLLTSTVLTVSGFLLQLLGMPFSKPLYTVSYMLLTGGVSGFLLLLLYYAVDVINIKKPFILFQWMGMNALIVYVLAACELFPAVVQGFYWRSPENNLVDGTEYLLQTIFHSKRWGTLAFVLLEIIFWCLAACFLHMKGIYLKL >OB05G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2529557:2532532:1 gene:OB05G13680 transcript:OB05G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSVVRRGAAELVAPAMATPYEFKPLSDIDDQEGLRFYRSGIYLYRRCAARDGVDPAAVVRAALAEALVHYYPLAGRIVEASPGRKLLVECTGEGAVFVEAEAEMEVEELGVVTGPPGPRPGGIMLRSDNATRGSVEDTSEYGKSVGVGGKEAWSSRTVYTEEQRWS >OB05G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2532940:2535896:1 gene:OB05G13690 transcript:OB05G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: 3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein (TAIR:AT2G25910.2); Has 131 Blast hits to 125 proteins in 54 species: Archae - 0; Bacteria /.../Metazoa - 12; Fungi - 12; Plants - 41; Viruses - 0; Other Eukaryotes - 16 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25920) TAIR;Acc:AT2G25920] MAGGEAAMSPPSSGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLVDNIMESPARDEIISQYSPMSEDSDDYRCYDTQLNPGGSQSDAMVSPSTSPMSSPHRFQKPQSGLLSANPYPLPSCSLSSVVCSNPRRSSENEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHAYELSFCGRQEQEQEHAHDHEDEHRHEHLEGLEGAERSSSLRETIREAGYQMAENSYGQPEHDIGYIHDCEQHDCLSDLKFKEEDNDRSKLSSRDKNR >OB05G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2536856:2538576:-1 gene:OB05G13700 transcript:OB05G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >OB05G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2540736:2550969:-1 gene:OB05G13710 transcript:OB05G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSYPSSGLFIEFKRLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLLRTCESSGNMDALHMIFRLVKGIIFLNSSAIFDKIFSDDFILEIIGALEYDPEARSVQNHRYFLKEHAIFKEAIPIKSASVVSKIHQTYRIGYIKDVILPRVVDDATMASIAAIIHANNAAVVCLLKDDSSFVKELFTKMRSSNISAESKRELVLFLLEFCTLSKSLQPVQQLQLSRDLASEGVFDIMSDVLQSQDKVLISAGTGILVHFLNQDPNLLRSYIARQENSQEGNSLLGFLVQGMVTDFSESLHCQFLEILKILMDGFAANTPTHHRGVIDVFHEKHLDKLIDVIASSQMDITQSTSSPVGVGTRVEDKSAKTEILSNICELLFFCVVHHPYKIKVNFLRSNSMEKILTLTCRREKILVVAAVRFMRTVISRNDELLLNHIIKFNLLKPIIEVFVENGDRYNMLHSGVLELLEYIRKENLKSLVIYVTEYFWNQLARFEKLGNIQAFRLKYQQLMENGETTQSIVIGMRKKTEERGLDKEEEDYFNKGSDEEDSAKQSARAQEQPLDKSAKGSDIHQLPARSKSGGLVDYDDDDKGYNPPPKRTVRADEDDEALIIKRSPVVDKQADGKSPKKPKLEPRFICSKIVAAARSDLADKQGSLSPASSMKSNGDIGEEEPRHASGSLDRTHEKGDDCTKDAGNLPSEMTVNKTKATDSEPYSVR >OB05G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2560014:2563752:1 gene:OB05G13720 transcript:OB05G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:J3M448] MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEEAGEANVAAALDSKLVV >OB05G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2564668:2568553:-1 gene:OB05G13730 transcript:OB05G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT3G62600) TAIR;Acc:AT3G62600] MAAPRWIGALLLLLHFVAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGTEDEEEQIIKGDDVIVELDASLEDLYMGGSLKIWREKNVIKPAPGNRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEVGTKGVTKPKEVRKFKGEGMPLYQSNKKGDLYITFEVLFPKTLTDDQKTELKGILA >OB05G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2567263:2568232:1 gene:OB05G13740 transcript:OB05G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASSSTITSSPFIICSSSSSVPPPPPPKKLQDVLNVHPTAATSPTLGLELLQPLLAIPVIDLPLLLIRQDLISFVDLGESLGGLLVVGILVGVVLEGELAVRPLDLILRRSLGHLQHVVVAL >OB05G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2571231:2574909:1 gene:OB05G13750 transcript:OB05G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQISRKPLASLLLLLAAVVSAASADDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKVASVFKLDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLSSEAGVVESLTPLVKEFLGAANDKRKEVLSKMEEDVAKLSGPAAKYGKIYVNSAKKIMEKGSEYAKKETERLQRMLEKSISPSKADEFVIKKNILLTFSS >OB05G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2576138:2581085:-1 gene:OB05G13760 transcript:OB05G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLASSALLVLFAAVNLFGLYYGDDWGGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPALCPPDTDTMQLSLSRGNPDSLTLLRKVTKTDSKINLMMQYCDFKHGSYGKKKVIADNVGDNVGDIAGMGSDLFGSYAEASCAALFVASISSFGADHDFAAMLSPLLVSAAGIVACAATTLVATDAGEVGGVDEVAPALKRQILVSTVLMTGAVAAVTFLALPRSFTLFDFGDHKLVKNWPVQAVANSCRTGAATNVIFGLAVGYKSVIVPIFAIAGAMYASFRLAAMYGIALAALGMLSTIATGLTIDATVNVVSPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFEEIPGLAEGLAAPDYATCVRISTDASLREMVAPGALVMLSPLVAGTLFGVEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEAARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILSTSSSSSWPSRRSSSPPSSPPMAASSSTTSEPALPLPPLGFRSY >OB05G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2576208:2576854:1 gene:OB05G13770 transcript:OB05G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEGGEDERLDGHELDEDVERMERGAGGVLERVADGVPDDRRLVRLRALRPQRPRRLRRAGLDVLLRVVPRAAAVRRRDRHLHAGHQRAGEQPGQRLHPEQRPGHQRAQHHQRPRRHHLPERGVGGDPDAGGVVRRREPLGEPRDLLELAPHLLHHPQRCAPHAPHRHRREPVGQHGAGEEPDEHPRAHHVHRPDPGAAHVRAEQRPRHQRRR >OB05G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2591672:2592199:1 gene:OB05G13780 transcript:OB05G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVEMSWNVLISPSDLSPQGLLLRKAVIVRLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGVFLKSGPIESIFLSEKTMSDYKYIGGENPMFMNDHSKLEKETVVRFKVMGFRWMEADRQFQLLATLAGDYLGPL >OB05G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2592661:2595515:-1 gene:OB05G13790 transcript:OB05G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17020) TAIR;Acc:AT3G17020] MAGEAERWVGAAVDFSEGSRGALQWAADNLLRAGDHLVLLHVLKDPDYEQGETLLWEATGSPLIPLSDFSEPTIAKKYGAKPDAETLDMLNTVARQKEVVVVFKVLWGDPREKLCQAINEIPMKCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKSADS >OB05G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2597811:2601538:-1 gene:OB05G13800 transcript:OB05G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNDDCRDLHFRKAEFDPEDCPPDCPKPCEKVCPADAISLQSVIIEAKHARSDPSCAKLEGGVITERCYGCGRCLSVCPYDRIRAMPYVRDPTMTAELLKRSDVDAIEIHTTGKETDMFNTLWSSLGESINNVKLIAISLPNVGDSTVNFMNAIYRTMQSNLQGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSISNRPPGFYQLAGGTNPHTVDCLKKAGLFQSTTFPGAATSGMINCQHAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHSDYLLEALHEALSLVGPVKGYPTLPSL >OB05G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2609088:2609444:1 gene:OB05G13810 transcript:OB05G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTTGCCDETVAMTMMAKATAPALAVAPRTTAAPAPPGRNNSKERRRIRRCVEVRRKMEALRSLVPGAGGEVAAEDGGGRLDAGELLFRAADYIARLQVQVKVMQLMVDVLEHAKD >OB05G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2611629:2616471:-1 gene:OB05G13820 transcript:OB05G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3M458] MAAAAATRRLDTVCLLLLLLCCSCCSXGGGGSSREEQEDDRVPRLPGQPASPGVSQFAGYVGVDEQHGRALFYWFFEAQATPPSSSEKKKKPLLLWLNGGPGCSSIGYGAASELGPLRVAGQGAALEFNQYGWNKEAHLLFLESPVGVGFSYTNTSSDLTNLNDDFVAEDAYSFLVNWFKRFPQYKDNEFYISGESYAGHYVPQLADLVYQRNKDKRANSYINLKGFIVGNPLTNDYYDSKGLAEYAWSHAVVSDQVYERIKKTCNFKNSNWTDDCNAAMNIIFSQYHEIDIYNIYAPKCLLNNTSASSGDREFFANDQEQFKRRIRMFSGYDPCCSSYAEDYFNKHDVQEAFHANVSGLLPGKWQVCSDQILNSYNFSVLSILPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVESLGLPIKTDWQSWYLDKQVAGRFVEYHGMTMVIVRGAGHLVPLNKPAEGLVLINAFLHGEQLPTGRRE >OB05G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2628262:2634110:1 gene:OB05G13830 transcript:OB05G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRFSAIVNEYIEAVRQLACEILDLLGEGLGLKDPRYFSKLITNADSDSLLRINHYPPSCTIHKLDHDDQCNIKSLVSTKASNGGNLTAGGRIGFGEHSDPQILSLLRANDVDGLQVLLPDRDGKEMWVQVPADPSAFFVNVGDLLQALTNGRLISVRHRVIATACRPRLSTIYFAAPPLHARISALTETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLDLFNIDDDDSDNANEGKA >OB05G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2635160:2656460:1 gene:OB05G13840 transcript:OB05G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MLNMVAWCQHLAQTPSFFLNRQLTQSLIKKIKSLLASQSPGPLQFMLVNIIWKKKTDQIEIVAGCHQELTATAWLQDRHGCEPPCSCPYLQRQQKHPEQKEIPEAAGLRPDEETPAPAATKPLASDEPTPGGCGALSDVDAALHSQPSAISFLIGFSLSFPIRSREFEEFGVVQARKGREGESGMFFSGDPTARKRVDLGGRSNKERDRQVLLEQTREERRRRLGLRLQNNSATKIQKCFRGRRDLELARSEVRGNFCSTFGENCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAMLYQVCNLLLEYVKHGGDVLKLFGGINYSSVEPLVVHRVKKLALVCAQTVHQKRHDWGSQLLMPSESTPKLSASLLETVACLINPKLPWNCKVVGYLQRKKIYCLFRGIIVSIPQTARNLEHHNNTSALEQVLMLTASHIGHDPCCCPVVDPRWSFSSQLLSIPFLWHRLPHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETASDIIAVCTSLLDSLPTITSPTGRVDDEDDTPMDDVINGLDADLERQITAAIDSRLLQHLVNALFKGTLSTNYSDPTGPSDVEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVPSLPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPAHASPAKKVLPSPSGLKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLVNSRQLTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTNENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEIQVLISGSLESLDIDDLRSNTNYSGGYHPDHDLIDIFWEVLKNFSSHNQKKFLKFVTGCSRGPLLGFQYLEPKFCIQRAGIPGMEEEDEDRLPTSATCMNLLKLPPYKNKAQLETKLLYAINAEAGFDLS >OB05G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2659097:2663000:1 gene:OB05G13850 transcript:OB05G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:J3M461] MDRPQVVTENYANPVTCLFHVLFKAGALAFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDDGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWLILGIFSLIRLEADYLLVVGVCLTLSIANIVGFTRCNKDAKKNIRGYFEGHAQNAITSRITSTLQSAFGVI >OB05G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2661843:2666501:-1 gene:OB05G13860 transcript:OB05G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASGNNGGGGGGGGNGGGNKVFSLRLQYYCVLAAVVVAVMVLSLAFVSPSAMGAVRQNLGAAVVAAAAGAANASASGAGAAAEAAAAAESEASSSVGLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDKWDKKFTEIVGEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGKHDAHGCLAKYNKAAQQFNTKLSDLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLASLVNGGSNKSSDS >OB05G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2669712:2671405:-1 gene:OB05G13870 transcript:OB05G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFWLSELSDGESLNTHHLSPFMRPLGSEYSNGVNFAIAGSTATPGETTFSLDVQLDQFVFFKERCLESIERGEVAPIDSKGFENALYTMDIGHNDLMGVLHLPYHEILPKLPAIVAEIKKAIKTLHKNDARKFWIHGTGALGCLPQKLATRKDDDGGLDEHGCITKINNVAKKFNELLSETCDDLRLEFTDSIIVFVDMFAIKYDLVANHTKYGIKKPLMTCCGHGGPPYNYDPKISCSVTDDKYMCKLDEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTTTVVKPKKTKNSRL >OB05G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2675144:2675758:-1 gene:OB05G13880 transcript:OB05G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATMNGGGGGGGAAGVMKRTGLLRLQYYCVMGAVAAAVVLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEARGKRVHVWSGEDEEGDEKKKKKAEPGVVVINFGDSNSDTGGGAGGRGIHIAPPEGRAYFHHPTGRLSDGRVILDFICESHRSLPSPPPQSRSISIADLNLNLNLSLLLRT >OB05G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2681874:2684832:1 gene:OB05G13890 transcript:OB05G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSCVSNGVGTWKQEMKATSEREMQLGFMGSRGRLQFSYPMANAQPNTPVLEACPTGFQIETPMLSDQDRYEYADYLNARCQKLNFWVEFRHETQPEMASVGKTEELVNASGVPYKVGKFPLLANSRARAIDDAEGLVKVVVEKETDRIHGVHVMDSQCR >OB05G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2687154:2691051:1 gene:OB05G13900 transcript:OB05G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G55070) TAIR;Acc:AT3G55070] MEMAIDTPSPSASVSAAGAPSAAAGRQSRAAXXXXXXXXXXXVPLEALKSTVRTNHRLAEKEIAAVLSSAAVPAGGGGDSGDAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLNRLASACTGDDEEWEELRLKRILVDYMLRMSYYDTASKLAETSGIQDLVDIDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLAPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDCLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCLDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDVCNFADLVKAYIS >OB05G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2691766:2692260:-1 gene:OB05G13910 transcript:OB05G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETLGLLVVDVGEAALAAVVAVEVVGHEGAGAAVVVGALLAEAGDLAGGVVDLVELEHGELDLLVLVLLLLGLGVGLLLALLGAAAEAEHQVEGRLLLDVVVGEGAAVLELLAGEDEALLVRRDALLVLDLGLHVVDGVRGLHLQRDGLPRQGLHEDLHLGRG >OB05G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2691778:2692245:1 gene:OB05G13920 transcript:OB05G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNNDCGAGTFMANHFDRHYCGKCGLTYVYNQKA >OB05G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2694253:2695338:-1 gene:OB05G13930 transcript:OB05G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVISAGILREALDAGAISMRDVKSQIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALAGLLLYLLSKGISKGMYNACLFVATKEEKQAARDLLKAVAAREQKVAVAQARKGSAAPMQKSAGPGAAAFLTDGSWFFHGARHSPMSLPWA >OB05G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2712481:2712784:1 gene:OB05G13940 transcript:OB05G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVTFDESDNLKDVVSRLSSKMTTLTKYFRMNNVDKDASQLLYKKFPEKYRCIKGKHEWSGSTA >OB05G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2717471:2719412:1 gene:OB05G13950 transcript:OB05G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRLPHIFSNEAENYIAITAVDAVFLVEVDIRYFNVKDPRQRVLSKTMYKDITDRVLAKPPPETEVNDGNCQCECQCQRQRQGKRTYDTVCDVHYNVKGYAVQLTEVSGLVCAHGYSGGPLLNNESEYVGCYHGTTDMKGYAVGLEEIRRFLFRFQMLTEWPAQQFIIEYMLLSSTTNSVLKFPYLF >OB05G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2727093:2731987:1 gene:OB05G13960 transcript:OB05G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAGPTCGGGITLPSTAAPPLPLHPTARPHHHYGLLSIEQLNLFGAAAVLAFYTTVPLADITRSASFTEGVSGSEFRMPVSDAVLRWARSFGMFSPQMGQFVALPPKQRTPEALQRAAEAMMRLDRRAFRGGLILDKILRPVSSDHIDLRTAECRPAGPGGRDPGVGPEPGPPTGASHPPPFLPADERRNRCHGIARHHPVERRAGAPHMGHRPRAHPPVAVEGPIRHSHAAAHALHHRRVAQHAYLRRPWDVDGAGVPGCVQVRRKRNYWREKRREENAPPATIPIILPLPPRVPPASVRIDAAETERFEDGGGGSSGRCECGEEDYPASIEGARAGDGGCPGSSPQEEEGPADTDVDVPCTIRTEEEDPRTAMDVVSIADKAIVRKAARSVVGIVSRVPDGKEIMQCSGIIVDWNETSKLATIVTCSAAVCYDGALVHPKPNLRILLPNNTNVEGQLLFFNARYNIALLEAMVDSPLEPANFGSCPNFGQKVFALARDHKASLFARHGSILFQEPPSFFVDKYWLSLSSEVALCGTGGPAIDEHGNVVGMTFGRLPYPDILSISILQTCIDMWRRHRFINNPSYYCIFVTILFIAIKLWR >OB05G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2737148:2739182:1 gene:OB05G13970 transcript:OB05G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDGECGPHHVCGRTVSAASNHRNYSDGRVPGTRLTYFNAATATTTVPRRMSPYALQEHGITDGFIVDEVYTERLGIFKGDVIVSYNGRRDFILHMFEAYLLSLGWGFLESSDSSWTTDLELEIYDPVGCITRCVTFTLGFSDTSEKVLGLL >OB05G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2742970:2744343:1 gene:OB05G13980 transcript:OB05G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPYNSLGGGANTVSDGYIVAGALGAEIVSTFILVYTVFSVSLSSSQLTLN >OB05G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2751922:2752074:1 gene:OB05G13990 transcript:OB05G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLHWTWLKKRREAKVKQPTSQYPSSYRSHQNIYRPSDVLYMVNQKGIS >OB05G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2752548:2755701:-1 gene:OB05G14000 transcript:OB05G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKWSKARAVTAAGASSAAPRVTRLRSRVLGLETAPAGGDPGPLRKTRKRVPPEEKEEEGGSAKAAVDGGSPKIPAPADTVIPCTCRREPKDLRTARDVASTPDKAMVKKAALSVVGILATKPDGKWTALCSGIVVSWNETTRLGTIVTSSHVVCLCGELIDPIPKLLVHLPNKTIVEGRLLFFNAHYRILLLEVLSDSPLHPANFGSNPEFGQEGFALARDDESSLFARRGTVLWQEPPSYLNDMYRLCLSCEVAQCGTGRSVIDQHGDVIGMAFCYQPNILPISILQTCIGMWTKFSRIARPVLDMELRAFELLDVSHQEEHNIRDGFIVTLVYDDSIPASLDMSQGDIIVSYNGQYDFTLHKFEDFLLSLGWDLLANADSSWKVGLELEVYDPVTRTTRSITFT >OB05G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2758355:2758606:-1 gene:OB05G14010 transcript:OB05G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHDIEVVISTNHGSSSCGLLSLMRLAKLQKNSYAFHPIWQIELANHRVMDAIVRLEHSTTWRSAREAATASARARARPPSPA >OB05G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2758409:2762167:1 gene:OB05G14020 transcript:OB05G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot homolog [Source:Projected from Arabidopsis thaliana (AT3G17470) TAIR;Acc:AT3G17470] MDAEVISAGILREALDAGAINMRDVKSQIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDFLPSYLQRIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDRWLRSQEAENKLLIDSYKEQLLQALKDDDELSQIVQGISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDDSTIDWGPRACHRTHEIIQSMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGNMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAALRLRDLPASDQGYSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMKRLEDKDDHYRKILKEKLQTIDSAGLIQVYRKELGDKLLVS >OB05G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2766108:2766395:-1 gene:OB05G14030 transcript:OB05G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAPVLGKKTRKPYTITRPRERWSGEEHDRFLHALMLFGRDWKRIEGFVATKTATQVPIDFIHPCV >OB05G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2769457:2769615:-1 gene:OB05G14040 transcript:OB05G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQATFSVIFFFAFMHCMIASEDYSIDCTYSDLALFCPFFFGFHALHDSIR >OB05G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2769658:2770861:-1 gene:OB05G14050 transcript:OB05G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGPGGGGEGGGPYTITRPRERWSGEEHDRFLHALMLFGRDWKRIEGFVATKTAIQIRSHAQKHFLKARKFGPPGAPPPPLHPRRATPPACYAYSPEDSFRPLIQSNDLGFAQVYKFVGDVFGSGEPRPVEAHLRRLHGMDPAISETILLVLRNLAANLCA >OB05G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2775030:2782634:1 gene:OB05G14060 transcript:OB05G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAAAAAATTAAAAPSSSPARFRLRLVVTPRASLGHCRASSSARSPRRACYATTMGDETSTSVDTQSHEPAGVGAGSVKQQLSKLVTASLQTTVPEVEVEPMVEVCTGKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIALSNSWVAKRIQDMLVNGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLACMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKNRFDGDPEFKDRAQQAVVRLQGGEERYRAAWNKICEISRNEFDLVYKRLNVKLEEKGESFYNPYIPQVLEELNNKGLINESEGAKVIFIEGHQIPLIVVKRDGGFNYASTDLTALWYRLNVEKAEWIIYVTDVGQQQHFDMFFSAARMAGWLPDAKEKKFPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELENTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGSISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >OB05G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2783262:2787936:-1 gene:OB05G14070 transcript:OB05G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRGGGGGGGGGSAPRSVEEIFKDFRARRSAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGVNRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLATVYEVVSGSRQSKERDRSGMDNSSSRNKMSSKHTSEVARVENNVREADEGYDEDDGDHAETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPVKAESIKQYKCPNCSTNKRPRQ >OB05G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2787274:2787529:1 gene:OB05G14080 transcript:OB05G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding EARGVVEGDGDEPRVRVDGDEREPVAAVHPVAREVDPERRLRQLGGHLLRRQRHLPRLVRVPVQAQILLLCRENPQTHIHRFETR >OB05G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2791697:2792632:-1 gene:OB05G14090 transcript:OB05G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 11 [Source:Projected from Arabidopsis thaliana (AT5G03170) UniProtKB/Swiss-Prot;Acc:Q8LEJ6] MVSMAASSVLVVVMLVLSVEAPVANGQAAAPAPAPAAPKTITAVLTKAGQFTKFLQLLSSTQAGEQINNQIKGKASSGGLTVFAPPDNAFTALPTGTLNKLSDQQKTSLVQFHVVSQLLPMAQFDTVSNPLRTQAGETAAGKYPLNVTAEGSRVNISTGVVNATVDNTLYSGDRLVVYQVDKVLLPWALYGPPVPAPAPSPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHVTVNGGERGSWTSIANSPWDFFFSSFFFLKKRSCGEVLKLFCSFVFFSILFVCMIW >OB05G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2794480:2797088:-1 gene:OB05G14100 transcript:OB05G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQYNNSKMSRMDHMDRLNNEPPPFGQKYMHPRSDPANGAGSSGCVGTTMRSNDLPSSSYAGQAYGQQNRAPVHASYSGHAPAGSSSGSYAPYNTQHMPALNYPHGLEDNFIPSSHVDGRRVALKRRNPINRPTDGFGAGNYYAGSSSNPQFSHPMPPNPIPPPETCVRMHSHVGSSHWNDHFYVNHEGSQRNVRGRHDHSSVHFEQNPATACPSSNISVPPYHPNANGPFRSTPVQRDRAPLTVHPRILPPGTDGSSSIAFRERPHYPAPQSTNISAPVPTLPSSCDSAPFAHGGYVPRSAHCNNLRTYPPPAFVPSNSGVVSNYPPEPALPSYPPAAPSYPPAISAASSSVQPFHAEAAASLRHPRHVSVGPSGSAWSRRMRDSYHGFHHMMIEDNNLGRSTAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISGCVMEIACCSNSHLQDQDTERCVICLEEYKHEDSLGRLKCGHGFHSNCIKKWLQLKNTCPVCKAAAADDFS >OB05G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2797664:2797816:-1 gene:OB05G14110 transcript:OB05G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQSNKPCLLLTISSPHPTTMKYNQVCVWKGANKLYMYNSNLNYGQNIF >OB05G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2799514:2799678:-1 gene:OB05G14120 transcript:OB05G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEGIIFDNLFLYAIVTIIKGQIPSHSSPFSQTVLVVSFVFSNSSMLLLFQL >OB05G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2805472:2816055:-1 gene:OB05G14130 transcript:OB05G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPGGGGGGKVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRSIMEREIAPIMTEYWEKAEFPFHAIPKLAALGLAGGTTKGFGCPGLSLTASAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQFRTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNSDTNQLNGFIVKKGAPGLKCTKIENKIGLRMVQNGDIVMNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPATSTKSRL >OB05G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2832145:2842182:1 gene:OB05G14140 transcript:OB05G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQQQPWQEGNQQQQQLLHHGGYGHGLPSVYRGTVVLPRRPGSLALPPPPPPPSSSGRSATDQATALRIHSEAERRRRERINAHLATLRRILPDAKQMDKASLLASAVNQVRELKKSATEVAAPVPPEANEVTVQCYAGGGEHACTSVHATVSCDDRPGLLADIAGALRRLRLRPLRADMSCLGGRTQHAFVLRSEEEGAAPAAGDVNAAGGAPSARSLKEGVRQALAMAAFPEMVYSSSSRSKRQRRMLESREKKEEIKDGAFPFTRTPIGDRDPGRRNPPVMPTTRRRRRRRGTGSGPASGRDSHGGGGGEGRQHAGATRTPDVPAPMPLPRQPHLSPGRPLQSPSIDSGGSSSESDNRWSWQYGADSGSSSDVDIKWKTMITMATSSRSANPPRPPSASVPATKPVTSSVQERKRRRRRDMASTSSRLSLPDQSSSKMPPPQLDAGKSTCLEQLPMFKQSVYHRNIIDWHDLRQKNAALDVAESMLSLSSSSDGKAIDHCTGFIIEHDKVHGSAVIVTSSQIICTKKSLDDWKDNNVYSPDAKVIAHLWDGTSSELQLLCFNRHYEIIFYSLNGGQLQKVAPLDPNFRFGTEACVLARDKDLELICRRTVIKALDPCEHQRNHYLFIDALKCEGCSGGALANFDGSILGMVLYARPNVAFLPSSIILKCFKLWKRFRKLARPNLGVRLRTVGFLDISHLDNLSRIYGVRSGLIVAKVSVGSPAERNGIRVGDIIFQCQREFISTITEFEDMLLDVCENQFEKGTNVNSKVDIELGVYNVRKRARRNITLSVELSDGMEVIDEGLWDD >OB05G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2844716:2859312:1 gene:OB05G14150 transcript:OB05G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGIDGVGGAAVGGXXXXAGAASGAWHGGAQLYVSLKMENARISGDLVPHVYGSEPIIGSWDPSRALAMERELASMWELSFVVPPDHETLDFKFLLKPKDAPTPCIIEEGPTRHLTGGMLEGDVRIAWFKVNGDHEALEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSAESLELDLEHYVIPTPTAPPSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSASAAFEDHNYDNKDNVASPADSFKKLQVSGIVESKSFDTFTTLQKQDGQKGLFVDRGVGSTMFGKSSSACSLASGLNFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDPNIIERNVRLKIQQSPDYADQPDYETGVRDFKERLANYEKVYEPVQEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYAKKLANFIEKRLKSEKTASIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >OB05G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2860068:2862482:1 gene:OB05G14160 transcript:OB05G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAYNANVLLAAVTALSAAIAFVAALHLYARCLLWRRGAGGAGNPHANPHALRRPRTPGGHELEVISVVACAQEGGGLDAKQLGALPVFTWGSSSPATAEAAAVQCAVCLGEMEDGELGRLLPACHHVFHAECIDTWLTVSSTCPVCRTAVGTEDEPPVTGVSPAS >OB05G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2862954:2863739:-1 gene:OB05G14170 transcript:OB05G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDSLLNLIEHLISSGLTSVWVTWYFIRRWIQPLKDQVHFVFDYSRSKYPTRETAQVFKNDIIMTRVQRLFQPGTHIPTNVVGSPSPFHAANAPPADHHQYLSYPPNRVCPDHKRTVEASVDEPAAKRAAFDRDVELPEAIEDGDAPVRSPSSPPLRHLRKPAFGKGSSNIDLTSHVGQEVAKLPTLALVSTSSAIKPADTTPTSLVE >OB05G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2874201:2876001:1 gene:OB05G14180 transcript:OB05G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELPVCPCINLFPGHDPHIILYAIMAHANCLFVGSSVLDKWRDADAVCFDVDSTVCLDEGIDELANFCGAGKAAAEWTAKAMIETVPFEDALAARLSLIKPHLSQVADCLVKRPPRISHGRNLIKKLKTNSTDVFLVSGGFRQMIKERCHSVS >OB05G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2876293:2877452:1 gene:OB05G14190 transcript:OB05G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQINLCILAPGTKLTSTDDLLGALCKYIMSIDSQESLQKEWIRSIEPYPISLSLKNLQDILYVKKPMDKDCFNMAVRMLACNEFLMFLDDTIHYIDLQFWTISDFHREPRRHAKLDIRRLAKLFECWLGIEYKISQCSNCQASLFFDFMRSWDGKKLSSIYSMGLN >OB05G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2882156:2887806:-1 gene:OB05G14200 transcript:OB05G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARVGPGVGGDAEGMAGGEFPPPPDVGDAEGTTEAELDPDVGGGADRVAQRGRCSRRQGERRRVQRRQPPRGPRPLEVGREYELVITTYAGLNWYRVDDVLRVMWFHNAAPQFRFVRRKNVPLSIKSDKTDAAELQHAMECASALLRPHGVSVVEYTSHACTKRIPGQYVIYWDIYWELLLTRGAASRWRVQVFVLLTFGLRGDVRDMTRLGADFLAAIGGPKECKMEDLRPSIFSNIPHHLVGRKRTDSLFLIKVQVSSEDSKTQVKEYLPRTFGLRKKNLKGKFDIHTPMVATGFTAIESENSLYILTCAHLFEDFYSDNVEADSVTLEGWFDILVVCQHFESHMIVNYPNLYKEPDTDPRLYAPASIVRLEERKDLMLLQVKKNLLYGTPTLLCGSPHPALHLARVLPRPTDDIMLVSWPATRKDSAVIGQVVGGDREYGQVTQDLSKGYNMHLVELNVAGGEGTSGGPVLNHRANVVGLYHARLGATGYAVSAADIYEFCHHAVLAEEV >OB05G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2894372:2894779:-1 gene:OB05G14210 transcript:OB05G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDADSETSPRSAGGIVVAAAASAGNQSAAMDDDGVACPSSSLSLLRSRPRCPSPTPSPSPRLPRPPRRRNPHPSSDGYSKQPKSDVQCNKKKYKVEKAMPDSSWPYLHRLDASSSAAAAAAGAGSQICCHGR >OB05G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2914260:2915884:-1 gene:OB05G14220 transcript:OB05G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLASQAANGGDGQRPEKQDAAAPAPAPPGDDPSGSGGRRWLRMEYESSSEEFSRLGASATVRFREGSFALRPSAVAGAADPSSTATSPVPQVRKGAQKRKRKRGKGARKRKREHNVQSTSTTEKDGAASSDSSGYSSPIRRPKSLLVKSTRTDRGVLVCEYNNDEEAGKIYREGYLKYQKKLADIEIGMELREDRRREPLGEDVGILGGSGHMKDPNRANRHFLANEVEVDLDVLGPLVLHRVGGEVGCTHVVVVDQGGLGRKRVELVKVLAKPGYFSNNVSDDIVLGLNAGARHRDFMLGRPRHQVVAKEDNVARCGAPRVGATSLVGVGVDGEIQYYRRLDVKAEIQRVVDVLKNALKGAKVWLLGFMHVEVDLLDGLDDLRAGGVEVLEHPDKSAKVCRVSNWDTIGGGELGWCRACSLSCLLELGC >OB05G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2917576:2918013:-1 gene:OB05G14230 transcript:OB05G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGQYGDEYEEGEEDSDEGRVLSACDEEGMNLSTRRREWKVAKMMTVMRRLNRKTMRTDGEEDEGHDEPANEIICEEDVVETYQEEYATDSDDDQPLEPLTAEDQLALQEIRAFERIVGRHPSIHEFADLSKADGAILDGDIFK >OB05G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2921526:2921774:1 gene:OB05G14240 transcript:OB05G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVPGYIGNRQISQFRILNLTQTNLPVAVLRSIGNAVIDVLAALDNSQISDITVVHQLQAFRSYTFYGNLTQLKILVLSLNNL >OB05G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2928669:2933627:-1 gene:OB05G14250 transcript:OB05G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQHLNSQSVDPARSLRENQLGGVIFGCKHNTIEECFNKQLFGLPSIHYSYVRNIKPGLPLFLFNYSDRTLHGIFEAASPGQMYIDPYAWSQDGSLRTCFPAQVRICTKTRYPPLMESQFRPVLGDNYYNHHHFYFELDHAQTKALIAVFKALAPANFTQVPAVSTKRTIAPLPSSKSQAPVIPDQKKGSTNPKDINPFSVLSQSGGAFTDNWADSDVENGSISRTSDEKESGGLVSDWEDLDDNALHNQLGLCSNPDEINQNSSYNTVAKGAELVECSHSVVNPVNGEIKSSDGDMLVTSHDVHSVAVCADGIESEVQNEPNCVVIKPGRLSSLQKLKELVVLRHQAELSDQNSLYPNPDQYAPEETQVNASHSCPEQHVPEETQSNASRPCPDQHVPEETHNDGSFSCPEKHLPEETEANASLSCPDQHVPKETQDGASFSCSEKHLTEETQVNACLSHPVQHLPDNASLPCSEQHVPEETEARASLPCSEQHVHEETQDDANVSCPEQHLPKETQVSACLPCPVQRAPADNASLPCPEQYVPEEMQINASLSCPDQHVPLETQFNADLSYPHQHVPEETQVTPGISCPEQDVPEETQVNASLSCSDQHVPEETKVTTVISCPVQQATQTNASLSEHPFGATVEDNTSFEQHEGNAELMKIVFDLIEKTDALDKRQTKSQEEILSLSEVVKDSGTKLQQLEYCIDELQFKLDSSLSLVSNACNTLDKPSIFLMGGYNGVSWLSSLDAFAPEKDILVPLTPLSSARSYASVAQLEGCIFIFGGGQDNLWYNTVECYNTMNNEWMVCPCLNHEKGSLAGVSLHGKIYAIGGGDGTITYSDVEMFDTFLGKWICSPSMMNSRFALAAAEMGSVIYAIGGYDGCKYLQSAERYDPREGFWARLPSMNVKRGCHTVAALGEVLYAIGGYDGTSMVSTVEIFDPRLNSWRMGDSMNFARGYASTVTVGDNVFVIGGLQSNEHILDVVEVYNVNCGWSVPGFCSIGKRCFASAAVL >OB05G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2948316:2950003:1 gene:OB05G14260 transcript:OB05G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRIEEYGRPVAAGEILAANPNHVLSKPCSQGSVAVRRILIVSPDSELERGEIYFLIPASSVPEKKKRSSSTPAAVDADAAAATGGRHHVKTSTTTPPTAASCGGHGAKNRGSTKSKQTAASSDHGRRHLRDVLSSSSSSSEKRTLHRRRELDKKTPRQATFLSKASYQGILFNIYSNFALIDTLLLEALP >OB05G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2953520:2955589:1 gene:OB05G14270 transcript:OB05G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositolglycan-related [Source:Projected from Arabidopsis thaliana (AT4G35530) TAIR;Acc:AT4G35530] MEQEGDERKGEICSGKYTYNHHCDNGFDIHEIFVKRSRFRVLLSYIGKVFLLATVCQPFLSKEGLSSGSVWSIIFGILIAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRAHRHFVPIGKILKPLLNECVTPVTCYWSLALLVRDKEELMLVFQVKFICCHSLLRDPRKFQSPIELTLQKSHPPVKMLVPVWKALCTFLNSDCQTSSAFSKPNYSDT >OB05G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2956736:2958227:-1 gene:OB05G14280 transcript:OB05G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAEELQPAGGXTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLLEVQESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVXXXXXXXXXXXXXXXXXXXXXPPA >OB05G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2972338:2972685:-1 gene:OB05G14290 transcript:OB05G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPVFTVHQASPAVARSHHRRAFSAGAYDVDDTAAAARCFGMQHECEKGLVKGCGVASAVRNALSTVVGHKSGGRRAAXXXXXXXXXXXXXXXXXXXXXXXXXXGGRRAAAPAY >OB05G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2981028:2981357:-1 gene:OB05G14300 transcript:OB05G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLKGETQTPPPPRNPSRRRRGIAGELPWTSIAGRNCGRRGDSLDASPACAVTFLAAHHARCRSNYRGNAWELVRGSPLAGAPPSWSGKRKRGGGEEGDWVESDFDQC >OB05G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2981743:2984199:-1 gene:OB05G14310 transcript:OB05G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M4A7] MANFLCISLGLLLLSLHGTPPCSATNDTLTAGAALAVGDRLVSSNGRFTLGFFQPSFVSKSGINITSPGWYVGIWFSNISEFTTVWVANRDNPITELRLNQTQLKLSGDGNLVISSNASIIWSTNIVNKTAATINTTSAILSNDGNLVIGNSSASNVLWQSFDNPSDALLPGAKFGWNKLTNVTRKFISKKNLIDPGLGLYYVELDNTGIVLSRSNPYKMYWSWSSEKSSNQLIDLLNQLININPQTKGRIRMAYVNNDEEEYYQYILLDESYYVYVLLDISGQIEINVWSQDKQSWQQVYAQPADPCTAYATCGPFTICNGIAKPFCNCMESFSQRSPQDWELDNRTAGCSRNTPLDCGNTTSSTDVFHAISRVALPTNNPQNVDNATSQNQCAQACLSYCSCNAYSYVNNRCSIYRGDLLSVNSNDGIDNTSEDVLYLRLAAKDMPSSSKNKRKSIVGVVTAASIICFVLVMLMLFLLILRKKLGLCGAQLHDSQCSGGIVAFRYGDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMVNGSLDVHLFQSKDTVLNWTTRYNLAIGVARGLSYLHHSCNECIIHCDIKPENILLDASFAPKIADFGMAAFVGRNFSRVLTTFRGTIGYLAPEWISGVAVTPKVDVYSFGMVLLEILSGRRNSHKVHNDDDSDQVAFFPVQAISKLHEGDVQSLVDPQLNGDFIPEEAERVCKLACWCIQENEYDRPTMNDVVRVLEGLQELDMPPMPRLLAALIDCSVVAHRDDSS >OB05G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2988149:2990698:-1 gene:OB05G14320 transcript:OB05G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M4A8] MAPLLSMFLGLLLLFSLQGASPCSAANDALAAGEALAAGDKLVSRNGRPSSAANDALAAGEALAAGDKLVSRNGRFALGFFRPSVVVGSSGVNITSPDWYVGIWFDKISEFTTVWVANRDDPITETQLDQAQLKLSEDGNLVISSNASIIWSSNTTANTTTAATAMNATISLALSDNGNLVIGSSPTSNVLWQSFDNPSDVMLPGTKFGWNKVTGATRMFVSKKNSIDPGLGLYYFQLDSTGIVLARSDPAKTYWFWSSKRSSNEPAISLFKQLMSINPQTRDLINMTYVDNTEEEYFVYFLLDESYSVYGLLDISGQLQINVWSEEKQSWQQVYTQPISPCTAYATCGPFTVCNGAARPFCGCMESFSRGSPRDWELGNRTAGCSRDTPLDCSNTASSTDVFHAIARVQLPSNNPQTVDNATSHDQCAKACLSYCSCNAYSYQNSRCSVWHGDLLSVNKNDGIDNSSEDVLYLRLAARDVLRLRNNRRKLIVGVVIGVCIFSLLAMLMLILLTLKKKLGLCGAPPHDGQCGGGIMAFRYNDLCHATKNFSEKLGGGGFGSVFKGVLSDSTTVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCKGDERLLVYEHMLNGSLDVLLFQSNGSVLDWATRYNIIIGVARGLSYLHQSCQECIIHCDIKPENILLNTSFVPKIADFGMAAFVGRDFSRILTTFRGTIGYLAPEWISGVAITPKVDVYSFGMVLFEILSGRRNTPGVHIANSNCVTFFPLHAITKLHEGDVHSLVDTELHGNFSPEEAERVCKVACWCIQDNEFDRPTMSEVVRVLEGLRDLDVPPMPRLLAAITKCSTLPQCDSS >OB05G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:2995609:2998100:-1 gene:OB05G14330 transcript:OB05G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M4A9] MHTSSSPSIHIWLPCSTLGLLLLFLCITPTSSSPDDALRVGEVLAVGDKLVSRNGRFILGFFQPSIVRKSGINITSPNWYLGIWFSDILEFTVVWAANRDNPITELQLNQTHLKLSGDGNLVISSNASIIWSTNNGNRKAATMNTTSAILSNDGNLVIESSSNVLWQSFDNPSDVLLPGAKFGWNKVTGFTRRIISNKNLVDPSLGLYHVELGNRGVILTRREPPVVYWSWSSEKSTNEFMLISLLKQLIDINPHTRGRIGIQHVDNNEEEYYTYTVLDESYSIYVLLDVSGQVEINVWSHLTQSLQKVYAQPADPCTAYATCGPFTICNGISRPFCDCMASFSRRSPQDWELDDRTAGCVRNTPLDCGNMTSSTDVFHAIARVTLPYNPQIVDSAATQGKCAEACLGHCSCNAYSYGNSRCSIWHGDLLSVNMNDGIDNNSEDVLYLRLAAKDLPGLTKSKKRPIFGVVMAASITSFGLLMLLLWLIWRNKSRCCGIPSYDRQCSGGIVAFRYSELRHATDNFSKKLGGGGFGSVFKGLLSDSATVAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMLNGSLDSLLFQSNGPVLNWTTRYDIIIGVARGLSYLHQSCHECIIHCDIKPENILLDASFVPKITDFGMAAFIGRDFSRVLTTFRGTIGYLAPEWISGVAITPKVDVYSFGMVLLEILSGKRNAHGGYITNKNHVAYFPVLAISKLHKGDVKSLVDPQLHGDFDLEEARRVCKVASWCIQDNEFERPTMSEVVRVLEGLQELDMPPMPRLLAAITECSDVSQCGSSSILCS >OB05G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3001577:3002488:-1 gene:OB05G14340 transcript:OB05G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRMQREVEIESVADDEAASSVQNIRLVIDIGDRTIQVPLNGHTVVQSVGRQAAAASTVAGAGAGGDDTSSFRVSEKAGGGGDGGGEEWLREDGLFGMRGWLMAVATLFAGMAFQAALQPPRWMPRPRDWFAALLAADPSSSSSPSAVTRDQAGKAMLYLIVNTCTFATALAVLLMLLAVGGGEYGGCAARRVTARLIANMVTAVALFAAATFALCAADDYRLMAFVGTVVAVYAAVTVAFVRFNLATAHAQLPFRRAGHVCSWLPRM >OB05G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3005397:3008626:-1 gene:OB05G14350 transcript:OB05G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MESCCSFRTAASPSPFPSLPSSSQRAPPCSGLRFPGPRNGRLVGLRRRASGFEAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPSAGHVQKSILHGAADHVLRKVLYWAKEESQMERLKARLIELYYENLFKLDTPVKGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMALSKYFKAIVTDEDDMESIAHRFLSAAMKLDRKPSKCVVFEDDPRGVTAAHNCTMMAIALIGAHPAYELVQADLAIAKYSELSVINLRRLFAHKGISFMDLQKQIIERSPPKRKLTVDTIF >OB05G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3010506:3013595:1 gene:OB05G14360 transcript:OB05G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARSPAAAAHLLLLLLSSALPPLAAAYRPGDIVPMLRSGQYHASRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGHEKFHIPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVLDLPHHYVELHPDIRKNFWDTQNWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGVAKVE >OB05G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3014029:3017291:-1 gene:OB05G14370 transcript:OB05G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQLSLSVLLFGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTLETQQKNVEVSPQQVKESDSAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRA >OB05G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3029561:3031821:-1 gene:OB05G14380 transcript:OB05G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQHPQSRKSSSWWWDSHISPKNSKWLSENLEEMETQIKETLELIEEGESSEEKAGVLITHVQNFHQMYRALAERYGNVTGELRKNIPSSLQSHVSSGISESDSEAQSPSSPEPDLQEKMSQQKQKPQSDCFDVSIGSGASSDVSKKGSDGSSSSSESDLELDEVKEANDNSILYALSQKIIELEDKLREVKGKLDASEEKNSRCQYVETKSLLKDLDEVKSEKEALEGVLLVNKDEINRLKESMVSAAKQFEVELAHHDTKIDKCNQELEVLSEKYVHDVSALEAELGKLQGVIKTFEDDFAKISHEKSLLESRVEDLEQSADSLNYSASEMVKLQELLKNTQAELERVSQEKEVLRERAHEFEQLFRDFENSGMEVAKLPEIIKDLEAQIEGTLQEKSVLQDRIKELEQVSHDSLQNHSLEKSSLSAELSKLSETNASLEAKLASVEAELRQVYDEKAEQSINSEKQISGLNQDLANVKSKLELLSSEKSLVNNKVATLLTDVTTRDEKLKQMDGHLSQLQLERAKLMSHADLARKSLSELHARVCKLEQEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHGQKRPLVMAT >OB05G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3037581:3040120:-1 gene:OB05G14390 transcript:OB05G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3M4B5] MGCCVSKGKNIEEDKLDYKGGNVHIITSKEDWDQKIDEANKDGKIVVANFSASWCGPCRVIAPFYAEMSKTYPQFMFLTIDVDDLMDFSSSWDIRATPTFFFIKNEKQVDKLVGANKPELEKKVQALADGS >OB05G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3040099:3041139:-1 gene:OB05G14400 transcript:OB05G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDSRTRPRSVKIKGKIKKKDGKKPTASTALQQKISLCYPLHKFRCSHDARAHKSSKKRIKRKRKPNNFSRRSRSVQPQNQIAPPSGRRAGWSSSSPEKARNARGVARRIPPPRSPLPPSPLTIQLYYYFPSAVAAAAGQASPREVAVEEIAGVALARRLSDRREFLSVV >OB05G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3045177:3045674:-1 gene:OB05G14410 transcript:OB05G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3M4B7] MAPKAEKKPAAKKPAAEEEPAAEKAEKAPAGGKKPRAEKRLPAGKGGEKGGEGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >OB05G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3048165:3048542:1 gene:OB05G14420 transcript:OB05G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKSVDQVKWDGGCYRLVKIDGGCYRIVKIHGGCYRIVKMVLMKVAISCGGFTCHIKHEANLFVRQDGYKWRCGSGSPIPCASYQQDAFVCWADRLQTGTRGAFGASMEWRGC >OB05G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3051063:3051257:-1 gene:OB05G14430 transcript:OB05G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHRIVDLDPQKREDRREEDGPDDDDGRTAVLPAHETLEEGVEMQITQMEKKSFPKSGPHDW >OB05G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3051072:3051248:1 gene:OB05G14440 transcript:OB05G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALRKALLLHLGDLHLYPFLKGLMGRQNRSPTIVIVWSILLASIFSLLWVKIDNAV >OB05G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3054294:3057045:1 gene:OB05G14450 transcript:OB05G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVTSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKPNNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFNRDQYVKLKSEGRIVPDGVNAKLLGCHGRLSARAPGQAFLSEDIAA >OB05G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3062921:3066186:1 gene:OB05G14460 transcript:OB05G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAEEAGGGVDQDDESTDLLSVSWNQDNSCFIAASTNGFRVFQCNPFRETTRRTPLGPDRGIAVAEMLFRTGVFGIVGAESNTRFPPTMLRIWDDDGCSIRVDEYRFAGEIRAVRLSRDYFAVVLDRTINVYRFRYLKLFYQARTASNPKGLCCLSHYADTSVFACPGTSKGQVLVEHFGLKATRLIAAHDSPLSCMAMALDGMLLATASVRGTLIRIFNTRDGTCMQEVRRGLDRAEIHSIALSQNLQWLAVSSDKGTVHVFSLRVKHAEEDANNREPATTVAQVNDNFSCGSMAPVTQTKKIGSNASSSLSFMKGVLPKYFSSEWSFAQFRLPEITRYITAFGDQDTVMMIGLDGSFYRCRFDPVNGGVMVLKEYHQFLKASKPQ >OB05G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3069851:3070773:1 gene:OB05G14470 transcript:OB05G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRCSGDPTMYGAFWRGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGFERQVRRLHRLVGNAVADGYHVLVGNGSTQLFQAALYALSPPGAAAPTSVVSPAPYYSSYPAVTDYLKSALYRWAGDANMFDADGENYIELVCSPNNPDGGIREPVLKSGAGVAVHDLAYYWPQYTPITEAAAHDIMLFTVSKCTGHAGTRLGWALVKDRAVAQRMSKFIELNTIGVSKDSQLRAAKILKTIS >OB05G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3077767:3079713:-1 gene:OB05G14480 transcript:OB05G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVLKKVNHEDERSNLSAVSIADIDRTMKKYADNLLHALEGVSSRLSQLEGRTHHLENSVGELKLTIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIMETQVQLSKLQVSKAEDAQSENAGVGQADSRQQPTLPQPQHQAPPPSQPLALPALPAPNAPPPPAIHNQPPSQFPGHLPHSQVQSVPPAPPTPLAPTIPQESYYPPSTVQPTDSTHQQYQAPPAPQSQAPPAPPQHYQAPPQYAQYSQPPASANPSTAVPPSVPQKPEEAAAPYGPPSQGYPPNVRPPPPYMPPPSGPAPPFYGPNPGMYEPPAVRPNSGPPPSYNTGYKQQGGGGFSEQYGYSSSPSHRGNAGMKSPSPFTPTGASSGASGNYGRLPTAQMLPQAAPVSSTPGASSGNRVPIDDVVDKVATMGFSREEVRAAVRQLTESGQNVDLNVVLDKLMNGSSDAQPQRGWHGR >OB05G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3083034:3083366:1 gene:OB05G14490 transcript:OB05G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTEYYIYLRVMKILLSFQENILPSNLFLFLQIIIKFASITYISVSSSIALQLAIAVSQCAVCPCEKMKVCPCEKMKLALVHGLTTVTRPWDWDGKGKECSIRSSGTEL >OB05G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3089890:3093385:1 gene:OB05G14500 transcript:OB05G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAAACVMSRRSRWRAAPTSPAAMVVACLVVLLRVGCVVAVDEQGAALLAWKATLRGGALADWKAGDASPCRWTGVSCNADAGVTELSLEFVDLFGGVPGNLGAVGRTLTRLVLTGANLTGPIPPELGELPALAHLDLSSNALTGPIPAALCRPGSKLETLYLNSNRLEGAIPDTIGNLTSLRELIVYDNQLAGRIPASIGRMANLEVLRGGGNKNLQGALPAEIGDCSRLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGRCSSLENIYLYENALSGSIPAQLGGLGKLRNLLLWQNQLVGVIPPELGSCGALAVVDLSLNGLTGHIPASFGNLSSLQELQLSVNKLSGAVPPELARCSNLTDLELDNNQFTGGIPAELGRLPALRMLYLWTNQLTGTIPPELGRCTSLEALDLSNNALTGPIPRSLFRLPRLSKLLLINNSLSGELPPEIGSCTALVRFRVSGNHIAGAIPPEIGMLGNLSFLDLAANRLSGALPAEMSGCRNLTFVDLHDNAISGELPPGLFQDWLSLQYLDLSYNVISGAIPPEIGMLTSLTKLVLGGPGLSGPIPPEIGSCPRLQLIDVGGNSLSGHIPGSIGKIPGLEIALNLSCNSFSGAIPAEFAGLARLGVLDVSRNQLSGDLQPLSALQNLVALNISFNGFTGRLPETAFFARLPTGDVEGNPALCLSRCSGDARERELEERHAARVAMAVMLSALVVLLVAAALVLFGWRRRGGARAGGDKDGDMSPPWDVTLYQKLEIGVSDVARSLTPANVIGHGWSGEVYRASMPSSGVTIAVKKFRSCDEASIEAFACEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGATAGTTAAVVEWEVRLAIAVGVAEGLTYLHHDCVPAIIHRDVKADNILLGERYEACLADFGLARVADDGATSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEMVAARLQGRPDTQVQEMLQALGIALLCASPRSEDRPTMKDVAALLRGIRHDDGVEARKAGNGVGTDAETRKQADPRQPISSTKLMALAKPALAQAQAQAQLHARANSGSLGLLNDQE >OB05G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3094880:3100312:-1 gene:OB05G14510 transcript:OB05G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMAASCCRCFVSYKRPRPIIATTTFVFFFLFLLFLLAAEAGATPPSNGTPGGALRPGEELLRFQRVKERLAGMRRASVKSIQSPDGDVIDCVPSHLQPSFEHPRLRGQKPEEEPTARPTARRRRRRSRGHGSGGEVEAGDGDEHGLQQAWWASGESCPEGTIPVRRTTEADLLRASSAAGRFGMKPRGGGAARRDSTSSGHEHAVGYVSGGQFYGAKASLNVWPAQVASPAEFSLSQIWLISGSFAHDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGALVGYWPSFLFTHLGARADMAQFGGEVVNTRPSGAHTPTQMGSGRFPADGYARAAYFRNVQLVDWDNNLIPAAALRLLADHPSCYDIAGGQGAAWGTYFYYGGPGRNSRCP >OB05G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3106602:3106805:1 gene:OB05G14520 transcript:OB05G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQQLPPDDVALQGWPHIYTANMHWRLEYSAWFCLDMNLDTVLVLTLYTSTATIAPELVTTRSSTSI >OB05G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3106922:3111545:-1 gene:OB05G14530 transcript:OB05G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14550) TAIR;Acc:AT5G14550] MKPPRRWWLYGRGGAGAPAVLLVVFLCLSVMLLLLLHGSSPSLEGDGAVEAVGGGGEEEKVVVAAAQAEVEEAPLPPGNVRLAFLFIARNRLPLDLVWDAFFRGDKEGRFSIFVHSRPGFVLTRATTRSSFFYNRQVNNSVQVDWGEASMIEAERILLAHALKDPLNERFVFVSDSCVPLYNFNYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPTIPVENWRKGSQWAVLIKKHAEVVVEDDVVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQHGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVSYKISDATPALIKSIKDIDNIYYETENRKEWCTSNGKPAPCFLFARKFTRAAGLKLLDLSLISAK >OB05G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3115423:3117041:1 gene:OB05G14540 transcript:OB05G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERSRGGGGTPERMAAAVVTGGGRNIGVAMDFSECSKAALRWAAANLARAGDRLVLVHVKASFQYEQGVAHLWEQQGSPMIPLVELADPRVSRIYGISPDAETIGILTRAANQKGVEVAAKVYWGDPARKLTEAVQRLPLHWLVVGNRGLGAVKRVLMGSVSTYVVHHAICPVTVVRENALPPPPPP >OB05G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3116934:3117344:-1 gene:OB05G14550 transcript:OB05G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRADTAKCCTPSISGQFDIHGEPKCPSISSSSSPAPSSSSSSSAAAAAAAAARYLPPSRPLSSTCPPVAASAAAGXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRRQRVLPDDGDGADGVVDDVGAHAPHQNPIT >OB05G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3121534:3126473:1 gene:OB05G14560 transcript:OB05G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQLKETKKSASWCVPCMLLAAAVLPVMGPGYNYCGGYCELRHLLCAHEPPHLTDGVHGFILTLSSPEFQHSNTTSVTQLLGIMVLAMSSKLRISSIVLSLLLLLPLFCGILLAPSCVVAALDAAPATLLQVKSGFTDPNGVLSGWSPEADVCSWHGVTCLTGKGIVTGLNLSGYGLSGTISPAMAGLDSVESIDLSSNSLTGAIPPELGTLQSLTTLLLHSNLLTGTIPPELGGLKNMKVLRIGNNPLHGEIPPELGNCSELETIGLAYCQLTGAIPHQIGNLKQLQQLALDNNTLTGGLPEQLAGCANLRVLSVADNKLDGIIPSSIGSLSSLQSLNLGDNQFSGVISPEIGNLSSLTYLNLLGNRLTGGIPEELNRLSQLQVLDLSKNNLSGEISAISASQLKNLKYLVLSENLLEGTIPEGLCNGNGNGNSSLENLFLAGNNLGGGIDALLSCTTLQSIDLSNNSFTGEIPPAIDRLSGLVNLVLHNNSFTGVVPPQIGNLSNLEVLSLYHNGLTGGIPPEIGRLQRLKLLFLYENEMTGVIPEEITNCTSLEEVDFFGNHFHGPIPERIGNLKNLAVLQLRQNDLSGPIPASLGECRSLQALALADNRLSGELPESFGRLAELSIVTLYNNSLEGPLPESLFELKNLTVINFSHNRFTGAVVPLLGSSSLAVLALTNNSFSGVIPAAVARSKGMVRLQLAGNRLAGAIPAELGDLTELKILDLSNNNFSGSIPPELSNCKLLTHLNLDGNSLTGTVPPWLGGLRSLGELDLSSNDFTGGIPVELGDCSGLLKLSLSDNRLSGSIPPEIGKLTSLNVLNLQKNGFTGVIPPELRRCNKLYELRLSENLLEGPIPPELGQLPELQVILDLSRNKLSGEIPASLGNLVKLERLNLSSNHLHGRVPSSLLQLTSLHLLNLSDNLLSGGIPGALSPFPATSFAGNVELCGAPLPSCGAARRLLPGAEVSVIVAAIAVVSAAVCVALLYIMLRMWSNWRAVASVSSSDGEETASSAAHGKGGGKWRAGDRKYWKVGSVSVASSAAEEKYSSASSEATSVLHGKPAEAATGAVNPTSKC >OB05G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3124850:3126523:-1 gene:OB05G14570 transcript:OB05G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSLKAIDHGRAGVAVQHLLVGFTAPVAASAGFPCSTLVASDDAELYFSSAADDATDTEPTFQYLRSPARHLPPPLPCAAEEAVSSPSELDTEATALQLLHILSIMYRSATHTAADTTAMAATMTDTSAPGSSRRAAPQEGSGAPQSSTLPAKDVAGKGESAPGIPPERRLSERLRRWRLVSCSSEEGTRPWRWLEERLSLSSLTRLPRDAGISPESLFRLRSSITCSSGSCPSSGGIGPSSKFSDSRSSYSLLQRRSSGGMTPVKPFFCRFRTLRDVSFPISGGMLPERRLSLRDSLRRPEQSPSSTGMPPVKSFDERSSSPSDRRPPSHGGTVPVRLFPSRFRWVSSLQLESSGGMLPEKLLFERSSILSSVRSPSSAGIAPASLLPASWSRTIPFDRATAAGITPEKLLLVRASTARELEPRSGTTAPVNLLWEKLITVRFLSSNSDSGRGPSSELLYKVTMLSSARRPNDSGSSPESRLSASASACKLLHSPRLAGIGPDRSFCRSWSTARFLRLPILSGIGPWKWLPKKSTSSKLVQLVISSGITPVISFS >OB05G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3136490:3136696:1 gene:OB05G14580 transcript:OB05G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSIYLCSFDHEFHGWLHFDGALEANEANLITSLFCCLPAEFADQPFLAVICILSPGYAFSQLNGS >OB05G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3137881:3138210:-1 gene:OB05G14590 transcript:OB05G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIKIAVLVTLIVPLAPRGASLLGNAVAVAVAVAPSPEQQQQQQINPPGSNNGASSAPGGQGAGQQLSWPRKDQSRRTAFTRRRFGTGGFFNDEKRFSPTGSNPLHNL >OB05G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3141755:3150678:-1 gene:OB05G14600 transcript:OB05G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMRISSSNLGVATENSVTKLTAEALVAIKAALHDKSNVLGDWKASSGGAGGPCHWSLRDGAPELVRRTVTGDREATAAAISIGTHTIGLALSRSWLLQHNDISGPIPETLGRLKLLQRLDLAFNQFTGTIPGTLGHPRDIFFVDLSYNNLSGPVPNFIVKNVYFAGNPLLHNLSREGQSCSYVVQEPVDIPSAKEEPVVITEHPSTHNKNGLSGTARLVSLYIAAALLIAFLIAGIIAVVWQWRSRHQIFADLDGLSCDIGGLQIRLGHLKQFTIKEIQEATNNFDRNNILGQGGFGVVYKGRLRDGTIVAVKRMKDCGSVCGDNQFHTEVEVISLIVHRNLLRLIGFCVTDSERLLIYPFISNGTVSSKLQEYICGDPTLDWSRRRRIALGTARGLVYLHEQCDPKIIHRDIKASNVLLDECLEAVVADFGLAKLLDHGESHAVTAVRGTLGRIPPEYLMTGQTSEKTDVYGFGFLLIELITGRKTMELHEDEYQEGGILDWAKELLEENKLSSFVDSRLRDSYAIAELEEMVQIALLCTVYSPDHRPSMSEIVRMLEESDGSAAEKWEALKNVNRSKPSTPEFMLSPPVNFGPDEYDSIQLEAVELSGPRTLFICPEFLVDKFGFFFQK >OB05G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3153288:3156392:-1 gene:OB05G14610 transcript:OB05G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAGLTSPAPAATAVWMGRRPRTARWLRGSARAPRRVVLAAASSSSSSSSPSPDANSNSPGSGGEEREVEDAARMEKAASFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPMLRLRRLLKKAVEEERFEDAAKYRDELKILAPHSLLKCSSDATTLGVRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDDVLL >OB05G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3160192:3163137:1 gene:OB05G14620 transcript:OB05G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVWYLLNIYFNIFNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLLLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSTGVNLQELCIKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFKTPISPINALGTGVALVGVFLYSRFKKVKPKAKTA >OB05G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3163744:3168050:-1 gene:OB05G14630 transcript:OB05G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3M4D9] MAKILLHGTLHVTVFEADGLSNPSRPSSQAPQFLRKLVEGIEDTVGVGKGTSKVYATIGLDKARVGRTRTLADDTAAPRWYESFHVYCAHLASDVLFTLKAKNPIGSSLLGVAYLPVRDIFAGAEVERWLPLCDDVDAERKPVGDGDSKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFIPRIPFIPIGNPHRCWEDIFDAINGARHLIYITGWSVYTEIALLRDADRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETMNYFQGTEVNCVLCPRDPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPRQGGRRRIVSFVGGLDLCDGRYDTPCHSLFRTLGTAHHDDFHQPNFATAALAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLAEVIIPPSPVVFPEDTESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYINAIRRARSFIYIENQYFLGSSYCWKADDGVKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPDSGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDADPKDYLTFFCLGNREAKAAGEYEPPEQAEPDTGYFKAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAAGRPARGQVHGFRMALWYEHLGTVDDAFQRPESVDCVRKVNAMAKRCWDLYAGDGPERDLPGHLLTYPVGVASDGTITQLPGVEFFPDTEARVLGAKSDYLPPILTT >OB05G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3164455:3166713:1 gene:OB05G14640 transcript:OB05G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDHHLGVDVDHEAAVLLGLEVAGVGLGLLRRLVLAGGLGLAVAEAEEGEVVLGVGVDALGLDGLGDVGVHHLHGAALPVEDGLDGAAVRDALRPHGHDDVDGEPLAGLDLAHHLHGQLLGDEVQRADVLRLDAVVGLPAVGAPEEVLVLDVDEAPGPPNGVDVRVLDAPVDDLVLAADEPGAGGVLGGVREPERGAAVDGPEQLHVPRLGVLGEHHRRRRDDDLGEVPELDEEVLAALLAPPLLEVVEHVPRHGALEAAVDVVPRLPRAALRQRRRREVWLVEVVVVRRAQRPEQRVARRVVPAVAEVEPADEAHDPAPPSLARHVVVDDDDLLVVREHGRDLQVLHDAAGVVGVARAQHAVHLRPLEVVHRLLVVRRHEPVLLEHPDGGPVVPDQHQHPHALASLLLEQLPERHSSAGLGPVGVPQQRDLRVHRPAGDVDEVPGAIDGIKDILPAPVRLVAAAAAERDAGDEPVGDMRVLVQRHLAALPLREEGVGHAGVLAAADASPPAPVLGDVEVLELDVDLAIAVADGLALGVDVVAEGQPALDLCAGKDVADGEVGDAEEGGADGVLGLEGEEDVGGEVGAVDVEGLVPARGGGVVGEGAGAADAGLVEADGGVHLAGALAHAHRVLDPLHQLPQI >OB05G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3170645:3171254:1 gene:OB05G14650 transcript:OB05G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSTLLLLAAVLLLRPLAGCHAATDAAAARRECTYTLRVRTSCASPERTGDAVSVAFGDAYRNEAYGARLPSSGGGGALDRCAVDTFRLPGQCRYGVCYLYLRRSGRDRWAPDWVQVLEPGAAAGEKPSTFYFGSPLPDGVWYGHNRCPKASPAMAARRTNTSASPLG >OB05G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3175923:3181838:1 gene:OB05G14660 transcript:OB05G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNTKRKSFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFQSIMEHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKPGEQQKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHAFVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNIPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >OB05G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3186442:3191098:1 gene:OB05G14670 transcript:OB05G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAKGGRGSSSGSGLPLASLNHISIVCRSVQESLQFYADVLGFVPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPDSLPGKTEINPKDNHISFQCESMAAVERRLKELGIPHVQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLATDQPFLMAACKRAAAAAVKHQQQQAASSSPATAPAQCVPSSTKAIHVSEEAHISCA >OB05G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3200162:3203456:-1 gene:OB05G14680 transcript:OB05G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPMGPTSRRWPSCASPSLSRYASRIATTNGRARPRSAIPALLRHLAPLPTLIYARRVARPFLARPPLRAHPLLYTRLDVGRYACFLMDYCSGGDLHAVLRRHPGGRLPVTVARFYAVKVLLVLEYLHAFGFVYRDLKPENPTGATNSKDYVGTHEYLVPELMSGTGHGNDIDCLRFLGAMTKKSKIKRSSTARNPAAELTDDLVVEILSLLPAKSVCRCKCVSRRWRGLIADAGHRKKLPQTLAGFFYRSESGTRFPMEARHFVDLAGRGRPLVHPSFSFLPRRFERVRMEWVALPESGYGAGEDEEDLCTRLGFDHAVSSHFHVFELVLDECGCVVGVEIYSSETGEWNYQESGWLPDTMVSGDQKSVLFNGILHLVVLHRLIVAVDVKGGSWLNMDSPETVDVEDIFDWDPCFIGQSQGKLCYVSEYDAVPLSLSVWVLEDCTTNEWILKHNVSTVQLTEKMSYRYHSCYYHVVTVHPDCNLIYYVAGRNDALMAYDMDSKESHFVQNLASDFKMGYLPYVPLYSEMLANSR >OB05G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3206126:3207277:-1 gene:OB05G14690 transcript:OB05G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKNKRSSKRRNPAAELTDDLLVEILSRLPAKSVCRCRCVSRRWDGLIADPHHRKKLPQTLAGFFCRSENEGRFPEEAQLFVNVTGRGRPRVHPSLSFLPRLQRVRVVDSCGGLLLCRCYVSAHAFRYVVCNPSTDQWVALPESGYCPEGGGDEFCTRLGFDPAVSSHFHVFEFVIYDGEYVDGVKIFSSATRGWNYSESKWFPEYTISYDQRSVFFNGILYMITGPSIVAVDAEGATWWDKPVLEMEDEPDMIGWWPCFIGKSQGKVFYFSERGIYPFNRSLSIWVLEDYSKDEWTWKHNVTTEELSEKINCKCKTGFDFDDLYYFHVVTVHPDCNLIYYSFGRDRTLMAYDMDSEESHVIQKLGSDFKLEFVPYVPFY >OB05G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3211316:3214227:1 gene:OB05G14700 transcript:OB05G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSKVVVVPHKHDGIFIAKAKEDALCTRNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGARVLYLGAASGTTVSHVSDVVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGKS >OB05G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3215682:3218419:-1 gene:OB05G14710 transcript:OB05G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVTSEALEAARIACNKYMTPSAGKDDFHLRVRVHPFRGLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKPNNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFNRDQYVKLKSEGRIVPDGVNAKLLGCHGRLSARAPGQAFLSEDIAA >OB05G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3221122:3227227:-1 gene:OB05G14720 transcript:OB05G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:J3M4E8] MAANAGMVAGSRNRNEFVMIRHDGDAPPPAKSGKSVNGQVCQICGDTVGVSANGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDEEEEDVDDLDNEFNYKHGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQISGEIPDASPDRHSVRSGTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILCFFFQYRVTHPVHDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >OB05G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3235924:3236286:-1 gene:OB05G14730 transcript:OB05G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDGRLMSEGHDQTYQSSTGDGEASSLESKELRHSRSIGSGMTAQRKCSDSVERSRSGNQAFRTRPVPPAMDPPSPKVSHCLFCGIFSKEEPSQPPKPRRY >OB05G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3235973:3238149:1 gene:OB05G14740 transcript:OB05G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNKQWETLGEGGSIAGGTGREVLWCIIRSNDCSGRGKGVFLKPNNTQAYKRKGNHNKFQDESDDGDKGRGLGVNEIVRLMLQQFTHYSSHRVIIHYIDLVNHILLEAVPTPWFKQNKNVVTTPSVTFDWIAFKVLSILSKIVDIPQTKTSRKLTVLHFYICTCYKYDSLTNRSPILSLEKLTQRFGSLKIIREGSHIRRVSSGVVKP >OB05G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3238027:3238320:-1 gene:OB05G14750 transcript:OB05G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPRHVVCATLRGQKKKRRGKQRRVLSARSSECLTVEFTELKTLAHIKEERCISISRFNHSTAHTPNVRSFSYDFEGPKSLSELLKTKNRTSVGK >OB05G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3240034:3242933:-1 gene:OB05G14760 transcript:OB05G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIIIPEIAAVKFPDIHVESPSGRALNLPLVAPPNNVDAQAGNTVDPQNVDSKAGDMVVPDASLLCLSFRASSQKMVESWSLPFLDTFGSSGNIQVYEVSFIDSRLLSASPVRQLFLKIMRKSNNPQRHVVYSFGDSYYFRKKLHILNLLTGYIYLVDRLGRVRWQGFGSATQEEISSLTACASILLR >OB05G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3243976:3246603:1 gene:OB05G14770 transcript:OB05G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSSSSQFEDPAARRPPPQLQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLISELYDVESK >OB05G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3249698:3250051:-1 gene:OB05G14780 transcript:OB05G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSASTVSFSAGRPAAGAGPRSVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGVVEEEEGGRRRPFVGGLTEEKARELRARMVETESFHDAMYHSAIASRLARSA >OB05G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3255380:3260932:-1 gene:OB05G14790 transcript:OB05G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPKKAPFEGVIHDFKGRLSCYRQDWHDGFRSGFRILAPTLYIFFASALPVVAFGEQLSKDTDGTLTTVETLASTAISGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNQPNLGEKLFLPWAGWVCIWTAVMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAIKGMVSEFSVPEGKDHSLPIYQFQWVYVNGLLGIIFSMGLLYTAISSRSARSSLYGTGWQRGLIADYGVPLMTVLWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAIVPAVMVAGLYFFDHSVASQMAQQKEFNLKNPPAYHYDILVLSLTVLICGLLGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMIRTAKEGMKNNASSSEVYDKMQEVFIKMDDKTSVSSVHKELKDLKDAVIPEGNGAGRVSEVFDPDKHLEAYLPVRVNEQRVSNLLQSLLIAGCLGAMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERTQLLFISPQRRYKLLEGAHASFMESVPIKKISAFTIFQLVYLLLVFGMTWIPVAGILFPLLFFFLIVVRQHVLPKFFDPRHLWELDAAEYEELEGVRHDSSSDEGSVSRCSDASPEYASEILDEFTTNRGELKRRAKSFRDERLMQLNSVKMTRELSRIPTFTPPRS >OB05G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3265600:3266194:-1 gene:OB05G14800 transcript:OB05G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPCTRSCKCTPPAARASAHHLCRRPERRVTFPLESVLTSLPRVVKLGFGAVVTTPSSADRPPPPLPLPPIALRLSCRSPSSTAPSCTGHPLSLPSLALCLSRRSPSVSPAGRPPPPVPMNRFRPNTIVDGCHPILGGSLEDHKDRQIDIFRCQVM >OB05G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3267014:3273108:1 gene:OB05G14810 transcript:OB05G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALIEVFPQLDFLTLVEVCMEYKDTIDGAADYIIHTVLPSIQDDNNANANDDSHMKGPSSVDNDANSVQSDTYEEKDSLMSGNSNCLVAGSPNLKPEASSFEDDLEIQDDGELAEEDSLMRENKDNHMGENSDCLVAGSLNPKPETSAFEDDLAAQSVYPIRLESLDNAIVNEKDKKVILMSNVTAINQMLEDIKFKEEKAKQAMVDSTKAGNDILVKVEDLKEMTMLAMEDNNKVEGEVFAEQSVLASEAHGLQARLSNISEESNNYFLIIDEMHNTLQGRLAAAELETVLSKQAKIDRESLAMKMLNEQELLVDATKERSKKLEEQVQENTKLKELLMDRGQVVDALQGEMLGIFDKISQLQLRVQGSSSRSCSSNPADNIAQPQCEVDEGQNSADELLQIASPSSFRSTDSTAQALDHEAQLPPVDEPLLLPADESEPLQQHYPDDDDDEPQVPLDVPLQLGSSSSLKSSDSSIAELLGRRVLDVHLAVGSAQLASSGLVKSSDNIAPFRYRTSVDVDDSPQLPSYCLASSEKLTASKSSWSSAVRSNSVISANDETDDDSWDVVDDEAMYMCAN >OB05G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3276082:3277797:1 gene:OB05G14820 transcript:OB05G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRRRRIDDEHVPLALLPTRDVPDHVGEVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEGGGGAATGATQGGHGSFLLFDVIVYRIKNLQIYRNYILIINLYNISHQNN >OB05G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3282505:3285025:1 gene:OB05G14830 transcript:OB05G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M4F9] MNCLQDASKPHFVLVPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNASRIQSTIDSAGELDIPIQFVPFQLPCAQVGLPDGCENVDRVLEKDQVKKLTDAYSMLHKPLVLYLRQQTVPPSCIISDLCQPWTGDVAREFGIPRLMFNGFCAFSSLCRYLIHQDMVFENVDDGDELVVLPGFPHHLEVSKARSPGNFNSPGFEKFSEKILDEERRADSVITNSFYELEPLYVDAYQKRIGKRVWTIGPMFLCNADRGTMTDRGAKASVNEKHCMEWLDSMQHGSVLYVSFGSMACTVASQVEEIALGLEASKRPFLWVIKSDDRTTEIDELLPEGFEGRTRCRGLIIQGWAPQAMILSHPSIGGFVTHCGWNSTIEGISAGLPMITWPHCAEQFLNEELIVNVLKVGLAVGVQSITNRTMKPHEISIVKREQIERAVVELMGEDAGAKERRTRAKELKEKARKAIDEGSSYSNIRQLIEYISIRVT >OB05G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3299626:3300075:-1 gene:OB05G14840 transcript:OB05G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLITSHPCCARTHVHDTRGAHECDLRHLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAEGASGPWRGG >OB05G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3301598:3303635:-1 gene:OB05G14850 transcript:OB05G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPVENDNGAAYFDFRAAECVPESHAWMGMHEKDAAPVVAATMPGDEQQHGDDAVPIVYVEFNLLRVS >OB05G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3306507:3308412:-1 gene:OB05G14860 transcript:OB05G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGAMRRKAAYMHASLHSAAASAATRPASAASRHSAAAADIFAMKVMQIVVATATVIVVHLV >OB05G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3309401:3312952:-1 gene:OB05G14870 transcript:OB05G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISWKAPKSGGGGSPTAKSPPLKGAGNGREAEQPSASPPPAVDGVETREDVFLRKLNVCCVVFDFSSAAERGRDSPERERKRQVLVSLVDCVGAAEEPLTEAMVSGCVRMFAINLFRVFPPKVRSGAAGAAEADEDEPFFDPSWYHLQVVYELLLRFVMSPVIDVKIARKYMDNSFVSRLLDLFDSDDPRERDCLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETNHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALVPLHKPKTVCVYLPQLTYCIIQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVMELTEMPEFQKCMVPLFRRIAHCLNSSHFQVAERALFLWNNEHLFDLICQNRQIIMPIIYPALERNARWHWNQSVLNVTMNVRKMFLEMDEGLLLACQRNFQEEEEKRAASEERRKLIWENLERNASFHPVTGDIGFSVLPASAPLVAPTMT >OB05G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3321315:3324228:-1 gene:OB05G14880 transcript:OB05G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M4G4] MSVETDRSSTESSAVSGLDFEDTALTLRLPGSSSSAASEPDRKRASASDDTDRRSAAAAESPPSPKARVVGWPPVRAFRKNALAASASAASRKAKFVKVAVDGAPYLRKVDLEAYPGYDQLLAALQDKFFSHFTIRKLGNEEMKLVDAVTGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRSAR >OB05G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3326713:3327825:-1 gene:OB05G14890 transcript:OB05G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTMTGPTRSCPTSHPFRSVSEAAVGPPIPPIADSAAGATNSVIVFALCGCRLTEDGDESDGEGGEGRKWKEEGTWMEKVMVVAWIQFRKITWHLIKYVNCSDIFLISHIGSAQEVVGVTSSYPGAKPWLLISRGGSFEFSHGVLNWTCYRFAF >OB05G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3327689:3327985:1 gene:OB05G14900 transcript:OB05G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVAPAAESAIGGMGGPTAASETDLKGWLVGHERVGPVMVDHAITSSQPSPPPPLSSPVWRRRGKTRLSSSNRSSTLDLDADVDTVVAPRRPSLLL >OB05G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3334268:3338097:1 gene:OB05G14910 transcript:OB05G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKNLWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRVPLPVIRQVTSNLEKMKLPTKLSGTTGDSSRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDDHHRSSSPSRRSGSREVPDRDRSSRDRDRSSRDYDRSSHERDRSSRDYDRSSRDRDHDRDIRDYHRRDHDSRDRDYRSRHSSSERQDDRRDRESSRHRRSSSRHRSRSRSRSRSRSRARTEERSSPFGDPGKEKTAAISSNLAKLKDLYGDIAEKKDEGEAPRRDSCAEEVIRLGGPRWR >OB05G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3343310:3345145:1 gene:OB05G14920 transcript:OB05G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPFESGTESEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILESSSGTPPSNSHNGPLARRDDNRGRRQQSPLNSACWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWIIGYTVGCIATLPHLYWRYLHRNLPTTGQAPTVQNIPPSNTPEANSYGVVGPNGVSVNNEVTVNPRHQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAEAINALGTCKFKSKKVRDGDGNEVGVGVVAAGTPKERAVSAEDAVCCICLARYADNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVPTNAPAIGFGRRHNDNRVGNDIESRL >OB05G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3351978:3352382:1 gene:OB05G14930 transcript:OB05G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNDEQSRSCGPGESDVPGLYNNPFQRELALISILLLLCSRSSSMELSAVSFSVCSAYRHLSTPAAAAAGSGLRPPSTTSSSSELSESRRRTKAAELRRRCYGVLKQQRTRLYILRRCVSMLLCWHQHDLSD >OB05G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3362425:3362880:-1 gene:OB05G14940 transcript:OB05G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARPLLHSRLPRGGAGFYGNCYYIMRVASTAGKVATATVTDVVKMIKEGKKRLPSEFARWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTADRVTAFHDAMLDIN >OB05G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3384813:3387446:1 gene:OB05G14950 transcript:OB05G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:J3M4H1] MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAQAAPAQTAVAPKKAKK >OB05G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3392647:3394365:-1 gene:OB05G14960 transcript:OB05G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFILSIIINLIIFTLNFLQCLFKGSVVVVVVVGGGGGVVAGSPDGARRRGGGEVVVVVVVLDVGPGLGGERRGRPEHLRLSLLLLLLPRRRRRLLLPLERLERGELVGGADPHLLRLSGAPPPAPSPRHLPPSLPATTPQP >OB05G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3392683:3395270:1 gene:OB05G14970 transcript:OB05G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRRGAAKAEEVRIGTANEFAALETLKRKKKPAAAAGKKQEKERKPEVFWAPAPLTSKSWADVEDDDDDDYFATTAPPRPVWGTRHDAAAAAHDDDDDNAALEQEIESEDDEVDDDAEDEHEHENEAEDAASSESATNKAAAPAPPKDTERQLSKKELKKKELEELDAVLAELGLSGESNNAAQNETNGKKGADQGADGEKRDDAPAPSESKTSKKKKAKKDKSAKEAKEPQQLNGGLEDAAGAKPDEQAASVDVKERIKKVAPTRKKKSSKEMDAAAKIAASEAAARNAKLAAAKKKEKSHYNQQPVR >OB05G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3398626:3398964:-1 gene:OB05G14980 transcript:OB05G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKVLGAGGEATTVVLAHGYGGSNHIWDDVAPALAKTFRVVVFDWSFSGDVVVDDDADVDEGTICSYFGFADELVAMMDELELREVAFVGHSMAGMIGCIASVARPELFSRL >OB05G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3410916:3411128:1 gene:OB05G14990 transcript:OB05G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDQDEQPCISSKIYANLSDNRQFDRHVVPFIVEALLWYGSPSTHINLRVAIMLSPSYERWQCFTSYPL >OB05G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3427448:3428631:-1 gene:OB05G15000 transcript:OB05G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVLVAVVVTWKQTAIFSDPKNLTADWVGPGVCGYTGVFCAPLPGAPRGEVAVAGVDLNHGDIAGYLPPELGMLTDLALLHLNSNRFCGLVPATLRRLRRLHELDLSNNRFVGGFPAVVLELPALRFLDLRYNDFEGSVPPQLFDLPLDAIFLNHNRLRFELPDNFGNSPVSVIVLANNDFGGCLPASLGNMSATLNEILLINNGLSSCVPPEVGMLREVTVFDVSFNSLAGPLPPEVAGMRKVEQLDVAHNRLSGTVPEAVCGLPRLKNFTFSYNYFSGEPPSCARVVPAAGARRNCLPNRPAQRMPQQCAAFYALPPVDCTAFQCKQFVPSPPLPPPPPPAYPGPLPPVYPIPYASPPPPPLYR >OB05G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3427944:3428447:1 gene:OB05G15010 transcript:OB05G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISLSVADMLPRLAGRQPPKSLLARTMTETGELPKLSGSSKRRRLWLRKMASSGRSNSCGGTEPSKSLYRRSRNLRAGSSSTTAGNPPTKRLLLRSSSWRRRRRRRVAGTRPQKRLELRWSSARSVSIPSSGGRYPAMSPWLRSTPATATSPRGAPGSGAQNTPV >OB05G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3441441:3445526:-1 gene:OB05G15020 transcript:OB05G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGAISQFLSVTEQIIPVLIFGPLANCCDRFYRMDSVKTEETQPEEPSADKSQDEVKAPPAVNPLAGFLNAIAVIASGVLAGLFGASQQEKKALQSTVSAMETKLAENEAAMSMLRENYEKQILNEQAEQKKQARMFREKEASILDQLTLTKRTVTSLKEEVRREKELVEQLKHEICRLKSSIAQAEEHNHAFEGKLREKLETLDILQDKVNLLRQEVNAKEESIRELSSSLSFKEEDYQKLQLIYSETKASLEHANSKIGQLEEDYSAAKDDLNSKISSIDSLNEEVKILYTAKSGAEEKVSELMKQYVDLTASFDTRASHDSELLIEKDTMLKQLEEQLSAALSDANKNKIIIAELNSELDTNRAMLDDEVEAHKKLSELVQSTEGALTDSRNKVFNLSEELDEAKISNQQLITQISKLTDESNTAKQVLTNKIAEAEAVSKVLSDELASVRDILEKTQEKLDVTSNQLVSTLEAREDLNKELLDAYKKLESATDELVRERKINATLNRELEALVEQSIVESEARRVLQADLDEVTSSKKEVDDSTQFLSERLDSANSRIATIEEEKEMLSEALEHQKESTMEARKDMVDAQNLIKMLGAERENFEMRSKKLEEELATAKGEILRLRRQVSASGSLKTELPETSVPSNASQHEQNLNDPVPNSNKFDAGDVHSPSRIYRRKTKRSTRAL >OB05G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3448598:3452167:-1 gene:OB05G15030 transcript:OB05G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHVGMDVLVVTNSGIMGISSCGASIDPSIHLILVAGAALQGVEEEEEGIMLRKVVVVPDAGDAADGAGKPRSAAAFFKVPRLLVGLAAASRFYGDVKSGPEVTVSGGAQLGVNAGRAADLGKFPATGSLPASIGCPPRYIGSVSATEVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGEELRRVCSYCKKKLDGNDLCFYRGEKSFCSGDCRDQEILIEDEEESNTAISSPISIGSASSFHDDLFMAGMAVLDMSTSSPHA >OB05G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3463845:3472791:-1 gene:OB05G15040 transcript:OB05G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 34 [Source:Projected from Arabidopsis thaliana (AT1G60490) TAIR;Acc:AT1G60490] MANGEFRFFLSCDISLPLAFRVDRLLHYPVPTAPSPQDALMANKKVPELFVECKLYIDGIPFGLPVSTRLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGHIVGGATIFLFNNKKQLKTGRQKLRLWPQKVADGRIPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVEWLDRLFFKAMDKTKEKCERTENLYPSLLVEFCSFEHRVVFQESGANFYAPAPVSLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKCLQRIFKFPPTRSLQVDEKQLVWKFRFSLMSEKKALTKFVRAVDWSDIQETKQALELIRKWETIDVADALELLSPDFESEEVRAYAVSILERADDEELQCYLLQLVQALRFERSDESCLAHFLVNRAVSNIEIASFLRWYVVVELRDPAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELMAQLGSIMKDVKQVRGSAQKKIDRLRQLLSGNFSELTNFDEPIRSPLAPTVLLTGVLPQESSIFKSALHPLRLAFKTTNGGTSKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATAQDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITSEENAGLKLQEKFRLDLDDEDAIHFFQDLINESVSALFPQMVETIHRWAQYWR >OB05G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3476352:3477767:1 gene:OB05G15050 transcript:OB05G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSSAAAAATASAGGEEGAMAVEDGGGESSITALLFDVSQQVQEALQGMLKMTGEIEQCGGEIEAEIERAKEAVADKGRALDDDRDRFQKAALSALNILSGDGGNGI >OB05G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3480714:3480866:1 gene:OB05G15060 transcript:OB05G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAQESAGTTEAAPRWRRRGAIDGSVVDQAVAYTLMAAALAVTYLVH >OB05G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3485459:3485653:1 gene:OB05G15070 transcript:OB05G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLELGASYWLLAGAGWNFFCVGCWNTRFFCACCWLLARCRLEEQWLLGGIFLLAVGKMHTET >OB05G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3494486:3499448:1 gene:OB05G15080 transcript:OB05G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3M4I4] MSNTRGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSERERPAFSVSGDAVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPAVKYVVWSSDMESVALLSKHAVIIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGFIDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYITAATHGLAEIADKLAEELGENVPSLPEGKSWSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGRAELEEDDEAACADWGDEDLDMVDASEAMANGGDDFDAEDGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAAFVAPPSGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEDSRREVDEVKELIEIVKEYILGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENSPNEGQAKKARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRCFRPPLLLYAVEISGTEDNISSSYVSQEDVLSLVDSFSERRWDGIGFKLNLPLSKGAMRLGIWIAASRFFLITPYAEGVYDTVVFYTFDDWSY >OB05G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3507657:3507929:1 gene:OB05G15090 transcript:OB05G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASTLHAAERRRGGGGSSTARRWTRPSRTRSWPPPSLPPTFSISSMVDHGLMGERSAAQDDMIECCSMPSLRIIIGISEFCVMFFQCD >OB05G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3508933:3514897:1 gene:OB05G15100 transcript:OB05G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARLRGIDVVLNEESKRETPAVVCFGDKQRFIGTAGAASSTMNPRNSISQIKRLLGRSFADPELQRDLGSFPFRVSEGLGGYPLVHARYLGEDRAFTPTQLLAMVLSNLKGIAEGNLNCAVVDCCIGIPAYFTDLQRRAVAEAATIVGLRSLRLFHETTATALAYGIYKTDLPENDWLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDDVLFKHFAEKFKDEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVLQRVKGPLEKALSEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVRDFEVNDRFPFSIVLSPKPDSENTESQQTLAFPKGSPVPSAITATFFRSNTFTVDVVNVCDDDLQMAPNIISTYTIGPFQSSKFKKAELNVKACLDIHGIVSVESAMMLEEEVEVPVASTNETVKDATKMDTDDAPTDPASGTGGNMEESKCAADAHGAAENGGPDSEETSSPMDTDAKVEPPKKNVKRISVPVFGLVYGAMGAEELEKAVENEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVMPEYKDELIAKLQEVEDWLYEDGEDETKGVYIAKLEELKLVGDPIEIRYKEWTERGSAIAQLVHCIKSFRDAALSDNRAFDHIDMSEKQKVIDECSDAEIWLIEKSQQQDVLPKHADPVLFISDIKKKAEALDRLCKPIMTKPKPAPTKPQTPPPPPPPTETPATPEPQTPEQQQQQQSDGAGEAGEPTSVGGAQDQEPAAEQMDTDKPDGSAEPSA >OB05G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3519107:3519809:1 gene:OB05G15110 transcript:OB05G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMASPSDPAMTDEQKDRSLRDTAVGFMFAAKDLIAAALAWLFYMICTHPHVEAKILDELKSLRPTAADDDGAPVVFDSDTLRSATYLHAAVLETLRLFPSAPFEEKEAAGDDVLPGGTTVAKGTRVVFCLYAMGRIEGIWGGDCREFRPERGLAPGGRARPEPSYKFAAFNAGPRSCLGKDLGLSNIKIAAAAVVYNFRVELVGGHVVEPKDSVVLHTKNGLLVRVKRREAA >OB05G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3520207:3522084:-1 gene:OB05G15120 transcript:OB05G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSSDEFCRCQACLGRYTLLADDENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLVWYIAALLYYCKYYNRDPRERPGLAASAFLAVIFTVATIVTLSVLLIMCTQRRLNSCPS >OB05G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3525462:3527096:-1 gene:OB05G15130 transcript:OB05G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFPESSKFFGALFSLLEIFSGTQSFGNSEKEAIEVILQIQIIQQEGEDKLCRNHTSDGNCNTKSAYKEVMKHRYQTVAQDKIIPPRVKTFVWRLLVGALPTSLRLSWRMKDYLPTAPDAMLTTYTNINNVLVNQEHCRTQDLEMEQSGNQIERRRKCPSIPGGIRCYIDASWTTESTGLGLFIMATTLNAQSILQAELIALQLAMEVALFLDLENTIFLTDNEVIARTMTTRQFDQDPGHWSIRPWLSI >OB05G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3529162:3533178:1 gene:OB05G15140 transcript:OB05G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHADTSLWQLDAMLEVMLLLLQRTGMTTTLLMMLTFGSPTPQNPLEKSHGSQLPWHYSFSSLEALYSSFHISYSQITWRVITLRRMVSYSWVSLPFFLDFMRLELLTIPGEEHQGTPLHPFQTISLFISSCRGGSENENGQKLETSIRK >OB05G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3537384:3538346:1 gene:OB05G15150 transcript:OB05G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVCPKLQVEQQCRSAGGDGDGGGRVGQTVAMLVATAFTAQAAYRARREPSDLAFVLFAYAALGLLFLCLSMYERLPPLVDQQEEDDGTMTGERRRWPKMAVWALSAALSVAFPWGIDRGGHAGAGAGGRRVGNDPLRGGRRFLPSVRVEACGHFIAYATLGLFVLWLRPYERPPLTVETKDEEAVWALSTTWSALSEPWNLAFVCVAYANLTALFVVIRRSQRRLTPASPAKERRRLRRQAWELSTALSCAFAYRAERIMPPAMAVIVWTMTACAIVGGLYILVLNDDGRGSEDCHAVDVDDGQSSLNKLTAHEMV >OB05G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3545622:3546159:1 gene:OB05G15160 transcript:OB05G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVCPKLQVEQQCRSAGGDGDGGGRVGQTVAMLVATAFTAQAAYRARGWPDGGDGGTTTGEQLRRRRWLKTAVWCLSTALSVAFAWHVAAVLPAPALKAAVWGMTSAVVVTGFYLLFVYRPAAISSYSEVDTCEHDKASSKLNQMV >OB05G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3549734:3550289:1 gene:OB05G15170 transcript:OB05G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKEETRRAAAGAAAGGGATAESPDAGEPHALAAHLQGWCRACLHLAALAFVXXXXXXXXXXXXXXXXXXXXXXXXXXXXAALFAVLRRAEALTPESPARERRRLLRAAWALSTVLSCTFAYRVARIMPAAMAVAVWAMTASLVAGGFYLLVLNDGRGSEEDRHVVHDGRPSFHKIPANDMV >OB05G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3553130:3555749:-1 gene:OB05G15180 transcript:OB05G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHIVKYLTFSLVPSVSFSLRFLLQRKGSAMAMSFASAAATTTTSSSQLLVPVHRLSRRKLPTAVPSLSFPLRPHRCLPFSALPPEPPRFSHRTHSSSSSSSRTPTDGLLSPIISTSRTLLFLLVASLLSLSGVRPLPSLASLPPPTQQPQEETEGQEEQQGSQEGKQQEGPVEKEEGVEAEVDEEEEEAEELLRQRQEEEGDEEVRMYLDVLSSDPGDVDALKCVLFAKMRRADWGDALGFARRLREAEPGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGLLVRALHGLALCMHKRSEGPTVFEMLENALQLAISEEKVPEERNIKLLIAQMHVVKGQLDAASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKSFITDVIQAARMESKDRLQKDFGPELLSKK >OB05G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3556354:3556908:-1 gene:OB05G15190 transcript:OB05G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISKNPNILNSVESHRISLQPINLTICHSIHMSLTKCHPPVYFYKNDILPITFLIKYHFTVFEGVFSLSFFLHQKVHKMSRMPSSTVNWLDRSSVGSSSHQAAAPDAALPQFPAELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASPCSRAIPGRRGQLAASERRRQFSSPRQQQ >OB05G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3558053:3561344:-1 gene:OB05G15200 transcript:OB05G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVVKVEDIVDTGNTVSCLIAHLEKKGASSISVCTFLDKPARRTANFQLVGDGKFYRGFECPDYFVVGYGMDYAELYRNLPYIGVLKAEMYKKDTSN >OB05G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3562092:3562301:-1 gene:OB05G15210 transcript:OB05G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPNASMMKLDGVVADFSMDGTNDPRGLKLLENARGKLAEPKNCWQEAYRKLFASCGEIMADKEKQS >OB05G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3562873:3567540:1 gene:OB05G15220 transcript:OB05G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >OB05G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3568253:3573998:1 gene:OB05G15230 transcript:OB05G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:J3M4J9] MTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVDKSDITSVTWMSIPRSYQLSVSTKEGLFYRFFGFREQDISSLINFIEKNMGIIPDEKQLSVSGHNCGGIEINGNMLSFNVGPKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGAHEKDSLMDLSFHVPTSNTQFPGDENRPSAQILWQAILSKADVGSSEEAVVTFDGIAVLTPRGRYSVELHLSFLRLQGQASDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSEEVLAEKYKDRLESSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASMSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGDGQGRAGGVTAVLQSTDDVAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEDGSDASLSGGEKEKSSKKEASISKAPLKKRKPKSGDAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYIEQSQVDKKRYAEESAAYRGAAAMDVDPGPASPSASASD >OB05G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3574226:3574402:1 gene:OB05G15240 transcript:OB05G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVALAPILSIHLHPMAIARSSVFFSLITFSPFMLCYEYMTYPSFNFLFEINGGKLQH >OB05G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3577265:3581973:1 gene:OB05G15250 transcript:OB05G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGVAGSVVLRNGRLSEILGELQEILDKGEKGKGGDGDGDLSDALTKQVRNLAMEVKQLASSRGSITVLSGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIEKLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGSKIAAKRFGSQSVTQGLKLAIESGIFDNEIFSSPKNNPDSR >OB05G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3585614:3590552:-1 gene:OB05G15260 transcript:OB05G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAGGKAAPPPPSPELVSFLAIGLDQRTAENALANRKVTANLTAVITEAGVVSGCDKSVGNLLYTVATKYPANALVHRPVVIQYIVSSKIKSPAQLDAALSFLSTLGPDSLDTAKFEETCGVGVVVSTEEIQSTVTDVLKENMEAIVEQRYHINVGSLCGQVRKRHPWGDAKFIKEEIDKRLTEILGPKTEADNIKPMKKKKEKPAKVEDKKTAAAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNTKDILEKHLKTTGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYVRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVPEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVASRTMVVLHPLKVVITNLESGKIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNTDNIVEIRAEYDPSKATKPKGVLHWVAEPSPGVDPLKVEIRLFERLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTPEELVFNRTVTLRDSYGKAGPK >OB05G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3591528:3594823:-1 gene:OB05G15270 transcript:OB05G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQEVSGDLGSPKTPQDHIMDLGDHSKIKRARATNWPTVMSKFVLDWYLQKKKEMPPKTKFKKIHHHYCTSILNARFKTTFTVDQVHRHFRRFKEVWNIVARYMNVTGSRFDKKHKMLVLPPSTMASLPIAERAILAKPIPFFNHIQALFGEWSMDAAPVTDPIAADDINDDDMEILDPLNMMANCADAKDPDGTDLDKFVLEDEDDCHEVAASSDAVPCEVMSDTSAPSSQPSGSFAESTMAALKPGLKKCKIVSKAKPTPSPKSQAPVPHEGRKADMVKSTLAGICDRPAKPIRAAPTSSDPNAPLWTMLKEIPLTPADRLSVGICLCKPEYEVHRSFFMNMGREYLEAWAHKFLSGGEHASL >OB05G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3598835:3600299:-1 gene:OB05G15280 transcript:OB05G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFFSSSCSYSSSSSPFMASYGPELRAATTVAVEADFFGELDFDYSLPAPVFTGSGDEYPENNKNIMMMCGNEEKITARVNGRIGFRMRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSTEGCNVKKRVERDREDHRYVITTYDGVHNHASPAAALQYAGDYYTSPPGSAGSPPSAPYSAGSLLF >OB05G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3612794:3613442:1 gene:OB05G15290 transcript:OB05G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPVQSTRGGSHRARSKVNPEEERPTSTEWDWTTGQALNMTSNMMTSTSPVTHSQWTIELLGIALVSCMATELALAAAVVAMIK >OB05G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3612945:3613432:-1 gene:OB05G15300 transcript:OB05G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAASASSVAMQETKAMPSNSIVHWECVTGEVLVIMLLVIFRACPVVQSHSVLVGRSSSGFTLLRARWEPPLAVRHAPYLQLAALASAAPRVALPQPQAWDPQPPPPPPALKWLPRLALPLSTSLLLATQLARLHRNHRFTVMFVFLIVGLR >OB05G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3614678:3617824:-1 gene:OB05G15310 transcript:OB05G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3M4K7] MGGGGGGGNTRELDQTPTWAVASVCGVIILISILLEKGLHKIGEFFSHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICITEKAADTMLPCRLKASTIEAETDKGHHGGGEAAGAKEPGHMAKPAEHFGLMGTPFAAAFSAPPHHRLLAEAKMSTKCPEGKVSLISINALHQLHIFIFFLAVFHVTYSAITMALGRAKIRGWKDWEKEAAGQDFEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVSGLHTMFWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVVQVLCSYVTLPLYALVSQMGSTMKQSIFDDQTSKALKNWRAGVKKKPAAASSKHGGGGDSDHPDSGSPKAEGPGGGGSVALTQRKHSDGAGEADGAGDGSGSKKGDEGNYEFIKLDP >OB05G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3631239:3631884:1 gene:OB05G15320 transcript:OB05G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDGQRDVGVGGDDAKADDDGGGSARMRRDGGRRGKREGRGRPVKKLIAQIDLFDRANVIGTVVL >OB05G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3633567:3635000:1 gene:OB05G15330 transcript:OB05G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLEPFAIPLFEDSTVVDDFDCSSVFAAGFSPMDGGDPFADHHHHQTLMSSAVMSTQVVPDQPPAEVGKRRFDADDEAAGVSRSSKRRRRRARSSTSCSPSDSGGGEGSEAEAGERGGGRSVWVRERSTEWWDRMSDPACPEADFRRAFRMPRAVFDKLCGDLGAAVAKEDTTLRAAVPVPQRVAVCVWRLATGEPLREVSRHFGLGISTCHNIVLQVCAALTAVLLSRVVRWPDSPAAAASRFQALSGIPGVVGAVHTEHIPIVAPRENAGEYFDRRLTDRNQKATYSVAVQAVVDADGAFTDVCIGHPGSLSDAAVLEKSALHAQCEAGLLLGDDGHGQPLWLVGGASYPLTSWMLVPCTHPNLTWAHDNLNERVACARAPALRAFRRLRARWRCLQRRAKVKLSELPNMLGACCVLHNLCERSGEELDADVDLLPHDKLVDDGVITGNAGCSSAQQTDRDRMAHVVLHAGNA >OB05G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3652992:3653201:-1 gene:OB05G15340 transcript:OB05G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNALVGMRSDPWTLIHANAKDIYSLCHHTVDFFYTCLTIRLIEFIFVNYVKLYICINIYLTINEMI >OB05G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3664554:3677081:1 gene:OB05G15350 transcript:OB05G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFARDIVMNGTILADGGDGGTKGGGGSGGSIYLKATAMQGGGRISACGGNGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNRAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRDSIVVTSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIMVGPGAVLQGPLVNETNDDVAPRLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLNSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGNADLPCELGSGSGNASTSYSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFTGVVTHAANEGPGGGSGGTILLFVRTLSLEKGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGIVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTTELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQIAEIVYEDAFNKFVDEINALAAYQWWEGSIYSIVCILSYPLAWSWQQWRRRRKLQILREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRSELPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGSLKSTFLPVLKWLETHANPALNTYRVHVDLAWFQATALGYFQFGLVIHSMGEAVGSELQGGSAVKFDFHAQFQNTNADSRLHHSRNNDAVMRKRITGRVLDIDNLRMLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVIVAFVCGLVHYKSSSKRHPSMQPWNLGGDDTSWWLFPTGLVICKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >OB05G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3680147:3683941:1 gene:OB05G15360 transcript:OB05G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAGDGGGGRRSEDLRVVFLRVGAAVTLSLAGLLLSRRRPRQLRLPPPPTGSDDRHGMKSGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTTTTTALVPLPPKCRTFADDEGFLLPEFNEMVLKEFGQDFGDNTTSPAARVMEEVASKERQICELQDMVRSLQEREKTLELQLLECYGLQEQDAAVRELENQLRINSVESKLYTLKIESLLSENERLQAQLAESSKLVSELEATRMKCKLLKKKLRQDAEQAKERITSLQQMVDSLQCKEITEGEVSAEVQKKLNRLEELENEARELRVVNSRLQQENAHLTRRLELIRLPPVPKPINSMEVKALQEVDLLRQENDKLAKEAEQLRSDRFADVEELVYLKWINACLRFELRNQQAPSGKNVARDLSKTLSPKSEHKAKQLILDYANAGVDEKNLDHIEFGSEYSSSRASSGEPDDASIDGSSMNKHRNPKKKRFFSKLRKLVLGKEKENKNAPTLERRISISSCSFDDFNGRESNDSYSSFMTESGVSANQQHDDHSRRWHSLDSQSLVHLTKEIADGRNIHLGVKSASFGEGRVTDFGHSSHLDNGEATIPEDAEIHKFAEALKTSRPGSRSSTRASSFRN >OB05G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3702158:3703943:1 gene:OB05G15370 transcript:OB05G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVQCSKPLHHRRLAVVVGWELVVSAAILPLLLPGHMWGNDFPTQPVSVASFSLGLVLTGLLSSSSSSSSRLTSMDCLELGGGGCGHHLLCKNACLSFSPASDERSRRPTTAAVEV >OB05G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3703768:3705567:-1 gene:OB05G15380 transcript:OB05G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWFRNMWGGKKEQREQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRLTPQRRPSSAASTARRRPARTTGRRSCRGGGDRIRRRRAPNNPWKSSETTTTTTTRAF >OB05G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3723945:3727595:1 gene:OB05G15390 transcript:OB05G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3M4L5] MAEEMAAGLAEEGGSKVKMIVSYVDSLPNGSEEGLFYALDLGGTNFRVLRVQLAGKEKRVVKRESREVSIPRHLMSGNSSELFGFIALALAKFVHDEGHNTLFDNKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKASAIPKLEGELPKSGNMVINTEWGNFSSPCLPLTEYDEALDKESLNPGEQIFEKLISGMYLGEIVRRVLLKISLQSSIFGNVDQTKLKSHFILRTPDISAMHHDQTPDLKIVAEKLADNLKIIDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGNPNDKRKSVIAIDGGLYEHYTEFRQCLESTLTELIGEEASRSVTVKLANDGSGLGAALIAAAHSQYLK >OB05G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3734290:3736097:-1 gene:OB05G15400 transcript:OB05G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M4L6] MAWNGGRFGEEGEERGLELSLGLPAYFSGAPSPPAQPGLEGKSRASAAAAGANGSCGGFKGRPAGPVVGWPPVRSFRRNLASSSSKPSSQGDRVDDGAGGKAAAAAAVGGQRGHFVKVNVDGVPIGRKVDLAAHGSYGELSAAVDRLFRGLLVAARRDPATATGVSCCTGILDGGSGGEYALVYEDDEGDQMLVGDVPWNMFIAAAKRLRVLRSSDMNASSLRLRSASRKRAAAE >OB05G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3751651:3751929:-1 gene:OB05G15410 transcript:OB05G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNYDWFEYQGRRFLETKGIIVNSSVELEGIVLVAIAAACPDPENHAIAPAIWFDCPSPDQPQECVRWLDGQPPASVVFLCFGSGSYLEPA >OB05G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3760770:3761069:1 gene:OB05G15420 transcript:OB05G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYTTALPIQVGEQAIVARACKSSLRCLPTTCHQSRRCAGAAAAPSASPRPTVGRATAFRRPVLRRLTAMLPSAFPRRHSTAPCATACLTGRERERK >OB05G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3764730:3768069:1 gene:OB05G15430 transcript:OB05G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:J3M4L9] MAGGGVEDAYGEDRATEEQLVTPWSFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIVSQELQEKKLMHNLRNYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLRDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLIAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTEIPIEECRKKVWLVDSRGLIVESRKESLQHFKQPWAHEHEPVKTLLEAVQDLKPTVLIGTSGVGKTFTKEVVEAMATFNERPVIFALSNPTSHSECTAEEAYTWTQGRAVFASGSPFDAVEYEGKTHVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASRALAEQVSEDNFARGLIFPPFTNIRKISAHIAAKVAAKAYDLGLASRLPRPDDLVKYAESCMYTPAYRSYR >OB05G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3767186:3768075:-1 gene:OB05G15440 transcript:OB05G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSAVAAVGRGVHAALGVLDEVVGAREAAGEAEVVGLGGHLGGDVRGDLADVGEGREDEPPREVVLAHLLRQRPRRRQQHVVVDADGAGDHHAQPEPREDVGVVRLPRHVRLPLVLHRVERAPAREHRSYLGPGVRLLCGALRVRRRVGQGEDDGYLVEGGHGLDHLLGEGLADAGGADEHGRLEVLHGLQQRLHGFMLVRPWLLEVLQRLLPRLHDQSPRVHQPHLLAALLDRNL >OB05G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3773169:3774736:1 gene:OB05G15450 transcript:OB05G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVALARPPHSPYPALAFSASSRARPSSGFWRRHAAAGLLPPASLPPLSVRIKQRQQLVFLSQKIGVFGVAGVRLNPHSPMFAPSLRFFTEGKQCASTCKGSNWRYRQMGLELNKFASLSGNQSHYTIHD >OB05G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3780942:3783446:1 gene:OB05G15460 transcript:OB05G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNLRRQTIFMKKNLFDQGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLMHQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPSEYAVSSRK >OB05G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3784412:3784822:1 gene:OB05G15470 transcript:OB05G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAASALRSDAELGLRDGRNAIAVAGVIEAGQDATKVRRALFAGGVGQVAVALYLVLFRSPAGLVLWNNLLLYSCYVVLVAVVLVGVAEAWVGLWASQDPCRRMVGKTMRWLSVLPMLFLTAIGGSAILKLK >OB05G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3786325:3787421:1 gene:OB05G15480 transcript:OB05G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific ribosomal protein 4 [Source:Projected from Arabidopsis thaliana (AT2G38140) TAIR;Acc:AT2G38140] MALLAVHAMAASPASTFPSHHHGAISSYCALPAAAFSHARSPRAGAGAALSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDIME >OB05G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3798198:3802046:-1 gene:OB05G15490 transcript:OB05G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) TAIR;Acc:AT4G29330] MSSPGEYYNSLPPISKAYGTLCFFTTVLCQLQILSPSFLALYYPFVFKKFEIWRLFTSFFFLGKFSINFGIRLLMIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGIIVGHTYYFFSVLHPLATGKNYLKTPMWVHKIVGRFRLGVQANAPVRPAANNTGTGAFRGRSYRLSQ >OB05G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3810355:3810627:1 gene:OB05G15500 transcript:OB05G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKKSRNPSKQPADYRSDRKSASGMSGGPKKGGRGGKFTWEGADGYADEDLDLLSPNNSTHHRKTTAAADTTTTSTKKSQVVGGGGDE >OB05G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3817314:3826559:1 gene:OB05G15510 transcript:OB05G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister-chromatid cohesion protein 3 [Source:Projected from Arabidopsis thaliana (AT2G47980) TAIR;Acc:AT2G47980] MPSSSSGSHLWPWSQEANGVKAEQRRRRGRDDMRGNDGKGRVSREARSRRTATEEWRGHRSDELAGYQDGGTGRLTGWGKEGECCLDHSRKKTGEARGPTGRTQQNASMAASGDGVLVCAPRFLTLQMDETLASLRRPKRGRPPRPREEDHAGLEDEDDAGAEGHARPQTKRKRAASAAAAAALEDQALIDIIKHNGRLISLAAKKLVEDYESNPKSVVFQILSMLFEACGARHELYADYLDEADVDSVVFSLVELAKKGMVEDNYNSKQKDLKNFKENLVSFWDTLVHECQNGPLFDDILFQKIKDYVVALSCTPPRVYRQVASLIGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPIVESLNKRLAHTHESITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGVWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSVLALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDLDGTNLVRMLRASAKKAVGERIVPATDNRKLYYNKGQKEILESSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQNFKAAIDLIADAFFKHGDKDTLRSCIKAITFCCTNCQADLQNYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRFYELQLSKPVTNDGLFEDMYRILSHLKDMDNEVKSFILLNMYVQLAWCLNAIDGENPSEASIDDLLSKQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLSISDETEDEDANEEYIEDTNKDAVMIAAAKLVLADTVSKDYLGPEIASHYVSHGASTTEIIKHLISSLRKNADSNMSALFFEALKRAYERYMAHVHEGENQALIGKSYSECQDLASRLAGSYVGASRNKNKSEILKIIQDGVSYAFEDLPKQLSFLEASLLPFVSKLPSSDIPDILMDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQGHGEDDDNDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGSNS >OB05G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3828122:3835971:1 gene:OB05G15520 transcript:OB05G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MAREDTNNFKKHSLQHSRAICGWMAVGVQMIDMVSVVEELSGLTSRELGEMLKESENFVLQAKTEDDGTNQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENHLGVSPFIHSSLVAATLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDVRSLSYRLSTLSTDTFSVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSQTILKLDVAECLKGSIDIAASVSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTSDSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAVELALAAAGNKLTEAKANYSCPFRPISMPSVAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNLRSSIDHPTSDDLNATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLHTWCESHVGQRTPQAVKIDPSSESNEDFKPVQQPLLTSTAAPDSSMNNLSKNVEEPTPTPSMKQEGNARDETPRSTATLNGGFLQNSVGQDLVHLGVARTSTGFLGGGTSIGTGLQRGKMDLDPPSSSMDHFKTPDTKENGLQDDEKGDTRMYDERQPKRRKRTIMNDRQINEIEKALVDEPEMHKNAALLQAWSDKLSAQGSEITSSQLKNWLNNRKAKLARIAKERGVLSEGENADKPSTPVTPHLCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGSETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRIQGKGLQDSRVCVVDVIELKIEKWRELPHPTEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >OB05G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3840483:3840668:-1 gene:OB05G15530 transcript:OB05G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGRKVPTLVSSATTGAGAGTGSSASEKLQSYLSIFIQWLVYFLALSLVSSSLCYLSISTY >OB05G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3840933:3851697:1 gene:OB05G15540 transcript:OB05G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLPPFHVFSLLLLLLVLSSQLAAAFGVWINGDPPSPDASSAQGQGGGKEYAALQAVRAAVVEDPRGALASWQGPNVNRLSGAVPDSLRDLQYLTELDLSNNLFSGPFPAATLLIPSLVYLDLRFNAFSGQVPAEAFAKNLDALFLNNNQFDGQIPETLWSSPATVITLANNRLTGPVPTAYGYGAGGPGPGGAVPEQQAHRLHPGGAGRPPPECDGAPGDAGLSCLRIPVARPVPCTTQASVSVGVGVTVGGSLPSFGAGGVVTVTVP >OB05G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3861920:3864144:-1 gene:OB05G15550 transcript:OB05G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLLLITVVASVCFCSSRGEEVIVGLGDELATAPPGGGAPFCGSLRLAVEARNIVGWKTVPPQCAEYVADYVTGERYQRDSDVVINEAIAYAESLKLSGSGKEIWIFDVDETALSTLPYQANHGYGVQPFDNPSFQQYVAQGSAPALQPTLRLYRRLLQLGVKPVFLTDRTEDQRAVTTSNLLQQGYCNWEKLVLQPVGLQASTLAFKSGERRMLVDAGYVIVGNIGDQWNDIRGSPACGRTFKFPNPMYYVD >OB05G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3872617:3873511:-1 gene:OB05G15560 transcript:OB05G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHNIVGWKTVPADCAEYVADYMSGERYERDSGVVVDQAIAYAESIKVSGKGKEIWIFDVDETALSTLPYQAKHGYGAQPYDQTSYLQYVAGESSPALQGTLRLYRRLLQLGIKPVFLTDRTDDQSAVTAHNLLQQGYCSWEKLLLQPAGLQTSTQAFKTGQRQKLVAAGYAIVGNIGDQWSDILGSPEGCRTFKLPNPMYYVA >OB05G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3886031:3887464:-1 gene:OB05G15570 transcript:OB05G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQSNHGYGAQPYDQTSYLQYVAGGSSPALQWTLRLYQRLLQLGIKPVFLTDRTDDQSAVTAHNLLQQGYCSWEKLLLQPAGLQTSTQAFKTGQRQKLVAAGYAIVGNIGDQWSDILGSPEGCRTFKLPNPMYYVA >OB05G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3902107:3904456:-1 gene:OB05G15580 transcript:OB05G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLLLLLASAAASCSAWDISIRVPTERLEDEVVAPLIHALRPLLGSGAGQLAGLPCDSWRLGVEAHNIIDWETVPAKCEGYVGHYMLGGHYRRDSAVVVDEAVAYAETLQLAGNGKEVWIFDVDETTLSNLPYYANYGFGAKPFNHTSFINYAAEGTAPALPETLRLYQRLLELGIKPVILTGRREYLRDATEKNLRQQGYSMWEKLLLKPTSALQAGAAFKSGERQKLVDAGYAIVGNIGDQWSDILGAPEGSRTFKLPDPMYYVS >OB05G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3915604:3919308:-1 gene:OB05G15590 transcript:OB05G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLFLLLLLLSASCSAWEISIRMPTADRLEAEVVAPLIHALRPLLGSGGQLAARAGVACDSWRLGVEAHNVINWKTVPAKCEGYVGHYLLGGHYRRDSAVVVDEAIAYAETLQLAGNGKEVWIFDIDETSLSNLPYYAKHGFGVTPYNDTSFREYVAEGSAPALPETRRLYRRLLEIGVKPVFLTGRTEDQRAVTVANLRKQGYSGWEKLLLKPAVHGAAGGLHAPAVAYKSGQRQKLKDSGFIIVGNIGDQWSDILGEPEGARTFKLPDPLYYIG >OB05G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3929327:3935906:-1 gene:OB05G15600 transcript:OB05G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSVSIGTEHDDRRPTTAASRRCPSCGHEPADRHKPFDMAGLPAGVRFDPTDQELIEHLESKVKDGGSGAHPLIDEFIHTIHGEEGICYTHPENLPGYQSQTEFHYRSVQARVTRDGLSKHFFHRSAKAYTTGTRKRRKVLAAGADQPDAADRLQANKSSSSVAAAETRWHKTGKTRAIMVRGQPRGCKKILVLYTNFGKKRKSEKTNWVMHQYHLGELEDEREGELIVSKVFYQTQARSATAAATSAAEPEAPAAIRDGLHGCVASSGAAAMDVLRQQQKQQVPKPKQGDGRFVSVPTRKRLHEDVVTQVRVDRGGEQRDSHGSFHLDAASVPTSFSTSDLTSSGSTVASPLVQHTPVVPRVIDNQFRSPVMLFQGEHFHETQNDLQQKLGQRSAHLEELITACQKEITKGKPSIHQLQKVDSPHQRWPPDNPDYQDKLFDKLQTAVQKAAFDCFFGRFMEHFVRVLWVALWAWEEC >OB05G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3943146:3947777:-1 gene:OB05G15610 transcript:OB05G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22720) TAIR;Acc:AT4G22720] MTSSPARRRPPGLLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALGEAGVSPADLACVCYTKGPGMGAPLQVAAAAARALSLLWGKALVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAVEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCAERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAVWREKEMPNLNDIHAHAMAEVSKDEASIATPIAVDS >OB05G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3949605:3950959:1 gene:OB05G15620 transcript:OB05G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNILVKSCAEMTIQTIVNEIGDGYFSVLIDTPHDTLMLDRISVIVRFLNRQGQVIERLLGVEHTSVDTGPLMKVSLDGLLACHGLSISKLRGQGYNVTSNMREEFDELKSIILKENPHAYYIHCFASQLQLVVVSVARNNKVVCGFFCYVDKIVKAMGDSCRAKDEMLQEQYGKIKKIVKSDTLPEIGMYPKNVLVGPAAHTRWGSYCTTLLNLLTMWDEVLDALVNMYDNGIYPEQGSIPSDMIEQMESFEFVFVLHLMMHVLISTKDLSYLLEHKGKCIMNSLELIAPVKNTLQDLKENQWVDLLEEVKSFCVLKSIPVPSMDDRIPVRGYSRRRGLFVTCYQQYYVETFTALIDLVTCDINNRFSKTSMDLLRCIACFDPDGSSKFDNDVLLSLADLYSADFSMTDREMLKEQLHMFIISVRNTSDFSSCNDLATLSLKMVQTETH >OB05G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3966073:3967913:1 gene:OB05G15630 transcript:OB05G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3M4N9] MEAATVVAAPVPAADAAAAAKALEKKLLALELAPSPAPAKKRVVVVAPPPPKKKLVGGPGGYVLEDVPHLTDYIPDLPTFPNPLQSHPAYSVVKQYFVMADDTVAKKIVVHKGSARGTHFRRAGPRQRVYFQPDEVSAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTRVVGIEGGYRGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTAKIVDSIQDRGINQVYIIGGDGTQKGAATISAEVQRRGLRCAVVGVPKTIDNDIAVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFYLDGKGGLLEFAERRLRENGHMVIVVAEGAGQDIIAKSMRPADTHDASGNKVLLDVGLWLCAKIKDHFKKKADFPITLKYIDPTYMIRAVPSNASDNVYCSLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTYQPCFFSLEDVEKAAGQQDDDEPLVPLVEGENSLVKPPPPFLSSAAGNGAALCNGAA >OB05G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:3998530:3999176:1 gene:OB05G15640 transcript:OB05G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPTVAVALNATDGCKEVEAATRLKLKPVGEEPILAFTSTFALRLSGPTLHSWAKLKKGSHGSPLKELTLRHYYYYIV >OB05G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4013839:4014351:-1 gene:OB05G15650 transcript:OB05G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTSTLVSPPRSPPSESPPLSPDAAGALRRCSWSGVGSPVSDVLVSLRQLRLASPRSASTGASYLAGYAFGSPKSPAALYSLPSTPTRPSPVTVTTASGATVTVEPLDLGLIEEEEPMERVESGRALREKVFERLSKEATVSTDAATTSQVATAAGPDVGWVSDLIN >OB05G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4033222:4035939:-1 gene:OB05G15660 transcript:OB05G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGQRILENSSSSSSYTATAAAAAAVTCGGLRLFGVQLQVGGSSPLKKCISMECLPSPAYYGAAASPSVSSSSSSLVSIEENTERISSGYLSDGLMGRGQERKKGVPWTEDEHRMFLAGLDKLGKGDWRGISQHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDVVEGGKRAAMPIAASASELQIPSMSLSVGTVKEEALLPPCLNLMSSSSSASQPSPSLTMLAKPQVQLQMPDLELKMSTSRLSDQSGSSPRTPFFGTISVT >OB05G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4062101:4068750:1 gene:OB05G15670 transcript:OB05G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAAMAAMRTAFGLEVVAARGKDLLVTALYTLLRTLAFGAMPVMLYCFVSYSYRRQRDLATGMGLIATLVAMKLVESLSQRHCGARRRNSAGEIVNYIAVDAYRLGEFPYWLHLGWSMPVQLALAIALLFWTVGTGTLPGLVPVAACGVLNVPVAKMLQRYQSRFMQAQDERQRATAEVLNAMKVIKLQSWEDTFREAVQRLRDVEVRWLTETQVKKAYGSALYWMSPTIISAVIFAGTAALQSAPLDAAVVFTILATLRVISEPMRMLPEVLSVMIQIKVSLDRIGKFLMEDEFQDDAVDRTTSSGMVMAIDNGVFSWEPSKAIATLKSISITAAQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVALRGSIAYVPQTPWIQSGTVRDNILFGKPMNSEEYDRAIRCCALDKDMENFPYGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCAMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYEELLQPGTAFEQLVNAHKDSKTTLDSDDRREGAKELGAFQCQVSVVQQNSEAEISTGNLVSVQLTQEERRELGEIGLKPYKDYVSVSKGWFLLGMILVTQCAFFGLQCLATYWLAMAIQNHQFSVTVVVGVYAAMATVSCLFAYVRSLIAAYFGLKASKEFFTGFMDSMFKAPMLFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTILIMILVTWQVVLVAVPVIVALLYIQKYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVSLPEGAVAPGYLGLCLSYALVLSSAQVFVTRFYSNLENYIISVERIKQFMHLPSEPPAVISDRRPPPSWPSAGRIDFENLRVKYRDNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSALFRLIDPYSGRILIDDVDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGQHTDEDIWEALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKLEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >OB05G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4067429:4070333:-1 gene:OB05G15680 transcript:OB05G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSGSVSVDVERISFAGKEHQVRTRCGSLSVAVYGDEDKPALITYPDVALNHMSCFQGLLFCPEAASLLLHNFCIYHINPQGHELGAAPIPSNVPVPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPVCRAPSWSEWLYNKVLLNLVYYYGTRGLVKECLLQRYFSKEVRGSGQYIESDIVQACRSLLDERPGENIWRFLHSINERHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTRLDKKYCALVEVQGCGSLITEEQPYAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDF >OB05G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4087986:4088336:-1 gene:OB05G15690 transcript:OB05G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIETKQHESQSIPNKFLSKHILSSKVPTNIDPQIFLKFTPLHLHMNRTNRKSPRTESSAGHAQARTEGADFTGSCAAVPDRSSPINPSCSNPSFVRSTDRPAFNYSSINPSSQPHR >OB05G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4090717:4096896:1 gene:OB05G15700 transcript:OB05G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTECITATLNEDNEPSIPPGFGPFAALPLWEIHTDAKPADTHSTSVQAIQGIRTESEEFQSSSAASRSDTPCSTSVSQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKDVSSTRRRQLPKGVLRGCAECSDCQKVIAKWNPAGARRPVLDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKSKRGGMMKRRKLSKPEEDSAIGDTQIGMQQNPERFGFEPGPEFTLQTFQKYADDFSKQYFRKDTSMDSVPSVEEIEGEYWRIVERPTEEIEVIYGADLETGTFSSGFPKLSPETKSDVEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLESSMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNVRWKSICGADSTICKALKARIETELAQRKTLSFTSQSRKMDAEFDSIDRECALCYYDLHLSASGCSCCPEKYACLAHVKQLCSCDWEKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRITDGPRRSYMSQASAVSLVPSFACNEQKDEGNKITEIASPQTINVCPSAEEMKSENISTLKEPGVRNELPCTANSDTNSLQYNGGHGGHQGSAPGLSVSSSQSFPSNGTARLFSTSSASMKIVQGLVASKGCIQPSSRTGDGRPLLGGLQNRSTTTIHDGTSMKSSLESSNSSHRLMASDYNAHCHSSRDQVSVTPGTNASVMTLKDGSQVHTVSSQQFVRTGPWTQSASHGTSPSASAPKPFIDLPAVKDPYGGFTQGNAHLGPPCSGNQQPNDGRFQRTSEPLPGVEARARGHPTVMAQPALEIHGRNGGAQKGPRVANVVRRFKCSVEPLEIGVVLSGRGWSSSQAIFPKGFRSRVKYFSIVDPIQMAYYISEILDAGMQGPLFMVTLENCPGEVFINLSPTKCWNMVRERLNMEIRRQLNMERQNLPALQPPGSIDGIEMFGLLSPPIVQAIEARDRDRVCTEYWRSRPHAVIEDPNNRHMLPQVPSHLALRGLIQRANRDELQVLRSLMMNNNNMDDNSRQQAAHMIEEEIAKQWN >OB05G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4102427:4105324:-1 gene:OB05G15710 transcript:OB05G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPAHGEDSPYFAGWRAYDEDPYDPIANPRGVIQMGLAENQVSFDLLEEYLKEHPEVSDCSAGFRENAMFQDYHGLKSFRKAMASFMETIRGGKVRFDPDRIVLTAGATAANELLTCILANPGDALLVPTPYYPGFDRDLRWRTGVSIVPVRCDSTAGFQVTAGALQDAYDAAVAARTRVRGVVITNPSNPLGTTAERPALDGVLNFVARNDMHLISDEIYSGSVFAAPDLEKFNCES >OB05G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4116229:4117274:1 gene:OB05G15720 transcript:OB05G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRRRLSHSCSFSSAAAPLPSPASSTSSRGYRVAASNKSSCESIPVVVPAELEKQASFSSSASSYESFFQLEEAYLNRVDPATAMTQAPPVQAMVVSGEQQQPAGYDPRRLPSSMFRTESTSPTDMVNWSFTSNDSLFSIQVPNSGELSSLYNDNSGDLYYDATGGGFRRIPSAAGAGHEEALWRLSSVSERLSGTPDTTAGAGGGLCASDGACARCSTAGRNRKSVRFASAAEIVSGESSHSDVFLTLADALGREAPATTEGEETKNPDTGTAGQWWCAFRCCWPSPPTVWWWPRCGCGGGGCGAFRCGEFCRC >OB05G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4117667:4117927:1 gene:OB05G15730 transcript:OB05G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDQPRLRRAHHLLHRPSLQPRRRGPHRPLQLPVLHRHVLGARSLLLTRGAAHKRIHSLTLTRLGYPASPPLLAHIDSLVLATMR >OB05G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4120283:4126737:1 gene:OB05G15740 transcript:OB05G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M4Q0] MGATGRPTLSSGAAVAARASFGGDSGDESASGEPSSSSSSRRRDPNGNGQASSVAGGGGGGVGAGDFSAFTFRAAAPVHRKAKESPLSSTAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLMCCLSLPVFPLGAFAVEKLAFNNLITDAVATCLHIILSTTEIVYPVLVILKCDSAVLSGFLLIFIACIVWLKLVSFAHTNHDIRQVTIGSKKVDNELSTADMENLQPPTLGSLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETLLKLSVPNVYLWLCMFYAFFHLWLNILAEILRFGDREFYKDWWNAKTIDEPVHKWVVRHIYFPCMRNGISKEVAVLISFLVSAALHELCVAVPCRILKFWAFLGIMLQIPLIILTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRIEKAR >OB05G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4131018:4131754:-1 gene:OB05G15750 transcript:OB05G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPSTPTLSRTSASPTRHPKVPRAMVMHARVHELARSLDRIDEHGCMCACSESERAAVQGPGGGGGGRLLLLRRRRRGEPAVRVHGAVRADPGAEHAGRVTRPRRRGPRRRVPAALPPEGVGDGGGAGGRRLLRLRLLVPGQQGLRQGAPARRRLRRAAGARPLPLQQRQRAGCAVRVAEQPEPRARARRRRALRLAAPRRPPRQDAPHRRGHRRQDQSQLPPDLMNTTYIKFGLILFF >OB05G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4136276:4138886:-1 gene:OB05G15760 transcript:OB05G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHQHAWAEVGMEIFSPRSNDITSMVNKIAPWNEQEKMALRTLVKCPSFKEWEGGEETKNSVNHKNRPSRINVVVDGRRNSDMFMESSPIVSSSPKCELDAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGEKPETAASRWARARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHIYYGVWSRSESTEPFFYWLDIGEGKEVNLDKCPRTKLQSQCIKYLGPKERQEYEVVVESGRLVYRQSRGVVHTSDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKDGILKAIWPYSGHYLPTEENFREFISYLQENGVDLADVKRCPIGKDDDYPLPSRPDAAQPDVTVAIAAKDTEKHATATAASAERLGDTISGDMDHAAVDDGSMSEGEDEDIDATKEAEEVRRSPAGGGDAPTAAAAPESPSKNHLSCRWSTGTGPRIRCVRDYPQDLQSMALEHVNLSPRLAAGPASRKRDPVPSPRPSPGIIVSPRLASVGFRPPVVALTLPDSKRSRVH >OB05G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4160277:4160745:-1 gene:OB05G15770 transcript:OB05G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSCSPHAPCRYPFDGCIYYGRLLYNRTTTDADADAAVTLGCLTVTLHKSRAFHVLLHRGGGATRVLLLLGEDVPYAARSLSLVSVGPRPRAAGPANSELLYKIVVAGRRRASGELARSDGHGLVAELRPKAFLFVPVASWGSSGTVSITVHM >OB05G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4169775:4171464:1 gene:OB05G15780 transcript:OB05G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELRNFSSLNIVHANESEICLIPRIHEYIRVMDRDSYEPIVLSIGPYHNGYPPFSSMEREKWNCLDYILRLNRTKGLKDYVTIINGLERARIFYSGDIKMNKRMFLQTLLLDGCFVLVSLGGFNEFLMPEAHRCIDFSSHAETLEGDLNLAHQTASGTNEIELIKEGKQNAMKGDTLEHDIMNHGHSREEYSVPEIELHSEISENRTGQCQYQENTQRIGQCYNIFVSRDLFLLENQIPFFIIEGIYEALVSQHPNKMETANAFSKSIAQYVECIWEYYPKAIGESNRPKDFDHLLHLCHMYFRQVNQDGHHSQTDHYMHHFIQPGQDYLNLVYKQEAANLGLSQDGHFPYQWRRATQYHEAGIKFRRRLYCESNPHSLLDIKLKDGVLEIPFLFVDEMISSLFRNLIALEQTSPKVGNDVTTYVLFMAKLMSMPDDVALLSRNEIVVHHLRTDKEVSQLFTKLTKGVVFDMYGNYYLKSLCLALEAHYQNRMHRWVAWLRHNHFSNPWLALLCFFAPSHRLSLLYFLMLLLGKHSVHSDMDLISRCTWYNPNPFVAKA >OB05G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4174019:4177800:1 gene:OB05G15790 transcript:OB05G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPSRLYHIAAAASFDVAGESNELPPVAVSGLAQEDDDLSSRMMGYHHPPKEIQDIVDAPPLPMLSLSPSKDKILFLKRRALPLLLDLAKPEQKLAGVRIDGHSNTRSRMHIIDSIIHSIFIGRLVMRISRFGYHPAGFHVRASNTQFVGDDNRTAAQILWETILGFADVDSSEEAVVTFERISILTPRPDVILTVSDNVPSLPVSSDGYEARVLDYRSLALLYLRVLS >OB05G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4184485:4185963:-1 gene:OB05G15800 transcript:OB05G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEQYDSTHDDVDIDELVDSMMADLKRRATLDDCHKNRNNYGLICKIIYRIRLTDRNAYEPSVLSIGPYHHSEPLLLAMEMEKWICLDYILKLNRDVSLHEYLSLISGQEKQVRGCYNEDIDMDSKEFMRMVLLDSCFILVYLGGMHGLCREENTQEASVGDPATQENGDPMEWYNSSAIYDLLLLENQIPFFIIRTIYQLFSRDTVATTALLTSDISEFMEGILYHFPKVITEANRPRDFSHLLHLCHMYLKPSHKLEDEQHRGQSGKSHYLEYISDFCRKVFCLGQEQNMFHELNELKSPININRWRRAVDYHEAGIEFKKREFDEDDPHSLLDIRFRKGVTEIPCLPIDDKSSLLFRNLVSLEQTCPQFGDDITAYIGFMSAIISTASDVAFLAQKGIIVHQMESDEEVSTLFTKLFEHVSFDFNGEQYLRSLFYAMDAHYQRRVNRWMAWLWHKHFSNPWLGFAAITSVFIVLCSIMQTVLAFLSYT >OB05G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4188007:4190136:1 gene:OB05G15810 transcript:OB05G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLAPPAVVAKRVANMASHHAPLVVVVTRASNVDSPSRHFDLLCHVHCQLGVIAARLRVLYEGPGSPTSLFGRHRHSRFLWPSSSSMSIDINAKLSAMEGDPVTDPTDYRSFVGALQYLTFTRLDISYDVQQICRHMHNPHEPQLYRPQANYASECLTIDKYKLRTRSKDSAHLEKCCTRKQPGQFKAAQSELPPNFMGPKVGLYGQLNQVDHSPFQELDVNER >OB05G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4218667:4221646:1 gene:OB05G15820 transcript:OB05G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSARTCAEEVAKAEGAGCRDDAAAMRLKGLAIATILVAGVFGVGLPLVGRKRRALRTDSAVFVAAKAFAAGVILATGFVHMLHDAEHALSNPCLPAEPWRSFPFPGFVAMAAALATLVLDFLATRFYEGKHKAETARVKADAAAALAASSASDDDITVVTVTEDDNKAPLLQAHSHSHSHSHVHSHGHSHGHSHGHSHGHGHELVQAEGGGEGEVPAHVRSIVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQLKTLSAAMMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSLRQQVTAYVALFLGALSMSSLAIWA >OB05G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4226908:4228860:-1 gene:OB05G15830 transcript:OB05G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLYSGRRQDAKERLKATSQVAPAAFRVAACEATMGLYMEAGQVYSKSATSTFRLDINTSVSPDNLVDISIKDDNIKWSEHNYLKFWVNAIKGLIALLNGESESENVVLSYGEYLHCLGDFPSATQMYERVLEALSTQNMSGKFLSACNMIPEEVSLGATCSYGQLLSHSGKFNEAEDYLTRALKKAEEKFGANHPKVGIVLTCVARMYKLKSKIGR >OB05G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4239083:4239601:-1 gene:OB05G15840 transcript:OB05G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAGAARKRKRRGEAKPKGKPRPKGKAKKAAVAGEAAAGKEEDYVEGITEESIAEVMSWLELEIKLASSSTGATAASPAAFPPMASLAAAGGGYVQAAKGSTNMEGSCGASFSGSASTVMASVDLRAGTPAPPPLPWPLPGGATAADESDDDDDDAWVDQLLTDGPAVQE >OB05G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4255308:4256171:-1 gene:OB05G15850 transcript:OB05G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMANGGGGGATGSFPAPKPQMYQRPIYRPQAPAKRRRGRSCRCSFCCCFCWALLVVILLALVAAVAGGAFYLIYRPHRPSFTVSSVKLAALNLSSSATAPVLTDSIQLTVTARNPNKKVVYVYDDFSFSAATAANAVPLGDAIAPGFTHEAGNTTVFTATIAANALSVDPTAAGSDIKKSGTFSVAIDAETRAGVKVGSLRTKKIGIQVHCEGIKVTPPPPPPKPVKGKNGTALDAAPSPATSDTTAATATVSTAAHSCKVRVRVKIWKWTF >OB05G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4274452:4276631:-1 gene:OB05G15860 transcript:OB05G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M4R2] MYHDFLFIDAEEDSKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPDRLAALLAKDRPHHQTYIGCMKKGPVVNDPNMKWYESSWELLGNEYFSHASGLLYALSSEVVGSLASANNDSLRMFDYEDVTLGSWMLAMNVKHEDNRAMCDPHCTPTSIAVWDSKKCSSSCNPAEIVKELHNTTVCSKSPTLPPEVEDE >OB05G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4289927:4293755:1 gene:OB05G15870 transcript:OB05G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQAMQQQLSQIYMLMDMEEHDCHQYASLAPASAPSHSSSSFRSFSTGTSRDDNSTSLMLAAADTSTNTAASCHHRHQQPEVSSQIGAPPLSICHQETHPPQSRRSGGGHGAAAASAFRSYSRYLGPRKTLRPGATTGGGQRAFKKAISVLSKIHTVRLAQYYQIMEMAAAQARSPPVTGSSGDSSNQQQQQQLQLQHVLSERKRREKLNDSFKALRDVLPPATKKDKASILMRAKDYVNVLKARVAELEEKNRMLAESQSQLHAGDGDGGGELQVDDKRDDDDDGGDRIEVDVGRSAAEGTADKCQELHLKIVLGSSSACSAMDAVAGILKGLNEKRDARLLATGSSGRLPRAKSSQQPPSKATANVQFIPQSSSSDEDIVKEAVIKVIKDVMQPEKVLRT >OB05G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4308535:4313296:-1 gene:OB05G15880 transcript:OB05G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLPGRFVAAPSSSPSSPHCWALSPAPASRRPRFPVPPPPWRHRSLKAHAVVSDKSEQPQWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPAGVGDVLDSPEEKPAEASPR >OB05G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4318085:4318637:-1 gene:OB05G15890 transcript:OB05G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3M4R5] MSKRAARRREVADPRAASVPRGFRDAYLRARANLSHFSMNYAIVVLVVVFVSLLWHPVSLIVFLACMVAWLFLYFLRDEPLALFGRTVGEGAVLAVLSVLTLALLLLTGATANILTSLLVGLLLVLLHAVFHRPADTIDEEAGRYYTPVPPQPTY >OB05G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4325353:4326986:1 gene:OB05G15900 transcript:OB05G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3M4R6] MARLPSVAGLQPPSPQLVLTGVISNECSASLSIHGPLSQVSLTGLLELKGTAYTYTDEVKQDAHDTLVSENTITVYHDHYVTYHLDLGIDGTNNSCVKSTVTPPPPAIRDTGCDTSRSYWMVRHEAAEREADGEVDLGTIRI >OB05G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4330615:4335315:1 gene:OB05G15910 transcript:OB05G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGESSILVLGGALQRRVHGLAGAFLKSPELKARVTADMRCRLAAAMGSWRATAGTAVRVQDEAKSIVFEILVRALIGLEQGQEMNYLRQQFHIFIAGLISLPIKLPGTQLYRSLKAKKRMTSLIQKIIQEKRKRRRMILGGEDLCLASRDLIDVLMSGNGGDELSLTDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDVGETLDWTDYMSLTFTQHVITETLRIGNIISGIMRKAVRDVEVKGQEGVVIPKGWCVLVYFRSVHLDASVYNDPYAFNPWRWKERGDAATGSGFTPFGGGQRLCPGLDMARLQASIFLHHLVTNFRWVADGDDVVNFPTVRLKRGMPIRVTPKT >OB05G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4335549:4338472:-1 gene:OB05G15920 transcript:OB05G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHLGRTGSRRDDLESLAYTLVFLLRGCLPWQGYQVKFGENKGFLVCKKKMATSPESLCCFCPVPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEDDDEQSKKKIRMGMPASQWISVYNARLPMKQRYHYNVADVRLAQHITIGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSKHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKDSFPFKWINKKSKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPNQHVKEKWSKNLYLASICYGRTVS >OB05G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4344688:4344864:-1 gene:OB05G15930 transcript:OB05G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYKCHDTGFMLFFFSVTLRMRKKKGASLLPNRRTSSNVLVQKGQITVVCKEAFICS >OB05G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4353435:4353644:-1 gene:OB05G15940 transcript:OB05G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWILGRSPAGVRPLRVTDSPFPAVPEDGGGGEQRVDAEFEDFISKFYEQLRMQPSTATPDCQLRRRA >OB05G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4379082:4379336:-1 gene:OB05G15950 transcript:OB05G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFIMLIYSNISRMNTMAWCINRGLDSPDQTTRRIATTKHTQQYDKTVQRNYRASLHGLVAPPTAIDVPSFTSPARRPESASC >OB05G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4380901:4385253:-1 gene:OB05G15960 transcript:OB05G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACRTQYKAKIQLQQASNAYQGLRKDVGHFVAYVRKGRLQQSNGSSLWFCASDAKIRKISLEVLTCEADLIFYERILKAKSTCKLDGKKLRIGNGMGKGWVGLKWPQPRASPTPTEGSIREAEPAMAWVDAGGYGLEAAGSGGREEARRRNGGGGDK >OB05G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4415071:4416078:1 gene:OB05G15970 transcript:OB05G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMAVNKSLERTKCFVLLSKNKDQAELRRSHSECSNPIAADRQLVAGAVAAGGGGSDVETTTAVRCACCSVTEECTAAYIRXXXXXXXXPWEALRSHMAVCRNFNSTTRLNPKLSLAGSMRDIARRSFNRRTSSSSSSPATCHDQLRVGKTMARTLSCQPRYPVF >OB05G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4423245:4424317:1 gene:OB05G15980 transcript:OB05G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVDAEKSHFEMAEEVAYENDGKCKCVSNCTCAGCNCGK >OB05G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4424685:4425065:-1 gene:OB05G15990 transcript:OB05G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIGVVAVAALAVAASLCTAEAYSSAVGGYDLLTTAHLASSSSSPCDGELGQCSAADGEGLLRRSLAARKPTNRYVSYASLSANKVPCNKRGQSYYQNCASQKAANPYRRGCSAITRCSRNMN >OB05G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4426883:4427755:-1 gene:OB05G16000 transcript:OB05G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSEDAAQQEKAGSRQAQHVPPAPVQPHVDGAALAVFELSGPGVRCQAATPPRYATTWPSTSPSSTVDSPTTHIRFGHLSAGLYYNGTKIGPSDDTLPSFKQRPRRHRVVYPALRGRASNVSDAVVESLARERAEGRLNLEVRVRTTLTYKIWPSKATYFYEHDCWLQFTPPHGNGTPALTGGFNCGRRK >OB05G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4428841:4429707:-1 gene:OB05G16010 transcript:OB05G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEATVPNAGMNQGMEAAPPKPNDEDHIPEGTDAATSKPNDEDHMPEGTDAATSKPGETRTAQAARPRRTRPTRRPRRYDDLGYPIDYDLPCGCKVLRFMCKSICNFLGSLLTVYLLWLVIFRPYKVHPHVDGAALTVFELAGPAPGGNATALRYDMALNISFFNSRFSYLIEFGHLTAGLYYNGTKIGPSDDTLPSFMLRTRRHRVVYPVLRGRASNVSDAVVESLARDRAEGRLNLDVRVKTTLTYRIWPSKATYYYEYDCWLLFAPPPGNGTPAFTGGFNCGRRK >OB05G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4439833:4442125:1 gene:OB05G16020 transcript:OB05G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMAKRTWQCVKFWTPLSVTFLILWLFFRPDRFHPRVDSGVLSALHLADGNATDHQRQLLYDLAVDLSFRNAHRRLSIRYLDIAATAFYGATKLGPADDALPAPFRQGPKNTTGWQRAPIPDTRRVFAPLEDGYGIISFPAGIKMGKNSSPVGMADECVVGYGYPTVMQTGKNLSSRAGTGMGTGQILTRGDENVLPIPDGYIPLPSLGGIGHGFLPIGTLLIGRLQSLIACKVVHPSFRGTVDVDSSVAAELDREVASGTVHVKVRVALTLVYKVWLARDVYFYKYDCWLWFPPPANATPAVFAAGTQCWAVK >OB05G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4444100:4444855:1 gene:OB05G16030 transcript:OB05G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNCFDLGKKEEEYGNPNPHPSIPLRDYRAISRGRYRRLFPMIVMPIVFLFWIKICRNVTIFPTKVSLLLISVEIWYSWEYLRLKSKGTSIMFPEATASIAVLLEMVIHSLLAHHHCGFPYSGAEE >OB05G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4450379:4451426:1 gene:OB05G16040 transcript:OB05G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNLEKPDLIRWLMDSIDPDTMCLPLDDERKIQITPRVVQLAMGTPLCGKDISIPSGNVLRLVHDSIMQEPMVPSKSRIAAKHLIEALKSQADDPEVVRLFFMVMMSKLLLPTTDFYVLKSDVWVASDLERVASIDWSKVVFEAIRDGLLAVNCGSGGEGAPMYGDLPLRSIDTMLCHQTQRQWGRPSV >OB05G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4455310:4456122:-1 gene:OB05G16050 transcript:OB05G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETTLPNAGPTQGMEAAPPNDQDHMPEGTNGTPSIPGETRTAQAARPRQTRPTRRPRRYDDLSYYVHYDVPCGCKVLSCMCKTMCNFLSSLLSIYLLWLIFFRPYKVHPHVNGAALAVFDLVSPTPASGNATALRYDLALNISFFNSQLTYRIRFGHLTAGLYYNGTKIGPSDDTLPSFKLRPRRHRVVYPARHGRASNVSSAMVESLARERAEGRLNLDVRVKTTLTYKIWPSKATYYYEYDCWLQFAPPPGNGTPAFTGGFNCGRRK >OB05G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4460674:4463601:1 gene:OB05G16060 transcript:OB05G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNEPSPWECCKCLLQCTWECVQFWTPLSVTFLILWLFFRPDRFQPRVDSGVLSALRLADGNATDHQRQLLYDLAVDLSFRNAHRRLSIRYLDIAATAFYGDTKLGPADDALPAPFRQGPKNTTVLHPSFRGAVAVDSGAAAELDREVASGTVHLKVSVALTLKYKVWLVKDLYFYKYDCWLWFPPPANATPAVFAAGTQCWTVK >OB05G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4468815:4471972:-1 gene:OB05G16070 transcript:OB05G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFTVNYDEELNFKMNDAENFIIEDMSHERMDAGVSTDNEDFEGGFDLEDMLCHVESEVLAGRSRGLENWQPLEKAAKDRLYDETKGCDKNFTVLHSVLELLKFKAKHGWSDTSFNDLMSLLRVLLPKPNFIPSNTYHAKKLICPLYLGVEKIHACSGRKNICNDMYTNYTPDDSTMAYAAKRTRKQRLIQASIPQLSCGKLVPIGKPNPNAYLIQGMGESIGKKVLFFVGKTILNFLGSLLSIYLLWLIFFRPYKVRPHVDGAALAVFDLAPAPPGNATALRYDLALNVSFFNSLRIYRVRFGHLTAGLYYNGTKMGPSDDTPPSSHTRPGGHRVGSPALRGRASNVSDAVVESLARERAEGRLNPDVRVKTTLTYKIWPSKATYYYEYDCWLQFAPPPGNGTPAFTGGFNCGRRK >OB05G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4474193:4474423:1 gene:OB05G16080 transcript:OB05G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNEPSPWGCCKFWTPLSVTFLILWLFYRPDRFHPSVDSGVLAALHLADDNATDHQKVIAKLGAVSRWHVYPSF >OB05G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4475824:4476637:1 gene:OB05G16090 transcript:OB05G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEQSPCEGCKYILQRTWECVQFWTPLSVTFLILWLFFRPDRFHPRIDSGVLAALHLADGNATDHQRQLLYDLAVDLSFRNAHRRLSIRYLDIAATAFYGDTKLGPADDALPAPFRQGPKNTTVLHPSFRGAVEVDSGTAAELEREIAEGTVHVKVSVALTLKYKVWLIRDVYFYKYGCWLWFPPPANATPAVFDAGTRCWAVK >OB05G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4478110:4478736:-1 gene:OB05G16100 transcript:OB05G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPPGAWIGKVLGFIYKSVCNLLGSALAVYLLWLIYFRPYEVCPHVDGAALAVFDLAGPAPGGGNASTTALRYDLALNISFFNSHDINRVRFGHLTAGLYYNGTKMGPSDDTLPSFKLRPRRRRVVYPALRGRASNVSDAVVESLARDRAEGRLNLDVRVKTTLTYRIWPSKATYYYEYDCWLQFAAPPGNGTPAFTGGFNCERRK >OB05G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4488405:4492382:1 gene:OB05G16110 transcript:OB05G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMFLSIGPDGVVRQVLGEFKKEKSKGKVRSIDVWDEAHKKKDPHLKAALEMAYNELAKRKDTKSGNLSTKDYGEVFGGIVGKETKLQGYYDNNNNNWSQENIDEEVNNTESCDNVERVQLHSSSYAKATDGHVNLSVPQEHMIAKQVITASTTKRLKASHWSTEPTMVGENETDKVHSFEQAIDGNTNGFANNLASPGANLHSTSNYVQQSSNICCTNAKDHHENQPIPYERTFAKQSSMEINIKRSKLVQKNLELSQNGNNKGHIQQTDNDIIDTFVSELEDFSSGAHAIPNNIKQAIASSGKRPRSSESYVEPILSHKDKVNQVPQRKEVLKQSSSKLDQRVVFIFSLNPVYKNRVVAKGNLVTMDSTHVVGGDMVGSEYYGVAIHAVSNIGNERLPRPFENCHTEKGVDYKKNRSKGVPF >OB05G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4493805:4494612:1 gene:OB05G16120 transcript:OB05G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNKSSSWGGCKCILKFAWQSVRFWTPLSITFVILWLLYGPDRFHPRVDSGVLAALHLAAPAAGDNATDHQLLYDLAVDLSFRNAHRRLSIRYLDIAATAFYGGAKLGPADDALPSPLLQGPKNTTVRAAPVVPRAVFVDSGTAAELEREMAEATVHVKVNVALTLKYKVWFVADVYFYMYDCWLWFPPPATATPAVFAAGTQCWAVKKLGDHLLGLGSGRKCKSQI >OB05G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4499731:4503549:1 gene:OB05G16130 transcript:OB05G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHNGRSPWERCKCVVKCAWPWTALAVAIVVVYIFYRPDRFYPRVDSGVLAALRLAAPADHRQERQVLHYDLAVDLSFRDAHRRHSVRNLDITATAFYGHTMLGHSDTALPSPFRQGPNNTTVHPSFRGAVFVYSDTAAELEREIASGTVHVKVRVKLSVRTRVGLVDDFHFYIGTDIYDKGYIYQEELVQENKYSPPTFMIVLNSLNDGRDQGSAVEVVSYKCCGVIEEKENVCNGSHEQDDYIGLRHAEA >OB05G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4508669:4518353:-1 gene:OB05G16140 transcript:OB05G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCSFSPVIREKLANMHIYEEQGAEPSTGLMMNEAAAAAAAAAASPGSGGSGSAGAAEKTAGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKANSDTSSTSTVAEINAQHYQQESAKLKLQITTLQNSNRTLGGDNITTMSHRDLKHLEGRLDKGLGKIRARKNELLCAEIEYMQRREMELQNDNLYLKSKVAESERGLQTVNMMGAASTSEYVQNMVHYDPRNLLQFNIMQQPQYYTEQEDRKAFISDER >OB05G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4534586:4536181:-1 gene:OB05G16150 transcript:OB05G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRKKTSSMLPQLQNHCNVYSDHSSEAERLGAANTSSHKNKESSFKTNQSDWDIYDRRTSESRSAKDSLNLIDLNRALLCIPDFNCTFISDSEVTDLDHPEGATSDLQTPSDVREAAPKHNQDLHSKSQGLQRELNSCDRWRIINTRSIASLLPDEISNISDRGMIGLPLNSRGDGTMNGKAPHILPHHTIQCTGQFSQNRYTNMNLGNIHHTGREFSSCPWQNQLHAKKPRLHSGISVQHEHAVISQHTMRLMGKDLTVSTAGGKCIGDTAKEHASSSIRCHHTTNIFLELPRHGHPFLSLQSRSFSNIQVDAPSTTHDYVGCRVHHLKHHRFPGVDVFSGNGIECEDKLRNFSYFHCGQNSPAGFSPLRGNRNTRSDQNSQSATAFLPTFMPHVKHPSFYHANSAWTHNPCPGNIPFHPSSDGTIVGKEQNQITGGVAEIPRAVDIVSRDTFLKTAKAHFDSPNISSGVRCVPRSGPVKLHPGAKHVLEPRQNTDDGNYPPKYSCIPFVVISRGGNILSGQTKDGRKV >OB05G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4541869:4542891:-1 gene:OB05G16160 transcript:OB05G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSFSIREYAASMRGTAASPHPHPLVGAGDVFPPMPARRFRWWVDELHAAVRSSAPAPPATKKRSVSDLFASASAGGGGGNDDVETEEASAMDNQPKKPRSHEDDGLRKIKKGISLSSSTPEAPKGRALYFM >OB05G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4559172:4559477:1 gene:OB05G16170 transcript:OB05G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQADSGKLGSSTDGSPYYRSSSEENQNDDELFGQVPEIQWSEPELPSPPTASGLHWHRLPANARGRGRDSTAAFVPDICSPDGSLRHCFPATSKRRRQR >OB05G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4575721:4576128:-1 gene:OB05G16180 transcript:OB05G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLSSLIHLGMEVALSCVRNREPGTSFDNLVTGPCRYPQQNVSEDGSLGVTCRKTRNFTVAGFNLSMAYIYFSSLKIMMAQWQHCELNSIPSEERTKDGRPHAVVKAETIAHTHTDTPLPPGAVADAEIVTA >OB05G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4577902:4578075:1 gene:OB05G16190 transcript:OB05G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLAIIQNITNVLTYDLRLVYLIIHATHRLDTSLSNFLFFIVLSSHSLSFSMWGH >OB05G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4575383:4584325:1 gene:OB05G16200 transcript:OB05G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein phosphatase 5.2 [Source:Projected from Arabidopsis thaliana (AT2G42810) TAIR;Acc:AT2G42810] MDANSSSNEQKAEELKLKANDAFKANKFSLAIEFYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDASKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDATRKLKECEKAVQRIRFEEAISVGDEEKRSVADSIDYHIIEVEPQYTGPRVDGDSITLDFVKAMLDEFKKQKCIHKRYAYQIVLQTVQLLRSTPSLVDVDVPNGNHFTVCGDVHGQYFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILALFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVRSKLGEAFIELFAEAFCCLPLAHVINSKVFVVHGGLFSVDGVKLSDIRAIERFREPPEEGLMCEILWSDPQPQLGRGPSKRGVGLSFGADVTKRFLQENKLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAYIRFSAPELKPEISSFSAVPHPDVKPMAYANNFLRMFQ >OB05G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4584622:4584834:1 gene:OB05G16210 transcript:OB05G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLLNLGNLPYLPVYVYTGNLPYLPVCVHTWESTFHLVSRRVSRWYLEEYRALTRKFQPLFSCVVAIIS >OB05G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4588539:4594075:-1 gene:OB05G16220 transcript:OB05G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGANGLHEEARALEEGGRLGGDQARCENSEQDGGSKSSSNLPMFSVQFVQKLIAEMFGTFLLIFAGCAAVAVNKRTGGTVTFPGICITWGLAVMVMVYSVGHISGAHLNPAVSFAFATCGRFPWKQVPAYAAAQVAGSAAASLTLRVLFGNAPEHFFGTVPAGSEVQSLVMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTVGPANLLGRYTAVWVYIAGPVAGAVAGAWAYNLIRFTDKPLREITKTASFIRSARRS >OB05G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4596477:4596806:-1 gene:OB05G16230 transcript:OB05G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding YASLVLDHLDPAGVLFSHRLYRGACRDPGDGRLVKDLAATGRELHRAVIVDDNPNAYSLQPENAVPVAPFIDDANDQELERVMGILAIAAEFDDTRDAIKHYKDLVEAT >OB05G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4612421:4612741:1 gene:OB05G16240 transcript:OB05G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHMATSMVIFFMAALILLASSSSCLTRARMMPAGDGYHANESRRSGSRQASPHGLLQEVAPPLLPSPPAAGAAAMAATAIVHPDSSGWMPQGSVPSPGIGHRV >OB05G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4620802:4621194:-1 gene:OB05G16250 transcript:OB05G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMRTSMVLLMFLLVALSSSTSKARTIRGLLSDEQDRLMTAMAPPPPATTAATTAGGGGGPRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGGGARDRRGQALGRD >OB05G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4621064:4621249:1 gene:OB05G16260 transcript:OB05G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKRSCSSLNSPRIVLAFDVDDDKATKRNINNTILVRMLEAMAEDKRDIYGLSRLIKEH >OB05G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4625006:4625350:1 gene:OB05G16270 transcript:OB05G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGSSSMATVLFVLFSASSSSLPVLQAARILVPVDDRDAHVVSMGAADPTASAAVVGAGRPSSRESLPLMAPPLPPAAQAGKPEMVTAPPAVGKRWGEAQLQGSVPSPGIGH >OB05G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4629117:4629431:1 gene:OB05G16280 transcript:OB05G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVATILCLLLLASSQSLVVVVSARVRMTPTDRPQASVATADTASSSTSHELLQEFMAPPRPRAAVAGNASEEVAAVGAKRRRVIQVQGSVPSPGVGHH >OB05G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4629698:4629874:-1 gene:OB05G16290 transcript:OB05G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGEIHLYIHIHSYIQVCDIIYSTCKSSRHDKLEDTNQVNTQAEERTASISIHPHIYVP >OB05G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4648243:4650667:-1 gene:OB05G16300 transcript:OB05G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMAADVPQQKAGGGLARRLSRLLRRKRSTAGSVAGGEYDESLDSSVNSLSKLKLSAATLDVLFRNAAVEKKGWLFRLHQLADNSKVRFQFYFFGASLHDGGKSAS >OB05G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4680173:4681093:1 gene:OB05G16310 transcript:OB05G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVAEPGSVENACLLFDEMLQRGIAPTVVTFGTLVAAFCEAGRLEEAFKVKEEMFLHYNIRPNAHVYASLIKALCEKGKMDDAQRLKEEMVRNSEPLVDSGAYAALARTLFWVGRKGEVVGLLEEMKGRGITVGREVYNAMIAGFCENEGDLDAAFAVLDDMQKDRCKPDAVSYNTLVGGLCKMGRWRDASELVEDMPRRGCRPDVVTYRRLFDGICDAGELGEARKVFDEMIFKGFAPSMVSVRKFVGWIEREGDSALLESVLCQLASVNALEGNEWEKAMSGVLHDPAEQKIEKLLDNFRLA >OB05G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4686135:4687138:1 gene:OB05G16320 transcript:OB05G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSLKPLVTMLCHSGPVTAIAFDRGGHLMATAGVDRKVKLWDQRPYAARAQSLDFSQKGLLACSNGSQVEIFRDTGGQDYKIYMKHRMVKGYQIGNVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFVDNPIETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKNIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLDQQNENDGRPDKKQRVTEEIELPKALQRFAKSRQGWSTRFSIFVFAAISFSSLVCIVSCSWQIYAVLNF >OB05G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4690570:4692833:1 gene:OB05G16330 transcript:OB05G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OB05G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4692240:4692458:-1 gene:OB05G16340 transcript:OB05G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMKPYFNSTAQLVTLDNYEVFAWALLRMTPSYLTWNHFMASSLVTLCWIPTRDLHLRRRATRYPARSRTT >OB05G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4693569:4693802:-1 gene:OB05G16350 transcript:OB05G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALRDLQRERGEHHRRLGRRRQGVHAAVARGGGGHGRRPGLAVIQGVQRLLQGPVILQSVHCVLDHCVHLAMVAAP >OB05G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4706150:4709308:1 gene:OB05G16360 transcript:OB05G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDVDVVLVSSHARCRGTDERAEKVARKEVDLRRHGGDVGANASVEFFQFLRSLPFQTYDVNLNSAYTLYPVKEIYRKKLPGPAKLGQLFGGSFENAEFAGRIQRKPWCPSTHDSWSALAHIYRKFLLLVSLRVEALKEKDRETRRHRNHGNLLGSRGSYAAAFPGPFLLVLRGTGPEAKDRNERNQCVPISGLAPTIQRAMD >OB05G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4710869:4714972:-1 gene:OB05G16370 transcript:OB05G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPALAPEPMLLDAQPPPVCEKKQEAEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVAGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANSSPELISRLVPEHVRRQADHNFFNAGS >OB05G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4720810:4726527:-1 gene:OB05G16380 transcript:OB05G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58690) TAIR;Acc:AT3G58690] MSSGGGGGGDDYKREESVALMVIVSLAALSLLSLVAAFAYYCYITRKVSRRLHSLQLPKHRSSSPPPMPGKESPSSNSASDGGAVVVGGERGVQVFSYRQLHAATGGFGRAHVVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGGSCGGISKLDWPTRMRIALEAAKGLEYLHERVTPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKTCNPGVQA >OB05G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4738363:4752473:1 gene:OB05G16390 transcript:OB05G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKIMVVLLINTMAAPTDTPLPTPGSSSFVPLFLLFFLQLFLKDGRAPKSVRPTISLSSGSWIQIERKKREDCESMKGCKLGWWLQMNQAEVSGLLCVGLHQTNAGDELYATECLKMNQDSSHETVKLGVVMPASTMQNDDNNANACLDDYQHTRMIAGIQPMEEDGFGSTQPFELQSQGIIPDSEEESLASSPETSSTSNYDIPGLAEQNLEHIYNVIGEMVDKEGPVVLNPEYVMCGTTSHVEPHITFSSDGFKIEYWDPCENDETVAQYWKISDITSIDCKWAQSVGSALITLHVGSGTETGNSGHDRIQFCLIDMQWPRKQQNIWHLASRYQEIWNNIPLDNFASENWNIEPSLFFPKQYFSDTEDFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYIKHLSTRIEPTEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTRKINIFAKEFLFIPVNFNLHWSLIVICYPGEVETFKDGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPEPASNCSDKFLNLRFISLELPQQDNSFDCGLFLLHYVELFLMDTPRSFNPLKIDLFSNFLSNDWFPPAEASLKRSLIRKLIHELLKEPSQDFPKLVCYSEQLDKTHQRSENAEQEQPKELPAQMCSDGEPNSVCTILGAQQQSTSTCFNDSDEKGPPVSGCISEIAKDSTVAVHNLHKLEVCSPNKDTIVCLSTHDERNEPPPADSYNLDLRSCVSEDAEAFKESAGVVKEQYSHKEPLLDSLDNKQGISTESEAAVHDSMDSKLCSVSNNAVSMAIEECSLGKSTDGNEEHNRSCEDIIESALMLDGSKADTELNPERITGEADVEKCDDFKDIDATEQSLDENIVEAGDIKCEDNIVDHIIVEDATLYNANETSSTADKINDNDQNVSSELKEGNKGNGMTSSISCEMEERNIDNGTVETCTDGQETHNNSVTGETVPCEDNTTGITDVEMPHKDSTCSMKSETICDNKTSDAKRPLPDSTDKEDIPDDNCLQKDDSRGADAKTERHYKRRKFLASEALD >OB05G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4754939:4756833:-1 gene:OB05G16400 transcript:OB05G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIVFSTICLVLLCHGSLAQLLSQSTSQWQSSRRGSPRQCRFDQLQAFEPIRTVRSQAGVTEFYDVSNELFQCTGVSVVRRVIEPRGLLLPHYSNGATLVYIIQGRGITGPTFPGCPETYQQQFQQSGEAQPFEGQSHKFRDEHQKIHRFRQGDVVALPAGVAHWCYNDGEVPIVAIYVTDIYNSANQLDPRHRDFFLAGNNKVAQQLYRSEARENSKNIFGGFSVELLSEALGISRGVARQLQCQNDQRGEIVRVEHGLALLQPYASVQEQQQEQVQSRDYEQTQYQQKQPQGSCSNGLDETFCTMRLRQNIDNPNLADTYNPKAGRITYLNGQKFPILNLVQMSAVKVNLYQNAVLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFDGELRQGQLLIIPQHHVVLKKAQREGCSYIALKTNPNSIVSHIAGKNSIFRALPGDVVTNAYRISREEAKRIKHNRGDESGVFAPSHAYRSYQDMSVAA >OB05G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4762690:4766509:1 gene:OB05G16410 transcript:OB05G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCVIQPIDMVKVKIQLGEGSALQVTKNMLANEGVTSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPIAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVQTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >OB05G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4798470:4800141:-1 gene:OB05G16420 transcript:OB05G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVLGLMAEGLGLEEEDALARLVSRDDSDTMLRVNHYPPHPEREREPEPGRLTGFGEHTDPQIISVLRSNDTSGLEISLRDGTWASVPPDRSSFFVNVGDVLQVLTNGRFRSVRHRVMVSSARPRVSVIFFAGPPPWERLAPLPWLVAEDGGRRRDREFTWREYKASAYRTKLAENRLCYFEAEAH >OB05G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4828128:4828400:1 gene:OB05G16430 transcript:OB05G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNHLKLDSVFPLTEYICSDRQWLHNIIRYGYSTFSASKEHNKILPWDGGSTFFHLKNNNTALSIFYYKSETRYKPKQKLVPSGFNVQG >OB05G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4828575:4832314:-1 gene:OB05G16440 transcript:OB05G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MATQSLFTNSSKYQCGKFTVGKFLSLLMVSGLIYLFAHKSSDGFVSGEIHDKVENRHTKKDSPKIHTFWRKPPRLPPRLPPNEIYKNNSLLLQSPPSEWASRQKKVKEAFEHAWSGYRNYAMGYDELMPLSRRGVDGLGGLGATVVDSLDTAIIMGVDDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGSDSGVPVTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPFSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRKLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQEKYRNTSLKYLFEMYTEAMRGVKHLLVRKTIPNGLVFVGELPYGRNGGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLLTVEDLENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIMIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWRIFQAFEKYTKVDSGGYSSLDDVTNLPPPRRDKMETFFLGETLKYLYLLFGESSILPLDKYVFNTEAHPLPIIQSAEQTSHSV >OB05G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4832119:4832406:1 gene:OB05G16450 transcript:OB05G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAIATRPSLRRKAPRNAHRAGFGEGEKWVGGGGKGWHKPDRGAHPEARSVAELGVVVGADAGGRGGEGHGRGGREREGGTAGSGFRCLPFVV >OB05G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4838937:4839194:-1 gene:OB05G16460 transcript:OB05G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVVVRPAGAVRAEKLPESSTSRALTSPAQTAPRMAMDVGGERDLEAQQ >OB05G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4842106:4844550:1 gene:OB05G16470 transcript:OB05G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLARLFAPTYIAMGFSPSAAAPSRRLAHLTRHVLLRSSFSGPGELFSSVGAPAAAARPGCLAAPKGYAAVLVCLFEDPHGGDPRVILTKRASSLSSHSGEVSLPGGKVEEGDADAKATALREAHEEIGLDPALVSVVTVLEPFLSKNGLHVTPVIGILSDKALFEPLLNESEVEDIFDAPLGMFLKDDNRKTQESNWMGMNIPVQSFEYQSEDKMFVIWGLTAHILTRAAAVVLQREPSFVEFPRPGYVSSPFGDTNETKR >OB05G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4846325:4846912:1 gene:OB05G16480 transcript:OB05G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYYSQTSKKGGRERERRDRRRRRFIGHVLLWRRRRRRRLPVPAAGVAAGPPVRAARRRRLHRRRHHLDHRAANLVHLPVLPVRDAGAGGGGGAHQGAAPCHDLVHLQDTLLASYAVNTSFLATSIHQVENWISHSVNIYTCWLFVHVFPAWRFGY >OB05G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4862955:4865899:1 gene:OB05G16490 transcript:OB05G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRAAVLAVAAAMCAHAVAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGKTFFGRPTGRCSDGRVVVDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATSMDAAFFKSIGLSDKIWNNGPLDTQIQWFRQLLPNVCGRDCRSYLSKSLFVVGEFGGNDYNAPLFAGRSMSEVRDYVPQVVSKIIRGLETLVRMGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLRSYNSLSSYHNTLLKRSLSNLQKTYPHARIMYADFYSQVTAMVRSPQNFGLKYGLTVCCGAGGQGKYNYNNKARCGMAGSSACSDPANYLIWDGIHLTEAAYRSIADGWLNGPYCNPPILH >OB05G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4896943:4900154:1 gene:OB05G16500 transcript:OB05G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAVAVAVAVAGLVWVAATVAAEPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMSVQLQWFDEVKQTVCSSPQECREFFSKALFVFGEFGGNDYSFAWKADWSLEKVKTMVPRVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYASDDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >OB05G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4897035:4898041:-1 gene:OB05G16510 transcript:OB05G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEDEPCGEEQTVCLTSSNHWSWTLMEVLKGGMLHTLLSLKYLRSSAVAPITAKLAPWLKLVPLFADGGNSGSPNASARKSMTRRPLEQRAYGGGNLGMLGSLPELMMTKLPVSENESPKLNIAL >OB05G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4902370:4911054:1 gene:OB05G16520 transcript:OB05G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPSPPLTLVAVAVAVVVVSCLFHGSAASGEPRFTSIFSFGSSYSDTGNFVLQSAGFPAIPFNHSPYGDTFFRRPTGRPSDGRLPIDFIAEALGLPLLPPCMAKGRDFGGGANFAIVGGTALDVGFFLRHNAASVPPFRSSLRVQIGWFRSLLAQTLRRNATAAERRERLATSLFVVGEFGGTDYRYLLSAGKSLEQAKSYVPVVMRAICRSVERLVEDGARYVVVVGTPPAGCMPMELTKYAPAAAAANASTAYDRRTGCLRRLNGLAEYHNWMLQEAVGRMRGKYPGTKLVYADFYRPVASLVRRPAKFGFAQQPLKACCGGGGAYNYNPAAACGSPGASACSDPSAYVNWDGVHLTDAAYKHVAGGWLDGAYAYPSILSLLPTQ >OB05G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4911386:4922189:-1 gene:OB05G16530 transcript:OB05G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAVLSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENFQSDRNLVITAVKVLVFLTMPVEPSSEGVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDRLERASFTEDDWKLVQLVLTLFRNVLAIQEITLAQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLLEIFHYLFLGRDPELIARASTEVSKEHVEGNIDTSVHSLRLMMEDEERKKRMFRQRNSEHHSISGIFTCTAVDGSKSVCKGNPNSASANSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMLRNFLDQFLSGGYNVLMQSVCDDIIKEHHSVQKSDITTFFKVARFALAFQHEKASHAQKSNVGVQLPEASPSNHLDDNLPFHGDICGPVAATLNEDMFNLVISRWRETYDGLKETNDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTHFMLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKVSKNKSENTNPRAESMEQSKSVSTDPSAENMEHSRSENTNPSEDNMEQSKSENTNPSAENMQQSNVDSADGIKCPLESLSDLRSVDTEAEPPLIEQGKVVSNSMVVPDELAGTSVKLDSTSRGDDEPSCADNGEMKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISSLANNSVVQNVCWLLKHYKSNSFRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILADQRSSASKEYTNIVNFLSKVVRKMLRVMKKQPLLFIDVLFWKTRKECHCIDADALLSDIAKDTRNKGGEIGTNNGWRGPINIADSLGDDEVDFVIPQEPYNADKDEDSPSGEHEDVLKRSMGATNKSKRILSFSDSEADDNDRNTVSRGSQNSVAPKRRGRSIFSEEQERLMRDLYEKYKDDRKCTHLIAEALDPSGKISSAQVSRKLTQLGLRNVTKRRTKIPEAPLSDQELATQMDHMLGEDNYDPKPESTRRRRKRQNAPRDSYEDNTSDGKSSDKETLQAIKSRTKNKKVSLVGFSPSTSQHQDGSHDPDSDDETIGSMLRSGKKTRLLTSDYTMNIKNHQESPDSTNTSNYSPQISQQQEPLQDTYSGDEITGSVHRSVKRKRLLKSDFIANTQEHQEPLNNIDQDDETISSKDNLHHGLNSFNNSGGAGEIDPLDDFIEPELDNGGNTEQRVIDDINITESGDMASSFAGQQSGSKRRHRMVIDDDDDD >OB05G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4928436:4928630:-1 gene:OB05G16540 transcript:OB05G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPFFACFAIPNYIPQNSQSLRCEMFQIKLLRSRDLTPRIMPPGTSKIQQHNIHLAQLTSITKSS >OB05G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4927998:4931502:1 gene:OB05G16550 transcript:OB05G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGLQPDVVELHKLEAVEKHLGRFVDARKIGNWKSALRECNAAIAAGADFCAMLFASRAEALLQMNQLDEADLAISRASKLDCSSSCTQDMMFCGFQANSYLYYVHAQVDIAFGRFDGALSSVEKARKIDSGNIEVMAMHKNVKTVAQARSLGNELFHSGKFAEACLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKMENWADSVKDYEVLRRELPSDTEVAEGHFHAFVALRSSRGEDVSNMKFGGEVEALLGTEQFQMATALPGVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQFEV >OB05G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4935508:4938171:-1 gene:OB05G16560 transcript:OB05G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAKLRTYVDLMVAEVEGYFARWGQSGTVDMKQEFEQLVTLIASRCLLGEEVRDKMFGEVSALLRDLNDGMRLLTILFPRLPIPAHRRRDRARARLGEIFSDIVRSRRSSTAGRDGAGARHGDMLQCLIDARYKDGRATTETEVAGMLVAALFAGQHTSSSTSTWTGARLLTNPKHLRAAVEEQARVLRRHGDRVDHDALASMDTLQRCVKETLRLHPPALMLLRHARRSLVRAGDQREYEVPEGHTVASPLLLHNALPQAYRSPGEFDPDRFGAGRGEGGGLAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFELQLASPFPETDWTVVMPGPKGKVMLTYNRRKLCKESVLL >OB05G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4978620:4981308:1 gene:OB05G16570 transcript:OB05G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49170) TAIR;Acc:AT3G49170] MALLPAKLPPPPATPSPSPPPPSSSTSPRYPKRLAAHPALSSNHPSEVSALLAAAARAGDLRLGRALHRRLLRGDLLGRDAVVANSLLTLYSRCGAVAAARNVFDGMRGLRDIVSWTAMASCLARNGAERESLRLLGDMLESGLLPNAYTLCVAAHACFPHELYGLTGGVILGLVHKMGFWGTDVSVGSALIDMLARNGDLASARKVFDGLIEKTVVVWTLLISRHVQGECAEEAVELFLNFLEEGFEPDRYTMSSMISACTELGSVSLGQQLHSLVLRLGLASDGCVSCGLVDMYAKSHIKQSMEYANKVFERMPKHDVISWTALISGYVQCGVQENKVMSLFGDMLNESIKPNHITYSSILKACAIISDQDSGRQVHAHVIKSNLDDVHIVGNALVSMYTESGSMEEARRVFTQLYEKSMSSLISERRNAPVDHQIARMDMGISSSIFASLISAAASVGMLTKGQQLHAMSLKAGFGSDRFVSNSLVSMYSRCGYLEDACRSFNELKDRNVISWTSMISGLAKHGYAERALTLFRAMMLAGVKPNDVTYIAVLSACSHVGLVMEGKEYFRSMQRDHGLIPRMEHYACMVDLLARSGLVEEALEFISEMPLQADALVWKTLLGACRTHDNIDIGEIAAKNVIELEPRDPAPYVLLSNLYADAGLWDEVARIRSAMRDKNLNKETGLSWMEVENTTHEFRAGDTCHPRAQDIYAKLDTLVTEIKGMGYVPDTSIMLHDMSDDLKEQYLLQHSEKIAVAFGLIATSAPKPIRIFKNLRVCADCHSAIKYMSKATGRVIILRDSNRFHRMKDGECSCGEYW >OB05G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4984224:4984475:1 gene:OB05G16580 transcript:OB05G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWGCSLPSSPTLPAAPTPQGRWQPPPSLPRRGPHAGPRPPRSCTMRSIVYSRDLLFLIKLMNHKIPRKTKLNAIFSPVFFH >OB05G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4984498:4986212:-1 gene:OB05G16590 transcript:OB05G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVDALGSMVLFQGHDIHPFFIAVEPPRARSHLTALLSPSTSTLGCVHPRPGTAAQRQRRISLQIVERVAAWGITQLFPSQSENQGYDYYNEYEWEFSSQEGGTRCLIKHDID >OB05G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:4985636:4993246:-1 gene:OB05G16600 transcript:OB05G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3M4Y6] MAKNGFINGALQAGTLFGILAVASMAELASGGGGGHDYAMALRKSILYFEAQRSGVLPPNQRVAWRASSGLFDGKSNGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGKQMAAAGELRNAMDAIKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRGTYPGYANLLLVHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEDRFYLEYLAGNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGEHAAALQRYRQNAEFFVCSCVGKGPVNVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADFATMSGRGAVRCPAGAAQPFEILKFVKSQVNYILGDNPRGTSYMVGYGASYPRQVHHRGASIVSIKRDPSFVSCQEGYSAWYGREAGNPNLLDGAIVGGPDEYDDFADERNNYEQTEAATYNNAPLLGVLARLAGSCGGLKEEEAEAAPLANRTSSWSRHTAPSPIEIEQNVTRTWARRRRTYYRYSVTVTNRSPRKTVRELHLGVAELRGRLWGLDEARYGVFQHSVEEVDLSGHIAVDEEWLAYLGSFRYLRVLKLAGCKNVTNSAVWALSGMSTLKELDLSRCSKISDAGIKHITSIESLERLHVSQTGLTDNGVVAISSLKNLHFLDLGGIRITDKAFQSLKVLTLLEHLDIWGSEITNKGASVLNAFTSLSFLNLSWTRVTCLPILPTVRSLNMSNCTIHSICNGDTNVLIHLEKFVISAASFGNIAEVFSSILPSSLTYLDMSSCSLSNLYCLENMKNLEHLDLGYNRITNDAMEYIADIGVNLKFLSLSNTEVISQALCVLAGTVPSLTTLSLAHTKIDDSALLYISMMPSLRILNLSHTCIKGFMIENSEKILSMSALEELKHLESLNLDGTQLTDDVIPPLASLRALKYLFLQSDFLSDPALHALSSASNLVHLGFCGNILSSSGLLKFVPPATLCVLDLSGCWILTGDGISAFCRCHPLIELRHELIQELQKKCGGTSQLRKSRQPQQVKAKVAKAKLADICFVDERIKYSKEELMELQHLVKSNSLMYAVQLPPELQRSI >OB05G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5005149:5010973:-1 gene:OB05G16610 transcript:OB05G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSQDVESRAKPHPLVQGDDEVKAEQPNTVATDVHLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLAPAQNGIRPMPGNNLPMGYPVLQQPGMPAPGQPHVNSMSCGPPGCHVVNGIPAPGAYNPIRMSSVNGMTENEVPGTAHAGAMSTEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLANGGPLHLGPDGGDSSSLGQQIWDFSLSDLSADLTNLGDLAALENYAGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >OB05G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5014400:5014865:-1 gene:OB05G16620 transcript:OB05G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRHMLLLSLALLLISSEGRVVPADMTCNSIMIQQGKQCDSDACDKQCKYDFNGVGQCVAEGCKCYYCDGSYFFQGQKLSLLSP >OB05G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5025039:5027308:1 gene:OB05G16630 transcript:OB05G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHSHAPGEHQCSSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLELLDDDEHILSVKFVGGDHRLRNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >OB05G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5043651:5044289:-1 gene:OB05G16640 transcript:OB05G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVCLAVFLLAVLASVAASDDPLTGAQQTSQVSHGTTEERRSSPVKEDGGEGKAVSQVEQAGELVSSPSVEKEDKKLEHHPSEERKMGDDVGDTSDDDDDDHDSDSDHDSDHDSDSDHDHDSDDSDHDSDSDHDSDSDHDSDSNHNSDDDDDEGDDSNDSDDQGDGSGDSGGDDGFHNDDHKTNKNAMNKNEKKSSAPGGRKVGLGNKN >OB05G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5046605:5048226:1 gene:OB05G16650 transcript:OB05G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41850) TAIR;Acc:AT5G41850] MAPKRRRAAADGSSELPPPPPPLRTAPSHLQPVVVFAHGAGAPSSSDWMVHWKDMVKDALDAVEVATFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDVVAEHPGHPLVLMGKSMGSRVSCMVANSDDIIVSAVICLGYPLKGMNGALRDETLLNLKIPTMFVQGSKDSLCPLDKLESTRKKMNCKNGLHVIDGGDHSFKIGKKYQECTGVNQQAAEMEAVKAIAKFVENSIAGT >OB05G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5049828:5051841:-1 gene:OB05G16660 transcript:OB05G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3M4Z2] MFLDIRFIVGIIGSIACMLLYAAPILTFKRVIKKASVEEYSCVPYILALFSCLTYSWYGFPVVSYGWENLTVCSISLLGVLFESTFISIYVWFAPRGKKKQVMLMASLVLAVFGMTVFLSSFSIHNQHTRKVFVGSIGLVSSISMYGSPLVAVKQVIRTKSVDFMPFYLSLFTFLTSLTWMAYGVLGRDPFIVTPNCIGSIMGILQLVVYCIYSKGKEAPKVLHDIDQNNVMKVPASHVDTNRHNP >OB05G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5058672:5058962:-1 gene:OB05G16670 transcript:OB05G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGLFTKMFSVGNAQCVMIIGFRDDHKCSLLLTEQVRHMTAFSSYGIMENFTAIEKVTQDTKVFNTTKLLISFTILNVQCHSWNMDLWFLMDYV >OB05G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5064724:5066141:1 gene:OB05G16680 transcript:OB05G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAIIGGVAALSCHANMSCHTTDICVAALSCHTIGIGVAKKFSFEKILPGGLVIKLLAKKVYLIKKFDRNSRGGDDSRRGDGATTEYGARSGHDWDGRGARGGGDTCWMGISCCRQGEVMMAGDWGMRWASGSHRSVEGVVGCRRLGGHRGMRQGGDQEVAEECIRVFTGARKKLRVAGTLSALVEGRCPGCVVGAHQGADGRQAVGRLPGHAEGATVMKEMSDGEEGKKKIPLISFP >OB05G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5086962:5091519:1 gene:OB05G16690 transcript:OB05G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKRCESAIPFPTAALSASSSALDPELVEILPPMASSSRWWWAPWWWASSFSSSSSRHHKGDGGWGLGGPVLVKAVGWLLVAGLFFRALCSFPSSSPEISKGKCNLFDGEWIPNPSGPAYTNKTCRFIDGHQNCMRNGRPDMSYLHWRWKPYECEMPQFDAVKFLSAMRNKSWGLIGDSILRNQIQSLICLLSEAEEPVQVYHDKEYRNRRWHFQSYNFTLSLIWSPFLIKSEVFENENGQSTAEIQLHLDVLDPVWTSQYDSFDYVVIAGGQWFLKIAVYWENGRVIGCHNCQDKKLLELGFEHLYRTTLQQVFRFITSANHKPVVLFRTWAPDHFENAEWFNGGSCSRVLPYKKGEYMGKDIDHLMRPIELEEFKKAIAGSRNAANLKLLDTYRLSSLRPDGHVGSYRYPFVKGDKDAKSVQNDCLHWCVPGPIDAWNDLVMKMVLN >OB05G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5093168:5093410:1 gene:OB05G16700 transcript:OB05G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEKKNDDDAGSGTGTGAGGDRRRRRANRDEAEINQEIGGELRWEVGRPAALLLCIGASACQSRIRYVILLRPFFVTEL >OB05G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5112469:5113554:1 gene:OB05G16710 transcript:OB05G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTPARVVADKLGVPFYMFFTSPWMLLSLFLHLPAIDAARGQGEHRDATAPILLPGCVPIHAHDLPSSMLADRSSETYAGLLAMAMDAATADGILVNTFREGERAVGAAPEGVKLPPVHAVGPLVWTRRPVSDDREHRCLSWLDQQPRRSVVYVSFGSGGTLTWQQTAELALGLEQSQHRFIWAIKRPDQDTPSGAFFGTAHQAKEDTTMEFLPNGFIERTRGVGLVVQSWVPQTAILGHMSIGCFVTHCGWNSTLESVSNEVPMIAWPLYAEQKMNAAMMEVQTKVAIRINVGSKRFITKKEIARAIKRVMEEGEEAERLRQCIGELKDKSVLALSKDGCSTSALAQVVHSWKCTVGKK >OB05G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5120163:5123084:1 gene:OB05G16720 transcript:OB05G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLLVHLPSLAVAGAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGEEQRRYYVNLGDAIRTLREELPTAFYREPSFHIYRDDIAFKDPINNFTGIDNYKRIFWALRFTGQIFFKALWIDIVSIWQPVEDVIMIRWILHGIPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVDNVARNSPTKFKVLPIAELIRSLGSPSTPKPTYFETSSLQLILLVPFWLRLTWMRCYLSLYLALANLSKE >OB05G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5124605:5124850:-1 gene:OB05G16730 transcript:OB05G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSKPPAEMTSPAHDALPASSASKNQAAASTVSGGGGDGKRSSGSGAGGEGLPGWKLDCLCRESGMTSAVLSGGFPCF >OB05G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5148686:5158463:1 gene:OB05G16740 transcript:OB05G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle-related / SRP-related [Source:Projected from Arabidopsis thaliana (AT5G61970) TAIR;Acc:AT5G61970] MSKPAYLPTPSDMEVDAAAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTKKNITESTVTDVRFLHIVFYMAERAWSHAMEKKTAGPNAQQRIYMLGRFRKAVKWAMLFSHLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHELLDLEKDGPAYDLFKAKIEAVLSETRLQQAASMTEFSWLGRRFPITNAKTRVSILKAQQLEKDLNGANSESVPADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGFRTIERNQLLISIAKSKFTKHRDEKNEKMTKPEELVRLYDLLIQNTTDLTDLISSGRDKNEEENSFIQEYELKCLGFRAERCFYLAKSYSSAGKRAEAYVLFCHARSITDSALQQLANSPDKVLVQDLKALSDSCRSSSCIEHATGIMEEESVPEKLSKGVSTLSLGEDKRKDAFLLDMLESYESVLGELNTKTPCHIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRLWG >OB05G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5162034:5164770:-1 gene:OB05G16750 transcript:OB05G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAQRKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNKRVDTFNYTAIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVALGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKHGILSSQSEVDQKV >OB05G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5173960:5174284:-1 gene:OB05G16760 transcript:OB05G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSALAAALVLVLAAVAPAVVAAPAPAPAPSSDGTSVDQGIAYLLMIVALVLTYLIHPLDAASSYKLF >OB05G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5179960:5180602:-1 gene:OB05G16770 transcript:OB05G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAAGRKRGEGERGRGGGAAGALGPAARLRRLLLRRKLRKSKAAAGRNPSDGGGVALPEAQSRFLAKVGDADADADATTRPAPEACALAGEKRRVAASPKEAARKYLSKITSTLARRRGGKESAMVVLNLGSALPTGKATGRGRSSSMAMAAPAPATPRRGRDGSGQHLQDGIESAIAYCKLSMRAAAATAS >OB05G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5186057:5189986:-1 gene:OB05G16780 transcript:OB05G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPPPAYANRPPQQPATPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTTQTFMDDSLVDWARPLLMRALEDGNYDSLVDPRLAKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDYTSSQYSAPTSEYGQIPSASSSEGQQTQEIETRTTTKKGGHSGYSSGYSGAS >OB05G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5216476:5217264:-1 gene:OB05G16790 transcript:OB05G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAATTVSTASSSTAPPAAFLPRVEPFSAALDILRRPPPPPPPEQQQKKKSKSKSATVQRCCSSRHCSCRHFGGGMKPKLSSNPYGFTTSDDDDEDTDGDDCREAFFSSRSLMSSDSGFYPCSKQQQQQQLLPHKSKNRHHHRRHRQQKTRRRRRRASGCVDEACSVREGAVGSSSFRPLVTTAAVEEEVRKGLAVVKRSRDPYGDFRESMAEMIVERQVFAAAELERLLRSYLSLNPPRLHPVILQAFSDIWVVLRGG >OB05G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5236243:5236578:-1 gene:OB05G16800 transcript:OB05G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLRTTSFCLQTAPSYPPLPPKVVGAAAALSLRLVRYRPQSAHRPESPAPRQSSWSSIDPQPPVHLSSACTTADGSSVLPPNHTRSERRSVLPPEEANAIVFPSPAA >OB05G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5243624:5243812:-1 gene:OB05G16810 transcript:OB05G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVRQPILFIFIIKIQFFLYVELKYSYTVYFADFLTYFNASTNASLLHVLCYPHSCILYV >OB05G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5248364:5253152:-1 gene:OB05G16820 transcript:OB05G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAREPQHSPGSQAKAGNGVRYVQPENRMFRSQPIPGGQPSRTVTRGRAMSRDKRYDSFKTWSGKLERQITHLAGVGPETPAEKDGDEAIGSHRTFSLPKVDRFFAALEGPELDQLKSEEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTIATSAPTTFLHVTTKVNLVLWCVSVALMCAISAIYGAKVAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVVATTLPQWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGLAHYGVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGLGSRLAYFVAVFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKAVCVALSAVATLTVTGLFATTMVHAFVLRNLFPNDIAIAITDGQGGALSSGPGALALLESPTGTGKTLSIICSALQWLVDHRDAAAAARGSTTAAAAGDGVGDDDDPDWMREFTPLPPEKPSTKKAKPPAVARGKAPRKAAGPEKSEGFGEGEDEEFLLEEYESDGEDGMRWEAGKRPHRGGGGGGGGVAVARARRTGKRRRR >OB05G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5253369:5253599:-1 gene:OB05G16830 transcript:OB05G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSKPGGEVPSLLADVEVSNLAGFDVMAPTTAPQPSPRPLLHTNTAARPRVSHPGLLCSALLCCHGDRRWGCC >OB05G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5257242:5257421:1 gene:OB05G16840 transcript:OB05G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVYGDEQNQWRLFHREIYQINSRFFGPYISPYISWKFCGRSLYPTRVWRKLISCVYKTF >OB05G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5284085:5284594:1 gene:OB05G16850 transcript:OB05G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPMATVVRAPQQHLASLTRWYFDVDGGEGLSQDAIRASITPGVTQSPDQDDVFVKEISTQLEDGCPVVRRGDGNVLCSKMTTTIYAVVHALMGAIVVEADHDTHVGFNRALAQGKM >OB05G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5285990:5286535:-1 gene:OB05G16860 transcript:OB05G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARGGGGGGYGGAKVEDGGGGGAPGMPMAELEQVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDSDRDGFVDLTEFTAFHCSGGSGDSAKDQEAASEAELREAFRMYDADSNGKISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGGGAAGRR >OB05G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5287548:5287835:-1 gene:OB05G16870 transcript:OB05G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSRHLFKGYLLLMESHPHTSCLRLTIRHSTNLYVVSWFPKFRPNLPKSTTLQSFYKSIPQCWLLQGCREGPQEIGSSQDNELAFEYHVLLLG >OB05G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5290842:5292223:1 gene:OB05G16880 transcript:OB05G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVKLIFEWFHRRPTVRVAGEGKAGSGRGWLLRRRETEGDRFPSVVAAGRQPSGLATAGGRRSGLAPAACSRRRWYMARRRSGEPRRGAAAREVEGRDRGGAGIGCGKARGSRAPVDRRRQNRAAPVSSAGGELGEIPVTISTWDERENIGKEANTSGWNSSSGELKLGCDDSGRTNQYGFREMEEEFLATPMIEMKTTLVMSVDWGAVGGGGAGMD >OB05G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5291167:5291481:-1 gene:OB05G16890 transcript:OB05G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCAVPRSATCAATSAAIPFTSLAAAPRLGSPERRRAMYHRRLLQAAGASPDRRPPAVASPDGCLPAATTEGNRSPSVSRRLSSHPRPEPAFPSPATLTVGLL >OB05G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5313742:5314188:-1 gene:OB05G16900 transcript:OB05G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILVGFFGSLKICDFGSATFMKPAGKPYDKCLVGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGPPLFGGDMTVAELLADLSANLGDLLKELKVEVLPELSAAAHEFLSGLLAFDPEKRMTAAEALEHRWFTEEANKASSS >OB05G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5316588:5317133:-1 gene:OB05G16910 transcript:OB05G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAASGSRKRAAADQEPTACRSSPAKRRRYALGSADDYEQLEVVGEGSFGVVIKARDRRTGSMVALKRLHSALGFDAVRVEAAPPPAPACQHACRGHPSIVEIKNVVADAKTGEIFLVMEFVGSSLRDQIMRSRPEDLVREMMRKLIGAAHKMHSSRVIHHDIKPENILVGFFGSRGR >OB05G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5323295:5324164:1 gene:OB05G16920 transcript:OB05G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAASGSRKRAAADQEPTACRSSPAKRRRYALGSADDYEQLEVVGEGSFGVVIKARDRRTGSMVALKRLHSALGFDAVRVT >OB05G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5338902:5341246:1 gene:OB05G16930 transcript:OB05G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPWCDSDRKDRSGREYRCYVGNLPWSADDRTLMDAFADFGPVSSEIAWTHETGRSRGFGFVYFEDGDSLDDAIEVMAGQVIGGRTIAVLLAGRPGLRPSSGGSARRRLQDYYLVLY >OB05G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5344158:5357531:-1 gene:OB05G16940 transcript:OB05G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECTLNSVPSPPLPAPDDFGLEAAGPIKDLEVAWGTMVVADLGENAAGNCVNDAIGTDGTALRRSGRIAKIKSSPSSTPLSQNGGSSRASKRRFADSRKSRSSAGRKHPVFTGPVPVNAVDLINGVELQEPREIVNVQIESSFYGNNHKEGGLHNQVVLALPAVNDSLSKGKGASVVPPRKTRLASKVLVNSDRVSAIPPIVNGGPPVPQKSDAYVPPRKHKLAVEDCSPNLDEVDGGIASCNSRLISAEPRVVSEMPPGRGRRLSQPPKKKARILSVKYLEKLKRAEKNNGSMFKSPRVTVMPELSTKHELILDKRLADSGTMEMDDGSCFFVGEAVPDYEARQKWPHRYEINDQIMKKFSDLKDKRTSSQTFVNAGKAVLDVKCHYLQAKVSTCTFCIGDCAFIKGPEGKPNYIGRLLEFFETKAGEYYVRVQWFFRAEDTVMKEQAQSHAPRRLFYSDLTDDNLLDCVVSKVTIVQVPPTINGKSKSVPSFHYYYDMKYSIDYSTFSTIQMGDTNDVAQSGYTSRTTDKMKIDVIKKHKFPELEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRHNHPETRVRNETVDDFLELLKEWEKLCKTYVKHSNAKARVDSTIETNDETPDCSTVPPDEFEVWKIVDICFGDPNKVRKHGLYFKVRWKGYGPHDDTWEPVEGLRNCKEAIRDFVIEGNKQKILPLRGDVDVVCGGPPCQGISGYNRKREFEAPFKCEKNKQIIIFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMSYQARLGIMAAACYGLPQFRMRVFLLGCHPKEKLPPFPLPTHEAIVKNGCPLAFEQNLVGWPGNTPMQLEKPIVLEDILSDLPEVANEESRDEMLYIKGPQTEFQRYIRSFNVEVPGPKAHVTKDFLSSKLYDHRPLVLDNDNYQRVLQIPKKKGANFRDLPGVVVGPDNVARLDPTKERIFLPSGRPLVLDCILGYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDKYRFCGTVKDRYRQIGNAVAIPVGRALGYTLAMAYLKKTGDDPLMVLPPNFAFSHDITGFP >OB05G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5385068:5386506:-1 gene:OB05G16950 transcript:OB05G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSPCLAVAVLLLGAVALASASVDETAAAAAGRGLGHGARFVRKLGQPKPEPKPEPVPHPEPKPEPEPKPYPEPKPEPKPEPVPEPKPEPKPEPVPHPEPKPELEPKPYPEPKLEPKPEPIPEPKPEPKPEPVPHPELKPEPEPKPYPEPKPYPEPKPEPKPKLVPEPKPEPKPELVPHPEPKLEPEPKPEPKPEPEPEPVPHPEPKPEPKSEPKPYPEPKPEPKSEPIPHPEPKPEPKPEPVPHPEPKPEPKPEPLPHPEPKPKPGPEPKPKPIPHHKPKPKPKPKPKPEPKPKPEPKPEPKPEPKPEPKPYPEPKPKTKPEPIPHPEPKPKPNPKPQPKPEPKPDPVPEPKPEPKPYPESKSEPQGA >OB05G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5399882:5400649:-1 gene:OB05G16960 transcript:OB05G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYPEPKPEPKPQPKPEPEPKPEPKPEPKPEPKPEPKPEPKPEPKPEPKPHPEPKPEPKPEPKPEPKPHPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPHPEPKPEPKPEPKPEPKPHPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPEPMPHPEPKPKPEPKPEPKPEPKPEPRPHPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPPPKKHKPPHIPPATDQ >OB05G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5400756:5401199:-1 gene:OB05G16970 transcript:OB05G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSACLAVAVLLLGAVALASASVDETAAAAAGRGLGHGARFVRKLGRAAYEKPPVPEPKHVPQPKPTPKPYPKLEPKPEPKPEPIPKPEPQPEPKPEPEPKPEPKPELVPQPEPKPELKPEPKPEPVPEPRPEPKPKPVPHPEPK >OB05G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5404025:5404477:1 gene:OB05G16980 transcript:OB05G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASTLVMALAHELNRGAGTKLERNRGRGVTDLFFSATEFPRTSFIHAASPLPRSGTYGFSGGGIMHPVTRMLARSRSPTDMFDRWSVAPGERVVSWIRAWCPYWTMATLVRGVDKLTYLARKT >OB05G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5405550:5408140:-1 gene:OB05G16990 transcript:OB05G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVHHALLNQSSVIVNTMYGLIKKVADGSTTQELPKGLVYLPKGTTHMQYGPMRDEEPQRSIRENNEPLPKFRDEDWTDRIAEMIRDQFDLWPKEQMVMYRRPYPEWLERVLLPHRYCAPDFSKFVGQDGVSTINHVSQFLARCGEALVEDALKVRRYWHMRSNIRRPEKKKFHRNIAYFAKTLSDSDQEDAEIGLVEWTKNKKPMTCPWVKGGKNEEKFDFDINKADKIFDLLLQEKHKQLPPGHVIPSVEERYCKWLNSVSRARQNESYIFRHQDQSAIEQGRIKFEEAKKLMEIDGHPFLVNMIVDYTKRKCRGGMEGMGLAKYARLLAHNVADTEVPRMRIL >OB05G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5423998:5430348:-1 gene:OB05G17000 transcript:OB05G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:J3M526] MASAAAAYYRDRDDPAPSHPSSGAMAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFHRLSARPLXXXXXGAGAPHCDGDHVFLLLYRELWFRHAHARLQGLTPAQRAESWDNYCSLFSVILQGVVNMQLPNQWLWDMVDEFVYQFQSYCQYRAKLKNKTQEEINLLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYVDGIREFNKILLYILKAKQYHQNSPQYDQILKKNEQMYALLAICLSLCPQDKLIDENVSTQLKEKYGDKMTKMHRYDDEAYAMYDELFSYACPKFITASHPVPGEPLINYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSINSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMISQLDKVQFD >OB05G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5431158:5433344:1 gene:OB05G17010 transcript:OB05G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNEYHVNSSAERKNSPYWDDGTVMGASPPEEQHSPIEEVVAVKRNQKRTKNFSGKEDEMLVLAWLNVSKDAIQCNEQSRSAYWTRIYDYFHSNRDFTSDRSQSSLMHRWSTIQDNVNKFTECLSQIEDRKQSWVSNQDKIMHACALYKAGDENHRSFHLVHCWNLLRNQQKWIDRPSQMSSHKKQKTTSDSSLVMSTITTSDDSEVAATPKCENDEDEYHINPLAEKNNSLNWVVMGTSPPEEQHSPMEEVVVKRKLRNQKRTKNFSGKEDEMLVLAWLNVSKDAFQCNEQSRSAYWKRIYDYFHSNKDFTSDRSQTSLMHRWSTIQDNVSKFTGCLAQIEDRRQSWVSSQDKIMHACALYKAKDENHRSFHLLHCWNLLRNQQKWIDRPSQMSRHKKQKTTSNSSLITTTTSDDTEAVATPEYENSEDEYRGNPLAEKNNSLDWDDGSAMGAAATPEHELPKRPENSKGKEKLGQSGDEEKEILRQGRDVVYREDLDYLCANKIEADAEKVFKKDERYQQIYALEQQKVALEQVRVANETKNLDVRSKELELKSKEIDLKRMLEEERIMTMDITGMSGLQQQYYKSLQDEIMTRRFNRLC >OB05G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5447676:5449938:-1 gene:OB05G17020 transcript:OB05G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTSFNFNSFKTEKGRRFRFGTIGEKKVIVVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPALHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKYGALNFSDYSVGGDKPAAGNLLNSVWYQPEEVFRAGGTPESRGHEFWVPVDDRYYQLSRKLEAMTRQFLRSRLGVTAIDMETAAVALVALQQRTPFIAIRSLSDLAGGGSARAAQARAFAALASQNAVAVAIRFITLLS >OB05G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5447692:5454045:1 gene:OB05G17030 transcript:OB05G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMATATAFCDASAANARACAARADPPPARSESDRMAMNGVLCCSATSATAAVSMSIAVTPSLDRRNCRYAALSTNTLAPHPRSTRATAGRRGRPV >OB05G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5453760:5457878:-1 gene:OB05G17040 transcript:OB05G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPWSPAATSRLRSMLALLLGAVAMAPPSSVKEAAVPAGTARDVSRVNRQGPFVGVVVPNGFEMEPLLRSPEFSPAKKLPYLDVAGRRFRFGTIANRKVIIVMTSLGMLNSGVTTQFLLTLFDVEGIVHFGIAGNADPNLRIGDVTVPRYWMHTGLWYWQRYGDGREKELALESNGDSTRKYGALNFSDHTAGARKPAAGNLLNSVWYQPEEVFPADGTPESRRHVLWVPVDERYYGLSEKLEAMTTLERCVNNGTSGTGLPRRPAVARVERGCGASVFVDNAAYRQFLRSRFGVTAIDMETAAVALVALSSPYGRSRTSPAVAPQGRTRPACTPRWRRRTQSLSPFALYRC >OB05G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5459993:5462334:-1 gene:OB05G17050 transcript:OB05G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIHDELTAIDRSWMYGNIVKRHNKEYRNEVLNFIKSTEEDRKRRNNNYMCCLCADCKNKNMFDIRVDVHGHLIQRGFMEGYTCWVKHGEQEVATADRSGAKNQEDEDEHDMFVPSPLEGEMVDVDHNLLHDMLHDFVDPAYNKRDSSSLAGWSITRRHPYTPNARIVNNLRADIRRFLHYEYCNDLSGFFDDEGVLAKDKYKALAWWDQDKNSQNVLNTSSLLCVSTVFGSEQLRWDQDKN >OB05G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5464960:5473002:-1 gene:OB05G17060 transcript:OB05G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGRGTGPAPAAGGLMGSLKPCHEPDQEASPNMPSLSSPSLIFSQQFQHGSSGLVPMNGAGTASLPSLHDGGGQENLPESWSQLLLGGLAGDQERYSATAALLSKGLENWGDHAPAASAACMAAAGAMKEEASMAQAATTAAAAAAYSFYGSHLAGDQHDQIQAAAGGASKSQLSQMLLASSPRSCITTSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGDANGTGPTQNGPGGERNPGLFPEYPGQLLNHNGNTGAQQPAAQPEQQGVNEEGKRDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >OB05G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5508186:5509023:-1 gene:OB05G17070 transcript:OB05G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLILENRICGTYESEPNIANSAIGISEVSAWLNNSIDPIEGNARKGNTYWSKVAEAYNETTPNERKRDMNHLKGHWHKTTKKVSLFNGCYIQLHDAYASGHSNGQLMELALEFYRTH >OB05G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5510335:5519716:1 gene:OB05G17080 transcript:OB05G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT5G11560) TAIR;Acc:AT5G11560] MAPPPRHLLLLLLAFLASLATLADAVYEDQVGLADWHQRYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDQLSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQVAKSSKSLLHVLSNNKVAKDNLVFVSAGQWIYAVSSIDGVISWGKEFSLDGLEIKQVVQSPENDIIYAVGLSGSSKLNLYQLNAKTGEIVKHIQESFPSAICGETILGSHNMLVALDETRSGLFLIEFNGERISYKKVHISDLVQDLSGTVKLLQLMPNGVIALQVSSTVFVLKLKGIDGLELIQSFEQPAAVSDALAITEKDEAFAIVQHVGSEIEFVVKLTSDVTNEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALLVMEDHSLLLVQQGAVVWNREDGLASIVDVTTSELPVEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLASADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRASKSGEMPSALSLYQWQVPHHRVMHENPSILIVGRSGADSAAPGLLSVLDSYSGDELTSLRLDHSVVQIIPLTLKDSSEHRLQLIFDSHSNAYLYPRSPDAMNVFLPEMSNTYLYTVDTEKNVIRGYSLQKSCDNSDEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLIFVATLSPKAAGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTFSVKTIAVTQTAKGITNKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALSIIPTSYVTHSLQVEALRGIVSIPSKLESTTLIFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIVALIAAIVVTWIWSEKKELRDKWR >OB05G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5520674:5520859:1 gene:OB05G17090 transcript:OB05G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYYWLSVKCLPGAGCTSKVLYDVKLPFYLDDLLWTTTTVEMPTKGRQARSCGCMSSLT >OB05G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5549185:5552674:1 gene:OB05G17100 transcript:OB05G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M536] MSPPLELDYISPPPPVPAAAAAADGDNDLKGTELRLGLPGSQSPGRPPVAATLELLPAAKGAKRGFSDEARPLPPSAAAAAEKGKKVADEEDDGEEEEDKKVAAAPQAPAAKAQVVGWPPIRSYRKNTMATNQLKNSKEDAEAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDLSTALEKMFIGFTTGKDGLSEGRKDGEYVLTYEDKDGDWMLVGDVPWEMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >OB05G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5562285:5568921:-1 gene:OB05G17110 transcript:OB05G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:J3M537] MVDPLDGTMLVLSTQLHEKVNTDNKPYRCCWIGAVDMGSSTLVKVIGQEEATEWVRKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTGFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGNSVIAFVEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAISFYEKGFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRQNDGQITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >OB05G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5579922:5582005:-1 gene:OB05G17120 transcript:OB05G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIIGAVAAVVILVVLLVCFMRKRAKHPFGHQRMPKITETEMPNQQQKVNYSSAIMQSERRFSYAQLLAATDNFSPERKLGEGAFGAVYRGDLPPPPPTTTTEQQPVGVSVAVKRILRVNERALQDYENEIRVIGALSHPNLVPFVGSCSDNGELLLVYQLVRNRTLDYHLHGSDAAAALLTWQRRYKIALGMASALSYLHSNQPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRIIPADGASCPMTVFGSSSYIDPEYCTPGRASAASDVYSFGAVLLEIASGDAPAADEFATSRRRNAVVDRFRALYAGGGGGAAALVDAADRRLNGEFDEEQMERLALVGLHCVQFDHRVRPSSEEVLGYLQGRLPVPPLEIKVPRRGAETSSTSRHQQAVHSLISIVE >OB05G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5592980:5593423:-1 gene:OB05G17130 transcript:OB05G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVILLSCLVLLHAALSDAAGGELQALDCSTAGNYSAGDAYAASLHRLLAAMAESIDDGGGGGFFKGAVDVGQGSGGTVVYGLAMCSAGLFSRGADGCKGCLTAGAGALVERCPGSTTAAAMLDRCLLRYSDRNFFGTADTGPSV >OB05G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5602887:5603174:-1 gene:OB05G17140 transcript:OB05G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTTTPIDVKAGIGGGQGFVAHFLPLLSHGCHCHPPVPRYVSTAAFPNSTSFHFPGEFVATSFQMSLLGDVLQELISLDLPQPHPHYRQISLV >OB05G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5608632:5610283:1 gene:OB05G17150 transcript:OB05G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLTPAASAPCWLSWFSPSSSSSPPSPPPWPQATPVHALGSGIGPIQGLVMEIILTFSLLFVVYATILDPRSAVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALAMGVWTQHWVYWLGPLIGGPLAGLVYETLFMVKRTYEPLLDNSF >OB05G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5611607:5613615:-1 gene:OB05G17160 transcript:OB05G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M542] MEMDTSIRAMVSVAGTVLAVLAVLLVLAQAGGATMAAAAAGGLSGDYYQRSCPQLELVVETALAPVFAVDQTSPAALLRLLFHDCQVQGCDGSILLKSDERRNITSELGSDKNFGIREVTTISLVKAAVERACPGQVSCADIVVLAARAAVAHTGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRRRFFQAFSSAFVKLAMTGVLTGEEGEIRKHCDVVNN >OB05G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5617847:5620834:1 gene:OB05G17170 transcript:OB05G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIHVSAAQPSAGTAPLFLQVALGKREYSGSIGQGEFSFPVTSLRESMVMLLYNTDKSLISQAELKTKAVVESGTMDVVFSLDNGGSIILRLQFLLSDEDRKRVQEMRNSAVKRKQQELLSDGYGLSQDIPSEGCQPTLRKSMSLDDLQEKAVLSANTADSQMEDARDSLMQSELSSAVKKMISALESSSPQVLTRIKSESSLKGPSTSSENSTQYSSDKSSSSVAAQQVSGHTEAGTSGKAQLLCDDKISSSRPGKQILLSNKRSNASGQQATSEGRIRRLFREKDMDNSETVMITRQNRSKKRSTPKRRRAIGPYWLEHIHPHVCITTASRQLRELVELEPPLDSFLLIGQFDTKKPNLKVVSMQDQGTCEDKSKNSMVSARGGHGFPVLDGWLINQGVRVVIVIIACGAIFLNNR >OB05G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5629039:5629383:1 gene:OB05G17180 transcript:OB05G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPCNEFLRQQCIIVTTPFLQSTMFPLRNCQLIQQQCCQQLRLMVQQSHCLAISSVQAILQQLKLQQFSGVYFDQAQAQAQALLAWNLPSMCGIYPSYYSTLCSVPTVGAAWY >OB05G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5636263:5640056:1 gene:OB05G17190 transcript:OB05G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYSHMQGGGASSSPASASCRAADAAAWDAVQQQKRQRCQGSSSNDPVGSSTENNSFQASEPELQNFDCGKDDEEDYYLYDEEEVSYDDDGDYEFDEAYFNQQLADKFDGLDLPPGVEASVPWLQKRPADGPGNFKSMAEIDAEITKKYEFFKQFDVVENFSDHYYAKKTVGKAGKDWTKRIQHDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPATPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWTGSGCEKWNPAQSTMLQVLISIQALVLNENPYFNEPGYETFANSISGQKSAMDYNDNTFQYSCRTMLYSLRRSPEHFEDLVAGHFRERGHAILAACKYYMEGHKVGSIVPNEDEDGKQQDNTDAAGSSSSSGGAKSQPKSIDLCTGRAESFKTNMTVLFEELLMEFNVKGADTKKFCVEKLKKNQQAAAP >OB05G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5645692:5645934:1 gene:OB05G17200 transcript:OB05G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPTRPEGYSTSDDGEAGGPGSYFSSDEDDDEDVEEVNLEPMSVEDVAAGKEWMGFTLEYDHGSDEDEDAVEEEKK >OB05G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5645997:5646284:1 gene:OB05G17210 transcript:OB05G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRFRDCFNQFFYLLITVPGCSYESNLTTIIKHNNCYYIIVVCIVDCCFIDCVISTAHGWIVQMGKCCLSCLVCHTEFGHTYDKFRMPRFHVMS >OB05G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5655741:5656585:1 gene:OB05G17220 transcript:OB05G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGQENAADLGAMISVEGLGGVLRQPEVGVVAIELPPQLVQEPANRGRDDAIVLEANCLGKKRRSAGATAVEGLGGVLRQPEVGVVAIELPPQLVQEPANRGRDDAIVLEANCLGKKRRSAAATACEHPTAIAREAATAAIPEGARRAVDQVLTKMQQLLKHGSGDNAKNMVRPSRNTSDVVAVGEKELEEHMKQATVLPQELKDLHSQQTDAACGKITN >OB05G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5656628:5657275:1 gene:OB05G17230 transcript:OB05G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVGSDDAHFSGLFTRLQSLGVSLTDVTPILSKNVTGFDCSPNQARLLLPRVLLEGSPLVGMLTQTEDKLMNGTGLPIEVLDRYGVSYDMFLKYLPCNKSYRLIVQWTKFLELSHMIQGDLVNLGAFRFEGQRLVLILLRYGNASKVKEEELTFRMIEPNNEESASRVAEASEEELEAATALLMLSRSGILRPKRIIHDVASDMWDPPSAGPC >OB05G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5662902:5664934:1 gene:OB05G17240 transcript:OB05G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKISSRYPLLAHSSLRGSTAAGDGDGDAKCFRCDHRPAIDEIVREQSLVTQLRAVVLPALETKADERAELVAQLFGSILDCSRKVISALRSHYVVGESPPPEAADVVDKRRAKRKNSEHSKKGDDDQAKAKSHEQKRSRRYTNSTSQVTPVPHYDGHQWRKYGQKNINNSKHQRSYYRCTYKHEQNCKATKTVQQLDGAGTETIMYTVVYYGQHTCKTNLSSSNASPHVVETSTPQSISSACSDPGDYYSHKLESMHTPELAEVCSELGSSCHALEVGHSALGLEDEDMHKQLIETFACGSLDLDSWEIDAIVRSGFFC >OB05G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5690755:5698422:-1 gene:OB05G17250 transcript:OB05G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFGGFFVDEKAARVENIFLEFLRRFKEADAAEPFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRKIPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFTTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRMAVKLLKTSIISVESSEVDLSDFQDADDGANVPTDNDAGQPPETDAAPQQEGQDNGQEADTGKKKLVITEEHFQRVTQALIMRLRQHEESVMKDGDGMAGMKQGDLIIWYVEQQNAQGAYNATAEVKEEVKCIKAIIERLIQREGHLIVIDEGAAPAADDSGARRTSSESRILAVNPNYVID >OB05G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5702002:5702229:-1 gene:OB05G17260 transcript:OB05G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAPFISSFMGTFNISSFMGTFNLYRHEHELVSIMLHLHKQLEVIKITSTNYFGKIKSALPLTGVHRNFFIKKT >OB05G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5703810:5704565:1 gene:OB05G17270 transcript:OB05G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDGIISFANTETEQVAQRVQQLAEESLKGSFQTCREEDILTAALGTKEHPGRTRGLGATVPWKAGFSDNSDLYKRQRRNKGSCEEMNLAQLKREIFDELAAKIDTKVEEKLQQALNKKSVASLEASPNSCQGSSGAVAHSHPGGSIAGDRYPVDDIEARTKCKIQVPVGVGSNFIVDAGEGIADPCSGDAWVQGVQLAAGYGKVRVDMVYSNFTAFPLPLPPNDEMVTLGQALHKFIQWPKKDMALPS >OB05G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5706266:5736323:-1 gene:OB05G17280 transcript:OB05G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:target of rapamycin [Source:Projected from Arabidopsis thaliana (AT1G50030) TAIR;Acc:AT1G50030] MKPSPHFPDIGKKPKDLVAKEHGFNIAAYISSGEDVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQISSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTTFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNATVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPYLPLITSHLHDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRTGAAVGRGSRSSSLQQFVDSSGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRHLVEEIVEKLLMAAVADADVGVRSSVFKALYRNPAFDDFLAQADIMTSIFVALNDEEYHVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTRLIPRVQVGTHVSVLVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEDFTIFVSSIHKLLGKHHLRYRKWDEIENRLLRREPIISENLSVQKYTQCPPDVISDPLDDFDGVPSEEADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADSRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPDLTLYHGHPQVVLAYLKYQYAVGDELKRRDAFSRLQDLSVQLATTTNSYSGILGSQIATSNAGVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLCAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVNIEMWLVVLPQIIARIHSNNKIVRELIQSLLVQIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIECFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLGFAPDYDHLPLIAKVEVFQHALENTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHAVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTQHGNEHLASGDAREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >OB05G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5748718:5752178:-1 gene:OB05G17290 transcript:OB05G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLKLKPSALLRDVVTIEFLEDITDNFSEECKIGVGGYGEVYKGTLHNGEEVAVKKLYHIPGVPHLQFINEVNKLMRVQHQNIVQLVGYGYKTRHYKVEEQNREFVLAQMEERALCFEYLQGGSLKNHLSDEMCGLDWPTRYKIIKGVCEGLDYLHNKSEDCSYHLDLRPSNILLDKNMVPKIADFGMSRLFSTRISNVTENFVGKVGYMPPEYIQKGEISNKFDVYSLGVIIIDIMLGPLGLSLYAEMPSPQQFIELVHQKWKKRLQATSMCISQDILQMKTCINIALRCVETNLVERPTIDYVLHELNGIDGNVAHITQDYRHRCKKKVDLSDKEIVEEEEEEERGDGGEEKDGIVGSVGNGGTSHHNYVPPHHLETVTICAKGVADPIKFPYSGHLECGHRYAMGAAVGDSFRTKPDPLEFLWYVNGTMW >OB05G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5755656:5759270:-1 gene:OB05G17300 transcript:OB05G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLAKLEKITHSKNWDPWWCRVKDQIEQLRADLSSMQEHLLKLSEVQDPPRAAGHWMKNLRELSLDMDDWVDSFTHDYASTDSTDDRTDRQIARLKSFRRCLDEAQDQFIRYSLGCVQSPAKVTATTTTTSHRAWTGAAASTEPVGMDELGRLLTDGEQQLKVVSVVGEAGAGKSTLVQKAWHKHGGQFEHRAFVQTARKPDMRGILRNILSQVSSPHQPIDACDVHKLVDSISNHLRDKRYFIIVDDLWDVAVWDVVSHAFPMDNCGSRIIITTTIEDVALGCCNYEPQHIVNVKPLSADLSKKLFADRVFGPGKECPQQFNDILEEITRKCGGLPLTIISLTSLVPSRPETREQWLHLQRFLHKSLRAKPTSEEILREVLRHCYSCLPRYLKTCLMYPRIYPENYMISKEDLVNQWAAEGFVSAKEGKNAMQVGRNYFDELVSLGMIQPIDVKYNDKVLFYVVPHMILDLITCKCIEDNFITVVNYSHGKLDLYDKVRRLSLHFGSATYAATIPSTRLSQVRTLSFMGLLKCIPCIRDFKLLRVAILHAWGDGRDRWFDLTAISELFRLVYLQVRCNVPVKLPDQMNCPEHLETMEIDAGLHAVPSDVAFAPRLLHLRLGREKRNPSAMDSNHRYLTLHPDSDSGMALPVLLQTFELLPPVCVGSRLPEWIRQLCELCTLKIAVRELQREEDVDVLAGLPALTSLSLHVRRVTSISFRGGAFPVLRSFEYTCGVLSLGFQRGAMPNLTTLKVGFNAHGGETHGGMLAGVQHLASLEEIAAEIGAASGAEEADRSAAEHALCRAVADGLNLDRLAFRFHVTRVEQVDEECCRPSEKHSLASRECTDFTGYSFFILTTIANIVFLFSFIINTY >OB05G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5763563:5765718:-1 gene:OB05G17310 transcript:OB05G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPMPLYNAAALISLVDQWRPETHTFHLPSGELMVTLEDVRQRVVEYLSVEPPVAPDGQRLTKTSGVPLSWLRANFSQCPEGANEDIVGVNAEPVLYTFWSILFPDSGGDMASWMWLDEAVDRRNLACYHYTSEMDYLQPEHVVWLPYEAQEVVDLDINPTCQIEADLQWVDRQNDKVTDWAYYHQDRIAIWDKLKANGVPDHAQHNRPDFDAYLVWLERMYRLFLCSAWMLADIADDPEDVEEQNEYDTRTLVGSTVETGPVCDRMRASEDHEQSGSGAWNSSRVGRREQHPAQCTAESTITMSTNDAVKPPQRQGDEQGDDEEEADAKGEDYDEPNKEDKQDDNDDVDEGEHDDVELGRSQLEDALDPSQARSSSQAGPSSHGGPSRPRRR >OB05G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5784260:5790138:1 gene:OB05G17320 transcript:OB05G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVPSIVNPAVPAAVVSKLVALLELAKEEEHDRRLVDDIGSMKRELDMIAGETEDDLISRRTDRRPPGVVRLKSREEMRDLAHDMEDCLDRFLPCTVCGGKLPSSTSRQQFAAEVKRLKERLAAAHERRGRYKDDDNAGAQEEISTAAAPAAATPAVGIDIPKQEVLELLGLQAQADDAGDEPPQLRVIPIVGFGGSGKTTLARAVYDSLAGEFSCHAWVEARRYKGTEELLAALLHKLLRSTGSLTSQGLVHSHLQHLQSDIKTYLMNNKRYFIVFDDIEEQQWESIKSIFPEEAGSRIIVTTSMQQVAKACSSHGNGYVYSMRALDEEHSKGLLDAVLGEYSPGLHPSSIVDKCDGHPLALVSVANFLAREDLATEREREQFCRDLGYHMQKSVVFSKLRQVLTDIFVGLPGNPLRTCLQYMCVFPNGREIKRKNLIRRWLAEGYVQCQFPRSDQEVADDNFRELTDRSIVRPIAAGKNGKAKTCRTHGIMHEFMLRESMSSNFITSLRDPNRSNSCHLFVAQTKNPCGSGSTVAPGTMTNPAAATSGDPKKKLRARSLTICGNAGEHAACFNQCELLRVLDLEECGDGDIDGIGRLCHLKYVSLGGTVASLPRGLGRLHCLETLDLRKSSVETVVPIEIIRLPHLAHLLGKIKVNKELFKISKHQRILSKQSNLQTLAGFVLDNTSALPQLMAHMKMLRKVKIWCSSSTDLQGGKSWAHLLKAVNKFVQEGIDTATESRSLSLYLGNTIANFLHSLDALFLKDHSLGNSYGYLTSLKLHGELNQFPRFVALLCGLTELCLSSTNLSASELSNLCKLRRLNYLKLVQDDLEGFLIEKGHFPELRGLCIVVRSPKLPVIREGASPKLVSLQLLCRSMHGLSEGINITCFKQLGVVALDSKVNPGTVQIWESTAKKHPKRPRVLLLERIVPNDTDSMVKYVAAERPAPRMGSPQCEIDAAQSSSTGDLISADLNHSLPGPSLASTEMPSIGLIGTA >OB05G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5792283:5800281:1 gene:OB05G17330 transcript:OB05G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNYQLIGTMVSDHHGVLSKRVVKWLSDALQTIASKSYCRFGGFRKNILECPEMEICSNDCVFYVMRFMESYDGNKEPIEKLTILKSSLPSTVSDVKKGSLASLRNLNTERVSVPKPTSGHFDQAIPHRGSTLQKELVCCPYRPTTVPSLGHHDCSPGLSDNSMSTGDAMSTSDSTEGLVIDFLDDGDSLVESSLQHLADDKLHISDNRDAPDMASNWTYHGSNSVEIDYIVDIDNNHEDPQLCATLAFDIYKNLHVAETKKRPSTDFLETIQKSIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSLKVINRQRMQLLGVACLLIAAKYEEICPPQIEELCYITDNTYTKDEVLKMEASVLKYLKFEMTAPTAKCFLRRFLRAAQVCCEAPVLHLEFLSNYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLCFYTQYKPSDLRDCTKGLHRLFLVGPGSNLRAVREKYSQHKYKFVAKKYSPPSIPAEFFQDPSS >OB05G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5801810:5805717:-1 gene:OB05G17340 transcript:OB05G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKQVQIVLFVTVILAAVAVHAQRDTCGAELNTFLPAPFNSSRLLCRSVWNGFILRYSHLQIEDDTTNIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSSQASSGVKVDEGKLPTTDVHSAAVLYGDNIYLAFQLKSPLHIARQSVILALSKVSPNKFHLAEHDDKTTLSFDFSSGDSVSTYYYPYRLKRNHGAFAILGWGVLVPLGAIVARYLRHKDPLWYYLHVLLQFMGYIIGLAGAVSGIALYNRTHSNFTTHKSLGFSVLALGSLQVIAFFIHPDKDSQVRKCWNQCHHWLGRLCIFLAAINTVLGIESSDTNISWKVGYGAVISVLLISATCLEIVMCTRLSKEGTCAGGLQMPNHHHTLTVT >OB05G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5808974:5811565:1 gene:OB05G17350 transcript:OB05G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSTEHNRTSFEDEVAVRSTTSKPSSHSQTSSDKKPKPKNNKPNHTDKTAPPQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPFRLLKRLGYGDIGSVYLVELRGTPAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPCKHFSEPAARFYTAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVKSSSVHATGGGGAGAGGMGGGRDGLDGDGISASSTQGCIQPSSFFPRSRPRRSRKASKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGATPFKGAGNRATLCNVIEQPLRFPSDGASAVARDLIRGLLVKDPHRRIAFTRGATEIKQHPFFEGVNWALVRSLTPPSVPEPVDFRQYAASATPKDKKTPEGTTAPSPAADGAAAQASPGVRQYAASATPKDKKTPEGTTAPSPAADGAAAKSSTGESYTDFEYF >OB05G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5816630:5817410:-1 gene:OB05G17360 transcript:OB05G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGVVVAYAVVALCYFTVAFAGYYAFGNAVEPNVLISLEKPRWLVAMANLMVVIHVIGGYQVYSMPVFDMIETVLVKKYQFTPGLPLLVVARSGYVAATMVVAMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWLIVRKPKKYGLSWCTNILFIIIGVLLMLVSPIGGFRQIILDASKYKFYS >OB05G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5818582:5818800:-1 gene:OB05G17370 transcript:OB05G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGQTLKKFVELSCDGRCTDIRLTFYIMMFASPQFVLSQCPNFNSVSAAAAAMSLCYSMIAFITSVVRAA >OB05G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5826872:5832822:1 gene:OB05G17380 transcript:OB05G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3M564] MFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >OB05G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5836417:5837118:-1 gene:OB05G17390 transcript:OB05G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEPLRYYAAAGAGPAYRPASSSSSVVASCAVAALFILLAVGGAAAALFLLYRPQAPAIAVTAVQLPSFATANGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVASSSSSAASSSPAQTITVPASGPSPAAVGAPPHPQPLPQQAVAPPVMEVDSLLVVKGKVTILRVFTHHVVAAKVCRIGVSPADGRVLGFRC >OB05G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5842514:5851083:-1 gene:OB05G17400 transcript:OB05G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNNAAFDLRKYTEKSEDDIRAVITRMYFGVKLLIKNILVIIVAILIEAVLLINSFGPMASMVISVMRLRQHDYDGSDATNKGKLRAALFIFYSLALVHSVCFYCWFMLQFSLANRAKLLATQSGLDKYHKWGQRLPLQYIHDTKTKCGNDLRLPGDWNLITYAVGLLESVYRGDVLDGLRMLDVFVVEKTLPIRMELLSSKNSIQNLITMLEFTSPDLKDQEMRERAARIVANVAGELRVGVAQIPEGALQCICSLMEPSRPRQRHQEEEEKEQQRYHQHQLEGEDEEASVQGSHQSSQITDDRDATATVQYRKKGKSPGISIIGNVMRLGQVMDEIIDRQVAMMREERQRMIEDESFKPKGTRELIHQGLQILERLSRDDNNCREICNSQGLLPKIISPIASSSFLDAEYDSEWIDILSILLRLVRRLTGAPGEAGGRLCHEIWTSKDAVRNLLGILDGQIKCSLQLQENAMEILTEIAIRIPSVMTESLVKKLCHIFLANSGMSGLRIKAGEALVKLLSAQGASGVAPMMEVFCKSMSMEQICESGSTDELDVERYKIAVDELTNILVKDKECQISAAAILELFCCRSVRGYQLLDQYDVVNLLSKIVGLILDSKTEGNKENQPEPRENNSSETHNDEESQPPKQAGQNKSLVEINDELCEERKYLAAMLSLLVVICEKMVDAGVVAQVTSVDEALVKKLKKIIEANSENTADCLGIVKLACQAVIATIHLKPSCLKDFNENNFDNVLSMALGSMSDIDNCMLFAVKDDEITKTTRTLSSLIKEAQVLLQKQEETGNSST >OB05G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5864685:5864900:-1 gene:OB05G17410 transcript:OB05G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGSGFLALTWSTVVLLGGFVSALPIKEFWFLTAIGTVLASTYVTPPIPRPIFAFLVITLGRLYGTVCF >OB05G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5864740:5865152:1 gene:OB05G17420 transcript:OB05G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGMGGVTYVEASTVPIAVRNQNSLMGSADTKPPRRTTVDHVSARKPEPVTSIPTIAWAMTTSLMSKSSCRLHGESLRRPSGCSALMLPVIYSLLLKTTQISPRKAGRSYIYLYGCTHQVGTLYV >OB05G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5915778:5918303:-1 gene:OB05G17430 transcript:OB05G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSWLLSGRRMCGTRGRWELDMCTRRGSAIHGGDSGFQEGVAVVILVRLIKLGPEPLQDDGRQTDPGERVAMLPQRSSHAPVAQDSWSSLSTSNKMPQVNSLLNLIENLKSVGFTGVWVTRHFIRRRIQPLKDRVHFAFDNTRSDDPTQEVAEVLSTESIRAQTNRMFTSGTMILANTSGFPKPLQAGNAPPMERHQYLSYPPSWASPELKRAAEAFSDEPAAKRLAFDLDAEPLEAPEDADAPSPTRSPSPPPLKRLRRPIDKQGSRPSRLVLWLSPRPCSPWAHTWRTLSQSKRSMMKSWSVLRLWRVVYQVAALGELKKANTRLQAECARLLAVKAELEAKRSQLSATKTVLEAECA >OB05G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5923905:5925083:-1 gene:OB05G17440 transcript:OB05G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRQSSVDSAAWLGGGAADERGGCGGGAKRAPRDCFYGGRVRDRIGIRVGYAEVPNHYSQVVGAEYIRIYSKFRYKMKSAIKCLGQYRSMLV >OB05G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5931946:5936717:-1 gene:OB05G17450 transcript:OB05G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKPDFEGQNQGGSKGVMDVQNSIDDYYSQENVRCHGNGMNIEGSNMDILTRVELEVPFASEKLLNLEMLVMEIARMATDFEPPILEDESVSSETAKSAFELDILYGIRDAEVRELDEMITSLQNDIQNVEHKVYEDESGGKIKAKLDAAMVSLNQMKDLISDIRKESAKFEKAIEFSRDEEGITEDSGYENGIMSSHTSMQTESQRRNVLHMLEQSIASELDLEKKLSESRFIIEELKMKLHHHDQEKYFLEESIESLCGRAYAAENASEILFGTSKELVDRISNIQFQLSASRFREDDMKSKLKESLIRLSLLQEESQQSGATETAYSLTHPSPEFLSLQDKVKDLKKQLSESDSQLELAKASAQAFQEEQNVLHSKISTLENTIKSLKDDVSRAESRAQNAEVRCMQLTEANVELNGELSSLKSHDSDKASLLERKLKESNTQLEHAKASVDAIVEQQSMLRSTMSDMENMIDDLKGKVLKAETRAQNAESKCTSLTDTNLELSEELSFLRGRVESLENSLHEANHVKMSTVKDIGMRTKVITDLVTKLALERERLHHQISLLTKKNKILAQKSKGSVKDGTPLSKNVTGKDAELHSTKLAQEIVPDFSSSQTKVQKSVDPINEEDKTSSSEDDNSAGEGIPEAVRTIQPSVLNWKYITLALLILLVAVFIYLPLPEESSLV >OB05G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5940383:5943246:1 gene:OB05G17460 transcript:OB05G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQALCSGRDSYGAHGPPRRLWAARGSKGVMDVQNNINDYYSQENVRCHGNGMNIDGSNMDILTRVELEVPFASEKLLNLEMLVMEIARMATDFEPPILEDESVSSKTAKSSFELDILYGIRDAEVRELDEMITSLQNDIQNVEHKMKDLISDIRKESAKFEKAIEFSRDEEAKNAYEILLGTSKELVDRISTIQFQLSASRFREDEMKSKLKESLIRLSSFQEQSQQSGATGTTGCGLTVVPHIESRARHFRTKFGAIKVMLNKSGFAWDESRKMVQCEKQQHDEHYKKNNEAKGLYGVAFSHYDRLATIYGRDIATREGAEGLGEAIANVEKEIEVQDVEDDEKESVSRQVHRQSFDTSAPRRSFDSATPRWSVDSTALSSKKRKKDKDIYKWKGTLPSDPFMDVFVDVQGDLKSVSQHVGTMAASMQRKVEI >OB05G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5950171:5958722:1 gene:OB05G17470 transcript:OB05G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFLNVIFNILNKKIFDYFPYPYFVSVSHLSVGVLYCLVGWTFGLPKRAPINSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLTLWFSLVPVVIGVSMASLTELSFNWTGFINAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIEGPQLVQHGFKDAIAKVGLTKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVALYSFIKAKIEEEKTKMKSA >OB05G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5962622:5962963:-1 gene:OB05G17480 transcript:OB05G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGFSPAAHHDHGGGQPGGGGSPFDEDGDRTLVVLLTFGVFFSFVILYLVAGLIWAVVITASAVVLSFLFLRVRRRRAAPVAGRGGGGGAANDVVFIVGAHHQAARSSDGAG >OB05G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5963130:5963339:-1 gene:OB05G17490 transcript:OB05G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWTQCCNLYNNYPSRRRKKKSILTAPTPNHPHWPKDHHFGFWTSIPKYMALSLFKTKQDKKKLSSVS >OB05G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5965873:5968392:-1 gene:OB05G17500 transcript:OB05G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin family protein [Source:Projected from Arabidopsis thaliana (AT4G19400) TAIR;Acc:AT4G19400] MAADWAWARRAWEKWAGKHVGVSGKPVKAALLLNYDPTGPSRLLPVVAEQEGTELKAVDLQPFLDFVRRNNLQMEFFSIGSNQYLVTSINEHWFCARCVNGIQPEGEGVIVMEIGAYLLVCMMVLFVLLHKPWWPLISLHGTLIEELTNC >OB05G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5970466:5973986:1 gene:OB05G17510 transcript:OB05G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLALLTLVLLCAANTLGFSFVVARILRTDRSKLTVRRSTKYILVFACVVEAAVLLASLRLAADRHALLRYVDHMRSQIATLKDELKQYEQPFTALRDYIGLGVVDLGNAIRSLRDKEEHLMKEYQALKLDIEQMKSEIRSLQHEKEGRGDLKESVGGTSNQQNQRKNHKIKQPVINDIIESLRAKATKLQQVKISLPWEKLKKAKNIFSMDFKLRP >OB05G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5976626:5983775:-1 gene:OB05G17520 transcript:OB05G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSRVRIRAPNGHFLQVALGSNTVTADYGESTSWGDDDPSVFSVTKVLELQGEYQICNGYGTAKATQILRNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIVDLHAAPGSQNPWEHSSSRDGTQEWGTSDASIAQTVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYRDGYNAVRKHSPTAYVVMSNRLGPHDPTELLQFADGFPLAVVDVHYYTVFNDMFNNLTVEQNIDFIRSNFSSELRSVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYGKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >OB05G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5986940:5987167:-1 gene:OB05G17530 transcript:OB05G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCLEFSTSDSYEIILCAPHEDDISLSRGLIIVLLLLAVDRIIYHHSCWTGKLQPYLQEFAPAFTPVYGQFRPIC >OB05G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5989428:5989745:1 gene:OB05G17540 transcript:OB05G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYWHLQQSSNQGVEHIMPNHLVSFIWTPLGKLHKQHNHPMERRSNQIRGSPKFMTFSYATRSTDVMDLVGPWGKTSRRHNAKTQPQNQAISTRDKIITELLLG >OB05G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5995599:5995877:-1 gene:OB05G17550 transcript:OB05G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQIPRVRVSATASRSTPLLPNNLHSLPPSRAPIRTCARRAMATAVAAAKGGEKPALRKPVFIKVDQLKPGTGGHTLTVKVVSASPVPARXXXX >OB05G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:5999160:6001291:-1 gene:OB05G17560 transcript:OB05G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTAMISDGYLYELDSHLDRLLISAAKAKIGSPFSRETLRAILVQMAAASKCRNGSIKYWLSAGPGDFLLSPKGCTDPAFYAVVIASGGAPAPAAGHPRLREGVRAVTSAVPMKHPFFAAMKSVNYLPNALAMADAEERGAYASVWVDDAGGVAEGPMMNVAFVTRGGDLVVPAFDRVLSGCTAKRVLALAPRLVDAGVLRSVAAVGISAAEARRCAEMMFVGSGLPLLPVVEWDGQPVGDGKVGKVALALSDMLCEDMKTGPERVLVPYDQTS >OB05G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6009318:6012213:-1 gene:OB05G17570 transcript:OB05G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3M583] MAKVHRLINAVLRLAAAGAAAAAAVVMVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTIDVMLGMVLAGAVAATGAVSDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVALVLHFAVVLYSLHIVTDVICPLH >OB05G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6014960:6015490:1 gene:OB05G17580 transcript:OB05G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGAWGDLPPDLLERVAEALAIDDHSRAVCATWXXXXRLSALCVSRPGQERRRAQREAGRDVLPRRRLLLLRLRRRLDRHPQPGLDKVVFAPNPKPGDFTAVAITGPGHLTFTIAGNDAWTEVRSLTCCTTRKAATGRCTCCIAGSGDVHVISLPRDHRGQTEPAAFKPQPVAQ >OB05G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6018403:6019083:-1 gene:OB05G17590 transcript:OB05G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3M585] MAKVHRLINAVLRLAAAGAAAAAAVVMVTSRGTTSFFGIQMEAKYSYTPSFIFFMVAYAVAPAYSLLVLVVPAGSALYRLVLTTDVVLPQCFLLLSYYYRAF >OB05G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6019979:6020200:1 gene:OB05G17600 transcript:OB05G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGAWGDLPPDLLERVSDALAIDDHARARAVCASWHAALPPAPPSLLALLXXXXXXRDGCCCFGSGDGGIF >OB05G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6027547:6029122:-1 gene:OB05G17610 transcript:OB05G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQHSCSLLSGKANMLEGISAWELRPSFRGPLLSRLMESGVSSFSEFIHELSVDSDGDSIPGDDENEEYMYALHLHSPKVHGQWRRTNKNYGMVQG >OB05G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6033112:6040875:-1 gene:OB05G17620 transcript:OB05G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNGEGIDISGISNKPLVKRLRKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSVLVASGELADSQNKKPVSPTKQDQPSSNFDVQNENDSTSEEPVKNDAEEQAPNRSSVGFYASLLRIPFFALLSATFQKEPWHPNPRRVPSYANSPAIMLQEFVDHVIAVIKESVKTFTYESLNNVARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDENEEFICPSSPLSQSSRLSHTSSFGRRDRRLRRPIRYAVSWILWPVRFVVSLLLILFNAIKFRITQTSSKIPESPHIPESPHVSRTFASRRGLNIKDQVLQRTTDRRRGVVEDLHLAFEIFIESVFDIVHKGAHYVLSPSEVWQKLFCWIHGGGGNISSSVVDVPTANVGSDNPAPTERKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSQKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMTAIVEEIHKIKTLELGKSRPHINVETEDQNDKIKNSEVQASRADERDSQPYKLCAVCHSLGGAVMLMYVVTSRIVQKSHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPLIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIRRAKKFQMYDYGSPAANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDKVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVTDPRKARIKHTSVRLRKPKKAQSEIEADCDSKEYTGIVDNGVTDE >OB05G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6053205:6055229:1 gene:OB05G17630 transcript:OB05G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTGSQETLEVLHVLTTSRNGAEAGAAKVESALRRVNNEVVERAPRLEDAKLLMHQREDSLARCEATQAQREQTLSSAEKAVHARGEALAKLARREAVAQKAEEQLARRRKVVTEREQRQLEAPRERRAEEDLRRQDIEVREREVGDLERRAQESDTQERALLQTPRRVAWVPGSPLATPSSADLEGVLLEHEVTIETLQLKLETGRSIIAPFIERLEKAARVAGVIGLTSDNCPKGA >OB05G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6061950:6062583:-1 gene:OB05G17640 transcript:OB05G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPNDPITVHGDVKTVLAYVEMCANAPADATSAESADQRPNASASRALKKRITSGDEVPIKLIHLGDDLSKTVKIGGESMVTGLGAAGLHPRGGGPPLGGRFHLGSDALRIAGEPGGHPQLQQGMCIDYTDLNKACPKDLFPLPHIDQIVDSTTGCDLL >OB05G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6065449:6067561:-1 gene:OB05G17650 transcript:OB05G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPTNRIPTPPREPAEDMQDDNDTMGEGENNLHYHHHRRPCRSTLRSSRRRVTTIASWQTSHGAEGPGTRLADEPPSRVLCQHFITNFQGTYPRPGEEVDQHTVSGGMEPEHTVTTMDKGACITSSGHLALVTSPTICNVKVGHILIDGGGGLNLLSPKAFVTIKALGMHLKPSLPIIGVTPSQVWPLGQISLPVTFTGPANSRTERVDFDVANLSPSYNAVLSRLALSHLEIPHMNL >OB05G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6079460:6085743:-1 gene:OB05G17660 transcript:OB05G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFIHHVIAIIKESMKTFTYESLNNVARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPCLPRWMKSGVSSFNVFIHELSVDSNGELLTDSIPGDDENEEFICPSSPLSQSSRLSHTSSFGRRDHRLRRPIRYAVSWILWPVRFLVSLLQILFNAMKFSITQTASKIPESPYIPESPHVFRNFASRRGLNINDQVLQRTTDRRRGVFEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWQKLFCWIHRGGGSSNSSVVDVPTANVGNDNPTPTERKTTYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRHDSHKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMKAIVEEIHKIKTSELGKSRPHINLETKDQNDNKIKNLEVQASQDDERDSQPYKLCAVCHSLGGAVMLMYVVTSRIAQKSHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPLIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVAVHLAQIKRATRFQMYDYGSPAANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDKVISPSMVKKHYKLMRKAGVEVSYNEFVYAHLDFTFSHREELLSYVMSCLLLVNSPRKASIKHTSVHLRKPKKAQSEIEADCDSKEYTGIVYNGVTNE >OB05G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6088707:6095317:1 gene:OB05G17670 transcript:OB05G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWEAVFVVTLSLLFCIHGQLDNLGFISIDCGYITTPSYQDSKRNLTYVADVGFTDAGFIHNVDVGSMQMDLSQQYTTVRNFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDALNMTPAFDLYLGANYWVSVNITDASRAYIYESIVMSPDELLQVCLVNIGSGTPFISGLDLRSLPANFYPEAHVLQTLVLLSFFREGVSFGLNRFHFGTDNHNIRYPVDHYDRFWQRYEDIPGWEDVPDKMNGTVKTPQNNTYGAPSDLMRSASTVVNASWMDLRWSSDASMDVGISPEYFVVLYFAELQAIPDNASRQFLVSVDNTLLAAGFSPRYMMADVLSQTVKGSGPHSILLNATITSKLPPMVSGMEIFLVRTLKESPTDSSDANAVMTIQTKYSVKKNWEGDPCSPAAFSWDGLSCNYTPIGDIQYNPTGLHRITALNLSFSELIGDIDASFGQLSSLQHLDLSHNNLSGSIPDYLGEMLSLTFLDLSNNNLSGTIPTCLQAKSDSGRIKLKIGNNPNLCGDHTCNPNSISNENKKRDKVVSRVIAAVIVAMVLALSLSAVFIWYRRRKTDPDVLPQADPYKSRRFKYKELQDITNDWKNVIGEGGFGHVYAGKLEDGTAVAVKVESQTLRGNRKQFLAEVQHLTRVHHKNLVSLIGYCDDKEHRCLVYEYMDGGTLEARLRGQEAPSEPPLTWLQRLNIALGSANGLNYLHRSCSQPLIHRDVKTGNILLTASLEVKISDFGLTRASIHGTVGTHTPTQLAGTPGYMDPESLQTSHPSESNDVFSFGVVLMVIITGRSAIVTINGVERNLAQCMRDWLLNGGGIEAITDPRIRDNCNHSSVEMVAQLALDCTQPTGQDRPIMEDVVTTLTQSLQLELSLSSPSFMRSRTTISSAVRFTGSGCADRDAAADYIAALQLEQAFVETSTRYVTCE >OB05G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6108513:6109421:-1 gene:OB05G17680 transcript:OB05G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRPVAPLLVLLLSSCLAAGPAPATAQSTGDTVVFWGRNSDQQEGSLAEACDTGLYTTVFVSFLSAFGHGTYKLDLSGHALSAVGPDIKHCQSRGILVLLAIGGQGGEYSLPSSQAAVDLEDYLWNAFLGGGRQGVARPFGDAVVDGVDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCGYPDQRLQAALATGLFSRIHVKVFGDGRCAAGRREELEKWMAAYPQSRVLVGVVASPEADREAYLSHKDLYYDVLQFINKLPNFGGIMVWNRYWDRKTGWTAGNEPGLQQMK >OB05G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6126592:6127593:1 gene:OB05G17690 transcript:OB05G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYHQWRPDDASRRLCRWQCYCPRPASPPRPRTPATPWCSGAGTGTRAPSGRRLLPSACLAAASQNTGDTVVFWGRNRDEGTLREACDAGLYTTVVISFLSAFGQGRPYTLDISGHPVAGVGDDIKYCQSRGKLVLLAIGGQGGEYWLPSSRAAADLHDHLWNTYLRGDGNAGVSRPFGDAVVNGIDFFIDQGATEHYDELARLLDAHNKDYRATVGVMLTATARCGYPDQRLQAALATGLFHRVHVKQFGDGRCASTQSGVETFKKWAAAYRSRVLVGVVASPEADRQSYISPESLNNDVLPVINKLNNFGGVMVWNRYWDKKTNYTARLAAL >OB05G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6131923:6133353:-1 gene:OB05G17700 transcript:OB05G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHAPAPVVSRIVSGDVPSGASELNGLDLNDPDFTRFVCNPVSGEVFRLPDIDGTKKTMFRGCQNTGLLTRSAAAHGPADSYAVAMLHADRSGGTFTMRRFLSQTVEWEKLTGLPSPLPIRRPMEIYPYTPRRDLPELHFVELPGGSGWPVASSTDTHVQGMHRRVGVSEGRLCYVEVSQKDPFVLSSFALDDDGVGWTPEHRVALGRLCTVNGRGPKDTPRIAVIDPLDASVIFVIVGEHLLAVDMDMEKVLRCSPADETDSVPYAITSVLKPCVLPPWLASAKIPATGTSSGNKGVDKSKALSDLLVRVDRGKKN >OB05G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6132912:6133257:1 gene:OB05G17710 transcript:OB05G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVRSAHHSRPANATASGYRGISPSAAVSDGLREEPPHGEGPAAAVRVEHGDGVAVSRPVRRGGPGEEAGVLAAAEHGLLGAVDVREAEHLAADGVADEAREVW >OB05G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6137100:6137673:1 gene:OB05G17720 transcript:OB05G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSDYFEGVSCDARDRVAMVSLQGKGLAGSISPAVAMLPGLTRLYMHYNKLSAVVPRQLGNLPMLAELYLGVNNLSGIVHVELSRLSSVQAPNEAILEKLTVPKPL >OB05G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6143160:6149778:-1 gene:OB05G17730 transcript:OB05G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G17980) TAIR;Acc:AT1G17980] MAKSNNGNGYLGVTEPISLSGPTEKDIVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRAGGFGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYEMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILYNVDEQTVRSLNGCRVTDQILRLVPNILTFRTTLRFMRFWAKCRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIQESSLGLPVWDPRRNFRDRGHHMPIITPAYPCMNSSYNVSISTRHVMVQEFTRASDICQAIDENKADWDALFEPYPFFELYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFLDSTRPLHCFYFMGLWKKQTNQAQEAEQYDIRAIVNEFKSNIHAYQHWSEGMDIEVSHVKRKDIPSFVFPGGIRPSRLSRTIGKEARTVSTSKISAVQERNVRSTAQPAPYKSSEVNRIPSDPRGNYHSQERTNTVSSSLLCEETGHMLNGSTNIPTESVELEHLGSYKESTSVPENHVAHDLVKPPDSTPPNSTNVYQSPTNGLGHILDSSCKKPADNNVNKTTNFSSAVLQVPDELDELDSHQVKVNQKDLVAVDQGLSWEHETGSDGSKTGTTSSPGNNCVKRKAVEELEILELAAPLVRPPAPTSTAQRRPLRLRLSTVVQPKPAEGTS >OB05G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6149845:6150319:1 gene:OB05G17740 transcript:OB05G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAARELEYLQCDSNRDVIGWNEVGRVAPPNPLAGAAVPAESGGKAKEAVHSRGRSPTLTLRFGEGRRGGGGESDVGILAAGGVKARSGSDCSRFDAATEPRSPIDERRRGGREGDWGHRSASAAADRWRGARRGRWRRWKRG >OB05G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6156872:6157645:-1 gene:OB05G17750 transcript:OB05G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRIGVSKERLHYVDMSQKYPFVLSSFALDEDCSCSMLEHLVALGQLLVNAHPWKEKIISISAIDPKNASPICDIIGYHVVTIDMYKGECFELIGVYNSPYR >OB05G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6165125:6165532:-1 gene:OB05G17760 transcript:OB05G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKANICFVLLLLFLGNPSFTAGECWETTSSSPLCIGFLCKATCWIGAKVWKAKVKVHKCMGSALSSSCYCYYCDNKP >OB05G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6168550:6169530:1 gene:OB05G17770 transcript:OB05G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMDARGLGNRKSSLNSGSEVLTAAWEIDLSRLQINDFVKQGSQGTQFWGKYHGRDVAVKLLEWGRDGHSTPEQIIHLGESLRELAAAWDEMDHPNIAKLVGAFIGKSPPPGTTSFVLAEHLNGGTLREYLNKHIKSKVTYKNAVNLALGMARGLSYLHSRKIVHHNISTENMLLDDNLNLKIADFGVTCIDSEPKDMTGRTGTSCYVAPEVLDGKPYNHKCDVYSFGICLWEIYCCEIPYVDANFVDITSAVLHNHMRPKIPKCCPLDMANIMRMCWDAEPASRPEMQEVVVMLEKLDTKKGRGMVPAGQPFGCLCFSIRRRGS >OB05G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6171414:6172349:1 gene:OB05G17780 transcript:OB05G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLNSGSEVPTAAWEIDLSRLQINDFVKQGSQGTLFCGKYDGRDVAVKLLEWGRDGHSTPEQIICLGESLRELAAAWDEMDHPNIAKFIGAFIGKSPPPDTTSFVLTERLNGGTLREYLDKHTKCKLNYKNAVNLALGMARGLSYLHSRQIVHHNVRTENMLLDDNVNIKIADFGVSCIDSDPKDMTGRTGTSCYKAPEVLDGKPYNHKCDVYSFGICLWEIYCCANFIDVTSAALHNQLRPKIPKCCPQDMARIMRMCWDVEPASRPEMHEVVGMLEKLNTKKGHGMVPAGQPSGCSCFSIKHHFS >OB05G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6178367:6178829:1 gene:OB05G17790 transcript:OB05G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATALGNRSSSVSCGGGEVPMAPWVLDLSRLKIGDFVKQGYHGTLFRGEYDGRDVAVKLLEWGGDGYSTPEQIDRLRASLRDVAAAWHPIDHPNIAKFVGAHVGTSPPPDTACFVVVEYLTGGTLKNYLINHMESKLSYKNSSTWRWQWPEG >OB05G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6190678:6191267:1 gene:OB05G17800 transcript:OB05G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3M5A6] MWMYGHMDAATLWRFMAAHRRELATVRKEVGPAVAVEVDPPRLVLDVLSDLLGAEKGAAKPPSNTTKGPDLNDFRNFEDQYFSYCGQGRF >OB05G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6205070:6205255:-1 gene:OB05G17810 transcript:OB05G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVKVGTHLPHTQTALNHTTVIKEKIEDDAAANCNEHDDTMAKHEHVYIYIGIMDGSCHHG >OB05G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6205978:6207745:1 gene:OB05G17820 transcript:OB05G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRSGQPGRTNVLPCACKPGLDPKSTADLFSSAAWVCERCIDGDDCFVLRVDASHAALRARSSGGVEDSHLLRVRLHGAAAGESAYWETTMESSVGDYRAVDGINIAHAGRTVVSLSRFDSAGDADADAAARGKRSCTTMEETWSIEEVDFNVVGLSMDCFLPPRDLVLNECKKQQHHHQKVEDAAGDKDIAGAAAAAKGGSDEGKANGGDTGRGTVARKALVPAVTGLGWFGPAKVVAVDDTVDDAAPAATDDDKLDHVICSRSRATGGDTGRGTVARKALVPAVTGLGWFGPAKVVAVDDTVDDAAPAATDDDK >OB05G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6213478:6220229:1 gene:OB05G17830 transcript:OB05G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFLIGLMGILSIIPFKEIMLIDYRLLFPTGTVTAHLINSFHTPQGAYVANLQVMALLKSFAGSFSWSLFQWFYTAGNDCGFQSFPAFGLELYKRRFYFDFSATYIGLGIICPILVNFSLLFGSIISWGFLYPYLESKKGQWYHTNSPTSLDGSNGYKVFLGITMILTDGIFNFLTLIIRASIDFYHKWQQKDSGMTNYSTKHTSLNYDDRKRNGVFLINQMPISILLSGYITFATVCSVVIPSIFNQIKYYHVAALYAFAPLFSFCNAYGTGVTDWSVAPTYAKITIFVIAAWVGKPDAIIASLVSCGITMAAVHCSSQVMQDLKTGYMTLTSSRAMVIGQIFGVIIGAIINPCIYLAFETTAKLNAPIGSRQSEYPCPYSGVYRAIGLMGIGGVKELPQHCILFCLIAFFITAAINFLRLVAQKKGWGVQNYVPSMTAIAIPFFTGSFFTIDMCLGHALLLVWTKINRQSAELLSSALAAGLICGEGLFQMPAALLSIFKVHPPICMKFIPSGKEVQVVDSFLRNLETPSRT >OB05G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6221013:6222258:-1 gene:OB05G17840 transcript:OB05G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSYSTAKPHKEESTVVPMGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWKEKWCVLKEATRLYGASWVRDIGPELRPNDYKKAKEEPDIKTEEGRSEPTAVEDFLGALKGGAEKAKPALQRLYMARASNYTDALKNYVESYKEALKEHLEEEAMGKGHRQGNEATKPPQSSSS >OB05G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6228528:6228746:1 gene:OB05G17850 transcript:OB05G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQAELVGRGLTSTCLFPSTDGSEEIKHRFRCNILSMCGSLVSISVQSVYVHFSPRSHCRLSFSYMNMTVI >OB05G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6229067:6230753:1 gene:OB05G17860 transcript:OB05G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNSQGDSHISDSAFDIRLLPTPWQFACLLPVNMLTMRIESTSHKRSRCDLEAGRKIPIKLLDYKALPGLIKIFGPMEALNLLGIRFTKAVVMDSMIPLNEKRRYKLIGDHLNAILIVDQAKGHIQKALIVVSTTTCSLMWKRR >OB05G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6247793:6248041:-1 gene:OB05G17870 transcript:OB05G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGKPDSPPPHGPRIPPAAANDEENEDVGPKEEDEPNSGWKQGWRKRKGEAEAGKPAPAAPAVERPSRERKTVERYSELD >OB05G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6257266:6263474:-1 gene:OB05G17880 transcript:OB05G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMPDRGGRRKGRQRWAVATCKQCECYPEPLCNSIHLRSRGGKNTWLATGTGRWRWRSGRQQQRRLQWGLGGGGDGRRHGEVKAKETTGTRRQMAATDGGIGGGRRHGEAAAEAAYPAACVRPREGARVEGYGGGLEVEKEAAVPA >OB05G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6257470:6259951:1 gene:OB05G17890 transcript:OB05G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVTAAAQSPLQPTLLLSAASPPPAPRSRRQPVQFHSSILLCEDVTFALCRVPPPPPLRQVPRPPPLRQISVGFGQHPKFHQNLDIVELAKTVCSWSKTDYNIARCKSILVPIEQGGTFILVILDQNKRKLHILDPNPLNPIYKNNPNGRYIKKLLCLAEHLKKAMRIECPRSTWAEDINLWSQINVSNVPIHNRMAMS >OB05G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6266869:6267107:-1 gene:OB05G17900 transcript:OB05G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQRREERNRKQHEYRAKKRAEVNNVDGNTMSDTSMQPTTISSLLSESDNVRQSQKSEEQREDRNRKQRIYRARKKA >OB05G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6281792:6295689:1 gene:OB05G17910 transcript:OB05G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIEDPRLSTSVEVVFAKQPLPELGAQVTLRSMALSVVLGVVFCFVGLRIQVTAGIVPALNMPITVLSFFLLKCSVRLLHKGGLTTLPFTRQENMFLMTCVVTCLNLTVTGGFATSLIGMTSIVAKTLSDDPDPRDVVDNVPTTKWILYFFLIGLAGVFANIPFTQVMIIDYNLLFPTGTVIGQLINSFHTPEGAYVAKLQVMAIFKAFFGSFTWSVFQWFYTSGNGCGFQNFPSFGLGLYKRRFYFDFSALYVGLGMICPLMVNLGLLFGAIVSWGFLYPFLETKQGQWYETESPSSLKGLNGYKVFITVALIVTDGLINFVTLITSAAINFYQMRQEGDTSGLANYINKLHPSLNYDERKRIEVFMASRIPIAGPVAAYVACAAVTAGAVPAMSPCRRCSARSGSTTSPRCTRPCR >OB05G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6298393:6302663:1 gene:OB05G17920 transcript:OB05G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGDARSATSVEAVFDKQPLPGFRDVVTPRSMAVSVVLSVVVCFVGMRIQMTAGIVPALNMPASILSFFLLKWLVRLLQSCGFAVLPFTRQENMFLLTCVITCLNLTLTSGFATNIVGMTSTVARSLTDDPDPRDTIDHVPTGKWIVYLFLIGMTGVLSNVPFNQVMIIDYKLLFPTGTVIAQLINSLHTPEGAYIAKMQVATIFKVFFGSFSWSMFQWFYTAGDDCGFQHFPTFGLGLYKHRFYFDFSATYIGLGMICPHIVNFGLLFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGLNGYKVFISVTLIITDGMINFLTLITTASINFYQLSKEHDSGLSNYIKKHPSLNYDDRKRIEVFLANRIPVSVPVAAYITCAAISTIAIPAMFSQIKFYHVIVLYMAIPVVSFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIMAALHISSQAMQDLKSGHMTLTSPRAMVTGQLFGVAIGSIVSPCIFFAFQSTAKPDAPVGSKQSNYPCPFASLYRAIGVIGTGGVKELPRHCVEFCVIAFCVTVIIDAIVLVSQKRGLRVHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLIWTRINAKKAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGQEVVAVNSFLNNLGKPRT >OB05G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6298659:6303661:-1 gene:OB05G17930 transcript:OB05G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLDSTAASSHSRSSPPLAGAPSPPPWRRRRRPGCSMAPSPPHAPQHQAPPDMVRGSPRLASPPKSPHLDISSDSCYLSPAGSRSKVVSRRSRIGEHAAPSVAGLQ >OB05G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6303280:6303789:1 gene:OB05G17940 transcript:OB05G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELECYFSGRGKGTRKEAMGTHQQPRGQHALRSGSDATPPCSCSLQVIDSRSQMRCQGEDFLAARRGEASLSPCPVAPDAGGRVEGREPSNNLDGVGVAMEEETARRRGEGMIENGRKPPWNPTNVTWAESIFRPICNQNHAIKAHFNPLQISLGPNASVGLPIAVLDE >OB05G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6305180:6309328:-1 gene:OB05G17950 transcript:OB05G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPDTHFLLFLSVHPREWIRWIWRPNSCFLFQSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGFRGFFWKAARIGERLSPWVAFGCLAMGISTIFF >OB05G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6315845:6321266:-1 gene:OB05G17960 transcript:OB05G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAATSEGGGGGGQPPLGLGPVEVQAAAPSLRRSEVFHVVKELLGFVLYMHHQIPSVLQNLENEFAGLKEEMTEMALPSAELKPSDQRKYNRRKRQVRQRIKKQEKLMNGLSSLCSALQKALDEVPSIEGVLLIIGGSLVRPLFVYDITISHGRFDAGSANEHGISKLAQSVSRKAIRALISSGAGSLSYTGPTKLFVLVRCPFTLNLPLDFLPKRDFRYSKKVIPLHMCITCNAAGVEMDNQQITSIIDASSCSSESSISDVIWFQCKHTVRGLPCKSLEE >OB05G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6328299:6329772:1 gene:OB05G17970 transcript:OB05G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIYLWAAWEKIAYLPLLARGFAEMRKRASLARRRRHPPSSTRRPPAASSSQEADRLPSFPRTAAGAFAVRGEATAAPLLVGVEPADCKATSSVEQARGRLPSLPQLSPPRLPSLPKQPESPAIVSSTNVASYRGVDVSSIDLGLASMFVGC >OB05G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6334935:6339787:1 gene:OB05G17980 transcript:OB05G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSSSSSRRRLGGQLLWLLLLCSSWRMAASQPQPPPTTDPTEAAALNKMMARWGIKATPEWNISGELCSGVATDASPSEDYPKDPAIKCDCYFNKNTICHIIKLIRPYGPHVNPIQELYFLLGRNGKEFDPASRVTRKNGNRHTGSPFVPPLANPDSLVFAGRFPELLTEYLLEQYFPHILYCQDGYVK >OB05G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6344574:6346552:-1 gene:OB05G17990 transcript:OB05G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGWSPREAVSSYRQFGSEVQHCEISDHGGSSSKIQIECFKYGKEGHYQWKVKQLNEKEFLVTFPSKNIRRQISRPKSFDFGCFPIKESVMEIAMTEEALDELVAVWVKIFDTSSLVDNNPLEVVVWKNSDQDLVQKSQDEMLPLSARLAYSPPELKENKEIVPIPFEMDMDSMDEVEECDIPTDSEIERMGAEEEFDDGDNFEVVSHRKQTQEGFVSYLGYKEE >OB05G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6354779:6357545:1 gene:OB05G18000 transcript:OB05G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLHILIPDYSFAVDCGSKTSTKASDNTIYEADARNLGDASYYVTDQTRWGVSSVGSFFQGTNEMIIYTPQHVQNTVDSKLFETARISPSSLRYYGLGLENGNYTVTLQFAEFTIPETQTWQSIGRRVFDIYVQGALKEKDFDIRKAAGGKSFTAINQTYTLTVSRNFLEIHLFWAGKGTKGPMISALSVTPNFTPTVRNGVPKKGSKAGAIAGILTGVLVLGLAGIFGIFKLIKKRRAVAQQKEELYNLVGRPDVFSNSELKGKLLDGRVIAVKQLSESSHQGKTQFVTEVATISAVQHRNLVRLHGCCIDSNTPLLVYEYLQNGSLDRSIFGDNNLNLDWATRFKIILGIARGLCYLHEESSVCIVHRDIKASNILLDTDLTPKISDFGLAKLYDGKRTHMSTRIAGTFGYLAPEYAMRGHLTEKADVFAFGVVVLETIVGRPNTNHSLEKSKIYLLEWAWEEYEKEQALQILDPSLKEFNKEEAFRVINVSLLCTQGSPHLRPPMSRVMAMLTGEVEVPNQAVTKPGYITESQMMSGNRSYVSTSYSGFTTSGGRTGSTPLIQSSEIIRDTHEGR >OB05G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6376724:6376966:1 gene:OB05G18010 transcript:OB05G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIRCISSLTMEQAYHIVSLTRPSAMSLHHLNRRHRLCATLVIYQYLALWPWPLHLSTVAIIRGPPIILTSYRSHCYDL >OB05G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6377189:6388368:1 gene:OB05G18020 transcript:OB05G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSSSSSSRGGGGGLGGLLVWVVAVVVVCSWRIAAAQAQPPPKTDPIEVSALNTIMGRWGVKASSEWNISGEPCSGVASDVSDWDNYPKNPAIKCDCSFNNNTICHIIKLRVYELNIVGQIPAELQNLTYLQDLNLNHNYLTGAIPSFIGKFTFMKYLGLGFNPLSGQLPKELGNLTNLLSLGISLNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSMISKLKNLKFLKASDNEFTGKLPDYLGSLTKLEDLTFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLAFISNLTSLSHLVLRNCRISGNLEAVDFSIFPTLTLLDLSFNNITGQVPQSILNLGALQFLFLGNNSLKGSLPDAISSSLKAVDFSYNYLTGTFPSWATHNNLQLNLVANNLVLGSTKSSIPAGLNCLQQDTPCFLGTPKYYSFAVDCGGNISTEGSDNTIYEADATDLGYASYYVTDQTRWGVSNVGNFFQATNGMNIIYSSQDFQNAVDSKLFETARMSASSLRYYGFGLENGNYTVRLQFAEFAFPDTKTWLSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTTINRTYTATVLKNFLEIHLFWAGKGTSAIPTQGYYGPMISALSVTPNFTPTVRNGVPKNGIKAGTIAGILTGVSVIGLAGLFGIFMWIKKRRMAQQNEELYNLVGRPDVFSNTELKLATDNFSSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGHSSLNLDWVMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTALTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETLAGRSNTNSSLEQSKIYLLEWVWEQYEKEQALRILDPSLKEFNRDEALRVIRVALICTQGSPHQRPPMSKVVAMLTGEVEAPEVFTKPSYITESQMMDGNYATSSYLGFTTSTGGTSLNL >OB05G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6393418:6404057:1 gene:OB05G18030 transcript:OB05G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCDDYCYKYIDPTILTVTVVEQERIERDNQLEMQRRSITAGAFAHVVLVRLLLLCCSWRIAAAAPRTDPLEAGALNAILGRWGKTASPEWNVSGELCSGVAADLSNWDNYPNLNPFIKCDCHYNNGTLCHITKLRVIKLDVVGPIPSELQNLTYLEDLNLGYNYLTGPMPSFIGKFTFMKYLAMPFNPLSGPLPKELGNLTNLLSLGISYCNFTGELPEELGNMTNLKQLYIDGSGFSGPFPSTFFKLKNLNILRASDNEFTGKIPDYLGIMPTLEDMAFQGNSFEGPIPASFSNLTKLKNLRIGDITNGSSSLAFISNMTSLGNLILRNCRISDNIGPIDFSKFTTLILLDLSFNNITGQVPQSILNLGMLEFLFLGNNSLTGRLPVVISPSLKTIDLSYNLLTGSFPPWTTKNNLQLNLVANNFVLGSTNNSILPLGLNCLQQDTPCFRGSPEYYSFAIDCGSNRSTRGSDDTIYEADPTILGAGSYFVTGQKRWGVSNVGKFDQATNGMDIIYSSEHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRIFDIYVQGALKEKDFDIKKIAGGKSFTVVNRSYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMISALSVTPQFTPTVRNGVPKRGNKAGIISGVLIGSLVFGLAALFGIFILVKKRRAMAQQREELYNLVGRPDVFSNAELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHRNLVKLHGFCIDNNTPLLVYEYLENGSLDQALFGNSNSKLDWATRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDKDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKADVFAFGVVMLETLAGRANTNNSLEENKIYLSEWAWALYEKEQPLGIVDPSLQEYDRDEAFRVIRVALLCTQGSPHQRPAMSKVVAMLTGEAEVAEVVTKPSYITEWQLRDMNRNYDTASYSGSTTHEFSSQNEIEPLT >OB05G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6416066:6424849:1 gene:OB05G18040 transcript:OB05G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSGSGSGLQLVWLPLVVVCSWRIAAAQTQAAAAPRTDPTEAAALNTILGRWGIKASSEWNISGELCSGKASDKTNWDDYPNINPFIKCDCSYKNNSVCHIIKLRVFKLDVVGQIPMELQNFTYMEDMNIAYNYLSGGVPSFFGKFTAMQYLNLAFNPLSGPLPKEIGNLTNLLSLGISFNNFTGRLPEEMGNLVKLQQLYIDSSGFSGPFPSTFSKLQNLKILRAQDNDFTGKIPDNFGSMSSLEDMAFQGNSFEGPIPASLSNLTKLTNLRLGDIVNGSSSLAFVSNLTSLSNLILRNCRISGNLGLINFSKFEKLTYLDLSFNNMTGQIPLSIVNLRNLEFLFLGDNNLTGSLPDVISSSLKTLDFSYNQLTGGFPSWVNQNNLQLNLVANNFVLVGTNISTLPSGLSCLQRDTPCFRGSPEYYSFAVDCGSNRSTIASDNTIYEADPMNLGTASYYVTDQTRWGVSSVGNFFQATNAMDRIYSSQHFQNTIDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFSYPDSPTWLSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFIAINRSYTATVSKNFLEIHLFWAGKGTCCLPTQGHYGPTISALSVTPNFTPTVRNGVPRKRSKAGAIVGVVIGASILGLAALFGIFLLVKKRRAIAQERKELYNLVGRPDVFSSTELKLATNNFSPQNILGEGGYGPVYKGELPDGRVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDRALFRDTGLNLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLNPKISDFGLAKLYDEKKTHISTAIAGTLGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNTDNSLDETKVYLFGWAWDLYEKEQALGIVDPRMKEFRRDEALRVILVALMCTQGSPHQRPAMSKVVAMLTGDVEVADEMIVKPSYVTAWVHRDGDSGYLTSRYLRSTDSDSLTQSS >OB05G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6437707:6456443:1 gene:OB05G18050 transcript:OB05G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSCSGSAATPENSSTRKGGPEHDPGEMGEGGVAGVEHQRRALQRPRRRQERLGQLPQHQPLHQVRLHLQQQHPLPYYQTEGNKFGRCRSNTFGAAEFHIYAGPVWNLGSNYLTGPLPSFFGKFTSMKHLALPFNLLSGPLPKELGNLTNLLSLGISFNNFTGQLPEELGNMTNLQQMYIDSSGFSGPFPLTFSKLDNLKILRASDNEFTGKIPDNLGSMSNLADIRIGDIVNGISPLAFISNLTSLTNLILRNCKISGNIAEVDFSKLASLMLLDLSFNNITGQIPQTILNLGNLTFVFLGSNSLTGSLPDAISPSLKTVDVSYNQLTGSLPSWATHNNLELTLPSGLNCLQQDTPCFRDSPQYYSFAVDCGSNTSTRGLDGTNYEADATNLGAASYYVTDQTRWGVSNVGNYFLSTNGLNIISSPQNQKFQNSVDSKLFETARMSASSVRYYGLGLENGNYTVLLQFAELAYPDSQTWQSLGRRVFDIYIQGDLKEKNFDIRKTAGGKSFTAVNRSYTTIVSKNFLEIHLFWADFTPTVRNGVPKRKSKAGTIAGITIGASVLGLIALFGIFMFVKKRRRMAQQQEELYNLVGQPDVFSNAELKLATDNFNYQNIIGEGGYGPVYKGKLLDGRVIAVKQLSESSHQGKTQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGHSLKLDWAMRFDIILGIARGLTYLHEESSVRIVHRDIKASNILLDTDFTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVLMMEMIAGRSNTNNTLEESKIFLLEWAWGLYEMGQALGVVDPSLKEFNKDEAFRVIRVALLCTQGSPHQRPPMSKVMAMLTGDVDVGEVVTKPSYITEWQLRDGGTSSYTSSSYAGSSNTEFSRLKETTINKTNLEGR >OB05G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6464222:6471655:-1 gene:OB05G18060 transcript:OB05G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESNQPRSPSSEELKEKIVEVRELLGSLTEEMPEFLSDATIRRFLRARNWSTEQATKSLQETVKWRRQYRPETICWEDIAEKEYEGRRAYITDYLDAKGRCVLVTKPTIKAKVSGKEQIKYFVYLLESLAMNSEDEQEEHVTWLLDLRGWAISSTPLSTSRESMHIVQNYYPGMIAVAILSNTPRIFESFWKILKHFLEAKMNEKVKFVYTNNPESHKIVSEMFDMDKLETAFGGRNSLTTDMDSYAERMRRSDLARGALSKQPHINVVTGHN >OB05G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6473572:6473835:-1 gene:OB05G18070 transcript:OB05G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKAEDSNNKAFVFMHCWTILRSQPKWHERMNQLSSQNTSTNKKKKSPTNSSPEDDGAIMGDSTMRENNENGNINTDVPKRPIGS >OB05G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6486311:6491273:-1 gene:OB05G18080 transcript:OB05G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLKAKSWVPTQEKAADQTLDEQQRKTKEVRELLGSLTAEMPAFLTDATIRRFLRAKNWSTEQAAKDLKETVKWRRQYRPETICWEDIPGREYEARRTYIADYHDKNGRTVIISKPAMKSKSSTKDQIKLLVYNLEMLAMHSENEQDECVVWLTDFHGWVLTSTPLPMFRETTHIVQTYYPGLMARAIVSNPPRIFESFWKVVRHFIDPKMKDKVKFVYTNNPESHKMVADMFDLDKLETAFGGRSTVPLDMDKYAERMKQCDQARGAFLHTNGYSCST >OB05G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6494642:6495824:1 gene:OB05G18090 transcript:OB05G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILRFVNDEGKEMERLLGLQHVESCTVVALKEAFVSMLNSHKLPICRLRGQCYDGASNMRDCLSHALQRKDQDIIEARHLIIDVKEQLQDMRDNGWDPLFKRAKEFCDKMIEAPDMEKKINARGTSAHRKQNVTNMHFYHVEVFLAAIDAILSEMNHRFGEVSSELLVCMACLNPRNSFSNFDVDKLVRLAQIY >OB05G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6536387:6547229:-1 gene:OB05G18100 transcript:OB05G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3M5D6] MVVEMAPRMGSGRRLPPLARVVQLVVLLGLACRLRRAAAAAAGGRAGDRVARLPGQPLVDFAMYSGYVTVDEQAGRALFYWLQEAPAAAQPAPLVAFRIRPDGATLFLNDYRWNKLANILFLDSPVGVGFSYTNTTADLYNSGDKRTAHDSYKFLVKWFQRFPQYKYRDFYIAGESYAGHYVPQLSQLVYRHNKGVEKPFINFKGFMVGNGVTDDYHDYKGTFEHWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAALNASTAEQGDIDMYSLYTPTCNETSSPAARARQQRRLKRGHYPWMTGSYDPCTEIYSTAYYNRPEVQTALHANVTGINYTWATCSDILNNNWRDSPRTVLPIYHELIAAGLRIWVFSGDTDAVVPLTGTRYSIDALGLPTTINWYPWYDAIKVGGWSQVYKGLTLVTVRGAGHEVPLHRPRQAFILFNHFLKGKPMPNAPTIKSAQGSVN >OB05G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6568713:6570122:-1 gene:OB05G18110 transcript:OB05G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15980) TAIR;Acc:AT2G15980] MHTPVRDVKALGGDPTADNSSLYFIDADHPYATTTASILTSHRSRSKWSHLSSLPVPNPLPVSAIAAIPLLLRHRPNVALRFHLFAMRHLLPSGSPPPLLLSVSVTHVAANSRLRHAALSVLASTAHHYPHSQIFTALAATYRRFSSAPFVFDLLLLAYLRSRQDTIAATSITRYILAAGARPLPSTSTILLRSLPSAAAALDMYHEIYTRPCSRINSQLLPTVHTFNSLLLAFYREGKCNDFKIVLQEMGRYSCRHNVCTYNIRMAAYCDCKDMEKARGLWDEMVQEGIQPDVATYNTMISGYCGSGEVGMAEEMFKDMEMSVTDPSGTTFEWLIRGHCRVGDIDMAMLVRADMRRRGFQMVAEVVEEVVNVLCQKKRVNEALGFLKEEMRREEFVPSQGCYEVLIREFCDQGEVELAMRLQAEMAGKGFKARREIYHAFVCAYEKTEDYGMAERLRKELLVISDEGE >OB05G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6572416:6572580:-1 gene:OB05G18120 transcript:OB05G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCLYLCGLKCSRVEFWYDYAFLPLFCIKLCGLNIPDLLNFRCLFGMISYCLL >OB05G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6578109:6578870:-1 gene:OB05G18130 transcript:OB05G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNSNVFKRDLLKVTINLVSMSQYLCIVALVVSTWTYTVNLVIVEVTLLKLDTSLLLVGSLMLLVTTYPFSLKLLSKYVLNISFFISGVYVLAPIYQTLTRSISFDSIRALAVCLLLVDSRPPGALNNPKMTSNISLNASIIASVLVASGPPSRLHVFAFMLFSLQIFLFSPLIAFCIKKYSLGLHLLFSFALMLMTLSVTYQLHIMFFILLAVLLVFISIVCPYWLTRIQEYKFEINGPWDEAKLCFDRTE >OB05G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6578347:6579088:1 gene:OB05G18140 transcript:OB05G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENRKICKEKSMNAKTCSLDGGPEATRTDAIIDAFKEILLVIFGLFSAPGGLLSTNRRHTASALMESKLMDLVHVETTRATMQRYWDMETKLIVTFRRPKCCQQPRITGWLNLAMLFTPILQCEKRNNL >OB05G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6592817:6594200:-1 gene:OB05G18150 transcript:OB05G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPVPVSDGGDASNVLFTGLRDHTDLLTEVLLRLPPWSLCQLRCVCKLWRDRTTSSTFLSAYADRHASNPSNWLLLDRTIFIDTAPTPRGPVRALVRISEPPKVSSIVSSSRLCPIRRKELSHKQQPPMVVGYSGGLALLMANDNSYYVCNPFTGDAFLLPAPNPQLRNAESLGIVVARDGEYVVAQLVAACLRCFSSEIGRWEEKPVVCSGFSKSDMAFSSGGMLHFVDLNCGILSCDPFAPVPTVVFISLPVASGRPSHGLDEWIHMRYVGVSAGRLCFLDIDEDDGESGLMSLWALSGSSGEWMLEYKVDFEDLWQDESFDGHSFDDDEVPLVGLVHPLNEHSVYVISDGCLFNIDQRTRQVLDCTAQTNAGREVGSSPPIACVVPPLPHLVSPFPSGLRKEASNSDPQVGESSGKPQKSTKGRRRKPKT >OB05G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6596113:6597840:-1 gene:OB05G18160 transcript:OB05G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAWGAISSSFDTACKMLFFLSLFLFASLVSRPALFRRAMRRFSVAWWAFPFPVTVLAAAAAEYARAVDGGRAAVALVLVLSALSVAVTVGVMVCTAIRTTDLLADDGGGGGDQVVSAPPAVVPLETRTASGSPVFSCV >OB05G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6597150:6597320:1 gene:OB05G18170 transcript:OB05G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVNTDVLVIYFSSVQSLTDMIQNYSCHLDCFAEDSDTRLILPTRKNRINWKFQ >OB05G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6610570:6617314:-1 gene:OB05G18180 transcript:OB05G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKANTAHCLRFPGDWFHVFGIGTRSLGFSITVQVTKRWEVVVGPENKTVVSGDNFLRAKLVGDYAGYTSIPSFEDYYLVTPRKGAGSGQPQDLGNEYSKWMLLERVRFTLDGLECDKIGVCYEAYRNQTNFCSSPFGSCLGNQLSTFWEYDQARIKQSLQPLYVVDGRRSKDLNSNLLVELSADDIEYIHQRSPARISDFRVTTFEALSQDGTANVTTKNIGKLESSYILTLNCSSGINLVEEINALGFENVSYFVSIVRRMGLGVSPVNGWRAATAGPETPKPEREGRSGPKRRVALAIGPERVAMSGRRRGRGAPDPLGVWLGHHGTHYAAGTTSALNNRIYYSEKKPKARGAAILKASDFSELDRAEYQFSTAPTVYNNGAQIVGSSDDHKKSSIRDFFGTVIGFFTGKFCRATCSSPFDFSCHIRYICIGWILMIPLLLVTLFIVCSLFCIGLHLQWSCGFCTREASWTRCTTGGRICGGWSRATTPRATRGTRKGRTTTTITGTGTGTATANNTTTTITIRGAGTNTCRRGRRKKEATGTGTSTRW >OB05G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6621730:6622487:-1 gene:OB05G18190 transcript:OB05G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVHLLKTLGTCLLPCCVSLALSVPVSFLADVAYKPEELFVKTRKCEPDAGGDVVRECESYYYVYSKT >OB05G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6631496:6633201:-1 gene:OB05G18200 transcript:OB05G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLIIRVPDAAAGVSLAAHFKCSHSSSVMSVSYCVTIYNAMLFPTLFLHFSMPCLFYVEYAAIELRMCQWKTSGTKIRVPNSILTILLKQWFPGIVKFKGKDEPAWTWKHYRVGPDSPEANQIRLPSRLHRVEEDFWLYFRWAEGTEQRARKVVHNCVRGLFQVLFYETRILAVLNYQRKFLKMKTSRSIACRTYLTEEYLKVEPWWFMRSKNAWRQLIQLQWCNPEWQAISNAHRIRREKK >OB05G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6635316:6635968:-1 gene:OB05G18210 transcript:OB05G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSGGTTLLPAALLAILALVVVVVGGGGAGGMEILSKSRLESCAPXNGGGGLLSWGRKSVVDMAVPSGTSGGEASLVAQVAGVQEDGTQPTKSIRYPPVITIKKTATYALYALTYLHR >OB05G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6645179:6646036:1 gene:OB05G18220 transcript:OB05G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPRAKPAVLTLETVAHLGLLYFLFLVGLEMDVNVIRQPGKKALVITRSRHGAAVLHRHGDVVHLPAPGWPSCSPASTWCPLIRRVPEGETIGDVHVTLNLTDVMIAGVCTNAIGIHSVFGAFVYELVIPTPKRPAGRRAH >OB05G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6647280:6649931:-1 gene:OB05G18230 transcript:OB05G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCGRRRQRGRRAGDGGGGRPFHDGKGGFFERDSEGHGRDADGQHGEDVAEEGTEEKKASLKVSSELFAGDSASAEDCAKRFEIEEVRVNSDEPQDIQRGDDEQLIEEVIIFEIQRGAEAIVHSDGLPEKHQIQDLPIDWFEEETKSRYGIKSGDSFPTVLSAITSEFHDFSVKNGVERLTMDFLDERNQKEAVLLDTSSHCGIGNNHCKYSEEYFDDTEIPAPSSSEISDFIDKHETREVVLDDCGNDDTLIVLASKDGSAHDAILYDHKDNTSEQKDQNNLAGLVDSVVVLFNQQEEIQNAIVSDDSRIPEALLNIESSSIASTYCDSSDKHQNLQEVPDSLASGDNIDEVASLQSSVPENAEDKDERSNANCISEGASYGNRMPLVRRSPAPWWNLCGVIDVFAGCKD >OB05G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6660277:6663800:1 gene:OB05G18240 transcript:OB05G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPARRLALLALDRAKRGRGPVMVRTVAATMIVVLGSSGYSIAKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFLGIIIDRLHHYIRELRTMKKNMEAVTKQSRVLEEAKHGSVEGIQQYQKEISSLNEQVQELKRLSEKKTEELKTAEANALALQKQSEGLLTEYERLIAENEQFRSQLQSMDLRMSHSDGKKNT >OB05G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6667422:6670383:-1 gene:OB05G18250 transcript:OB05G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVADAAAAASLLGLALTVSCCLAAPSITTHGSGAGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLENGVGEHGQPTYKVVHRVTDADNNLRAGDPFPGAPANITDVDLYAPAKELYLGDLCQVTDSPAPWQFWMVMLKNGNLDTKAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTELDSAGGRLRGGLFGTYDLDAKDLGSKEVSYYSVTWEKDLGSGGGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYDTRGMTKIVPESPNFKVRLTLEVKQGGGPNSQFYLMDMGSCWKNDGRACDGDAATDVTRYSEMIINPETPSWCTPRRVDQCPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTFCDPYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWVGDPRTWELDVGAMSQALYFYQDPGTPAAKRRGGGGGLDVGTEIYVSKYAEAEWTLSGFDILVPEGCVGSSQGGLISRCF >OB05G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6668078:6668605:1 gene:OB05G18260 transcript:OB05G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRLEYLVEVERLRHGADVELPRPGIADPALPFRRGVAELAPHRVRHQLQDLLRLRVAVGVAEGGRLLGGAGVPRGAVHVVRRVGEPRGVGAVHAGAVPERVPGRALVDAPRRAPRRRLRVDDHLAVPRHVRRRVAVARAAVVLPAAAHVHEVELAVGTSSLLHLQRQPHLEVW >OB05G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6686341:6691764:-1 gene:OB05G18270 transcript:OB05G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVADAATLLLLLLVLSCCSATPATPGQPNGADGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLENGVDGRGQPTYRVVHRVSDADNNLRAGDPFPGAPANITDVDRYAAAKELYLGGRCQVADSPAPWQFWMVMLKNGNLDTAAAICPENGRPARPFPQGKFPCPGGAGCLNQPLVFHNRTALDATGRRLTGGLFGTYDLDAKDLASKEVSYYSVTWEKDLGSGGAGAGGGGVHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYDTRGMTKIVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRACDGDAATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRADAARFPYAAYHLYCSPGNARAAEQPATPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWVGDPRTWELDVGAMSQALYFYQDPGTPPARRRGAALGVGTEIYVSDHAEAEWTLSGFDILVPSKQTEGTRYTIREQCRLWSCRFRPIDAYSFAHANAHPAKVAQWSNADLRLHMPSALHPWEAPFCHGLVQLLNSWWASPVIDRERRGWLAGAAGNFHVVLEGGSIYYGYMRVWFTAGKKLELKSKCVGLDEISKRAKQS >OB05G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6691782:6691967:1 gene:OB05G18280 transcript:OB05G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTDDTHRGVRVQAHAYTMWCRCAGTADVKTARQKVVGIEKREKVIDMIGTMCVCAPS >OB05G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6695533:6696525:-1 gene:OB05G18290 transcript:OB05G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMKIKIIFPDGYCKRMGDVIDWRCGAISATLTMYASSIKRFAGGTMPVVLEDMATVVTSLDIGIAMAANRKLRLVVSFLLPCMLLEGYSQMKFLSGSVKSAKVSGCIQISQISLA >OB05G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6698211:6699155:-1 gene:OB05G18300 transcript:OB05G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10330) TAIR;Acc:AT1G10330] MLDVLGLSGDMSAARHLFDHMVARDVVSWTTIISGLTRNGYHWDAVQTFGGFLLQYKGRLAEATLVSILSACANLDAVEGLAAGMAVHGYIVRHEVQFTAFLGTALIDMYGKYGKLRCCSHAFRLVRDKEVCTWNALLSALANHGMETEALVKFEIMRSEGFLPNHITFVAVLTACARAGLVETGLDLFEGLFTEYKVVPMMVHYGCVVDLLGRAGCFTEAIRIIERMPFLPDASVWGALLGACKIHGNMELSAQIREKLIALGPQQPGRYVTVRNIYLEQGNWYSATRMGEVMQEAGIKKTVGQSSVVLNYTT >OB05G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6716114:6722113:-1 gene:OB05G18310 transcript:OB05G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDITNPSSEKNPVRGILSVIDGVGTVEQIETELNHIFSDITWEWKVKKLTDKEFLVSFPSENIRRQLSRPKSFDFDSFPIKASVVETAMTEEAVDELVAVWVKIFGIPSFAREEEHVKNLAELVGEFEVLDDKSLHRDGPVRVRVACKDPNELYVSMVIYINKVGYKIRWESEDFKRKTNDDPPAPNNNNEDQGDNDDDADDLDGDDDKDNFLPRSKFQKLTYKGSTSTSSGKGKNSEYSSPVPISKTMVLCSAVLPGVDKNDREVVSPLSKQPDNHLAVVIWKDHEKMAAIQESQEEILPLSAEMFEGGDTNTNLLEMADLSGEPEKCDIPTDSDIERMRADEALDEGDHFERKEKLPAMAKRKSDRQKGQAVPVQKRAENLAKRKNLEETGLGNSNRRTQVRDLIGKYKADVVCLQETKKESFTDRELNYISGNRNFDWSFKPANGSAGVIDGFKELVVGKMPVRNNEYILTFWNKKMSMIRRYLKGRGANCAGDTKRAKQVLLDKMGDLDMLANSGGISLDQWNERYILENDLEHIYEMEELYWHKRSGEEWLLQRDRNTSYFHKKANGRRRKSYIHSLTDGDIVISDPGELNTHIVSFYKSLFRAEPISDIHLSDNFWCGEGCITQDHRELILKPFEMKELDRVINQVKNNTAPGRDGFSIHFYKLFWDHLKQDLYEMLIPLFHEELDLKRLNFGVISLIPKCDSANSIKQFRPICVLNECFKIISKVVTNRLSLIAADIISHTQTAFIPGRFILEGGVVLHEVLHVLRHKKLSGVIFKIDFEKAYDKAGVVVFLSSGKAYIKLNPGCIEKEILVREVIEGGMNLLTFRRSFSHEDAEQWNELCVLVNNLDVNSQEGKRDNLVWALDSKSKFSSKSMYNMLTFRVEETFGGGRGGDLRALDISTAGGCKASQKFEVQDWLKK >OB05G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6726762:6727142:-1 gene:OB05G18320 transcript:OB05G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATILLLLVLAAAAAMATTGESRVPLRRSRFLMMQLGADPHYCSKKTAAVCLAPGSPGPTSCGGQCVDTATSGDHCGGCNKACKHGRTCCGGRCVDLLSDGGNCRSCFNQCSNKCTYGFCDYAI >OB05G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6745334:6748694:-1 gene:OB05G18330 transcript:OB05G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPALYIARGASKVVRRITSETSVELKILSEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPQPQNVAEVLLINFPRGVIYGCGDLIFSSHMIFTIVFVVTYQKYGNIRFIKMLAWCVAIAQSLLIIASRKHYSVDVVVAWYTVNLVIFFVDKKLTELPDRSAGSTSVLPVSMKEKDSKLKEDKARLVNVNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >OB05G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6763191:6763520:-1 gene:OB05G18340 transcript:OB05G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGMVMATSGLIPAALAVLDSMTAHRQLGLCPMVCTAEQQLLDGADCGSSAAETHGASRSATLSAEALPHSPAPSASAMPAASTKATSANTAALIVHYHNCARSCFA >OB05G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6763162:6764119:1 gene:OB05G18350 transcript:OB05G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVLALVAFVLAAGMALAEGAGECGRASADRVALRLAPCVSAADDPQSAPSSSCCSAVHTIGQSPSCLCAVMLSSTARAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >OB05G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6779022:6781707:1 gene:OB05G18360 transcript:OB05G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANHGPATAAVDGFEVIVPEHPLIRHWVSVLRNRDTPSQAFRSAMGELGRLLLYEATRDWLPTVAREVQSPMGPAVVESVNYLEPIIIVPILRAGLALAELATSILPSTRTFHLGMARDERTLQPYVYLNKLPDRFPKGCHILLMDPMLATGGTVAAAVDLLKDHGAEISQIRIISAVAAPLALRKLHNKFPGICVYAGAMDQTVNEKGFIVPGLGDAGDRSYGT >OB05G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6785124:6786449:1 gene:OB05G18370 transcript:OB05G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKAAASNYLCFASFTACIPSAKQPSGGEGKNRLSFSFPDSLAGGGKDRRRQQQTEEQNSESIIDPAASVITRRDGTHCAVIVGTIFGRRGGRAYKLDHVQVYHSICTGRKNSAIIFYRKLRSCVLDPDLQLKNCRNNLCGIRLLGGACGTTVKENFEASELLELTLVRGLIWPRLRAPTSQI >OB05G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6790684:6793004:-1 gene:OB05G18380 transcript:OB05G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLTHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDNTAGNTKRACCST >OB05G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6791528:6793067:1 gene:OB05G18390 transcript:OB05G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIRLVADHHDDDARVGMVAELTKPPVDIVEALPLRDVVNKESANSAPVVSTRDGTISLLPCCVPDLGLYCVGDLQGFGGELDADGGLGVEREVVAGEAGEDVGLADAAVADQHHLEEVGVLVIHPAAHLAPSLRGKDLPSLLLLLLLLRAVEWPRRSLGKGMGGRKRGEEGEKSSSSSGGQEWPAWWVGVAALVGEHSLN >OB05G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6800568:6800807:-1 gene:OB05G18400 transcript:OB05G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVDVPGLSSVPPSHMLVCLVDNTVKNYDWFEYHGRRCLETKGIIMNSIELEGSSCRYRRCPPRSGDPRHRSSDLVR >OB05G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6810081:6813254:-1 gene:OB05G18410 transcript:OB05G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPWDFPKAVLSFRRFLRRCFFGGRHHRRPTSSSSSTGAAMPPADKLHDQTVMVDLESWLLRSPMSAFPYFMVVAVEAGSFLRGLLLLLTYPLLCLLPGRDIRLRAMAMVSFIGLREKEVARIGKAVLPKFFLEEMAMEGLEAVRNARKVVVVTATFPRVMVEGFLKEYVGVDAVIGRELMVVAGRYAGLIVDDMETTGGLVEEVMDMKSGKGDQAVGLAEVGSRIHHLFSYYCKETYDVCDADKKEWQPVPRDKYPRPLIFHDGRLAFKPTPYAAMAMYAYLPWGILLAVFRSLAFGLLPYRVSIPLAAFTGMRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPGRGGPATAARTGGAWRRCWREATSWCAPRAPRAASRTSSGSARCSPSSPAR >OB05G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6828204:6836048:-1 gene:OB05G18420 transcript:OB05G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MSSLSITVMTLNLLEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGMRCQLDYLQQCLPGYEQFGISRKGAQDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWATFQLKRVEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >OB05G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6839978:6845302:-1 gene:OB05G18430 transcript:OB05G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDRRCCICKTDCAFVFVTKAMGDYTRVINDFSVFPPGPIEGKVGEYWYHEDSQAFFDDADHYKMIRAMCRLSCSVCDKAEDPAGQAAQARRKSRFKSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRAQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSRDHYSCHICQRQHPGQYDYFQNYDDLELHFRKDHFLCEDEACLAKKFVVFQSEAELKRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRNGSDRDIPPVQNGSAITGNGLPNRVDNIIGSVSVSSSSGRGETGQSSDNGRVFEHLSFPPLQDQDTPDARMDSAPDETSFPSLSEQPSRYAHILSQSSLSAKLGDESLFPPLPGSSSNRGSASTQQGLQSLAKNTLASRLQQRSKGNVKVLHSARPRPSENPELVPPVSSSAQMWPTPDQGLLHSSSSQLRIGRENGIMPSASNSVWNPGSGASNRMKHSVSTPNLVSGGSSVQASSSTSNGGNKNQQTPQSSQTLPADDVRAANKTLVERMRSALGMDEDRYTAFKEIAGEYRQGIIGTLEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYYTNMRFKSSLQENCDGLTVSSKEGNRKKKGKGKAHDVTENNAAPAKDVKDLLADSFLDTVRKLQVNNKTQEGVAEVLSRDGYRSSKGKAQLMTGGTSSDIDVSLDNDPGAISKASGAKDDVGKGGGSSSNNNKQSKKTSKFLRARLGDNSLATLDLSRPTTSPERPEREQQGPQMGLPMRGVWKNGGGQKLFSSNGRK >OB05G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6854283:6854813:-1 gene:OB05G18440 transcript:OB05G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGRKGGVGPGVKAVAPDAEEAEVALAQRRRCPGRQQQRRRDGGTATEGPRVEGVGGCPDVEEAAVPAQRRRRLDGRRRRQREGAEWRRLGFGRRLGGGRWGRGGGCWSKWRLRRRWEGEEEGGRRKEWRRKEWGRLGFGRQAVGENCNFENRNNLLTSNVVFVKMPLKTLDT >OB05G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6860295:6868382:1 gene:OB05G18450 transcript:OB05G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3M5H1] MLLATVRFAPPPPRVATAAAAAAATGGNISVKPPSPLCLSLKSIPLHPNLMWSGPQLRDGAHCAVPPSEEDGVDMAWAETAEGAYKWRMVIAYDGTKFKGWQYQPSPPTIQCCIENALTCITKLDRKELCLIGSGRTDTGVHAWGQVAHFTTPFSYHCLDSIHSAINGLLPHEIRVREISAAKPEFHARTSTKSKIYHYKIYNGAVMDPFHNLYAYHSVYKLNSQAMREAAKHFVGIHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEVEGTGFLYRQVRNMVALLLQVGREALPPDIVPHIIAARDRRELAKVALSAPPHGLYLMAVNYDKEMLNPPEGAPPISFGRTHQISKCKLLFY >OB05G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6889282:6891968:1 gene:OB05G18460 transcript:OB05G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGASRLGLPQLMAVVFILLALCGFGVHGRNHIHKRPHGGGGGRSRSGGRGGSVVSSPAVPPADEQTQPATPPPGIVPSDPAVPAQPEQCVFDVRAFGAAGDGTTDDTEAFRAAWRAACAVESAVILVPSDGTFTITTTTFAGPCKPGLVFQVDGVLMPPDGPDCWPASDNRRQWVVFSNLDGLTLRGAGTIEGNGEGWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLAVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPASSPNTDGIHVENTERVAIYNSMISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGISFDTVAMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLLDNPDLRCR >OB05G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6898752:6899306:-1 gene:OB05G18470 transcript:OB05G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPANGQLVVFLAAVALLSAGFLPPALAKRRHDGGAVPPQVAEICAHTPFPDVCRGTAGRHASKYPVIDHVAVLNMQVDAFSKRTAQARKHVTVVSRGSAPQQTQALSFCDTMYMNTQDTIGAAQRAITFKDKGTAKIMLQLAVQDFESCDRPFKQSGIMNPMEKFDSELNQMAQNCMALANMI >OB05G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6904100:6906706:1 gene:OB05G18480 transcript:OB05G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVLIAVLVMAGVVEFADAEKYKPTESILVDCGADKEGQDVDGRKWVSDKDSKWLVDGGKSSIMANADFQDPSLPSPVPYMSARVFTKEAAYNFPIGEDRHWVRLHFYPASYHDLPAENFFFSVSTSTGITLLKNFSVYITAKVLSQAYIVKEFTLPPSTTGSLSLTFTPTAMNNASYAFVNGIEIISMPNIFSQPATSVDIEGNEVSTTDSSMQTVYRLNVGGSYVAPANDSGLSRDWYDDTPYIYGAASGVTYQANDTVQIKYPKNDPDAEAIAPASVYLTSRSMGPDPKVNQNYKLTWVFEVDGNFTYMVRLHFCELLLSKPNQRVFDILINNKTAQSGADVVGWGGQFVPVFKDYTTIMPGGAGDKVLWVQLMPSVGSGSEFYDSILNGLEIFKMSDASGNLAGPNPDPSKMLEEAESLAQGKFKSRASLTATLIGGAAGGAAAFGIVAAICIVVYQSKKRKTLSSSASHSSGWLPVYGGNSHTSTTKSSGGRSAALNPNITAMCRHFSFAEIKSATKHFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKSGKPPLSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKPEEGADSTSGSSTVSMADSMAANAAALSLISEDMDEEDIANSVVFSQLVRPTGR >OB05G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6918243:6918608:-1 gene:OB05G18490 transcript:OB05G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLFTYRDALACQIGYLRLDKYTCDENGSSVTRKSASAIINSPINGHKEVGNEEMHLTADCTSKSGCNGDTCTNKERETQNETNRTDSRQNMDANNSDNVVFICNQTAGHISFELGGESK >OB05G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6925908:6927870:-1 gene:OB05G18500 transcript:OB05G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGATRSSMIRTPVPYAHCSPLTRAKAKSSSVSTPESLELRRTRSGRVVVPPLDPGCQRIIYDKDGLVSGVAGLELQSPLKGSNSRTPAKKRRAH >OB05G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6928209:6928973:-1 gene:OB05G18510 transcript:OB05G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLKMFSILSNKKPRFEEYACDLDISAKENTIAFNEGNEGSSAVCNKVGNGKIDLIVESTSKDRDHGVTSTEEFMRDKTSEQAGNQNEFIHPDVEDKEAASHLVNSDSIYDKSTDNLTFGMGDGSTNAGSSVGQGSEEVLATVLSGRANFSSDDCLDNILPISTCNSNNCVENQTCLEIAQHITLNEEVVQNVDMSTSVHSDGESLRNVSFKPFVRLINVETPFSYFLYVCSLCADNAPISFPLFGHLLMLE >OB05G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6965842:6971261:1 gene:OB05G18520 transcript:OB05G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPLMISEEELLPAPDDGVDPTSIPVMIQVTEFTCGGFIFGLVAVHTLADGLGAAQFIGAIAEHARGVDKPKVAPVWDRALMPNPPRLPPGPPPSFRSFGFQHFSTDVTSDRIAHFKTEYFRAFGQYCSTFDVATAKVWQARTRAVGYNPEAQVHMCFFANTRHLLTQVLPKDGGYYGNCFYPVTVTAKAEDVTTKELLEVIKMIRDGKARLPMEFAKWASGDVKIDPYELTFEHNVLFVSDWTRLGFFEVDYGWGTPSHIIPFTYAEYMAVAVLGAPPMPKKGTRIMTQCVEDSHIKEFQEEMKAFV >OB05G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:6986548:6986760:1 gene:OB05G18530 transcript:OB05G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMSQCWLVQASRVVPGTMAGARPFVESGARRRGVAEGWRKEGDGMVVVAVVARRAQFLCVAEKSGV >OB05G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7048466:7049201:-1 gene:OB05G18540 transcript:OB05G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPCAVVAVLLLVLPSPSAGDPDLLQDICVADLTSAVKVNGFACKAAATEEDFYFKGLGAAGNTSSNTYGAVVTAANVEKVPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGALDVGFITTGNKLLAKTISAGDVFVFPRGLVHFQKNNGDSPAAVISAFNSHLPGTQSLATALFAASPEVPDGVLTKAFQVGTKEVEKIKSRLAPKKS >OB05G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7052241:7056296:1 gene:OB05G18550 transcript:OB05G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT2G47020) TAIR;Acc:AT2G47020] MMQHHLRRCSATAFTMIRCLCHFPTSISVSPRLEWKCPSPRLFSTNEMSQQLPANLVGVMEQRMKLIEQRSVYLQGQINQPDASPEEYSRANKELHKLEGTMNMIKELRSKQEEIDGLKSLVTNSVEEKDLREMAAQELLEALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYERYSQKNGWKFDAINIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVDVQLHNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLREEMDAIASFAS >OB05G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7056406:7057415:-1 gene:OB05G18560 transcript:OB05G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTAAPPPKKKIPKSSEANRDSRDKLLKLNAMQQPALAFAAAAAAAAAASSMPLSIPSSRDDKKPRKQEAQAVSLEGQVQRLNLSWELQVSQWSKLVTQNMDALFRPSSLRYAPPLSLERNVGIDRNKIVFDKYSR >OB05G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7057030:7057380:1 gene:OB05G18570 transcript:OB05G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKGSYLRFKVTMGVGGDHLSMICLLNLQELHPFPTNAKNDQLPILMRLNAGSPCASCFLGFLSSLEDGIDNGIELAAAAAAAAAANASAGCCMALSLSSLSLESLFASLLFGI >OB05G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7101546:7102091:1 gene:OB05G18580 transcript:OB05G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLTKKTSEKEQKKSGKGKGERAEIHIDGSYCESVSDVDIHLPNEVLVVKKMSSEGSASGGTIDKFYKHPSIEESVQMTQRGVKVQTTLTTQKREKKRDIVCEYICQWFYEAGIAHNTVPCFYHMLEAIGEYRRGLRKPSPYEMGGPFLQKRKQKVLDGFVAHKGSCKLTGCIVMIDA >OB05G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7112153:7113205:1 gene:OB05G18590 transcript:OB05G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMEWRDECDGRQRGDDDDRGVQMHGGSVGLAAMAGGERNGGGERCRRLPTAARVSVHRIEEIQNQLPGDLMDAIAPAGYIECHAHKFTPNF >OB05G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7135066:7135894:1 gene:OB05G18600 transcript:OB05G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYNAGYGLHNAALSSALFNDGAMCGACYTITCDKDNSKWCKTGTSITITATNLCPADYAKPSDDGGWCNPPRQHFDMSQPAWTSIAVYQAGIVPVKFLRVACQKTGGIRFTISGRDYFELVTVTNVGGSGVVVQMWIKGSNTDWMAMSRNWGANWQSNAYLNGQSLSFKVQIDDGRVVTIYNIAPSNWNFGSTYTSNINF >OB05G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7150475:7153413:-1 gene:OB05G18610 transcript:OB05G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTRRRGGRARGRGAIAKEAGAATKRRPTTQENKERKKKACIYQLICILRRERIWFENAYGVGALCVTDVGQVTISVPGNGDLRSNVVNVEDHDFVQEGTEVSMVEMDHDKVQGGTKSRDSRRSISVNGSVIVTKLREELRSGRSRMLDNEVEYEVAHGGGTPCGMES >OB05G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7158113:7160459:1 gene:OB05G18620 transcript:OB05G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPALHLVLATLCAFACKVSIAQWTPAFATFYGGSDGSGTMGGACGYGNLYNAGYGLNNAALSSALFNDGASCGACYLIACDAGKSRWCKAGSKPIAVTATNLCPPNYALPSDNGGWCNPPRQHFDMSQPAWETIAVYQAGIVPVNYVRVSCQRSGGMRFTISGNDYFELVTVANVGGSGVVAQMWIKGSNTDWMAMSRNWGANWQSNAYLNGQILSFKVQTDDGRVVTAWAVAPSNWFFGATYTSASWAQF >OB05G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7190318:7192803:-1 gene:OB05G18630 transcript:OB05G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSDGVFQGENPLHSALPLAILQICIVVVLTRVLAFLLRPLRQPRVIAEIIGGILLGPSAIGRSSAFIDTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRSLRRTGAGALAIAVAGISLPFVLGIATSFVLQNTVARGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGTGSPLVSLWVLLTGVGFVVSSFLFLRPLLAWMARRSPEGEPVKEIYICATLSIVLAAGFVTDTIGIHALFGAFIVGIIVPKDGPFAGVLLEKVEDLISGLLLPLYFVSSGLKTNVLTIKGGESWGLLVLVVATACIGKIGGTVLASLIASVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARQGLPYKNRAVQRANPEDELRMMACFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAIYMVHKARRNGMPFWNRRFNGDGDQLVVAFQTYQQLSRVSIRAMTAISDLHTMHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESMGDEYQHINQRVVRHAPCSVAILVDRGLGGAAQVAASDVSYNIAVLFFGGRDDREALAYATRMVEHPGIALHVLRFVPKPGAAGADSGNDSAAADEASLADFRGNVADGNDSVRYEERAAGGKTDVVEAIKAMGPCNLFLVGQGTPCVPLADRSTDCPELGPVGSYLALPEFSTVASVLVMKQYDPTAEHYDLVEEVADIVMDIDTPGPRSSGSRKW >OB05G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7206271:7214654:1 gene:OB05G18640 transcript:OB05G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex2/Pex12 N-terminal domain-containing protein / zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G79810) TAIR;Acc:AT1G79810] MEDPKTLPSPSVSSTESPSPQLPPPPDAWVAEYRRLHPQWESVRDSTKIAIPVSISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPTTGKEVRTGLEGPGLSVSQKILYCITTVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYKASSFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEADCPICRSGPSIPFVALPCQHRYCYYCLQTRCAATSSYRCARCNEIVVAIQRQGSS >OB05G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7216673:7222838:-1 gene:OB05G18650 transcript:OB05G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNKEIEQNIQKTREIESEIVRHSETEKEYLMKESELMKGVSIAEFELNGIIQLAAAETDSLKVMEGNLEFQKATLNGIRKRFSKKMEKFIEESKGFQANMLGDLNKDLVLLLKEKGSLGDECENLKRKVNTIECSSHDYIADTLQELNMDNSGIAAKKHPKPELLMPPCHKLVLFINITVLTSI >OB05G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7244651:7246086:1 gene:OB05G18660 transcript:OB05G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTDNRGVTKASAASGSTKAQEGTARLGVKEGVRIPGMSYASCVVTALPGVVRALGSCPVGLPLWGRGQEGNILGCLPKCGYVGLMGTSGPLRLRERAAGAQRRQSGSLIRLRQNWRLLGRRRQRYEPAHGKTSTTRTATARDGGGRARARETKAGGENGV >OB05G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7250416:7254202:1 gene:OB05G18670 transcript:OB05G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19290) TAIR;Acc:AT1G19290] MPHGCRFLRPPSFTCRHRRHRRLHSSIPRSDANADGTEAGATTDTTLLGRLTRLLLLNRFAAAARLLSSSPLTPALLHAALRRVRLDPDAALHLFHLASFRPSLVSHAQLLHILARARRFHDARALLSSLLSARPLDEPLFPHLAQVYRDFSFSAISFDLLLRAHADAGQLSNALNVFDGMGKVGCRPSLRSCNRLLNKLVQAGDAGMAVTVYEQMRIAGISPDEFTIAIMVNAYCRGGRVAQAVEFVEEMGRMGLEVNLVAYHALMDCYCGMGHTEDARRILQSLQRKGLSPNVVTYTLLVKGYCKNGRMEEAEKVVREMKESGDIIVDEVAYGMMINGYCQRGRMEDATRVRNEMREAGLDVNLFVYNTMINGYCKLGRMEEVQIVLQEMEDTGVRLDKYSYNTLIDGYCRAGYMSKAFEICRMMARNGLAATALTYNTLLKGFCYIHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNFWKETLARGLATNVITFNTVINGLCKVGRLAEAEELLDRMKELRCLPESQTYRTLFDGYCKIGKLGRATHLMNEMEHLGFAPSVEMFNSFITGHFVAKQWHKVNDICGDMSARGLSPNLVTYGALITGWCKKGDLHEACNLYFEMVNKGMTPNLFICSALMSCFYREGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISHVIDTIANGDLHSANVMWNVIIFGLCKSGRIADARSLFQSLRNKRFLPDNFTYSSLIHGCAASGSIDEAFTLRDAMLSAGLTPNIITYNSLIYGLCKSGKLSRAFTLFNKLQSKGISPNVITYNTLIDGHCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCSQGYMDEAIKLLHQMIENNIDPNYITYCALLHGYIRSGNMNEISKLYDDMHIRGLVPTNRIGNEKCSDPIVVNKWSRERDPIVWEQQNTNHKGTADLKRNWGVSILKEWIQKILECKVGSSQKSSSCIKIF >OB05G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7260945:7261394:-1 gene:OB05G18680 transcript:OB05G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARVQACRRRSLGKLFSASSSSSATSTGTQAHRQRGLRELLYLFLSVGHQHWNPNRETQRCLRRKHMKKKQSSHSSIDLSSLPPWSGLDWFLGKLVGDDARHTTRLRRLAQPRCDTWIRGKISPTVPPPFLGKLIDDAAHHTARLRC >OB05G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7266541:7268478:1 gene:OB05G18690 transcript:OB05G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALGREGQHDIVCDLLDEMPLPPGSRLDVRAYTTVLHALSRSGRYERALELFAELRRQGVAPTLVTYNVMLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACGRDGLLDEAVAFFEDLKARGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAAKCLDTMTSKGLLPNTFTYNTVMTAYGNVGRVDEALTLFDRMKKNGYVPNVNTYNLIFGMLGKKSRFAAMLEMLGEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLKGMKSCGVELSRDTFNTLISAYGRCGSRTNAFKMYDEMTSAGFTPCLTTYNALLNVLSRQGDWSTAQSIVSKMLKNGFKPNDQSYSLLLQCYAKGGNAVGIESIEKEVYNGTIFPSWVILRTLVIANFKCRRLEGIEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKHSGLSPDLITYNSLMDMYAKSNDSWEAEKILKQLKSSQVKPDVVSYNTVINGFCKQGLIIEAQRILSEMIADGMAPCVVTYHTLVGGYASLEMFNEAREVVSYMIQHNLKPMELTYRRVVDSYCKAKRYDEARDFLSEVSDTDRNFDQKFQHMLETRIKDAQFGI >OB05G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7270448:7274101:-1 gene:OB05G18700 transcript:OB05G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVARADGSAMFEMGNTRVIAAVYGPREVQIKGQQVSSEEALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDTFETVMELAIEGCKAIAKYIREVLLENTKRLECQRCLRPDFVSGHFLQQTYMYRAIFVEIKAYKAAFGSED >OB05G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7321477:7322445:1 gene:OB05G18710 transcript:OB05G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARYWVLARRKLGDQKTPLFPNTPHITVGGSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGIVEDGAGHGGEQNHVMPPHQSYMIQPCRPQIGAGQQHAYIPNHSIGAPPSADTNPNSVCGVITYPARSLLHVAAARTAWGKQVLTASLVPPKSPSASSGHMTLFPGVVPLPQDHERASTWMSSKLDLRFGENELKMSVLGCRSRMDFMMNENDKDYQEVAEANHKRRRIDLEVNPLVLSSSSSKHQQPDGGDGQHHEKVPKHCRSSSVEKLDLELRLGEAPKKLN >OB05G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7328813:7330302:1 gene:OB05G18720 transcript:OB05G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLVFSVRRRERQLVAPARPTPYEFKMLSDIDDQDILRFNRSGILFYRHNPSMDGRDPVKVIKAAVSETLVHFYPLAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDDLGTSLAPPVPCYDMLLCEPESPTADVVDRPLLFVQVTRLACGGFVFGMQICHCMADGSGIMQFMTALTEFARGVTGAPTVQPVWERELLTARWPPAITRDHLEYAPLPDPGKDVLSPTDAYAHHAFFFGASEIAALRLQAPADLRAASSRFDLVSAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKQPVPRGYYGNAIVFAVASASAGELCRRPFGHALRLLVEAKARASEEGYVQSMANFNAAHRRPPFPKARTYLVSDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEAGEAGIMVPIRLPNPVIHRLIQEVKMGLTAGAALHNAEASVGADDFVLAKL >OB05G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7333272:7339211:-1 gene:OB05G18730 transcript:OB05G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAAARRNLSTLLSSRALARRLAPLASAPSYLTPLAPLSRGANTSSLGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDRKPSEEDMVAAYVKTLAAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGQVIHRPQFRFTERQQVRSRPRPRYDRRRETMQVERKETMQKGPSNLQHKRVSMPLEASEHYS >OB05G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7345755:7347456:1 gene:OB05G18740 transcript:OB05G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYQLVSSGITTSYDKGNSKIYRYHMIPGGTKVVSYGSKWYHTMSTCISRGKVVDREAHHGRQRPVMTFQGPV >OB05G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7350823:7351083:-1 gene:OB05G18750 transcript:OB05G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGGRRRPEEGRAEYCEQRRRATEEVRAEEDDGRRRAGRRMASGRGGRRGEEGATGGGERPAEDGAASARRRPQTLAKQRGGEK >OB05G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7352944:7356255:1 gene:OB05G18760 transcript:OB05G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRRLMAKADALHNLTESNQARGYGPGTTMSASAAAIPTTNTNGNHALSIDSHSSQDVRRRTVVAKKKASHELLADGGFNGTSSVDNITDKKDLSHTIRGESVLVKSKCLSEARKDAIALTAAADRRKKSAAKQERAKLEIALSVLMKLCLLISAVAWMGQLLWRWQNGGLSFTTLDMENRLSKVEGFKKTTKMLQVQLDVLDKKLGNEIDKTKRDIAKQFEDKGHKLETKMKALEGKTNKLDSSLAELRDMGFVSRKEFDGLVNQLKTKKGLDGTISDISLDDIRLFAKEIVEMEIERHAADGLQMVDYALASGGGKVVKHSEAFRKGNSLFSSSGAASQKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVTLEHVDKSVAYDRSSAPKDFQVSGWYEGPEDNTDKESRAALGEFSYDLEKSNAQTFQLEITTYSGVVNMVRLDFSSNQGNSELTCIYRFRVHGKEPVSPNAAAVKA >OB05G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7359435:7367676:-1 gene:OB05G18770 transcript:OB05G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G18524) TAIR;Acc:AT3G18524] MEGEDFLPEGGKLPELKLDVRQVQGFISFFKKLPQDSRAVRFFDRRDYYTAHGENATFIAKIYYHTMSALRQLSSNSDGLSSVSVSKTMFETIARNILLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLVPADCEKSIDLKPLQDAITNCNVLLTERKKGEFKSRDLVQDLARIIRGSVEPVCDLVSQFDFALAALGALVSYAELLADDTNYGNYTIEKYNLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLINRTCTAGMGKRLLNRWLKQPLLDVNEINDRLDIVQAFVEDPELRQGLRQQLKRMPDIDRLAHALRKRTPNLQPVVKLYQSCIRVSYIKDVLQQSDGNFSSLIRTKFLNSLDEWLTKDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTATDLDLSVDKQLKLEKGSIGHVFRISKKDEQKVRKKLTNNYIIIETRKDGVKFTSSKLKNLGDQYQALFGEYTSCQKKVVDDVVKVSATFSEVFENFAAILSELDVLQSFADLATSCPIPYIRPEITTSEEGDIILEGSRHPCLEAQDSVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQIGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKNGDEHQHTPSFGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNYSDDSKDEVGSKRKRVFSPDDVTRGAARVRLLLEGIATLPLDDMDGTKVTETVAKLKSEFEKDSADNPWLQQFL >OB05G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7372897:7373106:-1 gene:OB05G18780 transcript:OB05G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDSMSPKPSPSMLCTRKSRIRFLGSVVRDCSSTITSSTCVAAQDLCGNYNVHHDVINMCGCTRFVW >OB05G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7385360:7387626:1 gene:OB05G18790 transcript:OB05G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLNGGQLVGVGLLLLAVSLILLDHLENILILLTEGLWRHAPRRQNRHDFPSLELPRCGGAPRQNKMTYLRQLIRSTKAQVVFLSETHNSLISSDDISHNFHMVNSFVVPAQHTSGGLWIMWTNEMPLTIVSSSTNYILAYGVHKPSGIMFNLLCIYGDPTHNSSSVVWREAKNFVINSSHRPTFCMGDLNDILYANEKFGLSSVNNARISSFRHHVREIGLLDLAYRGPAYTWTNRRKGKDFVMQRLDRCLANIEWRNHFPNTAVYHLPLIYSDHAPILALLSPSTNRSRYYFKFEKWWLNESDFQGMAQTHWSHSGNVPFCVKLSNLSSGIKKWSKKKKPLQYQMVETEQKLLAIQASPNRHMLIDQELSLSIAYDSILQNLSDFYKQRAKKHWIEEGDRNTAFFQHAVQKRKHKNQISSLMINDNFIRDQKEISNCFVSFFVNLFSSSRYE >OB05G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7388128:7389666:1 gene:OB05G18800 transcript:OB05G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEQASANNIKGVALSSSGPSIISLFYADDLIITGQANLQEATNLITTLSSFLQISGQTPNWGKSSILFSSATSQEERNIVKGIFPVSDFSAATTYLGHPIFLAASTRSAAYFFLVDKFRGISSLRANKLSHAGRLTLIKSVFSSIPIYYMSHMLLSAKLIRKLTSIIRKFWWKGSLDNNSSDGICFRSWKDICRPKDEGGLGIRDLMMMNKSLVIHSAWNFLKNPNTLIAQVLKHKYFPHTSFWNCNKHTPKSAFWSSVLKLKPILHSSCIWQLSQGDISIWNQPCCDIWEKIHEHNNTTALSLHLPSKVSELWTNQKSWNTPLISTYFHHQAVHSICQVQVIPHLIPDNLCWKHTSTGDCSTSSAYKEINRHSLLIPVTPEIRSLMNLIWKNKLIPPKIKVFTWRLLSKALPSGVNLNTRIPAISAHCSRCGQLENEGHIFFHCYFARLTWLISPFHLNTSRLPPDANPEHYICCLLSTYNAKFTIQRVLILLWQIWKARNDKLFRAKH >OB05G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7398302:7405213:1 gene:OB05G18810 transcript:OB05G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLSPSSCPSSPSSSSEYLPHEFTDYVATSLAIEGDSDESFVCDDPVVEAFVHGSRLQDRSLREAKQLIRSYKPGDLVEGVCGTKAGDYVLPDITTLLLVGPRDAGKSTLVNRITRVFDKDDDPNAPDRAQASCNSKSTGTSFLREYRVPRNSNSICIYDTRSLSNNHEINFKTLRRWMTKGLSHGDMVMWDNDNYSEVQNIKSMGRQYSFLRCKTRKVNFVIFVINGATVLESIENSNKNYIDMLHETFMYPFLSFGDDKPAVVVTHGDRLSLQQRLHVRNELVELLGIPLQQIFDISGCDDYKTDLSVLDMLRYCIQHAEQNFPIKTDYLLEMHGRETLWKMAVRLMSFDGVIEASIIFLCTVILLLRVSDKLLQW >OB05G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7408284:7409957:1 gene:OB05G18820 transcript:OB05G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:J3M5K8] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQVPCPHHSMAMVAATHCLLRPTCSASRLSMRRLLSSKSSPPPHHSSNTNSPVAFDWSDDDDNPSPPTTETKCPNLPPPYDPFSKKPVVAEPSDPTNLQEIFHRMRTVGLTDYAIKMFDGLSTDGLTHEALELFTVIKDKGVMPDVVAHTAVLEAYANAGPAHWRDAMRTYDRMLASGVAPNAYTLTVLVKGLAASNQFMEAGKYIVEMLDRRMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFKAIMNMLFDK >OB05G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7442825:7452181:-1 gene:OB05G18830 transcript:OB05G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSRKRGPLAPDPVELLPPGFVANRADAAARVERLLRYQFRDRRLLEEALTHQSFADNSTVSYQRLEFVGDSALGLAFSNYLYLTNPSLGPGPLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVTMLHELCQKHAKTAQFKTWQKGGTTVVNVFVGRELVGIGSSEQKVIAKLNAARDALGKLAGVKQQVLTTGVGSGLGDGVGELRECKQKLNEQCSRKNWPKPIFKLEKEDGPAHERKFVCSVQVETTDHNFVTIGDAMSRVKDAENSAAQKMLEVILKF >OB05G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7478163:7479175:1 gene:OB05G18840 transcript:OB05G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:J3M5L0] MAWWAGSMGGLDIRNHLAQFGGHAGGGAGEQAPTTPNSSASNNHDDSSGAAQDSPTAGGGVGENSPTTNASASGASGGSGGGGSSSGRRPRGRPPGSKNKPKPPIIITRESPNALRSHVLEIAGGADIMEAVTTFARRRQRGVSVLSGSGVVANVTLRQPAAPPGAVATLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVVGELLASGPVMVIAATFSNATYERLPLAEDESAAGAAGSKGMQLPAESPPGGNGGRGAAAGGAPGLPDPTSMPFYNLPPNLMPNGGGQMAVHDVFGSFRPPPPAF >OB05G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7486326:7487459:-1 gene:OB05G18850 transcript:OB05G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVWLGEAPSYGGLRMESWSTPHSDEIVVFSSFFERGFSLPISSFCGLLDFYKLELHHLNPNSILHISIFVYIRETFLGIPPHFNLFCHLFRVKPQPNRTKPSAVGMLGYSCTRGARNRGLRSQLQMRPHRLGRELVPKLRAEGLTSVVGRTSLHPSLDPAIEGSSPLVFDYTGEANLTHESPELMKLAILASWMKRIYAPETVVPTRGEDFSRPFNASFHLPVDRHQFLSHPPKRASPKRKCTVDPSGNEPTAKWLAVDSDTEGESTRASEDVETPSPKSTSPPSPLC >OB05G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7490971:7498599:-1 gene:OB05G18860 transcript:OB05G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADAAEVERLYELGERLSSAKDKSQHAADYEAIISAVKGKSVKAKQLAAQLILRFFRSFPALATRAMEAMFDLVDMEELATRIQAICGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLVRQDVKSSGYQSEDSSGNDVDGPLVDSDEHISPRHEEDPDISLPIKRASSGNVDSTKDAANMDDALEVDFNKYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHGRSKKLLGEGRLKLMLNSDGELEEEQETHAERHARIVALAQQVQKPKKDSGRQRQNRRRKKRSQNHVEKKTSDKKRRKIEK >OB05G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7502687:7508883:-1 gene:OB05G18870 transcript:OB05G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTKGSRGFPLKEIHPLTAGAIGRGRVHESLIFVDCGFSPSTTKKDGRRGANLLHPTPSGAGNGNASDGEHRGHTLSFCHQRRPIRTPRQAPSPLSKAYRGYIEIMFSNHINFVLNLDTNTFVHIVSTLESGLKGLDIGISTQCASAIDSLAAFYFNNITGGDGPPSPAALNLALHIGEFPTLFPQILLALSEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAPDITKPRLYIWLLTELVRSSFKEHIY >OB05G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7520246:7522956:-1 gene:OB05G18880 transcript:OB05G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNISKVQDDEVGYGTTSVVVLAGELLRGTEMLVYLRSNGFVSLISGFRMAAECARDALLQRAMDNKEDSDKFKSDLMNIAMTTLSSKILSQDKEYFVGLAVEAVLRLKGNTNLEAIQILKKAGGSLKDSFLDEGFILDKKGLGQPKRIENANILVANTAMDTRVKIYGAHVWVDSMAKVAEIEAAEKQKMREKVEKIIARGINCFINRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNLQSVKLGHCKVIEEIMIGEDRLIHFSRVEMGQACTIVLRQASEHVLDEADALCVLSQTVNDTHVLYGGGWLKK >OB05G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7529092:7532090:1 gene:OB05G18890 transcript:OB05G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGQAGHNGAIAAGGCRSNIDDILPPHNPRLSGDAMAGTKDGLCSESPLSPKSPSYRMSGPKKESYKGKAPAASTKNQRGEAEKLGPAEDDDDDLNFQEAEHTIATMDGGPCAHASRRSFKAMKRELLATVLTHKTARWSRWLGVPLTFDHTDHPASVADSGHLALVTSPTIYNVKVGRVLIDGVAALNLLSPKAFDTLKAPGMSLKPSLPIIGVTPGLVWPLGQILLPITFGGPTNFRTEQIDFNVADLSLPYNAMLGKPVLVKFMALAHYAYRQLKMSGLVAPSRCMATLRWCWREQKCASTPSLWSPPPRQPARAPRRQPCEPPRSRLPRPTRYPSSLSSLAMTRPRSPISVEGVLVSFLRANTDVFAWKPSDMPGVPREVIEHCLAVRPDTRPVRQKEVARFLEASFIREVVHPEWLANPVVVPKANDKMRMCIDYIDLNKACPRDPFPLARIDQIVDSTAGCDLLCFLDAYLGYHQIGMARKDEEKIAFITPVGTYCYVSMPFGLKNVGTTFQRSTRITLSSQIGRNVEACVDDLVVKTRNREALLSDLDETFDSLRTMCMKLNPEKCVFEVPTGKLLGFLVCSQGIKANHEKIKAIQRMRPPRMLRDV >OB05G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7533778:7535769:-1 gene:OB05G18900 transcript:OB05G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIQRFILNTKCTTSLFPSYHIPNSLFNQHPRMVNAAHSCSLAGILVFEERMMTWSASRVGNDSKISSCGRAVATKTSTELVRAKSHPNSTNLAGGTGCSLPRGHHTLHHAVAMADAPRLAS >OB05G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7533803:7536615:1 gene:OB05G18910 transcript:OB05G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWCRVWWPRGRLQPVPPARFVLFGWLFARTSSVDVLVATALPQEEILLSFPTLEALQTRIQAICGFPLLAKDAEFVSKIADILGQLLASEENVEHDAVHKALMSLIRQDVKSKLLIHTFLLFVILQVYSVLS >OB05G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7539138:7539909:1 gene:OB05G18920 transcript:OB05G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLTDEGKILQSVHSGLCGNHASAQIPVGKVYRKGFFYPTIVSDAHELTTIDIYFWSFLGFLQGALNPDLFCSTSKKQRSSREGQWYDVNKNRNMKNGIGVEVMVLKLVKFKQTKEGGNRKAKSSLEERGKDDLVRAGSGERLTCDRMPPNYGLCRQQPS >OB05G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7540924:7548048:-1 gene:OB05G18930 transcript:OB05G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLGDHRGGGAMAAEVALSSDGVDDRAKEGAEVWRWQHRGVACNCNTQILRSVQNNADESDANQLEKLEKLLTNALRDTKSKKFSPLFCSFTLPCFGLQVLTKQNGEGCRSSANSTIVNELDSIHLSIKKASQMEIVEEIGRQVLAEENQKKIVKFCKNGLVLLSDELIKFFSYFMYISKDAVKLTVCTIRLLWRMWKTRRLYGSNHVREQIYMLASVNLCSNFSGQILASLIMNPPKAGDESYESFYGRERWDPLITGSTCKDFRSDNMGSTSFWFLTYCKS >OB05G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7550325:7555276:-1 gene:OB05G18940 transcript:OB05G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIRAYNRLILKNWPFLTGTGGSVLSSIYCLSKLYQKTSNFSSVVFTKFAFASKGSIQQELKCCYRLCWLSQKMSNTTKGLHTTKTLDSSAYYAQVIVCFILRDHLTASKNFSFPCASVQVVNCKATLSAFIISLRLKTSFRASCCEVHILGKPAQASDLYKANIGESCVVLASREATGMVAMQLIVVLNLDVPSEAERIKKCRGRVFALHDEPKVPRVWLSFDDALGLVMA >OB05G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7559857:7560126:-1 gene:OB05G18950 transcript:OB05G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLPPLAGCGGPMAACESCPSASLASSVGRGCCLSWPVRYGGSPQSGPSASPASSAAGSRATPEGVDAFLAGCGGSVASRSIKQIGSS >OB05G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7560091:7562811:1 gene:OB05G18960 transcript:OB05G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSKFEGLISQSSLERRTAAKYYIFLFFNVFLGSIITGSALEQLKAYLHQSANEIPRTIGVAIPMRATFFIAHVLVDGWTGIAGEILRLKALLFFHLKNFFLVKTEKDREEEMDPGSIYFDSCEPRIQLYFLLGLVYAVATPLLLPFILVLLGLACVVY >OB05G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7564751:7566388:1 gene:OB05G18970 transcript:OB05G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGGLLVPTLLGVPADDSLTLRLPPPPPKHHMDLGVWSRPRRTPSDPEMTVVPTAELLDLLSNASLASISDAAMRISEGASVEDRGVRSKPSERRSGEMADEIELTLGIRSCLGGDRRSNQFRPRQTEFTFGSVKGTTYFIKAANLQDAKYKYITVRYLRT >OB05G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7568629:7568961:-1 gene:OB05G18980 transcript:OB05G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSLAIPVILQHRILLPYLYIYLIVFSMLIILLHNSVTFFCSNVYLCRSYSIILSLQSTLRKGISSLSLGETTSLKMLVCLSSKPTVVFIGVLILGGCFCLSSHFFYM >OB05G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7580112:7580411:-1 gene:OB05G18990 transcript:OB05G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDFIVGCSAIYSQLLDKTDIYRHVYKIDTHIFHYRFQWCFYCYIACMLVVFPNVKLISIQFCFLNYISYFEHPLFFYIPLRRLHLLVQSLSVGSSM >OB05G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7581110:7583236:1 gene:OB05G19000 transcript:OB05G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSVLEYWVEGNKDGKVPLQTWSASRVGNDSKISSCGRAVATKTSTELVRAKSHPNTSAAVEGEARATTNTSKGGGSWAGPVRLAMKCDGWCGWANAYLRKLRTQYAAPTNGKICSTLNVVIAKL >OB05G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7595268:7598729:-1 gene:OB05G19010 transcript:OB05G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 16 [Source:Projected from Arabidopsis thaliana (AT3G46780) TAIR;Acc:AT3G46780] MASPHRLPGRFPQSEHANAIIPRATHLHGFLIPRCPCPPPVRPPDTPAMPPALTSNPPSFRPLSTPLTRRRAAATFLCRVGAGRPSKDTGADDEPKKRPFFADFGKLSDGKSLIPAFPPAAAGSLFAGGRGRKDPQTVFVAGATGQELARLAAAYRLISPTEARRLNAVKSGFDDPEAIAKSIGPATKVVVTVSAAEKGPDGGVITTDEALRVVQAADLAGVVHVIVVYDQGAGGTSGESTYNVLDGFTSFFSNLFSRVQSLPLNEFLGKVVETDVRYTLIKTSLTDDYSPESSYGLVLAKEGASSTTSSTETGKVSKLQIAGLVADVFSNVAVAENKVVQVSTSSSVTSKPIEEAFSAIPEDSRRKEYQEAVAKAQAAEEEARVSQRANEAEEDTSKVKAEGKKTSSDEAAASAAREAQASLENLLSRAKGFSTDFSWEKLSTQLAGAATGDSDEEEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPPTPKQPETKPEVRPVFGGLFKQETIFVDED >OB05G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7602889:7609690:1 gene:OB05G19020 transcript:OB05G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVREDFISYGVGDAVLNELQALWEMKMLHCGAISGNIDRSKAAPAASAGTPAAGGTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDTGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPSQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFAVSKNNAQQLWSSIVNKKGTAAKGSSTKETTMAPIIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDAGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >OB05G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7614712:7614918:-1 gene:OB05G19030 transcript:OB05G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMSSEKSSWPEVVGLPAEAAEQMILHDQPDVHVVVLRVGSVVTTEINPKRVRVFTNISGSVAQVPKIG >OB05G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7616588:7618814:-1 gene:OB05G19040 transcript:OB05G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNEAVELADLDQKIQIANELNNDIMKCIHDQNANHVVQKCIEHVPPQFIHFFLDSMYGHVVQLSIHPYGCRVIQSVLEYFHDPSIQETFLKEIIEDVYCMAKDKYANYVVQYILEHGEALVRSAIIKRFSGKVMTMSKQKYASNVIEKCLVFGSYNDKQKIINEVLSTSGETEALMVMVNDQYANYVVQKVIETCDDRQRKLILECLRMRHRQIRHCTYAKHVVARLERLIEAGERTMMQPWRRRPRRHGKEPELR >OB05G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7630056:7633755:-1 gene:OB05G19050 transcript:OB05G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLMVGAAGDKDVPSEHTSTPDEKFPIEVDDMHFPCDIQSKAEVHIACGTLKDPSYRAIYGCCNYEQIIGLGNSQYTLARPSTSQRVCTDIFSGAIGSDHLNLHKHTTADGNTEARSELKRNAIGGLLGEGILLAKSGKHIEEHMVLALSAQPYNPVCPVSQGVSSYNSSSQHIVSSSGVAKYIQNSGQEMQIACEIAKEPAHIALYGSKNPYESTRLSDPHDKFIVPSSFQGVNIGTISNTSSGMNFPTNSAKESAEYNQTEIQNQMDGYQRFEFGLGLNRDDVGCLTITGVPQKGQLGPNMVKDLSAEPYQPVFPVSHGTSASLSSKHPNVTSKLLENPEYHSRIPCTQPSLQPTIKVVSTSMTPHVEEPCYQENFCLADSSLRAQGIDDVGVGNPSMSLLCRIAVSSKYAENKSPTMIDKVHADISKIESTLDHQTSANNMKIQYAPQAARQPSQQSLHADVAKTYSPFGHHFAANIKQRQFAPQVATKPSYMSLHGGNNRNLKTGSGSDSQTELIRPSSSHSTNTDVISSALPKFNLQDQNHQCVHEMDLDEATNSNMLTAKQQLERKVQRNLQRHCKKIDASEARTQHGNVETSIRRDPAKEFLEIVRHHEACFVSFYHPTHIPAHVMKMFDQCFGVDMKKEMPFPKSYPTRGYGTVGLAHQSSTFGLERSDLDSTLPHESKSLDNEELNALLFQREFSSLSKGNYRLIHIEGHVFQCSIDQCGSRFIQQKLPTATPEEKFMVFKEIMPHVVDLVIDVYGNYVLKKVLLE >OB05G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7634931:7638616:1 gene:OB05G19060 transcript:OB05G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMAVDGAMEAASQRPWQRASSGSPRRDNGRNRTPPDCCDDASHDHACHAPASAVRPNARGGPVPVGGRTRIHPEGKEAYALSTMDHREKERENFFLLPAPHSYIPNVSLISNPSKGCYFKGFDEINGPKLKDYEDKICPRANFQIGGIRFLADQYGALYFIDSKSSEFEEEVCRFVPDNMMPIEDKIQFGSLAHGAAVS >OB05G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7652621:7653655:-1 gene:OB05G19070 transcript:OB05G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSFIKSISFEERVSNDSCLSLVHIGCVCFELTSSLLRVTMSTSEKISKPCDAPKTEWPELVGLTIEQAKEKIKADRPDLKVAVFPVGATIPLIFDENRVILWVNTVAEIPTIG >OB05G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7665595:7665816:1 gene:OB05G19080 transcript:OB05G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETKTTSNASKTEWPELVGKTIEQAEEKIKADRPDLNIEVLTVGAIVTGEFDENRVRIWVDTVATTPRIG >OB05G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7668920:7670427:-1 gene:OB05G19090 transcript:OB05G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFLMILFVGNWYLCAVEYIIRLTNNCHLVYIEPLEINEEDYTEGAEEKQAMDENPEGLYGDIEVDEQ >OB05G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7674570:7674848:1 gene:OB05G19100 transcript:OB05G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSGLSWLAKRSSRLRRRSRQSGQTSKSRWSRWAPWSPKNSTRIAFASGSTQWQRPPQLAKLEPGRPQDHMQTMCSPCKYLVIWSSGIE >OB05G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7679833:7680102:1 gene:OB05G19110 transcript:OB05G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSGLSWLAKRSSRLWRRSRQTGQTSISTWSQQTPGSLSNSMRIAFASGSTQWQRPPESAKLKPETISPYADYVLHAMIWSYGRVG >OB05G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7720005:7721378:1 gene:OB05G19120 transcript:OB05G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:one helix protein [Source:Projected from Arabidopsis thaliana (AT5G02120) TAIR;Acc:AT5G02120] MAATATLAAPSFLLSSSSHLKKLSPSLQLPRTRSVRVRAAKLPAGVEVPREQPKLSEPFLGFTKTAEVWNSRACMIGLIGTFIVELALNKGILQMIGVEVGKGLDIPL >OB05G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7722306:7723843:-1 gene:OB05G19130 transcript:OB05G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:J3M5N9] MSDGDGVCVHNSSDVWVDHCTLEDCADGLIDVVEGSTRVTLSNNLLRNHDKVMLLGHSDGYTQDKAMQVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYIDWEMYAIGASASPTIHSHGNRFSADIAKEVTKREGDVPESVWRNWNWLSDGDLMLNGAFFTASGKPGPDLKAPTFARPSSSVPSMTASAGALSCDEASPC >OB05G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7727897:7730608:-1 gene:OB05G19140 transcript:OB05G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50280) TAIR;Acc:AT5G50280] MTLRARPPLSSSSSLPLTTLPIRLRCPLHSSRPSKLFFLHAHPRSPVQLRPTHRSSARPGGPRFLGRDDADEEEDEEEEGWRSAPPAGPSGAHLVGALDDDDGDGDSGGRVGWGATRGDDAGGVEIQELGADGGGEAAEWDPPVRLFRWPVQVQRQPEEEEDDEDGSGCEWSDPGCFLRGQEEAAGAVRTTTAMEEILAFARSHEADGQAFAEFLAGYRHAAFGVEECVELTRRMGEEGLALGCLHLLRWMQAPEETLLLSPQAWLLAVVVLGRAEMADEVLEIVEGLPPERRFRKAVLYNAAMSGLAYCGRYDDAWKVFKLMEKNNIQPDHMTSLIMLNVMNKGNASAKDAWEFFQRMDRKGVKWSLDICICLIKIFCDEGLKTEALIIQSAMEKRGIASNTSIYNTLINAYCKANQIEEAEGLFVEMKEKGLCATTVTYNILMGAYSRRLQPEVVESLLLEMQDLGLQPNARSYNFLINTYGQQKKMTEKAEDAFLRMKTVGIMPTSSTYTSLLCAYAVNGLYEKALLTYVDMKREGFKPSIETYTALIDMFRRAGDTEKLMETWRSMIDEKVPGTRVIFHMVLDGLAKHGLYVQAMDVMYEFRRAGLQPNVMTYNILMNAFARGGQHYKLPQLLKEMAALEIKPDSVTYSTMIYAYARVRDFSRAFYYHKLMVRSGQLPDVRSYKKLLNTLDVKAARKNIKDRSAVVGILKGKSSLKHRKEKKDEFWKNRKKRSMMNKVYGYPRKRSL >OB05G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7732196:7733595:-1 gene:OB05G19150 transcript:OB05G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRLLIQLLGIFSLVGTVRVRRGPRSNAAILSLTPLGKQLTAFVNYLSNPIRLHKSCKQDQLSKPSKQYQTVNMFWKHRGVLAYSELLAQAK >OB05G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7734486:7737091:-1 gene:OB05G19160 transcript:OB05G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLLAPTALPPLAVQGWRRQTTVPTWKRLHNGPRKAVRTFAAKSNKRKSKSQGIIKGPTLISEEDSPGTGSGENPTTSLEVNDNDVTSDEELAVAPRNAVLQACTLTSGLLLAGGLVLREVSHFASSNGWPIADPMDLSFNFETWHLELVVGLVIIVSSSRYILLQTWSDFRNSSEAANRQMLTSLEISDYIVVACLPGISEELLFRGALMPIFGLNWISALVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLAALASSSIIVPMASHSINNIIGGLIWRFSNNIER >OB05G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7738961:7754634:-1 gene:OB05G19170 transcript:OB05G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTHVATLGVGAQAPPRRQKNSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDTVSEVDISHGSEDPRGPTVTESYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIIFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEIPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLADQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNYGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRVTSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVIGGALYKTVTANTATVSDYVGYLTKGQIPPKHISLVYTTVALNIDGNKYTIETVRSGHGSYRLRMNESEVEANVQTLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFNDTFPNMCLPIAASSQVHKRCAASLNACRMVLAGYEHNIDKVVQELVYCLDSPELPFLQWDELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINYFPAKILGGIIEANLACGSEKEKATNERLVEPLMSLLKSYEGGRETHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRVQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPSPAAYRDQLTRFSSLNHKAYYKLALKASELLEQTKLSELRTRIARNLSELEMFAEESKGPSMRKREMAITESMEDLVTAPLPVEDALISLFDCSDPTVQQRVIETYIARLYQPHLVKDSIKIKLIESAIIASWEFPEGHFDTRNGGAVLGEKRWGAMVIVKSLESVSMAIRAVLKETSDYSSSEGNMMHIALLGADNKMDIIQDSGDYDQLPLILKDNITDLHASGVKVISCIAQRDEARMTMRHTFLWSDEKFSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPSASNKFSSGQIGDMEVGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLCVLKEQKLLDLVPVSENTVLDVGQDEATACSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLNCDGPASGSWRVVTTNVTSHTCTVDIYREVEDTELQKLVYHSATPVGGPLHGVALNNSYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGVSKGVENAQCYVKATELVFADKHGSWGTPLVPMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFCVGWSDDGSPERGFQYIYLSEEDYARIGTSVIAHKMQLDTGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQECMGRLDPELIDLKTKLEAANRNGSSNAKLLQANIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQLSHQPAIELIKKWYSASQAAEWDDDDAFVAWMDNPENYRDYIQDLKAQRVSQSLSCLSDSSSDLQALPQGLSMLLDKMDPSRRTQLVEEIRKVLG >OB05G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7776300:7781670:-1 gene:OB05G19180 transcript:OB05G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactoylglutathione lyase family protein / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT1G08110) TAIR;Acc:AT1G08110] MAAAAVAVAASLLPSTASAFRRLSSAANLSRSAKLKRFDRVLRLAPAAAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVNSAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFENLGVEFVKKTDDGKMKGIAFIKDPDGYWIEIFDLNRIGVVAAEAS >OB05G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7785430:7799550:-1 gene:OB05G19190 transcript:OB05G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGFLAGVNDPWLKLRLGGGGGGRRPKPGGALPPAELATVLDAVRTHGLLTERLPGTHDPKLAEAWRAAVDAWVERIGELVQSDMPCSRWLGICFLGLTFQECSNERFAESYSNWFEKILSNLQGPSSEQLVIIVSCTSMSDLFVRMARCLNLKKEASSFAGRVVEPVLLLLNGNGPVANEAVDLLRTVIKLYPSSVNRHYNKVESSIATNVMSSEVNVKLKEKFVRALASLPSVRVSEESWSLMIQKILIVVNNLLNDAFFGLEEEKKGHEILMLLVPPGNDPPPLLGDQTKWSGGNVHVTKKFRVYTVPTISALIHCCCVMLTSYHPVQVNVPVRALIALTWRVLSVDGSLHRKLFPSTTSLHQELICFELPSLHSTFLDLLSSTIKGMRSQLLPHAANIVRLITKYFEIARLPTTRTKAYIIVQQLLTSMGVGISIHLLDAIVSNSVADLDDSCGQDMTSLSTKPTIVTNESSSKSYSKKRKQETDAQNLHVSGSEKTAISPKKRKNSSLSTTPETTADVRMLTPLSVKLAALETLEILLNVGGSLRTDHWRADVDLLLINIARSACDTGRRYEHKLPSTVGETSIADFQLASLKALLASFLSSHTRPPYLAQGIELFRRGKLEIGTKLAEFCSHALLALDVLTHPRALPLECVVPSGPGLTFGAPEKATFGTEKYKFSLSGNQSQALEDDDPYDDWLTPTTVGEPTGSPAKDNPVGKERATEMSQGERQSTPVGEHQQTDTAMSPADIQDVPTSGKSDTEMADVATTETANPETKDGTFSSNAASTPVRTVLPDLNQQVAAPAFEEGHADPVDHLQNIPSAVDAPLTGGKSDDSDVESEDSMPGIVDGDPDSD >OB05G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7804046:7804774:1 gene:OB05G19200 transcript:OB05G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGLQHGEVRVNRSKLLRIAEAEAARAAAAEAEPGAVVRAPSKPTNASRGTGKCRRPRCAGCHEHHPTGKARDKAKGAHKLRACDVALNHRLVSWRVVDSAGAWAAGTGIPDYKGASASAVLAYLAGGNSWHEEEDDGGASLEAAPPASGGGLSDLYDLIVGHHAAAAAARQEQASARTTDIDVADKDAIEEEEPIQDAAEAAGAEEEEEEDDMGFCMVGITIALEFSDGEEDWIVVEEI >OB05G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7816703:7817185:-1 gene:OB05G19210 transcript:OB05G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTMERIMDGDAADDRMLVVPASAVTAAPAAARAVDXXXXXXXXXXXXXXXAGAYLVRYDMPGMTREDVRVSVQDRTLVVAAEKAAKEEAADAGGEEEDEGEGEGEAWPAASFGRYRTRVELPENVEVERIAAEVKDGVLYLTIPKVASGGKVVSIQVH >OB05G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7844836:7845489:1 gene:OB05G19220 transcript:OB05G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRHEQLQLHASSSSPPAASRLGGGARGSSSGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPSPRQTWRSRRAAGR >OB05G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7853476:7857183:1 gene:OB05G19230 transcript:OB05G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPSSERQIQPTAKPASPTPESAAPFPPEPASLTPPAASSLSLLASSPSPSPTSPHPDPDRAALHRRLLESKLTITIVDLNGNPTQPPKIATWECIGILHKSFKEVPDEEKELAWERLKDKFDYPPEAGLALKRQVLIKINSFGKKFKSMLVNEQMQHFAVSDQSSQSEIESVRREEDILTRALGNPEHGGRTRGIGSTVPWNIGMLKYLAQYKKRKISRAEKEARLKEELRFQLSQELTEEFNARLTREVAKIRQELHSSQAGTTIVVHAGPRVDVSPTGLPSSCASMGMDAQDRVVPSAVDHMNEVQVDRVLDGWVTFPLENPTSDEILTLGAAKGTYIQWPKCDIIIRMKTRPPPIPPPKDMPPPVESNVEASIGQALTDPHFGYGPALEVEDVPPNLPPIKTIIWASSSPSMMYQKKDTKGRMCWRESRKKGLRVGFLDPSLINETTLKSNLDSTIEYIGMSLWAHQDKEAIFLAHNQQRHWILICICPKWNMVYYLNSAISSIYTWVPITEALDRAWEPYVTKGGKHDAKRTGHTHKLDFPIAQQTGLMCGFHVCHHMSNLSQQLASVKSTTFDASKIRGEIATFLLTDVINPRGQFHASKYKG >OB05G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7861356:7864996:1 gene:OB05G19240 transcript:OB05G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:J3M5Q0] MGFIGDTAESIRSIQIRSVLAQIIGLGMIVTSALIIWKGLIVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESKEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >OB05G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7879248:7879529:1 gene:OB05G19250 transcript:OB05G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGRTLRPKSRSKKNPLSCTDALLFFLLPTSDSLSAILFCTRFHFSACEPQRHSQSLHGLTVHTASRAIIICCQRRRKKEVCTWANTILYICE >OB05G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7880641:7883904:1 gene:OB05G19260 transcript:OB05G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESHCPLRWESTGDQWWQPLLVFGEGEYGVTDILYAAARSASSDVFRLLLNSVLSPPECSTGDGQEAADGGGSAAIAGGFMFRREMMNRAMHAAARGGNLEVLRELLQGCSDASVFRDAQGSTILHAAAARGQLEVVKDLVASFDIASSVDEQGNTALHLAAFRGHLPVVKALIAAAPSLVSATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLVSGAIMDLSSIINMQNDDGRTVLHLAVIGNLHSSLVELLMTAPAIDLNVRDDDGMTPLDLLRKQPPTASSEILIKQLVLAGGISNSMDHETRSAIASQLKMHCIVGSPGTSFKIPDAEIFLHSGVDASDISERTNSFSSVGQSEPEFPEPGRKKLNSMQSAATHLKILLRWPLLRKEKKPSGAPKELDADDDDDAAFSVDSAKSWSHAETPTTLRQRYSRMSSLFNNKRAFAARIGSPSPSMKKSFFADGLADGVVQPESPSASCSRSSSSLVDRIEAVHPDKNGEASPDPSVVIRRAPRKHGSLNSRLMNQYFCIGAQGLAIEDSATGQRSSRMFRSSLLSAAS >OB05G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7886537:7887431:-1 gene:OB05G19270 transcript:OB05G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASARVRERPPSSIVAARRHLHHRLPSSVDVALSPQRVARLHRLHAQIRLTYQCMLVPDDTTGVIPRGLDQDVLVTFLTIAYADVKAHKSVKGALECAVCISEFDDDETLRLLIYAAWRIREH >OB05G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7898177:7909613:1 gene:OB05G19280 transcript:OB05G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFNSNAHIRFQYAMEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLVGENAPLHLNMLSVFKIISAMHRSDFAEKQVKIMQQIDENHTLTQLANAWLDIAVVSTLIQLDMHRSDFAEKQVKIMQQIDENHTLTQLANAWLDIAVVSTLIQLDMHRSDFAEKQVKIMQQIDEDHTLTQLANAWLDIAVAGSKIREAYLMFQDFAEKYPMTAMVRNCKAVCCMHMGSFDESETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLRGTNLNKSDIEDLCKILVKMPSLGELDIGDNSIMDEGIRFLICFISPTLRKAKSLSRLRAENCDLTNIGVTELLECLPSVSEPLNLLSIADNHLGSVVLHQNYTWLQIGQCPTLLPFRRRAQDEKSTFTKRMAKSDSQEIRLFCKKKIQANELNELMPVLGEVLEDVQIGTGIELFRDIYLENLQYVSLVVPGLFYGHTDVVFCICTIFGGIRMCGIIHHLGENLGKAERHDPTKFALVWNRIINSFRSEDLINNIEMDLMTMPMSLEHKSGSIRWPMLLLAKKFSEAVDMVANFTRKSVQLFRKIKKDNYMLCAINDFYELTKGILEFLVISEIYSNTESEKSIQNASLLDDFRMDHLSSLVDKFD >OB05G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7916653:7917577:1 gene:OB05G19290 transcript:OB05G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIASWRHHASGHYAVCVVPRGTRFARPPFWLLRVLRRSGSSAFVRCPPKPYHVWSTCSSARRPLLTAMVSVGGAPNGGGGPSPTRRTRRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAASGCCTVSLCSGLFALAFDTTTAARSDFVRFDDVGVSRTSKEAGRSDDDRMEL >OB05G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7921103:7922151:1 gene:OB05G19300 transcript:OB05G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSLMILFVGNWYLCVVEYIIRLTNNCHLVYKRRSDRVDHMTWNGTGWLSNTFLMALSCGLRTSVNPVSVGLTNNCHLVCKRRNDRVDHMTWNGTGWLSNAFLMALSCGLRTSVNPVSVG >OB05G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7926350:7926585:1 gene:OB05G19310 transcript:OB05G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVLQEFVRHPTGKAPTILGLREHIFTGSVSSLAGFMPYQETSFVTIEQISC >OB05G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7956391:7959238:-1 gene:OB05G19320 transcript:OB05G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTEEVAFGVNLIGDGGVSWAPLGARTTLSKEHYTSSRGDGGCAGGEVSHVVRVSLRVDDGGDGGCRVLDCGAVCAGGWGEIKGTFRLKETPAGEVAVHVHGAPAGVDVKVMDLRGFAADRTTPERHTCDRIPLTDQRCGILPRTELGLAAARHWCSISMTGQRGKPSQKKQDEPVYMCPCNDCRNEKMIPDSSDVHSHLIRRGFMENYTCGSKHGEQEEPDVDAHEEMSDQNTVNVVAAPESMFVSSPLGGDTIDFDIECLS >OB05G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7971459:7974482:1 gene:OB05G19330 transcript:OB05G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVFAEDRKARFKQLREKTDKVRKRDVVLKFGGAAGVAASMSGATVRVVQMDAAFPLGTCINGSVIQDPSFVDFFTNNFDWAVFENELKWYWTEAQRGQLNYRDADALLDFCERHGKPARGHCIFWAVDGDVQQWVKDLGRDDLASAVHGRLNGLLSRYAGHFRHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPAAQLFVNDYNVLRGNDPNATPEKYVELVDALRRGGAGGGGGGAAVGGIGLQGHVSNPSGEVICDALDRLATTGLPVWITELDVSEADVSLRADDLEVVLREAYAHPAVEGVMLWGFMQGLMWRQDAYLVDADGAINEAGRRFLQLRREWRSDARGTLDVDGHFRFRGYHGTYVAQVTTATGKMLRTFTVDERDSSLELDLDMEI >OB05G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7977665:7982691:-1 gene:OB05G19340 transcript:OB05G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAGAGGGSAGPSEKPGGEEGDVIDADFTDSQ >OB05G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7990459:7994707:-1 gene:OB05G19350 transcript:OB05G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSEKTFKHFELVRMALPPQRLRQIKITAALQRLPPQPIKVGYGLSIDKIYHLYNFWVGYGLPTEYSIFQIRLKPNLAHYHANSTRPKPIRSRKWLRCFPTVFIPTRTGGYNCHPYSYANWGDGIFPVVCAVMGQAHAMGRAEVDPEGNGQSSAIVISDSRGGVAKVYAEEPIVVDLSDEGDEGSDYELEMKEVDDDDSEGHKYHAYKFTQISKQ >OB05G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7995721:7997711:1 gene:OB05G19360 transcript:OB05G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGPPTVLQKINGQSMLFSKISPYSSMKNPALYNANTSYSVPLRSYNGMENIVFSSVTPVSPVFACAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSHPYKGIGDCFGRTIKDEGMISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLSTDGIRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGTLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >OB05G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:7998305:7998484:-1 gene:OB05G19370 transcript:OB05G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKVGVWCAGQYEGATPTCQAAWNVAGVKETTPTSNTEGHKGSDANERGSMEEMHECG >OB05G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8000742:8001215:-1 gene:OB05G19380 transcript:OB05G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHYLAFLPGDVLFIAHHLATLFVFVTCRYLVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRAEKPAAARVYRALSPPFYFLYTLVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAITVSNLWIWNLWKELFRERKQSAAKKSK >OB05G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8010727:8011194:1 gene:OB05G19390 transcript:OB05G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRSEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVLHLLAALLDDDLGMTTMAKLGMAPAPAGPRRPPPTRRRRRPQRPQTARRRGG >OB05G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8016171:8017986:1 gene:OB05G19400 transcript:OB05G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLPLFLAAFLLLLMLVMMGAAEPDADRAALLDFLSWVGGGRGRINWASSPRVCGNWAGVTCSGDGSHVGADGSQEAVEGGGEDRSDWWRPRRPGKLRDAYASGRSDMQLMDQALELYRSHQGHQFLFMHWWKAVADSPKWNSHISNGGPGPKKRTPDLNRNLEPMVRPIGIKKAKKGKGSASELALEVKEQLKNLVDVQANQKEEFEGTKDRQKKLFD >OB05G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8023315:8028884:1 gene:OB05G19410 transcript:OB05G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAHQISPMVSSPTASRDGPRRRGEKRRMRGCSPSPPPQTTPFQDLCPSGACEDKGDGATTTDRKWHPDESHRPDIDDAPVFTPTEEEFKDPIGYITTIRPQAEKYGICRIVPPSSWRPPCSLKEKTFWECTEFNTRVQQVDKLQNREPTKKKPQPRAQKKRKRRKRLRFGMTHRRPSTNTSESSDCGDTEEKFGFQSGSDFTLEEFRTYADEFKQQYFGMKGSDEIPLSEIKKKKKIWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKLSSDSNKQDSYGLSCWNLNNLPRTPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSMNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLTTANEAVRQLWMNLCDCKSSQGVYRWQDTCGKNGILTNAIKTRVKMEEAARRVNALLQYKKMGSDYDSTDRECFSCFYDLHFSAVSCQCSPNRFACLNHASLLCSCEMDTKFALIRYNIEELDTLVAALEGDPTAVYQWGQNDLGLVCPSGSGQYKKIDLGESTRFLESATDVNHGCSLGVNQERYHDDPAKPVRYQQENGTQIDSEVHNNNKMSVSFESPATASNPTRSNSDCSCPHSLNHSFELPSSRVQTGSQPYDVSKTTISKYSVELLDHGKMMVGKKWCNRQGIFPKGFRSRVTFHSVLDPTGTCYYISEVLDAGLLGPLFRVSVEGLPEVSFTHTSPMQCWDSVRDRVNEEIEKQQSVGKSGLPDLLSMNSVNGLEMFGFLSSPIIKEIEALDPGHQCLDYWSSRISSVGTELPSESVMATAVNDSTNPTIKLLGIEITRKEIEQSSSFNNSCAEGSHLVGC >OB05G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8032059:8032259:-1 gene:OB05G19420 transcript:OB05G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYSIWFMAVTWLFAPFLFNRFCLGLGRLDYMDEKPRGHRGANRKELGIIVECRECTSPSLGIEF >OB05G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8037603:8037821:-1 gene:OB05G19430 transcript:OB05G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGCGTLEMLNCLPITSPLVPTGHNLYKQYLKGHSHGCMVRFHYDHPNIFDRMFHLTRGGISKVSKTINLSV >OB05G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8038063:8039215:-1 gene:OB05G19440 transcript:OB05G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAIELIIRNGDVYYSLCILIDNVVDFLEIYRIKLPDPPYIGEVKPQNQNHAIIFTHGEALQTIHMNQILSRYQDNYLEQAYNMRNILQEFVRHPRGKAPTILGIREHIFTRSAGFMSYEKTSFVTIGQRFLADPLR >OB05G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8042721:8044029:1 gene:OB05G19450 transcript:OB05G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGIASSIKQGSRSYNRIQQVQEDRNVLLGKRQRTRDGSPMAAAADGQNKGCNRGLDRGRRCDVAWIVAATAAWIVAMMEA >OB05G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8044638:8050998:-1 gene:OB05G19460 transcript:OB05G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHEDGIIILSDLSSSRCVSALLGHNCSVWFSETCELCYGSSNILKLWSYQNCSKMVLPGGLIPLCSQVMDDSIFIVITIPIGGMGLLNVKDQSSLCLLFGLTLPSFSLALEEGGAGVQGVGAGGGGREGEAPYQDPPPLALGPCHLPLLPLHALSHQGMFCLSKYVVVVALYLTSNVIGMALFLVPAIYNILDNSLVKQNYSYYFEIKPLVEPTREIMKVNVNKYEWHELFPQGASYSLHPFALNSVYFYGHTDVVFCTIFGGKVERHDPTNFALVWNQIINSFHSEDLISNRFIQ >OB05G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8061476:8064922:-1 gene:OB05G19470 transcript:OB05G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEENKGTGEVQESVRVVIVCSEVADTAKRQQDKVEEGDQEKEGEQEKETKKRKAYSSRSDVWESYVKIKIGDELKKARCKFCKRELLCDTKRNGTSSLLSHLKVCKKNPNRNTDSSQSTLQVQPIKRDDSRGIISTWKFDLEDLKHTFAEMIIEDELPFAFCEYPGFRSFMAKACPRFIVSSRRRRTRIVAARCEVQKEKLKEFFKNYERGHKGEDIGKSLEQCLLQWGLDKVFTITVDNASANDDAVNYMRKVLNSSECSIAEVTDGLKEVDVSITHIRAAVKYIKNSTSRLDKFKKCAELAKFMKRLSLIPRYDEEYPYYALELGGEKGPGVVEPKDGVSAKKMAEFLKHFYDMTLHVSATQHATSNIFFHEIAELLLNIREWCSSDDKIKKDMGSRMLKNSDDKIKKDMGSRMLKKYCKCWRKPENMNMLIFIGVALDPRYKLSNHVKVGILVMFGEKSGNELWDSVRTCFHGLFHDYKKRYGPNDKAPQSVVSDQPRERGSLLMKAVIAENMKSANGAIGTSKTEAENTGAHVGDDFMSSLTPSMVEPLVCTQDWLRSSPPTSIEEDPEKLAQIEEELQYFNILLSSTVKAHMMDPRSTGRVVCQFKTALLRRLEQVHILCR >OB05G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8067759:8072123:-1 gene:OB05G19480 transcript:OB05G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGELDIETLRKAKSLSRLRAESCDLTNIGVTELLECLPSNLTLRDYSYCLYAAVQVFTVPSAALGGLHASLDGNSDEVIDISEAILRINQEIDAALHEEHQIWGRMSQISLTYPRPS >OB05G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8080035:8080504:-1 gene:OB05G19490 transcript:OB05G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMVLNGKAVCCMHMGSFDESEILLLEALNLAIKLKLEKLVKFCVLFDLWCFFAGVASAAHSSPYGWWLNMPAGVGSLCGNRGTFRAFSHLADGYVNWRRLTAEVSVKKVVLFCYPQR >OB05G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8127012:8132022:1 gene:OB05G19500 transcript:OB05G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSASCHKVKKNNKPCTILYCRKCLKNRYGQQVEEVAKDDAWTCPKCRDICNCSFCMKKKGLEPTGILAHAAKASGCDSVHDLLSKGKDAVAAAQKSAQQKVRSAPLKKNPKRAPESDAATDEPLAEGDENICIDFNAFASAPVKKQKRSRKVDGVVTLMKDESPDVPQNKVVLPRGTPVTSVAGAEWESEDVGPALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVVSDFHITLLSIIQEDRGMKPITYSRDNDTWIVDVGKCINESIIAPKELPLDSLDQGVSGYKNLSPSCKLRVLNFLCDESLSTEKLRNCILSESKNPSKEKAPSAKEKEPKEETIKKNTDEVALVKTEDGAVTVEEDKNGVSQQKDVKEGKHADTNEKKHGGFLRTNPVMVNKAGIYWKLDDYCNNTTMMLQEVDADNSVGNKDMWFMLNEDEEKIVENYISTMPKRRGRKPAS >OB05G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8136962:8142087:-1 gene:OB05G19510 transcript:OB05G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKEAVLAVCASDAGGVVYDINTGEKIVCIQDCVAPPHGLAFVDGFLLAASRTDKDQPIFGSAIYFWAPTKRKVKLIQYRQFHVFQFYSGIICQIKDSLLIFIYNNVSPQATEDVPEDSKVNFSMKNKNELLLPYGLKGIKEVQKSYVAEAIEPIACSKDGVFLVGGARSGHAYIWEIASGALLKRWRGHKTAISCLAFSQDTSLLISGSIDGTVCTWSMISLFQAEEPRPIEGTEIYLNFYNVKQNEHKASITGILTLLRSPCPILITSSLDGNCKVTELMSGILVQTISLSSSVTTIAVDPLEQFLLCGAGDAGIYVTVLNEIGTKNSRLTLSEDNCQILSGHRAPISALAFSSEGPRLVSGSQDRVILIWDTRTWQVIRKIENKMGGHITNLLVIPKGAISTVHQGRNSLAVKFPKLDKICKPTNETMTFLRPSQFSDNPVSFQSSNLLAEQILDLEEKRTPEAVEMIIAMNTRDKVKNQTIAKELVNMNMLVQGQVFDVMDAGADED >OB05G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8157242:8163342:1 gene:OB05G19520 transcript:OB05G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT1G76400) TAIR;Acc:AT1G76400] MATPPSPPLLRVAALLLLVVASASTARADLVIARADRKVDLTSHIVRVLTSLKVENSGPEAVSQILLAFPNVQAKNLAAIRAFGTEGKVKGPSTVLPIEVVQPSGVPPELTFFSTSLSKALEKGKTLHLDVLTVFTHSVQPFPEEITQAESQLVVYQDTAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNTKLAESELKYGPYEDLPPFSYSPMVVHFENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRLYVRGVSSFRHLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFNSDGKRFLNITFGSPMEEIIIEKLVVKVVLPEGSKDIDVSVPFPTKQGQEVKYSHLDIAGRPVVVLEKPDVIPEHNLYFQVYYRFNNISLLREPMMLITAFFLFFMACIVYMRTDMSISKNSPSYLAKVQWDEVQSIIQQIQAIFDQSIAVHDKLEASLRDLSRSGDVQSCKAARKAADAQFKELAKELKPLLTSLQSSPQSYQIWPKVEELVAKERELQDKLMTRHSTVVDSFEKKLRGQDVENRIATQQQEVAALRQEVESLLEYISEI >OB05G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8169304:8173923:1 gene:OB05G19530 transcript:OB05G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoprotein phosphatase inhibitors [Source:Projected from Arabidopsis thaliana (AT5G52200) TAIR;Acc:AT5G52200] MSSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDESVNAEAILTALNGVASSSKTDSKDDGWASSDDDADAMEQDDDPEAEKARLSFKEHRRAHYDEFLKVKELMRSGSLIDEEAEEDDKGAKGSHSKAVGRKAADADRTPPPQT >OB05G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8180523:8185202:-1 gene:OB05G19540 transcript:OB05G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSIMAAGRGINQLLRRTLHSQSSGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAADYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPQMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >OB05G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8210355:8211086:1 gene:OB05G19550 transcript:OB05G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSPTTASPSPPTAQMPEPPPPQSTPPAPPAAAAAPQFLPKTCEGIHIEASKKRKLAEAAATDAAAAVGGGCRAFFPVXXXXXXXXXXXXXXXXXXXXXPPPPAPPAAAAAPQFLPKTCEGIHIEASKKRKLAEAAATDAAAAVVVVAEPLSPVLFVNRCNVCRKRVGLTGFRCRCGELFCPRHRHSETHECSFDYKTAGREEIARANPVIRAAKIIKI >OB05G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8212482:8217899:-1 gene:OB05G19560 transcript:OB05G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPGGGGCPPRKTMVGLGLGQLVSLLVTGTGFASSELSRRGINVPTSQSLLNYLFLGIVYGSILLYRRKPLQMKWYYYLILGLVDVEANYLVVKAYQYTSLTSVMLLDCWSIPAVIFLTWMFLKTKYRFRKYSGVAICVAGLVLVVFSDVHAGDRAGGASPVKGDILVIAGATLYAISNVSEEFLVKVGDRVELMGMLGLFGAIISACQISIFERNEIESIQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLTSDMWAILIRVFAYHEKVDWMYYVAFGAVAIGLVIYSGDSNSDDKRGQVAESTDAEGRLPDEEAAVDPKCQGAASSGIRQSDDGKTPPTVIIPKEPLDQTC >OB05G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8223392:8225214:-1 gene:OB05G19570 transcript:OB05G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRLATTTRYRGGRSWHRGANMVAMCGGGKLRQQGTEQGDYSGKVRRRATTISRQGRDWQRAKQQSLVMAASCGGYQWRPQPQARASREEFVDPLSPLTLAASVAVGGRGSPAQEVIGVTPSYLGAKLWLLISRGGISEFSHDVFNWICCGFAFQRAVPAFTFIFGDPSARTSTYFVNAGGSLASG >OB05G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8230750:8237547:1 gene:OB05G19580 transcript:OB05G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNKCIYMSRDRPNSAWIREMSHARGIRANKQAHSFYLHSHYSELHTKPTWLSVGCISFISPFYSLYCFSVKLDNKSTMENMQYAEELVKEFLLFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFLKQCFTSPADAELFSALAKLEMSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKRDDWQPWFALLKISTEKNTIKCLKNDMKQLNNKLSELQALLEMKEAEISQLRRNGTGVDLGNMNVRNASVADSSLEGHNIPGVSEESSASGSTAQGSDSQSSSSVKSSTRDEKLHKSSQINNAENEHILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNIASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSRDFPSVLDLKCSPIEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPVTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQIPWSRDCSRFCSPESFNKHMHEIALDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIL >OB05G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8241445:8241638:1 gene:OB05G19590 transcript:OB05G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIRLTNNCHLVYKRRSDRVDHMTWNGTGWLSNTFLMALSCGKDFREPREHGLAMRIAVVAGSD >OB05G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8245732:8250776:1 gene:OB05G19600 transcript:OB05G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFARLANRLVRAIIDNRITGRDYRYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDDCSRAAQDIGATQINGESPRIFLAGLASNIGLDKFRAVTLVCASVAARTRACFLQCWALEIQGKRSEALDELLKICRIHNIFPPEENSAEMEMVAGGLKKNLQVAERVHLLSLYRSICTSGNHRTAAEALGLSIPDQ >OB05G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8265265:8268466:1 gene:OB05G19610 transcript:OB05G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARFCHSHILSDPKQVLYKGCAYITKSGAQLGQITCGRPILKASVPSLCNIHFQKSQKLIAHAYKKVGFNRSPNFGLLVAESIRQIQAKRREPPS >OB05G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8271665:8277290:1 gene:OB05G19620 transcript:OB05G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:J3M5T8] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVNRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGRVVRAIAIMSHPIGNTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSAEAETDNPESELKPGIDLLGPVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >OB05G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8286077:8286346:-1 gene:OB05G19630 transcript:OB05G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDANKGLRAHGDWRLYIPLHHHCEDSWSVCRSNPGRSGQGITIGMLEKKEILKGRKKGRNENVCQPLVSPLASDAAYLGGKDRMAD >OB05G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8286433:8287034:-1 gene:OB05G19640 transcript:OB05G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPPATSKGGEEWWVTVLMKKRRSELVFLEGYTQELQSKWTLRSSARSRRTWRRKNWSLRLPFFTATAPGVPKSASTRNVTWYVVVLAFPCFDFLPPLSAASALSLPFLVSARTPRPSPPSQSRKPPQRAPPPPRHRLTVIDLGAAEQAERGGPAGRRQPEVVGDHHRAIDDDVPRLRRRRRRAPVPQLRAEGHLHRS >OB05G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8286439:8291185:1 gene:OB05G19650 transcript:OB05G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3M5U1] MQMPFCPKLWDRSAATTPAQAGNVVINGTVVVANHFGLSAPGRSTTLRLFSGTQVDHETRKGRLSAEAALRGGKKSKHGKASTTTYQVTFLVDADFGTPGAVAVKNGNRSDQFFLRHVRLDLAEDRSVHFDCNSWVYPSKKTSSDRLFFINTSYLPGKTPEALRLLREEELRKLRGDGRGERKEWERVYDFDYYNDLGNPEKDDDHVRPVLGGTMAHPYPRRCRTGRPLSKADGVTETRRHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVVPEARAIFHGDVVNFKSLDQLRGDLYSKPPQPAADGRVMDELKSAVPSHKTYKQVAKMVKDTPVKFPIPQVIQHDKDAWRSDEEFAREMLAGLNPVVIKRLEAFPLKNSTITPEHIKSQLEGLTIEQAVHEKRMYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKNDGALKPVAIELSLPGDGATAGGEDISRVFVPASRGTEAHLWLLAKTHVSVNDSGYHQLISHWLLTHATVEPFIIAARRQLSAMHPIHKLLEPHFKDNMQINTLARSILLSAGGLLERTMYPGKYAMQMSSDIYANWRFTDQSLPNDLIKRGMASKDERARGGVSLHIQDYPYAVDGLDVWLAIEGWVRSYCSHFYHTDGAVAGDAELQAWWDDVRHVGHGDRRGDAACWLELDTVGHLAESLTTLIWVASALHAAVNFGQYGYAGFPPNRPTRCRRXXXFWGGDPAAPPPRWGWRSSRCSPTTPPTRCTSGSAPRRSGRTRARCCCCWTGSATSSGRWRRRWRRGTGTRY >OB05G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8293295:8295415:1 gene:OB05G19660 transcript:OB05G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSCRRIDARAGGIRIQHPTQGVCLPTLTPRVVAAGIAMCGGCRFLGNHQLNILQGVQIRGGAEARTTQPARARKGERLATLQVARGAVSAKSVYLPVPPANASLYFAFYEATEPVTQPAAAQLPVLLCARTELSAGFLFGTMIGTSHYALMRTSTMHTYIFKILRGVVIGNGITHLVAQVTTHADIAYFMGLINGKQKREVEATQAKAVELVKAERWGEAYLARHGRRRRAVGELARGAVASGGRKRSSAAAARPLDGDGTADKKKNEVHKFSRRRRLTNPTSYFLNAK >OB05G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8300241:8308946:1 gene:OB05G19670 transcript:OB05G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPVIKDPDKNYTCCGFCGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGNSISHDRTIQKGKEEIRQIVSSLHVAGGDTIISMAHRYYTLAVDKNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDHLQISVYVLGAVFLQLCQVLLLAEHPIIQKLIDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYDYTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVPAIKIAPKSGEVLCKHKDKDAEHFAHGLCKKCYNKFIKLSGGLEGGRDPPAFQRAEKQRLEAAKKATELEAPATKEPTLESICETHDSDVKNTITTPTKNFVGDRNSTITSGDVATDPVASKDPEEGKKGKADEPESFSDIDDVEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKDALAAELAARGVVVEEGKKKRRRHNEDTKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYNTKEEDGKAKKEMDFNDQYGQDTGDGETFERGYDYPDYNYDGYGDGAYDDYDGVDF >OB05G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8311414:8311566:1 gene:OB05G19680 transcript:OB05G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFSSLLLWIKRLIFCFFCQTRTQCIALGRRCYGLFNLRARLQITVVIRFN >OB05G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8311718:8312898:1 gene:OB05G19690 transcript:OB05G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCSYCDSEAMHKLAQFLLPGLGAVCVDSTTGDLFKKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEANQDQENEMPEDPFEIISIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCNFRPVTCPNEGCRAMVSVRCMQDHDSACPFKIITCEQSCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPERGLEQHCSESLQSHLFKILRAVHKKGFTDDGLKEHALQLEKYDNDGKLAKSRDVRSLTNVVKNLEAKMKDGGSS >OB05G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8316289:8316930:1 gene:OB05G19700 transcript:OB05G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAAAAVIASHLGGGAEKSTTNLDAGEKMNFHTGLLKREEKRLKHLKKCEVEHEKRCCIDLYSLLAYQEACAAPKEVDEYYSIHPKISAPF >OB05G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8319042:8319569:-1 gene:OB05G19710 transcript:OB05G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGDQSITDFCQWIKTAIDAFHNIGYPVSKSLLVLNLLSDVNLCFSNMADSIACVSTPFLRCRMQCPRPKRTSSRQQGQCGDSNRAVGGLAMQGSSCSSRVMLVVSNQGCGGNTTNKRKNDNNNSKSMVVATVVAVASPSLPLARHNLLGCRSTFPLGQPTASLMGRPARVVPS >OB05G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8321185:8323839:-1 gene:OB05G19720 transcript:OB05G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16860) TAIR;Acc:AT5G16860] MLFNLPKAIKPIGARFLSGASAACFGVDVHPTYLASLLKECKSGKTVRQIHQKIIASGLLSLPTPLLSVSLPALPSEPFISPRSLGTGVVASYLSCGVTDYALLVLERVTPSPAVWWNLLIREHIKQGCLDSAIAVSCRMLRAGTRPDHFTLPHVLKACGELPSYLCGITFHGLICCNGFESNVFICNALVAMYSRCGYLEESHIVFDEIIQRGIDDVISWNSIVSAHVKGSNPWTALHLFSKMTLIVHEKATNERSDIISIVNILPACASLKAVPQTKEVHGNAIRNGTFPDVFVGNALIDAYAKCGLMENAVKVFNMMEFKDVVSWNAMVTGYSQSGNFEAAFELFNNMRKENIPLDVVTWTAVIAGYSQRGCSHEALNVFQQMLFSGSLPNSVTIISVLSACASLGAFSQGMEIHAYSIKNCLLTMDCDFGGDDEDLMVHNALIDMYSKCRSFKAARSIFHNIPLEERNVVTWTVMIGGYAQYGDSNDALKLFVEMISEPYGVAPNAFTISCILMACAHLAALRMGKQIHAYVLRHHRYESSAYFVANCLIDMYSKCGDVDTARHVFDSMPQRSAVSWTSMMTGYGMHGRGSEALDIFDNMRKAGFVPDDIAFLVVLYACSHCGMVDQGLAYFDSMSADYGLTPSAEHYACAIDLLARSGRLDKAWETVNDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYANAGRWKDVARIRHLMKKSGIRKRPGCSWVQGQKGTASFFVGDRSHPLTPQIYALLERLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDPSRFHHFKNGSCSCGGYW >OB05G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8337638:8337850:1 gene:OB05G19730 transcript:OB05G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVDKDLSELHDIHGEITSWLSTVRDGSIECDPQFRCLIKLKNVADDIDDLLHEVQLESEKHKMQRDGD >OB05G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8338704:8341823:1 gene:OB05G19740 transcript:OB05G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIKDIKAEYNDILGQRRDANAIRNNLQVDHPMQKSNKIIGEASMLSNVEESKIAIRDREKDKIVSKLLDSNEEENFWIVSIVGLGGSGKTTMAKHICHVYEIKELFKNRIFWVHVSEEFDVQKLIGKLYETITGKKPDYQPQQQMVLEISEELSGNKFLLVLDAWHTDRYEWGQFMVHLQDRSPGSRILLTTRDQKVAEAVECKHIHDLVFLSDSDSWSLFLKSSGLVENDLGSDFTQVGKDILKRCGGVPLAIRTIAGVLREKTEIGTWRAIRGSELWNVESITDRVFASLKLSYIHLADELKQCFTFCSIFPKGCLINKDHLAAQWMAHGFIMPMKEEHPEDIASEYFDSLVKAGFFLRDTEESFDFISVYKMHDLIHDRAQYCENNKVVTSLARNMITNQTHTCRYLSLTSETEKVKRGLLDKVRALYMSDGNISFDKPVKKSCYVRSVVLDSKNLTPFPPFLLKFEYLGYLEICNVECKKIPEAISGCWNLQSLHFIRYNGFVMLPECIGKLKKLRTLELDIVDDLEGLPQSIGDCQDLQSLKIDCCDNLQGIPASIGKIENLRMLHITSCSALRKLPSKPCGEFNNLQILNLADCNLLQDLPSTFACPVLRTLNLSRTAITTLPQWVTLIDTLECIELEGCTKLVELPKAPAAFGMLPEWLGAIRQTPMVDSLPQSIGRITSLMDCDNLEQLAEEIQHLTSLGDLSLIGCPALTALPERIGKLSALRSLDVRRCSAIQRLPESIKQLPSLKRLTIRGCPDLAKRYETGVWEGWQLVSHIPNLYII >OB05G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8347835:8351361:-1 gene:OB05G19750 transcript:OB05G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPDKTVRDVALRCRWMTKKESSKKRKEELSKKNKEKKERANDSSSKGPAHLVSRPNAPPYSVPVLPMDDDDVSYKTIGGQTGELLEHNAQILNQIYTNISNMQVQENIPLLCQTRDNILAVLKEISDVPDIMKQMPPLPVQLNEDLANSTLLPRPPHT >OB05G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8371715:8378088:1 gene:OB05G19760 transcript:OB05G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRLRLLLLLLLFLCCLAGSRIASADTNPQDAAALKSLMKRWRKSVPTSWRKSNDPCARWDGVTCNANSRVTSLNLFGMNLKGTLSDDIGNLTELTVLDLSSNKDLGGKLPAAIGNLVQLKILALIGCSFSGNLPSELGNLSQLDFFALNSNQFTGIIPPSLGKLSEVTWLDLADNQLIGPIPNSRDHGTGFDQLLKAEHFHLNQNKLQGSVPDYLFNSSMNLKHILFDRNNFSGSIPSSIGVLPKLEVLRLNNNAFTGQVPAMNNLTKLHVLMLSNNKLSGLMPNLTGMGELENVDLSNNSFVPSDVPSWFSGLEKLITLRMQSVGLSGQLPQKLFSFPELEHVILSDNQLNETLDMGNNVSKRLKLVDIQNNKIASVTLYNYLKGDMLKLTGNPLCNDSVLSSTMPCTGQLTEYRTQPPPLPDVQCSDPFIETIVFRAPFFGDVTNYLEILHDNLSSKLKGCTPNNLGLVPSNDNAYLNVDIKACPVNQKRFNYSQVLKCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKTSRAVLIGVVTGSVLLVIGLALIGVYAVRQKRRAQKLVSINDPFASWGSMGQDIGEAPKIKSARCFKLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGLFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHKQNYIVREVKTALDMGDQMYCGLREVMDPILQKRGDLRGFVRFLRLALQCVEDLGADRPSMNTVVREIEVIMQENGIRTGTSSASSSFSIDSRTTMMAAPRYPYSNASTSSTTFDMDSSRAFEYSGMFPSEGSLKNRST >OB05G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8381502:8390980:-1 gene:OB05G19770 transcript:OB05G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MATEGLVPITRAYLARYYDKYPLPPLPDAVTDLADRLRALSAGLAAVAPITPDEELLEKEASGIPAHKIDENLWKNREQMEEILFLLSKSCRPVALQQNSTAEDTQTFSILDDVETKLKDMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVLAGGSIRDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDDIAVLQQAVVIYTEEFEKFTKFIGEVFVNAPFFISAEDAGAESRNSDEYKETIIPAGKTHEVILSVEAINSYIAWDFSLQQGALNMVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVTPAEAAAEP >OB05G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8401000:8404019:-1 gene:OB05G19780 transcript:OB05G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGAGAGALVTATDLSPQGGFINFLHDPETVAAMPQGGQPSTYPFAHPAAFPSQPPPAASIFPASCTQPTPADVTGGTTSASSAALVRRGDRVAANPEAGDDNGRQRMCYTHDEDLRLVSAWLRNSTNPIEGNAREGETYWTKVAEAYNETTPADRKREVHHLKGHWDKTIEKEPRSNGAPNCIKKAKKAKKGKGSASELALEVKEQLKNLVEAQASQKEELKRMKDLQQKLSDQRVEAATLQLKAAQERKEAKLIEYKNKTLETYNELLQVDTSKMEPWAKEAHTKVVTFLSDQIWRTKDSGPGTV >OB05G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8408025:8409395:1 gene:OB05G19790 transcript:OB05G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEFSARCSGAEVTKLNARWSGAEIRYVVATRTASVGGDIGLQILRSSAPRARKYMGPPKLAQASCLLLKLRQAGGGPPTWRAVASVHEVTEQEQEVTELDKKLTERATFLDTQMKELIAKAQEKLLWHVLERKRARDQLEEMERTAQPVHDHIDPIVMKKLGISLEVEYMVSPDKSRYSVRRHGGDLLVEAWLLPESIVVLMS >OB05G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8428480:8429657:1 gene:OB05G19800 transcript:OB05G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEELGHLLVFGFLFSLAAYMVAPAMTDVTMAALCPGRDECSLAIYLTGLQQAFRYFNCMEPVYTF >OB05G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8440083:8443551:1 gene:OB05G19810 transcript:OB05G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSLAYVADKVPPSRRAAAFGVFSGVCVAGFVAGTVAARFLTVPSTFQVAAVSAVAAAVYMRAFVQETDGGASLLRAAGDEEENSHPLCVPSCSSSSEDVAPPTLPPLRKAASLSEMAALLTTSSTFSRAALVTFFHTLGETGLQTALLYYLKAQFHYTKNQYANLLLVIGIAGSLSQLVIMPMLAPKLGEQKLLIIALLGSCMHCVLYSIAWSPWVPYLGASFFIIGMSVNPCIRSIVSKRAGPFEQGMAQGCLTGISSTANVISPIVFTPLTAWFLSETAPFNFKGFSLACAGFAMLIALAVSINMRPAELQPDSK >OB05G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8442838:8443148:-1 gene:OB05G19820 transcript:OB05G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYILVLYHKHRVLKDLLLAIWLKFCWSHINAHCNEHTIANPAHARLKPLKLKGAVSDRNQAVKP >OB05G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8444861:8446806:-1 gene:OB05G19830 transcript:OB05G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MIRTSSSAASASSSLAAKLLPKCRSLAAVKQLHAHLLLLRSAHRAFPYNHFLSRLLSLFASASSSSTATHSAAAAADYVLLLLASHPDPTAFSYNVAIRFFATSRPDTSLRLFLLMLRSGLRPDSYTLPFLLLAAARCPAPSLARCAHALLQKIGLTNHDHTVHSLITMYSYIDDPRAARKVFDGIPFRDVVSWNAMMKAYGRVGMPGEVGRMFQAMVKEGAVAPNAVTVAVVLAACRDEGNLVLGRWMEEWSRSAGMETESLVGSALLGMYEKCGEISEARRVFDSITDKDVVAWNAMITGYAQNGMSNEAIALFHSMRKAGVRPDKITLAGVLSACSAVGALELGSELDNYASHRGLYSNVYVGTALVDMYAKCGDLDKAIKVFRKMPCKNVASWNALICGLAFNGQGDEAIQHFKLMRNEEGLKPDDITFIGVLSACVHAGLLKDGQRWFNSLTSEFQIVPKMEHYSCMVDLLARSGHLEEAWDFIEKIPEKVDAAMLGALLAACRKCKNVEIGERVINMIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVSKTPGCSWVEVRGKVLEFYAGTEPQHGANDMYQVLDLLVDEMRLEGYVPNIDVV >OB05G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8469036:8470823:-1 gene:OB05G19840 transcript:OB05G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHHRLCVVATVHSAAATIPFIASSCDDATTIHSVVAKIHSPTANIHSTSRGRISKQTWRRGAGGREGQVAGSRKSPAGKLPSPVIRSGFNYHVQMRSVAPAQRTIQQSLTVDLTKGSSASLFPFEKFDVYMLVCRVTQSMLRKYESGVKMIQINKMVAEILKDHPSWYHDCEFIDIIHVIPTGNGGTIEVIYMQIYAPTTLAAPLDFWMLLHQWT >OB05G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8479201:8479886:-1 gene:OB05G19850 transcript:OB05G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGRRRSRAGSGIPGRAASSRRQRLQSMIWPLLLGRGSGRRRKQAASGYPVGTRAPIGYEFGYGFLLVNQFGYASGRKCGYRVGKSIILFVLHLSARGMTKIPLPISEQIRKKWRRQSPSGSSSGASEREDPRGEQGEESDGMEADGAHVVGEEDPRGEHGGAHVVGTAEPLVPAHL >OB05G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8498847:8503627:1 gene:OB05G19860 transcript:OB05G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:J3M5W2] MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGHKENVTVQQVKQYCEMESHEEKAHKLMMQNKINETRDVMKKRANELDKMRMERGKLDKGGYSSISGPRVIEKSFNDMSITGSGFGSGSGLGGLGMDMDSFSSKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDIPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPNVRQIDGEWKYDSRNSMLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >OB05G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8531722:8532769:1 gene:OB05G19870 transcript:OB05G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPGVAAGAAAAAAVEEGKGEAVSLTVWRRSLLFNGKGFTVFDGAGNLVFRVESYAGGSSREVVLMDADGRALLTIRRKKLSLADEWLIYDGDAAKEAAVGPDVFRLGVEPGFEPALAMAVVILLDQMHAS >OB05G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8540257:8540457:1 gene:OB05G19880 transcript:OB05G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIYLPLSLIKKSLLSTPSIENNLIFHSPHMPIQKRRLKTLYSIKSQYNYCLLHLFSITTSICLCLY >OB05G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8541182:8553345:-1 gene:OB05G19890 transcript:OB05G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVLDQAMASRLVSPLLTLALLTPRVVPNRRAQPEAYRLYLELLAQYTVSSCTERAETKAMLVKSIDDALHLSDSYGVQKMDFGHTVVLFVLSIIKILTDCILEDCGLPSIASEGHDISYAIGSEKNMNLDGTGSSLGRRDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFSTLLQRLQLIGALKSKNFVTANNLLDSLMTNIRKVITSGHQLHKGNLLGVVVSTKPCSSASRSVFGAGKSSCWISFDMFMENAMDGRQLDTISSIEALTELSKTFQVLNRATWQETFQALWISALRLVQRDRGSQEGPFPHFHSRLCMLLAIVPLSIASILKEESDKVEGGMISVRRGELLSSLQVLGQFFGLLSPPPAVVPSANSAATKALVALSILKDQNENGHNSSQDIFSIKAVGSMLHLIVEACIARNLVDTSVYFWPSYVVPVKGTSAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLIRGWNIQEHVIQMVLKLLSTLLPLHSVSDGFYIHQMPMLHALISGISSVDVVHILSMYGLVPELAAILMPFCEIFGSLPLSDYRSCSFEEPSVYSVFSCAFLCLLRLWKFHRPPLEYALSKHGVFVCSELSLDFLLLLRNSHFALKSLCDVSRKSILQLEPSFHKPVHIDSFPKLRAWYFQNQACIVSTLSSSYKRKSILELANKILKIFCHKMSKSGIPPVSSQSTSSSSTAGSPLGAQDDVCQGPSATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAAIVSYFSAEITRGLWKPVMLNGIDWPSPAATISAVESDIKEALASAGVHINISPRARSPIPMLQLPIATLISLSITVKMEEINHLQGIIYKGVEICATSSSWPSMPIIGALWIQKVRRWHDYIILSCSETPFTRDKNAIAQLIRSCFSSFLGPLVDGRSCFVADRGVNSLLGKAHQERGPRLSISPGLLYTRCCRMFPDNYFVCEEIFKVVIERAHALANECDSSRHHLLRSGRLPLSSASCSVEQIASLAASMLCHAGGMKLIRLLYEQILPTMLLSTGEAKLGCAGPVCSSFEGFALAYVLLVSGASIWGVGETSSVYTSLYTSKRQRVVDRHLEFMANVMEGNIELGCGQVAWRTYVVCFVGLMIDFAPTWIPEVKLETLQKLASGLWKWHERDLALSFLERGGPKAISTVVEYIQ >OB05G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8573543:8576124:-1 gene:OB05G19900 transcript:OB05G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3M5W6] MSEGEEHGSLLEKINEKIHEYKKSSSSSSSSDSDDDKKHHHKSKSKKTRLFGRTNPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFFCHSLIVFLTVCFVWSNAASFINRIFRGPPKFPDVILSEVQCLKIAHLLRKEINEAFLTLRHVASGKDLKTYLMTIGGLWFISIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDEVDVVGEKALVEIKKQYAVLDHKLLSKIPMLSEKKEH >OB05G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8581645:8582461:-1 gene:OB05G19910 transcript:OB05G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRFADFQALHAELSVAGSGTKGDDADAEEEDDEDMREAFGVFDEDGDGYISAAELQAVLSRMGMPEAACMARVRDMIAAADRDSDGRVDYEEFKAMMAGVQNLTWNAALHI >OB05G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8594803:8600125:-1 gene:OB05G19920 transcript:OB05G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQGNDFSKVLSEIHDSLMTMNAKQGETQVAIVKLDVGISNWRPQVDAAVQELREEISDIHRQVEHLEKETVNKEVATNPTRPDLREGKRKPPLLSTSRRMVSNSRGNGVSGGRSFTNRRSREEEFMENLNSIGGRGSWEANHSDYGRNGNCEFKENDLFGESGERHYNHRVPKLDFPVFDGVDPMDCCMKCKHYFDVCSTLLGLWVRVATIYFKDYFGRFDELMTELLVYDPAVNVLYLTHKFTDGLRKEIRNAVMLHRPRDLETAFALALVQEELTETSVNKEVRRIENDGFQRLSSKGTYPFSSTTPSKSMNGTENSQGEERGVPWTEGSKIGNTTNDKMAALKAYRRAQGLCYEQEVEEQPNELTENIHFESEVLALSVQAIQGSETEGTIKLLGQIQGLEILILVDSGQHCGYAMILGMDWLSQHSLMSIDWAHKKLALWKLGGPINLEVQDLFLLLYEQEVEEQPDAFTENIHFESEVLALSVQAIQGSKTEGTIRLLGQIQGPEILILVDSDITIGFLSSKIATKILGVEPLSHPVKGMDWLSQHSPMSIDWAHKKLALWKLGGTINLQDELLDELARSHWFTKLDLRSGYHQIRMHVQDEHKTALKTHSGHYEFRVIPFGLTSAPATFRGVMNSAFATLLRRCVLVFVDDILIYKSNDEIAGSQDRKVMQHLIKEHLTRAQLHMKQQANQHRSERSFTVGDRV >OB05G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8600522:8604789:1 gene:OB05G19930 transcript:OB05G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G56460) TAIR;Acc:AT3G56460] MDALVVQRLGDPTLAPGGEASPFAPVSGDHPAPGLPSPKSVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGVVDAVGPAVRRVRPGGPVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGVVDAVGPAVRRFRPGDRVCAVASLGSFAGLLVTEEKQLFLVPDGCDLVAAGALPVAFGTSHVGLVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAVVIAVARGTEKLQYLKSIGADHVIDSSKESIIESAKSFLKARGLKGVDVLYDPVGGKLTQDSLKILNWGAHILIIGFASGDVPVIRANIALVKNWTVHGLYWGSYLIHQPPVLIDSLNELLSWLSKGLITVQISHTYRLHEAHLAFAALRDRKAVGKVMIVMDSSAKSRL >OB05G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8606604:8608862:-1 gene:OB05G19940 transcript:OB05G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRVPPPPAPAHPGLPGRRRLRERVQSERRAGGALAQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPEAATAAEADGDASATKRRKTIVTSPGVEVEMEVIEPKMSRADRERLYSLIASLSADLPWPPHIVELMQTECCCAVDPNGDKMEINLNSAKDATLFRLLNLLEEFAQQSKIQPRAEDQEPTKIQDCVSRSTLCQLEDGEIADEDADMEIIDVCSGISPLVVEQEEDGFSRGASPVAVDKFPEPSRSNCSPSGSSCRVSSSSSGRESDDDSERSSDEAEAKPLEVEQQVVPEIEMQEVAEQDTGVEPKPLEQQEVAEQNTKLTTESEPAASSGSSSGGGSSASSCSCSSCCSSGSDSDSSDNEEDSASSSPKLHTEAVAKPLEQQQVTQLDKKLTTESEPAASSGSSSGGGSSASSCSCSSCSSSGSGSDSSDDEEDSASSRPKLHTEAVAKPLEQQQVTQLDKKLITESEPAASSGSSSGGGSSASSCSCSSCSSSGSGSDSSDDEEDSASSRPKLHTEAVAKPLEQQQVTQLDKKLAEERPASSCSGSSSSSSGSGSDSSDDDEDSASSSPVTSDHPTEAAAKPSEQQQATEHDMKLNLAESEAPPATEIEMQEATEQDIGVEPKAPLEQQEATELNKKLTKERPASAGTEMKELIARAQEKKQQLRRRALERKRAREQLEEMERTARPVYEHIDPSVMEQLGISPMVEYMVSSEKSQDSERCLLQKLGLFLKST >OB05G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8612788:8614701:-1 gene:OB05G19950 transcript:OB05G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCGQDSVDKYTLTSLLRCYCNAGRPDDADDVFQRMSERGWVDEHVLTTLAVAFSKWGKVDGAFELLGRMEALGMRLSEKTVSVLVHGFTKQGRIDKAMDMFAKMVSYGFVVDLPMYSVLIEGLCQHKDIAKAMELFEKLKGSGVAPDVRLLKKVIEAICREGDFAIVGPFIKENAEFLKSGSVVLLYNVVLEGLVYRDEVEAAYELLCSMLSSGHGGNSDVAIGAHILHIIEDAKPNSDSFNIVVCGLCKVKKLDLALTLTKDMISLGFKGKILMFNDLIHELCNVDRLDEGYVIFNQMKDLGLVPSEFTYNSLFYGICRKKDPKAALDLLREMRTNGHSPWIKNCTEMVQQLCFSGRITEALQFLDGMLQIGFLPDIVTYSAAMNGMCKTGEVNNALGLFRDISCKHYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLEKGLFPSIVTYNLMIDVCCKAGRIEKAIAYLDKMVHEEKQPTVITYTSLIGGFCSAGRADEAIKLWCEMREKGCAPNNIAYTAFVSGLCKCGRIETAVAYFEEMVAKGFELDTFSLLYLINLLISNGHSTKGCELLKEVLRKDTYGNNLKLVGLINTAIVELSKDERTSSDILKFVEKGLVSSSQSLPNKDGGE >OB05G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8620787:8631296:-1 gene:OB05G19960 transcript:OB05G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67570) TAIR;Acc:AT5G67570] MGRPWDGGGPSRGTPLDGQGLDGLREMLAARRADKFEWLLDDDVEEEEGAMAAGRRGRLGTGWSSDLRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENNYKHRKSRFVYTKLLSILGKAWRPSEALRVFTIMRGDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMKQKPSKRVMKTRRKDWDPFLEPDVLVYNSVLNACVLSQQWKGVFWVFQQMRMNNLTPTGATFGLAMEVMLKAKKYDFVQKFFEKMQKNGVPPRAITYKVLVRAFWEQGKVNEAVEAVKDMEHRGVVGTASVYYELACCLCNKGRWRDALLQVEKLKQLPLTKPLEFTFTGMILASFDGGYIFECISIFESMEGYCTPNIGTINVMIKVYGRCDMFGKARDLFETTKINSPCSNHSPHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSPHHLDQRKYSWMLVMASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQSNYARTLHLMNIMNEASTDLSELQWSKLLEQNMHRFSVNALSDLLTYLSTSDIIKSDPALSFVRALQSQCETTFVKDTSFLADGSKTGQSQLSLPANIVKSSNSNMMEPDQLSSMNSLNMRPDEKVNSELSDYLMDTPQFGANAGLSGDIVIGSHFESKQNEQHDLGYLGTGVSAVDEVLDSMNLYGDGSYGEMPLASEILEFWEQERINDMFSAKKAEPL >OB05G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8636988:8640531:1 gene:OB05G19970 transcript:OB05G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRKPQSSERHHPPSVGPASPPQDSLAAQAMRASAAHRDASSLSSAYSSSSSSPAAAAAAAARRSHHEPSASTPSPNSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQPSRDSPPVGVQYTRSPQSPSETWKSETPPSQKRSEAIASSLNYIGGTIKTALEEGRTIVENKTADIIHETRKLNIRRKGAGSNTQGEAAQRFTQRNIPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDKGDSPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPARTGSGLSRSVTPATPKITSSSPVSTSIVVPESCPVMPASPESLSRTSSKQ >OB05G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8643140:8647095:-1 gene:OB05G19980 transcript:OB05G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNDILRKQTALKGERKIAVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >OB05G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8656694:8662299:-1 gene:OB05G19990 transcript:OB05G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G08650) TAIR;Acc:AT3G08650] MDRRKTGVVLFCLLFVLVRTASAIAETEIGDVRVVQEAPDRKLEGAGRQDGFKSRRVSVSTVAWSTLAMAAVTGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEASPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDAAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHIATSNILRVVNRKKSSINLITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYNGLDYWMVIACGALIPSFARVFRRSLRLDMRKSICGLLIGLAFASVCLMSTRFICLHTPYCNSAPEAVT >OB05G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8666051:8667232:1 gene:OB05G20000 transcript:OB05G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYWWSCGCIDDVDVLITIQSHHTLGFAPTLHQGALTKLRDEAPTSGIYPSVTRLTGSIVDWDHRLGPRPVHGWKNRGGRTHWPGGLVGRGGGGDTTASMVGDNMTLTGCMVT >OB05G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8692228:8697780:1 gene:OB05G20010 transcript:OB05G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT2G38000) TAIR;Acc:AT2G38000] MTVEAERDGEEQRRPLLSAAASPQPAAEHPHQHQHQQQYQYLGRSSSSALRGXXXGGGGGAGWSVGPEISAAEVRSAASISSASYYPPPPAVHHDVYPPDIHPPSPSTAPTPPHPHGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYQGGKIDGRDKGHVLGVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCEGRGEMPCPTCNAGQEHGFYKANQMTRCSTCHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCIGYGSLLAKSTAHVRWRTLSARKVSATRGAASVPDEVFHRAQGVQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRIPVPPSARVISERHIISVVPVIRVTMSRRKQSFSFYVVGYSRDVFIRDYPSKFCWGLCCCFEWLRN >OB05G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8699173:8700337:1 gene:OB05G20020 transcript:OB05G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLHWDPHPGTTKKKPSPSASASASSPSSSSTSAVAKLLMRWRGRSAAAKDESIEFFSTLRNGEPDRGASDHAGRGGAPEGRGKATSAAVSGAGAGDGDGEQLLSTGKGKHDYDWLLTPPATPLWSPATSAAAGDRVAAEAPSRLGRASSASYAKGNSRLPPTGRENGIPASRLARSTSASTASQLSGRPSYGRTLSSASVSSLNTVSNASVSSTPRGSSSATSPRTPATARSAPAARSRHRDRTQALHVFGSVAAGNPNASLASRSRHPSTAAPT >OB05G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8707571:8708035:1 gene:OB05G20030 transcript:OB05G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIRDSHHIKRFAFLKIITSPNPEDRGDSRIILLGKDFYAYFFWVIYTILYSRKMIYTSYRSTGCNVISIRLIRDRFTPRGAYTSRLSKFCSKTSYENLYREGFPAAQQFFHTNLAARRCYWHNNWRFLLRLNLSAFPGTSKSNATAATYRRR >OB05G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8746769:8747755:1 gene:OB05G20040 transcript:OB05G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRPPMVSPADSLAAGRSRRVAAKMPMPRPKRVRVYFVDADATDTDSSGDERSRRRVREVIDIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGANGEQRRQREMMPLPPPPSPPVSRAQGGKKVAGDA >OB05G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8751782:8752538:-1 gene:OB05G20050 transcript:OB05G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPHSDLDERGKINNLEKGNPRFQVAEIFPVIFNLKTQRQRVHNHRHMVRDYGTVWGDLDSDKQLFGNQLLRI >OB05G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8757647:8757823:1 gene:OB05G20060 transcript:OB05G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAFFDKKLFFSPLFARAPRPLCRVQGPSQGDGPCLCEEQVCRRGELELLATLVHCVCS >OB05G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8766056:8772324:-1 gene:OB05G20070 transcript:OB05G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVCGFYSVLLMFPHQRKCQSFSQKVVPMVEVRFSLPSRLLMCVLGHIPGPRFNTCYCSEIGVNFWARHNTKQRQSGAAQPSSGCGYAGGASCDDGGGPWRHTTARRTKDQWRRRASTNREEDRTGKEEEEDDSAHRRRRTARRRSGEGGAWLGRSAARSAPSRGGGVRARAVQRRAALTQVFKPNSEEQPQSVADLSTKPQVLTPSQRRSFPKSSPKFLVGLSKASGTLL >OB05G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8791554:8802079:1 gene:OB05G20080 transcript:OB05G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G04350) TAIR;Acc:AT2G04350] MGKITGDNSNMSILQRIATSDMPLVKEYGLPGIIGAILLAIIIPVMLSSIFNKKGKKRAVQADVGGEAGFAMRNSRFSNLVEVPWEGATTMAALFEMASKKYPQHRCLGTRKLINREFVESPDGRKFEKMHLGEYEWNSYAEAFNRACNFASGLIKLGHQQDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLQSLRHVIYIEDEPVEAEVLNQMKHWTTLSFGEVEELGKGSHMNARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKRLFDIAYKRNLAAIEGSWFGSWAPERMIWDSLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYTISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDDRGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDNIMVYADPFHNYCVALVVPVHQALEKWAQNSGINYRNFDELCQNDQAIKEVQQSLSKAAKAARLEKFEIPAKILLLPEPWTPESGLVTAALKLKREQIKTKFKDDLNKLYH >OB05G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8803389:8806058:-1 gene:OB05G20090 transcript:OB05G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLQATIQWLTLSAILSAAMAADNNNSSTASAPILLNCGASAAQTDNYNRSWDGDASSRFAPSVRGLQASAGYQDPSLPSPVPYSTARVFASNYTYSFPVGPGRVFLRLYFYPTDYGSRYDSADAYFGVAANDLVLLDSFNASQTALATNFAYLIREFSVNVTTSGGLNLTFAPSARNGSYAFVNGIEIVPTPDIFKSSTPTTNPPDLDPAAGFQTMYRLNVGGQSITPQGDSGFYRSWDNDAPYIYGAGFGVTFPKDGNVTITYPSTEPRYTAPVDVYATARSMGPNAQINLNYNLTWILPVDAGFPYFLRFHFCEIQYPITKVNQRSFFIYINNQTVQNQMDVIVWSGGIGRTTYTDYLVFTVGSGQMDMWVALHPDLSSKPEYYDAILNGLEVFKVQDGRQNLAGLNPPLPPKPDLSPDGGSSGGKRKSSAPAAIGGAVGGGFAVLLLVACLVACVLCRRKKKVAKEFSGGKSDDGRWTPLPDFTKSGSAATSAKTATSGTGSHSALPSNLCRHFSFAEIEAATNGFDGAFLLGKGGFGNVYLGEIDGGTKVAIKRGNPMSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYGTTKNPPLPWKQRLEICIGAARGLYYLHTGAKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKAGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARTALSPSLPREQVSLADWALHCQRKGVLGDIVDPLLRGKIAPQCFMKFAETAEKCVVDRSADRPSMGDVLWNLEFALQLQESAEDSGGLTEGTSASTSPLVVTRLHSDEPSTDATTTTTTTTTNTASTVSIADRSIASVESDGLTPSNIFSQLMTPDGR >OB05G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8833469:8837250:1 gene:OB05G20100 transcript:OB05G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHRKETRRKASVLQCFFFFVLGALAMAASMAALHASSSASSSVWRCSPLADDDPSSSQVVDGGGEWGVVRTRGAQFVVGDDGRPFYVNGFNTYWLMVLAVDASTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPSVYDEDVFKALDFVVSEARKHGIRLILPLTNNWDDYGGKAQYVRWAQAAGADVNLTAGGDAFFSDKTARGYFRRHVTAGGGGGRRHVTAVLTRVNTYTGVAYRDDPTIMAWELMNEPRCFSDPTGDTLQAWIREMAFHVKSVDPAHLLGVGSEGFYGRSSSPARLQANPNAGAALVGTDFVRNHRVLGVDFASVHVYPDNWLPAGATQEAQLRFATSWVEAHIADAEGALGGMPVLFAEFGVSAKAGAVFNATSRNAFIEAVYGAMLRSTRRGGGGAGALLWQVFPEDTEYMDDGYAVVLPRAPATAGIVAAHSRRLQAFNSRCEWSCRWGCNRQRNTPEMTKEADVV >OB05G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8842082:8845262:-1 gene:OB05G20110 transcript:OB05G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLPSGSSPPPLSRVAASAAHGEDSSPYFAGWKAYDEDPYDAAVNPDGVVQMGLAENQVSVDLLEGFRENALFQDYHGLDSFRKAMARLMEKITGGKATFDPDRIVLTAGATAANELLTFILADPGDALLIPTPYYPGFDRDLRWRTGVHVIPVHCDSGNGFQVTAAALQAAYDEAAAAGMRVRGVLITNPSNPLGTTARRAVLEDILDFVARNNVHLVSDEIYSGSAFAAPDLVSVAELVDCRARRHGGGGDDGVAGRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQRTLAAVLSDEAFVDSYIRANRDRLRERYDHVVAALAGASVPCLRSNAGLFVWMDMRRLLGDGEGEATFADELRLWEKMLREAKLNVSPGSSCHCSEPGWFRVCFANMRLATLDVALARISRFVDKWTKSTVGKINNLQHSICDVNWLLLDRYHGSMRVYNSN >OB05G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8872157:8872396:1 gene:OB05G20120 transcript:OB05G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVLYRRHGSTVELSKMIKDVVDLTVDGALRGIRKRVLTLEDIQPERIYSLRTTPLRRSKHQESHDLFELWEIKKRF >OB05G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8880181:8883549:1 gene:OB05G20130 transcript:OB05G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPSRLRLLCFSVLLLVLSSCSLADGMDAPSSSSSSSSPVPSPVTTGVPAAGANSTAALSSTVPAPPPAVMIVERHHHFHRELIIAAVLASVATVMIFLSTLYAWLLWRRSRRIPGGKGGARSSDGTAKGIKLVPILSRFNSVKTSRKRLVGMFEFPSLEAATGKFSDTNVLDVGGFGRVYKAAFDGGVTAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHERNHYIVYELMEKGSLETQLHGPSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKTSNILLDSDFNAKIADFGLAVSSGSVHRGSVKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELMVGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPNIVDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPTELGGTLRAAEPPSPNLRSSPC >OB05G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8889331:8893491:-1 gene:OB05G20140 transcript:OB05G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3M5Z0] MAVSLEELKNENVDLESIPIQEVFAVLKSSPQGLTSSDGAGRLEIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKASRALPTPASHGRLLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAAGMLVEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEAHTAIPFVKDLDKDAIVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDSKDGSWHRVSKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQRVPEGNKDAPGSPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPMPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTRTFGVHPIGGSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGFLLVGAFLIAQLMATLIAVYANWPFAKMKGIGWSWGMVIWLFSIVTFFPLDIFKFAIRYFLSGKAWNNAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAEASTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQNHYTV >OB05G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8892416:8892691:1 gene:OB05G20150 transcript:OB05G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGLAEEGVDAGGDDDGLDLSLLAGGTRVDAVAGHLVDGEGLAGERRLIDLERVALHQPRVRRDDVAELDADDVSRHQDRRVLLRPLPVPQQ >OB05G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8896567:8896752:-1 gene:OB05G20160 transcript:OB05G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDNIGGTAITPGADSSGFYRTWNNDVPYIFGMAFGVTFKKDNNVSIQYNPSSVSPHATP >OB05G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8899472:8900351:1 gene:OB05G20170 transcript:OB05G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGAVAVVRRPLQPRDTNVVAASPAAAALAAGKAARAKTKAPKGKAKGTARAASSPPSVRTWSAKAGSCRAAVEMKEVSLAEELERARERRGRMRAAREVTERVLGERAAALRREARVWQRRGDEQRRLVAELMRLIGMPEVYIPVESLRSKEERKRKEAVAHSGSLDTASRLLDGVRESCCDRESVETAAESSS >OB05G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8904679:8909659:-1 gene:OB05G20180 transcript:OB05G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cinnamate-4-hydroxylase [Source:Projected from Arabidopsis thaliana (AT2G30490) TAIR;Acc:AT2G30490] MDPLLVEKVLLGLFVAAVLAVVVAKLTGKKLRLPPGPSGAPIVGNWLQVGAALNHRNLMELARRFGDILLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATSGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLARCYDLKSQRMKLFEDHFVQERKKVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPSIQSKVREEIAEVLGGAPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLAGYDIPAESKILVNAWFLANDPKRWVRPDEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQSFQLLPPPGLDKVDTTEKPGQFSNQILKHATVVCKPLEA >OB05G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8908781:8909560:1 gene:OB05G20190 transcript:OB05G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVINSVDGSSELNRMEWNGMGGREGVQCLLAFLDEVVLEELHALGLEVVAAGEVAAEEGAEDGDEVAVVVLEALGEAAALGVEGFELVEERVVDAVEAAVEHDAEHVVVHHELQPAADHHAGGGGGGVAADVLHHEAGLLLPPGAVLRHHLVGEEGHRHDPPHLAPVVAVHGEHHVLPLAGEDVEHHVPRPRPELHALRVEHLLGEVRAGHHHQVAHPHAEQQDVAEAPRQLHQVTVVQGGPDLQPVPDDGGARGARR >OB05G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8951053:8958967:1 gene:OB05G20200 transcript:OB05G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVAPPLSVLLAAAIDRRDRSRERVVVGGGGGETMDEVLAQISEGFRLAGELMSELAATQNDPAYLAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPAAPGRRRQEEVRAPRESGEKVRVMVAAQRTGNTELPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFTYEEKTTVLLASSGSAAEHDHPNEHTGGSSTSGCLQPRGWRLAWCSPAALPFSGETAAKGLWPSACQGQGRESELWKRGPLVSEVKIGIF >OB05G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8967608:8968769:1 gene:OB05G20210 transcript:OB05G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMAPAPLPPPPYAQVMEDMEKGRELAARLVALLHDSPEAGRIVDQILHSFSRAMQALNKAVSAAAGEGSEVQSEVTCGASAGGKRKAAAAAGGAAPERRASCRRRTQQSSGETVVVKNLGDGQPWRKYGQKEIQNCKHPKAYFRCTHKYDQLCAAQRQVQRCDDDPASYRVTYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLDGGAGGGAMQELFRDDDGVFDDLFGDLLLNYDH >OB05G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8981467:8988931:1 gene:OB05G20220 transcript:OB05G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40760) TAIR;Acc:AT2G40760] MAIHLKRRQAEQIGHGLHATMQGLIWSAPLLAISSQGCPAVRDFLDLVFRELNTCSSFGRPQGLIQEKIIEGLNKPVALCGRASPSSSSSHWIQIATESRLDVMIEPNEIITQTGQAGAAEMSGDDDTGGIILAPEGINGSICGTPEAVEKVLKYIQADSCLKGLRMIQSPVTPEDEAIHHGHTSHSPVGAGEDAPFRWDHVRVKLKKEIVTLGDPGVMPTKMVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFDLAESDSQPSSGDDSITGGPLEDLGSRKSKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWDGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESHEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRGPKKLEANRTAEETKQFSSSV >OB05G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8989729:8990732:1 gene:OB05G20230 transcript:OB05G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPSPGRLFRFLPPHSRPQGTDIAAAAGWTVAGVSTAIWLVQLVDLAEAICVKQKSMEFQLIRGADVTVCTLDVFVIMDSWDATGLNLFLVASKEHKFQAAVEPAKKFRGGQLW >OB05G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8991038:8991673:1 gene:OB05G20240 transcript:OB05G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFNGLIQFKIYILQSYTGYTPRGRVLSADHLYAAPPRRRSAPTAPSAGNRPTPTAILLVVTAPSQPHSWPVPTPVPNPTLTAGCTPRRVCPAAGHTSLPIRHQSCPRRRRPRSSPPFAITANIVTLNSLLPKFHHGSPPLQYFFFSSSSPTAPRRRRALDSGGHGGVPQHAQDGEALQRRWERPPPLRSRLHAGVADHHGGRAHCSAKP >OB05G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:8998415:8998639:-1 gene:OB05G20250 transcript:OB05G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSNHQKGRGGEGVDEEEYPMLAYACCPCYVLSSVIRGIGRCLFVACYPAIQCCGLDECRHHHTTHLSHFR >OB05G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9007732:9010367:1 gene:OB05G20260 transcript:OB05G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSRLRTVRLEDTDQVGIIQATKHILKAGVQRFDVMFLGENFWELNSPKIPGTMLEWTDQGCTVPPSGLAPPCLKRSARLGLATKDTPMRLRAGNYCMNWLLKMTVDELELVVGYTIELALRIDRLHRIYVMLAWHYSQQKVLMGEHARASVYQSLPPLPLTAYDMCLDGEPDGGILGW >OB05G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9017799:9021218:1 gene:OB05G20270 transcript:OB05G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79600) TAIR;Acc:AT1G79600] MTRAAAATVFSPELLASRYGSRPVKVALRAAEVLSKIGAFGLKVFLDEQRGQSAAAVRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSSMSPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEDIIGLDFYLLRGLGFLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLESDVDVSPIVPALKNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLLDSYNAAPEFLKPLISSGNPAGPFKISDAEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSP >OB05G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9021442:9021657:1 gene:OB05G20280 transcript:OB05G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCSLEAPFVAKGKRERDKTPPVFPEHDPEKLQKSLNNREPLPHGILFLEENDSDEHDTSSVSDLILEMR >OB05G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9025990:9030105:1 gene:OB05G20290 transcript:OB05G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:J3M605] MALRTLASRKTLAAARPLARGVTTFTLPDLPYDYGALEPAVSGEIMRLHHQKHHATYVANYNKALEQLDAALAKGDAPAVVHLQGAIKFNGGGHVNHSIFWNNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENAAA >OB05G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9032141:9033469:1 gene:OB05G20300 transcript:OB05G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRVPPPPPARPGLPGRRWLRERVQSERRAVGALVKKAEALLAGRKDVDGDAAAGAETKSGACALPPRGKKSGRFLNPEAATDAETDEAASATKRRKTIITSPGVEMEVIEPTMSRAERERLYCLIASLSADLPWPPHIVELMQTECCCAVDPNGDKMEINLNSAKDATLFRLLNLLEEFAQQSKIQPRAEDQEPTKIKACVSRSTLCQLEDGELADEDADMEINDVCSGISPLVVEQEEDEFSRDASPVAVDKFPEPSRSNCSPSGSSCRDSSSSSGSESDDDSDSASSSSDEAEAKPLEVEQQVVTEVEMQEVTEQDTGVEPKPLEQQEVTEQNTKLTTESEPAAASSGSSSSSSGSGSSASSRSCSSCSSSGSGSDASDDDEDSASSSPVISNLPTEAAAKPLEQQQVTRQETDRGETSIILQLFIVLLFRKWLRRF >OB05G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9033916:9034167:1 gene:OB05G20310 transcript:OB05G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIARAQEKQQLRRRALERKRAREQLEEMERTARPVYEHIDPSVMKQLGITPKVEYMVSSEKSQDSERCLLQKLGLFLKST >OB05G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9034718:9038175:-1 gene:OB05G20320 transcript:OB05G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MPAAAAALSFPGALPPPVQLQPRRRLRRAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRRYRADPSAPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFERAPNRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYIRPVPYPNEVGLRMLIGGAAREAAILGFHITPLFSYYAYHGPIFRVMVKLHNGKQDGISNYGFICHCKSCGQSRTFGFDELGQITCGCADNTDPSSITVVGPLWTGPLHDTTFLTEMLSLATDWGWANTIENGVALQKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIGSCLEVAQEIRNLR >OB05G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9042947:9043738:-1 gene:OB05G20330 transcript:OB05G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHERFRLSHLMPNSWFYKLRDMKRPGARASQRNAIAAAARSSRRSSSSSSSSHHHHHYYYLHGHGTATPKPLPLSPQRSYYPYLLQPKPMQQHDRQFHIAPRAPPSQKSPAAAAGGEDDDDDDEFQYLQLRPIRTRPPALASSAESTCPSSPRLRSRRLHVLGGGRDRECNAGAASTSSGRRRRSGGGGGFAVVKASAEPARDFRESMVEMVAENGMRAPEDLLELLERYLSLNAREHHGVIMEVFRGICIEVADSDCVEV >OB05G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9048439:9048729:1 gene:OB05G20340 transcript:OB05G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRQETDKNSWLDTGTYIYFADLLIKGNPLVYKQCHAWQNTAMTIGKQKNRHNSIIYRIYIYTLHYAYVFYQSCIYYIHYKPKKKMKVSTTMSSSYV >OB05G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9048785:9049211:-1 gene:OB05G20350 transcript:OB05G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPLNKSIAFLLVIIAVAAAPASALPPAYAARPLRPAAWNAPASVDKYAPLLLSMLPRSTVTPSGPSGGTNGAGN >OB05G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9054902:9055204:1 gene:OB05G20360 transcript:OB05G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLRSRRSGGEEEEGACRSFESRLMEMLLEEGKVRDLQDVEELLRCWERLKSPVFVDLVCRFYGELCKDLFSPEEDAGGDHEGMHLAHTAAGGGGLSS >OB05G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9069298:9074405:-1 gene:OB05G20370 transcript:OB05G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGLSLVRRAAEAVRRTPRWQKRLVVLTVGVGSLTYACQDNQVLQINDGTGKKKVVIVGTGWAGATFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCRSDNGTNVDGSGEFVVDYDYLVVAVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVLKCFERASLPNLTEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVSDKAITMTNSATGEIAVPYGMAVWSTGIGTRPIIMDFMKQVGQANRRVLATDEWLRVHGCDDVYAVGDCATITQRKVMEDVAAIFRVADKDNSGTLTIKKIKNVLGDIYVRYPQVELYLKTNQMKDFHDLLKGSDGNPIKESKELDIEEFKKALARVDSQVKMLPATAQVASQEGTYLANCFNRMKYCEENPEGPLRIRGTGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWARSFIHGRDSSSL >OB05G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9079275:9079538:-1 gene:OB05G20380 transcript:OB05G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGLTTVAGMAASESSKAACHGHWEEGETLEVVYLLLVEEVYLLLRDAGGARRLHELAGDGEVCDNPNGGVKNWREKSSGAFFPS >OB05G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9079587:9079832:1 gene:OB05G20390 transcript:OB05G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRSNRFHHRSCHFYTQGHQSSRSGTCVSAIMGILSKNYKHHSFHHSWGHVFLMAFSSFHYRSPNSICNAPSLFLCLYG >OB05G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9094203:9096736:1 gene:OB05G20400 transcript:OB05G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGATLSTWRGEGSYISSNTLRKALRYISSGFGGWGEDINRRRKEKKGRKPALFLRPCQAISIASCGHLDEELEIEQLRKEQVPKAHPMLDFSRPFVMKSETSNSSNRAKMSPQQRGSGVEIVLFKPCALTMVQGECP >OB05G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9099657:9101027:1 gene:OB05G20410 transcript:OB05G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M617] MELEKNGNILLQRYEIGKLLGQGTFAKVYHSRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSRIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKAEFKCPAWFPSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLIRKNMETQASVTALADVNVIFSSMGSSSSKKTEEKQDAGKLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDAATCSKLTVKKKEGGVLKMEGANEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYQNLWKQDMKPALQDIVWAWQGERQDQQPEDHGHP >OB05G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9107533:9107769:-1 gene:OB05G20420 transcript:OB05G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVGVPKVGAGWSEVGGSRQLIGGRRQYQGRRKQRASGSLRQATMAACARRLPGVRESIVILVEESEAILVEESEE >OB05G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9111167:9112884:-1 gene:OB05G20430 transcript:OB05G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZA-guanine resistant1 [Source:Projected from Arabidopsis thaliana (AT3G10960) TAIR;Acc:AT3G10960] MANPIIPSSSGGDGGEARTKLGRLNAAVERSWVGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTVDDCDAPSPACKFPPVDAGYAACVARARRDLIVATAASSVIGSFIMGSFANLPIALAPGMGTNASFAYTVVGFHGSGTLPYRTALPAPAAVFLEGLIFLFISVVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRDTGVTVFPDTPAGDESFSYFKKVVDVHRIQSTAGALDFRGATHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGEFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFMAALFITPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWPDMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACDAVAMLRSRRKRAHGETTTNGAGAAAAGDNGEQRKNLEMA >OB05G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9128494:9131771:-1 gene:OB05G20440 transcript:OB05G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQFGGTLAPKLGEKPQLLPRSPALARVLCADPRFLVSKNSTGVRSKHLVAPTASLHSRTSSRLLNHGSSPRFRSRRGSRFIVRADADFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDESYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGSKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDILSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >OB05G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9141007:9141603:-1 gene:OB05G20450 transcript:OB05G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRKICSANFRCPKWTSPELRSLIGRMLDPEPDTRIKLGEIFDHPWLQLQQDRNPPFGLIQAAAPNHETLKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRARFVVPVADGSSVLDVIERLGREEGLAVSRKDGEWCGGVHLEAKNGKFTAFVRVNLLPKDMLIVEAERVNGSEIPKFWQELQFGHVFLKC >OB05G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9168694:9173307:-1 gene:OB05G20460 transcript:OB05G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSFGSAKENKGKMGPRVVFMFIIAVVLLGGGTSLAFDNAVGEKISNEEASLTMKVNPELCQLCEEFATEALFYLNENETQIEIIATLHQACSKFSSFELECTKLVDYYVSLFFTKVTTLSPEEFCESVSLCNKVAFIRLPRHEDACTLCHEVVDEILTDLEDPDMELKIIEVLLKGCNNAENFVQKCKKLIIQNAPIILEHIKKFLKKRDFCNSIHVCGGKTIPAGAGVLGTLSAS >OB05G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9173770:9173949:-1 gene:OB05G20470 transcript:OB05G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VETSTLLSYLIHPSTNHHATPWFTRLPSQLELINGPSWTPSKPEKPHYQWTSPSSDKSV >OB05G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9184281:9184984:1 gene:OB05G20480 transcript:OB05G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKMSSSQKTLRAAMMEERASAGTTSTMASRLLLAAPDVAVSNPSFRVYYGVASAGSVPFLWESAPGTPKNDAISDAALPPLTPPPSYFSGKKGPAANGRKLAKSSSSKRLVSSPPPGASFVHSIIPKLRRSHTMPSPNSSSSSSSSSSSSSSKETTAGEQVQCSAGWRKRLVTTASPRSSFSSTSRGEDDDGDVASPTSTLCFRTRHSTGGGGGAARRLHGLMASVVGGN >OB05G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9191040:9201597:-1 gene:OB05G20490 transcript:OB05G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIPVYGRRAPPPRRRTGDPRGITLLQRLGVGCALQAAAXXCAYLVELRRMRVIRARSAHGAHDTVPMSIFWMLPQYVLIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTVVDRVTRGGDGGGKSWIGDNLNDSHLDYYYIFLLLLAVLNLAVFVWVATRYEYKKEYLSDDAVVGTAPPETEMAGGKGKVVERSKVIDEVEVEGARAV >OB05G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9209193:9209626:-1 gene:OB05G20500 transcript:OB05G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGSAQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTVLREETVASVRNVNNWTGSVWMTPIAGAYLADAFLGRFWTFTFSSLLYLTVRTASIGPP >OB05G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9225750:9227461:-1 gene:OB05G20510 transcript:OB05G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASMHEKLQILRALTHSHAVNKMSIISDASTYIKDLKQKIAALNKELACAAQNANVCEESSSSSPMVRVQVVEKGFLINVLMDQICPAGLLASILEAFDELGLTVLEARASCSSSFRLQAVGAEQEADGVMDAHAVELAVIQAIKRFPGK >OB05G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9242345:9244834:-1 gene:OB05G20520 transcript:OB05G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAIPKITQALEGVEGVGDLEVLIEEGIGSVVLRKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFNEEVATVAGEDDEQATE >OB05G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9262692:9267639:1 gene:OB05G20530 transcript:OB05G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEESRQVHRSSAGWMAVDGEALRHPTAGRKKGGWVTFPFMAVTMAGLGLATAGATGNLVVYLVKEYHVPSVDAAQISTVVLGCLSVAPVAGAIVADAFFGCYPVVAVAMAFSVLGSPFTGIARVIIAAARKRKVNVAASGELKFYHGRRMASEDDDNFPPSNSFRFLNRAAVIPDGDVNPADGEAARPWRVCTVQQVEDLKAVLRILPLWSSSIILSVSIGVQINFSVLEALVMDRALGRFTVPAASFFVSSLFAVVVFLGLIDRAILPLWRRLTGGHVPTPLQRIGAGHALTVVTLYYQEFPPSLKNTATGMVAMIIALGFYLSTALVDVVRSATAWLPDNMNASRLENLYWLLAVLVAVNFAYYLTCAKLYKYQNAGK >OB05G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9267420:9274797:-1 gene:OB05G20540 transcript:OB05G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSPAGRADKATSELLLGPDWTLNIDICDALNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETIMKNCGDHVHSQVAERDILQEMIKIVKKKTDMQLRDKILVLLESWQEAFGENGGRHPQYYWAYAEIKKMGLEFPQRSPDAAPILTPITRPGSLEPYHQPSHGMPVNSSSRLDEAMSSSGPSLSSLDLERMLSTVELLSEMLKAVNPHDRRAVNDEIITELVKQCRSDQKKIVSLVTSLRDEELLGQALDLNDRMQTLLTKHDAIASGSPLPDEEAVVMNEAPAETTSTTVATGAPRAAVAAIVPTNVFDEEDEDEDDEFSQLARRNSKLRSRNAESTSSGVGTSLSTTHDDGITSSASSGASTVSPPVQCNALALPDPPAPVRTAEEQVMSDLLALTVSSNPSPPHTPPTPQAALNQGGFPAANDHPQPSYSNQGLGAAPYSSYVAPWAQPQPQPQTAGVELQQQPPPQSQLPHNSSPYPPPPWASEDTTESNPFIAASVPPHTSTSSSSVNSPPLNLRPLQQSHSFGVPPRNAVSQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKLGTSIGGGASSPYKA >OB05G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9292111:9294278:1 gene:OB05G20550 transcript:OB05G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASVPVPVPVPVPAAPVVPVDYTVLKRRLGGGGGGMEVRDASGVLAFRFAAAAAGGGRALLDAAGVVLVTVRSGEVMGEWQAFSGNSLDCRDIIYMAKLVSVCSNRREVHVFMPPTSNFQDTKPSYRLIGSTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTVLVMAMVMNFFLEK >OB05G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9306159:9307712:-1 gene:OB05G20560 transcript:OB05G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQDLSPWPKPSRGFRIQTVLVFWRSSSRQTQCSTVCDTVIDAAMLVLSSLSHAIEQKKKKIAFFFLLEIDCDGHALPPCASLLIISSCLLPQFGGSSCRHLTTDSNAHYIYSLHISVLQTIIVMTGTIIELLLSFLALCMHQTNWLRRGDILQFFSLCYYIVSACVFSIGI >OB05G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9307295:9307767:1 gene:OB05G20570 transcript:OB05G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLAVHPSLGLGAQLIAADIYVETAIETVGVLGGEDGHMKNHPCPCSTPGGGGGVHGWRGQAIAPVGSTMGSLSLLGWLHRCMH >OB05G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9307322:9307519:-1 gene:OB05G20580 transcript:OB05G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAWWRSRARAIPSMDAASSSWSGAWAWMVLHVPVFSTEHANCLDRRLNIYICSDELSSQSQ >OB05G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9326990:9331566:-1 gene:OB05G20590 transcript:OB05G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVSFKLVLLGDGRVGKTSLVLRYVNDVFSEKQEATVQASYLTKRLVVEGVTITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLVRLKNIDTHDAASYAESIGATLFVTSAKAGTGIDDIFNDIAKRLLEKRKNNSDGLSPAHPKKGILIIDDEPEKEPPPKCCS >OB05G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9336610:9338107:1 gene:OB05G20600 transcript:OB05G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADSPGDRQAGAIDGVELELGESNNAAPPRALPVVEEERPEPRTGRQRRRLLRQLRPASMARACGRWLRHPAHLALLAWALCVAASGSMLGLLLLGALDGAFPRKSARGRWIEVNNQVLNALFTLMSIYQHPALFHHAVMLLRWRPEDGERLGRAYCRKGAGDGARAARVILQPLPPEHGVKLASHHGAAVAPVNGVGVGVTGGDESPLLQRQQGRESAIASEEMTPPVPPLMPGATQQNS >OB05G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9369725:9372097:-1 gene:OB05G20610 transcript:OB05G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEAAERNERLVESLYAAVAAGDGAAAEAVLADDVEWWFHGPRRCEHMRRRLAGEDQAAAFVFVPRREQNLRGKVNYVRVWLTTTQREGIAILKVMVTNRSLKM >OB05G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9393628:9395245:1 gene:OB05G20620 transcript:OB05G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVCSIKKFVSLIKNLNEAQKEALERIGFSGLLAMPEITLQRKLCIWLAENFDITSQSIVIQGKQIPITISDVNSIMGLPSEGVEISTKPALDEDDYKYYAMYKDSRSSNISLGCLQEAILQDPEAGEHFIRRMVLFTIGYILCPTTKPYVSSDYLSLVKDVDKIKSTNWSSLTRDFLLKSLKSYKEGKANLEGNLPFLQFWFWEHVHVEEGSYKLSYSNRLPPLMSYWNEKNVSSRNKYDIKYGPGHGKVVFEIRIPEDSSEEFHSQKEPYEKYYHSMQQPCSDCPNSTFQNERFETQPRRYTFEQAECGLNPSLNELPTQISAHFDLLMDQILQLQRIIQFVDNKLTIKLLSIESTLAKSRKDIQELKYSMNMATRSIPADGVDCSDDNIFNEDNLRCSMLQAEKENNTMVPTYF >OB05G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9406697:9409800:1 gene:OB05G20630 transcript:OB05G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANGGAGAAGEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKSEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDETNNDEKQ >OB05G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9431753:9435807:1 gene:OB05G20640 transcript:OB05G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLNHGFTFTPPSFITSFTELLSGGAGDMLAAGEERSPRGFSRGGAAGRVGGAGVPKFKSAQPPSLPLSPPPLSPSSYFAIPPGLSPTELLDSPVLLSSSNILASPTTGAIPAAHRYDWKASADLIASQQDDSRDDFSFHTNSDAMAAQTTSFPSFKEQEPQVVEASKNSTVSSNKSGSGGGNGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNSCSMKKKVERSLADGRITQIVYKGAHNHPKPXXXXXXXXXXXXXXXXXXXXXXXGAGPDQYSAATPENSSVTFGDDDAENGSHSHRSDGDEPEAKRWKEDADNEGXXXTGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDLISINKSEKIRIQPVANPE >OB05G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9442947:9443426:-1 gene:OB05G20650 transcript:OB05G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASGKLNPWAEPFVPAGWSYYRCGGAAEEAEAAAEVEDFSPEWWRLVAASPTFRDRWLRDYTALGLLDADADEGLLLPDDDDLFAAAVLGEGEEKESKADLGFRGGGRKGEVVAWGINKWRRAHFSPPEAPRYAEKAPRRVAAGAARVSPRPIQQPR >OB05G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9450569:9457517:-1 gene:OB05G20660 transcript:OB05G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3M642] MGKAMASVSGEGGGSGGEGSGSGGISGRYVVAIGVLAFIYTTLQLVRHGVRLTGGQDLQGKVAVLVDFAGDQVMAYLLMSAVSAAIPITNRMRDGADNAFTDSSAAALSMALLAFWYIRVRVEWQTGLSMDPIMCDDDKMGYSTSPFPPSQGLNAKWPGVPLSAVPPSRVAVCHVGILPSQRAAGG >OB05G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9479895:9483036:1 gene:OB05G20670 transcript:OB05G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G51670) TAIR;Acc:AT3G51670] MLLRCAAWRAEFRADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGDRLARFLRWRVQVMERGVRALQLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFAMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRAGELENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEDSYTLCVERTRKVAAAADEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >OB05G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9496695:9508732:-1 gene:OB05G20680 transcript:OB05G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKELLCSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAVCSIQLQVRFSSGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEEKLRLQGRLLAQACNYAAASEIYQKILESCPDDWESFLHYLGCLLEHGLNLPKSRASEHTSLLSVDSPLALKTSLSKELVESRLANALSFVQKLQGNDTSECVRGPHLANIEIERQRRLSGNSTDRNFFEALVNYFHRFGHLSCAPSDVEIYLHMLSNDEITELLDTISRSFDTSSLSVKGLGLTITSFKVQELLGIFFSKSTTELQSIAKGMVETFYKSLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVWQYKVILVHLYSYLGALPLAYRWYVTLEVKNILLESASHHILPQMLSSPLLQQTADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKERLQHSMQYLSMRSDSIILSLKQKAESLEEVESIIENVNHGSRLVELSNRDNMEQFTFNEDLQARPWWTPTTNVNFLSEPFDEGSSPACFRAKVFEHKSIEKDDPKIKDAERKSLLPRIVYLSMHGCASSFRETEPNGSGLGTDAAEMKPLLEKYARSIGYSIDDALSVILGMSSGKKSVKDFAPDIVSWMSFAVFINAWNLWSNESVIPKADQSSPSSWQIVDSLVKICIEQLIDANRTLTSPGNSIPVLVQMITEPISWHLLVIQSCVRSMAPQGKKKKKGGPSERPNIPRLQATQRSVQCMIETLRSVQSWLSDQTRPEEQALDILMSYLQGGSDDGPGQIPCILEENSARHNPELGERFAQSLETWSSAGVIRRIVGAEKELLVELKKICDSKLKLLGSVSASLSSALH >OB05G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9527065:9532094:1 gene:OB05G20690 transcript:OB05G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSSTDVASRMLDGAARLPWQLLGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVKPPLVEEDKEFLDKKQKLQDWEQQLTTASQQAESLVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKHIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLSSLQLRIEKLEAAASKIFGGDRSRLRKVEELRETIRATEDAKCCALSEYERIKENNRSELSRLDRERKEDMLEMIKGYVTSQAAYAEKIVDGWEAVAEETSGYAARRSDDYNMAW >OB05G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9532399:9535536:-1 gene:OB05G20700 transcript:OB05G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRKTAIMPPPVEMPPVQPGRKKRPRRSRDGPTSVAETIKRWAELNKQLEHGSQGPKQARKAPAKGSKKGCMKGKGGPENTHCDFRGVRQRTWGKWVAEIREPNRLSRLWLGTFPTAEAAARAYDDAARAMYGPMARTNFPRQHVPAASAQVALATVKGVLPSGLSACESGTSTHVAPAAVQDVLPSGLSACESTTTSNNHSDVASTLHKPEFSEISSPLRAAPAVLVDGSLYEDKVGSITYDENIVSQQCAPPEAETSSGRGEEVFEPLEPIASLPEDQEDCFDIDEMLKMMEDDPMNKGSWQPNGEGLTNGGGVDPMGMGEIGQDEPLYLEGVDPAMLENMLKSFDSGPAWLSEDPAMFISGGFEDAEFFQGF >OB05G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9538956:9541765:-1 gene:OB05G20710 transcript:OB05G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARRKIQKDKGLEPTEFEDTVAQAFFDLENANQELKSELKDLYINGAVQMDIAGNRKAVVIHVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMSESA >OB05G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9542984:9547006:1 gene:OB05G20720 transcript:OB05G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDLSSISTSIGSGAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSTCDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDGITIDKF >OB05G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9548402:9553886:-1 gene:OB05G20730 transcript:OB05G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATEASAQMYAERLTELAAYLGFDGWLINIEVKLDIRFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITVKGDLRWQNKLNQYNKPFFDLCDGLFSNYTWMKKYPQESAAVAGERKYDVYMGIDVYGRNTFGGGQWNTNVALDILKKDDVSAAIFAPGWVYETKQPPNFLTAQNRWWGLVEESWGVLQSYPKQLPFYSDFDQGHGYQVSIEGLKVSSAPWNNISCQNFQPMLKYAIDQGLHTVINFEDEPYSGGNCVTIKGSLQQNEIFSEQLFNGGLSMDGGSLHVFYSVKADARSGLGLTLDLSHRNKENSSILIADDTEAFTRKEQHRKYGSYVKADKADPHIPAGQNWVIYKATIHPSTSFTLTGINVVSTIKTTGRFDPETDGEGSSEAGANRSLHYHASLGHISIRNTEKTEFPPAKSWVTEGENIAWSNSTDSSKLVSLKISWKLNNEQQASFMRYNVYVEKLTAGSNAKASRIFLGVASVQGFYVSDLQVPNEVSGLKFVIQPCGLDGSCQELGECPKFLLVPVDSAV >OB05G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9560187:9561221:-1 gene:OB05G20740 transcript:OB05G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQRDGGFRILCNGGTNADDE >OB05G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9590284:9593649:-1 gene:OB05G20750 transcript:OB05G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSSSEDASLDLSFSEDGRNGTFMIGNESFSASLLDLPTVVESYKTYDDSVLIKTADIGQMIMVREGNDPAPEGVESKHGLTPPMRDARRRRFRREPDLNAELVHRVEKDLIHIMHGPSSNQNASAILRAGEGGDRKKAAPTPATKRNVQQPAANGEEAEPERSDSDESVDP >OB05G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9607635:9608555:-1 gene:OB05G20760 transcript:OB05G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGGGAAVSEDAEAGPLSSSASRQAEKAEGKEGEGGQQPAAAGGVVRGAAAVVQEPQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRGAAARTSSSSSSTWTSSARPRCTPTAAPTSASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRRPWRRPPSSPRTPGRPKARPRTTTTTTKPPSPASIRTPRRRHLLLLHQQQPVSVPVLVLLLLRRRGRRRRRRRKDQLRVHDKCRDRQPLALSIPSPPKF >OB05G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9672409:9675574:1 gene:OB05G20770 transcript:OB05G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCRAAAVVTVLVLFLQLQLGGGSGSGSGSGHGGGRHVARRLHLLRIYLTCSIIGRLIHAANHGKKQSLQQSRHAGSKAGWPNPPSSSASTTTTTPANPFGLPMLLPPPLREWPPCKVVTVRPWATGLSGQLQKAFVTGVPALKRAELEAACEDFSNVIGSLPEYAMYKGTLSSGVEIAVVSTTKTSSKEWSKRCETQFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLSEHLHARDDGHLDWATRLRVAVGVAYCLEHMHRLSPPEVVRTLDASTVYLTDDFAAKISDVFFCGDGGEEAAKPAMADRESVVHGYGMLLLEIMAGRFTASEGGLVQGWAASFLRGEXXXSPGGSGRSPPCRRTPPPPRCRRSGGLSSRSSPPRPPER >OB05G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9676334:9679328:-1 gene:OB05G20780 transcript:OB05G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLAAQSADGNLRTVAEGNLKQFQEQNLPNFLLSLSVELSEDEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKIASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEMEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNIAKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPTSANPIITTANLPRIMNVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNVPETSGIIGQLLQEIMRRLNLTFELHILSSSDKEKQSDLQALLCGVLQVIIQKLSSSDAKLIIAQTADQLMLLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMSVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAGLLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGPSSKDLFKSNLFHVEFLRECNDSDDEVRDTASWAQGMINQALVS >OB05G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9689139:9693040:-1 gene:OB05G20790 transcript:OB05G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGLRPGFSLVEKAVALYWDRGERGSAVEFVRDVLRRGGLGAGGEYATAADGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLKPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDADTMHSIETYQSKLLRDGVLLSNWAIQEGSSDVLGLVRERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFYLDASETLMKMLEMGLCPEYLDRAAVLTALQRNIQESDSLESYLKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >OB05G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9718980:9723675:1 gene:OB05G20800 transcript:OB05G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNTPMTCEGDYETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMPTSLKKEPQQAAASPDSSEPSSSGTTK >OB05G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9724871:9726906:-1 gene:OB05G20810 transcript:OB05G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPASSPSSSSIDDGASGHLSVQPRRSGTLALTSSSSPFYFRKFLAGRWDWGMLPGPLLPPPPPELVVYPSVPSLPPSATPSSPSIGSSIAIVVLVVISTAVVTIAIVVFRRSYHRRRRLSFSSFSPRCSLSPRASSSSSSSTMSQMWRAAVAAVGSSPRASASSVRAWPEMAVASSAPGDAGKAPAMALTNSAQGAVQGAAGLMTPSATPAAAMVPPMLVPSAPSLPEVEQVIMDLISLQPSPSKTMMNNSTAACFFCKQVLLPTEVLLTLPVCSHMFHQRCIVGWLRSRVVMPLLRCPLCHDSMSIRCSNPAPTFCLDEYDIESQTLVAPAPPGEEVAEAVGGSRGWLRSSLDRLSDSWRACSGSRATAAVAPGSRSSSSRRTTGSWSLGSSGHLGADVSHGVLLQTQVKLPLPVLPADEEVAADAGGSRGWLRSSLATLSGRCTVFPTSCSAAMELPVSSYSSRRTTTGSLELSSRGDGGTGSWSRWDPEAAVSEPQERPSVLGYARWLFRNSGK >OB05G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9727371:9728367:1 gene:OB05G20820 transcript:OB05G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDNNGSETGKSPSKVLQLRRKSVFRLLTKIPENVANCVSCADLAGCVEYPVLSGRRDARVSLDEVLVNNVPGPTFNVSDLVESFARKGLTASTTSAARPAGQTRPSTRRTPSSSSTAARRRPERPHHRAARPGDADYLRQPVLQELRLYNFSGEAGRTDPAIDPAYAEQLKYRCPPATDDQNDPTTVPLDPVTPTAFDNQYYKNVLAHKVVLHSDQTCSTTVEGRPRQVPPPPPTRCAGRWEPARACDEGAEHGRGGLR >OB05G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9732834:9737325:-1 gene:OB05G20830 transcript:OB05G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGEPSEPRDFAPGADTWGQPDGLAAQVRWRYVRGLQVILQRQLEALVERHRVGSLVAGLAGTIWLRWVAASKVFDEMWAQNVLANAAATESQMPTACEDDHNTLDLKFVLKTNARKNQCRVEFLFLRSLRTMLPVYSTLAVCFLACHIARETILPTDIYRWVMEAKLPYMAVFTEVDRLLGSSVNHCSLDSRQLFRPTRVIGAWQLEAAAGSIAERIGLLLPSVNFYSIAQRFLKVLSLPIEKILPHACRIYEWAMPAELWLSSNPARVPTRICVMAILIVSLRVLYGINGQGIWESIAQTETADGSDSEGSPPHSLKPDNSSNEHKEFDARELLCTLATSHDKIDVGHDYSKELHSYLKYCKEVIFTGITFSLEEEHIVDIFWDMYKGKEVMILDENAKLSQEEMRTTNGVNKRCRDGTFADAKCFSAPPRNSALQSIKSEMEENGFCYMPPRKRLFSDGYLHYRRKVLYGSLNYVVHADYYILLRSFAKLAEVDVRILHNGVLKLERRLALIEERIGRSLNTLQNLHGEASDELVSD >OB05G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9738804:9741433:1 gene:OB05G20840 transcript:OB05G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKARTKTTRSSTAGRSRSNNGTAASSYSPYANAASANRVSLPNGVADGGGSATGEDHSNHILPEP >OB05G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9741572:9741772:1 gene:OB05G20850 transcript:OB05G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPFCTASTTAGRLLVPVTGNNDHEQIGNTVLLSPCGLLPAFSVTVLLSPYRLFFFMYACFLNG >OB05G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9757109:9757890:1 gene:OB05G20860 transcript:OB05G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSNDDAALGSGGRGVGGERKQQAAGGGGASGKVKAAGKGGPENAKFRYRGVRQRSWGNTASTSTVTTTTTPPPPPQPQHDAAAAPAVLGAAAVSSTAETTQPVPAPDEDASAAAAAAEAGWGYHGGDEEDYAAALLWSEPDPWFDLFLK >OB05G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9770810:9773509:1 gene:OB05G20870 transcript:OB05G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGEGSASGAKIKGSWSPEEDDLLRAAVARHGPRNWTAISEEVPGRSGKSCRLRKSKLLVVKLGNDDLGVVVSL >OB05G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9776335:9787160:-1 gene:OB05G20880 transcript:OB05G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGPYSAASLERPGSFRESGDSYAPFPVSGSSRSPVVDSATLLQSLAMDPRTSTLEQKTSRLDVKKSISSIIGTSPEESASTPCIGRNFSPSFEEIKRMKNNLSDISNKARERSRAYGAALTKIERCCPSILRKRSRGDGSSNERSTTLLSGGLISKMAPQGHLNADDTELGSPRGEERIKNAGQNRRLRTSMAEMDARTTGLSRGLGSTDRSADPGKVTGGGTAVPEEKNRGLATSIDGWEKPKMKKKRSAIKADMSMTGPSRTVDVDREQKQGMQHKFNTDARARMTNSPSFRSGTVSSVSSIGKADFGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEMSAPKANNKSNEDDGGANATSVPKANGSTRGPRSNSGSLLKSSPNVHRLQANSDDWEHPSGTNKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSITEESAGLPRRASVNCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRTGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASVDKLENAPTTKQRSVRTVSERNESKSGRPLIKKMSERKGNARPRHMSSNVQLDSPVQSEDDHEELLAAANSALRSANPSPFWRQVEPFFSYLTTEDLAYLSQQMHLLDDSTVSRSIEGDESRKYKASLEYISQPSTPAGSNKDDHSAVPNGYALNEIENDVGIAWEASCIEPILDQLVQGIGVRGGASVGQRLMQALIDEDKVDNVTNNIYRSEAYPFDTHEIHFEEGGWKSHSQGYKLDSLMNFEAAGKGSNGLMLDSDWKYHDELSHKSNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGILRTKRESQHREFSQRAMERLVLTAYERYMAFCGSSSSKNVNRAGKHAALSFAKRTIARCQNYEDAGTCCFDEPPFKDMFVSATSHRSDPDSTSQDNITIPKSVQRASASDASRASSHLTDLSFAKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHNRDGGRSGRPSSSNAKGERNAKGERKNKTKPKQKTANISAPVSSAPTRDPQSQAKITPSGNGRDSNTSSAARHEEPANASNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >OB05G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9793498:9794007:-1 gene:OB05G20890 transcript:OB05G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKWQITNHQSGGSKPTRTSHELDLAHHNGANGAGGRDGAHKVTSEPVLAHGDGHPGHPEPQHRTHLEVPVGGRVEGGYRRSPGSHRLATLAASSSFSDPPSPPSSSSSFVFLTRQGGGGHGWEWRVPASSSGQEDEWGWDFSARAQPIHRRGRRLAMSPTTRGGGVE >OB05G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9795963:9798708:-1 gene:OB05G20900 transcript:OB05G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPAVVDRREDEEAEAEGASAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVISSTKMQEHAGSDKSCVWHAVDFADGELKEEMFAIRFGSVENCKKFKDLVEEIAESQSKTEDKESEEDSSAAGLLEKLSVSENKSEEAATKEESADAAKETDSKPAATSSE >OB05G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9804118:9807782:-1 gene:OB05G20910 transcript:OB05G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G51800) TAIR;Acc:AT3G51800] MSSDDEVREEKELDLSSSDVVTKYKDAADIINNALKLVVSLCKPKAKIVDICERGDSYIREQTGNIYKNVKRKIERGIAFPTCLSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVGHTHVIHDGPVTGRAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHPLQEFQPKSIEDNAEIKAWLALGTKTKKKSGGKKKKGKKGDAAEAEPMEEGSNDANKE >OB05G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9809461:9816222:-1 gene:OB05G20920 transcript:OB05G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGTTIVVTIAKPSKTPPAAAASPLTRQSDHHFSSTGGGEANITGEPLTIASATTVVATTKPSKPPPTAVVSPPTRQSDHHFPSSTVLEEEVITAYIYCMRSQFGMVDKVYFENTYISYMLKRDGTIGVIEHVDGTKKHLTMIVANYLKHELILVILDQNTRKLYILDPNPFNPIYKNNPNVRYVKKLLCLVEHFRKVMNIECFRST >OB05G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9833554:9836619:-1 gene:OB05G20930 transcript:OB05G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVKLSPGPVAFSGTNLRSKTASVPSVSGLKPSKFVVSSLRPLYLAPLDGPGAAEQKPRRKPLEFKCAASAADDKESKTEVVPIRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAISMEGPQMWAAGWQKALAEVGSNVVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAATAILGTFLYSQAKQ >OB05G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9838808:9839101:-1 gene:OB05G20940 transcript:OB05G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQLATSINLFLYGACKTVVGGSRLIDALSSDSRSSAVDSYKEFAIIPGDLLKTNATSTKSKIDGMLPNGGNDATTRCLRPCQAVYEWRRHRGKERSA >OB05G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9840107:9845292:-1 gene:OB05G20950 transcript:OB05G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G51820) TAIR;Acc:AT3G51820] MATSHLLAAAASTAFRPPLLGGLRSPPPSSLRLNRRRHFQVVRAAETDKDTKPSAPEKAPAGGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLACGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVILTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLWITGKPYYALALLGLTIPQVVFQVQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >OB05G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9856677:9857017:-1 gene:OB05G20960 transcript:OB05G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAPTHIPSVGAPTPIPSALPSFFGPVEAEGSVATGDGGQFDTEADTTMSVVAETHLDITFDEVSRIAELIDDKVAVLVPGWMPRPTTDDGDDPDTPCHAQKFLT >OB05G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9862715:9863143:1 gene:OB05G20970 transcript:OB05G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIIFFITEVLRYEILFWNGIIPASYQTRYLIGIRSDTSPSHRSHHHPPVAAPRHRRCHCRRCCRGHHQPLLVALTATVIVVATVIVAVTTTISTVGPRRSGIPYYSISVFIIMSGSLFMLLLLIIAHVLLCELLLLNNIL >OB05G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9873387:9874823:1 gene:OB05G20980 transcript:OB05G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEPDEDSSEQEMGTFKKASEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPSDASAQATIPISEPQPSDVMAANVKDSCSSEKADEGSNGSGKDTLSITDKSAGSSEVAEKENDELAVKELDEKTKSSDPTEPPPQPVETNDESKDTGDGSGEDKVVVGEVREDNSKAFDVEDKTAKEGDAEEKNGVNEAGAEDKISKVDAEKKDGDESEAKAGSSEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGVKTDGSSFPSFNIGASNNGTSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYDDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTGIREEFKAAVEMHKARKASDTLKTPENSPKASDD >OB05G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9888863:9896959:1 gene:OB05G20990 transcript:OB05G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVVHVYADKDYTERLYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNSDREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNISLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYYKRGPSGNDIHKTNVPHIRVQFRDLIWRDEMRLVYLNNVILPDEVDQ >OB05G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9904125:9906174:-1 gene:OB05G21000 transcript:OB05G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPGQSRVWQRWNRGQGQRIKVQHSGGGCLAKRKEKFQNYLSREGERLRQQDRAAMGKN >OB05G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9906451:9907062:-1 gene:OB05G21010 transcript:OB05G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNWSLLSSTVVIWGGVATAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHPTPVDCCLASVSIDAFSLRGFVGVSGGSGVKWPVGRKSRFWGQIFFVLELDCVNCRMFNCNFHEESLAGRRRQITSRTRK >OB05G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9908056:9930952:1 gene:OB05G21020 transcript:OB05G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIGSRRLTVLREFRPHGLAVEEADGEGVPPQDYDYFLFDPALAASPAPDPGEEVASSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMACWCRMDTTRDALLCVLQADILSIYNVNGEVASIPLPHAVSSIWPLPSGLLLQRSSDGGRVVLSSTSLLKTRDVIRPNKEFGLSYNVSSQVNTLETVSKADISSHLILKHPLEEPQATYFDERGRLDVMKDFDEKAIWTSDIIPVMASYHKGKFQHSVWQIDGTTYQETMDGSAASLIPYDSSMHKFAFRKIWQGKCSQSAASKVFLVTDIDGTPMICFLLHEQKILLAVRIQVDEIIGESFSDIKPHMSWNIPAFAAVPVVVTRPRARAGILPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTDFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEIEKICAKYGQISPAKSSKSPCTAWDFLINSKHHAKYGKLSPSSCTSFLPVPCTTSSTDFHSFPQDGNSTDVSFYIRFVREILDTLHALYENLKLNILRKQDLACLASLLCRVASSLGEKSYVDYYCRDFPHNLFEFHSLSSVTALRSPPCLFRWFENCLQYGYHMKTSDDIPALMCKEKGSAVSWGRKVVSFYSLLLGAERKGKNLSSGVYCEVASGSARSTEELTVLTMVAEKFGRQQLDLLPIGVSLVLRHALDKCREFPPDDWPAPAYILVGRDDLAMTKMGSGRKENGFWNNDNLTSISVPYMLHLQPVTVPTTALDVPSSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVVIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKQLNASIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLTAPNTHFDLQYVRPDFVMLRIVARNLILWSRIQPTKDWVESQIPSFVKFGVTNTSQEAMDNDEFDTEALFQAYVNIITGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHVSVQTQSILLKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQIFRLLRYLRGRSSAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKKIRVCGPRYWTQVITLTPEDKPWWKSGDKNDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVWDTPSTSCSNQANSGTHSSFRVDQLVSTFSANPSLIAFAKLCCQSWKHRHNGSFEEFCSQVLYECMSKDRPALLQVYISFYTMVETMWEHLRIGNFPFSDSLFLCSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDNFVNYLSKGKWPDAQNEAVLLSCYLQWYNIPPPHIVSSAIEKVKPRTRSSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGMALH >OB05G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9933035:9933292:-1 gene:OB05G21030 transcript:OB05G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLQTHNTNTHAQPIGPIGCLASQLAIYSCMSHNTIRYLPLAKCSCSNSQPNQDMASAMSRHTKSSDTDNMQGASYAYNLDLRF >OB05G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9934541:9936222:-1 gene:OB05G21040 transcript:OB05G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDSLNRNQWESMVCLVQSEAPWEKKSLVKNDSLNVFHLEEYNATIEFYWAPFLVESNSDDPNIHSIVDRIIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPEMKILRGGSFSKKPVKYDEMERVAAYRKVLKTWSRWVEKHVDPKKTTVFFMSVSPVHMQNEGWGRPDVIKCFSETQPAINYNKTLELGTDWDLFSMAQRVTKAMKRVPVHFINITALSEIRKDAHTSVHTLRQGKLLTRSRRPTPASLRTVSTGACLGCLTHGMSSSMATLCQALKGGQLSRLRINLRDNSLRTVYLESSRSFSEQKMAVEAINYFLGLGIYAVHSPRV >OB05G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9977287:9986121:1 gene:OB05G21050 transcript:OB05G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREELPWENLPKRIQAALVSKDDWNRRIVNYCIRKRLPWTSSYARKVCKEGEYYEDLMRYLRKNLALYPYHLADYICRVMRISPFKYYCDILFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKIMWKLSKSIAKELLPALPVDQATEPWWTVRFVNFTLEEFKKLSEDETSAIDKISKEEVNSYVLFDPNVIDGLYKRGMVYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDTESILRDSNSSTIPSNILTNDDEGSHMSINSEKSGHELLNNDSDGPRTISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIVDLCNDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGIAPDETIEANNQECSIQENFTKTSSKENIDEGIGSVDTSEIDHYDELSQAVQPMVNSDAADQYSSSPSSIVSECKKSTDNNDSYNSKTSQLNGSTNINPLSKTKRSYRVNILRCESLASLSPSTLERLLLRDYDIMVSMIPLPYSSILLPSTTGLVHFGPPSYSSMTPWMKLALYTSSSCGPVSAVFMKGQRLRLLPEPLASCEKALIWSWDHSVVGGLGGKFEGNLVKGCLLLHYLNSMTKHSAVIVQPLSTNDLDETGNLVTVDIPLPLKNIDGSIVSTIASIGLPEEQILNLSSLLYNLSSKIELGTVGYLSLVRLHRISKSNEIISKNGNYEWIPLSLEFGIPLFNPKLCERICERVVESCMLQKDDISEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGNSKNSPRQLINIVSGRWSPFHDTLTPNQGGSPREHDRLKVATRQKCFTEVLSFDGSILRSYALAPVYEAATRTVHEDQPTTPVAKPEPDDADTKDVVLPGVNLIFDGAELHPFDIGACLQARQPISLIAEASSSSLAMK >OB05G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9989613:9991190:1 gene:OB05G21060 transcript:OB05G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRRWWGTRRRGQIRATRSTLAAFALPSQTLSQPGLTRTSGGRPHVGRVCAAIADVVAAGADANLEAALTALSPPLSEALVLAVLDRFKHAHRPSHRFFRWAAASGGFAHTSITYCKMVHILGKTRQFHSMVALIQEMGKEGALCMDAFKIAIKSFAAAGEIKNAVGVFEMMKTHGFDDGVESFNCLLVALAQEGLGREANQVFDRMRNRYTPDLRSYTALMLAWCNARNLVEAGRVWNEMLENGMKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKKGKMDMAMRCFEEMQDVGCEPDVATYTCLLVGYGNAKRMDRVTALLEEMTEKGCPPDGRTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHQKGICPDVNSYTVFINGHIRHGRPKEACKYIEEMIQKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHHWAERMKKRVKQTVPNQTESRTL >OB05G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9992923:9993204:1 gene:OB05G21070 transcript:OB05G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGFSTLDVNWFHPLLNAYYKYDLCVRNARYFTLLMNIVSSLYYNYYSVQFYCCVPFLALYESQTLLLSHSTWETFLVYQVVIDSNLGLGW >OB05G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:9993404:9996966:-1 gene:OB05G21080 transcript:OB05G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHHLSSSSESSPPPFSSSSSLSMRQCRICHEEEDECCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSILCEICLQNFESDYTIPPKKVHVVETAVTVSDEEMLPEEPLEDQELYAASEAQTGHGDCSSWCRSLTITFTVMLLVWHLIAVVTIEAADHCAFSLMTMYLLRAAGILLPFYAIMRMVRMIQQGQQQFRLQLLQDQRRRNAPNLHSMHGQEQQQLVISVH >OB05G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10007839:10008677:1 gene:OB05G21090 transcript:OB05G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMDRYMATAADTVVAKARNICTAFPNVKVETRVEKGDPREVICGAAEKAGADMLVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVVVVKRPGAGDGKAQPQS >OB05G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10008814:10011593:-1 gene:OB05G21100 transcript:OB05G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:J3M686] LHYPSHPRNPSRRRPPVLATSPDAGGVSERSEMAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >OB05G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10024233:10026230:1 gene:OB05G21110 transcript:OB05G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFHPSPSCGCCLALAVALCLLLPCCFASKEALAMFEKACHCFDDHNVYRECKEEMRLSVEGAFHVGKESVDDYCGGPCLMETKMALQCVEEVADEGFRFYSGASVPAVKAALDTGCSYTPERGTFEIRERKQCGDEYYHYSHHEQEQQQTTGYNYGDYGHHGQPAAGYDGGQQEGEYPTSVPEASDYCYGAAGVSSLGLCCGLLLQMLLLSSVSMVLLLVI >OB05G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10058048:10058441:-1 gene:OB05G21120 transcript:OB05G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENFLKYFAAIPHLYCFALILDPHKKLKIMKIAFESIGDVAGLDYSEAFHLYRTKLGVTPQVPKQTTQKKASKSSALNLWKKFKVNDQTFPSSENRSKWNSDSELNHYLNTTHTDHDLMLDGDDVNFLA >OB05G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10084347:10085209:-1 gene:OB05G21130 transcript:OB05G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDQQHSVFTAVEYNATVEFYWAPFLLQSNADNAAVHRISDRMVRRGSIAHHGRHWEGVDVIVFNTYLWWCTGLQFRILDGPFDVGSGSGNTSETTATSTWVSTEEAYAMAFREMLQWAREYMDFDRTRVFFTSMSPTHGKSQDWGGAGNGGNCYGETEMIGDPAYWGSDSRRSVMRAIRDVLDGDGADVPLTFLNVTQLSLYRKDAHTSVYKKQWTPPTPEQLADPRSYADCVHWCLPGLQDTWNELLYAKLFYP >OB05G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10092584:10096144:-1 gene:OB05G21140 transcript:OB05G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINAAPMRDTFLGGCILPPYSTLLYSRLLSFPFFPLLSLTIRQHKTEEFSPFPISPFSPLSLSLSHTHTISTHTRSLLFSSLLTHTHAHALPPHPWPTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAAGGGGGGGGMWCFSDPEMKRRRRVASYKAYSVEGKVKASLRRGLRWFKGKCSEIFHGCRLNAALLCLPVACERYRLSNVCQGRPFNTFVLYLMLIVESLTAWLSRERNEGS >OB05G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10104571:10110515:1 gene:OB05G21150 transcript:OB05G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56310) TAIR;Acc:AT1G56310] MGCSKAEAQEDSESNQYNEERSLCLHSFSDLSHVSAATFMCLLKDCYLYGTGKATPKFKILQQQVKRALHNAPQPGPFTYVVQCMYIVPLLGKTYAEGFSHMLISSLRHLKSVESVRKDFLDAKYLAAQLILDILASIVPHEERILVKLLEAFDIELRDMAHALYGTELGDEDLVKAREHLKQYVQCLMKSESYVTAVNLITRFSIQCCDESFLTKLMENNHFEAAEKWAVFMGKEMICLIIQKYLDIKMLKSANELVKQHDLTEEFPDVNYLYKESILKKLAEKGCWDIAEVRAKKETKLLEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLVPEEICCGSDYVDLKKLILEDIIWVDEINGLLNAISYIEDCKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELKCFQSYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFQHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSPLRF >OB05G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10130748:10133270:1 gene:OB05G21160 transcript:OB05G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G47490) TAIR;Acc:AT2G47490] MYRGLSPTILALLPNWAVYFTVYEQLKSLLSSDDGSHQLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGVIPYKSTLSALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSEARYKGVMDCIRKVYHKEGVTGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDVFPPFPDQRPQPLKH >OB05G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10138739:10139008:-1 gene:OB05G21170 transcript:OB05G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAISFSAASASERGCGASAVASGGRAAAPGLGGVEGLGTQGRVLIFHFPFLEGNDGPFCRCSILSPRLRFLIVVFPFLEFMVFSLAA >OB05G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10140671:10146632:1 gene:OB05G21180 transcript:OB05G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSAGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDNLARQPNSLVISCNLQLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPTRISDREKKAPLKT >OB05G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10152112:10155267:1 gene:OB05G21190 transcript:OB05G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylated protein-converting enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) TAIR;Acc:AT2G36305] MATPASFHHLASSSSSPPPPARPRXXXSAMAVSYVAVLYAPTVVLRLPPPTSLRAFLHRRFACAAVSSAASVLATASLLRVWSMGDSADALAVFGFRSDHLLQAVAIPLLLTSLVYAGSFVAGLWLLASSSGRDDGELEVGFAQRLAHWIRVAVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLAHLNHLFELHQQGRNFMRSLLIVGIQLGYTVIFGWYAVFLFIRTGHIVSPIVAHIFCNIMGLPVFSSPRTKGVASVAFLAGSLSFFCLLFPATIPKLYNARLDQCSCWHGYCRWS >OB05G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10156683:10161141:-1 gene:OB05G21200 transcript:OB05G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFGFYWIREYDCRFPDAADEDEHQEQDKELGRPGIVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHRQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNYLEVVHLPVYYPSEQEKEDPRLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNSLPRALHQKDD >OB05G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10164883:10170289:-1 gene:OB05G21210 transcript:OB05G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSAAARRLQSRYDLYMGFDDADAAGGEEMEARSGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVCPICATRVGVDLIGHLTMQHGSYFKMQRRRRVRKISSGSHSLLSLLRKDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDPSDNNFLLNKFPDEKTVERAEPSLSEKDQKERARRSKFVRGLVLSTIFEDDSL >OB05G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10175506:10178751:1 gene:OB05G21220 transcript:OB05G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGDLVALLDAVVGVGEPVFVVAHDWGALTAWNLCLFRPDRVRALVALSVAFTPRSPARKPVDGLRTIYGDDYYVCRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMMPKSGWGSPDDEVPLPSWITEDDIKYYTSMFDKTGFTGGLNYYRALNKTWELTAPWTGAQIKVPAKFIVGDLDLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMEGVGHFINEEKPEEVSEHIISFISKFSAEK >OB05G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10194551:10199815:-1 gene:OB05G21230 transcript:OB05G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase [Source:UniProtKB/TrEMBL;Acc:J3M699] MAREDDKAEAMEIDGEHQQAATTAVPDDFNADYLRIYYGKLFPHGDFFKWLSYGNDGKHPGCDESYVGRREFSFTLENDIYLRFQSFDSVAAMESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCIDCWPLMIITIKILDASLRDDFGFNHILWVYSGRRGVHCWVCDSRARKLNNEQRAAIADYFRVYKGGENTMKKVSLPGAVLHPFLARSYTDVLKHFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSVSKEDVNATRWEQLKSALQSGKHKAQGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPDNCDDFDPTTVPTLSQLLGELNAAGHHTDSENDWERTSLEKSVRFFRASFLQPLLKACKEELESAYNAKLQQSKNTLTW >OB05G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10203554:10206410:1 gene:OB05G21240 transcript:OB05G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQVNCAYEIMRNIFLNLERAAFCSMEFSSLSVEPCEGELIIVTVFEIKEEEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYDQRYGRYNIDRIWRDDILPCRVYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >OB05G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10206232:10209471:-1 gene:OB05G21250 transcript:OB05G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLQGLARSLSVGKERKAGDDDATAVLRASGAVWGEGSETLAAVCSRRGEKGINQDCSIVCEGDLLVVANVGDSRAVLGTAADDDDAASGAVAAVQLTVDFKPNLPQERERIMECNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDCGVISAPEVTQRRITGRDQFVILATDGVWDVVSNEEAVQIVATAPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >OB05G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10208762:10209469:1 gene:OB05G21260 transcript:OB05G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTWRERERGRERKEAEEERLTSQTMEQSWLMPFSPRREQTAASVSEPSPHTAPDARSTAVASSSPAFRSFPTDSERASPCSSDEMCRILAARRAGHPPKRNRSSSSFFFFLLDLSLTSPLPSQRQSLLSLSLSLGWASQRQVESSTSQPPRHGDGQKPWRRPPLLPSSVRFHLGATLRIQETASYPPIPDKAGRADQFQEPTNQSRTHGQEEQEQEEMSRGVVRDGRERYVCPS >OB05G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10237794:10251102:-1 gene:OB05G21270 transcript:OB05G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALLAVGGGGHRYERMPRGPEEEREGAAARPEVLAASASFRLGGEAARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQIQMCQMCSEKFHCFYQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPAQSEEGSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDHKENCCSCGLFNCCKSSWQKVWFVLKPGFLALLKDPFDPKLLDVIIFDALPHTDISGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRARSSSKVKDWVAAINAARQTPEGWYYPHRFGSFAPPRGLMQDGSMVQWFIDGQAAFEAIASSIEQAKSEIFITDWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQECYVGGLDLCFGRYDTPAHKLSDAPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDADVKKPVSVDSRASCQDIPLLLPQELEPQTLPNGDLRVNDLDINHSDLLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDDISSLESSSLRHFDSSKEKMYHMDKNWWEMQERGDQVASVLDIGQVGPRVTCHCQVIRSVGQWSAGTTQIEGSIHNAFFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKVIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKANDYISFYGLRTHGRLCDGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVTSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLTHSRVQFRQSLAHWRDKIGHNTIDLGIAQEKLETYQDGDLKGADPMERLQMVKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >OB05G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10257490:10258044:-1 gene:OB05G21280 transcript:OB05G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGAAVAPASAAPVFPFPAAADADEPWHFSDYGSGHAVKAPEAHLQETAPAEAAAPPVVELRRLRRDPFLQARLLLLLLLXXXXXGGGAAAAACACWAPAMRSGHLAVSELGASASVLPYVSLRDSAGGGAPAMPIYLVT >OB05G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10266150:10267118:1 gene:OB05G21290 transcript:OB05G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGGGGAAGDNGGSGSGQWAPHGREVTAGLVVLNVFVVMLIYYYLWRFFSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRRRSPSRRHHRMPMMRAQTTVQCDELKGQINSTPSKLQRSLILLR >OB05G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10284893:10285441:1 gene:OB05G21300 transcript:OB05G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQSGSSDPAAAAVDTSTHWAPHGAVLTACVVGLNLLVVVLVFVYFWRFFSGKRGPPSTSLAGADEESSSADTSPAGSPRASWRQLPGWPAASQRNEDIASSLPVSVYSASDVDAGGHDGKAPECAVCIVEFRDGDLARPPPRGPRHHRRLRVRAGQERRPTKGRRRRRRRGRGVPGVSV >OB05G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10293579:10294478:-1 gene:OB05G21310 transcript:OB05G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKCRVLNKLISKLAREIEIEEDEGENKSGRTSRIKLNSRHQHFPNVDSDADACRRKLLVAFSPGAAGAGAALRVLRARSILHLQLRPHTGQSSPSPAVAASFGTFLGWSEEAAAGGSTLARQSGQVEWSRSHGPTQAXXXXXXXXXXXXXXXXXPAAGAVGGGGVHGHWQGRRDVLLFLLRLPRRRRPPPQLMPGLPRRTLRRGVALARLLLLLVRPAGHGRRRPSLPGEEPPEVEEDEQDHEQVKPDDAARQQRAVPRPVLARILHRRRRRRAALNRRHGDNVASDRKRKQHTVAS >OB05G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10303139:10303342:1 gene:OB05G21320 transcript:OB05G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVAALDAGRFDAAALQIGAGQSEVELCKSGCERVQLPELLAARNSAVDRLCNVATDITRVLQLKQH >OB05G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10309270:10318653:1 gene:OB05G21330 transcript:OB05G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:J3M6A9] MWFQPVEDHLIPGEGSRMWSSSQASTRGVIEVGRVEAGPSHFPKRQPAPRNSSRINLSRTYAIKSCSVSSRTGLCVGQCYHKKFSSCKCKLGWSSQPLSGLRHHFRVHSSASEAVLTSQSDFAKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELSMFPEPQKAMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLKPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFR >OB05G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10318411:10321168:-1 gene:OB05G21340 transcript:OB05G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3M6B0] MAVYYEGKIKKALCGALLLLSLMALSSSPPAGGGAAPPSAQVSPSTACNDTTDPTFCRTVLPPRGSSDLYTYGRFSVARSLESARRFAALVDRYLARHRGLSPGAVGALRDCQLMSELNIDFLASAGDALRSTDTLLDPQADDVHTLLSAILTNQQTCLDGLQAASSAWSERDGGLAEPIANGTKLYSLSLSLFTRAWVPTAKGSKHGGGGNKKPHHGHGHGKKPPAAATANRRGLFDVTDDEMARRMAIEGPERTVAVNGVVTVDQGGAGNYTTIGDAVAAAPNNLDGSTGHYVIYVLAGVYDENVVVPKHKKYIMMVGDGISHTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPWKHQAVALRSGADLSAFYGCSFEAYQDTLYTHSLRQFYRGCDVYGTVDYVFGNAAVVFQGCAFLSRLPMPGQSNTVTAQGRSDPNQNTGTSIQGCSLLAAPELAAAASAGGYRTLTYLGRPWKNYSRTVVMESFVGDLVDPAGWMPWAGDFALDTLFYAEYNNSGPGADTSRRVTWPGFHVLGTGADAGNFTVTSMVLGDNWLPQTGVPFTSGFITSN >OB05G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10337903:10338667:1 gene:OB05G21350 transcript:OB05G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPPPAGARCAATDTILSAAGDDEPFAPKTPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEEAARAYDVAARDIRGAKAKLNFPPAIGAAAPPKKRRRDTASNAVVDQRESSCSSSLPPPAADPRHLRECMSGLEAFLGLEEADNDDADAGEPWDAVDLMLE >OB05G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10345283:10349255:-1 gene:OB05G21360 transcript:OB05G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNIAGVLPASAVFVGIYEPTKRKLLETFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLMAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGSANQYSGIVSCAQTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSLLAERRSREPPTVKDD >OB05G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10350828:10351295:1 gene:OB05G21370 transcript:OB05G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISHLLVAMLLSSGFGFIVAAQMIDIDSSSQIPTFNVVDGGKKLSTSGSDQIHHILPHHGTVPGPSDPLGPPSDVIGDGKRLSPTRLNLDHHGLPPLNPPSNVIGVIGIDGENRFSIAGSDQIHHILPHHGTVPGPSDPLGPPSDVIGDGMMV >OB05G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10365168:10365740:1 gene:OB05G21380 transcript:OB05G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTAPARVLRFRRLLVQLCAGDAKEKEERVPEREAGSTEEMGRLKKQRADRKMTEVNHKIYNDTDPINPIKYYKNFPREDFKYSKSLTFNLSLMIVPKIKEGRT >OB05G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10368299:10375319:1 gene:OB05G21390 transcript:OB05G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVVDGGKKLSTSGSDQIHHILPHHGTVPGPSDPLGPPSDVIGDGKRLSPTRLNLDHHGLPPLNPPSNVIGVIGIDGENRFSIAGSDQIHHILPHHGTVPGPSPPSDKIGDGKRLPPSGPSPNHNNAPPVSPPSDKIGDGKRFPPSGPSPDHNKAPPVSSPGNVM >OB05G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10380656:10381164:1 gene:OB05G21400 transcript:OB05G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHFLFAMLLSNGVGFIIAAQVEGVGPFTGIPMFNGIDGGKTSVGGSDQICHVVPHHGTVPGPSDPLGPPSDKIGDGNRFPPSGPSPNHNKAPPVMTGWFP >OB05G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10390871:10398610:1 gene:OB05G21410 transcript:OB05G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSMEVRRDGVAVITVSNPPVNALSLDVIASLQRDYEEALRRSDVKAIVLTGAKGRFCGGFDINAFDKKPKNEKPGLLSIDFLTDVVEDAQKPSVAAIDGIALGGGLEVAMICHARISTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLSKALEMMLLSKPVKGVEAHRFGLVDAVVSANELISTACSWALEIVEGKRPWFRSLHRTDRFPALEEMKNILNFARVQAQKKSANIQHPLVCIDVIEEGIVSGPRAGLMKETLCGKMLEMSQTSKSLRHVFFAQRATSKISYISNLGLMPRRIHKVAIVGGGLMGSGIATALISNNFLVILKEVNKQFLDAGVSRVKDNLWSFVKKGQMTKEDYEKKLSLLSGVLDYEQFRDADLVIEAVIEDISLKQKIFSDLERYCHSNCIFSTNTSTIDLQLIGQKASCQDRIAGAHFFSPAHVMPLLEIIRTDRTSSQVIIDLLDVAKKIRKTPIVVGNCTGFAVNRMFFPFTRVACLLVDYGLDVYHVDDVITKFGMPMGPFRLADLVGFGVAVASRKQYLQSYPERCYKSVLIKIMLEENRTGESSRRGFYLYDDKRKASPDPEINKYVEKSRSMASISQDPKLLKLTDDDIVEMMFFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSLGTKYIYNRLNAWSKDYGGIFEPCEYLAARARQGSSLVRTYIMSTTLL >OB05G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10400996:10402354:1 gene:OB05G21420 transcript:OB05G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVILFFFFSFVMVFSITSFYMTKFLTRQPLNIKISIKHPRGPPGGGGAAKPTAYEALATFDFPPGILPKGVVSYTLDDATGDFTAALNTTSTCAFSIQGSYSLRYQPRLSGRIAADRLTNLQGVSVKILFLWVNIVEVTRHGDDLGFSVGIASADFGVDNFLESPQCGCGFDCNDADLSLPLPEPEPRLRLRGAF >OB05G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10403170:10403379:-1 gene:OB05G21430 transcript:OB05G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLNSTAPRTKHFIPIGSMNISEIIVICHGICDQLYRINLVNCNNNQNPECLFLSCNLDLFNACCFI >OB05G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10412315:10412860:1 gene:OB05G21440 transcript:OB05G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLLLFLLLAVAGAKPTAYEVLESYDFPVGILPKGVTSYTLDDSTGEFTATLDVSSSTCSFSIQGSYSLRYDPTITGRISTDHLTDLRGVSVKVLFFWLSIVEVTRRGDQLEFSVGILSSDFAVDNFLESPQCGCGFDCDDALSSSSLLPPLQPHQLRLRGAF >OB05G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10415014:10415589:-1 gene:OB05G21450 transcript:OB05G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGITQSSACAICDQQQETATHILLMCPFAISFWNILQINPGINHLENWHCIKRPSVLPKKTYRVFISLCLWTLWIHRNSVIFDNKEPCLRRAALSGLQEIALWVQRLKNEDIQSATTTWKMCFRDALLRSLLNFFVSSFCSDCLLLLVFLYFNPSLLIYYPFRWGSSLPPPPGDRFQKKKMFPLFRFFLL >OB05G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10416866:10417105:-1 gene:OB05G21460 transcript:OB05G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAITTVEGSAKIIEDKAVKLGTVAKDVTTAMATTTEEKTAFWEPDPETGYYRPVTGTKEVDAADLRAEMIKQRMLHD >OB05G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10444219:10451033:1 gene:OB05G21470 transcript:OB05G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMDDVKYHEEYVTNPRGLQLFTCGWLPAYSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYVQKFGHLVDDCDRFFKSICALEEYREKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVRLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDGLSRVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDENASPTRTSTSSSPTSSRGSTTAATATVRPGKTGRGSRRCSTRWPLPTAAAVATTARWHRTGRRSSGAAPASSAG >OB05G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10450840:10458015:-1 gene:OB05G21480 transcript:OB05G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVSNPLARFSVEPGVHHHHREAQQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHFGNPNFEMIRHDVVEPILLETNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGNNPSDTLYQMNFLIFRGSIVLRIQGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRANTQDDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSAATTTGGQQG >OB05G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10462956:10463138:-1 gene:OB05G21490 transcript:OB05G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSHERGVAILYSCISRRNHTKSQTCFNRLDEGYYQTLCGLNQYKNLHPIYCGSSLTHIV >OB05G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10467165:10467953:1 gene:OB05G21500 transcript:OB05G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQAFCKSKQLFIRARTFCQSKKDKGNKQRTPSRLDTLLRRADAACELCHEDVLSPIRHHRDADVAGAELSRPPDLLRDAPAPSSAACRGRPIPERRHQASSSPRSTAHRGRPIPERRRQASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRGRPVPERRRQASSSPRSAARRGRPVPERRRQAPLMPTSPARRRQIPERRIRGRRRPPGRAAAARERGEIERKEGERRREGDMLGH >OB05G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10478902:10479985:-1 gene:OB05G21510 transcript:OB05G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKSVTLEVESSDAINNVKAKIHDKEGIPPEQQRLIFAGKQLEQDGRRLGEYGIQKESTVQLVLRLRGGTRGGYIIQEPSLLALALKYNEKKMVCRKCYARLPDRATHCRKKKCGHSNQVRPKKRFVSKHTI >OB05G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10479613:10479984:1 gene:OB05G21520 transcript:OB05G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPSLRPSCSSCFPAKMRRCCSGGTPSLSWILALTLLMASLLSTSRVTDFPVSVFTKICI >OB05G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10480713:10488746:-1 gene:OB05G21530 transcript:OB05G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06880) TAIR;Acc:AT3G06880] MPAATGTATAMAVPPHSSICSLIAFLHHHIRALLADRDALLAARARCIQFGRSVSSAIPKWPDFSEGGTIHSGDHEGECRASNSNCGDHDGFAELKDFLNCDDQELQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNEEVSHDKGRSAKVMPIDTDFLSTKFHGRSIQNKNLTWCTSPENAMIYTPESPLYQVDDYEMKPNNVKSSRSQGSLNSLSNSVLNINKVDSYSTSNYFNKEGMFPQCTPKHDLRCFSHFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLVSDELESYLDAGSEVTTIWHLLNNSSDVRHKSSARQEILDQLLDSISTSNKDKVIRASVYVLLLMISEDRNVMRGIKRKEFHLSNLASALKRGVQEAAILIYLLDPSPLQIKNLDLLPSLLHVACNSDTKKWPALLPLTPTSASIALIEILVTAFDYVTNNVHLGAISCPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRAKCAALEYFHEILQIPRSAANSLLQEIKQLGGISIMHTLMACLHQTEREHRVLAANLLLQLDMLDKPDCKSVFRDEAMEVLLDSLSSQENCSVQALAASFLSNLGGTYSWSGESYTAAWLAKKAGLTSTAHRNMIRNIDWVDPCLQDTEIGPWSSKSARTIMRIGVPVLRALAKGMQSKVKGTSHDCLVCAAWLGSELAALGENDMRYSACEILLHDIASHLHPGFELDERVLACMCLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVTEHKKAVTCFALSETGDNLLSGSADKSIRVWKMAQRKLECVEVIQIREAVQKFENYNDKIIILTPNNVLKFSSSSRSTQAFYKSKHVKSLAVAHGKAYLGCTDLSIQELDITVGSKIEVRAPTRSWRIRKQPISSISIYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRGNQQKVGRLSAGSKITSIFTANDIVFCGTETGLIKAWIPF >OB05G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10499955:10504163:-1 gene:OB05G21540 transcript:OB05G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:complex 1 family protein / LVR family protein [Source:Projected from Arabidopsis thaliana (AT3G62810) TAIR;Acc:AT3G62810] MAAAAAEGLAAYRAVLRAARRTFAGDKLMLTESAVEIRRRFEEHRGLSPGSDEATRALSDAREAAHFITHMIVQTQRGPSGSFVVKPEKVHAGATLEVPTEEILSKLK >OB05G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10503909:10504199:1 gene:OB05G21550 transcript:OB05G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTEGSGGAALGLDDHVGDEVRGLAGVGERAGGLVGARGEAPVLLEAAPDLHGGLRQHQLVAGERPPRRPQHRPVSCQTLRRRRRHFFRRRGVVGVV >OB05G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10509319:10510419:-1 gene:OB05G21560 transcript:OB05G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal with domain of unknown function (DUF1977) [Source:Projected from Arabidopsis thaliana (AT5G49060) TAIR;Acc:AT5G49060] MDGNKDEALRSVKLAETALASGDKQQAEKFIRIAQRLDPSLAIHDILATTKNYDTLNGAACQYKARRGEVGETQNLPKEFVGPSNVDKGYTEENVRVVRNIRKNKDYYTILGVGRSCSVEDIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFNCLSNDQSRRTYDQTGAIEDHQYSNVTRQRATRRQRQARSSFYGYEEDLDPDEIFRSFFYGTHGNMFHSHNAYRARGTAWQQQQQQQQRREHPIQGGSGINITMLVHLAGVLFFVLFAFIPARHPEYSLKKTNHFAISKVTEKHGVEYFVSKRDFDQQFPEGSSSRDNLEQYVFKDYKSMLGRFCHVELQRRQWAKDYPTPHCDKLRSLPVT >OB05G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10512771:10520423:1 gene:OB05G21570 transcript:OB05G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIHGRDAYDFDGHRLRVELAHGGRGPSFDRSSNNSSAGRRGASRRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATVGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYVRVKEYNSTKRSRSCSRSRSHSRSISRSRSRSRSISRSRSRSYSRSRSPRSRSVSRSRSPVKERSKSVSRSPSPAKERSPSPATSPQPPREKSASRSPVKSRSLSRSQSPAKSE >OB05G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10532042:10532293:1 gene:OB05G21580 transcript:OB05G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGVFHNFARSQVRRALRDTRRPTVAVDCAFCGCTEAWDLYAAFCLRSFYGYHDDGEPLVRAYVCENGHVAGAWTERPLFS >OB05G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10532458:10532832:1 gene:OB05G21590 transcript:OB05G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFYLARDNLINAIIAPSSEIRSAFRRSIKRVIPDDHHKKMHFMQVMLCLKCNSPEEEDHCSLTVSKINPPSPHSLFADELLGAALLVLQGVKLIFKVLPLSSFAWIQFSYNLKTSKHFDCPS >OB05G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10539257:10542586:1 gene:OB05G21600 transcript:OB05G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGGNGDDGDDKARDVSNQPKDLGRNTCGGGVLPTPLRVTVSGDPVGTPDGDDHHGDTTECSSSFGPSCSASDDDAEPDMHGMEVDSPFLGTNHMNVDHANSAPSMARHKKVTAEWKKVVGPIMWRCQWLELRMKNIFSQIAKYDKELAVINHEKDLKLEMVKADGPESELAKLDSESHEKIIMKRRKRKRDEEATDTSLYMKMHPALSYYENRTDGLLVNDVFDSPVDEDIKSNSHDVGLLEDDKIFEQYSLREILLTVDEVQSRILSLQGCLNNARSKYEKLSLCLDHRKVKVSQKNQKVQNHITSCKKDRRRSHQKTKTKALDSFFQNDDLDKPSDGMMGYMKMHDAQEDATQLDANTITFDMLFNADNLLTDAHVGEFIKESADDVLIDNQAAKEDGYQPFETVKHADEKHSEILMHPSEGEKASAHTVECEQVLETAPVVKQINSREKRGHKPNKKHRSSLLAKKIKTEKDPSNMNNERTVLVAVDPRRSQRVRKPKIY >OB05G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10546116:10549250:-1 gene:OB05G21610 transcript:OB05G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTCWMSTSAAKGECPRVGNLDTLQYKLREILQNSEKSLLVLDDIWFDNSKCVDEWDLLLGPILASQKGASKVLVTSRSKALPPALFSEDVINLENMKDAEFQALFKHHAFSGAIIQDHQLHNRLEELAEKIAERLGRSPLAAKVVGSQLKGKTNIDDWKDALTIKIDNLCEPKRALLWSYQKLDPRLQRCFLYCSLFPKGYRYTIDELVHLWVAEGFVDARNMNKRMEDIGMDYFKEMVSGSFFQPFSEKYYDARYIMHDLLHDLAESLSREDCFRLEDNKANDLPCTVRYLSVRVESMVQHKLSVCKLQHLRTLICIDPLVDVGTDIFKQVLLNLKKVRILSLSFYNTRQLPESIGELKHLRYLNISKTLISEVPKSLCGLYHLELFGLNNVLSFPDRLCHLSKLRHLKTHCNLPQIRDIGRLTLLQHISSFHIQKQKGYELRQLRNMNEISGSLSLINLENVTGNDEALESMLYQKNRLKELYLVWKDVNNMNSENNLHLGILEGLVPPPQLEHLSIEGYKSTAYPSWLQEGSYLENVYSFFLLKCSFLETMPSNTELFRRCRKLRLSDLPNMKKLPSLPEGLTELSIHNCPLLLFVTSDEPYHRDHSENTMRTEHLAAQFALIQLMSASFITRALLSDHSSMKQLEALMDHDISKNLQTIECALERKDEAMVTEDVIKAWMCCHEQRMRLIFARKIGLPLIPPSGLTELSLESCTITDGALCICLGGLASLRGLYLDKVMTLTTLPSEEVLKNLTKLDRLRIDACLFLRSLGGLRAASSLSVLNLSSCPSLELARGAEFMPASLGTLSINYCVLAPDLFCGNWPYLKYISLSNCRSSGSLFFGDLSSLKQFALRHLPDLCVIEGMSSLQVHDVWLIDIPKLSAECVPQFCIQDSLCVSSCALLNNMISAEGFTVPASLSLESCKESYISFEETGNYSSVKRLTLDGCEMSSIPRNLKCLSRLEKLSIYDCPTISSLPDVPSSLQYIYIEECPNISSLPDLPSSLQRISIRNCPLLKESCRAPDGESWPKIAHIRWRNIN >OB05G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10545608:10550721:-1 gene:OB05G21620 transcript:OB05G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRWQRPSLMPMAPTVATLLAVGQSRSINEQGNNERKLQPRSRGGRRWQRPSLMPMAPTVATLLAVGQSRSINEQGCSSIADSAGGSLSSFRCMVEEKGAAKKTRFS >OB05G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10566751:10569359:-1 gene:OB05G21630 transcript:OB05G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEFRALFKHYAFSGDKKRDLQSHSRLEELAEQIVRLGSSPLAAKVVGSQLKGKTNIDDWKDALNIKIDNLSEPKRALLWSYQKLDPHLQRCFLYCSLFPKGYKYNINKLVHLWVAEGFVDARNMNKRMEDIGMDYFKEMVSGSFFQPFSEYCDGFYIMHDLLHDLAESLSREDCFRLEDNKVNEIPCTVRYLSVRVESMVQHKLTVCKLQHLRTLICIDPLVDVGSDLFKHVLLNLKKLRILYLSFYNTRQLPESIGELKHLRYLNITSTLISELPKSLCGLYHLELLHLNDVSSFPDRLCHLSKLRHLETRGNLGQIRDIGRLTLLQHIGNFHIQKQKGYELRQLRSMNEIGGSLSLINLENVTGKDEALESKLYQKSRLKEFYLLWNDGNNMNPENSLHLGILEGLVPPPQLEHLSIEGYKSTTYPSWLQEGSYLENVDSFFLCECSFLETLPSNTKLFRRCHKLRLSDLPNMKKLPSLPEGLTKFSIHNCPLLLFVTSDEPYHHDHSENTMRIEHMAAQFALIELMGSGSFITSALLLDLSSMKQLAALMDSDISKNFQTIECALEREDEVVMTEDVIKAWMCCHEQRMRLIFARKIGLPLIPPAGLTELILKSCTITDGALSVWLAGLSSLRVLYLCEIMTLTMLPSEEVLKNLTKLEHLKIHACLFLRSLGGLRAASSLSYLILSPCPSLELARGAEFMPASLGRLSINYCVLAPDLFCGNWPHLKAISLSNCRCSGSLFVGGLSSLKTFALRHLPDLCVIEGLSSLEVHNMCLIDIAKLSAECVAQFRVQDSLCVSSCAVLNNMILAEGFTVPTSLSLESCKEPYISFKETGNYSSVKHLELNGCEMSSMPQNLKCLSRL >OB05G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10570486:10570653:-1 gene:OB05G21640 transcript:OB05G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRSIGSPPPNTVVSFRKINLLLCCPSILFIPISNQRKEAILAFSSTMFTSFLV >OB05G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10572323:10574587:1 gene:OB05G21650 transcript:OB05G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALEVYREMLDNAPFSPSAVTYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEQDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKHKEANDLWESMIDNHTPPSFIGINSESYNVMVNQCFKEGKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEGRVDDTVQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGQMDDALKVYGRMADKEIKPNTTTFEILINALCKEGNLDQARDLVTEMARGGVACPPEFRESVINIFKNDGRQEEVEKAFEEKPVPLPPPPRPEYRPRSSPQGLPGFASNQARGNYMPHQGQPTYGSQQFHPGLGGSQVTQPQGMPPKPQQPMFGSPQVDRSEFGSRPLQHGFGAPDPRQPGVVSMTQPRDVSTPNTWQGVRATQGTQHGMGSSHPWQHAVGLGQVQQADFRSAPPMQPGFGHPQPPQPMHSAPQTQQHGFGTSHPWHTGFSAPQAQQPGYGAPQSSQHPVGSTQPPQGHFGAQVPPPPPPGFGSQSRPEYGHAVDQHNRFGSPHVEPKFNTQPPRQGIGAQVPSGNYAHQGQNSFSSHRGQVGFGNQGVPPEYRASQSQPSHGAAWNQRGYGLPEEQMGHEDPQVVPHAYARVR >OB05G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10581200:10581412:1 gene:OB05G21660 transcript:OB05G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQGALKPGFILFNTLLNSVRRRCHAKPNSIVKFGDNAPQTLPPAGKDREGRRGIGRLSSIAPIERWF >OB05G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10584604:10588999:1 gene:OB05G21670 transcript:OB05G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHRLHHLLLIFFSPWLLLHVHGVRSLQFTRDDFPDGFVFGAGTAAYQYEGAAAEDGRKPSIWDTYAHSWWNPGGETGDMACDGYHKYREDVKLMSEIGLEACRFTISWSRLVPNGRGAVNPKGLQFYNSMINELVKAGIQIHAVLYHMDLPQSLQDEYGGWVSPKVVDDFTAYADVCFREFGDRVAHWTTVIEPNVMAQSGYDDGYLPPNRCSYPFGRSNCTVGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGINIYSMWFYPLTDSAEDIVATERVKDYMFGWILHPLVFGDYPVTVKKAAGSRLPSFSNNESELVTNAFDFIGLNHYISNYVSDDSNVVKAPLQDVTADMASLFWATKNSTPTREFLPGTTLDPRGLEHTLGYLQEKYGDFLVYIQENGSGSNATLDDEGRIDCLRKYMAATLKSIRNGANVKGYSVWSFMDQYEMFGGYKAHFGLVAVDFGGGELRRMPRHSARWYSGFLKNNAVVEVEDGFVSAASHSQL >OB05G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10597263:10604627:1 gene:OB05G21680 transcript:OB05G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPLLLFLSPWLLLLLLLVHGVSSLQFTRDDFPDGFVFGAGTAAYQYEGAAAEDGRRPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVKLMGQIGLEAYRFTISWSRLIPTGRGAVNPKGLQFYNSMINELVKAGIQIHVVLYHMDLPQSLQDEYGGWISPKFVEDFAAYAGACFREFGDRVAHWTTVLEPNAMAQACYDVGILPPNRCSYPSGSSNCTPGDSTVEPYLFMHHALLAHASAVKLYREKYQVAQKGIIGINIYSMWFYPFTNSAEDIGATERTKQFIYGWILNPLVFGDYPEAMKKAAGSRLPFFSSYESELVKNAFDFIGLNHYTSVYTSNNDNTVKAPLQDLTADIATLFRDTKNDTPSPEFVPGTLVDPLGLEHALEYIRENYGNLPIYIQENAPAYIVSGVNFKVKKYDFYLTFGPEFLLALHQKPLWRYVELLEKTGKDQGGNARFRCRFSNQVIHGSYSRVKAHLLKIGTIGVATCKKVTVDILGQLEDEMAKAEAISARNLPNNIPLPTESIPFNVATMWDVGGDSYDLFDGGADFPRHAELSLDEPNIERVLKDLRAFDI >OB05G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10605276:10610210:1 gene:OB05G21690 transcript:OB05G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRPLLLFLSPWPPPPLLLLLLAHGVRPLQFTRKDFPDGFAFGTATAAYQYEGAAAEDGRSTSIWDTYTHSGTHPEDGTGDVACDGYHKYKEDVKLMSETGLEAYRLTISWSRLIPNGRGAVNPKGLQFYNNVINELVKAGIQIQVALYHLDLPQSLQDEYGGWISPKVVDDFTAYADVCFREFGDRVAHWTTVLEPNIMAQSCYDIGILPPNRCSYPYGSSNCTGGNSTVEPYLFMHHSLLAHASAVRLYREKYQAAQKGIIGINMYSMWLYPLTYSVEDVGATERAKQFIYGWILHPLVFGDYPDTIKKAAGSRLPFFSNNESELVTNAFDFIGLNHYTSVYSNNNDNTIKTPLQDLTADISALFRVTKNDTPTSVFEPGSTEDPQGLENALEYIRENYGNLPIYIQENGKGALDGTLDDVERISYLEQHIAATLRAIRNGVNVKGYSVWSFMDLYELFGGYNELHYGLVAVDFGSEERRRQPRRSARWYLDFLKNNAVIRVDDGLAVSAGSHAQLLVLPIPKPSYSASGRF >OB05G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10612454:10612717:-1 gene:OB05G21700 transcript:OB05G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESDDSIDPTEIYTPDMFMAEQRVLNSFAGRIDANIIAKFDEGPSRRISGPRKYINRNREGAHEQLVADYFAEDPLYSDATRSSA >OB05G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10620455:10623851:1 gene:OB05G21710 transcript:OB05G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDWDVDIVGLESGAACSKLVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTEHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRELAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNALSYISNHTVFSYYEKTEVDAFSIDDNGDTDENTTVNNDADWLLGIKRGDATFEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGATLKVNTHINGAQSSSCSPGMGKPSEILERSPRDTSDYDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEGPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRETRAHSEDESVAPTIAVKKEAAQEEATTSLGLQAAFFKPCYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRRKRQL >OB05G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10636636:10642972:1 gene:OB05G21720 transcript:OB05G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTSSSSSSFFLLLLLLLVAPWRSGEAAAATTALNFTRQDFPREFVFGAGTSAYQYEGATDEDGRSPSVWDTFTRAGSMPDKSTGDRAAGGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGAVNPKGLEYYNNLIDELVKRGIEIHVTLYHLDFPQILEDEYHGWLSPRIIEDFTAYADVCFREFGDRVKHWTTLDEPNVIALAAYDNGIFPPCRCSPPFGLNCTAGDSTTEPYVVAHNSILVHASVATLYRDKYQATQKGFVGINVYSFWNYPFSSSSADIAATQRAMVFTIGWILEPLVHGDYPEIMKERAGSRIPSFTKEQSELIRGSADFIGINHYTSVYISDGSNGQDTDLRDYTADMAATFRISRNDTPSGQFVPTNLPKDPKGLQYILEYLRDTYPGVPVYVQENGLSTNDSLNDTERVEYLSSYMGSALAALRNGANVKGYFVWSFLDVFELLAGYHSPYGLHRVDFEDPSLPRQPKFSAHWYSKFLRGEVGIDIESITSPAGGYEHSDQ >OB05G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10648317:10668477:1 gene:OB05G21730 transcript:OB05G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPRPASTAAPRGQKRRPKGTRPAGKGAAEPGEARKRSRRELDDVGRLAEEAPQLDSTLGKIISTESGATGLEQSDEDDIEDEHSFSGEDERNDAINNKDDAFDETEPSCSFHRHVSRIITNEEVNALSKQKNKFKWEVPAEDIPNSKWVGTGVNTEGAYADHIGGVKGKLRDHWQNILSDMLNSRLKFFSLCSSYRDIMHCNKKPFYLKGGSAVDSSTMDSYLMHALNHIHRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDVIGKFKEKFGESDDEVEEPVQSNKPADFDLLFSGDTVDEFLFGIKFTKKSVKLFSNFYSSDIIVASPLALIKKINGDVDKGKEAAKERDFDFLSSIEIAVVDHADVILMQSWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEYKGVLSKIQFEVPQVYERFDASSITEADDARFDYFCKKVYPKIQESDEGRVLLFVSSYFEYIRIRNFLKAQEASFCRIGETTSQQDISRARLWFFEGKKKILLYSERSHFYHRYKIRGAHHLVIYSLPGRKEFYPELVNMLGESEHRKCTVFFSRLDLLKLERIVGTSSAQRLVSSDKSVFVFC >OB05G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10670804:10671347:-1 gene:OB05G21740 transcript:OB05G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATGNGHSENSSYFDGWKAYDMNPFNPWHNHDSFSSLSQASFSIKCSSDCCSVNVRAFSGPDQRMEQEPPRGGIHLHTGRRLAVQEDRQFPGLPRPSGIQKGDGPVSPVPLWRNREESKGEV >OB05G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10672898:10674198:1 gene:OB05G21750 transcript:OB05G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFAKLGCFDHAVECFRMMREEGEVEISGFTVTGILSVCTATADLGRGAAVHGLVVKSAFDQEVPVCNALVDLYGKCHKVDDASRVFEGMADMDKDLFSWNSMLSALHYGTIKLFSRMRCVALWPNAVTVAAVLPACAQTAALQVGREVHGYTVTSGLDCLGLLDVFACNALVDMYAKGGASDEAHRVFDRMQQRDVASWNIMIDGYASYGHGKEALELFCQMTEVERILPDEITLLGALSACNHSGLVKEGKDFLRRMKDEFCLEPQLEHYACVTDMLGRAGRLDEARKVVEDAGAVGVGAWRTYLAACRMHGDKERAQEAARMLMLTEESESGGWVLLANTYGWEGNFEELEEVRREMKRRGVQKAAPGCSWVEVGGDNSGSGAVMHAFVSGDRRHPEANMIYEMLHSLISWMRDCSHLSSTTPLYSVE >OB05G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10675220:10675458:-1 gene:OB05G21760 transcript:OB05G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNSSSPSLRSKRNISGNQVIFNNSFTSIHLTLVLCTHIKPYNSVNQDPTNKVFVVATEHGSTNSRNKQINILQISC >OB05G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10678709:10686318:1 gene:OB05G21770 transcript:OB05G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSSSANSTGWLLSLFFFFFFLLLLLILPAPPVAPWRSGSGEVAAAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRTQSIWDTFTHAGRMSDKSTGDQAAGGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGSINPNGLEYYNNLIDELVSRGIEIHVTLYQLDFPQILEDEYHGWLSPRAISEKKNSMLSSQDFTAYADVCFREFGDRVRHWTTLDEPNVIALAAYDGGGFPPCRRSPPFGANCTAGDSTVEPYVVAHNLILAHASAVRLYRDKYQVKQKGVVGINLYSYWSYPFSPSSADIQATQRVLDFTIGWILDPLVYGDYPEIMKKRAGSRIPSFTKEQSELIRGSVDFIGINHYTSVYIGDGSSREKTNLRDYKADMAVKFRAFLVQFIPTKLLTEPKGLQCMLEYLRDAYQAIPVYVQENGSGQPSKDDSLNDTERVEYLSLYMGSTLAALRNGANVKGYFVWSFLDVFELLAGYRTRFGLHFVSFEDPSLPRQPKLSAHWYSKFLKSEIGINIGNMISPDEDDEHADI >OB05G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10690031:10690363:-1 gene:OB05G21780 transcript:OB05G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OB05G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10693980:10696364:-1 gene:OB05G21790 transcript:OB05G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Ribosome biogenesis protein Nop16 (InterPro:IPR019002); Has 104 Bla /.../s to 104 proteins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 63; Plants - 35; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02870) TAIR;Acc:AT1G02870] MGGSRRKLKRSRTKVRVGLPRKKPREFKPAFDLPEELAAAAPAEGGGHAPSWDSEGSVVKNSAPLQAPDVDAARAPVDEFEPVDPGSDLESDDLKSALGKQRRDGKSAPLEPLTKVQRIYVGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKNMIHPL >OB05G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10699091:10703855:-1 gene:OB05G21800 transcript:OB05G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPLKHDRSHGKVDAWVTPKRPRSSQRPSAPLARDAATAPAPAPKPRCDDATPAARKSSASSEPGSRGDAPGSDLGTEESDGIGCSTPDSTKAMLQQDDYEKREYSPGVVLSKRDSAVKMASAYVDDLPAEDLRDNEVITVDSEGPSKPLPSISLVQDEEAVRLARTFVNEQVKEFMEGVSEPGILQSRLSKITSFLVQATSIAAVLHDEIPRQIRAQSALVTQVSELEKQVEELSKKLCTIEGLEGTNALLKETQAAMLEAQSDRATTVAAMNSLAMRMGASFARLGTILDPPPNVVDSLEESIKQMTALVSLLVPVSHSHGSSLARSSLTSGVAALLCRGRGIKSLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTLEGGGWRDSRATEESGKPTNNNKGTMPELH >OB05G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10704651:10704990:1 gene:OB05G21810 transcript:OB05G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNDGSPATGSGSNQSSNVVEEAASNIEVVEDPDLVGLRAICVPKRKARCRSSSRTTGSLGEKVSGFLVTLDSWSRGGFQPRSNLCGTWII >OB05G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10705916:10707163:-1 gene:OB05G21820 transcript:OB05G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTRRGLGAPAAAYGRRSAPAKPRRCPLGEGEERWFLTLEVFSWHMGSPKHPCNTSSTIQANSSLIMFGWWMNEMGWTHERNILLRFRSNPSHQNSETDPSQDDHDV >OB05G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10708051:10711806:-1 gene:OB05G21830 transcript:OB05G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPAPAPAPRPAMSHSSAFLLPASSSSAAAAAPDGAASYVLVVLNQPLPRFAPLLWDRAQVRVCADGGANRLFDGMPKLFGVDGKDAEEEARRRYKPDVILGDLDSIRQEVKEYYSDMGTAIVDESHDQDTTDLHKCVAFVTENLAIPNKSNLCILVLGALGGRFDHEMGNINVLHVFPNNRIILLSDDCLIFLLPKTHAHNIHIEHSIEGPHCGLVPIGAPSTTTTTNGLRWNLDNDRMNFGGLISTSNIVEEESVCVTVISDSDLIWTISLRHV >OB05G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10725728:10727734:-1 gene:OB05G21840 transcript:OB05G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRQLLLGLSLAVLFLVALPAAAAPAPKGKAAAAGGGGGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGSRITPSWVAFTDAGERLIGEAAKNQAAANPERTVYDAKRLIGRQFADDEVQRDMKLLPFAVVDKAGKPHVRVDVKDGDVRLFSPEEISAMVLVKMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLRVDRIINEPTAAAIAYGIDEKGAEKNVLVFDLGGGTFDVSILAIDDGVFEVLATNGDTHLGGEDFDQRVMEYFIKLIQRKHGRDITGDARALGKLRRECERAKRGLSSQHQVRVEIESLFDGIDLSEPLSRARFEELNNDLFRKTMVPVKKAMADARLSKGDIDEIVLVGGSTRIPKVKQLLKDYFGGKEPSQGVNPDEAVAHGAAIQANIVSGDVDGNTKDVVVLDVTPLTLGLETAGGVMTTLIPRNTPVPTKKTQLFTTYKDRQTTVTIQVYEGERSMTQDNRLLGKFDLTGIAPAPRGAPQIEVTFEVDTNGILSVRAADRATGKSERITISGDERKISKDEIERMIREADEFADDDRRVKERVDAHNSLESYVYSIKNTVDGKMGDAMEGGEKEKVEEAVREAYDWLDGNHDAGKEDYEEKLRELEDVCNPVMAAVYQRSGGSPNAGDDDEYDHDEL >OB05G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10726113:10726450:1 gene:OB05G21850 transcript:OB05G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSDLPVARSAALTLRMPFVSTSNVTSICGAPLGAGAMPVVNSCVFFVGTGVLRGIRVVITPGRLEAESERRDVEDDH >OB05G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10729504:10730667:1 gene:OB05G21860 transcript:OB05G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPAEHLHHHHQGGKAVHADDLKTGGHRRRYGYYGGGGGDMYGYGADPARTLYFVVLVVVLLAGITALVLYLVYRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAAARQPFLRRRHVIKQLASFMASNFLLRMRRNLEFTYTARRTYDVCRYVSVLWGGRMYVYSQAHVSPLLCSRYVYVYMPNK >OB05G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10740548:10740700:1 gene:OB05G21870 transcript:OB05G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHLDLVFDPFGLFSDAVKRDYHIINPCHVISLSCKHLQFVSKLMKNAVVA >OB05G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10772115:10773405:1 gene:OB05G21880 transcript:OB05G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESPQCKILALRVSIHCEGCKKKVKKVLQRVDGVSRCDVDGRSNKATVTVTDKVSADTLIGKLRRAGKHAEQWPEEEEEEQQQQSSGSQCPGETKNQAAEPDKSGKPVEPEKAASGDLAEPSDTKASPEESKKSAGEDAAPAEESGAENTDANAGDGGGGAATAQEHSELKRRRKQQKQKQPPAPQQEDKAGEATMAAATTTMQGSHTHTSHYPAAPVQQPVHVVSYNVARPMSSAACYAAAPAAAARPPPPQEHPDAYSTYHHSQPLPYRYGYYYNNYYYGGGHATPPRSAASPARNSYGDLFSDDNANSCSVM >OB05G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10787109:10789426:1 gene:OB05G21890 transcript:OB05G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRIACVAVLAFVLTATSALCYIVTDGAARRQSASASASHGEAQTYIVLVEPPPAHARGGGEDDQAAHRRWHESFLPGAGEGGQRPRPRIRHSYTGVLSGFAATLTGGELDAVSRRPGFVRAFPERRLPLMTTRTPGFLGLAQGRGVWKEARYGEGVVVCNGQGCFESDVLAGMDAAVKDGVDVLSISLGGPSVAFDKDPIAIGAFGAVSRGISVVCAGGNSGPMPFTLSNEAPWMLTVAAGSVDRSFRATVRLGDGEAFDGESLSQDKRFSSKAYPLYYSQGTNYCDFFDANITGAVVVCDTETPLPPTRSIDAIREAGGAGVVFINEADFGYTIVLEKYYDLPMSQVTSADGAKIMGYAGVGSPAGSHTATIVFNSSVVGVKPAPVVAAFSSRGPSAASPGVPKPDVMAPGLNILAGWPSQVPVGDGAESYSFNVVSGTSMATPHVTGIVALIKKVHPDWSPAMIKSAIMTTSSALDNDGHAIMDEEHRKARFYTVGAGHVDPAKAIDPGLVYDLAAPHNAAYICALLGESSLRTITGDSAATCAEAGSVAEAQLNYPAILVPLRPGLAFTVNRTVTNVGPARSRYTARVDAPGCTTVKVEPAELVFKEAMERKTFAVTVTVSGRDGGGAGGGRRVVAEGSLRWVSRHHVVRSPIVADGSVAPKSAQKV >OB05G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10795386:10800788:-1 gene:OB05G21900 transcript:OB05G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQTLVSNVGQLLTEEYRQLRGVGSEVAELRDDLATMNALLRMQSEADDGAVDHFVREWMKQLRELAYDSEDCIDLYLLRIRCRLGDGVRARRGTASAATHCAAPPPPRCWRRRPRGRRLRSCSAMATAQQSTSSRSSASGTRSTTWSQVDDLVERLVGTESKVKVLSIVGFGGVGKTTLAVEVCRKLEADFQRQAMVSVSQAFEPSRDLKLLLKRVVEQVVKSRIVNEENIKEEGALGEIDSLDDNKLAQKLEHLLMDKRYLIVIDDVWTIRAWEAIQSKMPENNCGSRVIVTTRIESVAKACSSSSVRGHYIHHMNPLKIEDSRKLFLSRAFGSSDASYPAELKDVMDMIVKKCGGLPLAIVSIASVLAGYRSSGCKDKWETICKSIGYQMESNPTLEGMRQILTLSYNHLPHELKSCLMYLSIFPEDYVIDKYRLLCRWIAEGLVVEKRGLTLMEIAESYFDELVSRNMIELRTGFGYYWQVESCQVHDMLLEVMVSKSLECNFVSLLGGQYASMSYDRIRRLSLQGDDNRRPQSLEQHKEKKGIEGMDVEHVRSLSMFQHGGQKLLDHLHKFTLLRVLDLEGCEDLTNDHMRYVCRLYLLRFLSLKGTNISKISPQIEKLEHLQTIDLRDTKVTGLSEAVKRLYKLERIQTTQTWKAEFMWRLPRGLKKMKALREVGFAVLGNDVQVAQEVTQLEQIQELSVYVETEYAGSDNVVKEFAKSLGKLYSLRRLIIGAIDMDKEALNFLHQLPTPPRLLRYLMIAGGMIDKGLPTWVGSLTYLVHFNMSWAYLVRDQLFGVLYELPSLKTIGIQNMCYTDSELVAHSEHRFSELTNLRVACSFETFNTMRFEKGSMPMLETLLFNFSNRDRKIEGFEHLISLKEVHLCGQKNNPRLGQALEQLKAENARRRLESQNQFQIVVTYD >OB05G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10800549:10800791:1 gene:OB05G21910 transcript:OB05G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVDAVLRVVGELAQLLHPLPHEVVHRAVVGLGLHAEEGVHGSQIVAQLGDLAADAAELPVFLSQQLPNVAYQCLRRALHA >OB05G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10809469:10811792:1 gene:OB05G21920 transcript:OB05G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQCQDRSCPTRLRPIATSALDTMLDRAMEQETGFATIIYTSSWRGGSPTSTSAGYSGQTDQEMEEDEAERQTPNFRSPRAVARSVEATISKMTAFADALAAGKAAGPCPMGRFLGLMEENICNSNVSEIPMPSLNQEAVWLPDSEEMQSTLRKDQ >OB05G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10814457:10816211:-1 gene:OB05G21930 transcript:OB05G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20230) TAIR;Acc:AT1G20230] MPHKTVVGWSALIAGHAARGDAEGAWGLLERMRGAGVEPNVITWNGIVSGLNRSGRARDAVLTLVRMHAEGFLPDATGVSCALSAVGDVGDVSVGEQLHGCAVKAGCRVDACVATALIDMYGKCGRAGEIVRVFDESSHMDVASCNALIAGLSRNAQVSEALRLFREFVGRGVELNVVSWTSIVACCVQNGKDLEAVDIFREMQSEGIEPNSVTIPCVVPAFANVAALMHGRSAHCFSLRKGFVHDIYVGSSLVDMYAKCGKVRDARMIFDAMPSRNVVSWNAMIGGYAMHGEATNALELFHSMQSSKEKPDLVTFTCVLGACSQAGRTEEGRHYFNEMQDKHGISPRMEHYACMVTLLGRAGKLDDAYDVINQMPFEPDSCIWGSLLGSCRVHGNVVLAEIAAENLFQLEPENAGNYVLLSNIYASKKMWDGVNRVRDMMKNVGLKKEKGCSWIQIKDKVHMLLAGDSSHPMIAAITEKLKHLSIEMRRLGFAPSTDYVLHDVEEQEKDDILSVHSEKLAVALGLISTSQGTPIRVIKNLRICGDCHEAIKFISSFEEREIYVRDTNRFHHFKDGKCSCADYW >OB05G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10831468:10832060:-1 gene:OB05G21940 transcript:OB05G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTLELTLLSASDLRVVNLVSKMEVYAVVYLAGDPRARQRVGSSKTTHGVLNLSYKLGGVVHPDPAASCSCKAIAKAAGDTTTAYLAAAYMAAPPPLYGYPQPPAIPQPGRPMGAMAAPDKNGVLHCP >OB05G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10842901:10845225:1 gene:OB05G21950 transcript:OB05G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVCFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNIIEAQDLIPNDRTRFPEVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHIIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHVLFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >OB05G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10847196:10853847:-1 gene:OB05G21960 transcript:OB05G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLNGGEVLRRRRHNFVLVHGLCHGAWSWYKAVTALRRAGHRVTAVDMAXXXXXXXXXXXXXXXXXXAAPPPAPGDEERVVLVGHSQGGFTAALAAERFPERLAAGGXXXXXXXXXXXXXXAGERFRERLPAVVFLTASMPPVGRPMAAINEEYLSFVGADFFLDSMELEQTNPDIPGNPVIFGPNFMAEKLYQLSPPEDLTLALSLIRPANRFTGDDLMRDADLLTKERYGSARRVFVVVEDDRGIPAEFQRRMVAQSPGVEVVDLAGADHMAMISSPAKLAEVLVRIADKAPQP >OB05G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10851146:10851304:1 gene:OB05G21970 transcript:OB05G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCWARLSEIINYVLWSNLVIFGNYFFYVRVAYLGFNICTSLIDGLYFKI >OB05G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10858223:10858388:-1 gene:OB05G21980 transcript:OB05G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPNLARAVLVGHSQGGFSAALAAERFPERLAAVVFLTASMPPHGRHHRGGKREL >OB05G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10863836:10874223:-1 gene:OB05G21990 transcript:OB05G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGRKRRREEEEVVVVAGRSRGAVVARHEERELDGDASAGGRRSRGDELLDPDQLTYIDEKLQNVLGHFQKKFEGGVSAENLGSQYGGYGSFLPTYQQSPASFQSRSTAVLPNHGSASRSPYMPLESAEENPFVKHAIDSRTKNNYGQRMSTENYYSQQYSGPEQKTAKIRIKVNNKCLARNNAAIYSGLGLDISPSSSIDDSPQGSIEAPESKILPDESADAIFQIMTHHSVPGGFLLSPLPENVLGLRKKSTAVTKKHEAPAYDNNKSELQRNCCHRTSAAPDNNYQLVKKIKCDEQRGHLSKFENSACIHNNATIMKKGTKPELQDISEDTDLIRLPRSAKIDKHAIGESADFMAETSGHLKETKNGPFKGKRSTESSLSIIHVKADNLANDDIHPKGNGNIRITLVRNAFKYSRKENKMEHSLVDGFSHKIKSDERNDQFVATSSQLQIDPPKKISLKRDKWKVVRAKDEPSQYKSKESRSLADAGSMGTTEIVAGNSSELLIGKKVSSLQASLSRKKIKVKTHKTPSYGTPKKPNGDFERDALDHRIDSSCIHLEDKSLKTGSETVTAGLTDKHFSGGGNDEDHKISPMLVDKSVPMPSRCKNETAESSMATPAPKPFDQWVCCDKCETWRLLPYGMNPDILPKKWRCSMQSWLPGMNNCKASGDETNRAVRALYMVPAPENNIVFDSRYDTAALFRSNDAVIASDNLRMAKMSKSSVKLDAPRNPDDLDCFPKLREKQKRLEPSDKGQTFAKDQMHPKGKSSGSDYDNLIKSKKLKKVYDKPPKNHPPEFESKSSPSTKETLKELPKHSNISPGMGMYASPSSSKRFCDGDNIFSNRRTRASDTRQSDLQDLSIKKNKSKQMQLKHHGPYPLACDAFAKHVVNEALSESNAAKERPVSDLKYVEVNDHEKSAHARGPFSGMDSNAIYGEKEGLGEKNLENMYFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKIIPDFQETRTSPVESVSSSPLRTSEKKNFDRHRTNSCTVAGILHSQELAKTGASCSKEKYGFECGSGHTNPHVSGCSNGDMHQDAWEDGDLQKDKQDLLTNGLCNSRSSGLGIRHDQGQLNPVVDQKVNLHVLSIHGNGDFRRPTPNQSGKTLSQYNSNQTDQTKMSSGKQPTQAKPDKGNVEYRYLKTNPSTVEGSKLLPGLNNRVNGNTSYKAKQSNKSVAKNMKHAILNADASIQSNASVLLKEARDLKHLSDRLKGKGDDLESANMCFEACLKFLYVASIKETPGIDSSKQGDTLNIMTLYSATGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGASKNSHELQAAYMMAPPAESPSSSASDVDNLNNPITVAKIAITRDLCSFQISKNSISRNNHHLMGLLAYAEDTNYAFEGTRKSQSAFSAYISGLKKDQSDGIGLLRDALNFNFHNVKGLLQLIHIQVGNVSMSFYNRCLQYGWKRLQWDNVEPNNLCPSSYGSIDLSMKLWRRIITQLPSEQTCRGWRSEVILPPPLTPIFVYMYAN >OB05G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10877534:10881253:1 gene:OB05G22000 transcript:OB05G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDSTLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTIQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >OB05G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10883020:10883911:-1 gene:OB05G22010 transcript:OB05G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLPRRLPQLVRQMEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAVVRRAVQNWQKNWTLERNLGNVSFGKWK >OB05G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10886462:10889323:-1 gene:OB05G22020 transcript:OB05G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAEVAGIAAGCAALLLLAAAATGLWCAARLRARRNRSSETGSSDPSTLVEWGKGGRSSLAPEHQGARQFSLDELAQATKNFSEANLVGVGSFGLVYKGLLLDGSVVAIKKRIGAQRQDFAEEVRKLSEITHRNIVTLVGYCQEGGLQMLVSEYVPNGSVSGHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLARLLRGYEDAGPSHGFSSSVYQDPELQSVMQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAHWIEGHFSSSELIDPRLGANFTSEGMKEFVGLTFQCLNPSSRRRPKMRLVATELDRILETEMSLTTIMGDGTAIITLGSTLFK >OB05G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10895108:10896043:-1 gene:OB05G22030 transcript:OB05G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAGRFSPLNDIGNLTNYSPGSIKSLPCTYLGFPLHTTKLRNVDYVSLLDKIGGRVPGWKGKFFTSAGRKTLVKSVLTSLSIYHLTAIQTPKWVIKKIRRAFLWKGEDTEKVATEIFLGDGKKAHFWEDNWLNNRSPRGLAPNLYKLAKRKQLLVSRALENNSWLYSLRQLTTMQEIDELVSLGGLLQEINLLQDVPNNIRWKLTNNGTYSSKSAYEVQFTGSYSPTCSGKLWKADCEPKQR >OB05G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10911135:10913434:-1 gene:OB05G22040 transcript:OB05G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFVARSRDLALALSRAQPAAAAGAPRALSSLPRCPAAAPSSPGLGKALEYQPTSHLHGAQFLPRWFSNVASNGSPMQKETCKSVAGMEHSDALKVMEGTSPKVVAFSPVEAAITKPRSSPLTVESSKVKRSELATLVTFYMIPSLLIASKNGLATSILVGAVFHQIYMFHKEIFLDYVHHDITRKWVLIYFKLLLLIMAKETIVYFNFI >OB05G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10918587:10924335:1 gene:OB05G22050 transcript:OB05G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFSSTGKGEAKKEGGAGGGSGGSDRKDDSSDRRVTRVGSDKSNSQGGFDSRKDSIMPRDGNGQHIAAHTFTFRDLAAATKNFRQDCLLGEGGFGRVYKGHLENGQDVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIVAGVAKGLEFLHDKANPPVIYRDFKSSNILLGQGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRKKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVIALSYLASQTYDPNAPVQHSRSNASTPRARPRGGGNGDQRRLHSPDSGCGSGRRLGLDNMDTNSSQMGSPAYTGKKRESSRGTVRPRAIAEAKTSGENSRERK >OB05G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10927263:10928561:-1 gene:OB05G22060 transcript:OB05G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTNIFQSGILLQNKLYSRVASLSLRLVSTASDDALSASAKAAEDLLAASYCGGVRGHSLFFDALVQLIPPRFYLSSAADEDRPWYEGLSKSAKAAMKAQSRANVKAARRARLDPSAPPSSTLDLLKKSAAADQEAEESSDGEDGGSDDDDEGDDEVEEQEDEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDMQLAPAAVVSEDRTVTYEELRERLHRRMAELRGNRCTRAEFLNKPKREKGKKGKKGKDAGKKRKREDGDKEAEGKDGKKSKKEDDSKTPDIVYGNVSVDPKEARRRKKRRIKNKRKALEEAKRMQQAKEDPKKATKLAWDLATRRSAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKERQKMVDKQKRERQNKRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKEGYVNG >OB05G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10933249:10936242:-1 gene:OB05G22070 transcript:OB05G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLLCVLALCSGRELKIKRTPIYNSTLARTLAEYTSAVYTADLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGYASDMNAVVVVFRGTQETSVQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIEKTREAYGNIPIMVTGHSMGGAMASFCALDLVVNYGSKDVTLITFGQPRIGNAVFASHFNCYLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFSREVWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHLNYLGIGMHAESPGSCRIVTGANTVQCKMDNDGNIVFSKQPGLSADQLHSSQ >OB05G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10940104:10945099:1 gene:OB05G22080 transcript:OB05G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPPSPSPVPEGRWADLPGDIAIAVASRLQEADVCALGGCSRSWRSACDADCVWEALFRRRWPATAAAGGGEGEGASRVQGWKALYINHHRTTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNYNAIMNLIGLHYSIASLNIPPNEVHKALQARKVEERKVFVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGAAVLAILKRGAVHEVFRLQVSLVDKNK >OB05G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10944180:10944533:1 gene:OB05G22090 transcript:OB05G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTERRRVGVHRSISTKAMIAATRSPMKLKAASSSDSSVQHTLPMSADREDQEQALGGDRYGQWLGHHCQVSHGAIPF >OB05G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10944387:10944590:-1 gene:OB05G22100 transcript:OB05G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIGWLSCPFTILEDENELKGNGTMGDLTVMPQPLAIAIAFKANITTPEFQRKLKHTYLCQEALFF >OB05G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10946757:10947967:-1 gene:OB05G22110 transcript:OB05G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTAGKLLRRKSSVSASHPSSSSSSSDGTSSVVVKKDAEVTSAEKPAAVSEAAAAAVVVKKDVTIFAATLPVTEAMATATAEQPKKEEEEEQLPESTMADEATAVEAQAPIKTDETKEVLVLEEETKAEEEATPSSPAPATHHVESKEQNTTEAKPVDVNDDEHKVEEVAASPSETEKKDAADEKTADTVVSESSPSDIEKMDAAVDDKTAAVAAAAPPSEPSPAN >OB05G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10949675:10955273:-1 gene:OB05G22120 transcript:OB05G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSEDSGLVAIHPGEIRFEFEVKKKSSCSVYLVNRSEEYVAFKVKTTSPKRYCVRPNVGVILPRATCAFTVIMQAQMTAPPDLQIKDKFLVQTTAVPFGTADEDISPAFFSKETGRYIEENKLRVVLVSATQLEQQFLAEVPSAMSGVEVPVAKETLNIVNEVPNMMDEVSHSLKTSFPPLREDPATLNEIPFPVKHTTILSPSKEVPVISAEGAHYWKETPAESLLSVNEVPHSLKINFPPLRENPATPNEIPFPIKQTSILATSKEVPSISADIAHHLKETSAVSVESHFSSTETNVASNEWPETLKDTSAPEEHGTLSGRLVNAKNLHHVTDDVQNLVAKLSNLEVKLEEAESVIIKLKEETRTTIRERDKLKQEMVLLTRKGASRSQAGFPLLFVGYMAILGVSLGYLLHL >OB05G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10955365:10957741:1 gene:OB05G22130 transcript:OB05G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLVLVCLSRRPLVWWLEEATATATATAAEEKGWRLEGDGLTEVGMGTCAPPQTKTKLSEGFGRGMPRDSGVRFSVGTVFGDAKRPEGTASVGMTEGPLSKTSAELLPAAAVGKYAAEIRDPWRLVRVWLGTFDTAEEAAKVYDLAAIQLHGADATANFNQSGDAADLEVPPEVAVRVPQPPGVSKNASRATSTTSVVRSFPPSAEATTVETTNKKKSSLPPVVRETDESSEVFGCSFSDDGGFAGELPPLYTDFDLLVDFPEPPLDFLSNLPVEPFSLAPISGNNGSLDFHLDDDDDDAPSPAAAAQVDDFFQDITDLFQIDPLPVV >OB05G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10962823:10970241:1 gene:OB05G22140 transcript:OB05G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein [Source:Projected from Arabidopsis thaliana (AT1G08860) TAIR;Acc:AT1G08860] MGGCLSGDVRGGMEAVGGGGGTAGTGSAGHRGGGGGGGANDAVDYFFNAAGLRGLYSPLELSFSASKLRNMDALSKSDPMLVVYIQQKDRRLEEIGRTEVILNSLEPSWITKATINYQFEIVQPLVFRIYDIDTRYHNTPVKTLNLAQQDFLGETCCNLSEIVTKFSHSLTLNLRSSHGHALQGTLTVHAEESSSSRMAVDMTLHCLNLENKDLFSKSDPFLRISRVVETSGPIPICKTEVINDNLNPVWRPITLTSQQFGSKDNPLLVECFDFDSSGDHELIGAFQTTIAQLENLYNSKSGANFFSHKGQRKLKGQLFVDRFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRAPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGAKIPQGYISHCFNLNTATNDCEVVGVEGIMSAYSSTLYSVTLAGPTLFGPIINKAAEIASQSLKYGNNKYFVLLTITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMRDVQGGQISVVQSLLEELPGQFLAYMRSRDIKPRAAPLHDASPAAAPAVYPPPTK >OB05G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10970293:10970484:1 gene:OB05G22150 transcript:OB05G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASSPKTIPKLPAKSPMYIHSLLLPNLSLESCQIWARSAFVRVIFFLAPFGLGSVVVVVMS >OB05G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10983608:10989159:1 gene:OB05G22160 transcript:OB05G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGDREQQQQEGAGVEVEVAGEAPAQAPAAKGGGEERQGGRGEESVKLFVGQVPKQMTEEELADMFGSVAVVDEVTLIRDKATKASRGCCFLICPSRDEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGSIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNANAMQQSSLFGAMQMGYGVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFQNMVQSVNQGNSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPGMQYPGSYPGSAMNSRPFVNSHNSMKVPNANASSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLAGAFQSFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKVQLKRDNSKHSKPY >OB05G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10991458:10993644:1 gene:OB05G22170 transcript:OB05G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELEKQEEQLRAQIEEQKLDGGDEPVVEDDDDDEDDDDEEDDKDDDDVEGGDATGRSKQSRSEKKSRKAMLKLGMKAVIGVSRVTVKKSKNVLFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQDDDEAIDETGVEPKDIELVMTQATVSRSKAVKALKAADGDIVTAIMELTN >OB05G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10995491:10996180:-1 gene:OB05G22180 transcript:OB05G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLCCPLEPQLRRFYRLHRRYCIDNLAAGGSAISTWLLTLAYRRRRLGRWSHYFTFFFVLHVSSPTSPYLSQLHFAFLRQLRATLTILALRRSRVATILEGFYASLLRHWRMIHGVPLPRLHGIGNTIARILPELSPGLANPNVSSFTVRLHRLFGVIFLNNRPECVTIIVFSASSRTLVHDALRCVHDHSMTPHA >OB05G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:10999976:11001904:1 gene:OB05G22190 transcript:OB05G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELEKQEEQLRAQIEEQKLDEGDEPVVEDDDDEDDDDEDDKDDDDVEGGDASGRSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAVQDDDEAIDETGVEPKDIELVMTQATVSRSKAVKALKAADGDIVTAIMELTN >OB05G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11003971:11005211:1 gene:OB05G22200 transcript:OB05G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTRKIPHRNHLADQTNSPPNLGVAMGYLLIVFGILILVGFLFAAVLSGSDDNGPFSAIQNDRYYGLLLPL >OB05G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11009888:11011207:1 gene:OB05G22210 transcript:OB05G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRAINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYRFNVDLPKKHGRGGQSAVRFARLRMERRHNYLRKVAELATQFFINPGTNQANIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVKDSMTALELGAVDTLIVWENLDVRRYELKNIATGETVIKYLNSDQEADQSNFVDEATPGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNRSQEGSQFCRGFGGIGGILRYPADIATYNDDDDMLDEAEYEDFE >OB05G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11019026:11019337:-1 gene:OB05G22220 transcript:OB05G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRKGRQLLRLQALNLDVTCSLCVPIGHFNSLSRLAPSIHCAPPPLPTLHQLQCREYLRVGFLFCVLCCAVLWAIGKYRGKNELDDYPNEQLQRHMMLLLLQG >OB05G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11022589:11029190:1 gene:OB05G22230 transcript:OB05G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPVVDKTTRLSGRFSVANRFRKRCCGFGWEKSGRKVAFQRRRGGLATAATFSAAKSGCHLAKKHINVTDKVDSLQLPIDDFKFLQISDMLDGMLATYEHERTELACDPGKDLYGFLHKKSNLRDRAGLLKSDQRIAMRPSHLHYLKLLLLVALGGVTTTHVPLQDVPSSLKALPLDGHFSFQDVSAAARDFGNLSSFPPVAVLHPGSVADIATTVRHVFLMGEHSTLTVAARGHGHSLYGQSQAAGGIVISMESLQSNRMRVHPGASPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDIITCSPEQNSDLFHAALGGLGQFGIITRARIPLEPAPEMVRWIRVLYSDFTSFTADQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVQASQFESDGKVLFCLEMTKNFNPNDADIMEQEVNTLLSKLTYIPPSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEVPHPWLNIIIPRSRIHKFAREVFGKILKDSNNGPILLYPVNKSRWDNRTSAIIPDEEVFYLVAFLSSALGPHNIKHTLDLNNKIIEFSDKAGIGVKQYLPNYTREQEWQSHFGARWDTFQQRKKAYDPLTILAPGQRIFQKASASLPLPS >OB05G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11025630:11025842:-1 gene:OB05G22240 transcript:OB05G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYVTMNNNMRQCFEKKDKMCGRIVRCQEQRDGGADGGREGDLKENLEGCMRTGAGSGVVKPVLGTVW >OB05G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11027541:11027840:-1 gene:OB05G22250 transcript:OB05G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTVLYKHMLRGKKIFTLDTVMRARVVMLMPFEIFSVLELVWSRGHRLFSSVEMCPTLHQNGTATPVLWCNLADIALPQYLPCQRTLLSCCLGPMYV >OB05G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11036854:11037124:-1 gene:OB05G22260 transcript:OB05G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGNAAAPEDAGAGGKAQGGGAGSKLWNPDLRWYTRYRIIASVVHTTVSHMAYYNQALGQIKSLKLKDKN >OB05G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11038224:11049874:1 gene:OB05G22270 transcript:OB05G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVGSVKIPSNTTPPTGQEQNRPKAQPNPNQANRLAHANPSLPLPLSIPQIGPIKPKYTLPSPTIRRRPPTPRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPATTRQPQQPPAAASNEAPFIFGSVRDSLPRFEEGWSASAKLPEQMGKLNLQTPGERSANASKDGSSVFGVDIPSLVYNSEANDVLPEKLTQLNIGSRMPVQSDNGVPKTFVFGGNGAATFSDKSAPVAAADSTKANDVPEKPTQFSIGSTSSDRVDRTNDVSSGANTNPSVTLNCTDDADLPPEKITQLNTGSSVPFQQKQSRDSSHPADAFVFGNGRTMNTIIGEATNNTSNKGSCFFSAANNDASIPPNSVNNLIPEWSSCLNVGGGVTKSKQSDSSGYPPDAFVFGSNASTSSQYSKRAMDHGSNFVNGANSNTFTSVHSNMESTLPEKMTKLNIGHGTPSSSNKDETASQPPEVSVFGSNATTTFSSAQASSMQFTSIKTNVSFELKGSGGNFANEDIGKFTHSRSNNDQGYGPSNFVFSSSSNAVPPSEGSAEQALQDEIKKLNINGEGTSVGYTKVNESSTQFVFRSKAGTNPEFGAVPQANVQESPAFTHSNHSSSFSASENAVPSFSFRTTNPRSDTIPGESCALREDTSWCSRESLFGIDYIKSAYRDKKEAHKNTRKNKRPTKLKQRAQPHHFASQETCTTGLPDTDFAGDYSPMDCSPYPSTVEQVSRESPATSDQSVHIRDYGVPNQNSSCAEDLVSATEHLVIDADPPTCKEEGRVPNVDTYENSFASSFSSFDEEVNIPNASQPSSSNTNVAANRKPKSAPAEVWDDAYGHNDQGQVHEEKGYTTMHETGEHVTLQSSSADFNRLNFTFGASLSPQNSLPTQRRNTRRKLRTKGGHLCKPSATQASVQLRSPRDMNAMQFSPENNKTRDPTDEQSISDASTSAALETCETWRTSGNQAYANGHFATAEEYYTRGINSISGLGSSGCCSHALMLCYSNRAATKMSLGRMREALQDCLIATSIDSTFLKAKVRAANCQLALGDLEDAATTYTTCLKSSKTSGSDIKMFAEASDGLERVQRVADWISKSKELLKKRTVPEATLALELISSALRISSHSDKLMEMKAEALLTLRKYEDVIQLCQETVVSAEKNSSASETTECSGRLWRTYLICKTYFLLGKLEDALELLNKHQQVINVKESDGKTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALACNGDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYPKAISRRATLYEMIRDYGQAANDLRKLISLLEKQPNKSGLSPKALNKHSDLKQARARLLSVEDEAKRDTPLNLYLILGIEPSCSPADIKKAYRKAALRHHPDKAAQLLVRNEMPDDGFWRDVAKEVYADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSRGRNMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >OB05G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11055887:11061481:1 gene:OB05G22280 transcript:OB05G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3M6K4] RVVNSLAIEMFAGLASEGGSKVRMLLTCVDTLPDGYVSSCDMTRSEEGIYYAIDLGGTSFRVLQVELGAGSTIINRKVEHQPIPEKLTKGTSDDLFNFIASTLKNFIEREGGGDEGRALGFTFSFPVSQNSISSGTLIRWTKEFSVEEAVGKDVAQCLNEALARNGLNMKVNVLVNNTVGTLALGHYYDEDTVAAVIIGAGTNACYIERNDAIIKSLGRLTNSGLTVVNVEWGSFRPPQIALTPYDICFNNETWNYYDQNFEKMISGVYLGEIARLVFQKMAQESDIFGTNDGLSTPFILSTPNLAAIREDDSPDLREVGKILEEHLKLQDVPHKTRKLVVRVCDIITQRAARLAAAAIVAILQKIGCDGTLCGTSEVRTMRGVRRRTVVAIEGGLVQGYSAFREYLDEAIVEILGEEIAATVSLRVMEEGSGTGAALLAAAYSSARQSKLHVGMTK >OB05G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11061979:11062548:-1 gene:OB05G22290 transcript:OB05G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPHGGTSMGRQRIEIRHIETKGQRQVTFSKRRSGLFKKASELSMLTGASIAVVVMSEARNAFCFGDPSVDAILRRYAPVPGEAAAAASVGPSHVHGAARDDDDDRDRHHHEELRKAVEDARSQVAAEKSRLSEVAKKIEAAKADRQFWWEADVEALGEAELPEFTRALEKLMANVRRHADDQPQQQQ >OB05G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11068794:11069170:1 gene:OB05G22300 transcript:OB05G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYRAMRVSEPEPAPDVCTLASVLKHAGRRGTSGAGICAELAQLNHGWELHAALLKCGTESTCKHHQEQGTSHGR >OB05G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11082635:11087799:-1 gene:OB05G22310 transcript:OB05G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVASMFFALALLLGAFVSIPQKAEAIGVCYGMSANNLPPASSVVGMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVAVGNDRGGRGRDVEPGPGDGERPRRAGLRGAGPHQGDHVGVPGAARRVQPALRRRVHRRVPGVHGPRPQLPRPHRRAAARQHLPLLLLHLQPGQRRRQLRPLHRPRHRRPGRRLRLPEPVRHHRRRLLHRHGQARRLRRHPRRLRDWLALRRRHVRLPRQRPHLQPEPHQPRLPRHAAPPRRHRDLRLLHVQREPEGRRRRAELGPLLPQHAARLPHQLLIPYTVRS >OB05G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11083819:11084037:1 gene:OB05G22320 transcript:OB05G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRTHDAAAAGLAARLDSTSFGAPTTTLIPVPPTDCSAAWSGAYSRIDVMPFDRYIPTTELAGGRLLALMP >OB05G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11087445:11087636:1 gene:OB05G22330 transcript:OB05G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSWPNVRRLSPATFIHLAYFQLTSFLARAAVACVTWLVTGGHKLSGTHRLLLCVREKEIERER >OB05G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11092817:11093098:1 gene:OB05G22340 transcript:OB05G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWDGCSVGGWCRVWFGYDVSRVVYYIALKALYSVCIYIYIYEDKNKLNVCWPYLYRWSMSGMDKMDGSCHGHMDGLLCTESFLSYHLQCDG >OB05G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11104369:11104548:-1 gene:OB05G22350 transcript:OB05G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLGVAGSKLLGKKKGIWLARAPLTTDEYMIPFGSPFNPRHWQCTQDEHKTRGGFPFP >OB05G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11104851:11108206:1 gene:OB05G22360 transcript:OB05G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFAQEKKVPPFRVFPLQFVGFGEETMKIETVQYTNRFLLTVPRIEDAVVRVETTTSSALEMEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLAEMDVINVELFKQAYETSVDASEYLDRYQMYKLLKGPYVKEGACIIVSAGSEDVASELWAEKIFCMYSSWARKQGCKDGLVERIASTSGRVWTAAMEIESEYMFGTLYGEKGTHRMIYPSVNNSGTYEETSARVDIIPLFLDRPANLHLDDNDLEISPSPSDHKRQDHRNSAVRIQHIPTGVTAESSGERSSFANKLKAISRLKAKLLVISRELRVSDVKMIKRQMVEDLYRRETRRYKFGPQKLVHDLNTGLQLSELNSVLEGDIDPFIRGRITSRLG >OB05G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11108682:11108999:-1 gene:OB05G22370 transcript:OB05G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3M6L3] MANPAFTVELDVSRGSSYGGFISGVRDKLVRHAAATRHLELVLLPVQEEYPRDAPLVPTSKSCSGGDPVAVLLQIRADNLYIRGYRSGEAGRRCRGGGQLSFGDS >OB05G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11111629:11116878:-1 gene:OB05G22380 transcript:OB05G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVVLGIPWDVDTEGLREYMSKFGPLDDCIVMKERSSGRSRGFGYVTFSSVEDAKKVLKDEHVLGNRTLEVKIATPKEEMRAPGIKKATRIFVARIPQSVDESMFRRHFEGFGEIIDLYMPKELGSKSHRGIGFITFRSAECVDSIMQETHELDGTTVAVDRATPKDEDVRHPPRRVVQGGYGAYNAYISAATRYAALGAPTLYDHAGPAHGRGHLGGSLGTGRKIFVGRLPQEANTDDLWDYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADDGVADRVARRSHEILGQEVAVDSAAPLEGGSGGGFMEPTEAYGAYGAYGPMLPLPYGRFSGSLDYDYGYGPSGSSSRSRMDSRYRPY >OB05G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11118932:11120893:-1 gene:OB05G22390 transcript:OB05G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRTVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLGILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFNTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKEDQEIMDLADLSTPLPQWFTEEDLSVYSSLYEKSGFRYPLQMPYRSMHQRKAIGDSKFQVPVFVVMGENDYVFKFPGIESIMKDGIMEKHAPDLKITYIPEGGHFVQEQFPDLVNELLLGFLKDHPNI >OB05G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11123400:11130114:-1 gene:OB05G22400 transcript:OB05G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyltransferases;N-acetyltransferases [Source:Projected from Arabidopsis thaliana (AT2G41450) TAIR;Acc:AT2G41450] MGRPRKTKAKAAAAAFSIGNCKVEIHGGSMRCRSTEQALTVSGPGGAKIVVSVDGAKSSAVGEGSDFILLGPSDVDERNKALLQEVLLLYKQELPAMDYAANTGRKSGFLEKCVTNGKYKTLVLNSTSAAGHEEVIAAVSYQLVPADTQYAEIPLAVVRSPYQHVGIGQLLYKELSQRLQNVGVTTIFCWADHVSEGFWLKQGFESIGEVDTKGKIGRIPLRADIKRALCFPGGSTLMVSHLKGLLAQQKCVLSSQQMSQFHVFVPDSISPSDTGTSIPSSCEKLVPLKIACHNVSKMAPVETNEDFPGSGGCSFPDQQAKKRAHETSSSSLKSKRVRCSQHADHHQDMNQNEICCNPLSISNPVRHENSLHLIPEELSNPSAVAFVKRKISGDAKANIGSIGSPSVMLMNIADETKKAQLIEVVEMLGGVVTCEGNSCTHVITGKVRMTLNFCIALCSGAWIVSPKWLKESFKRGKFIGEAEYVLEDEEFKVKYKSELRGAVMRAKERPCSLFSGYTFCLTKYIQPSVNVLSRIIESSGGKIINKLADISEPSKTIFLACEDDMELSLDAAKRGIKTFSSEWFLSCVMAQELDLEAPQFTESL >OB05G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11131667:11133591:1 gene:OB05G22410 transcript:OB05G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSQLARQLGALGASSLGLPAAVAGAGLLVAVGPRRHAEAGGAALLAEEHARLPAAGGHAVLREDDARWDVVSTMGKAVGCGGPVVFAAVEVEVELAAAAGDGGGGVVGAGHGRGLRKGLFYITFHWDALNQLWARLIDP >OB05G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11131697:11132319:-1 gene:OB05G22420 transcript:OB05G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADAAYLPWPAPTTPPPPSPAAAANSTSTSTAANTTGPPQPTAFPMYGVTPGSLRPQECGGRCAYRCSATAYRKPCMFFCQKCCASCLCVPPGTYGNKQSCPCYSGWKTKRGGPKCP >OB05G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11136974:11139500:-1 gene:OB05G22430 transcript:OB05G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3M6L9] MAAARRALLSHLRVPVARPAAAAAAGTGVPAARLLSSATDEGAKGSFLDKGEVADRIVSVVKNFQKVEPSKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >OB05G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11157040:11158692:1 gene:OB05G22440 transcript:OB05G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGVSSTSVNASAPMLGQAADVPTANFQLFGSMLPVPAASMATATAPAPTADNGASGSVAAGAAAQNASGSVQGQGDGMSLSLQLWPVGSTTAAVSSPPVAPVTGPGPAAVMPAPLAMAAAENASLAAVANALALRRKNVATQRSTALLGHLRRCAEALAVSRSEDADAELASIALLASPDGDAVQRMAAAFAEALARVALRPWKGIAAMAFPSDGSDRPRAWEAAAARMNFLNLCPVLHLAAVAVNEIILETTRNDRFIQVVDLGGVHYGQWVDLLHALATRRESRPCLRLTVVHEDKQFLYQASLVIMSESDRVGVPLDLHIVESSSLLALKLDSLGVRSDHAVVIVSTLKLHPLIGTGNDTAAAASAAAGGMASSLPSPSTTLTNVDKLLRGFHLLSPKLMIVTENEASHFGPSFMERFASALGYYEQLFTSVEEGSAAYGGEPAQRKEAERFLLREEIKDIIACEDGPRWARHERLVRWIARIAAAGFVFSPTSSGAAVERVRSVAARMPGGEKVYGVAEAGSGWLVLRREEKPMFSVSAWRRR >OB05G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11165118:11165741:-1 gene:OB05G22450 transcript:OB05G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQKSLQPPPGYPRVDNSDNGRGPPRRGRTTXXXXXXXXXXXXXXXXXXXXXXGEKSRHRPWLTAPRANDSTREKDQIHRWMVTIYIGNFTLIYILLVVFLVHDLLYK >OB05G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11170728:11171484:-1 gene:OB05G22460 transcript:OB05G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNKNSQLQPPPGYPRLDSEQHEGRKKKKGHCCGSSCRRATKRGEASFIEGCIAALCCCWLCELCCD >OB05G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11177168:11182198:-1 gene:OB05G22470 transcript:OB05G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWSPTSAPEPRSVQLLLLGVALVAAAFYAGTVFRSPASPALVLPPPGSRSPDSSRTQDGGDPKFTNRVSLSYRTKPISVPDYGVAVCPLEYNEYVPCHDASYISQLKNLDRSRHEDLESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPENSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLMNVTTAMCWKLIAKHVQTAIWIKPEDQSCRQKSADMKLLNICESYDNSSPSWKIPLMNCVRLNKDQSNMQKLPPRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLGIEKAGIRNVMDMNANYGGFAVALSNDPVWIMNIVPHTMSNTLPVIYDRGLIGSYHDWCEPFSTYPRTYDLLHAFDLFSHYQSQKGYCSLEDIMLEMDRIIRPEGFIIIKDENTILSRINDLAPKFLWDVTAHMVENEESKPEKVLICRKKFWSIV >OB05G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11186178:11191263:-1 gene:OB05G22480 transcript:OB05G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein-related [Source:Projected from Arabidopsis thaliana (AT1G67930) TAIR;Acc:AT1G67930] MAHSCRIETTKRTSDSETPSGSSDLSQHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPAAPRLLLSPTSKDLIAAASLPSPPSPTSASPSPDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLTASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLHLLSSHLSLLSSHLSAPRSHLAHSSASLSSLLSTADLLLHSHRLVRLSSRLLTSSPAPDLARQAELHREIRLLYEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGVTESNQNDVWCGLQVYYNLGELKPAVEGLVTKYKAAGAKSVVVALDMKAISMAAGGGSGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSAFTASSFVKEIFTLGYPRLFSMIENLLERILRDTDVKGTLPALTIEGKNQMTAAIEIFETAFLALCHSRLSDYVNSIFPMSTRGIPSKDQISRLISRIQEEVEVVRTHGHLLARVLQEIGKVLLLLAQRAEYQISTGSEARQVTGSVTPAQLKNFALCLHLQEVHTRISSIVSTLPNVTSEKLAQSLEAIYTVACDSVSPLFKEMFDRLGSCILKMHEQDFGTHGMDAAMDNNASDYMEELQKSAVHFRSEFLSKLLPSSSSRSETICTIMVRSMASKVLILFIRHASLIRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQSKG >OB05G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11197056:11201696:1 gene:OB05G22490 transcript:OB05G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSSGAVSSLLGLLQKELQLLGRVGSDVEFIREEMESMNSFLEHLSATAHLAGGHDKQVRTWMKQVRDLAHDCSNCVDNYLRSGDLAFHLARGGLRPYAWWAYWLVKKAVDQHRAALRLRELRDRVSDVGKRRLRYGVEIPGKAAGGGASGSSTAARGAPPAVVADEDDDDDNTQYQVAAAVAGSAPDPRRTALEPRTLEDFCAEKVATWVSKEAEQTQQQHSIPSIAIVAPDDADASASAAQAAFDWAATHFDRSVSIDLQALHYSWELPLLPRDILCNILLEYQCSHQGSTTATDEAKDGDRIRQKALEDRLSISDEIWEKADVVDVSDKIEQVMAKIEEVAGATAQLLDSKKQRSERSPAAAAAGGGISLDDPLGVLYQAMCLIAYDKMNPHMLEIPSDEIMQETAMLLEKHMKSVRPEPPIQLASAQYQHVLQKVFSQQPTQQQSDEANNSAAATTLGEDRIRQILKNHETTLDNHKATLGIIRELLLRRPQLPEGNGDSIDEQAGAGHVLLLDSDPGQNSSTDVDATRQEDQNPKDQIREKFAAATVEETKEKVMEMAWEIRGALRIKGIVDKIDVILESKRTLFILIDDGKYMSEWEEIRHALSLLACCANGSAVIVVTKNSDKAREFCSKPSEPINYSLVGLYHDILLKVTSRRRSNEGGGDNNSQIFREILDKCDPDEFCMRMFAHALYVNPNRSSEELRRLCASLQQVPKNNSLATHAANAKTIFKFSYRDLPREHKTCLLYLAIFPRGHSIRRSTLVERWAIEEVITREDWPTVVRHAKRCLEALVDRLLVLPVELSSTGKAKSCMVDGLVHEFISKIAGKEHILDARLSQLRARHFSTFSGLRLRASDSIDSVLQKLTKYLPKLRLLKLLDLQGCRCLRKSHLRDICSVILRLKYLSLRGTYADDLPREINNLTELEVLDIRQTKVPESATRSIILEKLRRLLAGGQIDPSTSREVDMPLCSAVQIPRKISKMENMEVLSNVMAYSKDGAELKVIRKLGQLRKLGVVLNNNREHLRNLLWAISDLKECLHSLSVSILPSADEGTTPPDEHLLDHDFHEQLTQPPKVLESLSIDGFTDIVELLTLFAQGSDELTKVTLRRTLLTKGNLIHIALLPKLSCVRLRYDAYKETNLTFGKKEFSHLKNLVVELVHRTDMIEFEKGATPELEKIVLFRTEIKKLRGVGALPNLKELELKENRLLVVEPEYGPISSDPAKLDQDGTASAETAIPKDGTTSREPTNEITKLTFKKEEFQHLKCFLIEGPIMQIVIQFDGGSAPELEKIVFYNTNIESLVGVSNLVKLREIDLKGNRTILSLFDSANHIAKVTLAHTHLKQADLQNLAKKPKLCWLVLLDNSYDDSQLTFNKDEFPFPKLKYLIVNCTSISSINFAKESACKLERMIWSFTELESLSGIDNLPELKEIELNGESVPDQVRSDTNAHDVKLINHKPQQRQDRAQEEEGAPQAKKKASIFSNFPKHGLCHLIP >OB05G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11210674:11211676:-1 gene:OB05G22500 transcript:OB05G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRSFFSRKGKGRGSSRAEREAAELPRRNERVGHAVTDEEVARMMEEADSDGDGYISLGEFAAINASPAGGDAAVEEDLRHAFSVFDADGNGVITPAELAAVLRGIGEAATVAQCRRMIDGVDRNGDGLINFEEFKLMMAAGGGASFGKIAS >OB05G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11226744:11228425:-1 gene:OB05G22510 transcript:OB05G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEEGGASGGAGEGPPPAPRKEQKATKSTKVAWSAHEDGLLRELVREHGDSRWGLIAGKLGGRSARSCQNRWARIRRNRNTLPLFPLTLGFEDQSPRQAPPLVVFREDDDDIPRHRRGSGDAPECLVLFPLAPSLDVVRDNAASTTSTAMDVDSEEVRLLTELRLAPPAMNVVFDARPLQALPMNLPKYNITATPLEDSGVLRF >OB05G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11230417:11230899:1 gene:OB05G22520 transcript:OB05G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWIDRWMCVCAHGDATVGCTWHRRWWRASQLDPGRGGGLVVVVGWVVPAVASAGAVAGAGEEAAVEHDDVEQLREGEDDDERLEHAEAPAAVAADVDLLAGEAEGERGDGPGDERRGGAGGEAVAAPGADVVDARQLGGGAHHAGEDGEDEEVEGGAVA >OB05G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11230513:11231034:-1 gene:OB05G22530 transcript:OB05G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMIAPLLVLNLIMYIVVIGFASWNLNHYINGETSHPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRSWRGDSLAASAASALIAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILAFTQLLYVVMLHGGLFSGASHGAGAGYGGDYPADHHHKPAAAARV >OB05G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11238252:11242359:1 gene:OB05G22540 transcript:OB05G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELGIQMAVFEFLLYITLSLFLGQSTFASEDAAFGKFANKKEEYEYDETDFLGLDFFEKKSYKGPPPGLAPAADPFPDEDFPEVEIIIGDPSKFGKTRRSTEIQPADDNEPEETSHSTTEEKKEDNKLEETPPSTVTEPEDEDEDEYKPTVRSWGMFPRPQNISKAYGGGRNIRLGGEAQSAKEKAAKDKRTRELIAAYTNSQNMIVDAKTKAECSQALKEGDELMNTGRLKQALPYYEKVMQAVDFKTELHGMAALQWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFMFSFQAMDFMKVNSTPVSRNTGYENYFDKFGGRKNYYAALDEPEMGIDQIIPYMLFLVSPIFLVAFVALRKSFQL >OB05G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11242332:11242487:1 gene:OB05G22550 transcript:OB05G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHRYRTSNDYVLTPVFNSNYNFTTTFYLCYFTLIFLTEAPVCHHSVRVI >OB05G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11254002:11257220:1 gene:OB05G22560 transcript:OB05G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKVVHKKPKDPLVTPPSAKLRRFQDDDGGGGGSRPRNRGGVAMSPAVASVPNYMRGTSSSDAKVGRGASRPLCSASPPRRRPVRVVTRGKVLFQKAAAAGPGLGRATCSSTMKDAKFPDALDLAPGATDAEGPAAMRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKGTGHPRPEDRGGAVACAAAGARVAPPIDEEALGDFFVEVYAGPRVSTDMSCSDMSLDEMDATVRRMEFVVFDRCGADDSNGKGNGLDVRGDGDDDARLEERYGAFRDNSSECSDASTSGEFVEELPWMRYQGYEDDSLDGELLDEHRIRDEEITGAVVSEEQDGQHEEGTPGRSDDECEDEAVQEQEANDEENISDFVGESEIVKPQEGVDFRVEACAEQEGISEDNILDVAHQTEVGTEQEIQEQKNFAAICNLEIPEQEVAESVSNILDETEGTSTEQEEEGDGTNMESASISEVTKELNVEDEENAQDDGGSEMEISEEIISGFGCEEDLSEEVTSKHVSEGEISDFGAIIPVHVEMHKKPVDNHAFEQDDCSTADNAFHQDDNIANKAFDEDDITADGHCDSQKELGITMGELIVASEEGGIQEAKYHDPVDCTEDVHKELDVFLCDSQGASEGSGIAQESNQDANSSFNDGVEMVPDITTQTLEDGSKESDAAEETTHDYYSAPLTACAEMELGNGTNDLMDDSSYVNEEPDIAQETGEDNNAEYVSDDDCQKATAITRCQLQVASEVIAQEADDNDRDGVQNESEQIPCESAGSEECDVTTIQNDNTADFNDGALQECVDTSESKDAHEESDVTQDSDKEDCSVHTNAVAQKEIHLDACESGGASEGTTVPPENDGHVNTTDLNNSAPKEITGSISDACEDHCITEETSYSSNMVLPDLSDNFSAEGHEKLHNQETATKESCVDDICDAFSGMHLKGDVYLDPTESMTCPRNRLIIARRRKTPEEEEYLRGFNPRAPNFLPLELDPDSEKVDLKHQMMDERKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEEEKKSITPTRPVQACN >OB05G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11272502:11275108:1 gene:OB05G22570 transcript:OB05G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHAKIIVQQFKDWEIQILMLLSFALQLSLFFFGGLRRRSNRVALRIFLWLTYLSADYFAVYALGYLSRHLPTTTYGHTYYQPCLGKSLFPSQSHHDLTPLWAPFLLVHLGGQDTVTAFSIEDNELWSRHFLNMLVQVCLVLFVLWNSVANNRLVIPATLLFVAGVIKYSERIWALKGASQKGLKNSINTEEEDINKDYDGSRQEEDGARQHPGGYSSLVKGAHCFMPALRQIFARRKGTDMQSILERHKVSQDAQLAFKTVEVELSMIYDELYTKTRMILTRNGTILCGVSLTFTAVAFVVFILMTGGTKQRYYSSTGAIDIAITYTLFTGAFCLEACSIVTSMLSPRAWASREARGGRRCSLLTRVTWSKFGRMIHQLERTRWSNSVRQYNVVRYLKLVDDKSRIGKVVSMVGAKELWSSLRHTSREKVTAPMMDSIHQAIYHGKLRRPLNLPPELYVVLSWSFEKVLFIMHSFTELFLTILASKSDFALDEEMQILVQTFKGTAGRMLEDGQDIGAALQLMVDIWVRLLIYAAGKSPAQEHARQLSMGGELLTFVWLLMAHHQLGDVSAEQFELVERLADGLTPHRSGDYMYTGHTTILILFKPHGLVADRGAG >OB05G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11278009:11281789:1 gene:OB05G22580 transcript:OB05G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMIKEFAIARFVLAKRLGSCKAIGKDTRVDEEWTAGALLFVAGVIKYGERIWAHKYASQKRLKNSVKTKDSDGRRREEEERNEEVLDNIKEERETLKMEMDDFNMREAINMLANVLMMEIKARIFAGRKGYDMNDILSRHKVSQYAEVAFKTVEVELSMMYDELYTKTRVIQMRNGTILRSVSLTFTVVAFVVFILMTGGAKQRYYNSTGADIAITFMVFIGAFCLEACSIATSMLSPRAWSFREARGRRRCSLLSRATWSKFERIQLGERTWWSNSVRQYSVVRYFKLADDNSRIGLMMSMVGAKELWSSLRHTSREKVTTPMKQSIHEAICQGKLHRPSYLPPELYKVLTSHFEVVLLLMHGFRVVPVHAGEKKKSDFDLDGKMQILVQTCKVISDYKFFLLVLQPSMLPVSSSAGVYSMLETIFKFTPGEDLSTKEQFLESFLRKVNYTEGLTVRISLQLNAVESVWPEAAERMLHSGQAAEHGRGAAHLRLAAHGASSARRRVWPAVRPCGKTRNRR >OB05G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11279364:11279603:-1 gene:OB05G22590 transcript:OB05G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSISIFNVSLSSLMLSSTSSFLSSSSRRRPSLSLVLTEFLRRFWLAYLCAHILSPYLMTPATNNKAAGITSQIVCY >OB05G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11292605:11296718:1 gene:OB05G22600 transcript:OB05G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSTKIIVQQLKDWEIQILLLLSFALQLILFFFGGHRRRSNRVMLRILLWLTYLSADFIAVYALGYLSRHLPTTTDSHTYYQARLGKNLLFPSQSHHDLTPLWAPFLLVHLGGQDTVTAFSIEDNELWSRHLLNMLVQVCLVLFVLWNSVAHNRLVIPAALLFFAGVIKYGERIWALKCASQKSLKNSINTEEEDINIDSDRRRQEEEESNEDSHGQHQGGDGNIEDGNGRNKHEGSNRDAGQFIGDGNQEKDGSNLDGKHQMVLEYSSLVKGALRFMPTLRKIFVGRKGFDIKDILSRHKVSQDAEVAFKTVEVELSMMYDELYTKTRVIQTRNGTILRSVSLAFTVVAFVVFILMTGAKQRYYSSTVAIDIAITYTLFTGAFFLEACSIATSMLSPRAWSSIEAQGRRRCSSLSRATWSMFGRTQLERTWWSNSVRQYNVVRYFRLVDDKSRVGKMISMVGAKELWSKLRHTRQEKVTTFMKESIHQAIYQGKLRRPLNVSPELYSVLTGHFELVLLTMHGFTELFLSMLERNSDFDLDDKMQILVQTCKVISDYMFFLLVLQPSMLPVRSSAGVYSMLETIFKFTPGEDLSTKEQFLESFFKRVETPRHDLKGTAGRMLEDGQDIGAALQLMVDIWVRLLIYAAGKSPAQEHARQLSMGGELLTFVWLLMAHHQLGDVSAEQFELVERLATGEIVLGPGHHTISDILIMLLPRTPSNMTWMAIGPMSPGLNGFCIQWVWVWVKICIHGFVGMGTREAMGWYYKPEALEIVEALFVCVDMIG >OB05G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11301519:11305742:1 gene:OB05G22610 transcript:OB05G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVKTKEAEEGRMEENNLLHFLDSPNAHYRRKCEEYGSRSRHDGDAHFDASDMDLANASERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAGSGGNARVNTRYEAFLPDNYKKPRHDCTTEERSNFIRKKYELQQFVTDPQFSCPLRVNTKHPADKHQQQQNCSVRHGFGHPFRNSWRRKDTDHKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQSMKTRVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGDVEVDIQPLIAPAREHESSAAVAGSVEVTKLLAVDDGTLARDSVISVVDGKVKQEISLRLQNVEHGELEIELECVPLSQ >OB05G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11308524:11308864:-1 gene:OB05G22620 transcript:OB05G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGLVELIVLDDTTFAIFVIMALTTTVLATPFMTALYRRPPSATTPESDVELKDGDACPA >OB05G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11309601:11310997:-1 gene:OB05G22630 transcript:OB05G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKMASDGVWQGENPLEFALPLLAVHVALVLLLALALRPLRQPRVVAHILGGIVLGPSALGRWGAFRRTLFPAWSGAALDTVSGLGLLLFLFLVGLELDFRPPFLAAAGLVPLLDVAVPAPRHASFLPLCVFVGAALSVTALPVLACILKELGLLGLPFGDTAMAGA >OB05G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11309611:11311046:1 gene:OB05G22640 transcript:OB05G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPKGRPRRPSSLRMQASTGSAVTESAAPTNTQSGRKEAWRGAGTATSRRGTRPAAARNGGTEVELEADQEEEEQQPEAGHGVERGAAPRREEGSRLEDVGDNPRLAQGPEREREQEDEGHVHGPSSGSANSSGFSPCHTPSDAIFFTAMLVLPRTQARLLLPCG >OB05G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11317079:11318620:1 gene:OB05G22650 transcript:OB05G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSQVAYVLLFLVTAGLLFRLRRRGAAPAELTTTAHRPHPNPVLGNTVEFIRNRRRFFDWRALTPLTFVQKLRRLLNVGNSRRLREAVDVIDDYAMSVVESKMARREDGDPDLLSRFKAAMDEEDGGELGAMFPTPEGKRRLLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCDRRVRDEVSRSPDGDVKGMHYLHAAITEAMRLYPPVPFNGRVAVADDVLPGGAAVRAGWFANYSAYAMGRMEKLWGDECLMFSPERWLQVGDGDGDAGEFVGVDAARYPVFHAGPRVCLGKEMAYVQMKTVAAAVLRRVSMGFSMEVAAPAPSMKSPPAYEMTATMKMRGGLWVRLRKREE >OB05G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11322605:11324673:-1 gene:OB05G22660 transcript:OB05G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 4 [Source:Projected from Arabidopsis thaliana (AT2G38750) TAIR;Acc:AT2G38750] MADEIQHLTRAFSGLGGLGVDEPAMVSALAKWRRQPEKLSGFRKSFNGLFKDHAGVIERCEEEYMLHLAAEFSRFKNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEVACTRTAEELLGARKAYQALFHHSLEEDVAYRARDKPYCGLLVGLVSAYRYEGPRVSEETARAEAKALAAAVKGAGGAAAKLVENDEVVRILTTRSKPHLVETFKHYKEIHGRHIEEDLGHEETLREAVLCLATPAKYFSQVMAAALSDGADHHAKEALTRVAVTRADVDMDGIRAAYHEQFGGKLEDAVAGKAHGYYRDALLSLVAGGK >OB05G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11327435:11329573:1 gene:OB05G22670 transcript:OB05G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLDCIHNIPPLNLLFLHFSPSLHSSSAAAGGGEAAAVAPMASISVPSPAPSPAEDAESIRKAVQGWGTDETALIEILGHRTAAQRVEIAVAYEGLYGETLLDRLHSELSGDFRSAMMLWTMDPAARDAKLANKALKKKGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRRPARRRRAQGRALVPDIAGEAFRRGDPDIDSWAGHRRGDADERGGVAGGGGHGEGEGGVQGEVQRDGHRRRPRRHVGILHEHSSHPRRA >OB05G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11329412:11332663:-1 gene:OB05G22680 transcript:OB05G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGGDTIPWCTRDIIAGCEREVGEAATEEQKNDSIMRLSWALVHSKNQEDVNRGIGMLEASLGQSSSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEETQCFDAVTQIRGSLIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKK >OB05G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11333443:11338496:-1 gene:OB05G22690 transcript:OB05G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G56590) TAIR;Acc:AT1G56590] MLQCVFLLSDSGEVMVEKQMTAHRVDRAICGWFWDYVLAHAAGDASKVLQVVVSPTHYLFQVYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVLVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVSKVLNVVTGKSSNLGNKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESSQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFRLPPLIASADLTANYGTVDILADQTCLWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEIRNTPNAPYKGFRAQTQAGRYEVRS >OB05G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11347341:11348423:-1 gene:OB05G22700 transcript:OB05G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFGNFFFRFCASHHTSSLPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPRGLPRRRGAASATARTRSAGWRRTLAPTARRTRGSAPCSSRTTSSPTTATRTPPPPPSLCPATAPIRCH >OB05G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11353870:11355690:1 gene:OB05G22710 transcript:OB05G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPRARSMADGDTGVWSGSSNIPVTDELEESQRCTGYFTDLLANGVEQSQISNAINATTNEVPAIGKIFQGRGPAFSTEEDILLVSAWLNVGMDPIIGVDQSHGTMWARIHEYFHANKEIESTRSESSLLNRWSAIQHDVNVICGCLSRIECRQRSGTRETDKMAEACALFKAEDKKHRKFPVMHCWNILKDKPKWMDRRKEIACQKTSNKKQKTSANSSPASLQPAAPADGVCDAQPSGRPAGKKKEKQKLRHGRTIEAVDYLMERSLRGRELKKEERCQKAFTLQEEWIKLEREKFEFKKKEAEKAEKEEEERTLGLDLNTLNYHQQQYYEWQQKEILARRCNI >OB05G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11356254:11357598:-1 gene:OB05G22720 transcript:OB05G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIPSVAAAIAIASLLLILTPEIVSSGLIPRFKLSPKANKQIRDIFKDHATEFAGLAADAIPSGGDGSESSPSQAPAPTAGTYLITVGVGTPPQYVSGAFDVTSELVWVPCEVCPATRPPASDKAGVFRTLPGGLYACGSSACRGTLQQRCPSGGDSACTYTCRYGGAGGLVTSGNLGAQVFTLGDSTIENLKFGCGLEPAANYGVIGLNRWRLSLVTQLRLTRFSYYFAPEDDDDGAGGNGSFILFGEYAVPRTSDPRHTQFFRYNDGAYGNLYLVGLSGVRVGGNVVSSTRTSSGSGSGGAPLVAYLSTSVPVTILEKGTYELVKREVMSAVGPETVDGASALGLDLCYRSQYLASVELPAMALVFWDGAVMELQPRNYLYQDMATGLECLTILPSSQDAGGLTLLGNLIQTGTHMIYDIERSRLVFESLDQPSKRPSSSSTA >OB05G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11365397:11365816:1 gene:OB05G22730 transcript:OB05G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGQLYILRDDNVLPIPDGYIPVAIPNVVGVMTRSSLGDEGGCVGEMTRSPLGNNNLDDEGGHGCYGDEIITRWRMWMWPTWSRDHHSAVTVSAAKVDVADVVMRSPQGDKISVTKVDIASVVMGSPLSGEHLSGNGS >OB05G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11369991:11371952:-1 gene:OB05G22740 transcript:OB05G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G19410) TAIR;Acc:AT5G19410] MEDLSSELDPALLSTSTSSSSPPDSASPSSCSFYHPSPPSCTLAVSSLSCRGSGGAGLLSFLGSSGSSASGHGLLNNVSFTASSSQILAVVGPSGAGKSTLLRILSGRGTGGEIAKPGTVSVNGHAVASRAQLRRMCGFVTQDDNLLPLLTVRETILFAARFRLRAAVTARERGERVDALMQELRLLEVADSYVGGCGGAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLALLRDVARARRQVVVLSIHQPSYRMLGYISSLLLLSRGAVAHFGTLKSLEDALARLGHVIPMQLNPLELAMEVTEQLEADQAKFGPLAAIQHRRHHGGDVDDEEESSDGHGGGGGELALHAAEQGYRCSRAVEVAALTARCWRTMHRTRELFAARAAQAVIAGLGLGSVYFRLRPDPEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMRESSRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYFLAGLRATPQCFAFFALAVWLIVLMASSLVLFLSAVSPDFILGNSLICISLGVFFLFSGYFIPRESIPRYWAFMYYVSMYRYPLDLLLINEYGGSSSGRCVAWAGGGAGVCLRTGGDVLRGRGIDEGMRWVNVGVMLGFFLVYRIMCWAVLVRRAAKTTL >OB05G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11373234:11381023:-1 gene:OB05G22750 transcript:OB05G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASDLGGARPGAVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGSENYRLRSPFGSPPKIGLEKAFSDIVSYAAPPKPFFPSDSNAGSIHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSSGAKMDCLVPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTFNSGLLGHGNEVSHWLPKRVNGPLEGMHVSSISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDARLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGILPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSCPTLVEALKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNQNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDDELETKSNAQLSRLTSLESFKNMDNRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTVQLKGMAEKLPEGAVVVKNSRLPPLPGISIPTDISVASDNLSSPRSSGETCLNGSNGLLVSNGPASVRNKLSHPEIAKNGTRLPDSDAKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRARVYEQYNVRVVDKSAGSIDNEVQH >OB05G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11415006:11419280:1 gene:OB05G22760 transcript:OB05G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNAGKASPCLLLLISVGCFFATYNFLTMVGHGRSWDGPRKMLGGGGGDSAASFASGSDPSKRFHVALTATDALYSQWQSRVMYYWYREMRDRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADRGYIVLNRPWAFVQWLKTADIKEDYVLMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPISKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDEATDKAFGWVLEMYAYAVASALHGVHHSLRKDFMIQPPWDLKMDSTFIIHYTYGCDYTLKGELTYGKIGEWRFDKRSYLRSPPPRNLSLPPPGVPESVATLVKMVNEATANIPGWDEER >OB05G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11421832:11422262:-1 gene:OB05G22770 transcript:OB05G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYIQKGLREIPSKGTLGNMQEGTKGHGFASRSKGSGLRREHPVPLVLEMKTYRNELEQK >OB05G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11428411:11429637:-1 gene:OB05G22780 transcript:OB05G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYGGVSAASGAATCHDCGNQAKKDCIHHRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAGASSPTTSAFPATTVSAKKPRLLGSQTTTTTSRTSTSNATTPRSFDTSSSHQVASFKDALPRHVRAPAVFRCVRVTSVDDGDDEFAYQAAVTINGHMFRGFLYDQGADDGRGGTASASNDDSSHAAAAGVPSISDLHLGSASAAVPPHLYSGGGGGPLILGGLGYGNTMN >OB05G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11455667:11459198:-1 gene:OB05G22790 transcript:OB05G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSRSVAPWCALLLAAALLFSAPATTEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNFQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPSNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTQDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNKTAPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNITQLFSFNYKPLTPYGSRINDTAMFWGVKFYNDLLMQAGPLGNAQSEILLRKDSMAFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTISLLSFLIVLTTLLAYA >OB05G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11457547:11458047:1 gene:OB05G22800 transcript:OB05G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLKACKQLVLSIKEQQMRYLLRAWVALRPSWVKNLVGLTIIARPHVYPGPGALRVKFLGNFTVLLVVPARPTLIWKEEAAFDGSWLNVFITPALQQLAICMLYGVPGSRSTIVGSFLQQWGVLPLNFEQSPCSVVCAPTIDQMTSFFAHVHPSCHPGAWMCRNC >OB05G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11458824:11459270:1 gene:OB05G22810 transcript:OB05G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVNSPHRTPRQSIGAGGTHRSDQLAGDSGRNQNGRGQNRERGEGGGRSDEPVVAGAEKSSAAASSRAHHGATDLEELPAISPAPLPDHAADLAALCSEMRAPGGAEEASPSLTLLLSLPLSLSRPRWKWEEEAAISASSPWREKT >OB05G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11464201:11472678:1 gene:OB05G22820 transcript:OB05G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M6Q8] MEDAGGLGYATLAELVRPVNEAHGRDFYTVVVIGLATRWKNLHVEELVRRMTSPAVRVVSTRTVKPAPPPPPRERIPLTSWDVAMLSLNYIQKGLLFAPPPPPASPPLLSTAHVTELSDGVFVGFVYNHALSDGTALWNFINAWAEIARARLAPTPALTSRQPPMLKRWSPDGAPGVLPYADLSEVIERLKPPPLRERMLQAHREAGAAPAAGEDASLHGGVPGGAQGVCSARAPGSRGHGRRRRPDEVPGAELAAVEKAAAFAAGAGNGTIVLAAVSGPYLPFLSNWLISVRRAGRADQVLVVAEDYGTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHDLPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPVGRI >OB05G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11473003:11476786:1 gene:OB05G22830 transcript:OB05G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAAKAKGSDQAESKADDKDVKTAKPKDAKELIDYMEKNYDRIKDVASFDDFYHVFYELIENFCEERGQLQYRIPKKDELERQYEKVNKNGKPGVNLSRKQFMEIAGQVIKVNSFAFGKATMDVLVVLFGAPVCALLAKRVVPGLKSFSDDVVIPAATSGAVVYLAKANKL >OB05G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11475958:11476188:-1 gene:OB05G22840 transcript:OB05G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSEKDLRPGTTLLARSAHTGAPKRTTSTSMVALPKAKLFTLMTCPAISMNCFLLRFTPGLPFLFTFCATSKLN >OB05G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11477421:11481322:1 gene:OB05G22850 transcript:OB05G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfoquinovosyldiacylglycerol 1 [Source:Projected from Arabidopsis thaliana (AT4G33030) TAIR;Acc:AT4G33030] MKMAHLVTNCSFSPSPAVKPYARSSGYCCNVAQFQSLKCSNVVLKSCPARPRKAFAARASAVVQGQTGKTQTPLTGNEQASGHSSSRPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKSLTGKTIQLYVGDICDFEFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQAKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFAVQYKDRVDAAQIMPNVSWKKMSAKPKTVSV >OB05G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11484306:11484548:-1 gene:OB05G22860 transcript:OB05G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAPAPRKTATSSSTVMDGGWALALLLAMAAALLLLLPLVLPPLPPPPSLLLLLPVVLLVLVVSLAFFPAGSDNVVVAAS >OB05G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11492204:11493896:-1 gene:OB05G22870 transcript:OB05G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLWLPPVHAPFHETTARAYYGSSSSSTNLKSRGQPGRPAGAESTHHLTVHRKKSAPRDGSKRLNSPRHYAYDARAGGGDGGGGGGVARAGAPGRSPARQARNGSTCISLTEEREESHHLRCGLVLQDHRPLSASHIHGRVVALCATYQAFFYCLGHGDGESMTPSYPGLKICCLGRSTLSTRLMDTSAPCPVFTVAEHDNVGVGVDEHTDELRELAKIMSFLLELSKGGTVLGLQTTLLSPSIVASWNHGPSLRL >OB05G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11500221:11501156:1 gene:OB05G22880 transcript:OB05G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVERLVVLSKAISAKVWNGLAVVGNPCAVVDVQKTIAKRVAAAAAADAAAAGGSAASGRELNSALAFDDDEKKEEAANAGALVEEDQVPLVGAKKPPRPLKNGKVFSESGARERRNLLELMARIDGVAGQRFGISRARTVRGASESIEKDAAVLLLRRNQTVKVVGSEELSKADIFSSLDAKIKHELGKEQKEKAGKIKQESVEEQEKAGKTKHDAGTGKEHVEKTGAINRKSGKEQDKEDKNQEKEVKIQERLGEIFDKARKSEEKTETILVKEEKIQDTAEKKQEHDAREVEDKLEKIQDEAKKIQ >OB05G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11503249:11507727:-1 gene:OB05G22890 transcript:OB05G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRVAGGANLSAPLPPQPPSPSAEPSRPPLDSSAFTHTPFYCEENVYFLCKELIRSGISDPGGTDLFVVFISNEEKKVPLWYQKVSHSGDGFVLWDYHVICFQSRRRKGELLDLVWDLDSSLPFPCSFIQYVSDAIRPLSFGNSTYRRLFRVIHAPVFLRSFASDRSHMKDHAGNWIQLPPKYETIVAEDGTTNNLNEYITMFMDDVKDLESMANDVYSIKNGVVINETILPEFFSRLAG >OB05G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11512027:11520237:1 gene:OB05G22900 transcript:OB05G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAWLVPLLALNYCLVWMGIDCDGRTNLSVATSDQTLGSSESERAAYAFLPQTPIKSTDEHLVEFSEAMRAVAKTLRQVAEGKAAAQAEAAEWKRKYELEKAVKAHKHHSVTKGCSNCDKDKLEQLASRLTLETPSVDPTSCCGNHEICSRQILQDECPGTSKNLHDKIVGRKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHILCAEMVRWLKEHNNINIFVEPRVSRELVTEDSYFNFIETWDDDEEMKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFSSELYRECLDHVLKRPFGITLRSRLQCHVICDSAKNEVETEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPAA >OB05G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11520347:11526824:1 gene:OB05G22910 transcript:OB05G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT3G63490) TAIR;Acc:AT3G63490] MAKEGHPNGIMVLRLTREKKKQKTRSKRFLEIQKLRESKKEYEVPTAISLMKQMSSARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKTGIVHIPFGKADFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >OB05G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11530262:11536686:1 gene:OB05G22920 transcript:OB05G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPNSLSSSYSLPASSYTSSSPFHLHLQQPVPHLPAISFPRSLPLNLPVLRLARPLLPPVPLASSGGGDIGIGGGGDGDIPSGGGGGGEDVGDNTGGGGGEGDDDASVNRREALFVLAQLGRKLESLPADLAVAVEGGRVPGEIVQRFADLEKSALFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNAGSIAKFFHNCPDNAFQVALAGTSYSLLQRVGAIMRNGAKLFAVGTSASLIGTGVTNALIKARKAVSKDFEEESENIPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHRHKLVLSALCFAVRTGNTFLGSLLWVDYAKWIGIQ >OB05G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11537126:11537398:1 gene:OB05G22930 transcript:OB05G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLPEAERLAEPMARLLREHVFQGGAEAEARRAKAQLDDDVREVSRLVGELWEELAVDVKRQRPTRQQQQGSPPPPPPPPSSRTSFCE >OB05G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11543186:11547697:1 gene:OB05G22940 transcript:OB05G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGHLLGNDYMSLGSGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKVEEVTDEIRAIESSMQRTEPYKLPSLGLHSNSKPTSVGLSACGILSQSDAFKSFLEKSTKLSEECSKEMDEGKTVTPVPASGNDTTPVNMNMNELLVQRAPYTLAPVTAQMKNTWNPADPSADPLFWSNFILPSSQPVTMATIATTTFAKNEVSSSDPFQSQE >OB05G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11549316:11549489:1 gene:OB05G22950 transcript:OB05G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRSNQIAYSAHVTPPSPVFNSPQERIIGLINNDYLLAHPKHILRTDQLRVNPHV >OB05G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11550125:11550502:1 gene:OB05G22960 transcript:OB05G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAVTTLTVLTKADLETLYGCGGDTAKHAGDAGYADDDAPAAGHHDARDVLDPGEDAAQVDRHDDVEVGEVDGGGGSSGKDDNSSSREQLAVVNGSRGVEDDYGYCDGGSDDRDDDEDSLPVI >OB05G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11552923:11553534:1 gene:OB05G22970 transcript:OB05G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQANTAQRAPEENAKFLARWREKLRAPGRGLPPADYSYIKLEDVCDERATPLLSRLRELGASPPTCVYFGDITGAEANISLGRLFLRGGALGRHIVESFTDDELDQVIDDRLEVPVFDDEGRRYDFRCGYLDYSGAAGAYQLVGAGDDYKLLMKNNSMVRGVSQVGKGVSLLVFAFRSELLVQESHAASGALCMLMLFFAVL >OB05G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11554901:11555224:-1 gene:OB05G22980 transcript:OB05G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAARGTVRYTVFSGEVWAGLYLADAMFPTSTPLSTRWWIFPRTDTKTAAFASPRGCGDGTTMSVICFPNYFIFLPKPLDCTWRTSSSACCGAAAVSAGRISPSL >OB05G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11558426:11558870:1 gene:OB05G22990 transcript:OB05G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQANISLGRLSLHGGDRTSGHHIVKSFTDDELDQVIDDRLDVPVFDNEGWRRYDFRCGYLDYSAAAEEAYQLVGIGDDYELFMTNNNVVRDVSHLGKGVSLLVFAFRSEGLLAKQTEGDAASGALCMVILFFVGRCQQCSIAS >OB05G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11565413:11568069:-1 gene:OB05G23000 transcript:OB05G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G48870) TAIR;Acc:AT5G48870] MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVA >OB05G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11569446:11572468:1 gene:OB05G23010 transcript:OB05G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64890) TAIR;Acc:AT1G64890] MEKEKKMEVEKVRVEATRRWVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGYRRLPYVAIGDVLRDEMWCHAADPCVYSTGEGQAGAMGWLRGTGWRQWQAMAGAGWCCAVVARHCNGTRWEHALLQAISWLAIALWPAISLPVLTIFLLLSNFGASICEVANDAIVAEAGKQTTSSSGSGQLQSFAWMFGSSAGALGNLVGGIALSYFSPKIMFLFFAILLVLQFCTTVAIPESSLQLPKPDTNLSALSSIRKQIKELSCALCMPEIFWSVIWFSVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSVAYNKYFKTTPARKVLSALQFLTALIMLSDVLFVQGVYRNFGIPDSMYTIVFSGLLEGLMLFKVLPFSVLVAKLCPSGCEGSVMAFVMSALALATIISGYLGVALAEFMGVSGVYSHLWSHMELSIVQFEDLNIAHGNNIEGSNNTVMFCASYKSFAFLPCAHVTN >OB05G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11570689:11576848:-1 gene:OB05G23020 transcript:OB05G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTARAASLPLFRLRPSSPIPGGCRPRLPFVPICKRRMGTQAQPSFAPLPTARPESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKARSKAVLSELKSFEADLMCIQELDEYETFYRKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEAIHYNDLVEKYVHTDHVNTVTSNNSSPTEEASKKTDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPCDHILIMANTHIYWDPEWIDVKLAQAKYLLSRVSQFEKLISNKFDCKPSVIIAGDFNSTPGDMVYNYLVTANSESTDEAPAIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSIKPTSLLRIPQGDSADVQGGLPNFHHPSDHLPIGADFQVLSNEG >OB05G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11588929:11593751:1 gene:OB05G23030 transcript:OB05G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45290) TAIR;Acc:AT5G45290] MGSGSSKGASSASAGGDEARKGDGKGRRGRGLLQRLPSTSSCFRGHDSAPSRDDASSASPPPPPRMMHLCLFQSSKGGEAGRLPSIPQVDKSDEDAPTSPKSHPGEGATLPSSQISREQGVDVLQNATAGNTTGEVNQLPNHSDRPRPRLGVNFGLSRAVSLGSSVACSILSSDLSTSANPDGGHGNVDNSSGANISQQGGASTAGIDSTLNMLRDSVTAQARAAHQARRNLLESDNANLRHSNRRMGAQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSADPATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRTNSQPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASSIGKTT >OB05G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11595463:11599530:1 gene:OB05G23040 transcript:OB05G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGQLNLDETPSWGSRGVDCFEKLEQIGEGTYGQVYMARETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLSDRPGMRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRPLKRRVKENFKHFDRYALDLLEKMLTLDPAQRISAQDALDAEYFWNDPPPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQMMNNPHPPMAAGPGHHYAKPRGPGGPSRYPQGGNQGGGYPNRGGQGGGGGYGSAPYSQPGRGPPPPYPGSGMAGTGGPRGGAGGGYVGGPNYQQGGPYGPSGPGRGPSYQQQGGSRNQQQYGNWQ >OB05G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11599530:11602789:-1 gene:OB05G23050 transcript:OB05G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVALAKRQSTFKLLDDGDDGESGVDAGVSTSSSNIGRKRFRRKPVSEYYEAAAVPDPARNVRRRRSTQEERGDSGEEEEMERDQIEKAQLERNIRERDAAKTRRLMDRRPTKQEQDEIARRSEAMDKNDASELRSLSRHAYLQKRKEKKVEEMRDEIVDHEFLFPGAQLSAAEETDMRRKKEVYGLVIDRDKSADAGSDYIMPEAYDAAANIDQEFSVARRRYEEPVATANVFSEQEAWEEQQIRKSRLQFGSMHRALRGDEYELVFDDRVEFVKSAVTAGTVPDPDDEDEAADELAAALDTKVSLQDERKTLPVYKFRDDLLKAIDKYQVLIIVGETGSGKTTQIPQYLHEAGYTARGKKVACTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLRREFLGEPDLASYSVVVVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLNADKFSDFFDAAPIFRIPGRRFDVAVHYTRAPEADYIDGAGVTVLQPHATPAGGDILLFLTGQEEIEAVEEILRQRLRVLGGKVAELVVCPIYANLPTELQAKIFEPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLLVAPVSKASAQQRAGRSGRTGPGKCFRLYTEYNYLSDLDDDTVPEIQRSNLANGVVALKALGINDLVGFDFMDPPAAESLLKALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASERYGCSEEVITIAAMLSAGNAVFYRPKDKQVHADAARRGFHAGNVGDHIALLNVYNAWRESGYSPQWCRESFVQNRTMRRARDVRDQPAGGAPGAGGDRAVLRRWRTRRDQEGGHGGVLPPRRAAAEGRVVPRRQEPADGVRPPELRYGAGAAAAMGGVPRAGAHDQGVHASGDGAVTELKPEWLVEIAPHYYER >OB05G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11606543:11611687:-1 gene:OB05G23060 transcript:OB05G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCRELSTHLSSPPPAPPPATTQARLSFRRDPAPCRPLTATTSDDDRLRLPSAATRDDAVVASLVGQLEHDAAAAAPEEEEEDEELYHHDGAPGRRHAARRHQRHDHMDDELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDQASRYCGSCKYPRRAFFERLGMPAAARGYAVSRYLYATSNFRFPNFFSQSRAGAKIWSQRANWIGYVAVSTDEETARLGRRDIAIAWRGTVPRLEWVSDLMDFLRPVADEGIPCPDPEVKVESGFVDLYTDKDPTCRFCKYSAREQVLMEVRRLVARYTALGEDVSITVTGHSLGSALAMISAYDIAESGANLHNDKSAAVCVYSFAGPRVGNARFKERFEAELGVKALRVVNVHDGVARMPGILLNEGAPAMLRRVAEGLLRVPWCYAHVGVELALDHKRSPFLKDTLDPACHHNLEAHLHLLDGYHGRGERFVLASGRDPALVNKACDFLKDHHCVPACWRQDENKGMVRALDGRWVQPDRHTWHLDDHDHDGKHHHDGTTTRGGARA >OB05G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11617865:11625147:1 gene:OB05G23070 transcript:OB05G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRKYLQEGVIPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSEVGFLQYVQQWKKKVVFVLNKLDLYRNSNELEEATAFVKENARKLLNTEDVTLFPVSSRSALEVKLLYSKNGGQEHYGADLFNDPRWRNSKFYDLEHYLLSFLDGSTDNGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIKDLVSGVNNYAVKIEADSDSWQRQISSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKSTPTKVTSFFQNDILSPSLDDAVNLLSEYSTWLSSTNIREANIYVECFHERWGVLVAQEQRIPSEKNELINEGEKLSVKALDGFSASAAAKVFEEEIREVVHR >OB05G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11635176:11646379:1 gene:OB05G23080 transcript:OB05G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLDSSPAAGDWSSLYLAAQEPVKAPRHGLVMPPPAAAAAAAKRPAYGGGRRIKNFEMCTEALGCETGGVDGALRLRMSWPSRRTARRGRGVPGRRPRRSRWLSLGGLATATAPCQPAYAVKLSIKIGLSMLPHLRFHPPDPTSSPSPSPSPMSLAAESPSPSSPSSSSGSDDFAALLDAELDFDSAADPSSASAASDDEEDGDEEEGKEEDVVMVVEQEEAKVEQSSSKRRRVEDQHQDEGKAMRPNDDTVGSSKDVKIEICPPHPGFFGGLCFKCGKKQDEEDVPGVAFGYIHKGLTLGTSEIDRLRGADLKNLLRERRLVLILDLDHTLINSTKLLDLSAAENELGIQSAASKDDPNRSLFRLDAMQMLTKLRPFVREFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPENVYFGSNVISNSDCTQRHQKGLDVILGAESLAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSARSLSESMQDEREGDGALATILDILRRIHSIFFDSAVQNPLPSRDVRQVIKRVRQEILDGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSMVTHVVALDLGTEKARWAVGNKKFLVHPRWIEAANFRWHRQQEEDFPVARPREKSKENAADAASTKEKGKENAASAKDNGKENAADAAIAKEGKENAHDATIAEESKENAHDATIPEESKSDDDPSGVTGL >OB05G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11655265:11656245:-1 gene:OB05G23090 transcript:OB05G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGKDGGRSFPSPASSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSNSSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLNPPPPGVAASFAAGLPPAKRTGKQYLSSFATRFSSVFHRGGAPAAAAAAKKPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQNNSNGAGAGNGQPQPPAPQQQQRLEKPXXXXXXXXQMWRPPRRRRCRAALAWVPSHCPRRRRWRSCRAPSRAPSRTARAQWAAARCPCAHARRRRRRRPPTRSARSERANAT >OB05G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11684526:11688482:-1 gene:OB05G23100 transcript:OB05G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAGTPFASFRAERWIVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLSVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNKELAVEHCALSHAYKFLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLESNKEEWFVKQGSMVKQVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKIAVPMFFLAMDSPQNFDSDALAGTVFRTNLAGNETFSSIYTAQAPAVFPLKVQNEIDFIKLIRVMSTGDPLLMELV >OB05G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11692885:11700070:1 gene:OB05G23110 transcript:OB05G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT1G09010) TAIR;Acc:AT1G09010] MFRRHTLDITDVLHPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWICPIRDRNTGIWDEVSISVTGPVSITDPHLVSTFHDDFKRSYLHCTLQLENKSSWLSDCTLKIQVSTELEENICLVEHLQSYEISVPPNSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEIGVDVNGFGESDSWNHYFGFRKIESTIDDSTGGRIFMVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDIYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKTLKNDLKLHPMFVSNHTTKIPGKYISQDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPDSFFKNSFYKYGFNPEVGSVGVPVAATIRATMPTEGWSIPIFKKRIDGYINEVPNPIWDYHKYIPYSKPGRVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELPEVAVEISVWDLDGTSPYYSVTEKIVVPPKKVKQIVEMAYPKTKNPKPVYFLLLKLFKLSDNSVLSRNFYWLHLPGKDYKLLEQYRQKQIPLKIDSKISITGSEYTVRMSIENMSKKPENANSVSTMKSADANGSSRTGEEITHDGHGSGLWGKLRRGLRITGSDDNPRTVEVKGADAGVAFFLHFSVHTSEASSSQDMYKDTRILPVHYSDNYFSLVPGEKMAIDISFEAPQGSTPRVILKGWNYHLGHAVTMQGVL >OB05G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11702718:11704059:-1 gene:OB05G23120 transcript:OB05G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPPLDRRTVVGCCFFAQSATDEVMIDCFGEARARQELRITVANLLVAAGKGIQDFQALLHQGYSRPSRPCLRPDDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALASADAMVAVHGAAVTHFLFMRPGTVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRSARLHLKNATACGKATTAAAR >OB05G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11707182:11708181:1 gene:OB05G23130 transcript:OB05G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHTDGTVRFTAASTRSATVTAVGIILYSYLLLYRCGIEKKRSLEHKNGRDKSTIRGGGDADWLAHRHPSRKPHL >OB05G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11709094:11710704:-1 gene:OB05G23140 transcript:OB05G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDEVTIVPVVDDNSSSNGTTGDAAARGGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPTTRWSTSATTAASTASPR >OB05G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11713967:11714161:-1 gene:OB05G23150 transcript:OB05G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATDRGWTSGLWQWFRAKNRDSVTNASHGMVYCMKLSFSYWFLLTSDYKKHKRMKKYFNHLV >OB05G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11730352:11742219:-1 gene:OB05G23160 transcript:OB05G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinases;ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT5G13530) TAIR;Acc:AT5G13530] MGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVQAGDGLEGVQEEVERLRRAATWCRNVSTFHGAVRVGGHLCFVMDRYVGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWGPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASLPNGMDAPPASILDMVHDNPNALHHLVCEGDIAAVRNLLAEAASDRNSRLIPSLLETQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKTGDPPIIFAMAAGSPQCVRILVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVILENGGCRSMGISNSQNKTPLHLCIETWNADVVKRWVEVASAEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILDAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLSAGASCNLQDDDGDNAFHIAADAAKMIRENLSWIVQMLQQPSPAVDVRNHRGWTLRDFLERLPREWISEELMETLEDKGVHLSPTIYEVADWVKFRRTVTEPTFGWQGAGPRSIGFVQSVVDHDNLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYNVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRALPWQADPSDMEKIENFKVGDWVRVKATVPSPKYGWEDVNRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHMLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSVGSRPTYDWNGVGKINIAVVHSIQDSGYLELAGCFRKGKWLTHNTDIDKVEPLKIGLHVRFRPGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDSIATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCDRLWLCKRSEVEKVRPFRLGDRVRIRPGLVTPRWGWGVETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIILDDIPSLKETEASNGYCS >OB05G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11748057:11755583:1 gene:OB05G23170 transcript:OB05G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNKSEETLSRKAVAFQEPCEIACYSRVEGGDVYFDDRSLRLFKRNICDYVGVNLNKGFESFIEKRDLGSDGFGDLLACIRGSTIPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPKSEIERRRCYWGYSFENLATENSIDEDGRGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYNTVEKFEKEKLLRFWIQSFLAGVPYVVVGFRNDAGVLVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYTLQFVHPFNRLELLRAQSPCPDAITQHVEQLSGTTG >OB05G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11759407:11762558:1 gene:OB05G23180 transcript:OB05G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRTNDYGAAAYWDARYSSSSSSSGGGGGGVEFFEWYQTYEALRPLLRARLPTSSRVLMLGCGNSLLSEDMVKDGYEEVVNVDISSVVIEQMREKHVDIPQLTYFQMDARDMSYFGDETFDCVLDKGTLDAMMCGDDAPLGASKMLAEVARLLRPGGIYMLITYGTPKERLQLLYQAGFHRKIELYIMPTPGYQSKWSKNASLAQPIIQEVSLTEDGQLPPDCVLKDPESHFIYVCQKSDAANGTNSVVANEGETTASK >OB05G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11763147:11770289:1 gene:OB05G23190 transcript:OB05G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDYSELMTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGHLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSKMFTYDPKARITAQQALEHRYFSSVPVPTKPSQLPRPPPKGDSGSNKIPDLNLQDGPVVLSPPRKLRRVTAHEGIDVHMHRADRMEEHPSGPRHMDDMSGQSARIPMSVDVGAVFGTRPVPRPTLNSADKSRLKRKLDMDPEFGYTD >OB05G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11770653:11778160:1 gene:OB05G23200 transcript:OB05G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHRRWVPRKGAGGEGSRSAGPSREKRRVRSRRSSGSSADGVRARKRKEAEVEAEAEAGALASGGWVEGSPLDGGESSGRADDASRNGYGEARVWNADGTDQGDEEHRSVSGGDRTEEHCGNGEVSVVNSNHDGGGQNGCFDTDEEIIAGTDTGDCENTELAVVDSINEELVAPYEDEYDDELLEEKLVGDVIRAYSNGANLDANGVDWEAEDEMEFDDDNDNDYDDNDDSRGDADEGDKSVQMHDFSKIEAQDFVNHNVDGVRRHEDEEVLKDEMELKGEGTLSYNEGSLHIEILDSDEEVKVVKDTRNFSRRKSLAQPKLPIAPSCVAWRTRSSWGMKEERFSYNTYFETLSDEPKEDDEDTDVELDDEDDDDEDNSASCDEEEEEERKEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEDDDYPEVDFTRPLNFNKYGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGIMKNHRLYERDLESDSNSSSSSQNKKNGCQGSGDRRTGRKRKNLLSKSDKKSSRMLKRQSLLKLLMDKMCSNDDRKSSPFDQNPQIEYSFKDLHPLVFSFGDDDPIPTDRPEPAAAIDMLWADLDFTLESENIGTYYDDEGQQSSLLDLALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGAGDGQSQDFHINGTVWDLIPGVITSMYQHQREAFEFMWTNLVGDIRLNEIKHGAKADVVGGCVICHAPGTGKTRLAIVFIETYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNKGHGVLGISYGLYMKLTSEKSGCTGENKVRSILLENPGLLVLDEGHTPRNERSVIWKTLGKVTTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHHVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASLIDKPMLGRLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSEARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >OB05G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11786558:11790691:-1 gene:OB05G23210 transcript:OB05G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESLAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGNKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLIQRQRRKNAESGSGSSQVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESEKPGKSKSPKTMLGALHAMWIKEGIPGLFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKRIKSA >OB05G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11796477:11800773:1 gene:OB05G23220 transcript:OB05G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G05990) TAIR;Acc:AT3G05990] MSFCLGVNPDYTDGGPFINALQVIQLHDSVYNATNFNSSAMGLIARTKFGSAGDVERYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLEWPQIPLQNDSYYVALYFADTVSNSSRTFNVYINDYSFYEGLTVTSAGLSVFATQWILSGLTRVILTPVSGLPPLINAGEVFGLFPLGGYTFARDARALESIKRSLQNIPDDWNGDPCMPNGYAWSGVTCDKGLKPRVISLNFSSMGLSGYLSSDIASLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGTLASLRELFLQNNELVGAVPLNLLFKQGLNYQFLPGNNFSPRPPR >OB05G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11803007:11803334:1 gene:OB05G23230 transcript:OB05G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKRSLQVRHFDATNATLLHLHTR >OB05G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11816162:11818180:1 gene:OB05G23240 transcript:OB05G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWWEEWQLRVLALSSLFLQCFLFVSATFRRYRIPALFRACIWLAYLGSDALAIYGLATLFNRHRNRQAAAGGGGRGGSSMVEVMWAPVFLTHLGGQDSISAYNIEDNELWARHAVAMSSQAAVSVYVFCRSWSGGAVPLRCPVVLFVAGFLKMGHRLWALRRASIVRLAAVSSSARHRRSDDATAEEDQEQGHVSLDSYVRQASEPATMNTDHEQRNDGENQNRRAARRRSRKQRDQLLAPKILDALMELFIDFPAPYARRLGYLRSFMALHSYDAYYDLCNLLDLAFQFFYTKKNAAYTTAGVFLWSLNFMLAITAAAGFDGLDSNKAGLDRDDVRVTYILLCSAIAMEFSTLLWLADAKWVPLWMLPPEMQRTVVQLNLIGFAARSRWPTTPMRIAALFGCKNYVNQHWYLEHRSSTARTVEFIRRDVKHGWAELRSAGDYRRFSDRRGQWTLRRELCSRELGWSVTELPFDEAVVVWHVATTMCLHCTDIPPAAADADERAAAACSMEISNYMMYLLLFQPGMLMPGTQQSLFAVACREIRHALGDRVFRQRLSERDLARWLAGGDEPTAAEEGGGGGRHLADARRLAGAVMRLDAGRRLRVIGGVWVEMICYSASRCRGFLHSKSLGAGGEFLTVVWLLLHRMGMESLADKLQRPELPEPADAAGE >OB05G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11819988:11820766:1 gene:OB05G23250 transcript:OB05G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGLPVIPAVRVGSQLHLTATYSGPVGRRGPSSFAAGPPPALMADVRVVVRRHFPVGPKACVIVEKVADDIADRRQPSRKLRTPESVERVLAERVLPFVRHPFDRRAVAVASKQICAYVPGACADPRLAHRGVRVLVLVDTFARGTLLLPVPRKQCSDDGSLRFGTVDKPVPRKQSSGDDDSLQSGAAVPVPRKQSSDDDSSLQFGAVLRTCPCLKKVRPGSEEEPRLATSAGHGSFVRTCPCMEIGIRVKQQAEV >OB05G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11822977:11827454:1 gene:OB05G23260 transcript:OB05G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pale cress protein (PAC) [Source:Projected from Arabidopsis thaliana (AT2G48120) TAIR;Acc:AT2G48120] MAAAAPALPAFLRPRPSSSLPSTSSPSRGRLSWRPASPPPLSRSALRTVRLLPAPSAVEEAKGAAAEAEAEAEAEEVELEGMPQEYYDEEWQARQQEETKEWHAYRQKEEAEEERIVDGYREIGMRLKAYPQEEVRKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILRSEATPAMRLLNDLLNLHDGSDDGKWLKKCKKHMLEVFPREDPFTMVFPPGFNMEKHQGQIKLPPQDDDVLLRVDFIREVDELLKEVQAAQENNKVPTSNDPEAVATKLKHQEKLRTLRQVESLLELATSLKW >OB05G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11827751:11827951:-1 gene:OB05G23270 transcript:OB05G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGSFGPLKERQLVRLSETSGSDREDGVFLKGVDDVRSPGVNQGNEDVGTSSIIQFLGSSRPPKDG >OB05G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11828467:11830395:-1 gene:OB05G23280 transcript:OB05G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT1G03310) TAIR;Acc:AT1G03310] MSISGDGAVNFAVYSKNANAVSLYLYASGGGGEPALEIDLDPYVHRTGSVWHVSLASVEGYASYAFCCGGIRRPLLDPYAKVIGDFVAGNSVYDEGVTAPSMRCFASLASVPSYNWGRARHPRLPLEKLVVYRANVALFTKDKSSGLPDDAAGTFSGFCAKIEHFRSLGVNAILLEPVFPFHQVKGPYFPYHFFSPMNLYSSEGSSVSAINSMKDIVKAMHKNGIEVLLEVVFTHTAEGESECQTISMRGIDNPSYYIANGMAGCKASVLNCNQPVTQRLILDSLRHWVLDFHVDGFCFINAPFLLRDPGGEYLSRPPLLEAIAFDPVLSDTKIIADPWSPLDISNVQFLFPHWKRWAEMNTRFSIDVRKFLKREALISDLATRLCGSGDLFSTRGPAFSFNYVSRNSGLSLVDLVSFSNDDLASESSWNCGEEGPSENNVVLQTRLRQIRNFLFILFVSLGVPVLNMGDECGHSAAGSVSYKDRGPLNWRGMMTTFVKEITGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLSEPGWDDPTSNFLCMHINAEVDEMAADSVRGDLYICFNANEESMSATLPALAEGSIWLRLVDTSLAFPGFFATECNPKVQQMLGLSSYHVEGHTCVLFESKRALA >OB05G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11834312:11840785:1 gene:OB05G23290 transcript:OB05G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein [Source:Projected from Arabidopsis thaliana (AT4G02900) TAIR;Acc:AT4G02900] MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRHLGNVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWTSGTLENEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFYILYREYKVVTTMRLRFLANQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEKKKGLQNWLVYYENQHAKNPAKKPTMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTMWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSLANLDDIERMLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTILMTMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKALVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYTQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTVTLIPLPVLSIWFHHFCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFHKNDIYELVAIDEEEKNPLVATKRQSRMNTPVDSKFNSSSGINEGEFSRMAPT >OB05G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11841378:11842658:-1 gene:OB05G23300 transcript:OB05G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGVKPDAAACTVLVGVYACRLQQFDSAYGVVHWMGANGVAPDVVTYSTLISGLCSAGQVAEALGVLDLMLEEGYQPNAHTYTPIMHAYCASGRMHEAKRLLNTMITSGCVPSTATYNVLVEALCKVRAFKEVDALLEESSAKGWAPDAITYSSYMDGLCKAGRIDKSFALVEKMLSNGLQPNEVTLNILLDGVCRSSTAWSAKHLLECSTELGWNVNVVNYNTVMRRLCDERRWLSVVKLFTDMTKKGIAQNSWTFNIVIHSLCKLGRLHKAFCLLESKEFVATVITYNTLIRHRSVTGKANEVFLLLHKMIGEGIAPNDITYSLVIDCLCREKKFLVALCCIYQSLEVDFFQSAFLSIVRGLIVGGMLGELHTLIGCVLGQGFIIEVYIYQELIKVFCKNGYCQSIEMYKVCYILERLLRLR >OB05G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11853435:11858418:1 gene:OB05G23310 transcript:OB05G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT5G25590) TAIR;Acc:AT5G25590] MPLPPQTKGPAVLHSDSIREEEEEEAAEIKEEEDDDGHLDDRRRRLRHRPQVPPLVSAPAPETPVTPQPPPPPPPDSKSGVDTWDYFFSMDEGMASIAPDDDEIIHEPEDEKFVPASPPRPPPSPPPRVAAPVPQEEFEEEPRTPEMVTPPPSLPPRPPGVSSKKKKGKGKHRAAHHQHTESAPPFTLVGGGKAGKVVPAELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHENQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTANFSDLMSYQKEYVNALYSWLKLNLIPIESSLKEKVASPPRAQQPPVKALLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYTRKARAFEDWYHKHAQRRAFDVDPESGEGTTQKDTVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSHASFDMYSKLRLMSLMQDHHQGNN >OB05G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11867784:11868191:-1 gene:OB05G23320 transcript:OB05G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKSSKLERLRSTAKQQKAKLYIVKICISMLICGSPKYKVLIRI >OB05G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11882814:11883762:-1 gene:OB05G23330 transcript:OB05G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLEKIQSAARQQKAKLYIIVACIALLVCGCTAHRRKGQRRDRNKK >OB05G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11900949:11901774:-1 gene:OB05G23340 transcript:OB05G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQVVDVLQGEMLGIFDKISQLQLRVQGSSSMSCSSNSADNIAQPQCEVDERQNSVECELHIASPSLSSSFRSTDSTAQAQAVDEAQLPVDELLLPADESEPLQHYTDDEPQVPADVPLLVGEPLQLGSSSSSSSLKSSDNIAELLGRVLDVHFTVESPQLASSGLSNLVKSSDNIAIFQKSVDVDDSPQLPSFSLASPEKLTASNSEKLTASKSSWSSAVESNPVISGDDETDDDSWDVVDDEAMYMCTN >OB05G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11905397:11906758:-1 gene:OB05G23350 transcript:OB05G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLSSSSRRQPLSARPPYSIAGSSSSDEVTSPPSRSSSFYSSSSRYLLWAIWFNLVIGLAIVTCRLRALLLLLQGGVTTGDDMLDALVEVFPQLNFLTLVEVCMEYKDTIDGAADYIIHTVLPSIQDDNNANANNDS >OB05G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11914321:11916228:-1 gene:OB05G23360 transcript:OB05G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A protein [Source:Projected from Arabidopsis thaliana (AT5G05480) TAIR;Acc:AT5G05480] MAPLYLLLLLILPSLLAAPACAEEVPDRYAVLQAPRAAAAKEYLDPTFPLPQPPPAAPSCTVPVLSYSFGDTYGAAPAKASYAPPAGCPAPWSLVVLTFSASCAGDQYDRVAAVWLDGAELLRTTTAEPTPEGVRWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPYLSDAAASSSSPAGVASNDPEAPMLPDSYFQPADLIIPISDGIGNGKGGYWFRIQNASDSHSKFVTIPSSTYRAVLEVFVSPHSNDEYWYSNPPDIYIKENNLTTRRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVAALGAFDLPTYDVELTPFLGLLVDSNAHEIGLSVVDGIAEWLVDANLHLWLDPSTSDVHAALGSYQTPRLKISRQYSTRLLEGRFKIKAKRRSSFSGWVKSSFGNFTTEVETELKATSLVEFTGDGKNKTVSLEAKQETKTLIRADTRKVIGKVEREAKYPLSLFTETEDGENGTSLITVTLSHGLSVETETETRAFESKEKLDDRQTAEGWMVVMDHDVVNGSVATTQAYRFSDEDREYERTIDVMDGAVLSDNVTESFSALAGAGAADACWRCRGRSCDGAGAAACRVHAGIAALQGPDQD >OB05G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11919110:11919388:1 gene:OB05G23370 transcript:OB05G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLPTAAELLRRLVGGTGRGSDGGGRSTNGAGARAGAAAESARRALAVRRRPPASPPVRPAAVASASGTREGKKKEKRGSNRQCHVVAQS >OB05G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11924746:11931943:1 gene:OB05G23380 transcript:OB05G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAVAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGGPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKNVPLPDKTETNREVAGEPQLTAPLLSNTDERAENVVVDIEKPQSRQVNGNKPHSIQHYDTPHTMSRSSEDIDDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAAGAQNRPGQPTGILKKPGEGDSSPSKHQVNLVEPLLGNKR >OB05G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11932456:11932743:1 gene:OB05G23390 transcript:OB05G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAWCWCSHCGVARRLRREGEFASCSSCGKVLLQLRGEDAAAAAAADGEEAPRARLRLPVLRPTVRRAKKRAVAGGGGDKSSREESDAESNTG >OB05G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11938431:11938691:-1 gene:OB05G23400 transcript:OB05G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYCGNVMLDWPELGGLDYHLDIDMLMNSLFDGEHLQQGVDTAMDGTQEMLASDTTGEFCSAPPQGLNSEFSGHKGTNGMSGTSG >OB05G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11942191:11946787:-1 gene:OB05G23410 transcript:OB05G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESVVVAAVVPPGCKLPAAGFLGRLHVLVVDDAAVHLEELKLTLLLSGYAVTGKTTAGEALQELERNPEDYFDIIMTDVHMHGTDGFDLLHVFSEEEEVVTMVRAVMDGAVDYMVKPMTSEAIRSIWTHVLRRRLSSLAPQASSLSSERLAAAAAPLAGSRRGDSHQKEAMASPPPPAENGRRDVHEAVQAAFAPQPPVSAPDCDVQEAEQLVQSGVRDAALPQPLAPASGEVQDASAAAATTTTTAGSSRGADGQEEAAMAAATKRGAPEVSDKGSNSLEQTAGKKARVRFVWTTESHSAFVRAFYQLKEYEGIISLRFSASDIYGLPYAGPKRIQELMELEGIFVTKAQVSSHLQKFKGWLENKNNNLPEASRSMHSLLMSNYTGRQDRNISSWKRSSVTTEGPFAGMFYGLPVHPMATSNSRLTTTQTNFVGVAPKEMDNFISQGIAIGYGSAVRQASLCSEANPAGQNVHANGSSQGRGSALGNDISASYGVAVTNVDLLQVITASLPSTMRQPVQPSQSFSTINGLAANLGVVISDQNPGTCSAANSSAINNQSPATQEMSRPHTSELGHGSNVMLDWAELAGLDDQLDNDVLMMNSLFDGEHLQQGVDTAMDGTQ >OB05G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11957788:11960170:-1 gene:OB05G23420 transcript:OB05G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLVGGAGPSAVKLALVSFLAVILVLAVDLSLTGAGAHRRLRHQYQHYLGDGGDGAGGGGDGDGVAKDNALPWLSVPDPSNFTEELLARWLTPGGSPCRDSRTANISIPVLDDDAAAGEVTALGSAEIHEFTFWALDDAGQRRCLGGDFFEIDLSGDEWKSRPPVVDHGDGSYSFRLQVPPRFAEGDFHLTIILLFRSFEGLKFSSLRFKYRAEMRRIPLLFRPSNSSLSLPALETCRAADFARDVWSGRWTRLAKNDNCEEVDDAGRYRCLEPEHPCEAPWCAGPLGALESNGWVYSAHCSFKLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTDTSVVTRRFDAVFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRNKGFRQLVRSYFLADDHVPDIVILNSGLHDGCYWTSIRAYVQAAEYAAKFWAGIMADVEARGHASPRVFYRTTIATGGYARDLAFNPNKMEAFNSVLVEKLKRHGVLSGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGKVGHQYFVDLMLGHVLLNAICNG >OB05G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11968330:11972762:-1 gene:OB05G23430 transcript:OB05G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMMEDDVFFAELSKRISLLITDDDESTDFGAGHFPGARAAAAAAPIPFKRKQKDVGDVYSWSISGFSLAHVPMGASMVAPPAYTLYHHAASYNSIGAGGDSAAVRAWQQQQCGSKGTGVFIPRSSPGSVHPKKKGKSKGNVHKAKMWQGSGIYHVRNRRSGFRQQAVSKKNDSCGHHDQLPFGSAKLAEEILGNVQGVPQPRHS >OB05G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11976316:11979796:-1 gene:OB05G23440 transcript:OB05G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLLCVTIYYFGTEGKNCTYSSVYPELQAPTKIRFQKGLAQKFVQPSGSGVDLGFFSLDELSNPSGEVFPLVIYAEALPSPEEGHQAINSTRAQITLAVIEKHNSDFQVKVVKQILWSDGEKYELQEIYGIVNSTEADVPDADDGDMGKECVICLTEPRDTAVFPCRHLCMCSECAKTLRFQTDKCPICRQPVEKLMEIKVRSTEP >OB05G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11988179:11995688:-1 gene:OB05G23450 transcript:OB05G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein [Source:Projected from Arabidopsis thaliana (AT2G31530) TAIR;Acc:AT2G31530] MPHCLSLLLTPSRALSSPPSRLAPACPLLRGHHHCHLIVRTVKRQAPSPRLLHAARASASSAASPVGPVGEGGAVGGTGRGRVGYRNRFLDLARLGAVAESAAEAFFRSEIRRRRAVTAVLILFSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKIRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLYLVIGAMTMTWICDTISESGFGHGSSLIICMGILTGYTDTLHKMLTQFSGNWYSCWPYILVIVGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARNDGSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTPFWESLKETFNPRTSVGSGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >OB05G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11996566:11997021:-1 gene:OB05G23460 transcript:OB05G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNKLQACEEDGGQGAVHYPGAEGGLELRGGVGGRGVEAENLGWRGERVEYPARVSAAAVAVVRQDGPESPHRATPRHKRRRWRRRPRPAQGHAMAARREGGGGGGGHPGCHARARARRDRRHVLGRLPVLCFAARCVCSCGGEKLTLY >OB05G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:11996680:12001573:1 gene:OB05G23470 transcript:OB05G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05345) TAIR;Acc:AT3G05345] MAARVPSASASSFPPRSHGMPLGRARAPSPSPSLMPRRGAVRRLRPVLAHNGHGGGGDPSRILDPLATPSQILGLDASASYSAAELKAAFRARVKEFHPDVCKDTKNADLIMRRVLEAYEILSGNQGMMIKRNNVDPFDEPECEVCDIFVNELLCIGTGCPYSCVKRAPHTFAFADDTGTARAISQGHDNDYNVQLAVGQCPRKCICYVTPCQRIILEEILASVLMTPYDLSEAAVLDSLLSKAMFENNRYKKPKKETKSSSDYVDWI >OB05G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12004485:12008109:1 gene:OB05G23480 transcript:OB05G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27550) TAIR;Acc:AT5G27550] MDDSLIPSPRLEQIDTPDRLAALRFLGGRYNGLVERYKQQVAKCAEECVPRYDGLKKKYTDECAERRRLYNELIELRGNIRVFCRCRPLTHDEISNGCSSIVEIDPSHETELQYAPSDKERKVFKFDHVFGPSDDQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTYTMEGVPEDRGVNYRALEELFRLSEERSSSVAYNFAVSIMEVYNEKIRDLLDESSEQTGKKLEIKQSAEGTQEVVGLIEAPIHTIDGVWEKLKVGAKNRSVGATNANELSSRSHSLVRVTVRSEQLVTGQRCRSHIWLVDLAGSERVAKTEVEGERLKESQFINKSLSSLGDVISALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADYGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQLTEKLRHEEKENAKLSESLQFMQIKYTSRENVFKTLQDKIREAEQTAKTYQQRVRDLENELTNQKKAARDTARSTRPPLAPVRQRPPLGRIGNHMPPSAPPLRLRLSKAPTIQNKENIPMMNKASSSGADTSKAVGKARRVSLTPVIRHIPLQPKRRSSFAVLPSQREQLSILPEKRTMSRLSHLPMPRRSIATFSSIPGTPVAAPAHAPVDATPDTNGRFRSLEFSSSKFRSPTRLGMWQPRNNASSPQQKLRLASASGNASKLCFSVQKRVILGSPAPVKSGLLSGAGIFNPALREKMMAAKVGNAQRVFNANRRKSVL >OB05G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12008467:12010103:-1 gene:OB05G23490 transcript:OB05G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFTSDGSNEHTEIYLSLGLTVPQSKKKDAEYPKVLLLLATYLDRVVQKNEDLLDSNEIKNSSTIFHGQRAPDLSIKLYAERIFKYSECSPSCFVLALIYMERYLQQPHVYMTSLSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRLELDLLFNLDFRLKVDLDTFGSYCLQLEKETRVFALERPIQVHGVDGAKDLSRNSSIDECCKSELMRGRYNSQALQGCI >OB05G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12014167:12022845:-1 gene:OB05G23500 transcript:OB05G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEEVVNVIVEGGAVPALVCHLKEPPALAVVQEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNLRAVNSVIRRAADAITNLAHENSNIKTCVRIEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKSQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGIQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKCVQRRVALALAHLCAPEDQRTIFIDNNGLELLLDLLVSVSLKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICIRPGSSQLIQRVIPELRNFFAKALRPSHRNAQP >OB05G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12041148:12045319:1 gene:OB05G23510 transcript:OB05G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54820) TAIR;Acc:AT1G54820] MADASAASPPSPAAPSPFAADDLVDARLAPWPPFTPWPAPGQLHHHNARGGGHPNPLFTILPASALAIGLVLLVAVAVILVMTRRAKPGAVDAAGASCNGDKPCAPASSCGSSVRGYNNSRYYAAAAAGCIYGGRLGFSVQPRNRGAQVFTYRELESATDGFSECNVVGRGAYGVVFRGRLGDGTTAAIKRLQLDGRREGEREFRIEFCSCHRATVFGLTFWVNDEQIGGVAITAQGRPAEPDALAVPGGAAGLLRRPEPPAAGVRVHAQRQPQEPPPPLGIALDCARALEFLHEHSSPAVIHRDFKCGNILLDHNYRARVSDFGMAKLGSNKANGQVTTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTKRPPGQHVLVSWDQPCMPIRMTNRRHAEVLSLFAMSNKRMQALPRLTNREKLVQMVDPALIGQFSLKDLIQVAAITAMCIQTKADYRPLMTDVVQSLIPIVKTPLMSCTSTPLRPAHHHAVYMMSPGRGSSNGGAALETRCIMHGPLD >OB05G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12046251:12049684:1 gene:OB05G23520 transcript:OB05G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTPDPAGGEGKVVDSFGGIKAYVSGAAESKAAVILVSDVFGFEAPNLRKIADKIALSGFFVVVPDFLHGDPLVPESTEKPFPVWIKEHGPDKAFEEAKPVIAALKEKGVSTVGAAGYCWGAKVVAELAKAHEIQTAVMCHPSFVTVDDIKEVKCSIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLK >OB05G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12051732:12055355:1 gene:OB05G23530 transcript:OB05G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLDPAGGEGQVVDSFGGIKAYVSGAADSKAAVVLVSDVYGFEAPNLRKIADKVASSGYFVMVPDFLHGDPFVRENTERPIQVWIKEHGADKGFEEAKPVIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDIKEVKCPIAILGAETDIMSPPELIKQFEQVLSSKSRIAHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMTDWFNKNLK >OB05G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12056211:12056508:1 gene:OB05G23540 transcript:OB05G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCWESPPAEGERSSTTSAGRRRTSPSRPAPRPPSSSAPTPLALKPQT >OB05G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12057324:12057485:1 gene:OB05G23550 transcript:OB05G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMKCICSLDCVVLPIIHFGKHIEFFLPGWPKSGQPNFIKDREFTNFLQQRAE >OB05G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12061380:12062054:1 gene:OB05G23560 transcript:OB05G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXGGWPTAPDGPFSWGYCFKQEQNPPSDYCQPSAEWPCAPGRQYYGRGPIQLSFNFNYGPAGRAIGVDLLNNPDLVATDPVVSFKTALWFWMTPQAPKPSSHDVITGQWAPSPADISGGRLPGYGVITNIVNGGLECGHGADDRVADRIGFYQRYCDVFGVSYGSNLDCYSQRPFNSGSSVGLGAEQ >OB05G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12069829:12070105:1 gene:OB05G23570 transcript:OB05G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRDKVSGVWSGRMHWLWLHYLCHGEGHAGESTGYGDGGTGSQGSRYRGNRLSRGDLVDI >OB05G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12073148:12073790:1 gene:OB05G23580 transcript:OB05G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXGRLLRPQRRVAVRAGEEVLRPRPHPALLQLQLRAGRRGHRRGPPEQPGAGGDGPGGVVQDGAVVLDDAAGAQAVVPRRGHGAVDADLGGRRGGAGARVRRDHQHHQRRAGVRPRPRRPGGEPDRLLPALLRRPRHRLREQPRLLRPEAVQQRVRRAVNSFSPPAAGR >OB05G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12077981:12079494:1 gene:OB05G23590 transcript:OB05G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARAANLVAMRALALAVLVLTCAAATARAEQCGWQARGARCPNRLCCSQWGWWALLVGLLLQGGGGCHVRLLRAERALAVRSRQSIPRTRANANRIQLQLWGGRGGDRRRPAGQPGAGGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRRRTRHLCAAVPVPAPNKKLRAAYGARTTRSLRSASGASERVCYVCCGFGRGILHGSVKARMYYAWSHDMIMV >OB05G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12082946:12085021:1 gene:OB05G23600 transcript:OB05G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAGITPTLPRCLLVFLAAVAVAAASAEENGGAGWHVSPSPSPSPSVVSSSRARTSKHHPCLENPPNMTENTGGEAGEVVHDYGGLEAYVTGSRRFHLAVILVSDYYGFRAPKLRKIADKVALRGYCVVVPDLLFGDPYTDDPARPFEEWIKTHSPVEAAEKTKPLIAAMKKDGMSSIGIGGYCWGGKVATEISKTKETKVAVISHPALVVVEDMNEVKIPIEILGGELDTLSPPKLAHQFEDALDKNKRVDHFVKIFPKAPHGFACRYNTSDPFAVRTADEARVDMVKWFDKYLKL >OB05G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12088089:12088723:-1 gene:OB05G23610 transcript:OB05G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C reductase UQCRX/QCR9-like family protein [Source:Projected from Arabidopsis thaliana (AT3G52730) TAIR;Acc:AT3G52730] MGLWDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNIGDFSVYKLVPSNIFVLVLEFLEDSPFLCETRG >OB05G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12090518:12091445:-1 gene:OB05G23620 transcript:OB05G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein [Source:Projected from Arabidopsis thaliana (AT5G18970) TAIR;Acc:AT5G18970] MATGGPSSMSAGLLFLNLIMYVVVAVIAGWAINYSIDESFNSLQGVSPPVRMFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGHPSSMMSAAATSLVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCAGSLHAGAHAAIIQTPMASRV >OB05G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12093958:12094230:-1 gene:OB05G23630 transcript:OB05G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRGGAGKEERARLCCCCSWRMSGGRRLSVFPAQDAPLALRAAGGQRREAGARVLWRALLATLRFYGEELQEAPTRRRWAMLCCCCC >OB05G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12098497:12102954:1 gene:OB05G23640 transcript:OB05G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT3G21580) TAIR;Acc:AT3G21580] MAAVHLRPLHSLALPPPLPLPLLAAKATANPGCAVRRXXXXRHRGGGLALLVCAASGSGPSKAARSSSAGQGEAGDAVARWAAWIPRAAMLGAGPEQVLRLISGAAATPICQFVDSPRTFLHSIDPRVKLVWLLALVVLPARSNIYMRFGLVAYLTLLSMWVLPNQVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPGSTSGYSYTIMKLGPLQFTRKGLSVASTSACLSFSIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALMALASISDKLV >OB05G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12102858:12107775:-1 gene:OB05G23650 transcript:OB05G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II [Source:Projected from Arabidopsis thaliana (AT5G59180) TAIR;Acc:AT5G59180] MQRGTDPTVRTPRPRLNIAIPSPRPRIVRRNRFAIRFAHRLAESPAADEGNKMFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >OB05G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12108187:12115423:1 gene:OB05G23660 transcript:OB05G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3M6Z2] MASRCTARLPSPPRVAARSGRAVSLVSVRPVKSGVARGRAVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTPLVTNFESDKPPAEFANLYKEDELVGGHVIMLGADPASQAAAMEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSEGKMNIERLKQLVNLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVELLGRYSPIPVTYAGGVSTMDDLERIKRAGKSRVDVTVGSALDIFGGDLHYKDVVLWHKDQNMREQTTPVQMRNNRPAMVMILDSARKGSKGAAADVLRPVAVEYTRRTVGHYGMQFVSDARTVHCKPYPCGITQANHDAGGIDTSKANRKSYQLVPDRWAQHGSICCCMAFTSRAAAGDTEEKRESCAAIDKLTKPGKFNYLPRLRLHTL >OB05G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12117476:12121761:1 gene:OB05G23670 transcript:OB05G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPAAATVALLFAAAALCLSGGGRAAEASSEFNYPAVFNFGDSNSDTGGRVAAGFESIAPPYGSTFFGAPSGRVCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFLAFKDKVTKLLSKGDRYRRYIPQVDYFSHGLYMFDVGQNDLAGEFYWKSEDQVAASIPTILLEFETGLKKLYEQGARKFWIHNTGPLGCLPQNVAFFGKDPSQLDELHCVAKHNRVAKLFNLQLHALCTKLRGELAGSSITYVDIYTIKYSLIANYSRYGFENPIQACCGYGGPPLNYDSKVPCGQTVSLDGNLVTAKGCKDSTEFVNWDGIHYTEAANFHIASQILTGRYADPPFADKMPFLIKPKF >OB05G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12120783:12122843:-1 gene:OB05G23680 transcript:OB05G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 18.3 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G54780) TAIR;Acc:AT1G54780] MAVHAGTAPYPAPESHPPNHNPTYAIATKLKQKTAQTLLITSSACFSPAAMETLLSPSTLLTPLRCSKKKPVSPAVSPSPRSVVVVSCAVKRQQQQPQAVGAWRGDGGVGSWASFLQHGLAAAALSLAISLAPAPAPAVASEFDVLNGGPPEDSYVVDDAGVLSRVTKSDVKRLIRDLESRKNIRINFITVRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAVTGGPAFVKAVGDEILDSTVSENLPVLATDEKYNEAIYTTAKRLVAAIDGLPDPGGPSFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >OB05G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12122478:12122882:1 gene:OB05G23690 transcript:OB05G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding AARPCCKNDAQLPTPPSPRQAPTACGCCCCLLTAQETTTTDLGDGDTAGLTGFFLEQRSGVSNVEGERRVSMAAGEKQAELVISRVCAVFCLSFGCNGVGWIVIGWMGFWSWIRCRASVDSHVWLPYYKSRSRSS >OB05G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12126483:12130125:-1 gene:OB05G23700 transcript:OB05G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALSLSSPLFLAALPKVRGVISCRADLGSPSRNSVRVHGGRQLRRATIVNVIGRKSKTRETIVPDPDYRLPIVILGIAGAFAYADNLLAAAPVGLLGCLLLFQTTRVRFVFDDQALEVKVGDQLESSGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDAMVERAGPSETSGPK >OB05G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12132101:12135420:1 gene:OB05G23710 transcript:OB05G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPGGAKLYSARTIKVKDEEDIRTYYFYEFGLDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSIS >OB05G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12139474:12139674:-1 gene:OB05G23720 transcript:OB05G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAPAPARPMVMAPAGGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >OB05G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12142481:12150606:-1 gene:OB05G23730 transcript:OB05G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMPAEPEPEPELEPDHDHDPTPQDPAPEAEPDGAVNHPVSPNAAEVVDHEADGEDSSGDSSDRKAPSTEDDAVADGHDHTDQGSQGEHSLPESYPICSDHEECLVRPERIKIQKGFMAKESVKGHMVNVVKGLKIYEDVFTTSELMRVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARH >OB05G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12167567:12167785:1 gene:OB05G23740 transcript:OB05G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRPGTQGRSSCFFRATNASERVLQESGMQQLLEGNGGSVRDAIPGDRTGVTGQANCVGNRTAGQEEEDAAR >OB05G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12181272:12183686:-1 gene:OB05G23750 transcript:OB05G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15470) TAIR;Acc:AT4G15470] MQPLAPAGYRRAPEMKEKVDASAVDLEAGNGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSIGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALILLIVISFVQVFFPLGSGSVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >OB05G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12187911:12190042:1 gene:OB05G23760 transcript:OB05G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3M702] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRALRELLFCTPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTEKETTTQGHDDLGKQCARYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVAPEVIAEYTVCTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWAGKTENVESARKAFLVRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >OB05G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12191020:12205744:-1 gene:OB05G23770 transcript:OB05G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVQGVDLLRRRHWASRLDLWPFLALYALWLVVVVPALDFTDALVVVGALSASHILAFLFTAWSVDFRAFVGYSKVKAIRSANACKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIFFDFRKQRFIYSPEKDNFFKLRYPTKESFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQMVTTYRCGKWVKIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGHEETLSVKRDKNHILFGGTKILQHTPDKSTNLRAPDGGCIAYVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEGDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIQEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKAGSFEWISPDEIDRAPYSAEEVAGVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELILTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKPENKPGKLKKPKPSQEGSSQLTQPANSSAKASSSRPLTAAERQRERLQKMMEEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEASKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLVPLPEGMRGKVMLWAILMFCGCYGWERILRWAFPGKMPAWEKRQKKAIANLEKKHE >OB05G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12200962:12202638:1 gene:OB05G23780 transcript:OB05G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMFLAEAAFGKNCSWEKLPQIGPLLYCLTSMSKRLRTNMAKSSCTHIYCMEEDLEVISNELSYGVKNFPCSYLRLLLTIRKPSKTDFLPLVDKMANSLLGWKASLMNRAGWLITVRMVLSATPIYAMMVLDLPKWVPMKALVLLNLEKMGWALPMRWLWLQKTDFARAWAGLSINVPQNIHSLSNVAVKTIVGNGENTKFWKDRWLQGKTVAEMAPNLFNLISKRARQQHIGEQALENRKWVAGIHGGLSEQDQHVWKLTTDGKYNCKSTYEAYFLGSIKSDSWKHIGRAGLLYAVSSSFGWPSTVGDGQPTGLQSEDCSTQRHVPFVIKVKKLSNTF >OB05G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12212578:12213888:1 gene:OB05G23790 transcript:OB05G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPLLAVASTALLLVLFVSAPPCRAASGGGPPAVLLPASKDDATQQYVTMFRQRTPQAPVKAVLDLAGATLWVDCEAGYVSSSYARVPCGSKACRLAKSNACATSCDGAPSPACLNDTCGGFPENTVTHVSTSGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGATFLLEGLAAGATGMVSLSRARFALPTQLAATFRFSRKFALCLPPAAAAGVVVFGDAPYVFQPGVDLSKSLIYTPLLINPVSTAGVSTKGDKSTEYFVGLTGIKVNGRAVPLNTTLLSISKKGVGGTKLSTVSPYTVLETSIHKAVIDAFAAETSMIPRAPAVAPFKLCYDGSKVGSTRVGPAVPTVELVFQSEAASWVVFGANSMVATKGGALCLGVVDGGATPETSVVIGGHMMEDNLLEFDLEGSRLGFSSSLLFRQTTCNNFRLG >OB05G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12216357:12218627:1 gene:OB05G23800 transcript:OB05G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPPLAVCSVALLLYVSVSPCHAAAAGGKPSAVVLPVSKDGATQQYVTVFRQRTPQVPVRAVLDLAGATLWVDCDAGYASSSYARVPCGSRPCRLMKYRECFNSCFGEPSPACLNDTCSAFPGNAVTRVTAGGTIITDVLSLPTTFRPAPGPLATAPAFLFTCAHTYLTEGLATGATGMASLSRARFALPTQLAKTFGFSRRFALCLPPASAAGFVVFGDAPRVFQPGVDLSKSLIYTPLLVNRVKTAGKYTTGEIGIDYLIGVSGIKVNGRAVPLNTTLLTIDKNGVGGTMLSTASPYTVLETSIYRAVVDAFAAETAAKIPRAPAVAPFELCYDGSRVGSTRAGPAVPTIELVLQSEGAAASWVVFGANSMVTAKGGALCLAMVDDDAIDILANI >OB05G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12222138:12223511:1 gene:OB05G23810 transcript:OB05G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRGSPLAVAAGALLLLLVLGSPRCAAASGGGSAVVLPVNKDDATQQYVTVFRQRTPQVPVKAVLDLAGAMLWVDCDAGYVSSSYAGVPCGSKPCRLLKNAGCAVSCLDAPSSGCLNGTCAEFPKNTVTSVSTAGNVLTDVLSLPTTFRPAPGPLATAPAFLFTCGRTFLTEGLAAGATGMVSLSRARFALPTQLAGTFRLPRKFALCLPAASAAGFVVFGDAPYTFQPGVDLSKFLTYTPLLVNPVSTAPYGRKDKSTKYFIGETTIQLNGRVWREKPTDYFVGLTGIRVNGRAVPLNATLLAIDRKGVGGTRLSTVSPYTVLERSIHQAVTGAFAKEMGEIPRAPAVEPFELCYDGSKVVGGARAVLPAIELQLQSTAAPWVVLGASSMVAAGKGGALCLGVVDGGTEPQTSVVIGGRMMEETLLEFDLEGSRLGFSSYLPSRHTTCDNFRLG >OB05G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12227292:12227906:-1 gene:OB05G23820 transcript:OB05G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALEALRSHGLSDADICKLVVINVSVLTMSPDRIRGIFEDLEELEFPVTHSRFVYGFWALSRLKRRAWEERMSMYMSFGFTRSELLKAFREQPGLLVFTATSIHQKLCFYRDKVKIALADVIARPLLLTFSLEKNILPKCAVLSVLLRKGKIKKDGREMVLFRSLRRSNTSFFERFVRKYEKDVPDVVKAYEGKIKFTGFMD >OB05G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12233163:12234404:-1 gene:OB05G23830 transcript:OB05G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLTPTPRPPSPAAAAPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPFPGGRDSEPCPDTISYLVSCGLTPAAARQTVATARGFRIRSTQKADAVRALLRSHGFSDADVARIARGAPLLLTVDPDRIVRPKLEFFASIGFEPSKLATVPLLLSRSLHNHIVPTIQFLRGVIGTDDEIRRGFSNMPRALQVNLDNCMRPAVEALRQNGLTSSVDLSRVLVFQLGVLMLSPARIAEIFEDLKAMGMPVTDRRFAAWFRAMCSMRRETWLRKVALYRSFGLSESELFEAIKKQPTILLGAEETIKRKALFFREELNLEMRDAMANPVFVAYSLEKTILPRCAVLSVLMRKGKIKPDIQLPQALYGSDKTFTKKYVQRYAADVPDVVKAYEGKIKFKGFKGTSRTQWH >OB05G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12236408:12237712:1 gene:OB05G23840 transcript:OB05G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G02990) TAIR;Acc:AT4G02990] MERGRAARRADVDAFLASLGVDPGELAGLELPATVDVMRERVEFLHSLGLSNEDLSAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPIDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQIGSVITRFPEVLGMRVGKIIKPFTEHLEGIGLQRLAIARIIEKKPYVLGFGLDDKVKPNIEALLEFGVRKEALASVVAQYPDILGIELRDKLVAQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVNFLTACGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERNSEELVEFPAFFTYGLESTIRPRHEIVAKKGFTCSLAWLLNCSDEKFDERMKYDTIGIEEMEVENSFDMNTLSEPLDDEVEDEDLDEDSDYESTDDEFIE >OB05G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12240252:12245439:1 gene:OB05G23850 transcript:OB05G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHLLKLRMMASPHPHPHPRPGAPLAARPLSALASFFLAPPSTSAPPRHVALSCSRPRCSNRNRWSASRRCGGMVGRRSLGVVAMSSSAPPPPGSVQKSEEEWEAILSPEQFRILRRKATEYPGTGEYDKFFGEGVYECAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIARTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEDSKL >OB05G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12243895:12246940:-1 gene:OB05G23860 transcript:OB05G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G18920) TAIR;Acc:AT5G18920] MDADEEDENVKQLSECAALYLSLQDCLVESNRNWKACQAHVQALKACEAKRNKSEKS >OB05G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12247115:12249401:-1 gene:OB05G23870 transcript:OB05G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) TAIR;Acc:AT2G48070] MYSPLGSGCAFAAGSSFPTPAAAAASRLPASTLTAGKWAGSRRRRPARTVLAWASSDGSDGGGAAGSVAAEASAVGESKEGEETGGRGSSAESSTEKKPAPVDPKIEKELKKAVQKTAATFAPRASTATKNPAVPGSTLYSIFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLVPLINVTIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >OB05G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12253825:12254415:-1 gene:OB05G23880 transcript:OB05G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFQMLISGSEKFFYLIYIVMKGNLILRKRGSSTDSIGMYAVQCCECHKWRKVDTKDEFETIRENFTEEPWYCSRRPDCSCEDTADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPEYKDHFSAESFSFTTPKIVEETVSHSSVWKSGKAKKQDRINASSNKH >OB05G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12259377:12261072:-1 gene:OB05G23890 transcript:OB05G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGKQVSGDSVTMYAIQCCECEKWRIIPTKEEFEKIRMNFSVELWFCRKKPNCSCEHPEDIQYDSSRIWVLDRPSIPKPPPETRRLVIMRTDLSKMDAYYVMPNGKRVRAKTDVDRFLAENPEYAATLPASSFNFSTPKIVKETVSESAKWVIAKAKMAGRKEQGATNVQSGSSK >OB05G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12264108:12273827:-1 gene:OB05G23900 transcript:OB05G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSFRRFLDMFGNVVMDIPHALFEEKLEAMKAAKGLHNDTDLSASDLKELVGQYKNVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPQPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQFENPSGYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDAILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDAAKIVVIEDKELHEGEWLSLNGSTGEVILGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDASTARMNGAEGIGLCRTEHMFFASDERIKAVRQMIMASTIELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRELCSETGAAQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAITMTNQGIKVFPEIMVPLVGTPQELGHQVDVIRQIANKVFADMGRTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKVATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLL >OB05G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12273961:12274221:-1 gene:OB05G23910 transcript:OB05G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQCARVQRVFHFGKGKSEGNKAMKDLLGGKGANLAEMASIGLSVPPGFT >OB05G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12284082:12284363:1 gene:OB05G23920 transcript:OB05G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPPREISSFLKFLTPKILKNWPECPVANYHIFVVSCSKFVILRCPPANYVFFQWTVAIFVLNHLFGRIGNRSEIFVTVDSILATNLTHRTP >OB05G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12292385:12293024:1 gene:OB05G23930 transcript:OB05G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQISASPPPPHVPACRLPGSAIAGSTIAACRRLRQRRAVRFHVALSPQRVARLHCLRAQMRLTYQWITALPFVLLAFDEDGNSKMSAAELRGCVTTALGERL >OB05G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12293674:12296719:-1 gene:OB05G23940 transcript:OB05G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSGILLAAFLAAAVPYALRLLHSFLWVPRRLERRFRRQGIRGPRPXXXXXXXXXLASFHHAVVARVAPHYREWPERYGRPFVFWLGPRPRLVVSDPELVKTVLTDSTGAFDKAGSGGGNPLARQLFGEGLVGLTGEKWARHRRVIAPAFNMERVKGWISEITAITSSMLDKWEVQDEGRAEFEIDVFKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLALLAMRTVYIPGFRFVPTNKNRRRHMLNKEVRNSLRKLIEINGRKCEDSNNLLGMMLSASKIGSEFRMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQSMARDEVLQVCGKYKHPKAENLSDLKIVNMVLKETLRLYPPAVFLSRIANRDAKLGKLDIPAGTQLHLPILDIHHDVNIWGANADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMILQRFAFVVSRPMFMRQCLCSHSNPSMVLKFFSTRFETSIDLCVDFWVLAVGMVNAAGIVYHISVSNFERMFPSCLNFLSEVG >OB05G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12299585:12302503:-1 gene:OB05G23950 transcript:OB05G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGVLLAAFLAAAGGYALSLLHSFLWVPRRLERRFRGQGIRGPPRHLLSGNAADYRALHAAAQSTPLASFPHAVVDRVAPHYREWPKRYGRPFLFWLGPRPRLVVSDPEAMRAVLTDTGTFVKIGPGANNPLAKQLFSEGLVGLSGETWARHRRVIAPAFNMERVKGWVPEITAIISSMLDKWEVKDQARSEFEIDVNKEFHTLIADVISCVAFGSSYEEGKRVFQLQDEQQNLVLLALRSVYIPGFRFVPTKKNRRRHALNQEIRNSLRKLIEINGRKCEDSNNLLGMMLSASKNGSEFRMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQSKARDEVLQVCGKHDHPKAENLSDLKIVNMVIKETLRLYPPAVLLNRTAIRDVKLGKLDVPAGTQIQLPVLDIHHDVSIWGPDADAFDPSRFAEGRSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMILQRFALVVSPSYVHAPMLMLTLQPQYGAQVLVHKI >OB05G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12318200:12324045:1 gene:OB05G23960 transcript:OB05G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIGPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPLYSDGTEGFRASHPMLLVVAGDETNGLGMVQGGRLSALIRDNSSEPQPPNGNCISTPTVVRFYSLKSHTYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKLSVLTYPLQGAPGVNIGYGPMAVGPRWLAYASSSPLLSSTGRLSPQNLIPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTLIVNSSSSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGPPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKSSAPSGAVTAVFHNSNYEGSLPVPSKANALEHLLVYSPSGHVIQHELLPISGSESSDSSPIVGTGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENMANIVFHNQRNSMMAMDSSDCDSEHSDSVPSDGISGKESMRSRERSSWYLSNAEVQISSWRIPIWQKSKIFFYVIDQPPAELEEYHSFSGGEIEIEKLPLHEVELRRRELLPVFKQFHHSEQNFNDRTHAMGRFQNALIDIDKDNGAHGSKPGLPISGFYNDTRKMQSMNGLGGQLLSGPITTHDLHPMEKCNPVESPKVANLTALHNVDDTSMNLVSTAPGGNASSLTLHGRERVDRVHSNIRPLSSYSLLDGPLDDGLPSPATNVSSGPQLTNNSSVSNGATTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >OB05G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12329931:12333775:1 gene:OB05G23970 transcript:OB05G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGEGEEVMAAPAPAPAAVAAEFRLPAEVVAVLPEDPFEQLDVARKITSIALALAERDALAEDLRERVEQLDASLAVATGRLRRIEEEKEALQRDNSLLSNTVRRLNRDVAKLEVFKKTLMQSLQEDEDPANTTPKARVSEASNFSSATSAGDEDSAFPASKSSQLSETASSISEESSYVEPDVPRPPRPHVFLPSYNSTPRITPPDSPPRSFTSMSPPRRHSISVTSRNLFDDRSSVYPGHHSSVTSPFDAASHTGRTRVDGKEFFRQVRNRLTYEQFSAFLANVKELNAHKQTREDTLRKADEIFGPDNKDLYSLFEGLITRNIH >OB05G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12333880:12340180:-1 gene:OB05G23980 transcript:OB05G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDLFALLYILKQNRSEFDVKAITINANEWSDAGHAVNHLYDMLHMMGRDDIPVGVGGDGGVSGAGAVRPDVGGYLPLIDQGTSTAGGCRYRQAIPPGSRLDVDTNSGVRRGFLPQGRRRYRPLVQPTAQQVMADTVSGGATTVFLFGAHTNLALLLMAHPRLRRNIERIYVSGGAVRTADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTIPVTEGFVSEFQQRRRTYEAQYCFQSLDKVFTRLRGTSNNTSYYMWDSFAAGVALSSMRNGEIDGGNEFSELEYMNITVITSNKPYGKRDGSNSFFDGRATPKFGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNMDNNSSLEKEFYKSFLEVLNRPEQTGLFNISTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDIVHMMGRDDIPVGLGNTTALGMPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPGTLDHPEVRQPLALEVWQSVRKQLDPGEQITVLTNGPLTNIANISLSDRYASSVIERIYVVGGVIKDRGDDNGNVFTVPSNKHAEFNMFLDPLAAKTVLESDLEITLIPLTAQRKAASFQAVLEALQDTQHTPESKFVHELLSLLQELQRKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVVANTNKSTDGQIVVSKSSTKPVRVLSDFDGEIYSKKLTNSLANKRQSAVIGSFEEQKVIWSRPPNNSGNGRNKGNLL >OB05G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12358717:12358875:-1 gene:OB05G23990 transcript:OB05G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAIAQNSSKNTKHNYYIKSRTVLLCLRGKVPAHKSQSIYFSRYKVFFFEDSL >OB05G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12362159:12368751:-1 gene:OB05G24000 transcript:OB05G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIDVNAWSDAGHAVNQLYDMLYMMGRDDILVGVGGDGGISVHGTIHPNVGGYLPLIDQGMATFGPCRYRQAIPLEGGGRLDVDTNFGIRKGFLPQGNRRYRPLQQSTAQQVLIDTISAGPTTIMLIGSHTNFAIFLMTHPHLKRNVEHIYIMGGGVRSKNPTGCCPKNSSTSCTPEQCGDHGNLFTSYKTNPNAEFNMFADPFAAYQVFHSGIPITLIPLDATNTIPISEEFFHVFQQQQSTYEAQYCFKSLKMARDTWFNDEFYTSYFMWDSFTSGVAISSMRNNNDCESVNDFAELKYMNITVITSNRPYGIHDGSNPSFDDHAIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGICEDGYTKEVSGPEAVHVCVATMAKQNVDKNSVLNREFFKSFLGTLNLPENTGLFNITTQFPFYREVLYSPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKTPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGNTSALGAPSLGCKYVSAIPQGSSGLLDSDTLYGLARLLPRSPRRYTAENSVKYGAPRDTDHPEFRQPLAFEVWQFVKQQLDPNEKITILTNGPLTNLANILLSDRNASTVIKSVYVVGGHIRDDSNTKGNVFSVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFPALLEALKHAKTPESRFVHHLLTVLHDLQRKHQLYHHMDIFLGELLGAVCLVEGSNIEQSIQLKPVSIVANSTTGVDGQTVVDYQKANLVKVLLNFNSEEYYNRVANSLGNKERSAVISGFAEQRAIWSNPPENVGV >OB05G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12372229:12372828:-1 gene:OB05G24010 transcript:OB05G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNILHLSPYFKKITKQHDILQPHPTKQKKIKPTPSLRSCRRLSPLHLSLQTTAQVVSRAAEAAGAAGRRRRPPTERXXXXXXXXXXXXXGGRRRSGARGVVDLKPRRACCSHSHSPPPADGRRSSALARQQAKHGAAATGAYGGDTNGGRDGDGADLGAADTVSLLRSPPDRASSTMVLLCKQTSWVGHMHLPFSA >OB05G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12374582:12375267:-1 gene:OB05G24020 transcript:OB05G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRTATAVLLLLVAVAATVLAVATAAAPRRILVDTDMDTDDLFALLYLLKQNRSEFELKMSKDSM >OB05G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12392270:12393463:1 gene:OB05G24030 transcript:OB05G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARLGAVVVLLAAAAAMVAEGILDPVDFLALQAVRRSLDDLPGSSFFEGWDFTADPCGFPGVYCDGNKVSALALGDPRAGSPGLSGRLDPALGRLSALTELSLVPGRVEGELPESLTSCRNLRFLAVSKNLISGQIPDGLGGLSNLRTLDVSFNQISGTIPPSIATLPSITNLILCHNHLAGSIPSFPDSSPLIRLDLKHNDLSGGVPSLPSTLQYLSLSANRLTGTVDSVLPRLTRLNFLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQPNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSQNTRPADQCPEWRG >OB05G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12397443:12397631:1 gene:OB05G24040 transcript:OB05G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHILPLQTKCFFSVFYFLPRSVEFQGIYFLLRLCFVFHRVCSCDKFWIWIVLSRAMLAGCP >OB05G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12397362:12405033:-1 gene:OB05G24050 transcript:OB05G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G03020) TAIR;Acc:AT4G03020] MGYGMSRLEEEYSEHEENNNDGSSSQVNNDFLNTHNDIFHMTRIRSGPSVRKSIGTRKDMISTTRLLSGREINSSGNGKFSSVDRAFVLGRYLPVDGPEKVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKESYANVNDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLSGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSYEDRTSNWDYRYSRYPQQYKQLKHPHDQSIATYRGHSVLRTLIRCYFSPVYSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLTIRDCSWHPFDPMLVSSSWDGRVAKWSRSSNPRETSDLD >OB05G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12417859:12419147:1 gene:OB05G24060 transcript:OB05G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPPQRQGTNKEEETIHRGRVGLGGGGCEAEPRAKACIFSGKGFVRAGNSKEAHMHIQIDRLLRVVPLHTWVLISNYKLSYNILRRADGTFERDLGEYLDRRVAANARPLEGVSSFDHVIDQAVGLEVRIYRAAAAGDEGAAAVTLPILEFLTDAPASEPFPVIIFFHGGSFVHSSATSTIYDSLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWTALQWVMAQPFMRSGEDAQARVFLSGDSSGGNIAHHVAVRAADEGVKICGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLKGLPFTKSLIIVSGLDLTCDRQLAYAEALREDGHDVKVVQCEKATVGFYLLPNNDHFHEVMEEISDFLNANL >OB05G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12439261:12440778:-1 gene:OB05G24070 transcript:OB05G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRHGRPLLPLLRRHLHRSATLCHASVPVPPDGIPSNSFPSSSSLSSSPYPPPDPTAARWSPSAPPLYTSAASHLREAVSSLAAAILALPGADPDPLPALSEHSFPILLAVSPLASLDLLSLLRHKPQLGLAVFSFRRTLSPPPTLPEFVLAISLASRARDTAAAAALFADASTAYCPDQALYNALMSAYMHSGLADRCVEAFRTLERDPRCGPPNADSYNILIALFGRYLLVDHMEATLRSLDASGHPRTIGTYNAIIAGYLTAWRWEKMESVFNEMASSHVAPDNTTHLLMLRGYAHAGMIYKMELAYERARQDVGEVDIVHIRAMLCAYCKFKHVDRIEKIDELLQKMGPDDYRPWLAVLLIQAYAQEGLLERMEQWIAEALKRNTIVTSVQVLRSIITSYFRCDAVDKLAHFVRQTEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDDMAAFQFDRTKKTFWIMYKAYASCGRWAEANTILGMMGKHGFGFPHDGFIQ >OB05G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12448392:12457064:1 gene:OB05G24080 transcript:OB05G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) TAIR;Acc:AT5G18830] MPPVPTAGGGGGGAGGEPVWDWGNLLDFAVHEDDSLVLPWDGSIGIEADPTEATLPPAPPPQPAEETEAPEPAPLPSMQPEGSKRRVRKRDPRLVCPNYLAGRVPCACPEIDEMAAALEVEDVATELLAGSRKKTKTAGRGSGAAAGGSGGGTSRGTPAEMRCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDADDQLDLSADGSGDGELREENIDATTSEMLETVLSNKVLDRETPVGSEDVLSSPTCAQPSLQIDQSKSLVTFAASIEACLGAKQENTKLTNSPVHDTKSTYASSCPTGRVSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVYVAMPQHMWDKLSEDTVNLVKSLVNAPNSLLLGKGAFFIHVNNMIFQVLKDGATLTSTRLEVQSPRIHYVHPSWFEAGKPIDLILCGSSLDQPKFRSLVSFDGLYLKHDCCRILSHETFDYVEGGETVLDSQHEIFRINITPSKLDNHGPAFVEVENVFGLSNFVPILVGSKHLCSELERIHDALCGSLDKSSDPCELRGLRQTAMSGFLIDIGWLIRKPSPDEFQNLLSLANIQRWICMMKFLIQNDFINVLEIIVNSLDNIIGSEVLSNLEKGRLENHVTEFLGYVSEARNIVDNRPKYDKQTQNDTRWASDNAPNPPMLGTSVPLAEVGTGSSGEHDLRSTNVASGEEENMPLMPKSLPHRQCCHPDINARWLSPASIGILPGGAMRMRLVTTVVITAVLCFTACVVLFHPHRVGVLAAPVKRYISRNYSS >OB05G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12460848:12465054:-1 gene:OB05G24090 transcript:OB05G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGFYVFSTAVAADISQAAAASGCLLLRRPAASRXXXXXXXXRDGAPALRVLRLQHAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVCGHARFGRTYDTGHYPVWDEQNPVHFVGHSAGVQVVRVLHQMLADKAFPGHDTSEEWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSVCLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGFSGLIDLLLGHSGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKKTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRFPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPVEHPNRFVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRKSPPTVPNETSQ >OB05G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12480792:12482560:-1 gene:OB05G24100 transcript:OB05G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHTQRSSSETLMAALLSSSVPASRLLTSLAADVRRLRCRLAFLLLSPPHFSRALARLRAMPLPSKAELLGRVLIRSLLLLLPALSPDGSHHLLRIPAPELDAAILLLAMCDSYSPAAASSSYHSSRSTVDWHALLVDDAVGSALSISGLGATPWASFAPYVDEAAKCRRFADVVSQERASL >OB05G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12486495:12492890:-1 gene:OB05G24110 transcript:OB05G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAFSIPRGFVGALPQEGHFAPAAAELCLHKLQTRPPKQPRRRSSCISASLSTEREAAEYHSQRPPTPLLDTINFPIHMKNLSINELQQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFTKRAESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAAPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLITILREVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDSRVVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGKGRVLLEGERVALLGYGSAGQYCLAAASLVERHGLRVTVADARFCKPLDQGLIRRLANSHEVLLTVEEGSIGGFGSHVAQFLALDGLLDGKLKWRPLVLPDRYIDHGAPADQLAEAGLTPSHIAATVFNVLGQAREALAIMTVPNA >OB05G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12508864:12510717:-1 gene:OB05G24120 transcript:OB05G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKSKSKDRSASKAPKEQPKVAAKPMGNGTVASAYNNFSGKFHLLEPSSSLLVSQGNDKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKSTSTAPRVDSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSSDQATMALIQNEGCLEESVAWLCNFDGSEETKQQTAADQQPGVNLKIDITDELAKIATLEVKFKCSKQEVERAVVSSEGDLEKAEEVLKTQKQESTATTSNHEGSGDLSGLANKQQIMLAQNPTRPQTNGFSSVGVQQMRRDEKDLNYKLLLNGSGPKEHAVKGFQPLAPPVKPEIGRQQFVQPEKRRLNANSVPSVSYITSSPLPVATPQIKSETRHVAGGNEVKSAMHNGALRESVVVMQRPQSAAAKQSLPSTSHSMFASEPPREWYLNGASGVDMMLNGGLGHGLRNMSLDGVNPAKQFVHANHQQSFVSNPIELAANGWGGTWGSGGTSSSLGVASSLGLFRGWSSSESSSSLSRPDWRTNGPSPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNLGGAGIAGLHDSNFPMDPSPSPRPYEWPSFCRGGSS >OB05G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12512008:12512187:1 gene:OB05G24130 transcript:OB05G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVIYYIITESKTACPYNFLGAYFPPLPFIGLSSPNQNITSTIITSSITQMFALNSL >OB05G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12519901:12520864:1 gene:OB05G24140 transcript:OB05G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRTLISRLDRATTMAVAPARPHLAALLALCAFAMPRPPAAADAANVPITTCRSFCGNITVDYPFALRAGCGHAGFRDLLYCINGALMLHLPSGSYRVLDIDYAYRGLTLHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLQPRAGGRGRAGRMGVRHPGVVGAAGGEPRVLRRVPRHGRGVRPRRGQPRRPLPLRGLELHLQLRLLRRRGTAKRRLRASACHRRALLGRSGLR >OB05G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12526125:12529790:-1 gene:OB05G24150 transcript:OB05G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAAPGMASRVGNWGDGVGVGPGFGKLALNCQQVLLHFTFFEERRGAAQYESLRRDKVNVGYINETKETRSSICLPQQGRWLRSSEESFGGSVKDDFGTRKKKNKTRPSNLQLQLAKTAPHHRHSRPRTKPHFNLENNLLTETLALALVGKN >OB05G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12532102:12533727:1 gene:OB05G24160 transcript:OB05G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSTESIFSRKYGLLGKEEAHDNAKRIEEICFTSADEHFKAEPDGDGSSAVQLYAKETSKLMLEVLKKGPTSATEPEVPLVDTPVEPADNVFDISGGKRAFIEADEAKELLSQLTKPGNAYKRICFSNRSFGIGAANVAGPILESIKTQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAMFVAEMVKRSPNLESFRCSATRIGSDGGVALAEALGACTRLKKLDLRDNLFGVEAGVALSKTLSRLPDLAELYLSDLNLENKGTVAIVNVLKQSAPQLEVLEMAGNEINAKAAQALAECLTALQSLKKLTLAENELKDDGAVVIAKSLEDGHWDLKELDVSTNMLQRVGARCFAQAVTNKPGFVQLNLNGNYISDEGIDEVKDILKSGKNSADVLGSLEENDPEGDPDDDDEEEEDDGELDSQLQGLKVEQDD >OB05G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12535110:12538456:1 gene:OB05G24170 transcript:OB05G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3M743] MLAAIREQAEAEDAARFAVAEYNKNQGAALEFARLVKAKRQVVTGTLHDLMLEVVDSGKKSLYSAKVWVKPWLDFKAVVEFRHVEDSQSQPSIAADGSVGQGTAEPSLAPRTHSHNSEQNKVSVDLSSFSQMYSGLNLASRRGWKTSASEI >OB05G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12539988:12545539:1 gene:OB05G24180 transcript:OB05G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-5 [Source:Projected from Arabidopsis thaliana (AT2G38720) TAIR;Acc:AT2G38720] MATPPRVSCGSLLQELQVLWGEIGQNEAERDRMILQLEEDCLNVYRSKVEQTRKQKEDLIEAVSFGESDIDKILSALGERESFSRVEKLEGTLLEQLAKVEPALDDLRRRRDERVEEFMVVQVQIVRLHAEISGTIDHGDPVTPMVDETNLSQKRLAEFNSQLKELQTEKNLRLQKIDVQINYIHEICNMMSLDLQKELYEVHPSFIELGRTTPMSISDSTLERLARKVHSLNQEKKQRLRKLQDLGSTLIELWNLMDTPTDEQKCFDHVTSLISVSPNTKMPQGCLACELIEKVEAEVKRLNCLKASRMKELVFKKMIELEEIYRNVHMDIDSDHERRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKDILEKIDKWTLASEEENWLVEYERDQNRYNAGRGAHINLKRAEKARMLVSKIPSLLENLTTKIKAWEKEKGVPFMYDKIRLLDSLEEYTSRRQQKEEEKRRSRELKKLQEQFVAEQGATFVMKPSPVRPPSARKPLGQSSNVNIIGGTPTGRRACTPMARKGGLSSGKVKDAGKTAAFIPANYVALPKDCSDNSSL >OB05G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12550440:12552240:-1 gene:OB05G24190 transcript:OB05G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQKQQQGSSMMQKCKPYLAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISILAPFALVLERKVRPRMSFWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLKRARCVAKVVGTLVTVAGAMLMTLYKGRAVEMIWTKHMHLHGAHQVDAAAAAAADKDWLRGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFVMEHRTSVWKIGFDMNLLAAAYAVSLENHPRYILFSSRAIEHVVRVTDQGSGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENVEKKEAEAMEIPVAINGNGRIMDIVELDEVQLEKAQANGKAVVHDHAAAAVVAVTVPAEEARMQGKDEA >OB05G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12566194:12567221:1 gene:OB05G24200 transcript:OB05G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDAVLWTSMLSAYAQGGHPERALRFFQGMVVAGVQLDAVVMVSLLLACGQIGWRRHGRSVHACCIRRLPGLPLSLGNALVDMYVKCGDFALAERVFAGMARRDVISWSALILGHGLNGRSDVALRLFDEMAAEGVKPNSVTFLGALSACAHSGMVNKAYAIFEEMKWHGVEPELKHYSCMADALGRDGRVLAAVKLIEEMPFQPDEAMLGGVLAACRVHGEMEAAERISNKLMGMSPSKSGYFMSLANIYSDAGRYSDAERIRGFMKEVKVDKLPGCSSVEFDVSVSEPRSG >OB05G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12580317:12581513:1 gene:OB05G24210 transcript:OB05G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATESRSTQQVQATDAGEVAPAGGPKVVDEVSGWMRVLDDGTVDRTWTGPPEALPLMQPVPAYAEPRDGLTLHDLPGEPSLRVYLTEVAADGVGHGRRLPVIVQLHGGGFCISRPSWVMYHHFYARLACALPAVGGALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPTGRRLLPGVPHRGQLRRQPRPPRRRARRRGRGRQLGAPPRGRRHPAPPGVRARHPEQVGARAKAGLGVLHPRHARQVPRHGAAGGRHQGPPVHVPDGPERAASGVSPAAAAAGRRRRARPHPRHQP >OB05G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12584515:12590541:-1 gene:OB05G24220 transcript:OB05G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTVEREDGVAEEQKNRTKQGGYRTMPFILANEICDRFATAGFGANLITYLTQQLPLPLVEASNTLTNFSGTSSLTPILGALAADAFAGRFWTIIAGSVFYQVGMVALVASALLPRATGWQLAVLYLALLCTALGSGGIRPCVVAFGADQFDDQQQKGGGEAAGRKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSIVVFLAGYPLYVRLKPGGSPFTRLAQVVAAAFNKRRAAVPEDPGMLFQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDITGSGEPDLWRLSTVHRVEELKSIVRLLPIWSAGILLATAASHNNTFTIQQARTMDRHLTPRFQIPPATMSIFTTLAMLVSLALYDRAFVPLARRVTGLRSGITYFQRMAIGLGISILGVASAALVEVKRRGAAAGHGLLDAPAAVVPISVFWLVPQYAIHGVAEAFSSVAHMEFLYDQAPESMRSSAAALFWLSSSLGNYMGTVLVTAGQRATRGGGEWLQDNINRGRLDCYYWLVTTLMVLNLGYYLLCFHFYTMKPLEVADEDSDEKECELSSVHKNGGAGAGGLV >OB05G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12588974:12589216:1 gene:OB05G24230 transcript:OB05G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPRAVHSRARYSTASCHPVARGEQRGRHERDHADLVEDGPGDDGPEAAGEGVGGERAQDGREAGRAAEVGQRVRRLH >OB05G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12608845:12609111:-1 gene:OB05G24240 transcript:OB05G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWYSTPPPAMVQKRPAKESATTAPNTGVNAAVPLKLVRRFEASTSGRGSCRGRGGVMFSVKPAVANLSHTSFAALTECSQNIASYA >OB05G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12618970:12620872:1 gene:OB05G24250 transcript:OB05G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLLCTALGSGGIRPCVVAFGADQFGLGGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQETVGWGWGFGIPAIAMFISVLSFVVGYPLYVKVKPEGSPFKRLLQVVVAALKKFKEPVPEDAGMLYHNKELDAANATDGRLLHSDQLRFLDRAAIVTPGDISGSGEPNLWRLSTVHRVEELKSIVRMLPLWAASITAVAAGSHNFTFAIQQARTMDRHLTPRFQIPPATMIIFTTLTMLVSLALYDRVFVPVARRYTGRKSGITYFQRMGAGFAVATLGVLAGALVEAKRRAAAAEHGLLDIPSAVVPVSVFWLVPQYALHGVGDALATVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTLLVTLVQSASRGEWLQDNINRGRLDYYYWLVTFLLVLNLAYYVVCFHFYTLKTFEVDAGDEAQRQRDAAGSVEAESELSARHVDVGVSGNGGVKL >OB05G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12621801:12625629:-1 gene:OB05G24260 transcript:OB05G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEEKQGMDVVEVKKAKQGGFRTMPFILANDFCDRLATVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVVYQLGMVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYVSLLFTSIGAGGTRPCIMAFGADQLELDAHGRRGGRGKGPKWSFFNLYFFGIELAKLTAVTVVVYIQENIGWGWGLGVPTIAMFAAVIAFVSGYSLYVKMPPGGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTDQLKFFDKAAIVTDGDVQPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSSSHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPNGITHLQRAGVGMTIAMVANGVAAVVERRRKSVAAASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIVHAKTQRSGQWLQDNLNRAKLDNYYWLVVGLQGINLIYYFVCVKYYTFKPLETVKPEEIELYRGNCNEDDTKKGGRLR >OB05G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12634443:12636511:1 gene:OB05G24270 transcript:OB05G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDESNDVDAGEQMQLGGIKTMPFILANDFCDRFATIGFNANLITYLTAELHLPLVEASNTLTNFNGASNLTPIVGGLIADSFAGRFWSRFWTIAAGSVAYQLGMVGLTVSALLPSLRPPPCRGEAGQACQRATPWQLLVLYLSLLCTSVGTGGTRPCVMAFGADQFELGKQRRRGDGEADSPRWSFFNLYFFGVELAKLVAITAVVYIQENVGWGWGLGVPTIAMLAAVTAFVAGYPLYVKMAPGGSPLTRLAQVAVAAFRKRKLAVPANPSLLYDDKKLDAGVSTTGRLLHTDQLKFFDRAAVVTDGDMERGGVPRPWRLSTGHRVEELKSIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVTASLEIPPASMLIFSNVAMLSTLALYDRVLVPRLRRLTGHPAGIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHGAADAFMDVGRMEFLYDQAPDGMRSTAAALYWLTMSAGSYTGTLLVTAVHEGTKGEGEWLQDNLNRGRLDSYYWLVVTLQLINVVYFVICAKLYTYKKVEVVDQESAGGRDETVDQASVGENEMDDEKGSRVEDVELQPLLLSDVTLA >OB05G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12638302:12649533:-1 gene:OB05G24280 transcript:OB05G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAAASGLHIPSSSLPPLCLLGSNPSHLSLGLANALAWRPRRGGARGAGRCAKRTGTRRYPSEKKRLDRRQKELLRQAAPEEGSAGGEGGEWGHNRRTLPPRHDPGKDFNGVSPPLLQAIAKALKFPVSSLLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKKLLDMEPRTWDFIARLEPKLGIIEYMPDEKVASDLVNMLNVHKQGSDSELGINDSVSNGSICTQKPRVAVVGSGPSGLFASLVLGELGAEVTLLERGQPVEQRGRDIGALAVRRILRSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVHFGAPPNILVDGKPHLGTDKLVPMLRNFRHHLKELGVTIRFNTRVDDLVVEGGQVKGVVISDSRQQLGSPDQTLSFDAVVLAVGHSARDTYSMLLRHSVHMNPKSFAVGLRIEHPQELINNIQYSELATEVHKGRGRIPVADYKIVKSVGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFKPFEYHGSLAGVEFQREFERRAAIMGGGNFVVPAQCVTDFLSNRLSVTSLPPSSYRLGVRPSKLHELFPSHITEALQQSIIMIDEEMPGFVSSEALLHGVETRTSSPVQISRNTSTYESTSLRGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQKQKGFVKY >OB05G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12647524:12648353:1 gene:OB05G24290 transcript:OB05G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCCLLHLFLVCFAHRLVDICVSPTLASTTSSCSTHRRTDHPFKRSATTTTAADCLRLRVYAKLRVAAVSPPRAVAPLPVVHTSTGCCSAERRPQHGDITRGLLTAASTWSYSCVVLSDLSFAAFVVFIAVRASTSSSSALVIVSHSGSSSSIAAASPAYRRRPRPIVSPSYMATDVAAPGRWSRYFLFVFVHHASSPTSSYLSRLHFALLRQLRAASAIPPLCRSRAATVPEGFSASLLRHWMTHGGPLPSLRGISNTVAHVLPELSPGLANPA >OB05G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12650822:12657970:-1 gene:OB05G24300 transcript:OB05G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDVRGMGWTIFVHHVSPCSISTSESRFPPLGVLFLFPETIPLIFKSNRDLPLGAFDGLDFDLPGDFSVEDFLLRSPERDDSGEGSAAGSGPTASPSSSPTTSASNSAVANGSCEVKHEESDEGRSGADPKWSLKRKQSSPGLSSDVAKCRRSGDGDVSPSASASRTAVDSDEGGTVCEEEDKRRAARLMRNRESAQLSRQRKKRYVEELEDKVKSMNSVINDLNSRISFVMAENATLRQQLSGGSANLPPPGVYPPPIPGMHFPWVPPGYAMRPHGSHVPLVPIPRLKPQQPVPASKVAKKPESKKSGENKNKTKTKTKKVASVSLLGLLLIMLVFGAFIPGFNHNFGMGGQSDNVVFRNFGQSHARVLSVSSQDKSGLNNSEMFGVDVGKMAGNADGPGKKHQPAQNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKGDSDQSAKDHKETSVAIARYLSLPGRDMNRQETPSADGPLPQWFREGMAGPILNSGMCSEVFQFDISAASSNPGGVIPASPIVNSSSVNATEKIPAHSAAYQGKLKNRRVMYNEAIPLTGKTANNTEPFNRTSESSSKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSKIFVVVLVDGARYVTYSCTLPFKSASPHLVN >OB05G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12654093:12654695:1 gene:OB05G24310 transcript:OB05G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDILELRSLITEFIDFTLSSSSSTYLFFLCRESCALSRFRISRAARRLSSSSQTVPPSSESTAVRDADADGETSPSPDRRHLATSELRPGLDCFRFRLHLGSAPLLPSSDSSCLTSQLPFATAELDAEVVGEDDGEAVGPDPAAEPSPESSRSGDRRRKSSTEKSPGRSKSSPSKAPSGRSSSPPAASGRSGSKRWAG >OB05G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12666758:12670417:1 gene:OB05G24320 transcript:OB05G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASPPPPAADEGRVTELGGRVTSHVDPFLVEALDNPRHRLMVLRMEMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTPESKFPVIALSEVPSKQTRTEHEAAEKLKFVICQRPRAFQNGAGDAGAKNGAAKTVEERIEEYNKARARIFNGSISDIEGTSDLGALSVVRDEPVNVEPPIDESKVNTINSRSRVAVFKDTEKDRTDPDYDRNYKRYVRGPVHDFNVNPGGFNFVVPQFMQYGVGFMQSANMSRNQPSMYFGQPDLSMGSSSGTAVYQWPTSAMIYPHCYDNLGHMISQVPVYQSFNHG >OB05G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12689277:12690224:-1 gene:OB05G24330 transcript:OB05G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPNWFARWEEQLPAPDELMPLSQSLITPDLAVAFDIPTHGGGGGGGGGGMAGGVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPPVGPPGMHHRMVGAGAGMAMMAPSPFAEELELGSRGGGGGGRRELTLFPTSGDH >OB05G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12715502:12716476:-1 gene:OB05G24340 transcript:OB05G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEKGGEAQAPAPAPELKLFGSWASSYTHRVQLALRLKGLDFEYAEEDLGKKSEELLRHNPVHKKVPVLVHRGRALAESIIILQYLDDAWPDSRPPLPAADPFDRALARFWCHFAEDKLGPAVGAVFASTGKEQEAAGQQVHDSLALLEAELREGAFKGRRFFGGDQIGFLDVVLGCGSYWLAVFEEVTGVRLVDAGAFPLFHAWLREFEAQEEVQETIPSVDRLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHRRPWRRPRPWTYKPQGVLCVARRRRSFVA >OB05G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12727945:12729236:1 gene:OB05G24350 transcript:OB05G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRGLLLLPQHLRFFSAVAAASTTSDPTVVSYLVLASDPTRTLQPKLDFLSSVGITAPLLPKVVSLYPAILHRSIENHLAPLFGSLREVLGSDSNIVAVLRRMPFAIRCNYKATFLRTLPVLRNVHGLTPSAISQLIGIQPGVILLEPDRVEEIVQTVKDAGIGLANPMFVHVFAAFAKLKASNLEKKFALYRSLGFDKDDVAVMLQRCPAMIRVSDEKLKKVVGFLIGKAGLSREDIIKFPNMLERSLDSHSRRCAVLAVLRREGKPEERRRVPKLLVASFAQFTKVYVRQHEGEVPDVSRAINGEIPFEGFDGLEKKPQRQQMNL >OB05G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12728343:12729008:-1 gene:OB05G24360 transcript:OB05G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQAPLEHVREFDDVLPAQPRLANQEADDFLQLLVRDPYHGRAPLQHHGDVILVEAKAPVERKLLLQIRGLQLGEGGEDVDEHGVGQSDAGVLDSLHDLLDAVRLQQDDTRLDADELGYCAGCKAMDVTEHGERPEEGGLVVAADGERHPAQHGDDVRIGAKDLAEGTEERGEVILDAAVEDSGVEGDDLGEERRGDADGAKEVQLGLESPGGIGCEDLA >OB05G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12733333:12734261:-1 gene:OB05G24370 transcript:OB05G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFAKNRLAVREACVRKMRRSILPSNQTAPEYHKNIKDDTTLKIFEQIALALSTSAAATETFHPSHQREHDSEAKHMHAQRGDAAVFQQPLKGGE >OB05G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12735636:12738614:1 gene:OB05G24380 transcript:OB05G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3M764] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDTTGRYVGTSELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGRSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEAIQE >OB05G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12744331:12747913:1 gene:OB05G24390 transcript:OB05G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGAVGADAGMDAVQRRLMCGDECILVDEQDNVVGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRDSELIEEKCLGVRNAAQRKLFDELGIKAEELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVRLSPNPEEVADVKYVNRDELKELLKKADAGEGGVKLSPWFRLVVDNFLMGWWDHVEQGTLDSAADMKTIHKL >OB05G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12755697:12758955:-1 gene:OB05G24400 transcript:OB05G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELFRGAAGSAGICDVIENAILVAAADAPQELLRRRDRTCEILFTAPRAPPPCHHGCGSATTPTPATPVEEDKGSVRRVPEKQSKVDSSSNGALGGGRRDGQDEDSDSDSDDERLRRAAASNYGHNYDDDEEDEEEQQDAAAEEEEQEEDPETVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKVLVDEWVSTTNVALTDNSPGSSKTSVVDDVDDEGLPSPPLDEGAFFAPQTTAIQLSEFFDEMDEDGNLRHNNDGSLGNKRENNVRRPTSHPGLAKQEPPRNMGAVEKVQSRKPELPRQEPSSRQPNPQIPQNSSLQVRHQAMLNRQSRPLSSESGPGRPLRAAPQQKPIPEMKSRVAREQFAVERKPAASLVNKSRLPAQPSTGVKLESAKPKIYDSLESNGRLEAAKRRLQERYQEAENAKKQRTIQVMELGDIPKPKHQNRQAMLKSRNHIRSWANGRR >OB05G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12759049:12759489:1 gene:OB05G24410 transcript:OB05G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSPPPLPPNLNFFPPTLFLSDYVAPKNLIQPDRESDALPERNPQPVKAKFPGAPQNQPGIRSANNESPRQESIKKGEQEKKWKEINFFSFPSCSFLDDLNKKQPGRQAAPPPKPNLIWPSRRGRRRRRKTTAREEGTARLGVR >OB05G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12768517:12772220:1 gene:OB05G24420 transcript:OB05G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPFGLLSDGSGDRIQAGRKCRAAGGARSPPPPPRRRREPLKDAVFEADDVLDDFLYQQQQEATGASSPYLVKFAKRVFGSDESLNRLKLMVKKLGELSSSCDLLESDGSGSGGGGGRVGPPPPPPEGRVFGSLLEDDEVIGRDEEVRQMVSWLTANDRTDGGGDGRVPVPVAAIMGHGGMGKTTLAQLLFQHEAVTKSFDLTAWVERLQPAGAYDEVALSKQILLSLGVEVPTGATSFDWLQCKITETVSSHRFLLVLDDVWNKEKMPEFTYREMWRKVLAPLRSGELGSKIVVTTRKKIVADLLDATKLISLDGLQFDHIWTLFKKFAFHKDDPEKHPALLAIGEDIAKKLKGLPLAAKVVAQMLKDSRSVGYWKKVLNMQVYDDVNKTLALCYHNLPEHLQPCFAICSIFPKDWSFKPKRLVKIWMALGFIHREEGNGLEEEEDVGRDYFDQLVARSFFHAHKERRRRSCYYIHDLMHDLAENVSRHDCARVEGDDKATDVPRTVRHLSVTSDAVAQLRGLHDLRRLRTLIVLEQSSSSLGQLPDDLLKELKGLRVLDLYGCDMADLSDRIGQLIHLRYLALCKTITKFPQAVTRLFHLQTLKVPKKRHFDKFPKEMKNLRHLRHLDMDSASTSQVPGIAQLVNLQGSIEFHVKREKGNSLESLNDMNNLRGKLHIKNLDVVESKQEACKAGLNKKQSIKVLELEWNSTGRSVPSVDAEVLEGLEPHPQVEEVHIRRYHGYTSPCWLDMNIRNGSKFYLKSLRLTNCRKWEVLPPLGQLPCLKVLHLKEMCSLKRIGGEFYGTNSTAFECLEDLEFDDMPKWVEWTKEEEKHSMVFPRLRKLKLLNCPSLIKVPSFPLSVRKVTVENTGFVSHLKLSSSSSSKASRFKLETCSASIFTDGLFHQQQVQEIVDLTLRHCQDVRFEELHALASVKRLQISHLDITDEQLGTCLQGLQSLTLLDIVNCSKITTLPQIESPGNLTKFHELNIRQCPELSSLHSLPGFATLETVLIENCSRVTAESFPANFNSLVSLRKLSIMNCTGLESLPSSFPSSLQVVHLIGCKPTLLTRLQNKDGPEWDKIASIPIKLIH >OB05G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12773789:12784225:-1 gene:OB05G24430 transcript:OB05G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYLFIFAGISFNSARDPYFRSSFTFVLKNIVPSYIPPDSKRLKTTLLQKERARIDDLLQPIKTMWRKAGVTIVSDGWSDVQQRSLVNILAINECGAIFLQAINIEDEVNTKEYIAEKLTAVIEDVGAENVVQVITENDPVCRAAGLLIEQKYNHIQWMPSVAHTLSLALKNVFSANNTKDVAFKESHWISKVVEDASMIKNFIMNHTMISSILSDFSKLQILAIANTRFASDLVMLKRFRLIKQSLIKLVNSDKWWPAYSSFNTEHAQLVKYKLTDHSWWNQVDYILSFTEPIYSMIRMTDTEEPCLHLINEMWNNMIDKVKAVIYKHDRGPEEESAFYSIVHSILEDWWSKSRTPLQCLAYSLSDLYYSDSWIDQVPIRQPPHRDAEISQMRNNCWRKLFSTTDGLRTIKSEYAEFALVGTKHFSMDSRADRDKLDPKMWWVTHGTSAQEVQSLTFKLISQPASSSCCQRNWRTYGFIRDIMRDKLRPKWADDLVFVHNNLRLLSRRSEEYHTDQETRYWDVGDEGFKSSGAGILETANLSLDEHEFEVAMIEGTDCGQENQTDMRNVWLWKLDGVSVDQSWRPIEPCMHSWQQRAGNTRCEALRRRVEWALRGVQAKWRRDFPRLATRVYSQPCRRCQRRLATESRTQVNILNMKPLCSCYLECYLAYALYFYLNMKSSGLSFKLARNPYYDNILNLKHFSLYCMACPSILSIFAGLSFTVASNPYYRSSFAMAVMFHIPGYVPPGYDQLKTTILQKERANIERTLWTIKSMWRKAGVTIVSDGWSDAQRRPVINIIAINENGPVFLEAVNNKDKLKTKEFYIAEKLIAAIESVGSENVVQVITDNDPVCRDSGVLIEQKYDHIQGTPSVAHSLSLALDNICAAKNAENVVYKDCHWISEVIGDARMINDFICEHTMLFSMISDFSKLKVLGIADTRFALDIVMLKRLRLIKQSIIGLVLNEKWPAYSKDDEGQAQLVKKKLTDELWWDQVDYILALTEPTYSMIRSTDTEKQCIHLIYEMCNGMIEKVKDIIFRHEEKRAEEESTFYSVISGILVDWWGKTRSPLHGLAHALNPRCYTTSWIDEGANRQPPHKDTAINEMANDCLKKLFPAADDLRAIEAEYAKFSLLGTELASSGAGGFSLDCITDRDLLDPKAWWVTHGSSTPRLQECTSKSFHDFNSGGFTVHMLDLPLVDGVINNLARATKRKASNDLVSWTRENLKRPKLSPKIDGTAAARRPDQPSVSAAPSVPPHHRKANPSPRSTIPLPKAAWPMPAPVPAAAPWPVSQEISYLAERLLAHADGDAGLRDLAAALLRVQPVAGGIEKRRGAAGADLTEWLLQLKDAVAEADDLLDELLERRRSRLGPALASCVARGKPSREVKRLVGRIDRIHDGSERLVDPAEEAGEAGFGVRSPNRVTGSVLTERKVIGREKECGEIVSRLVDSADETCSSDLPAVAIVGHGGMGKTTVAQFVYNSERIERHFDLRAWVCVWDRSDAAELTRQILQSIGVPDYALYGDSSAELEILQAKLEVSIMSKRFLLVLDDVWNDEGKTELENKDLWKKVLAPLRSAVSGSKILVTTRMKLVAEFLNSTHVVPIDVLEMRDCWLLLKEAALGGESMGVPPDLQEIGRTIAANAKGSPLVSKALGQMLRNTSSTWRWKTLLDTEISHSIIMSSLQHSYQHLPVHLQRCFAYCSIFPRGWRFHRDKLVKMWVAVGFIQLSSKKGKSEYDLAQEYFHDLLSRSFFCMASKDNQTLYFLNDLMHDLAQHASAHDCIKIDESMPGVIPPTVRHLSISTDYFPQLKNKCRLGRLRTLFVLRSSSLSLSHLPSKFLSEFKNLRVLDLSESDILELPETISQLLHLHYLALCRMTSKLPKCVYRFLQNGVLDMPLLLFSDSHSRRMSKLVRHKTS >OB05G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12787321:12791133:-1 gene:OB05G24440 transcript:OB05G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAK1-interacting receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G48380) TAIR;Acc:AT5G48380] MPPSLPSLSSRSHLSSRFLSLERASRPVFSLLIRLRSSASGVGGLPLFLSSGKVALIHNKCSMTDHYYAPLRLILVMTLSATCFGSELDIQCLKTLILSVTDPNGILKSSWNFVNNGTPGYICKFTGVECWHPDDDRVLSLRLGNLGLQGPFPQGLQNCTSMTGLDLSNNNFTGPIPLEISRQVPYLTSLDLSYNGFSGQIPPNISNMTYLNSLNLQHNQLTGSIPPQFSLLSRLNSFNVAENRLTGPIPTSLQKFAPSNFAGNQGLCGTPLDGCQASAKSKNTAAIIGAVVGVVVVIIIGVIIVFFCLRKLPAKKKEKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFSKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSESQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDENYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWISYLSNNALQDAVDKSLVGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMLPPTSTDGEILDELIVAR >OB05G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12797160:12797348:-1 gene:OB05G24450 transcript:OB05G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding XTSPPPPPPPPPPPPPPPLPPPPAADSAACFPLGCLLRTPSVAMASMWAAAPPASTSREKGMP >OB05G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12797588:12800985:1 gene:OB05G24460 transcript:OB05G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFFSLVSCFQTQSEPAFCGLATLSVVLNALRIDPGRRWKGPWRWFDESMLDCCEHLDTVRTKGITFGKVACLAHCSGADVRPFRADQATLADLRRHLVRCASSQDCHLVVSYHRKLLGQTGTGHFSPIGGYHAGEDMALILDVARFKYPPHWVPLPLLWEAMNTIDEATGLLRGFMLISRNNGAPSLICTVSCRDESWQSMAKYCIEDVPNLLKDESVDSVLTILSRIVNHLPPNAGNLIKWVIEVRRKEEGGSRLNGEANEIPFLKEKVLQQIRDTKLFRLLHKLQCSKQPCRSCSSSRDEDSIAQSAASVCCQGDALLNENLSTSNGFCIRETCSECVQVDDEGAKIFTTSSLVSEGNEQGVDKLSPISLSETCSCKSNSSNGTVKNPSSTDILTVLLLSLHPSTWLGIEGEKLKAEFQSLVSTDSLPDALKLEILHLRRQLRYLKACQEKEAYEDPLSNDAC >OB05G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12802654:12802971:-1 gene:OB05G24470 transcript:OB05G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSMGMLTGAGDMLFTIQEEHEQASNNHQIPMLRRLQSQSQTVAGPITTENSSSLGGTVGGGGGAGDHGCLDEEDTSAYTFSDQEMERMLMDLMDQDFFGDVD >OB05G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12803215:12804076:-1 gene:OB05G24480 transcript:OB05G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQQVFPILPMGFRFHPTDEELVVNYLQRRAIGQMCPIPIIADVDIYNFNPWELPSVALFGEYEWYFFTLRDHKYPNSVRSSRSAASGFWKATGTDKPIQVASMQDTPIAMKKALVFYVGRPPMETKTTWIMHEYRLTNTRGPPMASYSSSSTPQYPWVTLDEWVLCKIFSKAPGPEPNSNTPPSNVTRL >OB05G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12809480:12815879:1 gene:OB05G24490 transcript:OB05G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:J3M775] MAPAPAKWSLLVAVAVLVALWPLPKSVSKGEQTVHVSKDLRMTAEGSKYADGKAILKDAFQRMVALIELDHVINGSYQGLPLLAGVNVVVHLPGDELNFGVDESYNLSVPATGNPIYAQIEAQTVFGALHALETFSQLCNFDFTSRLIELPSAPWTITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMEDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWSSTPRVKAWLIQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLKNIKNLEQQKLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAENGSKLLGRPGYRRRCSEAELSTAAASPDAAFEDGRRWRRGRARAAAPWRKLFCPDRSAP >OB05G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12814446:12815116:-1 gene:OB05G24500 transcript:OB05G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAWLCWRGTSITSYWSSSNPSVIQQTPEACQSCAQHWYFVLLRKLLQWSPQPLFKLTTAAARGQM >OB05G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12821017:12823358:1 gene:OB05G24510 transcript:OB05G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSTGAVLLAIAALAITAAVTEIARRRRRGVACETPPPPPVVNCTALLGLLPALLRGDVPAMMRRLYTEFGSVFTVGVLRVTFLVGPEASAHFFQGLESEVGHGRLFEFTVPMFGREVGHGVDDATRMEQGRFFVEALKPNRLRIHVDPMVQEVENYFAKWGQDGTVDLKHELEQLLLLISGRCLLGREVMARFDEVCRLFRDIENGLNLMSVFFPYSRVIPSNRRRDAAREKLHAIISDIVRSRKLQGDHHHDHQEAAGNGKHDVLQSLIDSRYRDDGRAAAEAEVAGLVISLLFAAKHTSTHASVWTGARLLSHREFLAAAVDEQEHLVRKHAAAAADGTGTITDHYGFLTDMPTLHRCIKEALRLHPPAPTMVRTALKQFAVRTREGHEYRVPAGHTIASPIVISNRVAYIYKDPHLYDPGRFGPGREEDKVGGKFSYTSFGGGRNSCVGEGYAYLQIKAIWSHLLRNFELRLVSPFPKTDWSKLVPEPKGKVMVSYKRRQLSTT >OB05G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12825582:12828800:-1 gene:OB05G24520 transcript:OB05G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIYKPCGEKELSKSGSHLIFKHFSISSANFSAQLADMEYVSSDFAAREAILTNILHSEEYRSDNFILGSSCILYLQCMRAQNANKFKLLAAAFDTRLQWLANGSWGS >OB05G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12828888:12829085:-1 gene:OB05G24530 transcript:OB05G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPANIMPSASSDDGCSGDGDGGNYSSSGVDGGSGADADASDGGGFNPRPPRTSSVVIAHYASIA >OB05G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12830750:12834434:1 gene:OB05G24540 transcript:OB05G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M780] MATTPDASSWAALLLFLLLHGSLSRRTADAADTITASRPLSGNQKLVSQGDKFALGFYRPAGGPLSNWYIAIWYNKVSIQTAVWIANREAPISNPDESQLTISQDGALILLNQSKSVVWSSNNVHNATLPHVNNSSKEAMTTVAVLLNTGNLVLSDASNASHVLWQSFDHLTDTQLPNMKIGWNKATGASTRLVSWRNHYDPSPGLFSVEMDPGDNSQYVFLWNNSIPYFTGGKYDRNTGAFSGIPEMTPMISNSMYTFQYVDNDREAYFMVTIKDDSIFFRLTIDASGQTRSTVWMEDRKEWMLFFLQPKAKCAVYSMCGLFSRCAESALPPCSCLKGFHEQSPSSWISGNYAKGCVRNVDLQCRSNSSAPKKTREDKFYMMNNVRLPDWSRGVPAESVGDCKAACLDNCSCSAYSYNGTCLLWYTDLVNLQDSLDGSGDSIFIRLAASELPDSKIKKWRVVSIIVGGLVALACGVTACICFLRKRAMQAVLPSTADGRLATFKYSDLQLITKGFSEKLGSGSFGSVFKGVLPDKTVVAVKKLEGLRQGEKQFRAEMSTIGTIHHINLVRLLGFCSHGVQRLLVYEHMQNGSLDHHLFAKNAGGLSWSRRYQIAVGVAKGLAYLHEKCRDCIIHCDIKPENILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGMAITSKADVFSYGMLLFEIISQRRNVEKGEQAGASMFFPVLTAKKLLEGDLLLFLDPESVDEIDLEELERACKVACWCVQDAESSRPSMGEIVQILEGFVDVGIPPIPRYLHVLAESADHAEISVSE >OB05G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12850256:12850420:1 gene:OB05G24550 transcript:OB05G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSLELNITLLAKCIEGVRPAGQGTVSGRQFLWGIGLPKVNGGVCVYIYIYI >OB05G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12857522:12857989:-1 gene:OB05G24560 transcript:OB05G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPLSSGSVITVSSSSAVGGSSGSTGGAAGGGGGGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELSPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLTRARYELSKYQVNYIS >OB05G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12858602:12858844:1 gene:OB05G24570 transcript:OB05G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARWYTVRRGGQGTDAPFPWDETKLSAALFVPLPPPSSSSLSAASASLFLAPWPLLLLLLFPLSLSLSLSIVCFFCCS >OB05G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12879389:12883431:1 gene:OB05G24580 transcript:OB05G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARSLRRLSSSSSPSSLSPASPRRLLLHHHPPPQAPPPPPPPPGYRRFSAASCSSTLLRVVRECGAPGATAITEAERGEEEGREGEDGGEADAAAAVARHDTDVYAAVELALDSVVKVFTVSSSPSYFLPWQNKAQRESMGSGFVIPGRRIITNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMRPDLTGVLVSRINPLSDAHRVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGEKAVLKVLRDGNEHELNVTVRPLQPLVPVHQFDQLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGIEVENLKHLCSLVEGCTEENLRFDLDDERVIVLKYKNAKLATSRILKRHRIPSAISSDLVDEQATDGEATNGEIEASCTS >OB05G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12885334:12887216:1 gene:OB05G24590 transcript:OB05G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31840) TAIR;Acc:AT2G31840] MEMTDEQRRTLRRKIREMMDRVPETRELTDPAERKAKMLELLTKYQLVVEEEDPNWPEDADDGNGFSLGQFFDKITIKAEKKNDDQDDDKGYQSEKEIVWEDDNYIKPIRDVKTADWDDTVFTDFGPLIVLVHNRYKRPQENEMARDQLVKAIEMFWEYNLPSPRCVAVDACAEPDLVEALNVSGFPEVLFTNAGKIIHRDKVVRSGEEWSRMMAFFYYKAVRPPCLSEADGQGQEKVPLMS >OB05G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12889667:12893783:1 gene:OB05G24600 transcript:OB05G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARPRRVSIKSRRPDWKEELRINCLKRVKEERVKFLWKNRIHGPLPANDDMIKVESAVRDIISVELEKLKQHGDGKEDQEADTIWEYKGLQTTKPVETESEDILLEMERLLYEDLRKEFIQKELEALDEEDEYLAQAVLDHMQLNDKEVCTNPKLWCPVCKQGELRETHNLIYCTLCKLRLDLGEDKINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >OB05G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12898576:12898917:1 gene:OB05G24610 transcript:OB05G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPLLQGPTRARGGAGGGEPLPGGAGVDLYDAEQRRLWLEQEVRSPLHPSAAASSSASALGQRQQEQHRRNRSLEELAGEVGLSSDRRLRVALPKARSVRVFSCIGAGAA >OB05G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12900476:12900868:1 gene:OB05G24620 transcript:OB05G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSPPTPLMMTRGVSHNGGAATGIGGSYGSVIVALAIIATLTVASVAVGQLCVRRYASIKPGYGMGAFVKRKIYARRGGGGATYDVALPEKKGGGDVETAIVMEEVEGSEPPQVEEDDEGGASRASS >OB05G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12910484:12915852:1 gene:OB05G24630 transcript:OB05G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:J3M789] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNDPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGRVARAIAIMSHPIGSTNESHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPESELKPGIDLLGPVDELFFDTYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY >OB05G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12917088:12922472:-1 gene:OB05G24640 transcript:OB05G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3M790] MAEPGGAEAEAATLEFTPTWIVAAVCSLIVLISLVAERCLHYLGKKLKRKNQRPLYEALLKVKEELMLLGFISLLLTVFQGLIQKTCIPPRWTIHMLPCHKAGEVGATKEHVAAAQIIGRIGRRLLSEGGAGAELCHKKGKVPLLSIEAIHQLHIFIFVLAITHVIFSVSTVLLGGAKIHQWKQWESEIQKGSAGNGQPGPVRVTHVRQFEFINDHFKGMGKDSKILSWLHSFVKQFCGSVSKSDYATMRLGFIMTHCRANPKFDFHKYMMRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGKPRIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGVIIQLLCSYSTMPLYAVVTQMGSFYKKEIFNDHIQQGVLGWAQKVKMRKGLREGGAGAGASAGGVAAEPSDGDGDSAGPSVRIEMMRRAAREGNDAGRSIE >OB05G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12929264:12929533:-1 gene:OB05G24650 transcript:OB05G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFWNNRVCFFFSFLFVRGVLDVSIFFFLFILETSDRIVCRAISKETCLISLSFDALGRFQEPRCQSRSLHKGRTFAGLLGPQSTQHA >OB05G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12931990:12933540:-1 gene:OB05G24660 transcript:OB05G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISADALRPDHFVLASVASASARLRSLRLGRQLHGQFVASPYSGDDVVKSSLVDMYCKCGILDDARKVFDSMGAKNTVVWTALVSGYASNGCSDEALQLFWSMPVRNLVAWTALISGLVKTGESVSAVELFVEMRRDDVGIDDAFVMSIVIAGAADLAAFVLGRQLHCSAMRLGFLSSTIVGNALVDMYSKCSDIHSAREVFKGITFHDMIAWTTMVVGEAQHGHAKEALALYDRMVIAGVKPNEVTFVGLIYACSHAGLVQKGREFFESMKRDYGIDPGLQHYTCYLDLLSRSGHLLEAEELITTMPYKPDEAAWGALLSACKKYNDAEMCIRVADKLLELGPKDSSTYILLSNVYAVNRKWDSVAKLRKCMTGLNIRKDPGYSWIEAGREFRLFHAGEVPFDVREEIMGFLDEMVLEMRRRGYVPDTSSVMHDLEENEKELHLFLHSERLAVAFGLIKSLPGSVIRVVKNLRVCVDCHTVMKLISEITQRKIVVRDSSRFHHFKGGKCSCSEFW >OB05G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12942006:12944264:-1 gene:OB05G24670 transcript:OB05G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEGEGAMSRDVAIDDGVGAGSVDVTSTAAGTSGPGEEAGGSASGTEEQAAGGGGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNIELITFLDLYRYDPWMLPAMATIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKSEISLCRVYKRSGIDDGHGHHQRPSGNTAGLPARRHRSSPSTSSTPLSPTQQLPSFHQLLQGESSSSSLPASATCNASQLMPPPPPRPCAYTPAMGSMTAVAPAPTESAAVLASTYSLLNMAPHAAVAGSSSTSIDELSTLVGHHSHAAYGNHPDAGSHHFLPLPAPTSQLMPQLGTTLPTSPLPAVSDKLWDWNSVPDHTTDREYKYSSGLSDPK >OB05G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12957309:12960407:-1 gene:OB05G24680 transcript:OB05G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38520) TAIR;Acc:AT5G38520] MAAAAALAAHHHHRRLSSSSPAARSGALHHRLPFSSPPPPRHGCSWGARALRCAAAGDGGASSSRSTVAPPPAPPSSLEELYRSCTSWTWRGMRVNYLVRGDGPPLLLVHGFGASVGHWRRNIGVLSESYTVYAIDLLGFGASEKPPGFCYTMETWAELILDFLDEVVKRPTVLVGNSVGSLACVMAAADRSFLSWNNLKDILLSVYGNKDAVDDELVEIIRGPADGEGALDAFVSTVTGPPGPSPIALMPAVRAPVLVLWGDRDPFTPIDGPVGRYFSALPGDLPNVTLHMLEGVGHCPHDDRPDLVHAKLLPWLHGLPSSAAAPPPPPPPPRRAPPPAGGGLMRHPMKYIADVYREIY >OB05G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12966194:12966468:-1 gene:OB05G24690 transcript:OB05G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATGATTNAEQQSPQITPNLAPELPAEQPDAPSQATPATPAPKTPHARRSSTHRGRRAGRTSPHLHTQKQENTTSQSGGHEARQRHQG >OB05G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12966200:12970826:1 gene:OB05G24700 transcript:OB05G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCLVAAALADVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKVPNSTNKSSKPSSKMPSRPESHSKAPKLPAPPKDDDESGEEYGDEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >OB05G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12972274:12976746:-1 gene:OB05G24710 transcript:OB05G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMSWRALKHFFGGAMGVFTTRTLLNSLGVAQSRATSGAVAINWILKDGAGRVGKMIFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSQETIFNPPWQHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPIKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIIPQ >OB05G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:12989979:12994713:-1 gene:OB05G24720 transcript:OB05G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFQFSPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVDPERVNLGSPLRLTGRPMNGQPMDLEGWSGMQTEHMVVPQSPSMGWNGAPGVAGGPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVESEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >OB05G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13003255:13004164:1 gene:OB05G24730 transcript:OB05G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTGINYPYMYLSDKFIPAAYFIVICELPAYNFQNQIRKTMHTAAVRLCRTLNRRKRRSTVSKAVLAGGRCVSGGRGQRQGVRDSGVRRGTRVAVSAGVGVEDEGGGDVKGKDVGGGRASRGWGRRREEGPLWRHPPMLELRTRAAVAGVRFSATAASSGATDEGHRRQRECGRESGWAATSGLQLAAGPVETVEWRLWGGET >OB05G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13005828:13006058:-1 gene:OB05G24740 transcript:OB05G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLAGDTDKKAEAQSKGHTGQTVLFVLLGVGAVFLVSFLLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >OB05G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13010418:13012471:1 gene:OB05G24750 transcript:OB05G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLCPTIDSSPHHAVHMMVMNFYRRTELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRTPFNGQIKNFEATLDQVRRRLGAGELARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYTKQLTRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIVPFNSKVRSMVNTLNVNLPRARFIFVDTYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFQRPCLDRNAYIFWDAFHPTERVNVLLGRAAYSGGADLVHPINIQQLAAWQP >OB05G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13017557:13020363:1 gene:OB05G24760 transcript:OB05G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDESKSSEPVNISNSNYWRDVRANLVRREQELLVDPIAPTESKTSPGEPAQQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGTRGTFDGPFGVILNRPLYTKIKHVNPSFQDQATPFGDSTLFFGGPVDMSMFLVRAADNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIRSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKQDDQ >OB05G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13035879:13042025:1 gene:OB05G24770 transcript:OB05G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGAPQVFDHGSISFGRFDLESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLEYSGDGSDSSQTGEDVPTADQGSPSGSGALLDSIVQTEVQTIFEHDLECYDDNDKEMLDKDTSPSVGGMRQIGQQFRESASCDNDSDRLVDVLQQNTNCGRDDLRMPSESTMTPKRTFKKNSQVGQAAKIMPKTVKMTSSDTPGHAVVNKGSDSGKSSVVNRRVKTETIQSLQRQKSATSNVIVGTIGRSKPVVKDVPGVMGIRRPSSPALQRPSTRERRPATRDGSRKPPEVATICCPSTAERRPATRELAAKQTNMAVPYRLSTPNRRSMTKELAPVHSSFGTPCRPSTAERRPITRGIALVPPSIATTHRPSTAERCPTIKQMTQKHVGNTTPSRPSTAERRPVTREPAQKHAHVATLHRPSTADRRPITRETAQKHASVVALHRPSTAERRSFARDIAPKHADVTPARRPSTSERRPAIRDTALKHSNFAGSCWPLTPERHISRGSAPIHADVGTTPHRPSTGERRPIAKEIMPKLDGKTPIKLRGMRANPKDVMATIVTPQKAIAQKLVKSSKTEMKSCAKERTELQVVGKHKASSVNLPPREMTSNVRANRVLENFRKLNKESIQETVRSQTSAPKTATPSQTRTIKTRAPNPPPPPPPLRRPSQISSKANANNLSVGGRKPKAPTPHWH >OB05G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13043210:13045923:1 gene:OB05G24780 transcript:OB05G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLISYLKEATS >OB05G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13052242:13059982:1 gene:OB05G24790 transcript:OB05G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRARGSKRRGGAEGGGGGKRRREGESDEDYCFVCKDGGRLRFCDYRNCHKAYHPECLEKDDSFLNSDEQFICDWHTCFICKGRSYYRCFCCPDSSVCRACVKQAEFVPVMRKTMGFCNNCLRLAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYYWEIVREKEGLTLDNIRQACALLRSGLNHNELSESENLPDSEQSSDDDFLGNSDDNDEPAYPSVLHGTSNKVKTILKEGKTKKNVYVDWGSKELIEFLSSIGKDTSKPLDQFGAAEVVKEYIRQKDLVQKDKKKHVICDGKLLSLFRKSKLKYNKIYSLLERHIAANITSEDESLASSEDNTDSIMKRKRRTMNSELSTPEEVSERYRRCFASLVCDNIKLIYLRRTLVIDLLKQPDAFERKVIGCYVRVKNDPKGYNHHKPQKLYQLGQVTGIRKSSEEYKIRGISTDILLCISNTWSDVKISVLSDEDFEEEECEDLRLLSRKEPSKRQTVAEFEKKARSMHVDIVSHWIDKELQRLDKLIEMANEKGWRTNRDRVDCLKRCSEEKLKGDKRNQVFCSKSSSEEKSEVLLICTDFGSLRDKRNLAERIAEGSNGDVDAPGMCLEKLVTKAIEVNPPGDMPRTHVQNDGTEVLIGWDLIFTCYSWSSRNYLFFFGYSQFYYLFKHTILVATAAQVISIDDNEDDRHGKSGDTIVDLDSDGSGGHDTTKSLLCSGQEAVKAKEKISEHSSVWYYNDPQGDEQGPFTMELLRHWLKSGHFSDDFRVWRTGQSCDSAILLKDALLLTS >OB05G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13062655:13063197:1 gene:OB05G24800 transcript:OB05G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLLLLFACAAVPVSTARDAPPGETAPETPLFGGGGGDEKPSAYEMLERFGFPRGILPEGVTGYTLRPSSGEFEVYLGGTEDIPCEFEVDGGYRLTYQRRIYGRVSGGSISDLHGVTVRVFFMNWGIDRVVMADAAHLMFYVGPLSQSFSADSFDESPRCRYRHSGGAIAVAVM >OB05G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13067426:13072434:1 gene:OB05G24810 transcript:OB05G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIQSDCPYPGCFFCVMKEANPGKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVSDHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKAEFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPGVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLADISMLGDYKKLGDTIVTVLQECMQQYTNSRNSISTHTREQIDELLSSKQSLKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDTTRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESEAENDATGEADDETEWKNDSHQQNLYEKS >OB05G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13084370:13085911:1 gene:OB05G24820 transcript:OB05G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGAAAERQELQLPPGFRFHPTDEELVVHYLCRRCAGLPVAVPIIAEVDLYKYDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPIGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYDVLRPSVSMPRAHADSSCSEHVLTTSASSCGAQRPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXELGGVTRASR >OB05G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13089809:13094327:-1 gene:OB05G24830 transcript:OB05G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGYTTAHSDRFVANLPWKETLSFGHRHGGNDDFVADYFASTLGDAFKPLGEVYQAYCEAMEEVSMAIMAVLGESLGLGSGYYRDFFADSCSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVHGLQVLVGGAWRPVRPEPGAFVVNIGDTFMVRHCSFTYLLRYPGKLEVRKALTNGRYKSCLHRAVVRREQERRSLAFFLCPRDDRVVRPPACGERRLYPDFTWADFMRFTQRHYRADTRTLDAFAHWLSPPACSGAAPAASPPAATQAATV >OB05G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13114433:13119043:-1 gene:OB05G24840 transcript:OB05G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G21651) TAIR;Acc:AT1G21651] MASASPPSPSPDAEEEPPECPVCLSPFDSASAAPRVLPCGHSLCGPCIASLPPASASASADSLRCPLCSQCVPFSRALGPSSLPKNLALISLLPSLPKDPNPSPSFIDAAAAALAPRHLPLHADHSRLLSRFRHAILPESALPLQSPPPGPTPAGLAVGSFASDLGAPWFCPRGHPVSLLPVEIPTTGAPKQVPQFYRPSHATRVAAAIDALSGAARDEVIDLVAVSARLARRVCRVYGVWIGPEAAPLWLVAERHSHGVSRLLEERIHGEETVSRIRAVGMEVCEAFMGLHGEGLVLGCLGLGCFYLDRFGHCLLDLNQALGLCRGIQAGFFRSSKAFVAPEVAVVMHDKLQTKDHDFSGLVGPSSDAWLLGCLLVALVTGDEQLATGWNTDGSYDDWKNEVLTRLDASLVGTHMESLSVTIVSCLNNDPEARPEIADVWRCISGSSMNSNIEALTPNVDLAARKSFMCLLLGELSSMSTDVGASESEDKLQPSKDSDDKQSALDDEGSGAFVNNEAVGAPRMDESKCGELYTSSTLLAHRDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSHIQSLKGHEHKITAIVVVDNDSQSLCISGDGGSGIFVWCVDPSLANEPLNKWYESDDWLYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAIACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSIAPVLSISTEVNFVVASHENGFLKIWKDDVLVKSQKLQNGAIYAAKLNGKRIYSGGWDKVINIQELLEDESEVEMRDVSTFTCDSIITSILYWDGKLIVGLSNREIKVFYKGC >OB05G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13121162:13121386:-1 gene:OB05G24850 transcript:OB05G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSYVHHPGNSPPHRTVSALIRRRLLDCIIMVWKYRSGQSVLMRQMSVPFPRRCLPFQAPTVAPAAANFLTVLA >OB05G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13120037:13123270:1 gene:OB05G24860 transcript:OB05G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTQEEDLRLVSAWLNNSTDPIEGNARKGETYWSKVAEEYNETTPEGRKRDMSLLKGHWHKTTKKVSAFNGCYVQLRDAYASGRSDGQLMEQALELYRSREGTQFQYVHWWKAFADSPKWNVHVASGGPGPRKHTPDLNRAAEPMVRPMGIKRAKKGKGTTAEVAVEVKEHLKTLVDAQATQREETEGIKELQLQLSEQRVEAANLQLKAAKEKKEAKMIEKLSELLHVDTSNMMEWAREAHAKTVTHLMESIWGKGESGPPA >OB05G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13126064:13126222:-1 gene:OB05G24870 transcript:OB05G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCCLVVLGFSWDLGLSFWAGWVVSNDRMADVCVYFITWIGLALALCLSVM >OB05G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13126649:13127183:-1 gene:OB05G24880 transcript:OB05G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSGKRTATREVKLEVKMEDGIGTSAGRSRRLRATAVRAGRRGPSPSSSDRPSSPYPPEQTHRWSQMPRGRSDSSSILVWTEPRRRTVIVLESSTNTSSHRTYNGPSDEHNPGALSEQSPQRAASAAGSHGDATGAEGQILAVGGIRNAGDIEMEVLKLVV >OB05G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13127092:13132940:-1 gene:OB05G24890 transcript:OB05G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSSDEEDLVVVPDDDQYDTEDSFIDDDELDEYFEVDNLAAKHNGYFVNKGKLEQIEFDPVQTAEPKKRRRKDSSSSYIENNKEFALGSSSYIDMPLKDFKRRTLQTEKNNSNALERVQKKNFSDPTTGAEYAAHLKMTAYRDKDAPGHLGVQQEKASNEANQDLSKNTHHNEKHNASEISGLNIASSIYSTETMHLATKGRIEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKISEREMINRLMGIVGHLVHRRTLKRNMKEMVQSGLSAKQEKAGKLQQVKTEIYEMIKTRLATKPKGAEHKDESTNGFQDAVTNDDRRALRGKSIMDTVLEDRICDLYDLYVEDMDEDKGPQSRKLYVELADMWPQGHMDKIGIRDAISRSQKRRNLLYRQRKVRNDQSQAAAAKLRDCNPAAPRPSQAQQITQQPMKVSQPSTTGTQTMQPSSRNVEKIRETSVGAGPDSNRSSTEMKKRKLHSSEDRQVKGTHTAGVPSNAT >OB05G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13133463:13134263:-1 gene:OB05G24900 transcript:OB05G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRAPRAAPRHAAPPHPSSVAHPATAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPTHLPAAAARPLVGALPGPSGAVGFRVLVTCLFRFLPISAFPGYFACNLSADEACRWRNFIFFKIVDGKTQVETNRIIRKCRMKLCILVRPNALRALTVQGKFATEKLRGDSKNSDRFNIKQ >OB05G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13138862:13140603:1 gene:OB05G24910 transcript:OB05G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRAGILKKAREIGVLCDAEVGVVVFSSAGKLCEYCTPKTTLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRLVHMKGEDLNSLQPKELIAIEEALHNGQTNLRDKMMDHWRMYKRNEKTLEDEHKMLAFRMHQQDVELSGGIRELELGYHHDDRDFATQMPFTFRVQPSHPNLQEDK >OB05G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13141017:13142972:-1 gene:OB05G24920 transcript:OB05G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGRRRSAAALAVVVLAVVVVVLARSLEGVDGAGAGGGNATCPLDLSYVPTFPWDAALCAGGARNMTGCCMTLLSVFAIGLAGQVRATGRFRIPSVGASAACLRDFGAKLSAPPLSLPGASLVPACFPSPDQFVASPSFCAGVTTAAEYRAVVGNDSVAALDFACGADLSSLPLCLRCLDAGIAATSRLKAAANVSGNSSASDTAMNCFYLTVTYAAGISNAAGPTFPSTAGCTLGLALSTPPAAPSKPHDTVIFAAAIPIALLLASLLAFFIWRRRDKKKKIHEISKEGSAERRSHPRPNTGSILFDIAELSRATDSFADGNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDAEEGKQKFLVYDFMPNGALEDFIFRDVEGGSKRPALTWAQRRSIIMDVARGLEYLHYGVKPAIYHRDIKATNILLDGEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEILSGRRVLDMAAPAGPVLITDWAWTLVKAGQAREVLDGALSTAESPRGGTMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPELPDRPLPYGHNFMFSEAGSNFSASPAFSGPFMDNGDMLR >OB05G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13154254:13155817:1 gene:OB05G24930 transcript:OB05G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESSGKRNYTYMDAVNANLSGIKVQVCGFLQYATIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANKGAKGSFTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIKAPPPSESKVMRRATIVSVAVTTLFYMLCGCSGYAAFGDEAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSRYITGEIDVPLPLPSSSGAGRRCFRLNLFRLTWRSAFVVVTTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQKRIPKWSTRWVCLQLLSLACLIITVASAAGSVAGIISDLKVYKPFATTY >OB05G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13167608:13169207:-1 gene:OB05G24940 transcript:OB05G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPLLLLLPFLLVGFFYLAAGGNTRRRRRAPAPRGLPIIGNLHQVGALPHRALRALAAANGAPHLLRLRLGQDEGRSELFRDLIEENATLLGGFCFGDYFPALAWADALLSGFAARARRNLRRWDDLLEKVIAEHEGKRRGRDDGSGKDDVEEDFVDVLLALQEEQQHGQGQAEGFHLTRDIIKSLLQDMFAAGTDTSFITLEWAMSELVKNPAAMRKLQDEGRQATPGGPPTRLPAAVVKETLRLHPPVPLLVPRECARDEDATVLGYHVDRGTRVFVNAWAIHRRPDAWSEPEDFRPERFLPGSRRGGGGGGGGHFQLVPFGAGRRVCPGMQFALATVELALASMVRLFDWEIPPPGELDMSDEPGFTVRRRIPLRLVAKPVG >OB05G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13170235:13170426:1 gene:OB05G24950 transcript:OB05G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTFSPHRTSLPLLVGRFNTSSGDPFFPAAARRHYRLALRFLCCLLWVHVDETLLAEYLNR >OB05G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13170447:13170987:1 gene:OB05G24960 transcript:OB05G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDFREYFATTTAAERATHAGLGKAIGVPKLDDYFVRRKTFYAVIDDIKANTRVLAIAGMVKDFREYFATTTAAERATHAGLGKAIGVPKLDDYFVRRKTFYAVIDDIKANTRVLAIA >OB05G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13180894:13181334:1 gene:OB05G24970 transcript:OB05G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGMAPPAAPAPAKKARYMHMTFFWGKNTEVLFTLWPGTRGGMYALALVFMFALAVLLEFRAYRALEARARPRTPCASASPTSSCSRSCRSTVACCSPPSRATPPGSWRSGPACAAGRRRRWRRTARTTPCAARCSPCCLVMFM >OB05G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13187836:13191348:-1 gene:OB05G24980 transcript:OB05G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3M7C4] MSADNVKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMTAMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFLLVVVTCIVTQMNYLNKSLDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQDPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPTNLPIRLPKHAEEDGFAGEGIPLRSAADGIPLRSPRSTESFRSTL >OB05G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13196307:13199976:-1 gene:OB05G24990 transcript:OB05G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEYGPYNSFPSSFPNVTFPDYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGVPIPPEGERLAEDRRREAPAAAPAPAPVSPWDFFNPFDSYDYSQQLPQYKEKGYGSNGSFTSSPNSSEVREREGIPELEEETEQESMRESLKARKAVESTASNRIDNVDVNAKVKTASMEHKECEIESVGSASVLDSGEESVYSCECDNANANANADVLPENFGTRDVAVPIGDDPGMVKKVASEEHSSMVVAEDVLPENFGTRDVADVVKEIKERFNSVVACGDDVAKILEVGSMQYRPQRRVVRLVFSRLMRTFALLFSSVSEPPVNNLEQTTLSASRRNQNLSQRIGGASDIELNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASIRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPQEKEETPDGIAPFSPGRLGAPAVFITANDWCQTMKRIPEGTVIGAIEAFAVNVHMLWERQDEEQQQKLKADFLSRDYSKRLKSFQKEHGLAGHHEADKTMLPIAENNRAVDSRMVALDALHKRLDEQRSRHEETVNQIQESSATDLKAGLGPILEALESFTQETLKGYENVRMLNGCGA >OB05G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13215253:13215675:-1 gene:OB05G25000 transcript:OB05G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKRELCEEVCAFQQQSFGAETLPNLLWMQPWCTTASDDGNAAGHTPSADAIVPLRLSSCLREPKRDGALPHRGQLRRLSHQLHLLWLRLQVLQPLLDDAAPPHLVYVVETMVIFKDIVHVNNEQWWRALTLATSGSG >OB05G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13216671:13217099:-1 gene:OB05G25010 transcript:OB05G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPGETSSPSGGRGGSVPLGGGGWNAGETGKSRRRGLRRGTARAATGEVQGSEAKMGAGSAEAEAARSDGATAPLDAEQGIGRGGGAGVARSRGKEGKQVQGQEEEDGDLNFGGWPADATNLEEAALTALGLQPAASWRR >OB05G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13217066:13221256:1 gene:OB05G25020 transcript:OB05G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSPLAAPPFSWPLAPFPIAGSLVPEVFLEMVSARPVNGELDAWFRSLMLSIGNGRGEAESGGAMPTLSGWKDLPVELLLRIMSIVGDDRMVVAASGVCTGWRDALGWGLTNLSLSRCRQNMNNLMISLAYKFTKLQVLTLRQNIAQLEDTAVEAVATYCHDLRELDLSRSFRLSDRSLYALAHGCPQLTKLNISGCSNFSDIALTYLTCHCKNLKCLNLCGCGKAATDRALQAIAHNCGQLQSLSLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDAARSSSSGTSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPDRHSLIISGCLSLTSVHCACALHPHRAGRAMVPSHAY >OB05G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13231850:13237143:1 gene:OB05G25030 transcript:OB05G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAKFLFGIAGQCYSDTRLLIGFVLSGNVIALFLFLSPVCYLQENVKDDEIKMLKKKHDKPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNMLVSTINGAGAVIETAYVVVFLVFASSHKTRLRMAGLASAVASAFAVVALVSLLALHGQGRKLFCGVAATVCSICMYASPLSIMRLVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYAIYRKNKGGAGAGGKQADDDGNDVEMAEGRNNKVADGGGAEDSTTPRKADTTVSKRELSTPSMASSLPSSIRGRPLTGPGPSRRAFFNRSLSIRACFVVSIEGTRTRRHDRSGQAIMAPSKLRKAIGAVKDQTSIGLAKVGSGGAAASELDVAIVKATRHSESFPADERHVREVIALTLHSRAYVGARTLVLVHRLLADGDPGFEQEVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMHAKHGDAARRGRPLHEQLYASPGNRFSYEDFCAREEDKATNAETDKAVALVARETPTSEMTLEQLLAKAQQLQHLLDRFIACRPVGAAKTNRVVSVSLYPLVKESVQLYCELTEVMAALVEQFSEMETDDCERVHAVFCGLAKQLDELEALYTWCKDAHAFSGSASSVAMRPPPGAPMLALPAPPGTSGAAASGVVDPFAASMAVPPPTYVQMSDMQTKQHQLVEEKMVWQQYGKNGMRGQGALAMLEQQRPPQLEQMLLPNGGYNYAGYHRTS >OB05G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13237644:13241018:-1 gene:OB05G25040 transcript:OB05G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFLPPGFRFHPTDVELVSYYLKRKIMGKKPLVHAISDVELYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYANGSRTNRSTPNGYWKTSGKDRTIELNSRMVGSKKTLIFHEGKAPKGSRTDWVMYEYKMEDDKLVSAGFSKDDYVLCKIFKKSGLGPRIGEQYGAPFNEEEWEHEDAEISMFPLQPITNVETSVFPLLPSSEVVNSTGDTCVQPSNVARAIEELPVPHLPDVFTGSEPTFQNIAVTDECAPMELPSQYSVESIVDEVVSVNNCSNEVNNADSPIVDGFLLEELSKFLTDSPHRGNPVGEHSGLPPMSEAEAHAFEVSTNDLYNEIAGLAESGVPNGDSFSLSNAGVTEYTSQPTTYFGVPSSENYLDMDDLFAPDASSSYAYPVLNNQFWQYPMDQSIYDSTQSAAFLSSDLHSTMPNFPAVANYGGLASKPNMQFQLS >OB05G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13246114:13250379:1 gene:OB05G25050 transcript:OB05G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASCRXXXXXXXXXVAAWASAQRRRWWWAAPPHSGTSLERVGLAFFTVSVALVISCALYLYVFRYLGPGRVVAGFVGDDLGEPCDVFDGSWVRDAGYPLYNSSLCPFAERGFNCLANGRQDTGYLKWRWKPRRCDVPRFTARAALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKTVYEVNGNQISKTIRFLAVRFASFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNMSRKWVNSDVLIFNTGHWWTPTKLFEMGCYFQSGRALKLGTSVDAAFKMALETWASWVEKRVDLNRTHVFFRTYEPSHWSDTSQKVCEVTEQPSSEAKGNDKSEFGTILADVVANMKSPVTLLNITLMGAFRTDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELVFSYLLTNGWQKIAR >OB05G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13250196:13254885:-1 gene:OB05G25060 transcript:OB05G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M7D2] MAASDLVGSWGWLVDEKRRPGGGGGGGVILFSRERKKRQTERERERGEEAPAPMGSLETRYRPAGAPDDTTKRRTPKSKSFKDVENFGVLVLEKNSGCKFKTLRYLLLAITSATFLTLLTPTLYERQLQSSSRYVDVGWIWDKPSYDPRYVSSVNVRWEDVYKALENLNVGSQKLKVGLLNFNSTEYGSWTQLLPDSDVSIIRLEHAKGSITWETLYPEWIDEEEESDIPSCPSLPDPNVRKGSHFDVIAVKLPCIRVAGWSRDVARLHLQLSAAKLAVTSSRGNQKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYSPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAISAAQSIRQAGSSRDLIILVDETISDHHRKGLESAGWKVRLIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHIDEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDNESVKAKKTELFGADPPVLYVLHYLGLKPWLCFRDYDCNWNNPVMREFASDVAHARWWKVHDKMPEKLQSYCFLRSRLKAGLEWDRRQAEKASFDDGHWRRNITDPRLNICFEKFCFWESMLWHWGEKNPTGSDPVPATQSS >OB05G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13279624:13279884:1 gene:OB05G25070 transcript:OB05G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMWLQMIHRAAGSRTLVALEPQKAMLPCPPPPPILPHTLTHNNQKHLWLPITTNEYCPGFYFLGSKERFFAYQSPNLSQQAPCQF >OB05G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13281170:13282615:1 gene:OB05G25080 transcript:OB05G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGQRLRPWMGDATGAEQAAGGDARDDAGGAAAKGLGDASTNASAISFGFAATAILISMFLLMAIFEHLIKPSLSSSSSSSSSSRTSAHGDEDGQGHGQSSHSAAAAAAVSPDKLFRPPDKVTETRVNAAAEDLTVLMPGQRYPTFLAQPAPLLPWPREGVRWPPHGHGHRRCFVPP >OB05G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13290228:13290575:-1 gene:OB05G25090 transcript:OB05G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKPSRSPLEDGVLSTALAFMDATCVSSNGEAVKCLMGDGEVVLEEAMDGPMFASSAPMEKFARDTTMDLVSPVAGVAKASILDLAVGDQMLEASANVQVVSAPKVM >OB05G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13291442:13291915:1 gene:OB05G25100 transcript:OB05G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSNLACSSPPMAARARRSLELTNTKETNPWEGLAIGAVTLARTFSTGSHRISSSSTSRPGGERVARASAAGGXXXXXXXXXXXXXXXXXXRRGGCRRRPAWRGEEGLLPAEAPGRARQGRRVLLEDPRHGRRRRRCHRRRRRRGRREEQERAAS >OB05G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13295606:13296577:1 gene:OB05G25110 transcript:OB05G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSDGTLKYIGGETRVLAVPRSIPFSDLKKKVEEMFKTEVAAIKYQLLSEDLDVLVSVTCDDDLSQPERYVATMPATPSGSPSYSAHAHGAVSAGNSPRADAVGSDHAVFGLGMQRVRSTPNLGGLDAAPQHFHQHAADGGGGLAGYTSSSPGRAGAGHVVSQGSLHSYYHPHHQYAPAPVHVPHHAGVAGRYDTRVYVRGSNYAAPASAPPPMMPVAVRSGRPVSRGGGGPPYSDMLTPKKATTIWD >OB05G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13300304:13303067:-1 gene:OB05G25120 transcript:OB05G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M7D8] MMALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGMLLSGRMTLLVPPTGGDGEVSSGHGSRLSLFSDDCEHRRKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKGRLPKALVVVGINTAFSSKKRRDSLRDTWVPRGDGLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLASRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWMIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDAAVSTFSAAAAAAA >OB05G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13304958:13307946:-1 gene:OB05G25130 transcript:OB05G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPGCTVFIGNLDEKVPERVLYEILIQVGRVVDLHIPRDKETSRSKGYAFAEYETEEIAQYAVKLFSGLVHLHNRTLRFAISGQDKQSSNDSIPVTPKLNPIPPPKPTLMRSSDTSASQHTVVNGRIAGISPNHSYSVHSEASSGVSSRGLSNGTYEYSRRVFGSVLNDVSRRTSRESIPYPSY >OB05G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13311101:13313235:-1 gene:OB05G25140 transcript:OB05G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:J3M7E0] MECGNGHAAANGDGLCMAVPRADPLNWGKAAEELAGSHLDEVKRMVAEYRQPVVRIEGASLRIAQGAASGGAAMVELDESARERVKASSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGRKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKRLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALPGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKAAVKTCVMTVAKKTLSTNSTGGLHVARFCEKDLLKEIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRTALPRAVEAARAAVESGTAATPNRITECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLEEWNGAPLPIC >OB05G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13327404:13331176:-1 gene:OB05G25150 transcript:OB05G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICHSNTIIFTSMPHITIFSFHILSLVDQRLISYLANMVKSPTKQTCRCVSPSWRLGLHVLPTEPPLHTNHPHPFASPLLLSSSKPSLQRSHATHSSPRFCSCTPIIALTCSGVVARELRTLLNSLSLSLARALALLLPFPGPRRRRRDKLVTVSGNGEPAGAVLRDPQHLQGHAAQGNQGGVQDAGAAMAPRQAPAVVQERGRGPLQGHHRGLRGDPDRYSFLFSLPLSLCMGVQEAGVQLHGPRRGRRLRAARLRRVLELRGAQGAGAGTQGGVHPRGALHRVQEGGEVHPRRRHQDRADFQEGGDEDDQGEARVEEGDEGDVRGHGRRAAGLPAGRRRVHHLREEAQGVQEERQRPGAQGRGAAGERAHRLVLLLPPHRRREDELLLPRRGHLPGLREGRRG >OB05G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13333351:13333883:1 gene:OB05G25160 transcript:OB05G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVPPTHQELVEIFAWERPIIDQILSITPQENTERVRYMTESIGWYLAAEYVQKAFMQCIQTLQ >OB05G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13339172:13340115:1 gene:OB05G25170 transcript:OB05G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSHFPSSSPSARGTHPSNSSSSSNLTILTCLSPLASSCLNYLTGNETTPSASCCSKLRDVVKAQLECLCVALNADSAALGLSINRTRALGLPDACKVEPPPVSNCKSGAAPPAGQTPTPAGTGSKATLATPVGSGVAPLLVSPTGLLAGLVVATFYAVSAVLSISLRLYVLDLFSRTVNESASLPLMVLVKVTVYAWAEG >OB05G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13341129:13348637:-1 gene:OB05G25180 transcript:OB05G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3M7E4] MAVAVALAVAAVAYCTAAAAAESRWRTGWDDVTHDGRALVVSGARRMLFSGDMHYSRSTPEMWPKLIAKAKAGGLDVIQTYVFWNVHEHIQGQYNFEGRYDLVKFIRAIQAKGLYVSLRIGPFVEAEWKYGGFPFWLHDVPNITFRTDNEPFKQHMQNFVTKIVTLMKHEGLYYPQGGPIIISQIENEYQMVEHAFGSGGPRYVRWAAAMAVNLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKMRSPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLREMHAAIKHSSEPLLFGSYSNFSLGQQQEAHVFETGYECVAFLVNFDQHNTPKVEFRNISLQLAPKSISILSDCRSVVFETAKVNAPQGSRTANIVQSLNEINNWKAFIEPVPQDMSKCMYTGNQLFEQLTTTKDETDYLWYIASYENRASDGDQLVRLYVVSLAHILHAFVNNEYIGSVHGSHDGPRNIVLNTHISLKEGNNTISLLSVMVGSPDSGAYMERRYFGIQKVGIQQGQQPLHLLNNNLWGYQVGLFGEEDRIYMQEQTDSVGWMDINNWTYHLLTWYKTTFATPVGDDAVTLNLTSMGKGEVWVNGDSIGRYWVSFKAPSGQPSQSLYHIPRGFLKPKDNLLVLVEEMGGDPLQITVNTMSVTRVCGNVDEFSVPRLQSRGKDPKVRIWCQGGKHISAIEFASYGNPMGDCKNFSIGSCHVESSEFVVKQSCIGRRGCSIPVLPAKFGGDPCPGIQKRLLVVADCK >OB05G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13351776:13351964:-1 gene:OB05G25190 transcript:OB05G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWWLSPAAGCELPAASWREGVDGVVGERGRGDVTYDGRALIINGTRVMLFSGEIHYPRSSPE >OB05G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13357895:13360335:-1 gene:OB05G25200 transcript:OB05G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQFSASDGAPAPRELGEEWAECGGGGGRRGSRRWSRKKARAAARGHHRRGGGGRGGVGGRGGGRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPHSHGGGRAGDDASALANSLGSLCKACKPEVEVEALVIQGPKLGTVLSQVKKLDASVLVLSQCKPSPFCCFMRSSGEEFVEECINSADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >OB05G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13360062:13360292:1 gene:OB05G25210 transcript:OB05G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPELWSTTTITRPPPRPPTPPRPPRRRGGGVPSPPPSPSSWTTASTRACRRRPRTRPTPLPAPAVPGRRRSR >OB05G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13372968:13379626:-1 gene:OB05G25220 transcript:OB05G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transport 2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) TAIR;Acc:AT4G22200] MKRSGFDSSSGSGGGEGSGSFNLRNLSKLILPPLGVPAGGHAQHHGGSNDKRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSTTQLLVRDRRRITMRYLSTFFIMDVASTIPFEGLAYLVTGEVRESPVFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWIRCARLIAVTLFLVHCAGCLYYLIADRYPQREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASSFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKDVYLFKGVSREVLLLMVTKMKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEAVYFDGEREQVVATLGTRGIFGEVSALSDRPQGLTFRTRTLSQLLRLRQATLREGMQSKPEDSVVIIKNFLKHQIEMHDMKVEDLLGEDAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEECVLVLLKHACNVNIKDAQGNTALWNAIAARHHKIFNILYHFARVSSPHAAGDLLCLAARRGDVDTLRELLKHGLAVDSEDHDGATALRAALAEGHADAARFLVLSGASVDKANLDGSGSGSFPRQTTVSPDELRELMQKRELAHAVSIVDSTSPAAAAVVREIGSPADRRKARAPSTRSDGDHCPRVSIYKGHPFVRNPSSEAGKLINLPGTMEAFKAIIEEKLKVDAKKTLIMNDEGAEIDSIDVIRDNDKLFIVTEEEEHMRTVALVGSVYGS >OB05G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13384406:13388189:-1 gene:OB05G25230 transcript:OB05G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M7E9] MRRRQVGGIELIASENYACRAVLDALGSHLTNKYSEGLPGARYYCGNQHIDAIERLCCDRALAAFGLDPVRWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHVSHGYYTPNGKKVSGASIFFENLSYKVNPQTGYIDYDKVEEKAVDFHPKILICGASSYPRDWDYARMQLIAIKCGAILMCDMAQISGLVAAKECRNPFDYCDVVTSTTHKSLRGPRGGIIFFRKGKMLSKRSRSLTQVVETDQYDFEDRINFAVFPSMQGGPHNNHIAALAIALKQVATPEFKAYIQQVKKNAQALAMALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEACHISLNKTPIYGDNGSISPGGVRIGTPAMTTRGCLENDFEVMAEFLMRAAHIASIFLKEHGKLQKDFLKDLENSNDIIELRSQVETFALQFAMPGFDV >OB05G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13402235:13405033:-1 gene:OB05G25240 transcript:OB05G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQETPAAEVVAAEVVVVEKAVEAEEKKVDEAAAAAAEEEEEEKKAEEAEEAAAADEAAVIEGTGSFKEESNLVSELPDPERKALAQLKELVAAALASGEFELPPPPPAAAQPTPVAEEPAKEETKVDAPAAEETKDEARATEEPKTEAPAPAQEEPKTEELSKEEPKAEAEAAAPAAAAEEPKAAEAAAEEAKPAEPEPGAEEKTVVVTEEEGTKTVEAIEETVVAAASEPAAEAEAPAAAEAAEPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKRFGIDAVLAADHGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILDQLDFSASGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFIAKKIFINVPWWYIAANKMVSPFLTQRTKSKIIFCSPAKSAETLFRYIPPEQVPVQFGGLYKEDDTEFSTSDVVTELTVKPSSKETVEIPATENSIVVWELRVLGWEVSYGAEFTPDAEGSYTVIVQKTRKVPANEEPIMKGSFKVGDTGKIVLTIDNAASKKKKQLLYRFKVKSSTESA >OB05G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13418116:13423210:-1 gene:OB05G25250 transcript:OB05G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPSRPFMVPGPGGPMPPPQQFGLGETRPPLAAVLRPRFNIPGLHPSAAAASAAGKIASTYDLVESMRFLYVHVVKARDLPAVSAAGTIDPFVEVKLGNFKGTTPVLGCNHNPSWKQVFAFSATHLQAHVLEVAVKAKDLAGGDDLIGRVGFDLAEVPIRVPPDSPLAPQWYRLETKRGEKTRGEIMLSVWLGTQADEAFPDAWHSDAHAAAPAAVASTRAKVYFSPKLVYLRVAAVGAQDLVPHDTSRPVNSCVKLQLAGQVRRTRPGAPPGTLNPIWNEEFMFVVSEPFDEPLFVTVEDRVGPGRDEPLGRIVLPLNAAMPRHDHFGKPVEPRWYSLARPSDDPDKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILELGILGARNLIPMKGKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNNQIGKNCDARDESIGKVRIRLSTLETDRVYTHFYPLLALKTSGLKKTGELHLAVRFTCTAWVNMIALYGRPLLPKMHYTQPISVLQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVGSHMFSLRRSKANFYRITSLFCGFAATAKWYDGIRSWRNPITTVLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRPRHPPYMDTKLCHAEFTNQDELDEEFDSFPSSRPADIVRMRYDRLRSVGGRVQTVVGDLATQDRQKEGIDCTLRVLGQEFVNVLLFLGVICGLVHRRGPLQKCSVHFACELVSGADLVIGGDGDDDDDGESVRAYLLKAVKNNNGTGVLLLSDVFGFEDSATRDFAYRVACHGYNVLVPDLFRGSPWKKTEKDGFEAWLAGHAPERVSGDIDACTKWLVDEFTAAGVSKKLGTIGLCDGGGRLVETLVRDGGAGYSAGVCFYGSRMDASLAPRLAAPVLFVCGDGDPLCAVETVREMESRARGAKAVVYAGRGHAFAHRPQSLEDDGDAEDAFALMRGWLHDHLLA >OB05G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13440521:13442250:-1 gene:OB05G25260 transcript:OB05G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDELIIRLHGLLGNKASNGMELGTGERWRNANMNFYLVNDQKQFFKAEVMHGPLTIDQSHS >OB05G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13461539:13464676:1 gene:OB05G25270 transcript:OB05G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVEAARRRLEGDSEDEEGEEDKRRGRAAERRLRAGGGGXXAGPPPAAAVAAVGSGELLSIPGVGPRNQRKLVDNGFEGVAQLKQLYRDKFFGKYSEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKDSDSSKQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVTLDYLQGLHEKHESWLLPSKGGGNGLLSVSQLPMHMEGSLPPAIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAQQVAEFFEFVKKKKEAPSEQTSTGKNRTNPQIMLPPKGGLWVPDGRSPFSGSAMNLDFRRAMSSYLST >OB05G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13468181:13475179:1 gene:OB05G25280 transcript:OB05G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRVSAVDKSPSDTTLVRDQIVDPEPAVIKGAKLPVSEEATVKRLEDQQQSFAFFESVVPGLAYNGADAGQAGSRTPQLARTLSQKVGLGKNKASAAKVSEVSSLLGRAGTVGFEKAVEVLDTLGSSMTNLNTSSSFISGSAAKGNKISILAFEVANTIVKGSNLMRALSKANIKHLKEVVLHSESVQHLISKDMDELLKIAAADKREELEIFSKEVIRFGNRCKNPQWHSLDRYFEKLSSERIPQHRLKEDAESVMQQLIVCVQYTAELYHELHTLDRFEQDCRRRQQELDGLSSRGDSLHMLKQDVKSQTKHVKGLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLADSEEPQEPGGKQHNRLGPAGLALHYANIINQIDTLVSRSSSIPPTTRDTLYQGLPQTIKSALRSKLQSFEVKEELTVSQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEMNYKSSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKTANGGIRSPIKSPVRSPTQKGITLTLDKSNNSSPILTQEDKDMLRNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSHSPTSGSRKDLLLVRRSSMLPVIDFQIDRTKALDLIDRLDDLKKQ >OB05G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13476177:13478248:-1 gene:OB05G25290 transcript:OB05G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial GTPase 1 [Source:UniProtKB/TrEMBL;Acc:J3M7F5] MYWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDSWLGNRKRIIVMNREDMVSTEDRNAWASYFANQGTKVVYSNGQLGMGTMKLGRMAKSLASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGNDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLVRHPAVGPEAFRRRYRLEMDSDCGKMFVTKLSVHLFNGDASQAAFRILSDYRKGKFGWVALERPPT >OB05G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13478982:13479332:-1 gene:OB05G25300 transcript:OB05G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPAPTQPALCASHLATESARPGLGASWDGDAKEAGRMTRHGVGSRGIILLLGGVGSSAGGAAAADCSERAISRVVDDGDDRENTKNLASLPSGDTRTESGEEEAGAAESARER >OB05G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13479948:13480973:-1 gene:OB05G25310 transcript:OB05G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGESEAKDGPANISLLSLYCCLLFPEGLVVLAASLPFSPSTAPACSCAFLWASQRSAEDARLDDDDVDVLLLLGLAVLLLSQRSHASARQLLLPLLAARRSVGGDAVAPTLPALCASHPASGSAHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLFSPSPAPACSCAFLWASQRSAEDARLDDDDVDVLLLLGLAVLLLSQRSHASARQLLLPLLAARRSVGGDAVAPTLPALCASHLATESARPGLGASWDGDAKEAGRMTRHGVGSRGIILLLGGVGSSAGGAAAADCSERAISRVVDDGDDRENTKNLASLPSGDTRTESGEEEAGAAESARER >OB05G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13481036:13483637:1 gene:OB05G25320 transcript:OB05G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALEQGDVTFFKFVHVQKKILRKLPGKTVQWILLPSWPLDLRRDADSLLDLDGPGHTGPDPYWFGNGRAGRNPNRTSEFRALVAIKSRDARSSSSVRRSFTALALCDASLFFSALRVSPEGSEAKFLVFSRSSPSSTTREMARSEQSAAAAPPALLPTPPRSKMMPLLPTPCLVILPASFASPSQLAPKPGRADSVARWDAHKAGCVGAASPPTERRAANRGRSNCRADACDRWDSKKAASPSRSSTSSSSSSSRASSAERWDAHKKARLQAGAVDCEKGRDAANTTSPSGNSKQQYNERRENPMVFAGPSFASRPSPEPCMFPLPNFLTAH >OB05G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13486104:13486358:1 gene:OB05G25330 transcript:OB05G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRASSAERRDIHNKPCLETQAGVLASEKDRNAATTMSPKTEEEIRKPWWYNESKRFAAEAPAKFAGPSFASPEPCMLPLPNFLKA >OB05G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13488773:13489672:-1 gene:OB05G25340 transcript:OB05G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGESKDRPANFSRVVAGIVVMAALPVCSRGFSWMSQRLEGEARLEDASAGLLLLLVRLLLGLEEEEEDDDDDDDALLLLGLVVLSLSQRSHASPRQILLPRWTTHRSVGSGDVVAPPAPDLCVSHLSATSARPGLGAWDGDAKDVGRMTRHGVGSRGSILLLGGVGSRAGRAEAVSTNCSGRAISLVEDYQTSETRRTHFSPRTLGREEAGGEESCEVIEDQTNWWTAPWRRRRRWIFIATGWEVVKLDWDYGGWNDRRCSFFWSSCLVREGGPIANWRTWSCGREAIWKLSLAHG >OB05G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13489113:13489706:1 gene:OB05G25350 transcript:OB05G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEQFVDTASALPALLPTPPRSNMLPLLPTPCLVILPTSFASPSQAPKPGRADVAERWDTHKSGAGGATTSPLPTERCVVHRGRRIWRGDACERWDSDKTTSPSRSSASSSSSSSSSSSSSPSSSRTRRSRSPALASSSRASPSKRWDIHEKPRLQTGNAAMTTIPATTREKFAGRSFDSPDPAMIPLPTFLMSP >OB05G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13497947:13499429:1 gene:OB05G25360 transcript:OB05G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSTLLSTGDHQCGGKACPPSTLQVAFFYVSLYMVAVAQGGHKPCVQAFGADQFDPGDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSAVSKKGLRARASDALAAWRSRRKSSPLPLPASQERHRTATPAPEFSTRVDEDEQEIVAGNADLIEQAKAIVRLFPIWATCLIYAVAFAQSSTFFTKQAGTLDRRVGDRLNVPPAALQSFISITIVVFIPIYDRAIVPLARRYSGVPSGITMLQRVGAGMVLSLVSMLTAALVETRRLRVVRDAGLVDEPGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWVSNNLNRAHLPQPRAPRLLLLADRRAQRARAARLRLLLRHVQVQEQGSSSCGFHLGLIN >OB05G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13499139:13499403:-1 gene:OB05G25370 transcript:OB05G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKSSLVLVLERDGEVGVGEQLERAERGDQPVEVVEVRAVEVVGDPAAAPRRRRPVDPGHERTADEAADAEDAEIERQPEAT >OB05G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13503103:13508859:-1 gene:OB05G25380 transcript:OB05G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIACCKNILLLHLLLPWLLLPHLRSTAADIPTDTLDKARNMTDGKRLVSDGGSFTLGFFSPGVSTMRYLGVWFSVSEDAVCWVANRDRPLTDTTGVLAITDTGSLLLLDGSGRVVWSSNTTTAAAPGSSPVARLLETGNLVVAGRGSGAVVVWQSFDHPSNTLLPTMKIGKNLWTGHEWYLSSWSSAGDPSTGNYRYATDTKSGAPLDVLWYGTEKRYRTGPWNGRWFSGIPEMGTYSDMFTYRMTISPGEVTYSYVAKDAGVPFSRIVLTDVGVVQRLVWDPSTRSWKTFFQGPRDLCDSYGRCGAFGVCDAGAASTSFCSCARGFSPASPSAWQMRDNSGGCRRNASLDCGSGSGTTTDGFVVVRGVKLPDAHNATVDVRITLEQCRARCLANCSCVAYAPADVGGGATGSGCIIWMEDLVDLRYVDGGQDLYVRSAKSELDEDRSRKFPFAKIVTPVLSFMFIIILVLAIWVWRRKHKSSEGIPQNPATTARPVRLRIVKAATANFSQDNMVGQGGFGVVYKGILPDERMIAVKRLNQSALTKKGEQDFIREVEVMAELRHGNLLPLLAYCSAHRERVLIYEYMRKGSLDRYIFGIS >OB05G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13523031:13523366:1 gene:OB05G25390 transcript:OB05G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESGSGPSDPLLPDAGASHRRPATGGWRSALFIIWVEAAERFAYYGISANLINYLTGPLGETTASVSCGC >OB05G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13523478:13523807:1 gene:OB05G25400 transcript:OB05G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPYRAAISAWRNGSAVLSFLTAEFNKTLASSGTLVTRTSNGHDFFLFEDDFAARKHVVMSSPEKFQLFSWLIYTVSRVVFSGWHCSSVVIMLNTFGRGCIMHLFACI >OB05G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13526633:13527970:1 gene:OB05G25410 transcript:OB05G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCQGLGLLALSSMFSPPQSQQCSSSGDGRRVCQSSSVQTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDVMDPGESSSRSSFFNWWYLGICASGTVTVALMSYVQDNVGWGVGFGVPCMVMLLALVVFLLGTRTYRFYDGGPGGAHGEATGTFSGVGKAVTAWRESWRRGGGGGSVEVEHGECAESAVLAGEVRGLARLVPIWAACLLYGVVFAQPPTLFTKQAATLDRRIGSSSSSSFQVPPAALQSFLGVSIVPCVLLYDRVLVPVARRVTGVASGITALQRIGTGIALSLVMLVVAALVETKRLSTARDAGVVDGPGAAVPMSLWWILPQYLLLGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVIGVGSFISSFLISAIDGVTRRNGGTSWIADDLNRGHLDYFYLLLAALTVLDLLAYIYFSTSYIYRTKVVNVH >OB05G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13531697:13535278:-1 gene:OB05G25420 transcript:OB05G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M7G8] MEADVFASRSRRKPAWGGGLNVISHFHTMRLIRIRSATFANSTGALRPRLTSETATHGDSPCSEMKSIRKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPPAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAHIYFALPNSVVFSHAVHERRTAFGRALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLDKLHSIYGAEAEGYCDACFSRKYPVLPTLPEPVMEVEE >OB05G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13538784:13542007:1 gene:OB05G25430 transcript:OB05G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3M7G9] MSRAPPDAAGDLFAANLKGSLLAVASSAFIGASFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMITMFIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCMVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYVAFALVVSLILMTHCAPRYGQTNIVVYIGICSVIGSLTVMSIKAVGIAIKLTIEGMNQAGYFQTWLFASVSAICIIIQLIYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLSGTVVLHSTRESDQTISPDLYTPLPPIYWHIQGNGETVKQKEDDLLSADFITIMRQDYFV >OB05G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13542777:13543343:-1 gene:OB05G25440 transcript:OB05G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEQFVAAAALPALLPTPPRSKMLPLLPAPCLIILPAPKPGRADAAERWDTHKTGAGGATASPPPPTERRTAHRGRSICRADACERWDSNKTTSPSRSSASSSSSSPSSSSSPSRSRSGCSRSPALSSSSRASSSERWDIHKKPRMQTGNTAMTTTQATACEKFAGPSFVSPDPTMLPLPMFLMSH >OB05G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13542796:13543647:1 gene:OB05G25450 transcript:OB05G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSMVGSGETKEGPANFSHAVAWVVVMAVFPVCIRGFLWMSQRSEDDARLEDDRAGLLLHPLRLLLGLEEEEGEEEDDDALLLLGLVVLLLSQRSHASARQMLLPRWAVRRSVGGGGDAVAPPAPVLCVSHLSAASARPGLGAGKMMRHGAGSRGNILLLGGVGSRAGRAAAATNCSESAISWAGDDRASETPRTHFSPRTLGGEQARRAAKVNDDRTNCWTAQECGAAYLSPHGQANRRLRFGVRAFLAPMGNGVLRVGLSRREVEKLDSDYVERNSKIE >OB05G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13548129:13548664:1 gene:OB05G25460 transcript:OB05G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKAGRSLLCISLVAVLLLVETATPHGQAYAIDCSARCGYRCSKSGRAKMCLRACGTCCQRCGCVPPGTSGNENVCPCYANMTTHNGRHKCP >OB05G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13548789:13548956:-1 gene:OB05G25470 transcript:OB05G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITARHICTHTQMIHNFFSSGSTQIRCACSKQASYSMQCMHAGCTERRERRVMDR >OB05G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13552617:13557622:1 gene:OB05G25480 transcript:OB05G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLIRPPRAALLLLLLALSFSLSLAAHFEGFDSDDLHSAHADDAASADGDDDEGLEVELPPPPPISLSTSSPSPPVTTTTSSSASNPNPDPNPTRATPNPTPALDLWDEDEFEGIPVPEATSSDESSAPAEAAPSDPVADAAAEAAPAPPGRAAGRRPAELLRAYSVEIACVSFLICFLLNYFTGKRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEETMDHVVLAVARKKAAKTMQKEERDLQRFAGVLTSAPAGRRWVADELAVVAESKEVAGDMITEAVLDQVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLIGRYKLSSHARSKTEGARSKAAQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKDRARQMKKSMPKVKMLRS >OB05G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13559201:13561121:1 gene:OB05G25490 transcript:OB05G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQAAVTAHLDQVSGLVQALSSELRRGFGPAADSLLAFVHAVDWTEPWLMCLMAFHAILLLTAVGLRRNANFQLFLLFLAYSGVYLAEKINIYMADHWKSIARRNYFDRAGVFISVVWSGPLIVISMVIVVSSLITLCRLMVKWKRAELRHRAHLAQDKQD >OB05G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13561309:13566138:-1 gene:OB05G25500 transcript:OB05G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDFREIVNYLHQKYPQAPLFAVGTSIGANVLVKYLGEEGEGTPLAGAVSICSPWDLVVTNRFIQRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANYIGNVSVPLLCISALDDPLCTKEAIPWDECRANKNIVLATTHNGGHLAFFQGLTAGKLWWVGAVSEFLLALHDSPYMHRQKAQEHNLHTSLESSIDKSPYVNFMEDGMVTAVTNDDANNSDSDNPISNEMELRSGKVDVQQNEIATEVQNGCCGDSSQEDVTSAQDPVGSPEQAEESTDKIQDVIAPVKKSINQLTRSQGRTVWLLAYIALVTSWPLLGTLGFIFFRKKLRNSLPAKWLRN >OB05G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13569730:13572211:-1 gene:OB05G25510 transcript:OB05G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSPRSLPILLALLFLAAAAAAAAQIAMAAEPEQAAPAAAQEETAVHIVYVDRPADADPEEFHIRTLAAVLGSEKAKDAVLYHYKHAASGFSAKLTPKQVEELKKQPGVLQVVPSQTYQLHGPGATTTNTVGLMNRLG >OB05G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13575770:13581100:-1 gene:OB05G25520 transcript:OB05G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEEVRGIGSGNFGVARLMRNRETDDLVAVKTIARGDHRINKSAYREIINHRSLRHPNVIQFIEAILTPTHLAIVMEYAAGGELFDRIVDQERFSEDEARYFFQQLICGVSYCHHMKICHRDLKLENVLLDGSDAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEILHLQEYDGKIADVWSCGVTLYVMLVGAYPFEDKDDPKNIMKTVKLIKAIQYEIPEQVHISTDCRELISRIFVSDPSKRITMNEIKKHPWFLKNLPRELTEEAQSVYFTKKDNIPAFSDQTSGEIMTIMQEAQRIPKSFGDGYIDYRSDEEEKQEEEGPEENEEEDERDKILREVHEKDMLGMKALSIG >OB05G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13590314:13595062:1 gene:OB05G25530 transcript:OB05G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSIYPEIEHGNNNVYASWLALSLITTSSRGSGINMRTHHVIDRSSSQDHYTNTTHIYDGSIVSGIVDRSKGEESDMGRKTGAAGAGREEPAVVVAIRMDKTTIIVSSVVGSLGVLSAILGFAAEAAKLGDCASALGLAVTASIFLMMAQVTVAAAGGCCGCCKSRAMPSEAKRIVGVVCAAISWYARSR >OB05G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13602757:13603568:1 gene:OB05G25540 transcript:OB05G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDATAVVAIKIDKTVIIVSAVVGSLGLLSAILGFAAESNKLTSCLYSSTNSSALGLGVCAAIFLLMAQVTVTAVGGCCGCCKSRAIPSETKRIVAIVCAVLSWKATLIAFVMFLDAGVVASQCFIVRDGFFAGAGVLALIATALGITSFILLLGQPDDGAAARRGEPTPVGIPMGAVPGYPPRPPHPAAAAQGSGGQPAP >OB05G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13606250:13614293:1 gene:OB05G25550 transcript:OB05G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVARTLVLLLLLLLMFLAPAAAIDVRRRRSLADEGNGDVASLAAGSPLVTGVMNQRLKSLTDAFAQQVGKELHYCIKDTDDEWNTAFNFSSDPAFLSNCMQATDGDLPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGSDQKVDMQDAVNFPSRTLNCQGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGVCDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTTKKLSCSSGFYCRKGSTSQTKCFHKGSCKPNSANQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETFIARERWKSAKDVAKKHAAGLQSSLSRTFSRKKTLKTHDPASKGAAAAAAETDAGAGASREPPGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGLISMATDEDVKTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFTGLGIVVPDRVNPPDYYIDILEGIVKPNSNVAVNAKDLPLRWMLHNGYEVPRDMLQSGSDTESSFRGGGDHAPGGGDSGQSIAGEVWGNVRDIVGQKKDEYDYNKSSENLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIVKPMVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLIKNGYDINDRILCIVVLAANGVLFRCVAFFCMVIFQKH >OB05G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13616349:13621532:1 gene:OB05G25560 transcript:OB05G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKKVIIVSTVVGSLGLLSAILGFSAEGTKITVSDVLVIGYECLYPQNPSFALGICATVFLLMAQITASAVGGCCGCCKSRAIPSETKRVIGIVCGVVSWIAAGVAWVLFVEGAAWNANVARDTGPVCYVLKDGIFAGAAVLALAATALGIASYVLLRRKPSGEAQAPKPGEAQPGPAGIAMGHPAHFPPAAAQQPPPPPSAPPQQGVDVDGQAPSQQMAAPSAAVYVQGYGPHAPYQQLPPHPQGHAQV >OB05G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13623855:13624377:1 gene:OB05G25570 transcript:OB05G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGYDDKAKHFVDVDQSNSTTKVMVFESHDASNDESDGVGSFLVPCDMVALAKESQALLCLYRIFLLLVTFNTDEDGHRDIVNI >OB05G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13628118:13628450:-1 gene:OB05G25580 transcript:OB05G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLRCGGAADLVETDKVLKLFFVPVKRWPGKDPAYLCRECGLLAPGSLGGGEPGTGPPLLLRDARCGACNRAVADPQFRFCPFCGSAL >OB05G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13630595:13633085:1 gene:OB05G25590 transcript:OB05G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPCHSLPSSPRLPAHLSLLLRPRRSLALSAPAARPVVAPCAAAGHRGENETTPIEKSRVSSPFPTVMDINQIRDILPHRFPFLLVDRVIDYKPGECAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFAGIDKVRFRKPVIAGDTLIMRMTLIKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSE >OB05G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13634783:13634983:-1 gene:OB05G25600 transcript:OB05G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMENEMEKLDGSIMKFTGDDLSNLTLADINDPEQQLEFSVTKIHARKAKF >OB05G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13636385:13636597:-1 gene:OB05G25610 transcript:OB05G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPILRRCRSTTQKPRSKKHYPSPDSVLDAVTSLRFPCRKRSSPWTDLDAVRKLSCGIAVVGSKIVKP >OB05G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13639198:13641640:-1 gene:OB05G25620 transcript:OB05G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVAKLCRMALMWLVLVLCVPAVLTLAAAEKEGYIVHMDKSAMPRAFASQASWYESPPAAAAPGADMLYVYDHAMHGFAAGVTAEEMERLRASRGFVSCYRDDGRAVRRDTTHTPEFLGVSASGGLWEASRYGEDVIVGVVDTGVWPESASFRDDGLPPVPARWKGYCESGTAFDAGKVCNRKLVGARKFNKGVVAGTNVTIAVNSPRDTDGHGTHTSSTAAGSPVAGSSFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVLSLSLGLNDVPFYQDPVAIGAFAAMQRGVFVSTSAGNDGPDPGYLHNGTPWTLTVASGSGDREFAGIVRLGDGTTVIGQSMYPGRPSTIASSGLVFLGACDNSTALARNRDKIVLCDAADSLSAAIYSVQDAKARAGLFLTNDSFRELSEHFTFPGVILSRQDGPALLQYIQRSREPKASVKFGVTVVDTKPAPVVATYSSRGPSASCPTVLKPDVLAPGSLILASWPENVSVATVGNQQLFSRFNVISGTSMSCPHASGVAALIKAVHPEWSPAAVRAVDNTNAPIKDMGRGNRGATPLAMGSGHIDPNRAVDPGLVYDAGPEDYVRLMCAMNYTEAQIKTVAQSPSAAVDCTGASLDLNYPSFIAFFDPGATAPAVRTFTRVVTNVGDAPASYSAKVKGLGGLTVTVAPERLVFGGKHETQKYTVVIRGQMKKKTDEVLHGSLTWVDDSGKYTVRSPIVATTASSAPL >OB05G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13646190:13646342:-1 gene:OB05G25630 transcript:OB05G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYLSSKILELSSTSLLQIQSTTTSTNRSTAGASEMGRFTVAAALFVAAA >OB05G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13657182:13660962:-1 gene:OB05G25640 transcript:OB05G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEATAAFAPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDTRMSIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTPRQCQGSPLDRARTYAKPVTESGDSSCYDGNTTTAASTPCRLHESLR >OB05G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13669948:13676243:1 gene:OB05G25650 transcript:OB05G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTHERAANFALAGLSLAPLVVKVNPNVNVILTACLAVYVGCYRSVKSTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWHAPLFHSLSVEFTRSQVVASIPGFLFCIWYAAKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEGDEAGEEDNDSKQDKKEE >OB05G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13677289:13677477:1 gene:OB05G25660 transcript:OB05G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAAERTMLVADDTTGFLLFFFVDPCLLTCFCEMTLFRLAEHGGPEQRKMFILWLLTPSVS >OB05G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13685076:13688644:1 gene:OB05G25670 transcript:OB05G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M7J3] MAQPQKRVYETWKGNNRFLFGGRLIFGPDAKSLLVSVSLILVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAIIFMIYVLVLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKELMVNGTLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMSALYIKFLMEEDYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNFLGVFCSKTKPSKHKFRAYVQDEVRAPVVNFGRQMEEETAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDIEMGSQDCNEMESIASAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRNASSSEAAPPFQTEAQ >OB05G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13704637:13705963:1 gene:OB05G25680 transcript:OB05G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRRRSGGGGEEDWPARVDVDGGELEAAAAAGGSVLSGEYQAQEMSTMVSALSWIEDETDELLGILMGDSQQHIFKLKILCE >OB05G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13710029:13710364:1 gene:OB05G25690 transcript:OB05G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPAVTLSTTAPAPDPIPPSPPPRSPSHPCRRLLLLLRLVRVTAPPRRRSTVRRRRCSGPGRTVLGGPIRRRRVLGPVRASIHLHLALLSSSVPPFVFPFFHSMASAVVRS >OB05G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13710143:13710334:-1 gene:OB05G25700 transcript:OB05G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGENKRRNTTAEEGEVEVDTGSNRTKNSSSPDRSTQYRPARSTAPPAPHRAPPPRRRRNPN >OB05G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13711982:13715771:1 gene:OB05G25710 transcript:OB05G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAPEAVDPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFVTWWFIKFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >OB05G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13716628:13721986:-1 gene:OB05G25720 transcript:OB05G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGNVAKIVMLRAKNQALLQMQDLHNSVSALQYYSAVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAVEAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRGSQQQGYPDPGGLYAFQQPGASYAQMGRAAMIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGSVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEISEDTILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >OB05G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13734011:13735924:-1 gene:OB05G25730 transcript:OB05G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3M7J9] MAVEPWPPATGETLAVCSGMLGHDAGRGVYGRDLDPGFLDVLDQHPENADRVRNMVSILRRGPIARFLSWHSGRPAHVAELLSFHSAGYIEELVQANAGGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHGKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGSGLGYNLNIPLPNGAGDAGYEYAMNELVVPGIEKFQPELLVFVVGQDSSAFDPNGRQCLTMVGYRKIGQIMRGLADRHSNGQILVVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDENYTMKVVDIIKQCWKESIPFLKDI >OB05G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13739398:13741391:1 gene:OB05G25740 transcript:OB05G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFLAREDDPRATAVEGNIVVGFPDGAEGAGTVGGGGRGSGAGRGRKRALMDPADRAAMQRQKRMIKNRESAARSRERKQAYIAELEAQVTELEEEHAQLLREQEEKNQKRLKEDANCVDIKNGPSYNSVA >OB05G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13750257:13750616:-1 gene:OB05G25750 transcript:OB05G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYTHQNLTWTQHAFNKKKGTEVKLQDLPVVLGACCVLHNICETRDEVLDPELRYELVDDETSPEIPVRSEAAKRSRDNIAHNLLHHGLAGTTFF >OB05G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13753281:13756172:-1 gene:OB05G25760 transcript:OB05G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3M7K2] MSFRSIVRDVRDSFGSLSRRSFEVTLSGLSGLTGHHRGKSQSTVHELCDADLIIQDSRWASLPPELLRDVIRRLEASESTWPSRKDVVSCAAVCKAWREMCKEIVLSPEFCGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLADSGKFLLSAKRYRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNGAVIPPVGRSSCRFNSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRALEESFRTTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASAQPPAGAPTPSQPAPSEQDKIILQFGKVAKDMFTMDYRYPLTAFQAFAICLSSFDTKLACE >OB05G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13756323:13756508:-1 gene:OB05G25770 transcript:OB05G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGILGLKFHSRNVVEPHYNSSFCEGMVLQSLLPYFAELYSKDFFFIISGLISINPSSSLF >OB05G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13763641:13765157:1 gene:OB05G25780 transcript:OB05G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGENGHGAAAEEEQPKSGAPRLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNDISELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPPTPARDAIQYSMDLYAQYIMESLRR >OB05G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13766153:13768808:-1 gene:OB05G25790 transcript:OB05G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRYVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDGPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKSNVTLEDVLQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTYPNIKIPTRGKIYSVNEGNAKNWDAPVTKFVEKCKFPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRYADANSKLKSRAVNMHLCSWSHTYMWHGSVLYEVFPMSFLMEHAGGQAFTGKQRALELVPRKLHDRSPIFLGSYDDVEDIKAMYASESSTA >OB05G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13771908:13772060:-1 gene:OB05G25800 transcript:OB05G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLFNIRSNVPRDFFCQKLLATCALYRIYRQLTAFSTTVCFSFSNFEPYGR >OB05G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13774519:13781781:-1 gene:OB05G25810 transcript:OB05G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQLMPERLMAVHVLSHSFMQRDGATVQDGEEVHRRQGTEEAAGDEGARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQNSAVAALQEAAEAYLVGLFEDTNLGAIHAKRVTIMPKDIQLARRIRGERAYLPVSDVIGSLPSAMENIIVRVSKCKQDNFLYVRNMARKMHSKKPTLFFKLDIAKAFDSEIDKCRRCFLWAGNEDATGGKCKVNWKQTCRPKKYGGLGLTDLSKMGNALRLRWLWYEWTCTSKPWIGTPTSCDEQEHVLFASATKITVGDGCKARFWDSTWVSNKPLKDIAPGLYRHSRRKKRTVREALQDDKWIQDVNYNLTLPLVEEYFAITQLLQETTITLTPGTEDSIRWKWTASGQYTAKSAYQMQFFGSIASHMASSNCIWTADRLQQRQWPNEYFCQLCFRNLETAQHLFKDCPTTKTIWREILRKIKCANIDMNSTMQLDDWWTNAVSAQPKDLKGGTKTIITLISWEIWKERNNRVFNRKEATVRELVSRILDKITSWSTCGAKKLSALMTNQ >OB05G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13788711:13789538:-1 gene:OB05G25820 transcript:OB05G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHALPLPVQVICTVAYTTVIVCDPDPSSLMVMEARQHYSARAVFLLVAPTAAAAPPSISPAPAALPGVAGALPGAGEAVEDGHGGGPLAVSFNTNAIVLLALLVCGIVAAVALHVVLQCALRVTRRACSYGADGAAGGPPQELPRRATAGRGGGGGHTHTGQGDVGRKRTPPLSKTIPRVAYTEGLELAGSSPSECAICLTEFARGEQLRVLPRCNHGFHPRCIDRWLAPRPTCPTCRQAPFAAEPVKPEPDHAPAPTPGAVHTVRVIIVTTQ >OB05G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13793089:13794783:-1 gene:OB05G25830 transcript:OB05G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 21 [Source:Projected from Arabidopsis thaliana (AT2G20540) TAIR;Acc:AT2G20540] MPPPPPSSAARQLEDAVMMRLRGCVTFRDLLRVHAHVVRLCLSQSSYLATQIVHLCNAHGRAAHAARVFSQVREPNLHLHNAMIKAYSQNHQHRDAVAVYVRMLRCPTFPPDGRAGGDRFTYPFLLKACGGLAALELGKQVHAHVVRSGCQSNAIVENSLIEMYTRAGDLELAHKVFDGMRDRDVVSWNTLISAHARLGLMRKARALFNSMPNKTIVSWTAMVSGYTAVRNFSGAVEAFRSMQTEGFEPDDVSIVTVLPACAQLGALELGRWIYAYCDKHGMLRRTHICNGLMEMYAKCGRIDQALQMFDGMTEKDVISWSTAIGGLAAHGKADEAVRLFNEMEKEGKVRPNGITFVGILSACSHAGLVDEGLSHFDRMKDVYGVEPGVEHYGCVVDLLGRLGQIRRALDLVRDMPMPPDAKVWGSLLSACRSHGDVETGVVEPAVLAAERLVELEPDDVGNLVMLANVYAAARRWGDVAITRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEDLSSEFGGLADVVDNLASHLADDEDDVEDFTDSDRMLCANISRTIGSKLPM >OB05G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13799858:13801923:1 gene:OB05G25840 transcript:OB05G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVAGQQARRRIRPPEPLVMAGSPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDTGPPPCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPGEVLSQLAAADGEPAAAEKAVRSLASKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELILYLTGEEREKRGKVRMAVEALAAVLSADGVCEDTKEGLIAGLVAGDLGRIVSTLISEGANGVVVLEAILTSPVPDADAKTAIADRSELFPDLVRILKDAASPAAIRCMAAAVLVRGRPARSSMVRAGAVPALALAVAAAPTAVAESALGLLVEAARCSDGKAAIGADAAEGAAAVMGRMIRVGPAGREAAVAVLWLSCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCSPSTSRMAGELLRAVRMEQERKGLAAAYDSRTIHVMPY >OB05G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13802722:13803807:1 gene:OB05G25850 transcript:OB05G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPLFDLNEPPPEDDIEDDASTACESSQSRSGLEVYDGMGDGSTARGLPSPPSQEDESTGDALPEGELLFPVFDLDAPLSPLDDDDDDDEEEDGDLTLEDLPRSPDDPDGGRSTGTSCSSVRMANDRSKVSHPEAAGLTTPCSHADDGVDMHGTGPVDASPSDGISPRASLPDCHDMKTLSPAFPVRSSSENSASRFHGIGEEGTMPPYHMPQRHKQAPVPRHNYGEDSGMFHGGSHGAGSRHHERSMRSHQGIPRSNRRWRPMRRGENGHDQIQLVYNGHDQMQRIYNNVPNQCQQMNNGRDRRQLVYNNDHDGRHQVFYSNGHDQRQQAHHGNRWPDEGYQVGQGRHHGYAGPNRQ >OB05G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13805256:13805489:1 gene:OB05G25860 transcript:OB05G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEITPYVRNYRRSPLRSNNSIELSMTICVIASTIPGISMNPILAIAQNVFDGGEAMEALHETCSVEFIGHFNSKA >OB05G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13813812:13814732:1 gene:OB05G25870 transcript:OB05G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCESMLAYAAASRRAVVVDHRRYRPNVEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVAVRALVVDDAAVEASRGAGAFSHRFHGPVRPDLLLEGMVGNPPAGGIGQPVPDEKPAAADGSTIDLALLYAKFLNQQPLAEQGAAAVPESVDTSSGSSDPHTSPDARPAATYGTGRGVLGELSAETGAAMVQCAQALGELNFSVDQSCYTSLGLPTDGGDLVLPSTLDRGAKYEPFDSLPEDALSLHDIISGDDDVWSNALGCQGLEAALCRP >OB05G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13815721:13818032:-1 gene:OB05G25880 transcript:OB05G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related [Source:Projected from Arabidopsis thaliana (AT5G06370) TAIR;Acc:AT5G06370] MGLLSNRIGKESLKAGDHIYSWRAAWVYAHHGIYAGDDKVIHFTRGRGQEVGTGTFIDLLLASSGPNTNNIPCSICNNEGATNMETNGVVSSCLNCFLAGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNVYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAVENISQLVAPAEAQGLVVTSPVAA >OB05G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13822976:13823566:-1 gene:OB05G25890 transcript:OB05G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGTVKIEPPPPPRGPAAAPRRGRAPPPGRGRRQLRHGEVPPRPRRGRPPRPAVAAEVTCRGPLPRSAAAGRWSVVEMPLLDWFYRVLASLGLWQKEAKILFLGLDNAEKTTLLHLLENEDWR >OB05G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13826544:13828352:-1 gene:OB05G25900 transcript:OB05G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILRRGPIARFLSWHSGRPAHVAELLSFHSAGYIEELVQANAGGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHGKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGSGLGYNLNIPLPNGAGDAGYEYAMNELVVPGIEKFQPELLVFVVGQDSSAFDPNGRQCLTMVGYRKIGQIMRGLADRHSNGQILVVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDENYTMKVVDIIKQCWKESIPFLKDI >OB05G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13827637:13827936:1 gene:OB05G25910 transcript:OB05G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHASYGERSSAHCLCDRSPLQCHSHSARQYQQRQPFGALNPEPASLPARHCSESSNHRHGPVGRDGQGDGPTHRQSCHARLVCASSLIRLFQPPEAPHP >OB05G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13828966:13830800:-1 gene:OB05G25920 transcript:OB05G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHILDEHGKIAYALVRPPGHHAQPGRADGYCFLNNGGLAAKLALDSGRTKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQTGLVDEIGKGEDIGYNLNIPLPNGSGNAAYEYAMNELVVPAVEKFLPELMVFVVGQDSSAFDPNGRQCLTMDGYRKIGQIMRGMADRHCNGRILIVQEGGYHITYSAYCLHATLAGVLNLQAPLLDDPMAFYPDDEKHAMEAVDIMKKCFKESFPFLKDICHI >OB05G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13841347:13847841:1 gene:OB05G25930 transcript:OB05G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVDFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQHRPLSDAPNPMRMLEKPLSTSRGNQRCTSESQSSSISCSDIDSTQSSDRSTSGGVVSTDSKPSEIRIIQDADRADSDDKCVTPEDLRGNKNISGAELKRQDSKSVHQHHRGENKQPKIIEKIMTTLREESRLRESNTHVSSSSEKLISAFSNKNQEEQSSESSRPHSGVSYSSKFSDISSNGWTNTSDECVSPVQAPLQLKQPSPIVEHSPKIKNSRSSTPEPAKKMTENGSSASGMSKIKSSPSSSRRPSPQRQTGAGIPSLPVTVPKRGHIKAESEKTPPRPAHSPNNSPHNLPHLIPVPTNLLENIKLANSQPLPAPLKFVTAASKEDISFYPNSVIGCAEKVEPAELFESKSPAFLTPPCTGAVLDVATRENDLTSISCSEINTGTLQKSMASNDDSSLSSPLDTFYLSFEQDFVCKDDSQSCKHGHSAVTFVSGEDKFTVQELLASTPYIASFVSSTSNTLPEDTNSYQSFKKQADSHLSAPVDDPVQTISLSSSRVSDEWPTSETVQGEAWDMDATKLLNVVREDLDARSSSCSSSKLPSGHNPVRSDASKLLNVVREDLDVRSSPCSSSTVPSGHTPVRSKLNVPESNIANNLSIPSVSEAVRLSTVMDVKPYTSEACNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNDEGGRLSM >OB05G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13851479:13851799:1 gene:OB05G25940 transcript:OB05G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQRIRRDRRRRRVGRAAAAAEEEVGASAGGGGGGGGGGGFRESMAAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCLAH >OB05G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13860977:13861726:-1 gene:OB05G25950 transcript:OB05G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPLSSVFYTINSGARDTPPSSPPPPAAAPPAWMWPSCKHPRADSFRSTSAATAAAAAKTIASIFLDSGESSFANSSARMMHHDCASDSLSTESEVSATAEDMADAIVRGLRSDRLLFEPRAPSSSILDKKPLRRSATDDAASFGGGVAVAFDSEDPYEDFRASMAEMLAAHGVGDWDWLEAMLGWYLRANGKETHAAIVAAFVDLVVSMAASGSSSSRHSSFTLAGTDLESSSAGGAGNISFRLR >OB05G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13875912:13876958:-1 gene:OB05G25960 transcript:OB05G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGAKGSKLQELRLNLSRSSRGGGGPSGLDGGSSPPRRLSSSSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPWGRGGGSSPPRRLSSSSSSSTTTPSPPSSCVSSEGSPEAGGGASGMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAAADPRQAGAGKSKGKHRG >OB05G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13884380:13886252:1 gene:OB05G25970 transcript:OB05G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDRGGGMGASSSRPWSKVEDKVFECALVAFPEHTPNRWVLVSSRLPERSVHDVWEHYQALLTDVDLIERGMVESPGCWDDGDGDSPSRIRAQGEGCGRGSGAGDEPRRGVPWIEEEHRFRMRRHVFLRILNVVEEHDNYFVQKRNAADTLGLSCVQKVVAAFWMLAYGGATDALDEYIRIGESTALEALRKFVVADIEVFGPEYMGLPNEQDTARLLAIRASRGFPGMLGSIDCMHWS >OB05G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13888909:13889684:1 gene:OB05G25980 transcript:OB05G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTGLKPDGDSLLGRIYSENDGTRARRGNRNGACGKIACAGMRLFLEGLEKYGRGDWRNISRGSVKTRTPTQVASHAQKFFTRQANAGRRGDAKRKSIHDITAP >OB05G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13898689:13899736:1 gene:OB05G25990 transcript:OB05G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRGGSSSWAAAMMAAPSSRAWSKAEDKVFESALVAFPDHTPNRWVLVASRLPGRSAQEVWEHYQALVSDVDLIERGMVESPGLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANAGSRGDTKRKSIHDITAP >OB05G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13910231:13911012:-1 gene:OB05G26000 transcript:OB05G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRGRAPAGSKTKWKMNEYRAFEGDDDSDAAAAHATAPAPNPYLQTRSEFSLCRLYTRSGCPRQFDRRPSSSVAAAGGGSSRNNPAPSSSTAAAFADEDAEGGKGQKRKRSPPNDGSSSSGDGDGSMLQQPQPQHRQRGNDEELVECSTTDWWADLLGWN >OB05G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13911299:13912630:-1 gene:OB05G26010 transcript:OB05G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVCFYLRHKLDGRRCRDIERVIPVADVCSLDPWQLPGTPRQVHQGAWTGDEEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTEWKMNEYRAFHYPAAAAPPSLPPQLRSEFSLCRLYTRSGCLRQFDRRAPADENTGTTSTAAAAASPEENDGSGGSMQQQQQQEQAELVIDQGGAAVDPDGWYDSDAATLTALLYWPGD >OB05G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13919368:13919538:1 gene:OB05G26020 transcript:OB05G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPPARDAGNQTYISLSLCSTGASQVPCTQPNTLYCLVPSHVLLYWLHVTNIKHRR >OB05G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13928182:13928409:1 gene:OB05G26030 transcript:OB05G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTSTPTLCRHLHAPVPAPSSSPPAGARIPKAAQDAIAPAPSPEADSQSNAAAALPWFTWPAVLAGATGVAATLII >OB05G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13930260:13936936:-1 gene:OB05G26040 transcript:OB05G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec23/sec24 transport family protein [Source:Projected from Arabidopsis thaliana (AT2G27460) TAIR;Acc:AT2G27460] MERWGWSCALCGTLNGFDDDALGRLQRSDAWPELSFSFVDLEIQVDGSEDAGVGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEEGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMSALVDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFEFKNPEGFSRHTDPAVVQIAFQYSVIEPVETSENATQSSSSYMFCLKRRLRIRTLQYRPAKNINEIYDSVDPEAVLHILVHKVILISLDKGVKEGRSLVHDWLALLMARYNQVLRSDARNPESHVDVDFLHCPQLQMLPQLVFALLRSPLLRLHEEGIHPDYRIYLQCLFSALEPSSLAKAMYPVLISYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSSTADPSVPFPPPRDCLLRNKIDELKKNRCITPKLTFIHGGREDSTLFESYLIEEQDVDGSGFTTDKGFVSFRESIRQAAAEIIEAESSL >OB05G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13939769:13940074:-1 gene:OB05G26050 transcript:OB05G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGLRASCRPLLHTCPCSHTPTGCRHYSLVHGRISHQTISPVLLGSRLWNNSPYPELSIVHNNIELLGGCITPRQEPLHRRDGAAGRSSHPIWLLQPTQA >OB05G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13939832:13940265:1 gene:OB05G26060 transcript:OB05G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLSRSYAATQELNVVVHDTELRIGFVVAFPTCSTRAGTVKDSRSALSSPVPIPSCSLPLELLLCLSLISGSGEVRER >OB05G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13950558:13954035:-1 gene:OB05G26070 transcript:OB05G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKLIQDDSSHTKRHVSIQYERDVSTALGTTKWQLEQFEREVNTAALSNKSNSRENAILQFRQFIRAIAEQISQVEDSLESLRTDSSRTPKHSYSTEHDELAYFLSGSNRNNNHVLYSTDTDEIVELKLDSVPAVNGCHSGQECTSCEFRYSGKDVEGAAQPQCSCGGSACEGGHNDSSMHSLDSDDSVGRKHHFKSKLSRKYQSFMRNLWFTNRRHESFTKRRKDGEVLESLRNGSTLPSFNIPPSGRAMYFWPELIKRRLSTLECSTHQKRLQVRSATVLLIALAVLGLLVLHGR >OB05G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13959942:13965106:1 gene:OB05G26080 transcript:OB05G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRTQDLLCGTVVDETPRGIRFASVGEDKQETKQHPIIWYLYMLSLPQFLYLPSLEYGNTSMHGACICEPSSLVASSSKLRVLLIDMVQGEGSSWRMANDHDRAVPHNQAVAYGIQGHAVLAAPPANFLELQPAAAAYFGELEKALIHGSSAGAGVDPGMIQSDAYKESGYLAARPPTLEIFPSWPMSHLQDPYSNSQSVGSTTDSSSAQNTMSQAELVSPVSMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSIRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGSFPGGSSAPGDMSSGAVMFDMEYTRWLDDDSKYMAELQGALQAQLPDGNLGAIVEECMRHYDELFHIRAVLAGSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYHRRLRALSSLWASRPHENFTATENASPTGTEFQVIQQSQQNQFSGF >OB05G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13969972:13971602:1 gene:OB05G26090 transcript:OB05G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSAGFGGGAVPFFEWLGPRRPPSSPSSSSTSTTSSSLTAAPSRGEEDARDAGTMLCLPLLGRLGEEPVPDDHVGTMNNPVKEEVSNNTTDDAGVDLNIGLLASGGGCSSDDTPMDEDDDDDEEETEDEEKAAGHEKWKVEEREEVHSEGSNYVVSMGVEESSKAGDVDAGGRTRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQMHMWGHGREYRKGPESLKGTQATATLAMLKLPCYCCAAGCRNSVVHPRARPLKDFRTLQTHYKRKHGAKPFACRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGPLPAPPAAAPPKQQQRVIHFDDHRA >OB05G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13986494:13990289:1 gene:OB05G26100 transcript:OB05G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLMEANRKDVWGLLLVLLLGQLVAFSMAVSSFTSSFIATLGVDAPLTQSLFAYLLLTLVYVPILLQRRQKLRIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIILTWYALGTRYSFWQFAGAGICVAGLALVLLSDSKSADEQDPSKIPLLGDALVIAGTVFFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLVSIIQIFIFEKKNLDAIAWSPTMLALFGGFAVAIFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDNGTTASTEAATQYQQLPGEDNSTGNGSSDSQERKQQEEVHIC >OB05G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:13995536:13997832:1 gene:OB05G26110 transcript:OB05G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSKPWNMLFLVFLGQLVSFSMAVCSFVTSEISSLGADARLTQSFFSYLLLALVYGAILLHRQQKLLIPWYWYLALVFIDVQGNCLAIKAYHYSYITSVNLLNCCTIPWVMILTRFALGTRYSFWQFVGAGTCMAGLALVLLSDSNYSDMQDASKRPLWGDALIIAATFCFAFSNVGEEYCVKNKDKIEFVAMLGILGMLVTGIQLSLFERKNIEAINWSPTMISLFIGFAVASLVFSTITPCVLKMSGATMFNLSLLTTDVWAVIIRVFLYHQQVNWLYYLAYAVVPIGLIIYSMNESSDDEKAPSTMETETQYEQLS >OB05G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14003528:14006828:1 gene:OB05G26120 transcript:OB05G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQPAVVATASWYSGVLESSGTLLEMAMGPVIRDPMDIYSIRPRRPLFRGQPARRILGPAITVRRTVTAHQMAQMDQRPTAPGTKQRGVTFWRDPAMDGASPQPGWKDARARCRTWPIRAEKKACVAGVGRHAVSECDADCGAASENSVWSIERHYATILDQDGEIIVGRAGQGRAAKSSPWFSLGTDWSTTADRPGEVATCNLET >OB05G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14038063:14040184:1 gene:OB05G26130 transcript:OB05G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDVKNILESVGVEANEERLEFLISELEGKDITEVIAAGREKFASVPSGGGGAIAVAAPAAGGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >OB05G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14041256:14044203:1 gene:OB05G26140 transcript:OB05G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDSHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >OB05G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14045961:14049186:1 gene:OB05G26150 transcript:OB05G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAMTIDFLRARLLSXXXXXXXXXXXXXXXXXXXXAAAPTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQLRAVTAQRRKAERAAGEVLAILESQGLARFSDAADSGSGSDDDEGDPDSAGSGGKARGEAEDALSGSELGGTAVAGPGGLSWKGRAASHDSQSQRRQQQQQQLKGRQLRQRHSHRRGYFYLLTGDSSPKYQPGQSCRKVKRKELSFQTEGEEGRDNVMESTEEGQERSDCTVCTDDQPDMDDEVCKHGPDSFGDGRDGDNDGRYTVEYEKDREMERVLEKQAELIGQFEAEENAQREWEKKFNISRDSTADDVELGNKLNQIEKTCGQRDKDAQINDTEVSGEGGSSNNNLFANDSPSECLSTDSVSGLPPNAPEENAIEQNKITESDHDFGEATSAVVSVDSGPRIRRDELVNKSCTETIEGSANNIGKSLPSQQGNYNSSRNAMHYEGQVDESSDSGPGYLVNACSSEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLDALQRAKISLREKLRKPSPPTQCMLALPAPEYHYAMDDLTVKDRQLSLCTSRLPSQDILALPEPEDCHSRILPRDDLKVPVGLSGLFRLPTDSFAQNDLCPADGYGSRFCLTATTQENLRNHFMANLSISRHGSEFSPDSVYNPRNSILLSIPTYGGCSNPVSDFTVRDASVLPEIPRSSNELRRGMPYGDLGMFHFGGDYSSNKWTTL >OB05G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14046251:14046587:-1 gene:OB05G26160 transcript:OB05G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCCCRLWDWESWLAARPFHDSPPGPATAVPPSSDPDAHPPLPREPCRRSRRSRGRPRRRRNRNRNPRRRRSARGPATRGWRAPPPRRAPPSGAGPSPPAAAPPAPPPAATP >OB05G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14049054:14049269:1 gene:OB05G26170 transcript:OB05G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAFMGPYSFSFCRSCSLKAKGHLGGACGLDNLEEQNARVFEGRSRTWPVVAKAAADEAALWKEAGRLF >OB05G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14051381:14064192:-1 gene:OB05G26180 transcript:OB05G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDETPSSLHLIFLHPRIPPLRVTILDPSPSLSLSNVQCECELQVVEEASQATMDPSGLNPQASPAENQESSTSGVPVGRGTPNMGAGAAAMAGGSCSSSTLFPGSSLSSTALLHAMHEGSYPQAVSAGSMSGVVEQHAAPPVRPPYNLPAGCTQVPIGILVFHRRLTGRGSRRQLPQSRGFTPAPALSGASKGNLLSLGSASEVFAGDMATDRSELATPYGYNSEYSPTHFQSNGLYTEASKTKSETEASQLQQSAAALICDSRSKLKSVIPANTEKSQCQNPKESTDLAVEGSTDDNIHNYYQITKRAETKINHSDNTDLSTPSGSVCKEKTLTQIEIQIAGAEKTEAFNSKDTPAQKPKTRRKKHRPKVIREDRPAKMQKTTVTASKEKSLNQKPKRKYVRKKRNLSSLEKSAEPFSDHLVSREGTAVRSSISSVRRRLQFEFGEHGGQGNQSSMANSWYQNQEKPVNSQSSLCSVTKSSMQIEHAQQVHTVNSPEGLFFGINSKLNKILDEYIHLPEAAPKPTQEVPLAASDHVSKEIERKQDGVRHTHDPDSTSCNIERSGLIITEGNTKDLDLNYSYTDGFQMYCSASSLPVDSTKGHMTMMSKMDKNHTRYYGGESSLSGTQNSIIMRTAADMLAVYQACQIKKKRSARIRRNSFLSVMDLEKNTSQESTRLPQSCMEALYESSYIKFMTKKRSQKARLNSPNYIQPNRDQKNRFSSGTIFSGGLSASKRSEETFQQTLPRIPDDKRVNLDIHCELSGESSPNTSALPYMDYLQGVASKLKYLDLNTEQVHRTEMHLSQTMPSLSSLGATNCLANALVPYVGGVVVPYQTQFHLVKKQCPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKEMWWKQEREVFEGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSVKSNCNDGIASQDNEIVNMSAGDKNTLEFFYNGSRPDIGISFEELSMACEKIHMESKDNPNVNELTKGENYSLHCKEPTGSFCNHETGIDHKAKLCLDFSSVEFTTSMKNLQGTQFQKEISLSQSVVTSESIIQPGLPLSSGMDHAPRNFISSISDAASQQVGSNFDDGKSLAGNEVTGNETKYQGIKTTTTNNCAVDEPGIPSDSNMYPFLSAIDCQLDERNETHVSSTSPNSSIGSASSNFKIGTIEENSSLFMPFDAHLAQRNGNMIVDRNLSSQLTSTELRVNLLHHDKRSNFEASELHDHESLYATGGAIPETATKADDSTLKSNFASFNGLPDTTAQTSKPKKSRATSKKNSENFDWDKLRRQACSNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVSDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPSPQDKRLVKLSNQFAFQNGTMTTPNSTPLLHLEGSMHATDVHANNMNPIIEEPASPKEETEILENDIEDFDENTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDIAKALVAISTEAASIPVPKLKNVHRLRTEHYVYEIPDSHPLTQQLGLDQREPDDPSPYLLAMWTPDELKDTSEAPKPCCYSKTEGGLCNNEMCHNCVSERENQSRYIRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHRSSHNPINIPREQIWNLQRRMVYFGTSVPTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >OB05G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14070353:14077804:-1 gene:OB05G26190 transcript:OB05G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:J3M7P5] MMADGASSSGAASAAGPGGGGGGESVGIDYGRRRTTCGYCRSTGHTSISHGLWANSLKADDYQALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKASDFICSKEQGRVIKKMQRFLDGELDPQIGSPENKISPTKRSLAEPINSPTSKISKTSTNEFQVAKCPSLFEDEFICCLSGKINEAVDVCFQGGTLGSDVQLPKAVVKTVRPQIKKNIGVSQEKEVGEPVQDLLYTCNISFQIVAAIRRALPKEKDTYHSEVLADMSPNSIAEKLAMTMEQHGSIAGLSVKACNGHLNFYSVTNQTVQNKTNTVVSAHATDMSSSSKESSVNKKNVRLPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHNEKTVLESSYKRFLVDTPIVFVPPRSSDNSVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQECCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYKWVCYDIAKRLLDRSLYSSLSDFAQIQDEMLQSQISGPDNELSKNDHCESPINEDDDLSYDDSGMMVDEEMVQSESNTDIMEDCSSTSEIENIMLDLNGSRVKYKDLQGVIGQIERKHLDQLERQLSRYAKVVGKELSDRMVYSL >OB05G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14080330:14082500:-1 gene:OB05G26200 transcript:OB05G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSHWLLLLQADGEEVHGGVASAEISSPCDVLGKTVRVRFVLRKECTFGQSFHLVGNDPALGIWDPSKAATLDWSEGHDWTAEKDLPANSLIEYKFVLQDLSGRLHWHNGPNRSIQTGQTTNTLVVYEDWGNANNQIIEEEANVSVGMEEAVVPGDGECRNGIIAADELQMDDNQAVIQNESSFGEDDKKSTVATGTSIQAESMSVHEANQPELIIDEPQIQEALHETAGTEPENDSVATYADDGYAESTDDDGVPVENRWTGAFEHELLWGWKALQQLLMSLGFKMNTS >OB05G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14085124:14085769:1 gene:OB05G26210 transcript:OB05G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPHRPALARPDSGGVYDPARVTQLSWCPRAFLYSGFLSHAECDHLVNLAKGRLEKSMVADNDSGKSVMSQVQTSSGTFLAKHQHHCFLRPLVHGAGFHGCHGGVARRGGTAGVAARNGRHGATAI >OB05G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14087500:14088006:-1 gene:OB05G26220 transcript:OB05G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGAAGDSSSSSAAGSDAGGGVEFWHGGERTGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDAAVTRASVPRGVIPVSTCLTVKGAEDVINRQFAFELSTPTDTMYFIADSEKEKEEWINSIGRSIVQHSRSVTDDEVVDYDSGPKAPPQPKTSEPSEPSA >OB05G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14088978:14094204:-1 gene:OB05G26230 transcript:OB05G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGDQAEEAIVADVSNGHAGKGEEVRAMGVEWDGGDGPAQAQAQQQHGGFSMKGLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQVFYGLMGSWTAYLISVLYVEYRTRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVDGVTHSGPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSAAAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHGTRSVLLRALARLPLVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRSASARLXXXENPPRFLPSWSGMFVVNVFIVAWVLVVGFGLGGWASVSNFIKQIDTFGLFARCYQCPPKAHTAPGAPMPAPPRH >OB05G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14106719:14107826:-1 gene:OB05G26240 transcript:OB05G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVRELQSFALQQMREAMLCDDLQGVLARVHGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSVAAAAAIPPAPPSAAAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWGGGGRVRPVAGATGDDRWDESLSLLSRLAPQQPAPPAGTGVSKAVPETPAEDEQAIWERMVAEASNMQANARAEELSDPDVLGNLVAPVEAELETEGHAEYARTEQSYELAVSEEPDNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEALSRYATFLWKARNDLAAAEETYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCHPLN >OB05G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14123308:14123655:1 gene:OB05G26250 transcript:OB05G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGPMVTARATRRRIPSASVVTAHPRPRRRPPSVRSSPTAAVALARWPLLEQRERGNRDNSSPANPTRHSWQDTRDTAGAAAGGNGRNVGENLPTPALPHVVIGFGWGRVRTD >OB05G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14124214:14129495:1 gene:OB05G26260 transcript:OB05G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRFMPIEQCSTEGRSQQTVAADLDGTLLLSRSAFPYYLLVALEAGSLLRAVALLMSVPFVYLTYIAFSESLAVRALLYVAVAGLDVRDIECVARSVLPRFYAGDVHPEGWRVFSSFGRRYIVTASPRVMVEPFARAFLGADGVIGTELEVSESGKATGYVAKPGVLVREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKDAYIVRTSRKHRPVPKSQLLSPVILHDGRLARRPTAINTLLVFLWMPLGFALAILRVYVNLLLPERVVFYAYKLMGVRFVVRGHPPPPPVNGSPGVLFVCNHRTALDAVAVAVALGRKVRCGTYSIARKTHGVSRLSELATSPSPVKTVELCRERDRDADRVRRLLEEGVDLVVFPEGTACRVPLLLRFGAHFAELTDRIVPVAIATKETMFHGSAARGPDHMDPYFFFMNPRPTYELTFLSQLPRELTCGGGKSPVEVANYVQKVLAGQLGFECTGITRKEKYQMLAGTDGGVEYKED >OB05G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14130332:14131940:-1 gene:OB05G26270 transcript:OB05G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASAAPATVVVPLTQTQTLCANAPRTSAVTVVSKQSVRPDGVSAAGDVKLSVSDMPMLSCHYIQKGLFFPPPGVPIASLASSLVCALSRALTVFPALAGRLVTLDDGRIVIRCDAAAVEFYHAVAPALSLDDFLVPNADVPTRLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGTPVQPPDLRRNFFGDSTAVIRFPGGTGPAVTFDADAPLRERVLHFSAAAIRELKAKANQRRRSDNFAEADGKHVDEAKVQGGYREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVTCRHRLRPAISPLYFGNAIQSVATTAKVAELASNDLRWAAARLNATVVAHEDGAIRLAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRPLAVRSGRANKFDGKMSAFPGRSGDGSVDVEFCLAPDTMARLLGDHEFLQHVSRAP >OB05G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14132102:14132459:1 gene:OB05G26280 transcript:OB05G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKPASALVENGWMGRHAVVSSGSAPAIVKLTRLRWRRDREFDRPSCGLPVAPPRRAKHSHPPGGWRCCHGVGRCRRTEHYLNGRKTHGAGQGGPTRTCRACACAHVSLGGSWAVFPRG >OB05G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14133172:14133492:-1 gene:OB05G26290 transcript:OB05G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGVAAATTTVCFHEDAVRFGEMLPFYFAEVVTLALRFVPCRVIDSIPFTTTALSGSNIGRPCAVRCYAGLRQCGRHDRTRETVHMFEWPMLAWESKFCTLHKV >OB05G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14156561:14159232:1 gene:OB05G26300 transcript:OB05G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLIPDDWGAYARPWVAELAAPLECLKALHLRRMVVTDNDLAALVRARGHMLQELKLDKCSDFSTDALRLVARSCRSLRTLFLEECIIADKGTEWLHDLAINNPVLVTLNFHMTELTVVPDDLELLAKNCKSLISLKISDCDFTDLIGFFRMAKSLQEFAGGAFIEQGELTKYGNVKFPSRMCSLGLTYMGTNEVPIIFPFSAILKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLCDFRLVLLDREEMITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDAGLGYIGQYSGTIQYMLLGNVGETDDGLIRFALGCVNLRKLELRSCCFSEQALALAIRSMPSLRYVWVQGYKASQTGRDLMLMARPFWNIEFTPPSSENVKEDGEPCVDSQAQILAYYSLAGKRSDCPQSVVPLYPV >OB05G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14166131:14169492:1 gene:OB05G26310 transcript:OB05G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALAELGVGSVLNGGGSAPLPRASAAEWARMVDDMQRHALSSRLAVPILYGTDAVHGHNNVYGATIFPHNVGLGASRDAELARKIGEATALEIRATGIHWTFAPCVAVCGDPRWGRCYECYSEDPEVVRSLTTIVAGLQGQPPADHPHCYPFLSSLRENVLACAKHFVGDGGTDKGVNEGNTICSPEDLEGIHMRPYLDCIAQGVATVMASYSQWNGEPLHASCYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSINAGMDMIMVPFRFEKFLEDLVFLVEAGEIPMPRIDDAVERILRVKFISGVFEHPLSDPSLLDVIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNAKRILVAGTHADNIGYQCGGWTITWHGDSGRITDGTTILEAIKESAGADTEVVYEECPTEATVETGEFSYAIVVVGEVPYAEWLGDRTDLSIPFNGSDLISCIATKIPTLVVVISGRPLVIEPHVLDKIYALVAAWLPGTEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPMSARDASYNPLFPFGYGLKMFQCD >OB05G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14170012:14170167:-1 gene:OB05G26320 transcript:OB05G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHIIWKSLRTVAFRRSDLKYTAICDTCRTWPLAVRHLENSNIFLKRAST >OB05G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14174994:14175615:-1 gene:OB05G26330 transcript:OB05G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLVVSLPVLLSDLGVAKLPLGGNWSRPQRIGITALLGAMASTSVGVRKSHCIARAASVEIPGGSSANGHIIEPGLEAKHINMGREKKKGELHSSKQKKTERPCQHSTQHRCTTSTAS >OB05G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14179154:14180188:-1 gene:OB05G26340 transcript:OB05G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESYMEVLPPAPAHYFAGQAAGGWFLQDRRSGGGSPWTLEENKVFEQALAAVDRNDPERWERVAELLPGKTVADIMTHYDDLENDVCFIEAGLVPFPHYGAGGSPSSGFTLDWDGGDDAAGLGFKRSCYMVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNIPDDDHGNPSPSPPSVLTAHSSSAAAAPVISEQFGVLVDSKPPPPHQLGRGAGHHFVPHPYGQVKLEASNSHVAGHHGGRLDDSVLMQMQCGQLQPLG >OB05G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14180668:14180922:1 gene:OB05G26350 transcript:OB05G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNVASLYWPLLAAFPNAGGGLVPACLFILHSFPLTQPTHFLLCAGTSSIFDPVFLCRSLFFPVFHACNSSSLPYYKISIFIMNQ >OB05G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14181435:14181587:1 gene:OB05G26360 transcript:OB05G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGEVATGDEHRKLYGHSGMDWRKDFILFSGFYGDRCIILISYVNYKY >OB05G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14191811:14192014:1 gene:OB05G26370 transcript:OB05G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVTSEQRQVPNSYSITFSSGIPHLFWYTYKNKGVALWGNEGIPLDKLIVLCPGVLMPPSHDLTKNQTS >OB05G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14208251:14209666:1 gene:OB05G26380 transcript:OB05G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESDEITPVVEPILVVDTSGEMETEVNVAREAKAMKDHIDLECSQAKKPQPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKQDAAGSKAAAAAMPPPEKRSKPSFLRRSRLRGEGGSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPLSRLPPILSALEDSPAGIVERSDGQIPVEDDSQPEPDPDGDTPGGSVQTRDYYDTSSSRRRRSLERTSSVRRPSFEVADAKPVLPAAAAAVTNGKDSPLIGSSEFYHFQHAEDLLEHHRFSTSSLIEDFPVSLDAAFPGPDKKPRRWRKAWSLWGLIHRRAAGRRTGASDVADRAFSEPWPELRVRGCNARMQRCNSNASARSSFSSNSGGVGSSRRSYIDTNGNVKRRREECVLERNRSARYSPGHADNGMLRFYLTPMRSASGRRTPGLPAKGSSRQLRSQSFARTMLRLY >OB05G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14219536:14223104:1 gene:OB05G26390 transcript:OB05G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MASIRSCVSVKPAASPVKYRSAGVGAAGLEPRGLRISCSSSSSSSSSSLASGADGCGDVGAGCASSSGRGNGAVVGLVGDGWWGRRGGQRVVAMCSAGMEGVRHAPAVASVPAASALPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTIMLLAVRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLFNVESDPLDSRTISKSELQLILVGRSTSKVQGSKFPSLRDLLSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSIALVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVFMTIALSLSSCSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDQIFD >OB05G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14219585:14220022:-1 gene:OB05G26400 transcript:OB05G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKELDQPYCAANGTTATDMTTRSALQSSITAATSATTLARSGNADAAGTLATAGACRTPSMPALHMATTRCPPLLPHQPSPTRPTTAPFPLPLLLAQPAPTSPQPSAPEANEDDDDEEEEEQDIRRPLGSKPAAPTPADLFCT >OB05G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14220322:14223104:-1 gene:OB05G26410 transcript:OB05G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTAATEGVWANLKQSSDTPGPMKPMDCPKHKYGTKMWCCCYLHDLPNKCNRETRFDQEICRSSCDVTRQSHDCPRYGAEPCSLFQVDIINLLSDSSQ >OB05G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14224654:14226674:-1 gene:OB05G26420 transcript:OB05G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLPPEEAARVEAEVRGYFDSVAPRRPAKPPRSDPSDAGEAGSEVGADGGDLPELRRLRDLEARPQKLVLDGGDVNGEEYVETQYYDGLNCIDKQHHTTGTGFIKVERPNGNSFNVTSVAYSSDSLVRCTSNPATNDWIPSSETVVPVSNKPSRSDS >OB05G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14244887:14248534:1 gene:OB05G26430 transcript:OB05G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNHRRTNNATVGYLIVDANGGLNQMRMGISDMVAVSKIMNASLVIPTLDHQSFWTDPSDFKDIFDVEHFKEILKEDIVIVDSLPPAYKRVKPYMRAPTSWSRASFYRDFSRILKRYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGHTLVDRLRNGTDHYIALHLRYEKDMLSFTGCNHNLTIYEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKVEYPNIYTHYSLSTVDELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKMDEGSIDWNEFQTEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKNKVK >OB05G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14248502:14248945:-1 gene:OB05G26440 transcript:OB05G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPCHVGRKGNYGPKLFGPERKCGLPAARITCLREMGWPRKESSPVLRPSGLRRVKRSARAAAELAGGGYPTPASWLPRLLRARRSVVVGAGFQPHWPRSHPCTDSSCRPLRRRLHLQPLPRGRLMGEVRPPSFDGVQFVSCILSN >OB05G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14251228:14251567:1 gene:OB05G26450 transcript:OB05G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >OB05G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14260001:14265440:1 gene:OB05G26460 transcript:OB05G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSCLPPLQSHPLRALVANCRSIEQCLFFGGGIRSGGVLFVGLREGEISRLGEDEDIERGERRGVGSSAERDEEEEGSQYFTDAEDHQSWASHSRHNSTATAYEDYISTCASVRASSFDGEDAGEHCRKSSCVSECSLDDVDLEAGLADIVKDSPDKAEKCCRICHLGLESAAAESGAGMTLGCSCKGDLSYSHKQCAETWFKIRGNKICEICSSTACNVLGLGDSESVEQWNESNSTVPVLSPPAETQRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >OB05G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14268288:14273383:-1 gene:OB05G26470 transcript:OB05G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETMVELALLRDKWGLLLAGVVFQYVHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFSSIFISFVLWTFHPFIYHSKRFYTVLIWRRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGILFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDSKLAEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHTHSTLSDAAANGGGT >OB05G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14285671:14287263:-1 gene:OB05G26480 transcript:OB05G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFWLPDEFLDDDFFSEEEKAAVAARSESDEEDCLAGLSRRLAGLLGDDDERRPPPKAEVTVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVANSIPVPNNPYGFPANGGFAAPARKASPPPPVPPPATATKVPPGAYYHPLAHLLTQRQIQAAQFHLLKQQQLLKLQRDQHLAAAAAWGARQNAAAKTAGCGVTAPIDMSPAAWPPLQKQQHHAPAPAPGGMRAVFLAPPGAKRERNGTGVFLPRPAGSPAEPKKKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNAMLASQKRRAGAPVPSPAPTLCHSS >OB05G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14309211:14312546:-1 gene:OB05G26490 transcript:OB05G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanylyl cyclase 1 [Source:Projected from Arabidopsis thaliana (AT5G05930) TAIR;Acc:AT5G05930] MWPLGFFSERLFKVVGEGDGGEGAEDSPPPTDGRVPLARRSYYVNVPHVQQAFTWDCGLACVLMVLRTLGIDCCDGIADLEKLCRTTSIWTVDLAYLLNKFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGKAFEAGITIQCRSISAYDIAFLLLSGQCIAIALVDKTKLNSSWMNDLQDMQQFSEDSDYMGHYVVICGYDADACEFEIRDPASSRQRERVSMKSLDQARKSFGTDEDIILVSLTGKNGMKLSRKLLVGSL >OB05G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14319656:14322206:1 gene:OB05G26500 transcript:OB05G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRADDDRRIAVAMDYSASSKRALDWAIANLLRRGDTVVVVHVLHHGGEEAKHALWGKSGSPLIPLSEFRDPTAMQQYGIHCDAEVLDMLDTAARQFELTVVAKLYWGDAREKLCDAVEEQKIDTLVMGSRGLGSIQRILLGSVTNYVLSNASCPVTVVKGK >OB05G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14322704:14324206:1 gene:OB05G26510 transcript:OB05G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRRASQLLKEIDSCEAGQLAPFNSDVFDQVIRECNEHNTQFQSLIRKMVEQNLDIETTRNEDHYGAAVHHLSLLRNKRCLMAYMYNRAEVIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSAAMKLYMSEMDIDLTVDMVPPKDPYIQVRVLEEIGEVSLGDHSISLTKNSLHFLRRTDTEQFISQGLMEEFLE >OB05G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14332203:14334965:1 gene:OB05G26520 transcript:OB05G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGEEAEEEREVSEALTADSSSADEECRRGSSSSSASSGDASSESYCPPDEWQKVAIKTCVSSDLVVVSAEPPAKEKPSSRIDAAAADKHHRPSEMEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKTMWRREMDWLLCVSDHIVELVPTWQTFPDGSKLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPDREGSTPFKLTFQRRDEKWWLPVPRVPPGGVCETTRRQLEHKRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGASGAASRAGNKSSWGIVKDMIMDTEKRDDLLAERAEGLLMCLKQRFPGLTQTSLDTSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLYVDERSRQAEQLPTGPGSGKISCMPMAPAVPAYPVMPTSGTPPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHDGGSMEFMGMAVSNAVFDLPGL >OB05G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14347852:14350395:-1 gene:OB05G26530 transcript:OB05G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKKSPPATAAGTTPAAANGYFSTVFAASPLANAKDAKQTDLYAMLNKQNSKGQNGGGFSGNGKSHTSTKGRTTCKDGKHSYPNESSESPYFGSSVHYGGREFYGNTPQKQGDESPGDHKEENPDGSLATRGDWWQGSLYY >OB05G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14379600:14383802:1 gene:OB05G26540 transcript:OB05G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGGGGGYGGGEHQHQLLPGHAAGQLYHVPQHSRREKLRFPPDHPPESSTPPGSWPLPPAYYSYASSSSSYSPHSPTSLAQAQAHAQAQLVAHGMPPGTASGGAQIPSQNFALSLSSASSNPPPTPRRQFVGGSSGGAAGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQIDRGSDEGLLDVDAMDATGSVDHDMDGSDPEAVTVSGAEQQWRKTRLISLMEDVCKRYKQYYQQLQAVISSFETVAGLSNAAPFASMALRTMSKHFKYLKGMILNQLRNTSKGATKDGLSREDTANFGIMGGSVSLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQLQKNPSLDKNQLSMQHTQHSSDSSGKLCDPSNSLQGQSSSMTRNHSVSASRHIEDGLSQMPHDIAGQVSFAYNGLAAHHSIAMSSHPQQPDLIGTVGAANAGGVSLTLGLHQNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYVMSSFGGQDRHFTKEIGGHLLHDFVG >OB05G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14392781:14394711:1 gene:OB05G26550 transcript:OB05G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLEEIQSSDFIYMAGDPAVSSVLLGFDVPRKEDGGQDTSASKKRSRPESSAPPGTKACREKQRRDRLNERFNELSAILEPGKPPKADKVAILSDAARLLGQLRAEAQKLKSSNESLQDSIKSLKVSSSSTRARWLDSHSPEERRADEFERVKCMQAEKSELRDEKTRLKAEREIHPAAAFAQAGGKYVPYATSYPPPAAFWQWIPPTSLDTSKDPVMWPPVA >OB05G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14396026:14402914:-1 gene:OB05G26560 transcript:OB05G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:J3M7T2] MASVDQSRSFVKDVKRVIIKVGTAVVSRQDGRLALGRVGSLCEQVKELNTLGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENSKFREQLTETVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHQQEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFDNRSIVKVLQGEKIGTLFHKNANSWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVTAAQLAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITGAIPCNVGEKLIGLVTTRDEIADLLKLDDVIDLIIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKSIVMDAKIDYPAACNAMETLLVHKDLVKSPGLDDILVALKTEGVSIYGGPIAHKALGFPKAGSFHHEYSSMACTVEFVDDVQAAIDHIHRYGSAHTDCIVTRDDKVAETFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGRGQVVNGDKDVMYTHKSLPLQ >OB05G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14410582:14413862:1 gene:OB05G26570 transcript:OB05G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3M7T3] MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGIGFIWKPVAILAAFMTGVSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIALFATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >OB05G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14413987:14418870:-1 gene:OB05G26580 transcript:OB05G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPIAVSSVEFIDPREPVMVVPILRAGLALAENASSVLPATKTYHLGLRRDEETLEPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLVERGVTSKQIKVVSAVAAPPALQRLSNRFPGLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >OB05G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14420156:14424279:1 gene:OB05G26590 transcript:OB05G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein [Source:Projected from Arabidopsis thaliana (AT2G39805) TAIR;Acc:AT2G39805] MDEGYANLPTSHLLGSVPAVTVTPEERKPAPVADAGTSRLQQFPPVLGANGGGGGYQPAGTPLDGDVEPQTNWRGYFNIASYAPYFNVDTDAVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWNFDVGYFNWAASVMYGYAAVVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILAGGASSWFISSNLKECTEGADMMVLIVSAAVLQFALALFIKVFFFA >OB05G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14425916:14427335:-1 gene:OB05G26600 transcript:OB05G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTLRRVPYCAPAARGLLAAWGAGSGGFALHPRNHVHRHGASSASLFSSLPGLQMSAAAADAQLLRVINMEISYAQKDCRNRNWSKELGESFPFEIQDKDGTDRITLKRSDHKEQIEVDVFLPSPVNKAEVNGEQEDQSEDGKRSSHTDNGVLAQYCIPLMVTIRKGEASYLQISCSSYPNELIIESFSFEPNNGSGDSASHEAKLSNLPEEFQKVLYSYLKSRGISTDITDFMHAYMINKECHEYLSWLRKVKGLIIN >OB05G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14431097:14432999:-1 gene:OB05G26610 transcript:OB05G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFVLNTGAKIPSVGLGTWQSEPGAVGDAVYAAVKAGYRHIDCAQAYANQKEIGVALKKVFDEGIIKREDLFITSKLWCTNHDPEDVPVALGSTLQDLQTDYVDLYLIHWPIKMKKGAPFGAAGIVPSDIPATWAAMERLHESGKVRAIGVSNFSTKKLEDLLAVARVPPAVNQVECHPMWQQAKLREFCASKGIHLSAYSPLGSPGTAWVKEVGDVLAHPAVVSTAEKLGKTPAQVAVRWGIQMGHSVLPKSANEERIKANLDVFDWSIPEDLFVKFSEIEQVRLIRGDFWTHPEGVFKSIQELWDGEI >OB05G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14437145:14437443:-1 gene:OB05G26620 transcript:OB05G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLITTPQPKLPSNLPPIYSHCSRRRDDTTRINHHKTKLHPKSNGTREGTIPEGSVVGEAGPGRHDADGGAGEGDLPHPHRQPAPRPGPPRHLPHPXXXX >OB05G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14437160:14439648:1 gene:OB05G26630 transcript:OB05G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3M7T9] MWEVAWRPGTWGGLAMRVGQVAFAGASIGVMASGTGFANYTAFCYLIASMGLQSLWSLGLACLDVYALTVKRDLNNALLVSLFVIGDWVTALLSFAASCSAGGVMVLFKRDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >OB05G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14442793:14443062:-1 gene:OB05G26640 transcript:OB05G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIPFIYKAIVQYKKEGQVSLGDLFFDEPSPSTSYFRLPGDSGRYQQQAAMMGGLFSQTTAADSDAPPRRSPLRCTVHHRRSAPVAP >OB05G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14447993:14448365:1 gene:OB05G26650 transcript:OB05G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMASSPAAPEPPFRPRERLVEKQRYFQSVRRPTYLKGRYDAITSVAIPLALAASSMFLVGRGIYNMSHGIGKKE >OB05G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14448988:14450352:1 gene:OB05G26660 transcript:OB05G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGTRRRSTTTTLTLSALRRASASFASSNAASAHALSDSALSTPFTTASPAPPAAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRCSGSTPGRPRTPSRWSPRPTARRSPGSGTRRRRCAPSPSPPAPTSRTASGSHPLRGHRVKHHGQKL >OB05G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14450385:14451124:-1 gene:OB05G26670 transcript:OB05G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVSIRPDFLPGSNSGKHHFFGVFDGHGCSHVAKMCQDRMHELVVDAYKKAVSGKEAPAAPVWKEVMEKGFARMDGEATSWAASRSRGEPACRCELQTPARCDHVGSTAVVAVVGPNRVVVANSGDSRAVLCRAGVPVPLSVDHKPDRPDELERIQAAGGRVIYWDGARVLGVLAMSRAIGKH >OB05G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14452534:14453297:-1 gene:OB05G26680 transcript:OB05G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFVKTLTGKSVTLEVESSDAIDNVKAKIHGKEGVPPEQQRLIFAGKQLEDGRTLADYGIQKESTLHLVLHLRGGGRGGYPTRIEPTLLALALKYNEKKIICRKCYARLPLRSTNCRKKKCGHSNELRQKKKFISKFTM >OB05G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14458047:14460730:1 gene:OB05G26690 transcript:OB05G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAEGDDDHRLPGGKRAASLGILAFEAATTMAKLLSLSRSLSEKEVAKLRSHAMRAAGVEYLSSTDQSFLLRLACAEAVAALDAAAAAVARLGFFHHSLFFSLSFRVSAC >OB05G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14461470:14466167:-1 gene:OB05G26700 transcript:OB05G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIRSALARALLSPKPRAPRARHYATAAGATQPESVAAEMVRYALGGARAGSSPEEAMRILEQGASNLQGGGEGCAEAVGMLMLAMSTLLYRSGRRQDAKERLKATSQVAPAAFRVAAWEATMGLYMEAGQDINTSVSPDDLVDISIKDDNIKWSDQNYLKFRVNAIKGLIALLNGESESAQLFFVGCKDLCAGLGNEQTENAVLSYGEYLHCLGDFPSATQMYERVLEALSTQDMSGKFLSACNMVPEEVSLGATCSYGQLLSHSGKFNEAEDYLTRALKKAEEKFGANHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVFKAPAINSEAVNKQMDWRDIISLARGEYAALLLIQTNRKAEGERMKEWAEHAWRNRRLTLAQALEFSDSSKPTVVDTRIGRVM >OB05G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14477097:14479198:1 gene:OB05G26710 transcript:OB05G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSESATRSFLPFCKRQLRPPFCDADDDGSGVASEQPKCPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGVLVAFVRIAVGISVPIQTIPRIAPYFGGAVVVHGAPPPAMRVDGSESGVLFVCTHRTLMDPVVLATVLLGRRVAAVTYSISRLSEVLSPIPTVRLTRDRDVDAASMRAELSRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTSLTRKDKYRVLAGNDGIVNAKPATAADPAWQRRLKEAIGFLLH >OB05G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14482939:14483591:1 gene:OB05G26720 transcript:OB05G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISNLQYHEGVRAIWFAEKGGNLYHHPYHLGVYENLISVLGPNLFCWLCPVLNNLGNGLRFRTSHGIPLSTAVM >OB05G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14485126:14486730:-1 gene:OB05G26730 transcript:OB05G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3M7U9] MAEAVDLTGDGGVLKTVVRKAKDDAIAPSDSLPLVDVHYEGTLTENGEVFDTTHEDNSIFSFEVGQGAVIKAWDIALRTMKVGEVAKITCKPEYAYGSAGSPPEIPPNSTLIFEVELVACRPRKGSSLGSVSDEKARLEELKRQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >OB05G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14490971:14494345:-1 gene:OB05G26740 transcript:OB05G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3M7V0] MGSSTARRLRWCLASPACLLFAVAVLLAMPGLTAARTRRYSFNVTMATVTRLCVTKSIPTVNGRFPGPKLVAREGDTLVVRVTNNINNNVTFHWHGVRQLRSGWADGPAFITQCPIRPGGSYVYRFAVAGQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPSFPRPHREVPLMLGEWFNADPEAVIRQALQIGGGPNVSDAYTFNGLPGPTYNCSSNDTFRLRVRPGKTYMLRLINVALNDELFFGVANHTLTVVQADASYVKPFAATTLVISPGQTMDVLLTAAANPSSQTFAIAVAPYTNTVGTFDNTTAVAVLEYAAGASAPQAPTPASATGSLPFLPALPLYNDTNAVSDFSSRFRSLASARYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAASINNVSFVMPKTSLLQAHYQRRYNGVLTANFPAAPRTPFNYTGTPPNNTFVTHGTRVVPLEFNTAVEVVLQDTSIQGAESHPLHLHGYDFFVVGTGSGNYDAGNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWLMHCHLDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDFPRCS >OB05G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14500449:14507696:1 gene:OB05G26750 transcript:OB05G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFSLGGLGGTRSPPPPLLPSVHGGDGGALGLGFVRGYLTAALGSPAVGKAGGWRSLLANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQESSSDDQWNFQETAIKQLQNFLAPVLFLALMLSSLSSSSSEQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPLSNSQGQNSDAIVTTNDVPSRHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDYVPVTYIAEVNWFQEVMRFAPTAFLVGLIYMMGKRMQSGFNIGGGPGSKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKNTVELITKHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKAVEPTNYDLFKQGFQDEDNKNQEVVKNPQPDDDGTPSLGEVVPT >OB05G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14510130:14513319:1 gene:OB05G26760 transcript:OB05G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3M7V2] MAAASPVLRGCLLAAAALLLLCCATGAGAVTRQYQFDVQTTSVTRLCSTKSVVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYVTQCPIQPGGSYVYRFTIAGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPDGGEVPIVFGEWWRNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFTVETLIIAPGQTSNVLLTAKPAYPAASYYMLARPYTTTQGTFDNTTVAGVLEYEDPCPTGAAAAAAAGSKSVPVFSPTLPQINDTSAVSNFTAKLRSLASTEYPAAVPQEVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAINNVSFVLPTTALLQSHFAGASRGVYASDFPYYPLRPFNYTGAPPNNTNVMNGTKVLVLPYGADVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPASDPAKFNLFDPVERNTVGVPAGGWVAIRFHADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSRPDQKLPPPPLDLPKC >OB05G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14518771:14526154:-1 gene:OB05G26770 transcript:OB05G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMPAVKVEGEQDGSAGESKRDLVALSPSVSEGSGHALASPTASTPGDSIFGSRRKSGPVRRAKGGWTPEEDEKLRKAVGIYNAKNWKKIAESFSDRTEVQCLHRWQKVLDPELIKGPWTPEEDDIIIDMVKKHGPKKWSVIAKSLNGRIGKQCRERWHNHLDPQIKKEAWTFQEECVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKRLDDNNTTDILQVQLPVVGDDLEKLPPADNHIDLNKEPTTCSIDRLGVVDNSHPTSHQRASNLKDFKGCADYLSLGQPVTSCEPSIADDSAFDLATHTMKTDSVHDNGTGNNFVCGEVQGINFLNDKGFQINQISDRVGCSRSSKGGGEAAINRSESSLQSEAHSVGSLCYQIPKIGDIVPAQPPVFSAHYVPEHSSNEMHSPKGYNTPSPAHGKGSDQLSVESILRSAAENFRDTPSILRRRKRDKPTPAQDNDMKIGRLSSDKFHTPTGKCTTDSPHSFKTAALLSLRPLDDQGLSSASGSFDVSPTYRLRSKRLAVLKTVKNHLDFSSDGMDVCDTTMKSACGSSESANASSGVSVIQGKKLDDHMIGLETLTMDFAHTTKIDATQPNSLAFRNNH >OB05G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14535302:14536023:1 gene:OB05G26780 transcript:OB05G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPATLQQQAQREELGRRWWWWGSSLRSTDFSGRRTAQLGSVVHSSSGRKQAAAAASHGRGEEEGEGEEEEAQGEGQREGEVGHGGGALQAERRGEGRPVRRAVHREVVHGAAGVAAGDAPAAGHPAGVPERVPEPAVPVDHRVHAHQLHHPGAPGVAHAHTRPGHQEVQGGALRVRVRGHEGRRRPALAGHDPARRRQQEAHPRPHRQRDGPVQVQEGLPHHLRLRRSPAGRRRLHVR >OB05G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14542024:14544993:1 gene:OB05G26790 transcript:OB05G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT5G02370) TAIR;Acc:AT5G02370] MQGTDDLPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAREIMALDDKDGNLQLKGLAWVPVRSLEEFHEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRVSTDVVKGKLNLIDLAGNEDNRRTCNEGVRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSRAVMIACLNPVEYQEAVHTVSLAARSRHVVNHMSSASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGILSPNASKTPLSMSHRKQSASVRVSGRGKAMDQDGGKIKKVLFDSARRIPAENLQRVGTQDEVNATKKVVLPSLTPSKDDRTGSSLRKALSPISSNMAPQNQQTADDSNCVSLLDPKTPIGSCSIIGKVAGATPLDKFNALGSSLKESLIQQYLDFLNVANKEELQKLKGIGERRADYILELREDSPRPFKSLSDLGNIGLSSKQIQDILCKTATGIFK >OB05G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14550466:14555443:1 gene:OB05G26800 transcript:OB05G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSIPLDVVPRIIARPAPGSVYRSPQLYARLRADMDADNSTDALATLWRYKDGFWQPCINNATNSLPESNGYIYIEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWRDPSKFSDIYDEDYFVKHLQNDVRVVDKVPDFIMERFGHNLSNVFNFKIKAWSHIQYYKDAVLPRLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPIATLSETLVTRMKEKSMASNGKYVAVHLRFEEDMVAFSCCIYDGGDEERKEMNAAREIGWRGKFTKRGRVIKPGVIRMNGKCPLTPLEVGLMLRGMGFGNNTAIFLASGKIYRAEKNMVPLLEMFPLLQTKETLASAEELAPFKNFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYGGHSRTIKPDKRRLAILFDNPRIGWKSLKRHLLNMRTHSDAKGVEMKRPNESIYTFPCPNCMCRLNRTEHSRPNRTR >OB05G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14558668:14558886:-1 gene:OB05G26810 transcript:OB05G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSLQGWYIATIISLFVQHEAAGKNKKKEKEQKNAALQLNLNSPLLTSPPPQCTSYNQKKEKKKERKSKN >OB05G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14568538:14570269:1 gene:OB05G26820 transcript:OB05G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:J3M7V8] MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKATKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTDKKK >OB05G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14572608:14575300:-1 gene:OB05G26830 transcript:OB05G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVVAGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQISVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLAADDKKKIEDAIDGAISWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGAGGMDEDAPVGGSGAGPKIEEVD >OB05G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14580258:14580695:1 gene:OB05G26840 transcript:OB05G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3M7W0] MAPKAEKKPAEKKPAEEKAEKAPKGEKKPKAEKRLAARREGGADKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OB05G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14580375:14584218:-1 gene:OB05G26850 transcript:OB05G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain;GTP cyclohydrolase II [Source:Projected from Arabidopsis thaliana (AT5G59750) TAIR;Acc:AT5G59750] MDRVLLSSQLSSQTVINTRFQQGSGSISSVGFAVIRKECLKLKCYAIGGIEGPENLKDPLKENNNGPILQGLNGSGASFRTVGAKITQETGDFFVSDAEGDPDKPTDGFSSIDEAIRALREGKFVIAVDDENGDNEGDLVMAATLANPESIAFMIRNGSGIISVGMKEEDLARLMIPMMSPITEIENISAAASTVTVDARVGISTGVSAADRAKTILTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRVGHTEASVDLVTLAGLRPVSVLSTIINSVDGSMAGIPVLKQMALDHNIPIISIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDFGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVEANVELGLAVDAREYGIGAQILRDMGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVISPITKENQKYLETKRTKMGHVYGSDLPGNLPEEFFNAEDIAGDQDEDTKN >OB05G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14585436:14587443:-1 gene:OB05G26860 transcript:OB05G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDIVHKLFTELAYRYKDRAGGYTRLLRTRIRIGDAAPMAYIEFVDRENELREAKPATPQPSQRAPLDPWTKSRASQRWAGPKISQNSRAEGL >OB05G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14588969:14592763:-1 gene:OB05G26870 transcript:OB05G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] HCQSSGRHHTAVQPPWLAPRSSTSSPTTPSRPSDGAHPQPRRPLRPIPRNLVWLLIFFYYFFGFLLCRFVALLRLLPRLAPPFSVHSSYAVAGDLVCLLQASAILETVHAAVGLVHTAPLLAFLQWGGRTHFVLAVVRQIPEVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPAWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERDLYSGFFGVFSMSYHSFLVAVLAIYPFLWMKLYLHVFKQRKSKLGKVGVKPRVF >OB05G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14598356:14598975:-1 gene:OB05G26880 transcript:OB05G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLIANISTILGRATQMYERVLETLITQDMSGKFLSACNMVPEEVSLGATCSYGQLLSHSGKFNEAEDYLTRALKKAEEKFGANHPVLTCVDRMYKLKAKSEGEIERKRKKKRGRERD >OB05G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14601020:14603975:-1 gene:OB05G26890 transcript:OB05G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGKRVAVKYYTEDWPTLSAKLAFEKSVFVKTQKATAGAEAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFTDAVDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQTIVQALTTAREHLTKSLLM >OB05G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14608934:14611994:-1 gene:OB05G26900 transcript:OB05G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINKTEQGADAPAAQGSTCCGS >OB05G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14620773:14621006:1 gene:OB05G26910 transcript:OB05G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNWTIGAPPLFWPNYFKKSNRSIIKMHYKYKRLYQLTCGPQIFAHSFSQKDTVYLSLSCEHKISDTMSPNPGSPTY >OB05G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14620338:14622718:-1 gene:OB05G26920 transcript:OB05G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3M7W8] MEQRRYSKRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEPKEGKTPKSPKKATTKSPKKAAAA >OB05G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14629135:14629437:-1 gene:OB05G26930 transcript:OB05G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCIISSSGADLVAAHVLLDHLELPAGRHGHGHRAPVXXXXXXXXXXXXXXXXXXXXXVLAAASGGGRHVVEVGEVLREHEDVLRRPPLVTAGPLRRRHG >OB05G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14629138:14629413:1 gene:OB05G26940 transcript:OB05G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQRPGRHQRRASQNVFVLPENFADLDDVPAAAGGGGEDXXXXXXXXXXXXXXXXXXXXXHRRAMSVAVPSGRELEMIKEDMGGYKIGA >OB05G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14635431:14636796:-1 gene:OB05G26950 transcript:OB05G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKQECMDALEKHANINPVVTSTVWKELEKENREFFETYNKDRAERNIEAETMQRIQKMLSDAAASKADDDQS >OB05G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14651285:14652433:-1 gene:OB05G26960 transcript:OB05G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQQLVQEATQEEEYIDMDLTSAASAAAAPGEFEFHFHMSGPLGRGWEQESLASPADELFYKGKLLPLHLPPRIQMVEELLDGRVGVGVGGGGRGGRRALGISTAPATPYDSCTASPANSCYASGELNVEEYFQEYAARLADAAAAACEKKPWSRKLRFMRQLNLGHKLKASKAYIKTIFAAKPGSSGDDKGAVLGGATREAKELSHVNGHGHHHHRAWRRNPFGQTRSNRCIASQSAAAAAAGGGGVGKPREREHGHRRSFSSVIVRYSASNKTSPSPPPSCSSSSSSSSSKSFTSSSSVRSSSDSDGGAAPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAAESDGLEELVEICRA >OB05G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14657728:14658699:1 gene:OB05G26970 transcript:OB05G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIFGGGPSDGGTPDGDFLYGGGTIDGAAGFGATGADGAYAAGSFTRDFLASGPWSSMVAAGASGSDAQNLAGSSFGPAPVGLVLNSLDLNDDHGWGDSAAFGDYDHPGGEPPHRHQPTFSFQEQGAARSGRGRLGHGSRGSAGRRQSAVEGHLGGTSPPAGTRQLKCRWQQGHSPLPLSPWGRCPRRASASDNGDDTDDTLVAKSKANWSVENTVTFCSIWYHQIDNKNYIRGVMQKNGWKDIIQRYHIATGLLHSKEQFQGRLR >OB05G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14658754:14660847:1 gene:OB05G26980 transcript:OB05G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGTIVAPPEWWEENTKGHPDFKKFKKGLPIYLAEMDMMFEGVAVDGSTSYVATMSEPTEGDSSDDDYDYDEDDDDGKTMNEYNEIARNKISAVQQVLNNRQVARENKVAEVMKLAEECGVDSEKTPKLFLGVVEIMKHENVMDMFIHTNPGGRLIIIKSHAGGRTTDVVCGDELEDEDDVVDAMLVDLLLDDDDGWVYGMYQYAIHIDKHLTRGPYREHKKSGLEWVHEKLEDRPGCYNMFRMGLTSSSKSTSIEALGLFLSIVGAPQSVRQAEDRFERSMATISNLFNKVLQCMVKLAVDLIKRQDPTFECSHRKVRGGRFYPWFKDCIGAIDGTHVPCVVPSNKFVQHLSRKGMTTQNVMDVCDFDMRFTFVLARWPGSVHDMRVFEDAMTTYRHVFPHPPAGKYYLVDFGYANRPGYLAPYKGTKYHMQEYGDSPSPEGKEETFNYEHSCLRNVIERSFGVLKMKWRILANIPSYSCRKQSQIIVACCALHNFVRGSGIRDKDFARCDRDPSFIPEEAAAH >OB05G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14665117:14671946:-1 gene:OB05G26990 transcript:OB05G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein [Source:Projected from Arabidopsis thaliana (AT3G09560) TAIR;Acc:AT3G09560] MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDANFHMLLDNSGQAYFMRELVPGSENPGTSSEEEEASSGPEPPVRSKSDGDLYMGTKDRPGSPELNVERQEKQPLEEFDSYSYSRLEVEEDSEKQPDGGNSEMVLVSVDGHVLTAPISSTEENMEDVQLSDPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYIQQEKVKFDSGNQQEALEDLCDVPIEKDKSHNVPVNEDGVLHASVSEDLASVLTNEDEPLVVPESGNNDDNYQPLINLDEAYDILENKDEGCQPPNQDEVRDDSKKNNDEGYQPLATEDEACDVPLLENDETCHVPLLENDEACKVPASVGKVCDVSYEDTEFEMASPSFGKYDTFKSCLDLSSQIDDGDSGTEPFSPEFDHQRDSELSLSNRSVVDIDLEEDICKTTHFDRNGPLQYLEEEMGVSADTSDDKTENEDSSAHRGKESDMSCVEGSNGKTKEMVSSENEADKLDGLYSSIGTSDKDKLGSIPENSAAEEELNKEEHPKLHKGLGFEISLCGHILRPGMGEASAEEVFQQHLVLEDDLKLSGSSIMRNANLVVKFDSKYFLWSKISHIVLGKAVFGPNFCTEHIDAILVEHQETPSSRDSLGLSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWRMPLPDVDL >OB05G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14679472:14680831:1 gene:OB05G27000 transcript:OB05G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLLAVAGVLAVPALAEIRTETFREDPRPSILFEKFGFSKTGAVRIVISGAGVSSTFARADPKLIGFFLLPSTRRRRGGRRGSGGGGGGGGPDEPDVSRCILSSPYVKTLFTFHDLGRGHYNKTFPVTHPDEYSLYFANCAPESLVTMRVRTEMYNANPDGSKDYLPVGQAPVPAIYGFFAFFYAAFLAAWGYLTLSRDRVSAHQIHHLMSGLLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIVLVGTGWSFLKPVLQDREKKVLMVVIPLQVMANIASAVIGETGPFLEGWVTWNQILLFVDVVCCCAVLFPVVWSMRSLRETSKTDGKAAQNLSKLILFRQFYIVVIGYLYFTRIVVYALKTIASYQYRWVSILAEEVATLAFYLFMFYTFRPAERSKYFSLDEDEEEAVEMALREEEFEL >OB05G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14688835:14691572:-1 gene:OB05G27010 transcript:OB05G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKKKNVHADTGKFDASTVPASQMTPPHVVSATADAMPASSAPMTPPKPMNGQPDHAHKPSEDASMGLAIAGQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGQLEKTGQTVTIKQLDKHSFQGNNEFLSEVSKLSRLHHENLVDIIGYCADGDQRLLVYEFMSAGTLEEHLFDLPSDKKPMDWCTRMKVAHGAAQGLEYLHEKANPPMVYGDFKASNILLDANLTPKLSDFGIAQLGQASGNVPVSTPMMGSFGCCPPEYDRGGQITMKSDVYSFGVVLVQLISGRRAVDTSKPMEEQNVVTWALPMFKDQKRYHELVDPLIKSEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLIAMPPETQPTSVPVSVPIPSASPASKEDKAPNRSDSSSSSSSDDEDNEEEGEEDEEEDEEQQ >OB05G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14694743:14697008:-1 gene:OB05G27020 transcript:OB05G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWLDAVQRVLSTSENGRDESEGKGKRSSALKRILHLSKSNPSTSAAAAAAGTSPAAQRPHHHHPAPAPAPARPDQQQDEGIKEAKFTEPGSDQSSAVAVPEDAAAAAAPPRTTPALSVEELAAVRIQAACRVYLARRSHQARGLDRLMSLMEGVAVRRQTDEALYCMQTMTRVQTQIHSRRVKTEEDKKAAKIQAHVKQGLDKAKIGEGWDHGHQSREQVDAVLTMKQEAASRRQRALAYAFSHQWSNRKPSSARAAPPPMFMDTGNPSWGWSWAERWIAAARPWESQTTPDNGGRAPPIKGGGGRRMPISVQIPTTPGGRFARPPSCPSPSTPTPRSPSVPWRTSPAVPTSPAASPLRRSATAT >OB05G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14697782:14699955:1 gene:OB05G27030 transcript:OB05G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTPLAVAAVGCCGVKREGDPKLVGVSLGEYLAGGEAMLVEGAAAEVARVVKVERKVVVACEVVMEDPAPGGVIGVASDSLYATGILGMVGAEGYGDGCKSMQELDGGGAGERMLGVEFGGFQSEAGWMPVPVGAAAAETPEKALDLATQHYSGTDRACYRCSEPAKDGQRARYCLPPLHKDGFLASGVVWSKLKGHLWWPGEIFDTSDASELALKHHKKGSQLVAYFGVDTFAWCDESQLKPFIANYSQMANQSDCGAFINSVNFALEEISRRILLGMCCYCLPEELSDSSMSCMVENSGLRDGVTCSRVTQAEILECFSPDSFLGYLKSLALLPGQGGDLLDLVIACSQLTSFFQSKGCHELASFQSGSVWVDDGMDSSSTKNVLLPESATYEQEPSEDKPKRCRRSTSIKRPKHPLELSQENPTSSLKNACDFDDFMGLNIIENVEGKRSGKRRKYLPSPEVHTADNRQDSWSGLYLNDDSTDALWEASAKMRPRRKQRSLTETCVPSSDLSSPVEPLQPGFIGPKKHIQLIERSIIHVDEQRIDEIMPTALVLSFDRSAALPSEVDLIRLFSRYGPLKESETEVHQNTSTVKVAFKRRVDAASAFSVVSKYGYFGPSLRSFRLVNMPFFLTKLSPENPGTEVPGKHLLVTFDSSMRKLKLTLYVKKEKAYTTLRYVICSLRVDEAENL >OB05G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14703311:14708457:1 gene:OB05G27040 transcript:OB05G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHVAVAAPDPGGDGGLGEPKQEARPVVVVAAAAAVAAPAAGDAEVGLGGSGSAPAEEDADVTMTEAVEEVGVAAEAEAEAEAEAEAEAEAEAAVAGDAASDPMYATESAAMVVDEPVDGARAQDGDSGKENLETEARVLQNETATKPVPAGDDAIASEAAEAPCSDAPEHAGAGAESNKVEENHSNRGKDNGVAHTDEEMQNNVIADVEGSSKIQEDNGAPTLEQHDDGSEMPLPSSVANFALCARYSLPPLDKGDFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVANYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCFSSENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGNAWVENGMDSSPIKDVVIDEVVTNEERPAHDKPKRGRGRPRKQKPEDSVELTEKKTTSNFSTDNAFDHPAERQMDMDFDDFDGIQSKKKRSLDSFEDPETKTTTPTFGSSFKIGECIRRAASQLTGSSSIVKSQNEPMPLKNITETENGDFDVSSDDAINELSVEKRAKRRRMHRHHNADPKELLSQLCSVAAEPMNGYSFSAMIINYFSDYRNYVVSTTTEANIVEKTTAKRGRKRKVLPSPEVETTDHMQDSYWSGLSLHNHPINDLRRASTSTRPRRRRRSSRETYFHAQQNLHHGLLSPKKQIQVVERSIIHVDEKIVDEVKPTALVLSFGRSTALPSESDLIKMFGRYGPLKESETEVHTSSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLKSQPNNPEANPEYYGLEIPGPSESKVPLDAAQADQVDKTDDKIEDKSTTEVLAGEKGNGISTSGALDEKTENATTEAVAAETTGAEITAEVHVEETTMTEKIVEDKELAEETMTEKIVEDKELTEETTEGESKAEVQVEETTAPEKSEDKAVLEETTKGEATAQVLEESITTEKTVEDNTIVEGTTECETTAEVHMEEAAAIDKSVEEVHVEETITTEKTVGTEALAETTKGEITPEVHVEEPTTTKKTVEDTTVEAPHEKTKTANDPVEDATVEEPDKKSTTNDPVEDVTVEEPDKKTGADDPADDATVEEPDKKSTTNDPVEDVTVEEPDKKTGADDPADDATVEEPDKKSTTNDPVEDVTVEEPDKKTGADDPADDATVEEPDKETMAAGITEEGATVEAPDENTMTTEGATVEAPDENTMTMEGDTVEAPDENTITMEGAPDENTMTTEGATVEAPDENIMTTEDAAVESLDENTMTMEGAMVKAQDENTMTMEGATVEAPNENTMTTKGATVEALDENTMTTEEAVQNPMVEEGGTKTAAAEETVDCATAVEAPAGQASATELTG >OB05G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14709360:14713621:-1 gene:OB05G27050 transcript:OB05G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKAYLQKYREGDSKLTAKAGDGSVKKDVLGSHGGSSSSAQGMGQQGAYNQGMGYMQPQYHNGDVSN >OB05G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14716712:14724377:-1 gene:OB05G27060 transcript:OB05G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRPDSDGGASGEAEHPADKRPCTAEPSTSAAAVAGEGAGAEAVVAAAAGERACSDMDTSSSGHAGDGDGEEDGDGDGDGEGEGDDDGDGDGGSSCESDGDGSPRRCGGGGGRFQRMVAAVAAEGAEEGPLVAALTELCEALSFCGEDVGGYFPTEAAARALVRLGGGPRGAEGGVVASPDVMLLSVRAITYLCDTMPRASDAVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISRRQPTPCLRAGMIAAVLTFIDFFSASIQRVAVSAVANVCKKVPKDCSQFVMDSMPMLCNLLQYEDKMLCHQGVIEKVLPLINTGGLTSLSPSTCSNLIGLLAKLACNSLVAVKSLFELNAGSTISRILVTSDLSHGMPYLPLENQNNQVNEALKLANQLIPSAARDVEDTQMVHAKEKIIIDEPRFLCQFSMEILHVLIKAVNSGANSYICYGCASIVNNICYFSKPEMLQDLLKDANIPSFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGSFIKEGVVYAVEALLVQDDCSKSTDLSDETQQSENQPVIRNKPTCFCYAFDYPRSDAAETRICMIGKGNLFTFARHVKTSYFTAEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNQDNLQNEEHLTNILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKFLQTEGNPNDYNTEHVLAVLKRFQSFAHISFSRMEQHWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNTISDIPTRHSTITPCIRVRFKKDEDQINLSNYNNVVNVEISSSLHTIEEFLWPKICTDTSNQKAESSSNAVASEKKYSEDDLQKRDFTPESSPTREGDISGNQNLSVEPGSDKGPSSSGAVQQETSASDHTAQPKLLFSLKGKNLDQSITLYQSILQDQINAGSDIILDNQFWRIVHDVTYRTVNPKVDYSLKNSSCATTSANDSKAGFTWQALPFFSSLLLGKLPCKLDRSSPSYDILFMLKILEGLNRYSFHLVSDERNHTFVHGGITNLDDLKADVSLVPQQEFASAKLTDKLEQQLHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGIIIERVPSFSRKKFKVDRDNILASAAKVMQSHAWGNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGDIPCETGPDIAHGGSGFVVAPNGLFPKPWSIHVDCSSFSEVDKQFHLLGQVVAKAIKDSRILDIPFSKAFYKLILGQELNLYDIHSFDPELAMTLMEFKAVAARRKYLESSSSGDCKSTSDLSYRGCKIEDLAIVFALPGYPEYVLSPESSLKNVNADNLEQYVSFVVDATVRTGIARQLEAFKSGFNEVFPLSTLQVFSEDELERLLCGEQDTWDFTKLVDHIKFDHGYTSSSPPVINLLEIVQEFGCNQRRAFLQFITGSPRLPPGGLAALNPKLTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGQGSFHLS >OB05G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14727460:14735738:1 gene:OB05G27070 transcript:OB05G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 8 [Source:Projected from Arabidopsis thaliana (AT3G09660) TAIR;Acc:AT3G09660] MDAATAAGLAAVWSEYFPEEGEFSADSRSARLAADLVYLFSSPDASDLLSRVEGDGDILFLPLDFQQLSNLTWITEALQENPKEALLCMGAAVHLIVCAIRDLQLGDINKINIRLYNHAKTIALKNLKAAYIKNLVTVRGTVLKVSTVKPLVLQLNFQCMKCATKFPRVFSDGKFSPPVSCSIQGCKSRTFIPMRSTAKLIDFQKIRIQELASGESHEEGRVPRTIECELTEDLVDCCIPGEVVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSRAHAASANSDAISTTIQTTGSFGFQTFTEKDLEYISKFKEEHGSDVFRQILQSFCPSIYGHELVKAGITLALFGGVQKHSVDQNKVPVRGDIHVVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGLCCIDEFDKMLAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPNELMDKRVSDHIIAVSPSAESLQEFYLGLRKHSTSADGTPITARQLESLVRLAEARARVDLREEVTEEDAKDVIALMKESLHDKYVDENGCVDYTRSGGMSHEKQAKKFLSALNKESELQQKDLFSIKEMYCLADRISLQVANVDAIVDNLNTAGYILRKGSNVYQVRTSSYQGSQATWSRC >OB05G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14737637:14737831:1 gene:OB05G27080 transcript:OB05G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPSPPPPPPPPEKKKENLLSLWYIIIVLPFCSNLGIRKTTYYDVHQGTETSQYTMQEQRHG >OB05G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14737820:14738765:-1 gene:OB05G27090 transcript:OB05G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVDIEQSCRCVHGLSKCSLCCLSVNSVCLKLVMTFHCRKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OB05G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14741243:14744664:-1 gene:OB05G27100 transcript:OB05G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G19180) TAIR;Acc:AT4G19180] MRLSSSLQDLPTFSRIDALERGSSTGGELNSGRAKPIRTLQRDGALASFSKEKTPPSSPTNRKKCMRAAGCAIALFILVFLVYASLRYFHVFLSEGSPEYYVILDCGSTGTRVHVYEWSVNHDDGSTFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPRRAHKHTPAFLYATAGVRKLPSADSEWLLDKAWDILKNSSFLCYRDRVKIISGMDEAYYGWIALNHHLNMLGTSSSKMTYGSLDLGGSSLQVTFETDNSIQDETSMSLRIGSVSHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGAAGNGKVQVKHPCLQTGYKEDYICSYCDPLKLDGSPTVGGKTTGKEKQGVAVELIGTPQWNECSALAKLTVNLSEWSNASSVDCNTKPCALPSTFPQPHGQFYAMSGFYVVFKFFNLTADATLVDVLKRGQDFCEKPWMIAKSSVPPQPFIEQYCFRAPYITALLREGLQIKDNQVIIGSGSITWTLGVALLEAGQALSRRTDIQGILHREINPNIIIVLFLISIVLVICAILCVSNSIPRSFRKSYLPIFRQNSAGSPVLGMGSPFRFHLWSHISSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGMWKPGQTTLQSRRSQSREDLTSSLADLHLPKV >OB05G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14748902:14749162:-1 gene:OB05G27110 transcript:OB05G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVGCQTQAPAMPPAAVAAERAGGGGREARALLPPPRRGQIKEQIVKDLAAAVSRIFSGLARSDKSGAGAGAGGVPVSDDTDDE >OB05G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14753148:14753300:1 gene:OB05G27120 transcript:OB05G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMVLAILSFTCPLFGGSLILSFAMLTPLLNRYSIQRPPTLVAFLIAK >OB05G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14759969:14760178:-1 gene:OB05G27130 transcript:OB05G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYSYCQYLGSSANTAKEKRPPLKRGQVKLRIVRSISSLVAAPGNEGDDASQKKAADRSSFRREASYN >OB05G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14765870:14766121:-1 gene:OB05G27140 transcript:OB05G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAGCYYHHHHHQCRSSGSFSAKEKRPPLKRGQLKLQIARTLSNLVAPRSDAAAADATKKKKNAAAAADRSSFRREASYYN >OB05G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14772214:14780498:-1 gene:OB05G27150 transcript:OB05G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYASIYKEEEEERSDRWKSFLERQAESSRQEEEGEAARHAVENSGTGSSPLSREKIVQGPHKIEIWKPIRPSLGNIEQMMSIRVEKKQSSAGNQGTEDVAHPVKVQEGKLSEDSDDEFYDVDKAEPSQEVHSGDSANTDIDDRGKEESIPSMEELECLVHGGLPMALRGELWQAFVGIGARRVNGYYDSLLAAEGELEDSRGLRSPTLDVAGENTELPTFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPTVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDEYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVEETELQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKHDPEPLVSISDAHDQISDMGDGDANQSDSGNMDMYGGLTVSSEIEALPDPKDQISWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQTLLDKQEQEEAMCQVLLRVEQELKIAEEARISAEQDAAAQRYAVNVLQEKYEEAMASLAKMENRAVMAETMLEATLQYQTSQQKALLSPLPSPRTSVLDGSPGRADHDSSQEFQPRKINLLSPFSLSWRDKNKGKQNNVDDSAKLTDAHAQKEEITKNDEKQIEAPTLDVEEAVGSPKEDDKLRVETPKEDSDLASTNDMNGQHEQLQEIQLD >OB05G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14786707:14787463:-1 gene:OB05G27160 transcript:OB05G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTRFGMATAFLGVLSFLLGVVGELKKPPRGTPVRGGRGVVVCEFPPDPTVALGALSAVSAACCAGVGAVAVFFPYNGRPVPRKALFDYTLLYVFFHLAIGITVAGIATTAWGTARASEAMHHVRNVHGDPGYACPTAKTGLLGGAAFLNLDASLFWLLCLMLAGNVREEYFDDGGGGRAVADEAPTC >OB05G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14791519:14795919:1 gene:OB05G27170 transcript:OB05G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRTHSSAQPALRGLRFLDKTSGGKDGWKSVEKRFDEMSAGGRLPRESFAKCIGMADSKEFASEVFVALARRRSINPDDGVTKEQLKEFWEEMTDQNFDARLRIFFDMCDKNGDGQLTEDEVKEVIVLSASANKLAKLKNHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSYRSPMRRRLTKTADFIHENWKRIWVLSLWGILNIGLFTYKFVQYRRRDAFEVMGYCVCIAKGAAETLKLNMALILVPVCRNTLTRLRSTALSKLIPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLISCPRDKFEATLGPYFNFVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLAIAYILLVLHSYFIFLTKEWYKRTTWMFLAVPVLFYTCERTLRKLRETSYRVSVIKAAIYPGNVLSIHMNKPPSFKYKSGMYMFVKCPDVSPFEWHPFSITSAPGDEYLSVHIRTLGDWTTELRNLFGKACEAQVTNKKATLARLDTTIVADGLTEDTRFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKTNGVVQSMHDTELGCTFKGNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANTHKNSRIGVFYCGSPTLTKMLKDLSIEFSQTTTTRFHFHKENF >OB05G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14801162:14802322:-1 gene:OB05G27180 transcript:OB05G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSTPTYSDLPMHHTLSFSSALPTAPTEIPGSGGGFINDKGGMFSLPNVAGSAPSPYYSSLPSFYIHKSTSSHSLLLPLHHQLTDLFNSNAAFSCSSAPASQLHGPPVSSSTSSSSGDLLEFSSRNLRRVFSTGDLQVMNLPPSPPPPPPFSGDICSQEVGGLFSQKVGRYSAEERKERIERYRVKRHQRNFHKKITYACRKTLADSRPRVQGRFARNADTEAEAEVAAGLETEGYGNGYEYCAYNGVTNSSSSSCYDSQSQWWGAPAAAGAANWQHHQQQKQQLGFDGDDDEDDTSCGPASLTCAQGPELI >OB05G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14805252:14808196:-1 gene:OB05G27190 transcript:OB05G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDTTIHEMEDRVTAMLKLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDGDGEGFQKAISGIDLSNFKFPALEGLPMGSRGASRGTSPVPKRTQMHRRITSHMNKENAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIDRQVVELQDEVCSLQDAFSTGTAIEDNEARALMAARAIMSCEDTLVNLQDQQNRSSEEAKTEFKRFVEAKKKLDTFKAECGQPHTQIDEPYNSGTELIHAIPTGDGDDSIQNEIRFDLQEVCQKVKELIELHPEVSVADLADKVDRLVEKVIDLELATTSQNAQINRMRTEIDDLHKRLQALEEDKSALVADSSKLVDRLKQIEVVLQAVQQIGKSITNGTENIHKEMNEACSELAEFVEKLHESEPQNSDFMNPFLESKCQEEDSKVTSQYAKKQTSDSLDGSKNEVEKHDKGSKGPLVQEHPDTNGSDGEEKILFEEYVSVLQTYKGTDQKLSEIEKKNQEYHTKSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKMNASVPENVEKSEETSKISTTPTTEDKEISDIEEYIKQCQVEEHLASSIGEEKFRAEIDRVLEDNLDFWLRFSTSYHQIRNFQTSFDKLKNDMDKLIEAQAQSGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGELENRFSSLCSIQEEISKITILDKGDEVHFTPFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRSLQVEVGRVLLKLRENLELSIARSNRAQQNFRKLSTKAGVPLRTFLFGTKPKKPSLFSCMGPGVHKHHGGSRAGRR >OB05G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14810485:14813382:1 gene:OB05G27200 transcript:OB05G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3M7Z6] MGLFGLAYQGLGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB05G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14813942:14815753:-1 gene:OB05G27210 transcript:OB05G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLESSYSAKDVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVISFFSVDESRFTQTDEVSCTPYFHSRRSWGFFRKRTRLFCRKCGGRIGSAYEDEGSSTLYGGSDDLHMASEGSSISIGKKYVIRINALRPSTDDTGVPFTS >OB05G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14821804:14823391:1 gene:OB05G27220 transcript:OB05G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECISAEKDAEGRQRVNHVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRLRNRLYRPSTVTTEYREHVKDLSCLSKDFRRIVIVDNNPYSFLLQPLNGIPCLTFSAGQPVDDQLMGVIFPLLKHLSLQNDVRPALYETFHMPEWFQRHGIPQIDQAA >OB05G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14823571:14829038:-1 gene:OB05G27230 transcript:OB05G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPPTEHGFARSPPPLPXGGRDGAGSGDPELPPLRMPTSYAALGGALDASPAGSSSSSDSFVSMSSTLSGLLNPYGVWSPRAPSEASSSEMEFGTAREYDTTDLFFGENWLYDDHLFHGKSGDDKSDDGDGEDKFIVGADSRAQWSEARELADGGGRHQVHRKANADAAVYTCSSAPCSCCYGVGKSDELLKDSCSAVYGRYLIMDDQTELLDECNADAFLFRRDGDAMLRSEQAMDLKGEDIELLDMSTVEKELQMLSPYLAMADALQKPELEHDSSGNGELDINIVKNEKTVDDKELLKNSYSIHSFPEIGDPLDVYETEDFGRTDTGAQNSTTTKIEEDARTDIDLALSKFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVRAHDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLHRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMMGTIGPIDTEMLALGQDTQKYFTEDHDLFHRNEETDQLEYLIPEKTSLRRRLQCPDTKFVKFLSYLLQINPRKRPTASEALQHPWLSYVYR >OB05G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14832584:14832778:1 gene:OB05G27240 transcript:OB05G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHHQINKVHLRETTKYGTTLSMGYSQDDIKQGTSNRVHCAQQLVQHAMLAPRQRHWESYLHE >OB05G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14832148:14837431:-1 gene:OB05G27250 transcript:OB05G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTAGKLLESKGIIKGGGTRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGAADIMEVVKDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >OB05G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14841725:14845224:1 gene:OB05G27260 transcript:OB05G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDLSHIFAPPPPHLRHPHHHPHPPHHPHPPHHHPHPPHHHPHPHPDPAAEAIQRPHADGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVVLHNYPPPFPKRVLGKVVPILQVGATATIMAGDQIFPRLGMVPPPWFYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLISSRLPDSPFGKNVGKALT >OB05G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14846401:14850083:1 gene:OB05G27270 transcript:OB05G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGSVELPKVDRPPVELTKVDRSLPSQLNDVVFDEHIQRTIVACTESICTGSDNLTSSAVSPFSSLEYHGHKTTDAAPPRCSSGASLVSPVRSSAASHPAWALVAETGFVLTKQEPAEPRTIFRLASPPRVCQLSVPIRSIVPLAVPSTDSEGNFVSRGTICAANSSGLFRVRNVLELAQVPAAVESPIFTPKPKDDTWPPLPDLKSHTKVVRLVCNPLSCQLLPLPEDPDTSDTGNTWRHVKPGFLTRADLGHGPPERCAVAEVRGKDFIMHRFLSTTGRWDAMPGFSSAVPVARLPIITDHSVVSFGGRMWWVDLAWGAVSVDPFADEPDFRFVELPSGSVLPADAISVERRRWEKELGWYRRIGVSEGRLRYVEVSGAEPFVLSCFALDDEGSRWSLEHRVALSRLWAEPLQERPRIGAMDPLDANVMYLMVGDVGKHIVGVDMEKGVMIGSSLLAEPTMLTPCMLPPWLESSQIPSAGTLSSKQTNVERKTLADMLVRVDRGC >OB05G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14855027:14856628:1 gene:OB05G27280 transcript:OB05G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLGPSGEVSRHLRCSHSTLASRPAWAMVVSEPQEEAGGVTPAFPPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPVSQTRGIHAKGESICAVVEEATSDDGLILLRAIVVQAQLPASAQATAPNFPGSSRLLGHEDEKTVARVVCNPLSGQLILLPDIEGTRKGSPGLVGYAGLLTPADRWDGPPDRYVVAEVSGDDFVMHRFLSETGRWDAMQGFLSPLPAARPIVVDQPVVAFGGRLWWIDLAWGAASVDPFADEPDFRFVELPSGRVLPASNKMSNERRRRKVILSRHRRIGVSEGRLRYVEVSEGDPFVLSSFVLDEEGSCWTMQHCTALLSEGAPFPQMPGIGCINPLNSHLVYIMVGRIVFGVDMEKGMAIGRSVLDEPCWLTPCVLPPWLGSSRIPSTGA >OB05G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14861005:14861343:1 gene:OB05G27290 transcript:OB05G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPELAAAAASTCLSPPRRSASTAALPSPNIGSPIYEKDVARVVCNPLSGQLLRLPDIGGSSKTTLQRKAGILTQTNRGDQRKLRRPSFSLGNREVGRHGRQCQSPSEYV >OB05G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14861757:14863449:-1 gene:OB05G27300 transcript:OB05G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEIKAGTNSPMYQRNDDTFVSIKLLINILHASNLLPPPRYINAIRCPIHGTHKAAAPRSLRARSNAXXXXXXPPGHDVPAVFAFGDSTLDPGNNNRLTTLVRADHAPYGRDFPGGEATGRFTDGKLITDYIVSSLGIKDLLPAYHSGNLTVADASTGASFASGGSGLDDMTARNALVSTFGSQLDDFRELLGKIGAPKSDEIAKKSLYVISAGTNDVTMYYLLPLRSADYPTVEQYGDYLIGLLPSNLKSLYQMGARKMMVAGLPPLGCLPVQKSLRGSSDGCIAAENEAAERYNAALQKALAKVEADSPGAKIAYVDIYTPLKDMAENPKKYGFTQASLGCCGTGMVEMGALCTSALQQCQSPSEYVFFDSVHPTQATYKALADAVVKSHVPQLMR >OB05G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14865194:14872736:1 gene:OB05G27310 transcript:OB05G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MELLRRLLKFLGDIDEFYDCIGGIIGYQIMALELLSASKDRKHRPSKDKFIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGTLFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGMGLRHDKKLGFASCERRTGATEGVNVLIEKERQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSFQASYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFECSAAGGRLECTMQNIADNFMNSYNYRCSKGVESDLDTFIVYNERKKVTSSAKRKLKSEDVSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNSEYLCSGPPFLIFLHPALGPFWDIMRQKFVGGSVSQGSELQIEVAEFLWKDVELDGSLIVLADNIMGLTKKNNTGEQIMHYGTRCGRCKLRSVKIVNEGINWSSANNVYWKHDVERSESVKIILHGNAEFEAKDVVLQGNHIFEVPSGHRMRIIQEAAGFVVKLDPISEEVMDSGTWYWKYAVDGAHVKLEMVEL >OB05G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14874238:14874390:1 gene:OB05G27320 transcript:OB05G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGPGWPERKALSGRSTSVGALLFLRFQFVFTCTMLQIFIKISNFIIK >OB05G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14884116:14888146:1 gene:OB05G27330 transcript:OB05G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ammonium transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G38290) TAIR;Acc:AT2G38290] MATAAGAYSASLPAVPEWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGCRVACGARLLPFWGKAGVALTQSYLVGQATLPATAHGTIPRTEPLYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPSAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGVFAGSVPWFTMMILHKKSELLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESSVQGLRGTFYGGGIGQIGKQLGGAAFVIAWNLVVTTAILLGIGVFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDLSRGGAGERDGPAGELAGLGARGVTIQL >OB05G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14884137:14887102:-1 gene:OB05G27340 transcript:OB05G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRPVIMPCTAPITDGLRKKMTSRQVHMRRLVAAQTLVLSTATDAAMLAADGAPPLNPAHPIHSSPPPAIISRMLFGENRSRSLFSLGPTLGGGEAGDAGGEVDDVAAGVVDDAPAVEEAAAPEAEGADGVGEEEPERGEGHPGLDVHAAEDGAGEEHQRDGGELELEQHQRRLRVEGLGARDGAVRGGRQRGLADEVALGQRHPGLPPERQQPGAARHAAPDQHPHQQRRRVGVQRHERRVHRPLLLHDAAVQHHQPRHGLDPHQRRCRQLPRVVPLVQPLRHRRQARRV >OB05G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14888561:14889246:-1 gene:OB05G27350 transcript:OB05G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT5G01300) TAIR;Acc:AT5G01300] MAQESLRLGAKKDISPPLEWYRVPDGARSLALVVQDIDAPDPEGPIVPWTHWVVANIPPSVKGLPEGFSGKEGASGREFGGIQEGVNDWKQPGWRGPIPPSRGHRIQFKLYALDDEVTKDKLMDAIQGHVLGEAELTAVF >OB05G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14897232:14897765:1 gene:OB05G27360 transcript:OB05G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEGAAGTNQVMRWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAEAIGGLPVTLYLPRDSSTAPAGKGGDDDDDEQAAQCSICISALVVGEKVKTLPPCGHCFHPDCVDAWLRSQPSCPLCRSLLLAAAKSDVNGGVDSPV >OB05G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14908355:14909852:1 gene:OB05G27370 transcript:OB05G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPKIRVAKAKKAFAGVAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTSFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLEHNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKYIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGLVIPNRYEFQMQYGSIGWSVGATLGYAQAAQDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELREALATATGDKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OB05G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14912799:14915198:-1 gene:OB05G27380 transcript:OB05G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRNPVLGARRAAASLRRSGRLPVYVAGVFFVASVFLMFRDEVLYLTTARSPSLPTAGSSAGAGLALREEPSVSKPVLRGHGGKPEKHHSVTERHRKVSARRRPRKKATKAARKKVMASPVAAGAELNVPETCDLSKGKWVFDNATYPLYREEACEYLTAQVTCTRNGRRDDGYQKWRWQPRDCDLPLAFDARLFMGRLRGKRLMFVGDSLNRNQWESMVCLVRPALSPGKSYVTWWDGQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHAGDWLGVDYLVFNTYIWWMNTLNMKVMRPTGKTWDEYDEVGRIEAYRRVLDTWATWVNDNVDPARTSVFFMSLSPLHISPEAWGNPGGVRCAKETAPVRNWRGPLWLGTDWDMFRAAANASRAVGRVPVTFVDVTAMSELRKDGHTSVHTIRQGSVLTPEQQADPATYADCIHWCLPGVPDVWNLMLYARILSRPPPPPAPAAGLVVA >OB05G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14919775:14921668:1 gene:OB05G27390 transcript:OB05G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRCLRPRRFRSDLPPLGSEAPAPESGAAAQAPQEPMHDTVEENKVVADGAIVGASEEEPAERGKSVAMFECNICFEMASEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNSSSDVEKKVAEDGNVSGPTIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAHHASRLGRITTRMRARRLQRDAENSTFVASAAAESVLPGNNSSDLPRLSSSPFSSERIDLLQHFVDLAGTERLATAVSDLRRIVRPSQYGASTSSNPSNPDLPIDGSHVAAALAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRRGRNDALGSLDVDGGGLHRNKRRRLN >OB05G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14923427:14928035:-1 gene:OB05G27400 transcript:OB05G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADPNPKDAEPGEPAAEASAEEEYEEEEEDEEEEEEELDGPAAGEELDGPAAAAAERKKVKAVFSRLSSDPVGIRVHDVIIKGNAKTKEELIEAEVAELLRAAATVQDLLRNASIASARLRRLDVFDSVNITLDAGPPELPGTTNIVIEVVEAANPITGNAGIYSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGWDQTSEVGIGVSLPRFKSIPTPLMARASLSSQDWLKFSSYKERLLGLSFGLLSTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNLLSALKYTYKIDQRNSHLRPTKGYTFLSSSQVGGLWDSKGLRFFRQEFDVRGAVPLGFYNTALNVGLGVGAILPIGRGFMNLSSSVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESDDGSAASPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFVTAGNLAKLSEGEFKKFSFSDFGRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGKTGIQFSFSSPL >OB05G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14933831:14934628:1 gene:OB05G27410 transcript:OB05G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLPAANAIATTSADMHPKKTPRGSARCSKQDEEATAAARRRAQSLRRASGREQPARHVPALHREERETPPRQSRDVVDEEEEEAESDEMSPRACGFMLFLPWSVKPVLCGFPRSPARRSRAPRADASTAAASSPPHRSVTLGNALEKEKAKDGKLRSGDLSRWSSEKSGSGREWSSPGWGTAILGTSKRYCADARKALSRLARSATDGRGGSPRVSSRERRSGRPADASPLHSTSGEIPPLTPPSESWLSHARGNGIVSNKR >OB05G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14934619:14939677:-1 gene:OB05G27420 transcript:OB05G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRNPVIFFFWFFMAFELCASLNHEGVALLRFKEMIVADPFDALLDWDEGNASPCSWFGVECSGDGRVMALNLPNLGLKGMLPQEIGKLAFMHSLILHNNSFYGIIPTEIGDLQELKVLDLGYNNFSGPFPSDLRSILPLEFLFLKGNNLSGGLPLHLHELTRLCELRVHQDKASTARNEENSMIERLLARKQKYSQKARVLLGSGPSPFSGMIWNPPNPSMPPLHNREPLQPRPPQPQRPPEPIPSPAPPVSATTNQAPSKESKSRHSSAIYASIGVMACLVVVALSAAFFLWYRRRKTGTVVPFSPTASGQLQNTTIGGITSYRRSELETACEGFSNVIDTLPGYTLYKGTLPGGAEIAVVSTVVTYTCGWTAIAETQFKNMVDVLSKVSHKNFMNLVGYCEDEEPFTRMMVFEYVPNGTLFEHLHVKEAEQLNWQSRLRVAMGVIYCLNYMQQQNPPVPLTGLSTSCIYLTEDNAAKVSDISFWGGKKEEVKSDASDEHNKVHKFALLLLETISGRRPYSDDYGLLILWAHRYLIGEKPLMDMVDPTLKSVPEEHVRALTELVKLCLSDDPSERPTVAEVTSRMQEITGISEDQAIPRNSPLWWAELEIITS >OB05G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14944976:14945389:-1 gene:OB05G27430 transcript:OB05G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRSAASTSPASSAASSRPRPRPPPPAGAAPASATCCAAAATGATSGTATATRAAAASAPAPSATRTVSSGARTAASRPPDPDPRSVWRGAPPQPFNPFRLQTFFSSNNGERSRSPTHPCDIDLSITLALVITLVSD >OB05G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14950265:14950600:-1 gene:OB05G27440 transcript:OB05G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNQPCLFDEIELCSEYVIYMCNSGYTLQFCVGFGYILDFQQIGHGKFSVVFKVLKITEGCLYCVKTDGCLYCIKWSIRQLHNNRNSLYFAAQIAGNSLFFAYSMCLFVA >OB05G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14952869:14953108:-1 gene:OB05G27450 transcript:OB05G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHSSEIALLHNLGYLRFSNSCVRHRPTMPTPGLPRRGLLHPFAPLCPRHQHHWPTTTPKPMASVAPLPPRPSMEYH >OB05G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14983636:14988206:-1 gene:OB05G27460 transcript:OB05G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSDARKRRPFLLSLSLFLLVSALLALAFLFLDPSAQTLSVLSSRLTAHSSLAPPAPAVAGAKEGVSADATEKAEEGASRPDDAVDAAGDGGNSGSPRLEADEAATAEEKADKGAAAGSEEVVDDGGGDDAATVRWETCRAGRGVSPADYIPCLDNVKAIKALRSRRHMEHRERHCPVAPRPKCLVRLPSGYRPPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTNSGDYLVFPGGGTQFKAGVTRYIQFIEQIMPTIKWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIATQKLPFPDEAFDVVHCARCRVHWYANGGKPLLELNRVLRPGGFYIWSATPVYRQEKRDQDDWNAMVKLTKSMCWRTVVKSEDSNGIGVVVYQKPTSSSCYLERKTNEPPMCSKDGSRFPWYAPLDTCLLSTAVSSSNEKSSWPLLWPERLNARYLNVPDDSSSTSEKFDADAKYWKHAISEIYFNDFPVNWSSVRNVMDMNAGYGGFAAALIDKPLWVMNVVPVGQPDTLSIIFDRGLIGVYHDWCESFNTYPRTYDLLHMSYLLESLTNRCDITEVTAEIDRILRPDRWFVLRDTREMIQKMRPFLKSLHYETLVVKQQFLVAKKGFWRPGK >OB05G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14989741:14993465:-1 gene:OB05G27470 transcript:OB05G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein [Source:Projected from Arabidopsis thaliana (AT2G43190) TAIR;Acc:AT2G43190] MSTISDQKKRTLEALQQRYAAAKAKKLQEAQPKCQTKNKDGTSKPKFDASRKGKAPEPIPCQISTQLPSKTGLAISSSSASSGGEINPVYSELSFAPHDNLLQGSIVDLDSMDVVQSVVHDIIQKGGDAGKITKGAKKLRLDKGILLDNYVHRGPRLVEAQAKSLLSHSKRSKRHMSLKKHKKCGSFDLDETLCKFDLYKPMHEMWKEYITELTKTTPKKQLSENLLSADLHGALIIVAECKSDSYQGVCGIMIRDTAETFGIISEDNHFRVVPKAGSVFILQAGCCKVTLIGNKLSPREKLKENQRQQRVQAQIR >OB05G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:14993968:14994315:1 gene:OB05G27480 transcript:OB05G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPRQLAGGGARRRFGENRPPKLRRHSLETSESRQCWVVGPPRGDRGEEAPLPPKHPPLRSVPAGAGVQAEEAGQRAAAPAATAAVAGVEEEKFNYMTLHSVTFNKMPPSSMLL >OB05G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15001486:15009186:1 gene:OB05G27490 transcript:OB05G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLKRILYLLAVFSLPLLAVAADCECQPSDEGHDKGKSRTLKIIAIFCILVGSSAGCAIPSLGRRFPALRPDTNFFFAIKAFAAGVILATAFVHILPVLELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQARFRLKSTVTMAIFFSITTPLGIVIGIGISSAYNENSPTALIVEGLLDAAAAGILNYMALVDLLAEDFMNPRVHKSGMLQLLISVSLLVGTALMSLLGIWA >OB05G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15012727:15015394:1 gene:OB05G27500 transcript:OB05G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLAALCLVVAACCLPELAAAECDCATDTAGQDKAQSLRLKIIAIFCILAGSALGAALPSLGNRFPAIQPETDVFLTVKAFAGGVILATGLVHILPAAFDALNSPCLVGGPWKKFPFAGMFAMVSAIGTLIVDTVATGYFHRTDAKRKAAAIADEPTGNDLEATDEHSHSHAHGMSVMSVAAADEEDLVRHRVISQVLELGVVVHSLIIGMSLGASNFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFKVRSVVTMALFFSLTTPVGIAIGIAISSVYDANNPRALVVQGILESAAAGILVYMALVDILAEDFMKTKVQSRARLQLAMNLALLLGAGLMSLIAIWA >OB05G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15012742:15013494:-1 gene:OB05G27510 transcript:OB05G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSECTTTPNSSTCTNPGRTSESIKNSKFDFICACVFAALFLEWREKNGRWRVVVAYLRDDAVADKVLLVGGGDGHDGHAVGVAVAVLVGGLQVVSGRLVGDGRGLPLGVRPVEVPRGDGVHDERADGGDHGEHPGERELLPRAADEARRVESVEGRGQDVHEARGEDDAAGEGLDGEEDVGLRLDRREPVAKRRQSRPEGAAREDAEDGDDLEPQRLRLVLPRRVRRAVALRGRELRQATRRHHKAEGR >OB05G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15036064:15036291:-1 gene:OB05G27520 transcript:OB05G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLITTESCMHEWYVRTNKVHCRSNHSTAPYVLKYKYFYIFFEMNMDIARNEPRCSDSFRETIIYHYQDSEFQP >OB05G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15044808:15046745:1 gene:OB05G27530 transcript:OB05G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEREAAAVGMTSEGVEVEKEPATLLPSPSVPRKKQTHAKNSDTPDAGGARRAPRAKRCPNSYRGVRQRRWGKWVSEIREPNRGKRHWLGTFDSAADAAPAHDKAAAAILGNRAVLNFPASSPLAAAVAPEQREAPCCSSAAAVPAAVFEEEHAVKPAVLPLMQGGAGGTETKARHWEWDAASWPAQGMFQCLDDIAMYLELDAVKTEDCQVEQLDDDVFDSPLWSLL >OB05G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15048077:15051196:1 gene:OB05G27540 transcript:OB05G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDCYVVPQTTGTGRNIFQGGSPLASSLPLLGVQLVLIVAVTRVLYFLLKPLKQPRVVSEIMGGIILGPSVLSRHTGFREAVFPARGEAVLNTVATFGLMYVIFLIGVRMDPTLVVRSGRKGMVIGLSGFLLPLAMTGAGSSGEAMATEPDVSRRSTFLFALAASLSVTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWIIMVVYVLAEAFLVSPATSIWAFLSVAVLAASILFVVRPVALRVIERTPPGKPVEETYVFVFLLIVLLVGFCSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGLILPLYYAMTGLSTDVWRMHWGRLQLVVFLAWVGKLVGVMVSSLYLEIPLRDAVSLSLFMNSKGIVEVITFDFFLTNKLIGKNTFSILMCLSVAITAVSVPVAGWLYDPARRYAVYKRRTVQHLKADANLRILACVHDQSHVPGTLAVLEASNATPQTPLSLYLLQLVEIAGRSAPVFIPHKLRHNASRSSNAPPSTDSDRIINAFFQHELRHPEGAVAVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLAGGVRAAVGLRVVNRKVLEVAPCSVAVFVDRNAGSVGLCSFIPGPVQDHSTSSRNRSGHGAATGHGFGAAVAALFFGGGAGLRDAHGAPPGRDGGRDAVPAGAGHQGRPFGPAERQPRHRGGEGARVEEQEHQSPGGARRRHGEDRPRTERPRRGPLRTRPRRHAAQVVPGDAGERAVGMERVPGARRHRRPPRLVRLRHAVLSAHHEAAGPGRAERRRAGRPGRVARERRRRRGCASATEDHVNRRIQQVQAVAIVLFLASRTLFNIDSDSFCVPVRCDV >OB05G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15052232:15054208:-1 gene:OB05G27550 transcript:OB05G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEEKLLATVQHIVQTLGSSDTMTEDILRGFSNYDGRLSLDKPHAARTAAASAAAGGGGVVGGGGGGEHSMPESPTLPPPPAAAVSAAAGRPPPVTSMERTVRTLDRQISQFVAMDGLIWADSADADAFLEAVDDLIGTVQELEAAGTNRGLFDRAEELLSRCMLRLEDEFRALIERPDDAAPTAPGGFRSDGSDDDEDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSVANVHQIARRMVDAGFGRECAEVYAAARRGFVDESVARLGVRPRTVEEVHASTWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFVAAVRTQAVQLISFADAISSSSRAPERLFRVVDMYEAVRDLLPDLDPVFADPYSAALRAEVTAVCNTLGSSIKGIFMELENLIRRDPARVAAQGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGAVGGVAIAVDPDRPTSSLAVHIAWIMDVLHKNLDIKSKIYRDPPLACIFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTNRVRRWSMDYQRVTWGKVTTVLQIGGPGVGALPAKAMMQKLRMFNTYFQEIYEAQSEWVIADEQLRVDVRAAVAEAVMPVYSALISRLKSSPETRHDLYIKYTPEDVEACIQHLFEGTAK >OB05G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15058484:15060466:1 gene:OB05G27560 transcript:OB05G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVHCSKVSLLLYLPSMIPSALLQSIAIARLMESTSIENIVAYVDMFYRTMNSLKHGQLPSHFTFICRSEGMAIIFLFVYAIMHEEKLFRCNQ >OB05G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15062518:15063177:1 gene:OB05G27570 transcript:OB05G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3M833] MAPAPPPPPLLPVTNPAAAGSSPAAAAVGSDAPIATPAFRLFLNRLSESARRSLSDRRPGTGLIDRPAFPRPASLSAAPSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLILLGLLAGWCFLYLFRGSDQPVVLFGRTFSDRETLLGLVVASFVAFFFTSVASLIISGLLVGGAIVAVHGAFRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >OB05G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15065614:15077421:1 gene:OB05G27580 transcript:OB05G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAEARGPEFARIVVSDPKKHAEPTAGASGVIPGSGSYFSYLVTTRLAESGDEVRVRRRFRDVVALADRLAAAHRGLFIPTRPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEAPRYWTTTVSSIAPSAPVKAGRDLFGMFKGLKQTVVNGMVATKPPPVVEETDTVFLEQKARLQDLQQQLTTTSQQAEALVKARDDLKTSTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGNSVVKFSRSQAKLNSEIVKHLGCIHEYLETMISVHHAFTDRSNALHHVQSLSADLFFLHARAVKLESLPSRTVDQQWERHHKIGGLKETISATEAEKSHAVKEYENIKENNMIEIRRFDKDRRRDFVEMLKGFIVNQVSYSDHYANMWAKVAEETKKQNTSSAAKMSHAPCRNAPSFKPLLPSRLRAKRLAGISSPTSASPRRNVSASASGSSRSRRDFLLLLPSLAAASAILRPLPSFAAAADDEAPPPVQPAAEPPSPSPPAPEEELDESALSRVYDATVIGEPEAVGRDARVRVWEKLTAARVVYLGEAELVPDPDDRVLELEIVKGLATRCAEAERGVALALEAFPSDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDTGIKLIACGTPLKVKRTVQADGIRGLSKADREEYAPPAGSGFISGFSSISGRSLIDKISSSRDSPFGPTSYLSAQARVVDDYTMSKIILKELNGGDTSRMLIVVAGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEGLPQDLQRGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNLLGQNWNMNQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARRVLKPSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGETLATNYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKELPPVEVATPPAETSELEDGRTEVQHLDDNSNQSNGRTE >OB05G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15078088:15081944:1 gene:OB05G27590 transcript:OB05G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01670) TAIR;Acc:AT5G01670] MAQDEHQFVLKSGHAIPAVGLGTWRAGSDTAHSVQTAIIEAGYRHVDTAAQYGVEQEVGKGLKAAIEAGINRKDLFVTSKIWCTNLAPEKVRPALKNTLKDLQLDYIDLYLIHWPFQLKDGAHQPPEAGEVLEFDMEGVWRGMERLVTDGLVKDIGICNFSVTKLNRLLRSANIPPAVCQVVVSHSILYYKMFSSKMEMEMHPGWKNDKIFEACKNQGIHVTAYSPLGSSEKNLAHDPVVEKIANKLNKTSGQVLIKWALQRGTSVIPKSTKDERIKENIQVFGWEIPEEDFQVLCSIKDEVRTEKRVLTGEELFVNKTHGPYKSASELWDNED >OB05G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15088507:15088809:1 gene:OB05G27600 transcript:OB05G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFTCPLTAGDVLAAHPNHALTAPWSGGGAGGASRKIVIVSPDSELKRGRIYFLIPSACSAPAAEKMRSRR >OB05G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15095847:15098892:-1 gene:OB05G27610 transcript:OB05G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPGSLQLVNSRPVALSLASRPSFSSLLGSGSFKAAMTTPSSLAPAATSPSSYFGVSSGFLESPILFTPSLFPSPTTTGPFPSEPFSWMTTPTTTAPENQVQGGVKDEQRQHSGFTFQPPASTAPATTSTSFMQTSMLMAPLQGESYNGEQQQPWSYQESSMDAATKPEDFTTPPFEGASLGKKVEQSPDGQVTEIVYKGAHSHPKPQQNGRGRGSGGAPSYALHGGGASDAHSADALSGTPVATPENSSASFGDDEVNGVSSSLQVGANAAAAGEDLDDDEPDSKRWRKDSDGEGVSLVAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASNDLRAVITTYEGKHNHDVPAGRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASPCPGSTT >OB05G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15105773:15107452:-1 gene:OB05G27620 transcript:OB05G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGDEDLKEDAEQVEPTTLDNGSGATGADTLSTQGVSRQGMQRNGFLNFCDRFSSGARFKKLGPSPSFKFRQLALERDEFSRSIHSDGHDNHEHFQFIRKINWGHMWVMCKDWIKEPLNMALFVWIACVAVSGAILFLVMTGMLNRALPTKSQRDTWFEVNNQILNALFTLMCLYQHPKRIYNFVLLCRWEQKDILMLRKTYCKNGTYKPNEWMHMMVVVFLLNLNCFAQYALCGLNLGYRRSKRPPIGVGLTISVAIGAAAFAGLYNIISPLGKDYDTELTEVDQEAQTVLTSIQGGRPATTRSSLEKRYSFIQSEERRFVESRPEWVGGLMDFWDNISLAYLSIFCSCCVFGWNMQRLGFGNMYVHIATFLLFCLAPFFVFILAAGNIDNENLKAALGLTGVALCFFGLLYGGFWRIQMRKRFNLPANNFCCRSAEATDCFQWLCCCSCSLAQEVRTADYYDIAEDRSYTEQITARSQRVMTPLSREDGLPLFRSNPGSPYGSSTASPSIFIMESPPAPRRSPGPSPLGGSPTMGDRMMKAPTPSVFHRDGEPES >OB05G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15108013:15108171:-1 gene:OB05G27630 transcript:OB05G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRCCIFGSVRASVLLLWLTMECAGASCWSPHPALASSPSYFSAPSLVSSIVD >OB05G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15134494:15141034:1 gene:OB05G27640 transcript:OB05G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGPPPARXXASSRVDKATSHLLQGPDWAINLEICDTLNADRWQTKDVVKALKKRLQHRDPRVQFLTLTLLETVMKNCGEYVHFEVIEQNILQEMVKIVQKKHDMQVRDKVLVLLDSWQEAFGGPGGKYPQYYWSYIELKRSGIMFPRRPLDAPPIFTPPATRHAQPYGSPTYPAGSLNERMASDVETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIITELVSQCRSNQQKLMRFVSSTGNEELLKQGLEINDRLQGILAKHDAIAAGSPLPVETPRRDEIPREDPKVKSSAPPIVPPSAPPVEEDEDDEFAQIARRKNKSVIDSNEASSSAGDQALVPVDPATSETSSSVASNAMVPVDSSPASGTRTKEQDMIDLLSLTLYTPPEASTGSSSQSQNSTQQPVMSNGPELPPNYQPAASNGSHYSSNQQAHPTNQAYTPYNNYVAPWAQTGQGTQAGAYQPQPMQYASSYPAPPWAMPASVNSTNPFQPATYRTQNPPVTSAAPTNTYPTPSSPYAAPPRQHVPSPTRPMQATQQNSSFGSQTRNGPAMAQDARMNGTLRQPEAPAAAAKPYYMPDNLFGDLIDVKSFGGGKMSRTTSMPSPNGSSQPLLGGKK >OB05G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15137683:15143424:-1 gene:OB05G27650 transcript:OB05G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALVQGRANSSLSAAAAAAAPTPENAFAAAPKMPPFDYTPPPYDGPRAEEIFRKRTEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVDAIVNQAKRIQHSTVLYLNHAIADFAEALSSKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAVYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVIGSYLKERLNKLKEKHDIIGDVRGKGFLLGVELVTDRQKKTPAKVEIGHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFLIDVMDIALSKL >OB05G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15154081:15155835:1 gene:OB05G27660 transcript:OB05G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPDDQRPERRLPRLLVAAQGGEGHLPGAAQLPRLRLRRREALRLVRRGCRSVPASAARCRRRRVLWPHRVWRPVPLLGEVRTRVLAPVPAHLPRRQRHLLDQHRLLPPLHQELPVGQPRRGEPRHELPRAERQVLHHHGREDAAGRHGEVLQGEGIPPPQCRRADARHPGDGAVPPGGRAHEPPADRPGVPRHVRGHPGHRSLRRRGQHRLQVHRALDQRAHDQPLHPARPADTDPGGAQGAGEHGQATGGKAGEPSARPRHHRRAGDGRVGVRGGRGGEQGGGRRRERLLPPGRRPGGAGESGYHDEVGGVRLLRRLDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMASLMAPMAGAFFLLLDPRDMFLYTSTAVVGTCTGAITSVAVSATSELFGRKNFGVNHNVVVANIPVGSLCFGYLAAFLYQKEARGGNRCLGAACYRDTFLVWGSTCAVGTALCTVLYTRSRGFAGRLPSSGEVDAERSGGASN >OB05G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15171523:15172191:-1 gene:OB05G27670 transcript:OB05G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGGEAASKPQSPLRITHDGEFYARLLTKESSQGTPSFRYCAAGAGAVPFVWESHPGTPKVDATSSCMLASGAAADVPAITPPPSYHLRAAAVSASHGHSGRIKGGGGGGGGGRSSKYCGYYKLKWIKIGFIAAVFRRLALGKSRASSSSTVQPSPSTRWLFSGSDSVETSDHQAAPPSSSKGGLLCLSVRPSPWMVQFCGGRSIRRIDTGSWATSHGWA >OB05G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15177391:15182080:1 gene:OB05G27680 transcript:OB05G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVHTLSLLSTCYSTSLQGKKGIRSKCTGDWSRVHDDAGLVVETDKAIWSDGATDMHAWSQIPVKGPTFDPLLSRDAVWQLGVVLVFPSSLHSPTLFRVSKHRQELELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADNLKLQIEKATSEGGASAKGHNDAVKVHPLPDTERKCSDHTEQPTESAKASQSPLTTLIMLNQAKAYLNMDTVKMIKSQIDEEKRSLEKTRERLQLNKAKASSLEADLDRAVAQLQSAKAPKPTLEPSDIWLKMKQLNSDKEKHRKIAEDSKYEIGELTATIEHTKSRTKTLQFRIVMAEKLKEASKRGEALALAERKNLSNGQDEATTASDVTLSAEEHSVLVQKAEEADATSRKKIDAAMQELDQANHGKLELLERVEEAMAAVETSRRALEEALKREESANKAKLTAEESLRRLRSEQIIQNWRPSSNSSVKFKTAATAVVAHRRAGSGIYDVNGLSLVTAAPKSTKAMSIGQILSMKLDHRELEVAGKTGAAKKKVSLGQILSQKYDALSPLRIDHGGGASRRQFYPRRRRLGFVVYALLLAKHRRRKRQPAPGPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGSGGPPPAPPPAPMAASAPRLYISYTFLFFFLDQCVVSV >OB05G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15186723:15188010:-1 gene:OB05G27690 transcript:OB05G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEAQLLPQAHPPRREPQHNDPQVERATAFGKEVIGITGSAIVAGVSGYKDIAKGVSLLFKAGGFSLLITFVLAVVLMHFQMHLPPGALHSRCTDLSTAVLVSLTSVLLVATNGMFVALMNKDDTTVVVILVLPVVLVLGMLAGADMPPGDAAAVAQDEAYEETMKSNGELASFGATAAFAIEAALILGYLKNPLPDGCGSSAPQVDLAVASFASTVSVLAMTVTALPVRTLFPSAKARLVAVVGQLKCAMLAALISTAMILAVEFLQWWFMLSLLPEAIAIALNVTIMAWTEGRAAAAATTIDGADEAEAAAGGTRERTVKVFRNVATMSFTLMACTYAVYQGQKKYDVYLRAAMLVMLAAVVSSLRQMLRPFELDLPRARGWCAAAVGAVSLVFPGLALVFAVPLLVKIFVRYFGHVNINTCKYL >OB05G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15191261:15192550:-1 gene:OB05G27700 transcript:OB05G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M846] MEERSVLMQRYEIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKEKILKVGLMGQIKREISIMRLVRHPNILQLFEVMATKSKIYFVLEYAKGGELFHKISKAKLNEEAVRKYFQQMISAVDYCHSRGVYHRDLKPENLLLDENETLKVSDFGLSALAESRRQDGLLHTACGTPAYVAPEVLNRKGYTGSKADVWSCGVILFVLVANYLPFHERNLIEMYRKIAKADYKCPRYFSAELKELLYGILDPDPSTRMSISRIKRSAWYRQLISISALKGEIGKSCTSESPFSDPTTCIGSQINQEPSNLQNLNAFDIISLSTGFDLSGLFGERYGQREARFTSRHPSATVLVKLKGLAKRLKLKVTKTDNRVLKLATTKEGRKGILEVDAEVFEVAPSFLLAELKKTNGDTLEYQKLIKEDIKPSLKDVIWT >OB05G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15199606:15200902:-1 gene:OB05G27710 transcript:OB05G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNRPGRWIGGGSKLAVACLAARSCRSGCFAASGGGDEVRRFPDHFGRLDGAGAHSGDLLEEEGGGHHAGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPEAWRCKCRNRLYMP >OB05G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15209038:15209196:-1 gene:OB05G27720 transcript:OB05G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPPLPPLAPVLHLLLLLRFPSCDLWLISSWFIILLILLCSRTHVCCALILLS >OB05G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15215847:15217863:1 gene:OB05G27730 transcript:OB05G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3M849] MANARNAAAAPSSSSYSSSASDGEILRSLHRLARDLAGAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRASPADSAEHELKAGVLALIQEVEREIVPERERLESILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTVATPRPMDSKVDLDDDDDDADPPAPPMDFRCPISLDLMRDPVVSASGQTYDRDSITRWFGSGKSTCPKTGQVLTSLELVPNKALKNLISRWCRENGVAMESSEPSKPEPTPVVASNKAALEAARMTASFLVKKLSVSFSTAAANRVVHEIRQLAKSGNDTRAFIGEAGAVPLLVPLLHSEDIATQLNAVTALLNLSILDANKKRIMHAEGAVEAICHVMGNGATWRAKENAAATVLSLASVHSYRRRLGRNPRVVERVVLLVRTGPSSTKKDALAALLCLSGERENVAKLVEAGAPEAALSAIGEEETAVAGLASXXXXXXXXXXXXXXXXXXXXRRGGGGWVRGGAAAALVLLCRRVGAAVVAQVMTVTGVEWAIWELMATGTERARRKAASLGRACRRGTAAAAAAATEHPTEYTTSDIAAPAITAS >OB05G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15226792:15229340:1 gene:OB05G27740 transcript:OB05G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNATKTRGEKNTDGGHFRPDVAKGTFDIKNEKKENVSGNGNRDREPPPPPSPPPPRGVDDRLSSLTLSPTRSRRRRHRVGPVRLGSTETDRVELVLAPPPGEHNDCHCVRGQDQEHVADASGSSRRRRDMFMQRDGGRGREFGRRTTVDVPEVNTVDVKVITSDADIIIDLGGDDDTPERVLRPIMTRSARKRLDWCEQAEVKHVDLAELMTPRASSASEQSSTGGKPRRSSVSSRRRLKTRTNSPRLAACRKCKPTARPSTLPQQQQQPPPPLADSFAVVKSSSDPRRDFLESMEEMIAENGICGAGDLEDLLACYLSLNSAEYHDLIVEVFEQVWAGLATASGAMP >OB05G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15234602:15237296:-1 gene:OB05G27750 transcript:OB05G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:J3M851] MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPSGPRPGGPGGPGAPAAGPGGAPNVARP >OB05G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15241439:15244588:-1 gene:OB05G27760 transcript:OB05G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLAREASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRVPCVKRGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNAFLDGQSLSFQVTASDGRTVTSNNVAHPGWQFGQTFEGGQF >OB05G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15245657:15246167:-1 gene:OB05G27770 transcript:OB05G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGHHQMLVWRTVAFTETEEGGAAQTDGSPQGQVFAHFHTLVHLNTCTHIIEGLKKPVIWWH >OB05G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15249866:15250183:1 gene:OB05G27780 transcript:OB05G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPSGCTMPRRHSSSSRSCSSPPTSTGTGWSSIRVFFPLMASEGVLQCRWVSSRDYGAGIRQLACTGAAACVSDASQCQPGPGGAGGLLDFASDQVSCYAAVY >OB05G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15256685:15257226:1 gene:OB05G27790 transcript:OB05G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARSRGAAAAALLVALLVLLPGTGTVTVARAALSCSTVYNTLLSCLLYVCAVQRCGADAYLPRRLHLPQEPRRRRLRRALHQPRRRAARPVRRLRAVQDQPQRQLQRGKLRAPLLGHKERGLKDETGPWECAPTCSRQ >OB05G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15260157:15260594:-1 gene:OB05G27800 transcript:OB05G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSREEEGGGGGGGVVVARYSLDVSEGCGGRHSALLDEYERMAFEAQLNRAILRRCYSEPSPARFPVVPVPPPLQRGAEEDDGSCTAPWRYCRLHEAVSQWLEAGKPGLCWLRSAWERRQMGRAAAARGPPPTVPRVQLMDYLC >OB05G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15262275:15268409:1 gene:OB05G27810 transcript:OB05G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYVYAVVDVFIEIKGAPAPTAVTAGGSDKQSENSSNAPNPVTKEHHHQTPPPEKPAKDSTPPPPGVSESKGPEEDARKDSGHPVPPTDVHTKSPTPEGPGPTGGMEREGGGSGGKTPAEETRKVLKCEDPMDKCFVPGEFTACLQVSQDDSTGSFVIVQNEGQNNITVNVEATPNIVVDSKLPLHLTKGISEEVKVTYSNPNDGEITVKSGTRQCSLHTKQAVFDWQQQFQQFAAYATRMNPIYGASFLVFTVVLVGVVCACCKFARRRASGVPYQQLEMGTQAPNSSGVENTTSSVDGWEDGWDDDWDEEEAPAKPSDKKPSGSISGNGLSLRPQTNNKDGWDVDWDD >OB05G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15273066:15275814:1 gene:OB05G27820 transcript:OB05G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALERLDLSGNRFVGSIPDGISGCKNLVEIDLSRNALTGELPWWVFGLALQRVSLAGNALSGWVKAPSDNASALQGLDLSGNAFSGVIPPEIASLSKLQHLNLSSNTMSGQLPVSIGRMALLEVIDVSRNQFSGGVPPEIGGAVALRKLLMGSNSLAGIIPEQIGNCRSLIALDLSQNKLTGPIPATIGNLTSLQMVDFSENKLNGTLPVELSKLTSLRVFNVSHNLLSGNLPISHFFDNIPDSFILDNAGLCSSQRDNSCSGIMPKPIVFNPNASSDPISEVSPGAPSSQHHKKIILSISTLIAIVGGALIVVGVVTITVLNRRVRTAASHSAVPTALSDDYDSQSPENEANPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDDFRRQVKLLGKVQHHNIVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHECSAERSASWMERFGIVIGVARALAHLHRHGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYGFGVLILEILTGRRPVEYLEDDVIVLSDVVRAALDEGRVEDCLDRRLSGEFSMEEAMLIIKLGLVCTSQVPSHRPDMGEVVSMLEMVRSSQGTPEDELV >OB05G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15278590:15279633:-1 gene:OB05G27830 transcript:OB05G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIATGATPAASVASELIAQGRESAAALEALLQGASLPPAHGGLQSLAAEILRCCDRALAVMRGDEAESSTGGGAAAACGVKRKTAAAQATRKRRVGGGGAAPATRVETARTSEDGFLWRKYGQKDIKNSKHPRLYFRCSYKEDDGCKATRQVQQSEDDPSLYVITYFGEHTCSGKTAAAAVDDVDDDDAASSRHFVINFGSATASSGAPPLLYSSDSNGGVLSETTLSSSPPQSMRSPEQDREDSGVMMTKEEPVDSRPASAGSSPADDASCASLAMEPLIDNLNWDKFGDSSFVDIDEFMNFGEIDLFQI >OB05G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15283742:15286737:-1 gene:OB05G27840 transcript:OB05G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMPNSERSPSPPSPLDQRDAAIQELQKGSDLVKLLGEQLGRLPVQLGCRDDALTSVRDISMSLDSSLYALQSERDQHRGSSSGAGGPGAVTSDGGGGRSSTRARRTTHRRGKHGEEHPRRRIFTTTTPENDGYHWRKYGEKTILNTEFPKLYYRCGYSDERKCQAKKYVQQANRKHPPEFTVTLTNEHTCNTLLPDQPSSSSTSQVLDFTKASMSSPMDPHADTAMLKEEEEEEAPSIDESTRIMSTSVSSYGAYDYNELSPQSWK >OB05G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15296428:15297582:-1 gene:OB05G27850 transcript:OB05G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMALAAGELNALPTQLVTEGRESAARLHDLLIGCVQATAAGSTGSSTLYDTVALAEQILLCFDCALAKLHAVAAGAEEDDAAARSGGERKTERRASNGGGNGGRVQRKATMDDNFLWRKYGQKEIKNSKYPRFYYRCSYKDDHGCPATKQIQQSETDPSFDITYFGEHTCRPGNDDAAAAIVDSSEELSAAQLVISFANSSGDASVCWPCSGDDARIKSDSSQDSSLPCTVNVYDEPIMESTPPVPGLPDLKPMDGFLLDGESLFDMDELIYFHELSAALGLLDRDDGAPVQSYR >OB05G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15300486:15304854:1 gene:OB05G27860 transcript:OB05G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANVGIKAAAMQCDAFAANVYWDRILFKGVIRIQARLALTWPDALLALRACSSFRVLAHFLDPVATDVRAVPMSLEIRTVINKIIGRIGRQGGATWIVLSHTHTATQPQRWRYSLNHNFPNRISFPRQPRSNFNRISLPNTAYGVQPKIGDPTSTAFSDICKMTQGDPLTYAKTLPTTSSDICKRRETRDDTEGDTVTKVEDRDDTEGDAVTKVEDYPSEMQLMIKNQGVGTVGERAPGMGLGGGRTLVGDGSGSGRHLRTLNKY >OB05G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15313167:15313811:-1 gene:OB05G27870 transcript:OB05G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVRLPARERRAPTDAAPGAAAGAGGGTTVGVRASATGARAGGGGRRGSFDDRRGSWGGSRHESFGDRRGSRNRPRASGAVAGVGDGVAASAGHRGRRPGQETGGRGRRGSWGDLERTARELGVILLGRRRLGMTPFVLFFFPVFSFIYYLIFVLNQFSLFLLESVLFLLYDFFYLNQFSFSFIYCMIFFKKIAFGGVLGILTKGDHNNYLL >OB05G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15314439:15321240:-1 gene:OB05G27880 transcript:OB05G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMFNLPERLDRLLHRHGSILPKGAENEIPLIKQDIEQIISILDLHRHNNNTAKLEDHAMVVRCWMKEVRELSYDIEDCIDQYEDYAEQQYEHVAVLPVYRSYTRRRKLLRRRRGGSKQIPWVPEKLKQRLWMANKIREFSLRVQEALQRHGDAVCSLGGTANTNASTARDDASSVCRERAGGVRHVGLDAAINNVQEWVTDGEKKMLRVASIVGAGGVGKTTLADELYRKLGRQFECRAFVRSSQKPDARGLLISMLSQVRPQQPPGNWKLHSLISNIRTHLQDKRYLIIIDDLWDICTWDIIKCTLPDGNSCSRILITTEIEDIALQSCSHDSEYIFKMKPLGEDDSRNLFFSTVFGSHSNCPPELSEVSYDIVRKCGGLPLAVVTVASLLATQLQKQEQWDCINKTLGYSLLTNHNLEGMEQLLNLCYNNLPEHLKACMLYLSMYQENNIIWKDDLVNQWIAEGFICPIEGNEKEESSRAYFNELIGRNIIQPVHINDNGEVLSCVVHHMILNLIARKSTEENFVIAIDHSQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLGFWGVLNSMSFIVGFQLLKVLILHFWGDEDAISFDLTKILELVQLKYLKVTSNVTLKLPTHMQGLQYLETLKIDGKIGAVPSDIIHLPSLLHLNLPAKTNLPNGIAHISSLRTLEYFDLSCNTAENVQSLGELINLRDLQLTYSPIHSDNLKINMQYLGSILRKLGNLRCLSLSPPGSSFASTLHIDSSTRTRISVDGWSSVPSPPALLERLELLPCVCIFSSLPNWIGQLGNLCILKIGIREITSNDVNVLRGLLALTVLSLYVHTKPAERIVFDGASFSILKYLKFRCSVAWMEFEAGAMPNLQKLKLGFDVHRADQHDTIPIGIEHLSGLKEISAKITVNCTADDLCRRFAESALTNAIRMHPGRPSVNIRFVDSIFDDTKDNSNVWTQEEAHGTLQKQQYWVYHRNTKDNSNVWTQEEAHGTLQKQHHVVKEGLKEKSAVLQKDPGEGETKNIESRADSGGEFFGPTFHSVGVYMDRKFGLDNVHVDDGGRSRRRSSIPYFSRVTRTGPPQQEGSESGTAPVFIPAEEAEANELIYMPLPPPVPSSSPEGDTEVGERETSGGKGSGSGRSSYGGFFGPTFLAVGGYMDRRFGLDSDSDVRRQEEAHGTLQKQHHVVKEGSREKSAVLQKDPGEGEAKNIESRADGGGKSYCNIYVDDGGGRSRSSIPYYSRVRRTGPPRQEGSESGTTPVFIRADEVKVIYTSPSGSSSPEGDIEVGERKTSGGKGSGSGSSGGGGGFFGPSFHAVGGYTGRKFGQDRE >OB05G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15322677:15326766:1 gene:OB05G27890 transcript:OB05G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFLKAVMGRLFMALEKEYNKHKGLSQEAQSLQQDLRMIAAVMDDQLRALGRTNDARARTAVARLHAEEMLDLAHDIEDCVDRFFPPPPPPRLTCRRQRGAGAGAGPGASSSSLVRRMAHELSKVQSRSSFADEIQKLKRRIREAQQRVIDIKPIVDVITGGGGGGDGQPTGAAGSSSTLPCRAARSPVGLVEPVEELLSLLDEVDGQPEQTRVISVVGFGGSGKTTLAKAVYDDPRAKDRFPCRAWVTVASSPENSNGTTAILRAVLQQVLPKDDAMGVDEQHDLETSLKEYLKDKRYLIVIDDIGMDQWSIIRSTFEDNGTSSRIIVTTMIQSVANMCSHGNGYVYQMNTLGEEDSKELAFPGFRSPELEQGSASLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKEQDGHYSFSKLSKVLHDNYDNFSGYTLSCLLYLGIFPNNCPLKKKVLIRRWLAEGYARSDSLRSEEDIADENFSKLIDRNIIRPVDTRNNSEVKTCKTHGIIHEFLLNKSLSQIFIAKSSRDHPRLSVNTNARHLSFHADQLTECVASDEELSRVRSLTIFGDAGEAIFYVQRCKLIRVLDLQECNDLDDDHLKYICKLWHLKYLSFGGNISELPRSIEGLHCLETLDLRRTEIKFLPIEAIMLPHLAHLFGKFMLHKDDVKSVNKMSRLQKFFSSKKSNLHTLAGFITDESKGFLQHIGDMKRLRKVKIWIKHVAGSNNYIADLSQAIQDFTKAPIDRDIDHSLSLDSEECPENFLSSLDLKTCSEGSKYALRSLKLNGKLHQLPPFVTLLSGLTELCISSATLTRNHLSALINLNRLLYIKLVAYKFNNFEIKPGAFPSLRRLCFVVKSVTSALPTIKHGALPNLISLGLLCQGLVGLSGIEITHMKHLKEITINSGVDVQWEQAAKNHPNRPKVLFLTKVSLVEREDNERTCAVREQSKICKAQPTSSDGLNKMRLSEASSSRLRMIVQQSTGGLNCH >OB05G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15333685:15333894:1 gene:OB05G27900 transcript:OB05G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFSPSQLDTNGGAVAAPASSGSTASSCTAGAARSTMITTELRSIRLTRAVVAVAGGGRTPAPVRSTS >OB05G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15333827:15335234:-1 gene:OB05G27910 transcript:OB05G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTATSSPSLSLSPAAMQSGEVKMRFGRCPYCRAMVYQNPKAVIFYCSRCRTPIRGKNPEPTDETEQALSRLEILSADSASVFSDEPEDVGSDRRVVDEVRLPSSRRTRRPSSSSDWTSTTTDSERSEEAFYTPRNAQDGQSWQSPSPSPMSSQEVGGSGGGRPDEPGATAAARLMDPAFHKELLHALDNLRSLIITIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGAPAQHRPIRTCSAPGLVSDHHLPLPRRLE >OB05G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15337392:15339285:1 gene:OB05G27920 transcript:OB05G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01920) TAIR;Acc:AT5G01920] MQLALDSALGRRSLKMSDFVVGERIGEGSFGVVYSGAVVPKGGPAPAARVGKAKTRLELDDRFKEKVILKKIKVGTAGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFIKGGKWLVWKFEGDRTLGNYMSDRNFPFNLEGLMFGRAVQGLDSGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNSELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLISQRGANKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >OB05G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15339663:15340839:-1 gene:OB05G27930 transcript:OB05G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3M869] MGCCGSSTVDAEDHLDYSAGNVTVVTDQKCWDSKMKEVDELGKTVVVKFSATWCSPCRNAAPLYAELSLKHPEIVFVSVDVDEMPELVTQFDIRATPTFIFMKDKEEIDKLVGGNHGDLTDKFEQFNRPKLYDDV >OB05G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15343265:15345884:-1 gene:OB05G27940 transcript:OB05G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLILITFLSASFFFLHIPCARGADLNSDRQALLTFAASLPHGRKLNWTLTTQVCTSWVGVTCTTDGKRVRELRLPAVGLFGPIPSDILGKLDALQVLSLRSNRLTVSLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGQIPLKVQNITQLTALFLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQNFPASSFLGNAFLCGLPLEPCPGTTPSPSPMSPLPQKPKKSFWKRLSLGVIIAIAAGGGLLLLILIVALLVCIFKRKKDGEPGIASFSSKGKSSAGGRAEKSKQEYSSSGIQEAERNKLIFFNGNSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNTVQLRAYYYSKDEKLLVYDYITPGSLCAALHGNRTAGRTSLDWVTRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRATQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDADLLRQPNSEDEMVQMLQLAMACVAILPDQRPRMDEVVKRIEEIRNSSSGTRSSPEDKPREEAIQIT >OB05G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15355523:15359329:-1 gene:OB05G27950 transcript:OB05G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGAEIGKNDYDWLLTPPGTPRTPRLEVAEKTPSSNILPRRTATRSSSTTRASRLSVSQTENGLSTVPARPARSNSVTRPSIQSTLMSSSNRTAVLNTSISSVSSRPTTPSRRSSTVVPPKQSVAASRPVPARYSTPVKTRPSTPAKTRPSTPVRTRQAANSTSDSTAAKITSAQNSRPSTPTSRSRAMPNSSSGAIPAMSRPGVIPATSRGTVSATSRPGSSSSNVPGISRATSLSSSTIPSMSRSTSRSSTPTRQPAIRSSAPNIGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPGSPSPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTPSNESSAASAPVKKVSVPAMSRSKFSDAPSKTPTLTNGRQNRQTERSSMDSQPTKGSRPVTGTDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGAALFPHSIRSTAGKGRPARMSDPGHTISNGDRHYGDNGSINGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDAKPDQSPLFDHRFEPLPEPFSPL >OB05G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15356841:15357374:1 gene:OB05G27960 transcript:OB05G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRDLPADNGRSGSFVLRFGGVSFGKSGISSGRTGARGRGLGEPGRDDGADEGAEFRPPFAAGRLIDDVKLLMLELRPTEGERPIFGADERIAGWRVGVDEREVERLIEGIVLEDNEVARLIPGTLLEDEPGRLVAETVPRLVAGITPGRLIAGIAPEDELGIARDLEVGVDGLEF >OB05G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15365426:15366098:-1 gene:OB05G27970 transcript:OB05G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPWGCFVVVVVVAVAGGGGGFVLVERFHAVKAELGYSGKHTVSPGRRCAKLTSHDDLLDSPCKLHGLMPGERNWSTDHLGGSDESTCESATALLRGLLLSLSVTGVLIMEIQDKAERVKVQ >OB05G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15367492:15368865:1 gene:OB05G27980 transcript:OB05G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQIHQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQEYERKVKQVDVGRKIEYSTQLNAARIKVLQAQDGVVGEMKEDAGRGLLRVTKDATAYRKVLKGLVVQSLLRLREPSVVLRCREADRGHVESVLEVAKKEYAEKAKVNLPKILIDGKVYLPPQMNTRDAHGPSCSGGVVLASQDGKIVCDNTLDARVEVSFKQKLPEIRKKLFSQKVSQ >OB05G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15370482:15373428:1 gene:OB05G27990 transcript:OB05G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear shuttle interacting [Source:Projected from Arabidopsis thaliana (AT1G32070) TAIR;Acc:AT1G32070] MAPAASASTVGVAPPSLRCGACVLTATVACRMHARGKFPARRLLHGQAQVRNRVATTWSLKAGLWDSLRSGFLKGNNSTETVEPPPTPFEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVDVYDLQALCDKVGWPRRPLTKIAASLRNSYLVATLHSIIRSPQAEGEESKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >OB05G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15382251:15392464:1 gene:OB05G28000 transcript:OB05G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFRAVLCAVTLVVLLPFLEAEDEKSTDPNEVIALMAIKGGLVDPMNYLKNWNRGDPCRLNWTGVFCHDTNDAYLHVTELQLFKRNLSGNLVPEVSLLSQLKILDFMWNNLTGSIPKEIGNITTLKLILLNGNQLSGILPDEIGNLQNLTRLQVDQNLLSGPIPKSFANLKSVKHLHMNNNSLSGQIPSELSRLPTLFHLLVDNNNLSGPLPPELAAAPALRILQADNNNFSGSSIPALYSNMSTQTLVKLSLRNCSLQGAIPDLSAMRQLGYLDLSWNQLTGSIPPNKLASNITTIDLSQNMLNGSIPSNFSGLPNLQLLSVKNNNLDGSVPSTIWDGVDLSRNRSLVLDFQNNSLQMFPAGINPPQNVTVLLYGNPICENSDGTLVTNLCRPQSVNLETSKQKTSTTMVCGTCPTEKDYEYNPSFSEQCFCAVPLGVGIRLKSPGIKDFRPYEDAFKIDLTSLLQLFPYQLYIDNYIWEVGPRLDMHLKLFPSNTSLLNMSEIVRLRHVLAGWEITLLDVFGPYELLNFTLGSYENEYPNLASSGLSKAALGGILAGAIAGAIALSAVVTALIMRKHSRTKAISRRSLSRFSVKVDGVRCFTYEEMAAATNNFDLSAQVGQGGYGIVYKGTLADGTVVAIKRAHEYSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMSNGTLRDHLSAKSKQHLSFGLRLHIALGASKGILYLHAEADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVQGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVKKAYQSGNISEIMDSRMGLCPPECVQSFFVLAMKCSRDETDTRPSMSDIVRELELILKMMPEAELIPLETPETYSGPMTKSTSNSRNGNYLVSSQTFTSADASSSVLSGMVTPR >OB05G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15390409:15395157:-1 gene:OB05G28010 transcript:OB05G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G58280) TAIR;Acc:AT5G58280] MAAEAGSAASGAAYEEERRKRVLENLKQLEDLGITKMSKSLLQAARLQKSTRASPKQRRKFEATEVRRSSRARNSVSYKDDDFGELDSFLRRRRGSRNTEQGRDYTGRIASYEQQQSAFKRAERLQNRLDPENPSFVKTMVRSHVSSCFWLGLPSRFCKLHLPPREFKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDCDSLVFELVEPDRFKIYIRKAYDEDADESESVDLEADGDKKDASAKDATEQNDSPNAESLKGAKRRKLRARR >OB05G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15397896:15401859:-1 gene:OB05G28020 transcript:OB05G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRSMSPQVRAPGPPPDAGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERLPPASPNQMHPSQIMPNFCGNGFGPWNGMRPERVSFSQGPISWQGSPQSPSSYIVKKILRLEIPTDAFPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREESPLPGSASPFSNGGMKRMKQ >OB05G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15409736:15412135:1 gene:OB05G28030 transcript:OB05G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAILPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDNWDMAMARRDKALLRQAQASGN >OB05G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15412613:15415239:-1 gene:OB05G28040 transcript:OB05G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRPWEEQTPPPKVGGGGGGHRPNQRRGEARLDRPSSNPDGGDGVPEEARDAEVGHLRGGPXXXXXXXXXXXXXPDRSLAAHAMLASAARGDVPAFFAAAAAASPLQPDDPTLDPIAQLYTSTRGAKDDPKNEQLKSNEAKHGFWGVLAQQAKVMLDENGGTDDGRDNHSLTSQSRWSYDRVRKPENPTLDIGGKIKNALEEGLTKAEGSSRAGGDAVHGRKLQIRRKTCSMDFRNINLGLASPDMSPMMSDTESPQIKASRDVASAMAAKAKLLQRELKTVKADMAFSKERCAQLEEENRLLRDGNHDADEDLLETLLAEKARLANENTVYARENRFLREIVEFHQLNMQDVVDLDEDMICEEEEDDDAGAGQQYGCHLRVHEAGGLGTAPQSPLGHAGRMRMSRSNSGAAESPMRRSFVGAPETPPTRRSLKEEDVDAPETPPTRRSLKDVDVDEPATPPTRRSLKDVDVDAPETPPTRRSIKEDVDDAPETPPTRRSFKEDTGLPETATTPTRRSSNDEDHGAAETTTPTLPSFKDHNGTAEMKNED >OB05G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15417335:15418945:1 gene:OB05G28050 transcript:OB05G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11900) TAIR;Acc:AT1G11900] MPSRPRAARAGGGGXXXXXXXXXXXXXXXXXXXXAELRSGPRRLVRASFLDESPSIYTSPAMAVQYHQCSSSEDRDDDEVLAAFNRDCCTDSDGGVMDGSACTAYVEKLCRSGNFSVAVRILRHLHDKNIHVGLNTFNMLLEQTGEVNFILFAKVFRYLLLSKIAPDLTSYKNVAKALQTLDEYELILKFVRQTLEITHDRDPTVMNCIIFAMAKYGHIDKSLIIFKELKKDQRGLDVVTFNTILDMLGKAGRVDQMLHEMTLMDELGHSPDIITYNTVINCLRRLGRLDQCKIFAREMIERGINPDLRTYTALIDIFGRTGDITEALEMFDQMKRSYQPSIYVYRALISNLRKAGQFELAEKLSEEMKSSASELLGPEDFKRKFKGRKINKNKRDNRK >OB05G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15420438:15420599:1 gene:OB05G28060 transcript:OB05G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSKVRVFWWRVLHDKLYIRHIERISFLLKPVATARILMCMFCWYVYQYMT >OB05G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15421528:15423788:-1 gene:OB05G28070 transcript:OB05G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSALEMAAAQGGAAGESVLDMLRYAVLPIAKVFVVCFMGFLMASKRVGLLKPSGRKLLNGLVFSLLLPCLIFAQLGRAITFDKILQWWFIPVNIALGAASASLIGLIVALIVRPPYPYFKFTISHIGIGNIGNIPLVLISALCRDQLNPFGDSSRCTQDGNAYLSFGQWVGAIIIYTYVFKMLAPPPGMTFDSCDEEKEKLPLKAPNAMPGVEKYPSSAHDDTHEEEPLLYSEEEQDVPSLGSKIMIPIKCMVRFLQKRQLLQPPIIASVLAITLGAVPFLKNLILTDDAPLFFLTDGCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTIAIIFARLVLVPIAGVGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLAMLF >OB05G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15443069:15445201:1 gene:OB05G28080 transcript:OB05G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSLAPSKHPGETYLYWLRRRPALYVTDPELIGEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRRVIAPEFYMARVRSMVQIMVDAAQPLVATWEARVDGAATAGRRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTVKNRRIWRLTGEIRSLIMELVRERRDAAAAAREHGGKTPPERDFLGSIIENSGEQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLENLALVEVKTLVAVVLARFAFTLSPEYIHSPAFRLIIEPEFGLRLRIRRAATTQPRTPAAPNA >OB05G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15446951:15453322:1 gene:OB05G28090 transcript:OB05G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEARTEVRGRVKLLGVVRRSAVARSLCRVVIGVADVKESAVNSVRKLQRREISSSRDRAFSMSAAQERFRNIQLQEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNRRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRASSSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQSTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEENAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >OB05G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15455979:15460473:-1 gene:OB05G28100 transcript:OB05G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFSWTLPDHPKLPKGKTVAVVVLDGWGEASEDQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFTYIKECFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHFLTDGRDVLDGTSVGFVETLENDLSQLRAKGVDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEASHKFQNAVEAVKTLRAETKTNDQYLPPFVIVDDSDKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDQ >OB05G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15462452:15463756:1 gene:OB05G28110 transcript:OB05G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGIQHAQRPRRVPFHLHRTTAPPASRASASASPVSSGRADVLRLIHKRLPCFVDWRRMRQVCHSWRDAVKEKQQPPPSPLPLVLLPIAGGPLFSCAAGGCGTDRFDVPDYARAARYFGAYGGGWLFLNFGNNYGLLSLRTKQRILLPEIFHLQWLRGYNRRIFMTAATLSSPPEDERCIAAAIGSYCRYLPLANPRVHAFWRMRSEDAVVPTINRGNTGSTLEDIIHHKEAFHFLTAQEHLHVFALPEFKVDGFGNLEIPPMEIRRFARDSRNYGEHAVVRYLVESRGNLLMVVRIVRPLPVLPPALSFRVFEMVEPPPGTPINNEETPYAWNELESLGGRMLFLARGCSKSYEVASYQWLGFSDTIYFLDDGVDERTYNELKVFFDFDATEREYPCRDIGRWLPAVEAGPRVDKFLPEQGPSNYSPPAWLLP >OB05G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15467760:15468985:1 gene:OB05G28120 transcript:OB05G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGARNLRFIHERLPCLVDRRHMGQVCHSWREAVGPRQHPPERPLPWILVPRADGPSFSCVLGGCGGHGFDGVPDVARTARYFGAYDGGWVFLAFHQIIHHAVLSLRDVRGRFRLPDFARQDIAVRDFGRPVPVRNIAMIMVAATLSSPPEDEHCVGAAIIIYWPLEFGRRAHAFWRMGHEYAVMGHGPEDINEPALEDVIHRNGAFHFLTEEENLHVFRVSEFHDDGNKGNILKVAPKVIRRFSPGKRNYGRRAAVVRYLVESRGSLLMVVRLVSHPRPEPPTTAAFRVFEMVEPQRRDSMCTWKELKSLGGRMLFVARGCSRSYEVADYPGAEFNDGVYFLDDGRIYHEGTLFRTRRDVWQYPCRDSGKWLPVAGGGSAPPRVDDFLPEQGPSIYSPPVWLLP >OB05G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15472976:15474169:1 gene:OB05G28130 transcript:OB05G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNRKLRERELRLIHERLPCLVDRRHMGHVCRSWRATVKEKQPLPWKRHLPLILVPCADGPSFSCALSGCATHGFGRPLPDAARGARYFGAYDGGWVFVTFGKRNDYALFSLRTHDVLRILYPYVAWNTVAATLSSPPENEGCVAAAIGYLTPRENGPRVHRFWCMRHQVTARRTMTVPRIMSGAALEDVIHHKGAFYFLSGEENLHVFPVPGFREDDKGNLEVPPMEIRRFSRGGRNYGGDGDVVVRYLVESGGNLLMVVRLARGPLPVAPTTSEFKVFEMVEPPPGTPNNNDDDAPYAWNELESLGGRMLFVARGCSRSYNAADYPGAEFKDGVYFLDDGRLYRELAMLEAAAGERQYPCRDSGKWLPGAERVDRLLPEQGPSNYSSPVWILP >OB05G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15475739:15477366:1 gene:OB05G28140 transcript:OB05G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLDFLAASPRLASAASPTETLNHALGHDELLLILERLPCLLDRRRVGQLCRDWRAAVSAPPLPWILLPTADGPSFACALAGCPAHRFRGPYATRAALYFGAYGGGWAFLAFHQTGDMALVSLRKRVRIDLPDRVRWDGVRQYVCGYGMVAATLSSPPENVNCVAAAISFFNSKAGPCAHTFWRLRDEAMVMTTGPCAQTFRGRRVQGIFITTGPQENLHFHTETWPALEDVIHHKGAFHFLTVEENLNVFSVPEFHDDGNGNLEIAPMEIRRFSTGRRKYARDAVVRYLVESRGNLLMVVRLTTGELTLPPTTSAFKVFEMVEPPPETPISDDNEGPYAWKELKSLGGRMLFVAQGCSRSYDAAEYPGAEFNDGVYFLDDGRLYRDDQRQRYPCRDSGKWLPGEDRVDKFLPEQRPSSYSRRAWLLPHQYWFLNVEMVGVDDSDGIVGMWSLPELKLFADGMICTKRICSDLLLICLQSFC >OB05G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15481688:15486247:1 gene:OB05G28150 transcript:OB05G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPIHKLSYDELRLIHERLRCLVDRRRMGQVCRAWREAVAEQQHPPRRPLPSILVPRADGPAFSCPSAAAPPTASASRSRKTHAPRTTSAPTMHEDHALLSLRKDRNKQRFPFPKLVNLGKSVHKSVIIVFAATLSSPPEDKRCVAAAISSCYWLLSDARVHAFWRLEHQVAVLGTPFAGLALEDVIHHNGGFHFLSTEENLHVLSVQEFSEDGNGCLKIPPMVIRRFSHDGRDYDGYYAVRYLVESRGKLLMVVRLVPDPPPKPPTNSVFRVFEMVEPPPGTPSTTTRPCATTILLMLLNLFSSVPYCHDLFLDYVHDAQTDVTVPSNPSCFFNLPSKASHRLFRLREAKRPRPRPRPRPCLCNGDANPQAQLRRTAPHPRAPPVPRRPAPHGPSLPRLARSRRGAAAPPASPAPIDPRPARRRACLLVPLRGCATHGFGLPLPEDARAAHYFGAYDGGWAFVAFRRNEDHALLSLRNRKDRNKQRFSLPKLVNLAKSVYESDIIVFAATLSSPPEDKSCVAAGISSYWSLSVARVHAFWRLEHQVAVLGTPFAGLALEDVIHHNGGFHFLNTEENLHVFSVQEFSEDGNGCLKIPPMVIRRFSHDGRDYDGYYAVRYLVESRGKLLMVVRLVPDPPPKPPANSVFRVFEMVEPPPGTPTNNDEALYAWNELESLGGQMLFVGRGCSRSYDAADYPGAEFNEGLYFLDDERLRLHPRRSRMLKRRFPKYPCSDNGKWLPAAEADSRVDKFLPDQGPSNYSPPAWLLP >OB05G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15487797:15489099:1 gene:OB05G28160 transcript:OB05G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSASSAAQPSRPPRLASASPTAARDLRFDALRLIHTRLTCLVDRRAMARVCHSWRVAVKPQVPQPEEVPLPYILLPGDGGPSFSCALRGCATHRFSVPPFARDARFFGARPGGWLFLAVGHTDGNAILNLRTGRHFVLPEKVRLEDRPTEEDMVMVAATLSSPPENEHCIGAGILCCLPNVIDANIYAFWRVGADAGALAAVPVVANGDDVSNTWSMSNLQDVIHHNNAFYFLTREESLLVFPVSEFAEVDGGELAIPIFEDLLFPHYCGFGRYDTNDVVVRYLVESHRRLLMVVRLAPGPLPMPSPTSAFRVFEMVKRQTIPTNNEETEYGWKELDSLDGRMLFVARGCSRSYEVARYPGFKEGVYFLDDGRLYDELTKFTDDAERRYPCRDSRKWLASLEAVPRVDNFLPEQGPSDYSPPAWLLP >OB05G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15493220:15494686:-1 gene:OB05G28170 transcript:OB05G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNLAVPSLQPRMGMGVRAVAAGGGGGGGGEEVVLTVWRKSLLFNCRGFTVFDASGDLVYRVDSYAADSRAEVVLMDAAGVPVLTVRRKKAISHLGLGGDQWLVYPGEETRLPPLYAVKRTTQYVRGGGNGKTMAHVAPCGVRGGAGGGYEVEGSYLRRHCTRPTSAPAPAPARTI >OB05G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15504488:15507521:-1 gene:OB05G28180 transcript:OB05G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDGPDPAGGGGDGRRGAASLPLFILQVTIIVATTRVLVVLLKPFRQPRVIAEILAGVILGPSVMGQVSTWAKTVFPERSLLTLETVAHLGLLYFLFLDAAKSERQMDEEYLNEFRSRNLGNDAVLYVEQVVANSEETLSAIRNLDNAHELYIVGRHPGEANSPLTSALTEWMESPELGPIGDLLVSSEFSKMVSVLVMQQYVITAPLPAGVPTTEDPVRQYLTNANQRPSAAGGGGGRQQDGRRRTRRMERRGRGGRVLTSSDRELHASILF >OB05G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15508360:15511653:1 gene:OB05G28190 transcript:OB05G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFGPEEQIVWPASVLAGILMCAAVYDITRKVSSRCYKGYNGLNELHKVEWNNRGFSTFHALVAAVVSFYLLVISDLFSNDVHGAIRIIDRKSWMSDAMFGVSLGYFLTDLLMILWHFPSLGGKEYLLHHGLSMYAISLALLSGKGHVYILMALLAEATTPLVNLRWYLDLAGRKDSKLYLCNGVALFAGWLVARILLFVYFFAHLYLHFDQVRTVFRLGFYSILAVPPAMSVMNLLWFRKICKGMVKAMSSTGRSQCVKTD >OB05G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15514064:15515931:1 gene:OB05G28200 transcript:OB05G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGSNMQDSLGLMQFHDHQYLYSSSSSNLPLQQPLLYHHHQFVEAREGCTGEDDAPEFVEPAAAAARAMELRACKEVYSEGGGAVEERTAMAGAEQVVEEEEAHGVRMISLLMECAAAMSVGNLADANGTLLELSQMASPYAASCGERLVAYFVRAMTARLVGSWVGVAAPLAQPPCAAINAAFRALYNVAPFARLAYLACNQTILEAFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVIRVTGFGMSASVLHDTGNQLAGLARKLCMSFEFYAVAKRPGDADAVADVPGRRPGEVVAVHWLRHSMCNQYQRRQQIESMVSTKRA >OB05G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15515524:15518600:-1 gene:OB05G28210 transcript:OB05G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M897] MKDSIVKRLKDQLFVARAYYPSIAKLEGMEKLSQEMKQSIQEHEHILSEAICDADLPKLHGVNMAKMAKTIAAAKSSPTECTTFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKTLHCLSMRLTVDYFKSFADMEHSDTQKLENPVLQHYVIFSTNLLASSMTVNSTVINSEESANMVFHVITDAQNFFAFKNWFIRNSYKDATVNVLNFEDFQATHFDNRRVEHLSPSEEFRITYRSNTRKRDTGMRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSLIWNLDLEGKVIGAVQSCRVRLWHLKPYLVEFPYDASSCIWMSGVSVIDLSKWREHDVTGVHNQVLQKLQHGTEASWRAAVLPAGLLAFQNLVHPIEAQWIQSGLGHDYGVNHGAIKKAGILHYNGNMKPWLELGIPRYRKYWKRYLPRDDPFMIDCNVNP >OB05G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15522869:15535221:-1 gene:OB05G28220 transcript:OB05G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARTLLLAAAAAVLLATTAPTGLCDTNAIDADALRDLMQRWRNYPSSWSSGDPCEEWDGVICSGGRVTSLRLSSINLQGTLSSSVGLLTQLVFLDLSSNIGLSGPLPAEIGNLGQLTTLILYGCSFTGEIPKEIGNLPKLWFLALNSNKFTGAIPPSIGLLSNLYYLDLADNQLTGHIPISSPTSPGLDLLVQTKHFHFNKNQLTGTLTGLFNSNMTLLHILFDSNQLTGLIPAELGSITTLEVVRLDRNGFLGAIPSSISKLVGLKQLNLASNKLTGSVPDLSSMTKLNVVDLSNNTFYASVAPLWFTTLTSLTSVSMASGNLSGQVPKELFTLPQLQQVVLRNNALNGTLEMTGTISSQLRTVNLRDNRIIYANIQTYNDTLVQLVGNPLCADQDYSGRPFCSIRQQDNTIAYTTSTTQCSSSSSAAQCPDGQSLDPASCGCAFPYSGRMVFRAPFFADVTAAGGEPFRRLETSLSAQLGLRPGAVYLSDVHWDSDSYLQVQVRLFPSSGVAFNMSELLRIGFDLSNQTYVAPPNFGPYFFNADPHSSSPPLLGADGNKSKNTTLIKAVKAVGGVLAAPHEAAPPHPAPREEAPRRDGDGDDDDEGAPQVDWPRFTMDELNECTDSFSDGNKVGEGGFGSVYRGTLRDGTAVAIKRAKAETAPDLAREIMAMHRLHHRNLIRLVGYYYEKGELMIVSEFVSNGNLQENLRVRSESMNWHTRLRIALDSARGLAYLHEHIHPAIIHRDIKSSNILLDDNREAKIADFGISKLLANTNESHVFTLVKGTLGYLDPEYVTTNELSKKSDVYSFGIVMLTLVSGREPVQDGENIADKVRRAVENHDRDGLSDLVDRTIRDDSACTAPALQRFLRLALRCASSKAAARPFMGAVVKELEAILQSSSPAAGDSSSSSSSTSESEGAGGSRRARIPAASDAEGVGCSSGGSNTPDHTPFLRLTTYRVRVLLLANPSNSLANKRASHTPAGHPIESIDGDDDDDDASGRRRRRRSAGGGGGVRGEDPYVFFDWKVTYGTKTLLDAPQKVILINGEFPGPRINCSSNNNIVVNVFNELDEPLLFTWNGMQHRKNSWQDGLPGTQCPIAPGTNFTYKWQPKDQIGSFFYFPSIGMQRAAGGYGGISVVSRLLIPVPFDPPADDHMVLVGDWYVKDHAAMAKMLDSGKNFGRPAGVLINGKGGKDAAAAPMFTVEAGKTYRLRVCNVGIKASLNFRIQGHDMKLVEMEGSHTLQDMYDSLDVHVGQCLSVLVDADQKPGDYYMVASTRFIHDAKSVSAVIRYAGSNTPPAANVPEPPAGWAWSGNQWRSFRWNRPASAARPNPQGSYHYGQINITRTIKLLISRGHIDGKLRYGFNGVSHVDADTPLKLAEYFNVTDGVFRYNQMSDVPPAVNGPLHLVPNVITAEFRTFIEVVFENPEKSMDSVHLDGYAFFAAGMGPGKWTPAERKTYNLLDAVSRHSVQVYPRSWTAIMLTFDNAGMWNVRSNIWERHYLGEQLYISVVSPARSLRDEYNMPENGLRCGKVVGLPLPPSYLPA >OB05G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15536894:15539523:1 gene:OB05G28230 transcript:OB05G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDKLCEVNGMTYIQKEKRDQLEKQLKIMMREDEIKWFQRSKEKEIIEGDNNTKYYNAKANGSKRKDSIEYLEQEEGVIHGQENLMVYITNFYKNLFSQPDSIQIRMQEGKLEVERLNYGVITLIPKCKQAVQIQKYRPICLLNMLEGKGFPHKWNDWIMSVIRGGKVSIKVNNQEGRFFPTHKGLRQGDPLSPIMFDIAADALAILMEKAQNSGLIKGLEENMIEGGLMENKDSFMNFCRWRLVEKVLTNDWIAMRFRRALIGSKAESWERLKEKCEGINLSEDKDVLCNLARLVWSVIKWTFAVNMMNNRNDLFDNWMRGFNKATKNLVAIGVSAVLWSIWKIRNNACFQDKFPNDPVEIKGDRAGKLENGARLLKQVAMEIYDVKHGWGLKTKRLKGWAGSFFISF >OB05G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15541073:15547031:-1 gene:OB05G28240 transcript:OB05G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPVFLVAGPLVLLLAGAPAVRCQGQTTNAQDVDALQSVKSQWTNYPPSWNSGDPCGSWDGVMCTNGRVTSLRLSSVSLQGTLSGSIGQLSQLVYLDLSFNINLGGQLPAEIGNLGQLTTLILAGCSFNGKIPTEIGNLRELWFLALNSNKFTGGIPPSIGVLTKLFWLDLADNQLTGPVPVSTSTSPGLDQLVNTKHFHFNKNQLTGTLNGLFNSKMTLIHILFDSNQFTGSIPADVGGITTLEVLRLDRNGFVGAIPATIGNLVKLNELNLASNKLTGSVPDLSNITNLNVVDLSNNTFDASVAPSWFTTLTSLASVSIASGSLSGQVPKGLFTLPQLQQVVLSNNQFNGTLEMTGSISTQLQTVNLMDNQIVSTNTPSYKKALLLAGNPFCAEQDPNNKPFCSRQQQNANAYFTNTAQCSSAPQCRDGQNLNPTNCGCAFSYNGKMVFRAPLFVDVSNSAPFQELESTMSVQLKLLPGSVALSDIHFNSDNYLQVQVKLFPTSGTTFSVLDLSRIGFNLSNQTYKPPPKFGPYFFIADPYAPLAGARGDKKSKMGIGAIAGIAVAGGLLVIALIFMSMFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDSHEIGSGGYGKVYRGILGDGTRVAIKRAEPGSMQGAVEFKNEIELLSRVHHRNLVGLIGFCYEQGEQMLVYEYISNGTLRENLMGKGTYMDWNKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADRDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDAAASGGGSSADPPPNEFDHSRGGGGGGPPEHPYSDVEISRGSYAGDGASDYMPYFEVKPK >OB05G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15551601:15555994:-1 gene:OB05G28250 transcript:OB05G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRREGRRDGELAETKHVYSDERKYLLINDVDRMVLSFRSLKQMASTGRSMSLSLLLFAVTLSLLEMYRGKFASSELMTIAGGFVSSLLFLLLLTFIGNYQEANGVRTGWGAVVVAELVALIIAGTVHRVCITTCFLFSAGFLYEVDKLSGMILARSESKVRRH >OB05G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15556498:15556754:1 gene:OB05G28260 transcript:OB05G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDSLMEPEISLSFLEVATSRARGNMITVASSQSVLYICREVMVLTLHPLYCS >OB05G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15560186:15565382:1 gene:OB05G28270 transcript:OB05G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRSSSELTQSNNHGARSNLPVSTGRPLTPIFSGQSGSLSGFRHAGSLAPRGSSMTGSPTLGVQQPRGSISGAWFPSNSIPASIYQISHGYSALSNRGATPVWGNFGPRITTYVGNIAGRGNTGRTISSAGLSMPTVASPVNFSGSRALNIRGSNQMGGIHQQESPAMNMLGSSSSASGGALSKNQLQAGSSSSGSPGMGHDANFGENSPFDINDFPQLVGRPNSAGNGSLQHQAIGISPVQQNQGFRIHNEDFPELPRLEGMDLHRKDHLPKNANIMQAQHYPMGRSSDFNSGSSYPPRQQPKQTANSVQNTGLENTGPRPVNSPSSSLNPRPHEQVIQQNHEPQAQNSVRLQSSSGPEPHHAQSPKSSHGTDAAQDPYGLHGLLSIMKLKEEGPASLALGIDLTTLGLDMNSSDPLYKTFTSPWSSEPPVKEEYYYETPNCYSAKQPPPLMSLLFQKFHIVTLLYIFYSMPQDAAQLYAANQLYKYGWLFHKELRQWLKRVPDVTPLVQTTTYEQGPYIFFNPNIWDATSKGICMLFWETELLLWPAFVVAAKYCTVGCNQLNPF >OB05G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15565891:15569072:-1 gene:OB05G28280 transcript:OB05G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNEVSSPSVSLNNSGLHEHSDRHTNTPRKHGNAGMSKDNRSATGFKRLQTPINLFEDECIFCHSFRTSQFHGPMVHYRKGKLVSSDNDSPSDIIYVHKKCMEWAPRVYYKGDTVVNMEMEISRASKLKCTRCRHPGAALGCYYKHCNRSYHVPCAVMTLDCRWDVDNCFVMCPEHASEALPCDKVSSPTKENGNSSSISQSQSSIEQRNSTGCERKDCIIDQRNISSSLPQRQSSAKEGISAVHKREIDQPDTSCASFPQGQYLDKEVIYTEDWRKQKQNHLYTERNCPSDLWVLLGSALSPSEKDSLKEFASWTNATVANEWTENVTHVIVGKSGDSACSRSYEVLMALLFGKWVLTIGWIMNSMEELIPSPESSFELRFSHDSRTSIGGNKKGRNQASEGAQKLFSGLNFCLSAYINPDDRKHIQNLIAAAGGQVLKISGSHSVRENLEKAPAEPLYFVFDGGAPREFTPSLLDDLPKEMEEGIEHAACGAQVISHLKLFDTIAASDAHILNHKDHFTPYV >OB05G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15573400:15575544:-1 gene:OB05G28290 transcript:OB05G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEAIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKSQSKGAAPRGSKGPKIGGGGGKR >OB05G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15578032:15578651:1 gene:OB05G28300 transcript:OB05G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMGRRLGDIIALLALAFILLFPVLVSSVPTSRSLHLSSQQQHPSSLNLSADETVAVARGFTGRQAARMDVEVNDYPSPGANRRHNPPRGPGRA >OB05G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15591527:15593180:1 gene:OB05G28310 transcript:OB05G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPGGGRRLVAVVLALSCLLLPVLVSSAPLSRSLRMSSLHQHPPSLNFSADETAAARGLSRRPAARMDVEVNDYPGSGPNNRHDPPKGPGRA >OB05G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15601462:15601902:-1 gene:OB05G28320 transcript:OB05G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLHNPIKVTTANEGSGAMYMIQRTQHEFIDMYLPLLFLSLYQIILATRAEHCNITTYVTSKVHSRLWAMAHGGKSSSGGGCVCLLLDPAHLLSAPDLHPPLGAPARRAEDEHGAAVGRRHLPELRLELAVRVAELDGARPGGLQQ >OB05G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15602624:15605503:-1 gene:OB05G28330 transcript:OB05G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGRSDLFTTRTSFPMERHLFLHGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQGQTNVGTTKNALGGCTDVADRIPGTSALAMASANAIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPASLEDAKVKISELVSQVSNECLSNAVTEIRESSGIHGLEPRQIQFVESSANNCLSAAEGFIKEHRLQSHGVLKAYDDSTLFCQKRSQDQDSQYSLNRSLSERRTGQLYGGTEYHKAEGNESDTEVLHEYIATQKNGGGSTTSSTSGSKEVNVEKLYLDEPSCKRQAVEYRRESKLLDFEQQSSGKKLDLNTHNIDDNDQGYRHFDLNGFSWS >OB05G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15608838:15609632:1 gene:OB05G28340 transcript:OB05G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQTSGRRAGSRIFAAADLTDSGANVQSPPPPPPPPPRAGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIQPPPPPPRLGIRVESDGAQSDQPDVLAGAAAAAESSIRVKLISWLAGWLPKVASNGWTSESGWQHRQVAAVADGELATCWCLVSRSLLQSFFSTRSVADLQCSAVPFGLTDSELWPEAKPLHVPLDTLRGPEEVKSEDPIVPYVLGQILMSFLIYLLFCRE >OB05G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15611638:15612624:-1 gene:OB05G28350 transcript:OB05G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATTRTRYWCHECEQVIQEAMVDEIKCPFCDSGFVEEVTGEELERLTSRQPEVFSQWGVLENPIEQPGEARDSDDEDNDIGREFEGFIRRHQRASALRRVLDSIHDDLADDQERDSSILINAFNQALALQGSVIDPDEGQGDQGGSTNDDGLLEEYVLGAGLSLLLQHLAESDPSRNGTPPAKKEVVEALPTVKIEEVVSCSVCLDDLELGSQARQMPCEHKFHSPCILPWLELHSSCPVCRFELPSEDTKDMNESSNIDRVDDSHEEVRADGPGNVSESSNRPWAIVPWLNELFSTRESQNVGGVSTNQQPPHASGTSPNAGQS >OB05G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15615123:15619025:1 gene:OB05G28360 transcript:OB05G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEGKRDSCGTWWWDWGMEFLEGQSTEATVAGCLDPENYKEFKLVEKRQISHDVAKFRFALPTPTSVLGLPIGQHISCRGQDAAGEEAIKPYTPTTLDSDLGYFELVIKEKLESMAETYPDHFKIYYVLNQPPEVWNGGVGFVSMEMIQTHYPAPAADIQILRCGLPPMNKPMALHLENLDYTKEMQFQF >OB05G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15622270:15626842:1 gene:OB05G28370 transcript:OB05G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDEKMKGCRPKLFGTKDKKVVKRTDGQSCSTVKSGPSSSKSQSSSPFRTLSEVRSIRLSHLLGHTSNTTKTEPFRIFVSTWNVGGNTPTAELNLDEFLPADDNSDIYVLGFQEIVPLNAGNVLVVEDNEPASRWLALINRTLNIPVDSNADIFQHKPSSSLDSTSSLSSSNLDASFSSHSRTPNGSSAIFQKSSLKSIRKPYMPTQRKLLKLCNCSVEMTRKSYKDACFGCPQAYANETDSSEEDDTDDRSNDTCGYLVDGMSSAASASRDQLKYNLISCKRMVGIFITVWAKKELVHHIGHVRTSCVGRGIMGYLGNKGCISVSMTLHQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFQRICRAGRRIPEKILDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIERKSGRVFRGWSEEKIYFAPTYKYSSNSDSYAGETATSKKKRRTPAWCDRILWHGDGIVQLSYFRGESKFSDHRPVCGTFIVDVEIQESKSKRRSSNTNIRIGAEELLPTSKSKGNRNKGNKGSGT >OB05G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15628256:15628585:-1 gene:OB05G28380 transcript:OB05G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNYIQLYSCDALKLHSVTTKSVCFSGSVMLLFMAKFTMLFYSGDYISCITLNMEAINQRLIVFFCVAISCCTCKLNRYSSKTKEIQQLKSSCLKLLVGSPASDILIS >OB05G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15628956:15630111:1 gene:OB05G28390 transcript:OB05G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQGRTGGSPAAEPAQVKGRDRGSGIRRRHRQRGNTLQWRLWTTSLRPLPIIGVTPSSIHTSSVFRILDPHGDNGGELKMVMRDEADLWDGQDDEDVKLETLEGAGVVRGDGAGVPDKLDAADDEGLAGDVGEAELEQDVRQVCQVGAGAERCDGGGEAGVHVHARLAGAACDGRYGPAAVGLPDRWESARECHPTASASMGERLAMAVADRRLTAQPATGPAGVDVGCPPDGGGDGILCCPRSRTRPA >OB05G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15632199:15635111:1 gene:OB05G28400 transcript:OB05G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVWRGGAPPAGLALALFLLVVICGGGGGGGAAGGGGVGGRGSSVYPAPVVYPHHSRQISWKPRVFLYQHFLSDDEANHLVSLARAELKRSAGADNLSGKSGLSDARTSSGTFLSKGKDPIVAGIEEKIAAWTFLPKENGEDIQVLRYKHGEKYEQHYDYFTDSVNTIRGGHRIATVLMYLTDVAEGGETVFPLAEEFTDSGTNTEDGTLSECARKGVAVKPRKGDALLFFNLNPDSSKDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHTQGNCTDNNESCEKWAALGECRKNPEYMVGTAALPGYCRRSCNVC >OB05G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15636325:15638913:1 gene:OB05G28410 transcript:OB05G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARGGGGGGRGGGGGGRRSGGRRGLSTASPSTAARRRARSLQQIGVELLGHSSEECGDGRGGDAADGGEGEGAGVVDGDAVGVAGAGEELAEAHRVGLHQPGQLRAARLVRPPRPAPVLHVAKDSM >OB05G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15636380:15638298:-1 gene:OB05G28420 transcript:OB05G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAVRGDACGGGGGATTAASAGVNALLNMSDCLTYVQNGSRARRPDKPCCPELAGLVESNPVCLCELLSGAGDSYGIAVDYSRALALPAICRVTTPPVSTCAALGYNVPMGPSPAPSPAAVSPSGEGPQFPGTSPFASPPSTATPSTNHAGRRSGAH >OB05G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15643393:15646173:-1 gene:OB05G28430 transcript:OB05G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >OB05G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15651935:15654756:1 gene:OB05G28440 transcript:OB05G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSSGGGRDAGAARGGAMQSLVRQGSLYGLTLNEVQSQLGEPLLSMNLDELLRSVFPEGVDPDGGGSVAGQSQPAMGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDGYLKDPNDLPVNMDVVGGTAAAASTSDLNAGAQWVQQYQQQAFEPQHSSIAAPYMASHLAPQPLAVATGSVLDPIYSDGQITSPMLGALSDPQTPGRKRGASGEIADKIVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKELDEILNSAPPPEPKYQLRRTSSAGF >OB05G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15656910:15658603:1 gene:OB05G28450 transcript:OB05G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATIFALCIVVILQCLASISTAVDLGDDDADAAALAARGQGLVHPRRLGLLQNAPARSRPLHSAVAGAGAAVEVEFSLASMQIRPFIHLASSLLIRWVRSGPELNSYLGQLQVLEHRVLKTAVAISGVTHGRSMREELSAINTTITGKGKP >OB05G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15657087:15657494:-1 gene:OB05G28460 transcript:OB05G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKLIIRFSFISWPSGARSYQEYPEVAETIKTAKRKKGASPIQMIRSSELQATTLLVVMMIMMMNLLANRKRRKKLKIFTPKILSTFYSHLGRTSMLILPGVRLARRRLLPQARTDLDLHGGAGAGHGGVQRP >OB05G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15659273:15660547:-1 gene:OB05G28470 transcript:OB05G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRKSKPEPKKKLQFERSPRRPSAQREERADCGRNVGRRRDAWTAVEAEEATPIPSRHSSSAGDQEIPEIDRTAYPICAIFPSGEGDH >OB05G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15662193:15665504:-1 gene:OB05G28480 transcript:OB05G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRRLSDDYEVVDVLGRGGFSIVRRGVSKSAEKTQVAIKTLRRLGPAMMQQGTTTRPPAPSSSGLPMWKQASISDALLTNEILVMRRIVENVAPHPNVISLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHRASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIIALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTAMDLLRHPWVIGDCAKQDLMDAEVVSKLQRFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLSSEELDNLRFHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQMYDTDRSGCISKEELASMLRALPEDCLPGDITEPGKLDEVFDQMDADSDGKVTFDEFKAAMQKDSALQDVVLSSLRPGAQQ >OB05G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15666522:15668489:-1 gene:OB05G28490 transcript:OB05G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 family protein [Source:Projected from Arabidopsis thaliana (AT3G24080) TAIR;Acc:AT3G24080] MKPSREEKKKDILAGSDDESDGGGEEDLSKIQINEEYARRFEHNKRREALQRLEERKKQGLVPGSDGEDSDGESSSSDDGDDDAAAIASRRLDRRVFEVIRRIRSGDAAILDKQAKMYSSSSESEAEVEGEKPKKKERPLYLKDVNARHLLEEGPEFAAQASHGSRSSSKYDKIVYDEQQRKGLEAFLEAEKVALGNGDGGGEDDDLFQTKPKGGDDGDKEDEEEKKKAAQILDEIFGNDDELDEDDKFLKTFFLNRPYLQSGNNNKLSPADIDNVSEDEEDLIVQEEYESQYNFRHEEAAASGAMVMDRVMGHSRFVEGSVRKKESSRKQQRKSKEERIARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIDSDGACKLGADDLEEDFDPEEYDKKMKATFNDSYYEADDVDPGFGSGEEDDLEKPDFDKEDELLGLPKDWVVDVLEEGSAAVAAEGASQKKKGGKDITNGKAISQKMKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVKPNTFGLSTYEILASDDKDLNQYVSMKKIAPYREKEWKVTHHKKLSKDSILGGQNKESKKAKTKKKSKPEEGPASSEPEKEELPNEQDEQDNADGNTKSTRSERRKRRKKELKMTTERQAAYGKINPKRHKSH >OB05G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15668754:15671034:1 gene:OB05G28500 transcript:OB05G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTKKAKKSTDNINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRRSEIEYYAMLGKISVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIINSTPATQ >OB05G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15674330:15679288:1 gene:OB05G28510 transcript:OB05G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTLVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDITFPSVPCTLLSVDTVDISGEQHHDIRHDIEKKRLDAHGNVIEARKEGIGGAKIESPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCDEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYQSNINVPELSVLEQGFNITHKINKLSFGTEFPGVVNPLNGAQWTQPASDGTYQYFIKVVPTIYTDLRGRKIHSNQFSVTEHFRDGNVRPKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >OB05G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15681743:15683118:-1 gene:OB05G28520 transcript:OB05G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRLVAHSHMDLIICKLRLGRRAKTSFNPQLCSAVRYWRTLESNRSAAEKLLAKRKPRRRTKKKKKELSGGDHRDGAVGGAAASAAAAALAGLATLLYFNKNTEINPAAAAAAAAGDSGREAVKKKPYLSKEAAMEAGFVDEDGKVMWGAYLEYVDHGTTLREQEESARKVREWEEAVEVDEAAMRARFERWMEEHGRSYATEEEKARRYRVFRENTIYADKANAVEPRRIRYGPNGYSDWTHKEVTELLDPHPDHAFDWESYIDELNTMPACGTYFFNGGFSTNEAVMKVYFPNSIDVRQSIADK >OB05G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15684383:15685914:-1 gene:OB05G28530 transcript:OB05G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAAIGSLLARGMRFSPRRILRLRAQADPSLTRRDLAIVGGGASVATAALLGFATFVCSNKKYTLGPLAPFEVEEFGKEARFEGWAQRRPGIPCIRNGEIEILEPRHLDTSPGTYIFNGGVTDDEAKNRELAAKRAGGKP >OB05G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15689422:15692663:-1 gene:OB05G28540 transcript:OB05G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDAIETTRRQTVASGCFCCCHLREPFGEAEEEEEQQQLGIFCCEARIHADPLLEVDQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGDAEDMLNLSAPKPRNILSHFLKMMLFTIIKPFHGMRGPNGAGRPLFPQLAQHSPAQVLHNFTHIRSLRIELPSGDVGTEDGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHELYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSTSNGTHTSRKESDAFISGAFDGPFKFAVKALMKRRTYLLEMNGF >OB05G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15700683:15702317:-1 gene:OB05G28550 transcript:OB05G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEENSDTPCIKTILRCSIRMSYRYAAEHWILLFPILLLYLLFRSSPGFFTFLLSHSPVIICAALILGVLISHGNTNFPETDEERKTVTDISAPKFADFSRDIHFEANKRPSVPSFKEKAVSLKDGESETKDALLKGVNQENEKADAFDRADENQTSFGLYSSRENAMENIEMLGKTNHDRGSTDSQSDEVRVVSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINASVDSPLNSSLDSSFGRVGSNDGSPDFDPDQAESTTPDTPTNHIARALNEIGPLLSADSRHLDPIQNVDSYNHFGMFFQNSKTDSENNDEANKIKANENDDKNVGTNPAFLRTVDDDNNAMDLGYSEMERHRRLEILMVKRRSRKNIIFDPDSNLIDNDKDQVCNRNPFDILSCSDQSEFPGSAPSILHPRGNPFDHPFDQSDESHLHESVPVPHQDMFFTRHESFSVGSQGRHPSIFKPSFVLDVMDIEEPSASDFERQFSYKSVSTLGTVTESDIISSVADQEDISDSIQNDSSRQYESPELPTRGSDIICVGGT >OB05G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15704554:15706876:-1 gene:OB05G28560 transcript:OB05G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVELRRARVEEVLVSRRVALQGAEHRKEQLQVQIDRAMQLSRAVGAASRQLQEAKEVLSVEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHGLPHEQNLDADANGAHETLYEENGTLPEENGIHVLNVLKFPQLHALTFFGWQIGKHRRKQKDFSERDLQRSATVLGYAAHAVLLIASYLHVPLRYPVHFGGSRSYASDCLPSAETVSIASAERSCINMTNPRLTAYPLFVECQEDDSTKASYAIYLLRKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYVYM >OB05G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15709267:15711689:-1 gene:OB05G28570 transcript:OB05G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSESDGVSDKMNQFKSDSDDESFVDKDSSMGIAPTRAVATFKKGPWTPSEDAILEAYVKKNGQRNWKKLQNDTGLLRCGKSCRLRPTLKKGAFTKEEENKIIRLHYKLGNKWAQMATCPSLSIIIAVDLTTCSQLPGRTDNEIKNYWNTRRKKCKNVHSLLYPDDVCQEDLNEDQNESTDFSFGEKLSNDPLYVPGFTDRLEDTSIYHAPQLSDASINNILGQKFVSKDYDSTEDQRNRIEVATGFEIPLPMLNSTKNHTFAPAALFASHGFSSGHFSASRSIAAPSKLELPSIQFDEFHPNNQSMYSRTSAVQPTNLANDYMNSTMLPTTSEHMASRNNDQSEELLHKSHASNCVVKEELSAMSLSSTVSMPCDAMTESRELDLCEEYQEQDPDYSPLGDSFCDSSLFPASLEELQNSEVYSAHTSSMTGYNEQVVPWYERDVSPIQEGFMLDTQFYLDDINPSIVDYPPPSEYMIHETRASIFPK >OB05G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15713078:15716470:-1 gene:OB05G28580 transcript:OB05G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVHMVYTVLPSFAFSRFSSTKNLNTFEMDRASNFTPGPYQELLDAKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPLGFGAGNLPAFGSTVTFTTIANGVSRAGTSANGPIDATPISAYKTRAGIVSLDDEDDYSGNPGSGSGRKSKRSSGSAADGSNGVKIKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASSIRANVGKRVGVVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGIDEDSVAICIVAAGGYENEDDDTDTLVYSGSGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQRDGAALWKLTQGWIDNPTSRGRVILPDLSSGAEALPVCLVNEVDHEKGPGHFTYASQIKYLRPLSSMKPLQGCGCQSVCLPGDPGCACGQHNGGDLPYSSSGLLVCRKPIIYECSEACHCSLNCRNRVTQKGVRFHFEVFRTASRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKWNFGAELIGEESTYISADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQYDHGDDSHPHIMFFALKHIPPMTELTYDYGVAGSESSGSRRTKNCFCGSSNCRGVF >OB05G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15720912:15723685:-1 gene:OB05G28590 transcript:OB05G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3M8D5] MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESNRQHEKVPDDLVEQAKAAAQAALEEMDAD >OB05G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15725447:15726905:-1 gene:OB05G28600 transcript:OB05G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKQTGHESRTSSGLIPREVWWWWWRPGIPVVQGRADGQQGGGGAGPQGRLLVTSPGLYVREYNVTLVKTRYESTWILTP >OB05G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15728790:15729343:-1 gene:OB05G28610 transcript:OB05G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQEQVDECREIFDLFDGDEDGRIATGELVTVLRSLGQNVDEAEARRFLADAGVADAEDGAGGVDFAAFLAVAARKMVGGGVSEEELAACLDVFDDARSGVIPGEQLRQAMVSHGDRLTEEEAHEMMRKADPRGEGRVDCKEFVKVLMNKK >OB05G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15728943:15729354:1 gene:OB05G28620 transcript:OB05G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPAPRVVEHVEARRELLLRHAAPHHLARRDGQERREVDSPRASSASATPASARNRRASASSTFWPSERSTVTSSPVAMRPAEEEINQTSIGGQLAGGGKVRSWWRLSYRPRRRRTGRRFLCTRRPVLGLAWPPWMR >OB05G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15730621:15733198:-1 gene:OB05G28630 transcript:OB05G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OB05G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15736670:15740048:-1 gene:OB05G28640 transcript:OB05G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPPPVPYIFTPQVPVVPLHRPTEFSPVFNKTWINESDESTNNHPQEKGIPILITWSQGGKEVFVQGSWDNWTSRRVLEKSGKDHTILLVLPSGLYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLDSVAEFNAPPSPEHSYELQLPGDEEFAKEPPTLPPQLIMSVLGGTDNSEEHKPKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYVSFVLYKPLRS >OB05G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15740240:15740470:1 gene:OB05G28650 transcript:OB05G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSPPPCRPRSPCPPARPHSYASLLRQSTKPRCIHLPPAPNRSSSKRNQRARARGSALLNPASQNATAAPPRGSRHR >OB05G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15748053:15749632:-1 gene:OB05G28660 transcript:OB05G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAAGNLVAVAMSAAVLLLLAAAAAANQEGEALTAFRKGLRDPNGALASWDPNLVNPCTWGRLTCDDDNRVTGIISLDLYNNIEGSIPPEFGNLENLISLDLYNNNISGPIPPSLGKLKSLGYMRMDHNRLTGQIPNELVGLSNLMVLNLSNNDLCGTIPTSGPFERFLPSSFANNPRLRVPQMGLHDGDDG >OB05G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15751231:15754698:-1 gene:OB05G28670 transcript:OB05G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLWHPLMFRWCSWLLRCILMMYHANKFSVPFGPQSVQSDHMSDIGAFGGSNMRGAANPAGNGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACDQNLSHSPPRLDDYPDSLQPSPKKPKMDSLSPDSVRDVAQSDFESHLIGPWDQNICDATLQVDEFKADPGLNKS >OB05G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15754992:15755528:-1 gene:OB05G28680 transcript:OB05G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKSQGLSRSLAAGRFRRTPPPPPLSPPPHCAPPLLWHAPTPPQFPTGNRTTGGGGGSRASAICFPPCRVPARGEAGPLAAAGRCLASPVNPLAIDPCLRACFVCLFWLASGRWRAAGGGVCGGGGGGGGGWGGGGFVAVRSWEAEFGVVGVGFRVPGHGTSLVLFACCNFCGAVLCS >OB05G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15768471:15768989:-1 gene:OB05G28690 transcript:OB05G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQYGSKGGGGNARRSATAGVSGKLRHKGKLQGGGGGGGSNNRRTRREIKVVYISNPMRVTTSEEGFRALVQELTGRHAADPSKYRGGGGGGARRWRPAPGPAASTVESSTSTDHGDASAAGGQAQAAAWGEDDENSFAPELIDNRYSVCFSPPTFLYGSHSYVDDDYGV >OB05G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15773637:15802125:-1 gene:OB05G28700 transcript:OB05G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPAAARTTASSSSPDLSPPPPASSXXAPAPAKPPAPIGPVLGRPMEDVKSIYTVGKELGRGQFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSVGVMLYILLCGVPPFWAESEHGIFNSILRGQVDFTSDPWPRISHGAKDLVRRMLHSDPRQRISPYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLAKKGTKLSEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLLDGRDIKDIISEVDADNDGRINYTEFVAMMRKGDPEANPKKRRDVVL >OB05G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15779633:15781744:1 gene:OB05G28710 transcript:OB05G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASPGTDASTDPDIDKNIRMFEQGHLPNPIASDSSDKSKGKLGQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLNQLEQELQHARQQGIIISTSDDQCRSTSENGALIFNMEYTRWLGEQNKLINELRAAVHSHAGDDDLQSIVNTIMVHNEEFFRLKGVAAKADALHVLSGTWRTPLERCFLWLGGFRPSELLKLLANQLEPLTEQQLASLCNRQQSSQEAEEALSQRMEIIQHSLAETIASQLGRAGAGSSGNAADHTAAALRKIGTMESLLQQADDLRLQSLQQMQRVLTTRQSARALLLVSDYFSRLRALSSLWISRPHSKCVDIDTVVTDP >OB05G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15785206:15788614:1 gene:OB05G28720 transcript:OB05G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPPPCRPRRRRDRPEHALIRLLLPAPRLPPLLCYSLVLIATTANAGPVYTDCPSNTNYSRGGAFEASLDALLASLPAAASSSGFAENVTGASPEGRAYGLAQCRADVVGQPACRACLDDSARDIAKTCPGQKSAVIFYDACILRHSNESFFAASDDRPLYFLWNPQNTTEPEQFKALLGKLMSNITDAAAHASPRMFAAGEADLPPFTKIYGMAQCTRDLAGGDCYRCLVGAVSNIPRCCDGKQGGQVIGRSCSIRFEVYPFFDMQAAKAAMSPSPAPPPATTPTGVNGSNHTVSKAVIIPVTAAVALLLVVILLLTALYLCKKNRKPHEHVLIGSVNLGDEDEMRSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGTLQNGQEIAVKRLSATSHQGQLEMKNETVLVAKLQHKNLVRLLGCCIEEQEKILVYEFLCNKSLDTILFDPARQQELTWEQRFRIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDADMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVAGRRNTCIHGSEDLLAFVWRHWSRGAAAQL >OB05G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15793310:15797071:1 gene:OB05G28730 transcript:OB05G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASITDCPSNTNSTDVGGGAFQANLRALLSSLSATAAASPSGFADNATGGGPDRAYGLAQCRADVVGSSNCPGCLYDSVRDAANVCAGQRSAVVISDYCLVRYSNASFAGAADDRTVRLWWSPESTAQPERFNLTLGALMRNLTGTAAHASPLMFAVGEADLPPSTKIYGMAQCTRDLAGGDCDRCLVTAVSNIPTCCDGRQGGQVITRSCSIRYEVYPFFDTQAAKPAMSPAPATTPSGVNGSDHTGQASTGNNHTVSKAVIIPVTVAIASLLVVIPLLVSLYLCKRSRKRQIIHHGDEDEMRSSESLLYDLSRAAPGNF >OB05G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15798226:15800247:1 gene:OB05G28740 transcript:OB05G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYALRGIFSAKSDIFSYGVLLLEIVTGRRNTLINHSEDLLSFKSVSYPDGDDDPRTRSISRKPAAVPSRSNCALCSSEAFCRRDVPVSRWLRIGATKFY >OB05G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15801831:15804566:1 gene:OB05G28750 transcript:OB05G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAGGRARQAALSREELLGLLADFSGGGGGGDESDRELSFSDFVVVDTAKLSPRRDGGGGERIGTVAMTTTPAAAEKGQGRRTTPSSEQQQGAAAASKQQAEAAAAAAARERRLRRRRSDSRGSCGGSGDGVLLNFYVPGLLTRSMTTPRPARGTLPPAAPAAAAPAAAAKARLGVGLCMYVDKWTLDGELKFLLRRSRHSNERQAYGFVPGFTPSSHTFSPWCMAQNWTAEQTVHAGGTEFKAGTTRTITVAVAAQIYGPGFSGTATSNRRHPRGFFWIQAHGPVPA >OB05G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15806640:15809236:1 gene:OB05G28760 transcript:OB05G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHRAAAAQQPANRGAAVAPGKQKAATAAAGRPDARNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVAANKIVVQNPARKEPAPKPAKKLVPRPDNAPKVTSGNENKKPVEDKKPLKSEGAGGGGSAHKHSRKKVVNTLTSVLTARSKHACGITERPREVVEDIDKLDGDNQLAVVDYIEDIYKFYRTAQHECRPTDYMSSQLEVNPKMRAILADWIIEVHYKFELMPETLYLTMYVIDRYLSLQPVLRRELQLVGIAAMLIACKYEEIWAPEVNDFICIADNAYLRHQILAMEKNILNRLQWNLTVPTPYVFLVRFVKAAGGDKELEHMVFFFAEMALTEYGMASLCPSLVAASAVYAAQCTLKRSPLWTDTLKHHTGFSESQLMECAKVLVNAHAAAPENKLKSAYKKYSAEQFGRVALRPPAAGQV >OB05G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15809901:15811387:-1 gene:OB05G28770 transcript:OB05G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M8F3] MGSAAAPLCHVVAVPYPGRGHVNAMLNLCRLLAARDGVTATVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGNRRGVPVCVLSALGATMFSVHYHFHRLPAAAGGSAPPAADIADGADPCLIGSYIPGLKSIRLSDLKPTHSNKNMLERIMEAYSCVRKAQCVIFTSFYELESNAVDALRQELPYPVFSVGPCIPYISLEAEHHHAGAEEEAAYMAWLDSQPAGSGVYVSLGSFLSVSPAQLDAIATGLAESKVRFLWVLRGSDAARSGVRDLLREGDAATAGMVVPWSDQLKVLCHPSVGGFLTHCGMNSTLEAVHAGVPMLTLPIAFDQPVVSRLISDEWKVGYGLREKAGDVVVGQEEIAAAVRRLMGSDAAEEAKETRRRASLMREASRAAAQVGGSSYRGITSLIDYLSEFKN >OB05G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15819915:15821593:1 gene:OB05G28780 transcript:OB05G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3M8F4] MASCCSGFMDRAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSIFFQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLDLKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSRHAAGAVHGGAAEAPAAAAADLSGRDWFLGSMFVIVATLAWASLFILQTHTIKQYSAQLSLTTLICFVGTLQAVVVTFVMERRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQRTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAVLIVVGLYSVLWGKHKETQEKEADAKLSLPTSKGDNVGAATPETTTTTQIADVGAGDDDDAERKNRHSSGVRSSSSNGRGASAV >OB05G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15821844:15822032:1 gene:OB05G28790 transcript:OB05G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLLFVSCLIKAAMLASSSVHQECVLLSTLFLYSFCSQMHLFGCKSPSGFFHVKFDIFYT >OB05G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15828383:15828535:-1 gene:OB05G28800 transcript:OB05G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPHTLTFPILFSLVSTAIFLPFLFFFLLRIINGWITSGVREKQCVWGIL >OB05G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15828639:15833264:1 gene:OB05G28810 transcript:OB05G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSLLPFALALVAIPISLALLDRLRLGRIPPGPRPWPMVGNLRQIKPVRCRCFQEWAERYGPIVSVWFGSSLNVVVSTLELAKEVLKENDQQLANRPRNRSTQRFSRNGMDLIWADYGPHYIKVRKLCNLELFSPKRLEALRPIREDEVTAMVESVHRAVTEPGSENKPILVKNHLAMVAFNNITRLAFGKRFMNANGEINEQGREFKTIVNNGIKIGASLSVSEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIEEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWTMAELVRNPRAQKKLQEELDRVVGRDRVMSETDFQSLPYLNAVIGGYNIPRGANVMVNVWAIARDPKLWSNPLEFRPERFLEETVDIKGNDFRVLPFGAGRRVCPGAQLGINLVASMVGHLVHQFDWSLPAGTRPVDVDIMESAGVVTFMNTPLQTIAKPRLDNPQLYKRFPADI >OB05G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15832883:15833165:-1 gene:OB05G28820 transcript:OB05G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLHNVDVHRPGARREGPVELVDEVADHGGDEVDAELRAGADAAAGAERQHPEVVALDIDGLLQEALRPELSGLLHSLGSRAIAHTFTITLAPLG >OB05G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15834205:15839430:1 gene:OB05G28830 transcript:OB05G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCNRTYGITVQRGVKTVSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAANHTNNGRGRGRGRGRGRRGGRGSEREILSAYEKFEENHEFPPGEFSKPVELKVDVSVDGTEANEAKEVTPLSNARASLRNIDLNIDLTDYDDEDSAPPEVQPSAPAVGVLTASSGPPVSEVKEEAKTKDLLGWQLPEINMDPVQFALSSNHRLEEDEDYDNEE >OB05G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15839236:15839702:-1 gene:OB05G28840 transcript:OB05G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3M8G0] MSEEAGQPQHERPHGPMVGGIFDAPAGHENDLRTIDLARFAVDEHNSKANAALEFERVVKVRQQVVGGFMHYFTIEAKEAGGAKKLYEAKVWERAWENFKQLQDFKPVEHTDA >OB05G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15847496:15848251:1 gene:OB05G28850 transcript:OB05G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWVFVELPRLRAVGTFRPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKIIQADEEAGSLLQERDGHGDRKSDNQA >OB05G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15848039:15848303:-1 gene:OB05G28860 transcript:OB05G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQTNQFVCARIRSGALCLVVALAITVAIAFLQQRASLLISLDNLLLRLLCLERLQLDVVVVCHTQEGDPIAEKVDRGDRVPDHGP >OB05G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15865502:15865705:-1 gene:OB05G28870 transcript:OB05G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWPPPLLSSRGVVVVAWLTSRQDVTHELASPPTRRACAAVNTARPRRYHDGRWVCHVLRPCPIESN >OB05G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15865820:15868526:1 gene:OB05G28880 transcript:OB05G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEEAVAAGGGSEEGDAAGAGGGRDGGSSPFRFSFHARSFSGVETTPRFGSFNPADDLAVFQPKPPAPPVDAPSKDVVEVAAGDGDGDETTAEEEGSDGNSHLLGLYPSEAKPIE >OB05G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15871360:15880274:1 gene:OB05G28890 transcript:OB05G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTVLSDEEDEIEVEEEEEEEEENPRPSRRGRDDMDDRDDDDDEEDEEDEGQDEYEKDGFIVDDADEEEEEDEERESDDERRKKKRKKKKKRESEGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERVQYSLFGDHQDTPIEEDIVEDDQQADEDEDGDPEDEMAGFIVDEDEIDANGQVVKRKKGKGKPRRPASASGVSSSALQEAHDIFGDVDELLALRKQELERDAANSGELRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERMQLSEELTGFPPTDTTMVEEESVWIHSQLTGEGFLSFFSNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKETCPSLLKDLDGNEHGNEEQGDEEYARKMRWHKLLWAVQTLDKKWLLLQKRKNALEMYYEKRFDDENQRIDDVTRQTLNQQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEIEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKESPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTCPTPEGNLIIDPYHQLSGVKWLRDKPLNKFVDAQWLLIQKAEEEKLLKVTIKLPESAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKIWLNMEYGKQLWDKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDASGELVDVLYAGSISVRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLEQFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASAQIIDLLEDTRIHPESYALAKTLAKDVYAEEAPHEANEMDDDEQEMAIEHVRENPRMLESLDIREYMKSMPEEFHKEETLKDIKLELRCGFSDWRTPYAEPTPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASEMRRRPFSRGNHDPYYHEQDMSSQNEQDKIRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVFAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKSEVDDLLRAEKAENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGYRFRKRDFDNIDRLVSYFQKHIDKPPPDSGPSMRNVAAMVPMKSSGWGSGGGAGDGNDRDRPFSGRSGGRFDSRNNSGGRGRGRGGRGRGNFGGDDGGGGWSSDGGGGGNSGGWTDNIGSGGGGWGSGGTGGGSSWGGGGGGDGGSGGGDAAGWGGDNNRDSGGGAGWGTPAGGSNDASGWGGGKKTVPAPDGGSGWGSSGGGGGWAA >OB05G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15881044:15881460:1 gene:OB05G28900 transcript:OB05G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLEECLQAGTAASPEEGAESRVRRQHDAAAAAAAEYHDGTGAAVPAMDVVLAHRCHEGGDRLHHPGSPAEEVDDVVLWPADEPERAARRPPTRLAHLLDEAVVAAWAGDDALAGAADANRPPAHVAPVGGSVRHLA >OB05G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15883327:15887358:1 gene:OB05G28910 transcript:OB05G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G02860) TAIR;Acc:AT3G02860] MDQRKALFRAKLREREAKEKQERRIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKVAAAAKAAAGAGSRGNKVNHEQPAESQKAKSSTLPANFFENQGMKRHSDGAGSEGRSVRREVADVQPKTKEASKTKPSVNFEKIPKKESQANANVKGILPGNFFDYNDEDEDPAPTELNSAPGNASISNHMQAKGVPAGFFDSNKNNNVMQPSEPSQLSKSAKSTESSEVKGSLPEGFFDNKDADLRARGIEPPKIDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTAKANSKPVGMDMLSSSDSSSDEEDDSTDFAVDWRAQHLK >OB05G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15892676:15893344:-1 gene:OB05G28920 transcript:OB05G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPEVPDSFDDFLNNITTCTHTHTCNPAGPSAATHTHTCLHTHTQLYASGEEDMKEDLAKPRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRATNQQLLRRLQGHAALEAEVIRLRSILLDVRGKIDMEIGTFPFQKQCSVGSVACTDPSMCLNGNSEAGGIWEECSRPVGADRMIDKDGSMSQEIDIPGPVHSISMGVVGSLVTSASLSE >OB05G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15896105:15896278:1 gene:OB05G28930 transcript:OB05G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSLGEVQKVNTDGRIPQPKILSFPIISCFIRITCTIACSRLTLQVLESNLTTPE >OB05G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15900226:15900513:-1 gene:OB05G28940 transcript:OB05G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDCVAPFCTCRFFSHMGEGIYSRQLNHLVCGHFVVWSVSCSYGAYVVLVRMNAGFVSEKALGSSDKVWSLHRFCQPRFYYDFSFGFSTLSYG >OB05G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15903601:15904062:1 gene:OB05G28950 transcript:OB05G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTGGRRKAGGGIGVRVGHGKSPTRRDIRSRRGPRNFSGSREFRAGARSPRLYLVGAVDLPTVKPLVDHMVRTFSGGDSLREPCLVGPGHTDRFRGRWNRFSRKGLRLGGPSGGVNVYLGLVSSHDDGLLFVRFGYVTYVVLLMEKSTTYP >OB05G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15912907:15920685:1 gene:OB05G28960 transcript:OB05G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3M8H2] MEGGRSWSIDSYLNEFFDIPAKNPPGEARLRWRRAVGLVVRNRRRRFGRFSDLNPIDDAHRRKILGKVQVVINVHKAALQFIDGVKQYHLPPELIEQGFCISPDELAAITGMRVDYTMFRMHGGIKGISRKIKASLEDGTQDSEIDTRQKLYGTNRHAEKPPRSFWMFVWDALHDLTLIILVVCSLVSLVVGLATKGWPKGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYTRVTRDKKTKEILVHDLVVGDILHLQIGDVVPADGLFISGDCLVIDESSLSGESESINVSEEKPFLHAGSKVVDGTAKMLVTAVGARTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLVRFLVDKGMHVGLLSWSGNDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAYAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKSVDGDKIFELKSAISERVMELLIKGIFVNTASEVVKGENGKKTILGSATETALLEFGLSLGEHLYDDYKKLTRVKVDPFNSVKKKMSVTIQLPNGGLRTFCKGASEIILEQCNTVLNTDGNIVPLSEMQKHNALNIINSFSSEALRTLCLAFKDKDEFPNDQHISDDSYTLIAVFGIKDPVRPGVKDAVMTCMAAGIKVRMVTGDNINTAKAIAKECGILTEDGIAIEGQELNNKSSEELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALFESDIGLAMGISGTEVAKESADVIIMDDNFKTIVNVARWGRSVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDSFITRIMWRNILGQGLYQLLVLGTLMSVGKRLLNIEGPDSDRIINTLIFNSFVFCQVFNEINCREMEKINVLRGIFKNWIFVGILTATVLFQVIIVEFLGTFANTVPLRWDLWLLSVIIGSICMIISVILKCIPVEFKKTNVKPHGYELIPEGPENL >OB05G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15923395:15926205:1 gene:OB05G28970 transcript:OB05G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:J3M8H3] MVGSYANGHPAGRGGVAAAEGKLDELRRLLGKADGDLLKIVGVGAGAWGSVFCALLQDAYGRPRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMITNATGVPLENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLSEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQGSLSVTHPEVKKLVAPVELCPILKTLYKILIKRDLATDSILQAIRDESMYDPRERIEMSQRQSLYRPSLLGLPK >OB05G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15937049:15938089:1 gene:OB05G28980 transcript:OB05G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKKNRAVTIPFVANAGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNISYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDASFAASWVESYVKPFAGAVSFRYINAGNEVIPGDPAANVLPAMRNLQTALTSAGVSVPVTTAVATSVLGVSYPPSQGAFSEAASPYMAPIVTYLASKGAPLLKGAPLLVNVYPYFAYAADAERVQLGYALLSSSQAASVTDGGVVYTNMFDAIVDAAHAAVEKATGGQAVELVVSETGWPSGGGGVGASVENAAAYNNNLVRHVSGGAGTPRRPGKAVETYLFAMFNENQKPEGVEQHFGLFQPDMSEVYHVDFAGSV >OB05G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15938833:15939030:1 gene:OB05G28990 transcript:OB05G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPASPSTACNFWGKSVGPVQCATCPLLTAHVAIQSARTVEHKARSSTSEFIFFLGGTAAWRK >OB05G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15940102:15940485:1 gene:OB05G29000 transcript:OB05G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNSGNGQQTQQKITMTEDAPSQDQETLVAGSDIAVSPVNGHGSGDVNMEAAIYAEDVMRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGESEKPSHPGLGYNPNKTDSGGKPSDVQQQQ >OB05G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15940948:15941428:-1 gene:OB05G29010 transcript:OB05G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRHKQSHDEPIEKFVPNSEEEFAEYAERIARDLLRPYEKRYHYIGLMKAMNRLAVASLTPGNIKEIVSSMSTAANEKLRSEKAADAGKKKPGQKKKRLRVKKAGGQWSRNAGEDYAGDGDHLSS >OB05G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15942049:15944313:-1 gene:OB05G29020 transcript:OB05G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:J3M8H8] MAKKSVGDLTAADLEGKRVFVRADLNVPLDENQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIEVTKAEDVIGPEVEKLVSGLPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPQRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVALLLPSDVVIADKFAPDANSKVVPASAIPDGWMGLDIGPDSVASFSSTLETTKTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVARSKL >OB05G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15944073:15944720:1 gene:OB05G29030 transcript:OB05G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPFLMRYWMVGIAARTRVSSVMFWFSSRGTLRSARTKTRFPSRSAAVRSPTLFFAMVATARVPRADDAERHRDATWVASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGRHLGSTGKLTNAVLERRRGGGNEREGLLGGRKRKRVLWTRGRGGEICLSLARGRDGREAVDDSGGCVGEEAAVIAAIATCGACCLP >OB05G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15945557:15947193:-1 gene:OB05G29040 transcript:OB05G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQANTKKARALAAMITSSLSHHHSSELHQPTRGRHCQSIGSLARRPPLTPRRSLQLRRRSTVDHRPAYDAMGRKRAVLVGINYPGTEGELKGCLNDVGRMRRCLVDRFGFDEADIRVLADADPSTPQPTGANIRRELERLVGDARPGDSLFFHYSGHGLQLPVETGAEDDDDTGYDECIVPCDMNLIKGDLIVTKISRSSCRKFPMAACSPWCPTRARRPHRQDQGADREQYEAEQDSATGQRIAASSRPRHVLMCLSSADRPAESPSPSPRDRTGKDDVCVGSIRTTLFHHFGDDASPKIRRLVRAMLRHKHGGGGGGVGSGATAGEEHQDNAKPERDGEGEATKQDAPAARASPRNGVLISGCQTDETSADATTPEGVSYGALSNAIQNVLAAVHRGKVINMELVRRTRELLAKQGYTQQPGLYCSDKHANVAFIC >OB05G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15947796:15950279:-1 gene:OB05G29050 transcript:OB05G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVARMRRSLVDRFGFDEADIRVLADADRSAPQPTGANIRRELARLVGDARRGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPGDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQTQQSKREAERSDSGSGFRSFLKETLKETVRDAFESRGVHIPHRQGSHRNDDGEEPNTDSSSRGGDGIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFSLFGDDASPKIKKFMKVMLTKLQQGQHGGVMGLVGALAQEFMKAKLEGKQEADALEPAMKQEVHSVHEVYAGTTARVSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILSEKNSKVTNTELVLRARELLSKQGYTQQPGLYCSDKHANVAFIC >OB05G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15953401:15953948:-1 gene:OB05G29060 transcript:OB05G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEDAPDSTQPSPQEDGGRLLRPPLRPETTGDAADDDGGVRCGALCFRVPRRSKKEKKDKKPTPPVVQLGATGGKSARVAGDDDXXAQRVTFLASASLSTWWPASPPAVAAGGGGGGGGAWSAXXXXXXXXXXXXXXXXXXXXXXAAAPTSFSFPSSPVSASTSCTSTPKLVHGCDVD >OB05G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15961766:15971191:1 gene:OB05G29070 transcript:OB05G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:J3M8I3] MDSSPSMSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIISRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVAELEKNLASEREKIQHSSQTLKEMESIYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKDIEESSSLIPQLEEEIPKLQGKFSEEEKVLEQIKESSREETERLRSKLTQVRSELEPWENQIIEHKGKLDVASAEKKLMKEKQDGARAELTAAQNQMERIKEQIKVKDTYIMELQEKIEKHHSEACEAHKVEQECLKKEESLIPLEQAARQKVAEIKSTRDSEKSQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALLEKSGTMSGGGSKPRGGKMGTSIRESISEEAVVNAENDLNKIVDQLNSLRENINDAKKRCRALEDAKAGLEMELAKAKKEVESMNAQFSYNEKRLDSLKVASNPKVEEIRRMEELDDIISTEQAELNRLVKCSSKLNDQASELQQKIENAGGEVLKGQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESKKDKEKLIAEKEKMMSIFKEIEKAAFAVQEDYKKTQEMIDSHKDELDKTKAEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLGDIQTNLVKHMDQIQKDAIDHDKLKETLSDEKLNETCDMKKAVEMVALLEAQLKDLSPNLDSIEEYRTKARVYGERVDELNATTQERDDLKRLYDALRKRRLDEFMAGFSIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFGESMKVV >OB05G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15974733:15975274:-1 gene:OB05G29080 transcript:OB05G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMGVGGGGGGGRAEAHYRGVRKRPWGRYAAEIRDPWKKTRPQGPGHFGGLDISHPSPWHYVYFPAKMQAMAPAAAGHVAASSLPSTTLELRTGPSGAAALPFDLNEPPTPSLLFGST >OB05G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15988241:15988934:-1 gene:OB05G29090 transcript:OB05G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTTSPAGFASSLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGSSNSSTVESFGSDVQAPMKAMPIPPSLELDLFHRAAAAAAAGAGGMRFPFEGYPVSHPYYFFGQAAAAAAASGCRMLKLAPSVTVAALAQSDSDSSSIVDLAPSPPAGSAKKAIKESAFDLDLNCPPPMEV >OB05G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:15998660:15999535:1 gene:OB05G29100 transcript:OB05G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLATNFGSNDGMHICKLKEHNFYMQTSSINIFRGKSCNFCVTKSTWTTQRNLNFKFINFYEISVIPSQLTPLEMCNYNFAYLASAVEVRPSNGPTRIAAIGGGLVVPGLE >OB05G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16012055:16015931:-1 gene:OB05G29110 transcript:OB05G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGRSAVLPATDEAVKAGRPGVGPTKRARDPANAKDLNGFVGGFAAEKKPTVQANSNKKYQKKGGNVLVSQSSPDSNGLSSQQRFGSSSPGGDLRNKARKPTKICIFYAQGQCNNGTSCTFLHKGEVSGSDNQGYEHHRGTGEGSQMQHLSDFKELQLGKVGLFQNEINITLIHAYGEDNKGLSHPLVKHSPHTPKVSHGSKIDGSLTTKPTDEVVQFPVVQEKNHGPYFMGHQISLNNNSCLDDRGATSRLRLDGGNLQFDMAKGGSPRDSLLSRSYLEMNPLKPDYRYQPFDSTICFDPRQYSKKLSAYVGATENLPCKYQEEKSSRHASYNLNCFTGFRNPSYDSSDYSLASQSLRATSHSGTLPLHRLAPDKDGSHHKDADIDKGVTSRSTLHVSSSPQSVVASPGKLSPVKDEVWITSVPFVPSFDLPDFLGSASSSKSQYEPLVDRIDPPKVESLNNLKSSNISCSISNQHGDTNAIRGRSLEKPLTCADKLARNASAKGSNEFVGLISYDRGHNSSLDDDNRVKTCERKDVVSLNDEKADFRFHLVEHVKELVKPIWKEGNLSKDAHKLIVKKSVDKIFASVEPSQRPGTKKLIATYIEASAPKIEKLVKAYVDRHRTA >OB05G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16019666:16025950:1 gene:OB05G29120 transcript:OB05G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPAPEASAQVGGDGHVEGAAAAGGGGGAEDAPGGGERSPKVMNGGGGVEKEKEQRDADSDAEEEEGGGGGGGDEDRDSQSSESDGDMEEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQASIAETFRRQTEALVKKRSIAKATSSTISRRTRGNTRSKRRGRTSSPDIVATDNDDEDRDENGNEGSKESSSVDDRSPDVRQKRARRWPVPRSSPAKTIGGIDSSFEDNDDLGSGRDILTTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVDYLRNTDEFDNKFNLYLVLLPLNGQSMPKLEKPYLSCQPTFSVRHLCQFIALQLSRHAKEVEIFVRKNPINGCFAATDTSTDEIKLDHDTLERLEEEKSLSELYPSLASGHGDLELLYSLKTEA >OB05G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16028927:16031119:1 gene:OB05G29130 transcript:OB05G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L11 methyltransferase-related [Source:Projected from Arabidopsis thaliana (AT5G53920) TAIR;Acc:AT5G53920] HPPPPRRLCRRLLLSSPRTPSPSSSPYSVSSRASPLLLLLSAHPSPFRAGDYAPPASSGAWRGVSSCGSASAVDVEDEPASSSSSDLSSPYLSVRIRCRKEDAEVLSESLLCFGATSVTVDDIAAAGSLDEITITSIYAHGEDVCLSVSNAASSAGLDYTPVYESSVGKQCDWVAVVQETYDSTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVRGGEHFLDYGTGTGVLAIAALKMGAALCTGIDIDPQAVTSSCENMMLNGIDSNKMLVYLVPNNAQPACFPSNIDKSEESKPPSDLELKSSKGSYDIVAANILLNPLLELVEDIVSYAKPGGIVAVSGILSEQVLKVEEAYSRYLKNISVSEIDGWACLRGNRRAEG >OB05G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16032040:16037739:-1 gene:OB05G29140 transcript:OB05G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protease-related [Source:Projected from Arabidopsis thaliana (AT1G28320) TAIR;Acc:AT1G28320] MAPHEVAAAARDFSAMARIVGPDPKSVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPPLLDRICSAPRHGHAGGVALTSASLVEPFLVAEQRNSTSQEFQPRLVPEAHIDVLVEEARSIGDRKSGAPRWLSARLLAIVDVSASADSVISLLQHEGSLTRSSSWDVCWSLADVNRKQVDNDARYSLESNRNRAYTESTEPPMLARAATRIAVLGVSNLKSNNTRCVNVSPMQRRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGASRCSLLMADVHCLPGMEGAPVFDKNSCLVGMLMKPLRQKGSIVEVQLVITWDAICNAWSSNKLEKIGRSPSESVDDRSSDCKYKDSCSADLYKRFVSNSASSLNQYDISPSLPEAISSVVLVTVGETSWASGIILNKNGLILTNAHLLEPWRFGRTSSLGLQNKITSFSEEHTCEGENNLLQPRHCKVSNGDAVKHDVSLFNLGFKRDRAISIRLDHGERQTWCNARVVFISKGPLDVALLQMEKIPIELCAIRPEFICPTAGSSVYVVGHGLLGPQSGLCSSLTSGVVSKIVKIPSTQYSQLPSAVDINSMDIPVMLQTTAAVHPGASGGVLLNSLGRMVGLITSNAKHGGGSTIPNLNFSIPCKSLEMVFKYSANGYFKILEQLDKPNEVLSSIWALAPTSSPFFSTSPENGRAGKVLEFSKFLADKQEGLKSRKDIEAFIRDKIPSKI >OB05G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16045310:16045866:-1 gene:OB05G29150 transcript:OB05G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTQRVCVLLQVMMLLLGLAEAFFNGARAARVPAADAVLRHEGDRAAGVYRVRRGVARTTGGVGVVDVPEHVAGRRPLHVVQEHLNEEMRPSFGGGGGGGRGGGGGGGSGGSVIEV >OB05G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16049904:16060486:1 gene:OB05G29160 transcript:OB05G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MGEEEEEEEMSEREVDSQVYMACVMQGRRVGVAYYDSSMRQLFVLEIWEDIAEDFPLIDLVKYQSRPSTIYTSTKTEESLLLALQRNDSNGEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISLDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMVNKCVTPMGKRLLRTWFLRPIVDIEVINNRLNTISFFLCCEEVMAALRETLKSVRDIPHMLKKFNSPSSLCTSNDWHTFLKCICSLLHINKIFEVGISEHLANKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYETLVKEGLCDELDELRMVYEELPDFLEQVSANENASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFVPQLTKAVNFAAELDCMLSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKIRSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFTNYDCPPKVLLSTHMTEIFTENYLPESEHIKCYTMSVLNPDEQTDKEDVIFLYRLVPGQALLSFGLHCAQLAGVLSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDKQYQDAVTKLLAFDANKDDLANFFQEIFPS >OB05G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16060594:16060761:-1 gene:OB05G29170 transcript:OB05G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTALASATSPTRIPPIRLKTNKYIPYSSRNTMVLVSTKQASTNLSVPTQYPS >OB05G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16062783:16064838:1 gene:OB05G29180 transcript:OB05G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKDNIKIHGF >OB05G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16066195:16068207:-1 gene:OB05G29190 transcript:OB05G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVGAYSPSPRIEMEVATKWDHRGMNNLKGADGDLCDHYPVSANAEISALKAELLQAHNRIHELEAESWSAKKKLDHLVRSLAEEKASWRSKENDKVRNILEAVKEELNRERKNRQRAEIMNSKLVSELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEEKKMLQMAEVWREERVQMKLVDAKLTLENKYSQLSKLQSDVEAFLSFHQGNTVNKETLRDGERLREAICSMKFHDIKEFSYKPPPPSEDIFAVFEELRDRDDANEKEIGQCNGGTPKRHATKIHTVSPETDVFLEKPLNKYSNQPCDRNEEDDDSGWETVSHVDEQGSSNSPDGSEPSVNGCCGGNDASVSGTDWDDNYENGRSNSEISEVCSTTAEKYRKKGSSFGRLWRSSNGDSHKKTGSELLNGKLSSGRMSNAVLSPSLKNGEVCTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGAQKQNPHDLKSKLIEAKLDGRKVQLRQALKQKI >OB05G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16068607:16068834:1 gene:OB05G29200 transcript:OB05G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLKTPNLISRREKCMQDVRFPAPVSSINMRPLDWGKNAVEKFLVPTVDSDTVLKKFASKILDPLRRCARVLA >OB05G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16075795:16075959:1 gene:OB05G29210 transcript:OB05G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLESSILPAELNFFLVGWKLQLAGSKHSDLSSSSRALHVPGVVSLLVSVSWIL >OB05G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16079248:16079538:1 gene:OB05G29220 transcript:OB05G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAPSRPAASWTDEYDDGEPGSPEREEMAGVEVRIRISKRQLQELLENAAVAGCGGDEKVLACIIDAGEVVGHHHHHRHWQPTLQSIPEAVEP >OB05G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16080006:16082209:-1 gene:OB05G29230 transcript:OB05G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27090) TAIR;Acc:AT3G27090] MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNDLDYARMNTVPDIKQWDKLSYHQDENKMDHLNLGLMNLDLKMNDIRMNEAAMKNPFRSMAYNMNQLYPKGGNGNVNSFKMNVGVNKYSHSPSGKEVNGKNSSGNSNGSNSSGNNSNNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGI >OB05G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16088630:16091225:-1 gene:OB05G29240 transcript:OB05G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSCGCACCCSWVRGVGCASCCSWIRAVCGGGASTSATREAAAASSSSSSQETAASEAKKKKKRKWVRGVCGKASREAEEPLTLETMKKRKSTATSQELDKNKWGTKKIWRKKKGKSEPSGLASLVKEISLSNSPKHRAAAGEILRIGNHNIPSRIFTFRQLADATNSFGPENLLGEGGFGRVYRGFIPETKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEYMPLGSLQDHLLDLTPNSQPLSWHTRMKIAVGAARGIESLHEIATPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMSGKLTKMSDIYSFGVVLLELITGRRAIDTTKPTREQILVQWAAPLFRDKKKFVKMADPLLDRKFPLKGLYQALAISSMCLQEEASSRPLISDMVTALTFLADPNYDPPDDVEPLPITAPNLDPENSQNEAGGGDDEEGEDDDDDDDEEEQEQRKGEEKVAGMASVGSEEHADD >OB05G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16092639:16093228:-1 gene:OB05G29250 transcript:OB05G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVFLAALLAALVAVSAAQLSESEMRFRDRQCMREVQDSPLDACRQVLDRQVTRRGGAPPQVRPPGAAARGVRMRCCQQLQDVSRECRCAAVRRMVRDYEESMPMPLEQGQIRYYGGEGSSSEPGYYGEGSSSSEQGYYGEGSSSSEQGYYGEQQPQMTRVRLTRAKQYAAQLPSMCRVEPQQCSIFAAGQY >OB05G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16099143:16100482:1 gene:OB05G29260 transcript:OB05G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M8K2] MASSRAILALLLAAAAVASSASAQLDEKFYSHSCPSVEAVVRREMVRALAAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSAGNNTAEKDATPNQTLRGFGFIERVKAAVEKACPGTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCSSFTDRLYNFTGLDNAHDVDPTLELQYMARLKSKCTSLADNTTLVEMDPGSFRTFDLGYFKHVAKRRGLFHSDGELLTNGFTRAYVLRHAAGSQDEFFADFAASMVKMGNVGVLTGSQGEIRKKCNVVN >OB05G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16105717:16106791:1 gene:OB05G29270 transcript:OB05G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3M8K3] MRGYDAINRIKARLESVCPLTVSCADIIAMAARDAVYLSKGPWYDVETGRRDGGVSVAEYAENDLAPPDSNIVDVKTFFSVKSLSAKDIAVLFGSHSIGTSHCGAFQKRLYNFTGTGRMDQDPSLDAGYAAKLKKLCPPGHGHSHGGAKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLRDDPVTRGYVEKLANASSPDEYFADFASAMVKMGRTDVLTGRLGEVRPTCDTLVD >OB05G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16106589:16111274:-1 gene:OB05G29280 transcript:OB05G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAGPIRSLGYARGLSPLALLMDPAADAEGAGYEDASEFADAETDGDEVAGPRMAAGGEEVRRELPEELARGVVCLECETSPEAEAAGAGATCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMGYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWEQPIQIETLLDLPVVKQGASKMKILASIGALGGVVIATGIYIWGRK >OB05G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16113262:16113903:1 gene:OB05G29290 transcript:OB05G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEHSKKLRLLLIPFFATSHIGPFTDLAVRLATARPADVGVGAPVAVTPANVAVVRSALQRHGSVASGMVSVTTYPFPAVDGVPPGVENLSAGGGEGWRISAAAFDEALTRPAQEALIRDRSPDALITDAHFWNVAVADELGVPCVSFSVISLFSGLDMHLLAAAAITDDSDSEEATVAGFPVPEVRIPRSEVPDFLTSRRNLDGIDLHNR >OB05G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16116438:16118704:1 gene:OB05G29300 transcript:OB05G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M8K6] MASAGAERTKKKLRVLLMPFFATSHIGPFTDLAVRLAAARPDIVEPTLAVTPANVQVVQSALARHGSAASGVEALIRELSPDALISDLHFVWNVDIAGELAMPCVRFNVIGLFSVLAIHLAIAAVHDPDSEEITISGFPGMELRIPRSELPDFLTTRSDVDSDLKWEQANTRCHGIAVNSFLFLDQPYCEKFISSGFAKRAYHIGPLCLPQPPAMASVGESSCISWLDSKPRQSVLYICFGTFAPVSEEQLDQLALGLEASGEPFLWAVRADGWSPPAGWAERVGSRGLLVKDWVPQTAILAHPATAAFLTHCGWNSLLEGVMAGVPLLTWPLVFEQFITERLVMDVLRVGERVWDGPRSVQYRKAALVPSAAVARAVATFLKPGGTGDAARIRAQELAAMAHAAVAEGGSSYSDLRRLIDDLVEARAVAGAAAKHPH >OB05G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16123734:16124219:-1 gene:OB05G29310 transcript:OB05G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLNRPMTLKVTQAMPRSSATKAFQVKWTSETRTSGDCPLMSASCAGRVSASLTAAASTRHPSAPAVERFSTPGGRPAASGNGYVAMLTTPLAAGPCRWRADRTTETLAGVTAMVGSTASGLAAARRTARSVKGPMWLLAKNGMSRMRSFLLPGEAISYP >OB05G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16123744:16125210:1 gene:OB05G29320 transcript:OB05G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSKKLRILLIPFFANSHIGPFTDLAVRLAAARPDAVEPTIAVTPANVSVVRSALQRHGPAASGVVSIATYPFPEAAGLPPGVENLSTAGAEGWRVDAAAVNEALTRPAQEALIRGQSPDVLVSDVHFTWNAFVAEDLGMACVTFSVIGLFSMLAMGLLAEGEANNSDSEMVTVARFQGSEIRIPRPELPVFSTCQGNLDGMDLPRMEESQMKSHGYVVNTFLGLEQPYYEKFASTIFSGRVYLVGPLCLPQPPVDADADAGEPSCISWLDGKPSRSVVYVCFGSFAPVSPEQLDELALGLEASGKAFLWAVRADGGAPPAGWEERVGARGALLRGGPPRGAVFAPPSTAAFLTHCGSNSVLEAAAAGVPLLTWPLVCDQLIEERLVTDVLRVGERVWDGPRSVRHEEKTVVPAAAVARAVARFLEPGGAGDAARVRAQELAAMAHAAVAVAEGGSSYRDLRKLIDDLVEARAAAGAGAAAKQPQ >OB05G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16127770:16131259:1 gene:OB05G29330 transcript:OB05G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSDQTSRGTAPRRAASSASSRIRSQWWPASRRASRTSPPPALKGGASTTLAVHEPLTRPVQEALIKDQSPDALVTDVHFSWNAGVAEELGVPCVSFLVVGLFSELTMRLLAAATDSDSEEVTVAGFPGARLRIPMSELPEFLTSQRKVDGIDMSKLVQGQQRCHAVAVNTFLELERPYYEKFVGNGFAKRAYLVGSICLPEPPAEANGGQPSCISWLDSKPSRSVGRRVDAAGGVAGACRRQGNAGKRMGPVDVDPRPPGDGGVHDTLRVELAAGGRGVRVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRYEQKTVVPAAAVARAVARFLEPGGAADTARLRAQKLAAEAHAAVAEGGSSYGDLRKLIDDLVSKSGRRRGRNETSVVYTDRTRAQGRVIKLTIKLIKLAQSNGGSARIIQWNVSLADELSVPGVLFLVTGAFSTITLGLIGAVRNDAKDVTVPIFPGRELRILTTELPEFLRTSPQVAGRSEPKKLVSRSRPSSYFGAVVNTFFDLEGDFCEMYVRDNHAKRAYFVGPVSPPPLPESGESPCLDLLSSKSARSVVYACFGSLAHVSEAQLDELALARGLEAAEKPILWVVRTHGATGGHRRRAGRRGLETTGWSSEDGPHRRRYWPTRQSVPS >OB05G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16131390:16131620:1 gene:OB05G29340 transcript:OB05G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRGVRSTRDEEKEVVPAKAVAKAVSRFMEPGGAAETARSAIKELAVKARAAVADGGSSYCDLRRLIDDLMRAK >OB05G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16134414:16136771:-1 gene:OB05G29350 transcript:OB05G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT3G20680) TAIR;Acc:AT3G20680] MLKKQRQPRFRAEIPVVDDSPGSLARLASDVFSSCLGLPRKGGAPAKLLLVWPSSEEQGLALREPNDWGASTAHAQLDAVAPDALRSCDAAVFLAPGRSQVEKMRAAADALDPKPVVLFNPAWSFDEEEAFAAGARGFVGSFNVVYSFTGLEVRGLLSKKKGVLLRCVEGGRFGGESWVLMVEDDAPEAGQFKVVSRLKRRPTIGEVETMLYNLMAANSPVTKSARFLRGLVSSVTGGIKEKQ >OB05G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16138006:16138401:-1 gene:OB05G29360 transcript:OB05G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPPGSEVSSSSSSCVLPDFFFPDQKPYYSLIQMGLFSWWKGQRSGGSPEPAKGAGVLVAEVAAEGTQGAVEVRRRRQADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIVF >OB05G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16138838:16141913:1 gene:OB05G29370 transcript:OB05G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54660) TAIR;Acc:AT5G54660] MPPRRAIEVFRQAADGAAAPPPRWRMSLLENTFSAFLQQSGGGAGAEAAAARAVFGEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGARTTVDWAETDSEYYLRADIPGGRKCDVEVSGDDAMRVVDISGLWRAPAPDGRDWRAGRWGIGIGESMAAFLSGLQPNVRRNQREKDRDTTKQGNKHAPIVFQSRSEMPEACGFYPSRIGVPARFVLGETAPGIENGVQRMTGSGYVYWEFFSQYLN >OB05G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16143143:16144438:1 gene:OB05G29380 transcript:OB05G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEPAVRGSGGVGAAHGHGSSASACFSGGVLVDGPRTQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPNQRLTSWLLRALVARAWRRLCAPAGASVACLESGAPAPPRGRGRAMSVTELADYVDLTPWHRFGFTASNAAILRAVAGRAAVHVVDLSVTHCMQWPTLIDILSKRPGGAPALRITVPSVRPAVPPLLAVSSEELGLRLANFATSRGVQLEFNVVESAATAATSPKKKKQPTPCQELASVLSDPPSLGLRDGEALIVNCQSWLRHVAPDSRDFFLDTVRTLNPCLVTVTDEDADLDSPSLASRMAGCFNFHWILLDALDMSAPRDSPRRLEQEAAIGRKIESVISEDGGERSESGARLAERMRQREFASVGFDEEAAAEVRRLLGEHATGWGVKREDDMLVLTWKGHGAVFTSAWVPS >OB05G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16146747:16147229:1 gene:OB05G29390 transcript:OB05G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSAALASSAFAPLCGLRLLAGSSRVLCLAAGAGHAIDALHAEGVSDVTGIDLVDFPPLVRRADPHHLPFSDGAFDLIFSDDPSGISGALFPSQIAAEAERAVRSGGAIALAVDRHLDPAAVAALFKRSRIVDMRDITFGGSQVRMLILQSNGTTSNSH >OB05G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16157233:16157993:-1 gene:OB05G29400 transcript:OB05G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAAAEKAPCAEHREKLEHIEHVTRDAGQVQRRVLAEILEQNARAEYLRRLGVAGDAPGADEVFHCLAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGMYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPPETTTS >OB05G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16157664:16158236:1 gene:OB05G29410 transcript:OB05G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPGEELADGLAGEDRRGVAVGDAEDVGEDVLVRDERRQAVEHLVGARRVARHAEAAQVLRPRVLLQDLRQHAALHLARVPRHVLDVLELLPVFRARRLLRRRRYRLRHGAAHALTVRVSSSGRGSAEPERAELRFGVSDERRSDSERGLFIVMTQGQNRLCTGATTFVLTVLSPAYQREYRFTCKSIY >OB05G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16173618:16176840:1 gene:OB05G29420 transcript:OB05G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTTSEGQNSTQVRSQNPPPSSSSPPARSISTRRSGPHAPLNLIRIAMADEWTWSSSSARAGDEAACSTAEPTGESAVGSDPMGFSQPGLPDGAVAAVAASSSSSFLLADRHMDYWTQDFMGGRAPVAAEAAAAAASSFNTLLQLQGDAASHRLLLDDQSAAASPRAPSPSPHLVPSPYGDGATVAAPPPYEADSLRYCSFSSYAGGFLQNQALTWLVQQPNADVCSPETTRGTAPAHEPTVKKARIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPMQQQHQQGSHKSKDNGEAKQDLRSRGLCLVPVASTYTVASETATEFWHPTFGGTFR >OB05G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16179760:16184766:-1 gene:OB05G29430 transcript:OB05G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKLWQVPETLSEEVLGKMGAPPRSDAPVISPQELAEADGVLFGFPTRFGMMAAQMKAFFDGTGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKMFDMEQVHGGSPYGAGTFAGDGSRWPSEVELEHAFHQGKYFAGIAKKLKGASA >OB05G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16187534:16187707:-1 gene:OB05G29440 transcript:OB05G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPLIRRGLALVLMKWAAFLVAALCGPSTSLVRMKIDGPGLYGNLCWLGFVVLCTGP >OB05G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16190915:16196369:1 gene:OB05G29450 transcript:OB05G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAGAAGPRGAPPYGQDLYVRLAAVDLDSTSKSKKKMHIVITVVVSICALAILLSVTGIYIWRTKRTKARRRGPSSWSGGLHSRELHSEGNSHGDDMDLPLFDFETIASATQDFSADNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLIGYSIGGQEKMLIYEFMENKSLDYFLFEKSKSVLIDWQTRYHIIEGIARGLIYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSCSSHLNLLAHAWSSWNEGSSLDLVDETLNGSFNSEELLKCLKLGLLCVQENPDDRPLMSHVLLMLASADAASFPDPRQPGFVARRAATEYTSSSKPDCSFVDGMTITMIEGR >OB05G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16191188:16191430:-1 gene:OB05G29460 transcript:OB05G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGSGYPTRKGAFSLTELSAFAAHEYQGLTVLSLNNKKQLPRFLLGSFATDRPRQITILYAWCLALLLFCSSSLFVLKF >OB05G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16193228:16193443:-1 gene:OB05G29470 transcript:OB05G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPITSEFQTWEQILLMLSFNEEDYLQFQTNLSTQEARTSPTNQHEGNTGFYFCLKPLDHVCSAGKGVPT >OB05G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16196419:16196754:-1 gene:OB05G29480 transcript:OB05G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTYGPLGRGPSPAVQVSVQLRCDGDGDDEQRHVAGSSGRATRPAASPRREVHRSATPFRASLGWVGFGARRPGWSLVGEPATEEEEGEEGGRDDVVANRRSLSAPLAR >OB05G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16205498:16208035:1 gene:OB05G29490 transcript:OB05G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERLCLEAERLGLSAMIMGSRGFGASRRGNKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGSGEAVGDELRTVPEDEPVYHEAPEGQKEN >OB05G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16210711:16211643:-1 gene:OB05G29500 transcript:OB05G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAANVHGHTVVHHHLQVSAFDADACGRHHAPLLVRPAPPAPPPPAPFCYVHSPSAGGQNAVPPEQQFMRDRWGCMGGLAGAGHQPQLLSLAPEHPSSQSNTIWRPASSSSSSCLPTGHRCDLCSKTMVRALAERGARGAAVPCPAAAATAQDYSIYDLAAAMATARKEKGQVLFLGRERKSDEAAEKEVREIEFFPASTNHPDESEFAAAAGLTPLPSSAGGGCGAPLDLSLRL >OB05G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16219052:16219816:1 gene:OB05G29510 transcript:OB05G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEQHTLTRLSQSHLMYVQNKRRAIYVCTVTECAREVVAVSCCTSYPSTSWRAPAVRFHMLVSSAARSTGSPSPAAMSGELSTRPSTSSSGASPSGHARRPEHGETTPTTATTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGRH >OB05G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16220818:16221048:1 gene:OB05G29520 transcript:OB05G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGSTTAVMTVLLVSFLFEYLPKIYHSVVFLRRMQNQSGHVFGTIWWGIALNLMAYFVAAHVSKADHHLSVVLT >OB05G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16229089:16230353:1 gene:OB05G29530 transcript:OB05G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSLEWWMKRKEVPQGFRQRVRQFERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEIIVREGEPVQRMLFIVRGHLQCSQAMRNGAASCCTLGPGNFSGDELLSWVRWSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >OB05G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16233876:16236333:1 gene:OB05G29540 transcript:OB05G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSSGSQGHHVNGKEVQSIGPSVQNNGPRHRPLTLMRQCRGVLYLVIMPLTAFMLMVYISPVTTFLVRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGERVPPKGRVLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVDRKWEVDEPLIRTRLSELKNPKDPLWLAVFPEGTDYTEKKCTKSQEYAAQHGLPILNNVLLPKTKGFHCCLQELRGSIDAVYDITIAYKHRLPTFMDNVYGIDPSEVHIHVKIIQVPNIPTSEIEVADWLIERFKLKDKLLSDFSTHGHFPNEGTEDDLSTLKCVANFVAVISTTSILTYLTLFSSVWFKIFVAFSSAFLTFATIYSIHLPQVISLEAGGTHAKKS >OB05G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16237000:16239828:-1 gene:OB05G29550 transcript:OB05G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVESSPPSMAMASLQPTLLAPPPLCCCSYALLLPRARKASPRFSNGGAFSAAKSLARGEFLGKGGTLAWRMEGRRRLGVAGAGRGPLFGGGGGGRRGTTGRVVGNLAFAALLTYLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDIFQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTSTSAQAFSEFFKRGSEGKTPSGTVVDIEAEVKDAE >OB05G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16244634:16246007:1 gene:OB05G29560 transcript:OB05G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGAAAALFSEEELRDVSGVRLGDGFVEVTCGCTSARYGDAVGRLRVFASGELEVSCECTPGCTEDKLSPAAFEKHSGRETAGKWQNTVWAVVKGDKVALSKTGLLRHYHSKNKKLRSRSANGSGGNGRHRDEFVRCSGCGKERRFRLRTKEECRLHHDAAARHDWTCKDMDAAAAGRVRCEDEEERASRKASRGCARAAACKGCVRCVCFGCETCRFAGCGCQTCVDFYRNHGTTTTNS >OB05G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16247234:16250409:-1 gene:OB05G29570 transcript:OB05G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPSPSPPRASSDNDTGFDPVEEWLVDFDQVMAEEMANGLGVGDEVVVVVPDSAGKVSGGLMSESCGVGVKEGILEEKGAALVKQLGITLCGELDVKMEPEMGREGLGPKFGQDQLPVSGIGDLAVREDVSEVMVDVEKSTVPVDAEMNNMALAVVKEETKGRGGKEDDSDEEESESSDEEEGSSEASSSSDEEEEKMAKEDEESSEASSSSDEEETANKDGIVRDTEALLEEGELMLASDDNDDDEAPKGPLKSKHEVEILPPVPKIEVQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITERRTPLGIVDELFGPVKNPYYLVRYNSAEEVPAEISAGTAVSFVADFADHILNMKELYAKGYDGSGENNEEQSDPDFSDDEKEAEYKRSLRLAKRQTDRQHEGKKTSGDKKRAQPRGAGFRKDVPLRDRDGPTPGRKPQHRFNRPDTPLVDATCTLWSQDRPMSAPTMLPQRPVHPVMPSANQLTNQMGGCFINPSQQFLPQQPNVVWPGGLPPTPHPNMGVEGAAFAANIMQNLLIGASQYQQQLQNQNFGGFLNQMSVPPPQFMSQGGMPSNPMAFGVPPINPPFGPPPQLPMNQGNFGQHMAGTTLQQGPPSGFPSGQGFGHPAPTQGDGEQPPMQFSSGQSNQGPSFRGRRLQQRGGRHSSGGRGGGRHRR >OB05G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16251112:16256612:-1 gene:OB05G29580 transcript:OB05G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3M8N4] MAGKHGRNGFEDDDVNPFAGGSVPPATNSRLSPLSHEPADFYNVDIPLDSTKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLAACLFWNIIATTTAWVKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLLYLIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARGALSSAF >OB05G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16260898:16261212:1 gene:OB05G29590 transcript:OB05G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSGSHPSPRSRAAAPRHVARRTHPRSSTRRRRRRPPRNRAGLLCLHLHCHRRQAHRRPVPPPPPPATATGRSRLTTRRSQWSASYSCSTTNPIQSVINHSSAN >OB05G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16264356:16264538:1 gene:OB05G29600 transcript:OB05G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGFGIQHSFFTCSSTIALVLVVRSQAFSIACSSLPVVWSGNESPERHYGPLHAVIDTIS >OB05G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16264658:16269893:-1 gene:OB05G29610 transcript:OB05G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfite reductase [Source:Projected from Arabidopsis thaliana (AT5G04590) TAIR;Acc:AT5G04590] MSAAVRGAEFHGFRGGAAERLPRSRMLGRPLRVAPAPXXXXXXXXGPSSASVRAVSAPLKKDVAEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISIVIKNMGSSLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSSEEPPEVTKARNDNTHGTNFPDSPEPIYGTQYLPRKFKIAVTAAGDNSVDILTNDIGVVVVTDSEGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRAEVEKYYGKKFEDSRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGEAKKTLRAIIEKYNLDVSITPNQNLILCGIDQAWKDPITAALAQSGLLEPKDVDPLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKGHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAETFMNKVKLQDIEKVLEPLFSYWNSARQEGESFGSFTRRTGFDKLKEVVNKWGESASAA >OB05G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16272280:16272456:1 gene:OB05G29620 transcript:OB05G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKLRILAVAAAAAVVASSXXXXXXXXXXXXXXXXXXXPAVAAASLAALVCGYLF >OB05G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16275647:16280155:-1 gene:OB05G29630 transcript:OB05G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPSSVAALTELHVGEDVMQVPIGRPSVGVFVAPCAAFGIGSCTQLQSPAATTRIMRCRLIVYPPAAQDQHSLVTYAQQLWNNWEIQFLVVVSFSLQVFLLFSAIFWKCHCSSVLNVLLWLAYLSADSVAVFILGRLTLLVGDDTQHQSLVLFWAPFLLLHLGGQDTITAFSMEDCALWKRHLLNLATQLSMAVYAIGKQWRGGNKQLVASTVLMFISRTTKYAERILALRRVQSRALETISMEFHVSSADIQFSTYSRPYYEELGSIISHKQEKNFERVMDAATQGFRLSLYFLMDLTPPRPSFRYNLGKDLSDQTLGNIGDIAYKLAEIHLSLIYDYLYTKFGSLTGVFCRLITLTLTCTALAMFVASMEADHKLGGLLSYHNINGADITISYILLVGAITLEISSLFIWLISSYSPYMTISWLRGDLPCREEGNHNITARPVKRRQQIRERRRRPVVKPIALPCAGTVLHNIVRHLRPEGRVEWSRKLQQFNMVDWCFREKQAGWLERTMRCVGIERACTSPVHVSADLKKVLLDKMLHVWTTSTSADDMDLARFHGRWAQRWVLIGFDRLQRHQAKAQHNIVADDDDHQQDTIVAPSSEIITDEDEQHRAAPSSEFDVAKRALEISDIQALDFESSAFLWHLVTDICLVADADADSEFKTSSQELSNYVLYLVIKCKAMLSRNGRQALGYNRQQMMLFLWYESVDRKGFIQKLHSIQCVGSPSNVFVQAHRVSLELLKMETAAHRWELIATVWVEMLCYIAHNCGAGFHAKQLSIGGEFVTHVKILLFILSFPF >OB05G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16283777:16284900:1 gene:OB05G29640 transcript:OB05G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGQ >OB05G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16306552:16307676:-1 gene:OB05G29650 transcript:OB05G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQRSHKLPRAMAYCRCRMILVLFFFCVCIFVATTDAAKGPRAAGRWTVGSPAAYSAGGPAGADVFRDSKRRIPKGPDPIHNRRAGKATIAPRRRD >OB05G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16315259:16317429:1 gene:OB05G29660 transcript:OB05G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSRRRGGAGAGAGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKDPETAIVWFWKAINSGDRVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKTARSHGKKFQVSIQQETSRILGNLGWAYMQQSNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRNEEARQVLHDVLLRRISGSEDDKAVARAEQLLHELEPVMMHVPSPLDAGLSVSEGIMERLDLVLNEWTPFRSRRLPVFEEIATFRDQIAC >OB05G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16317301:16319256:-1 gene:OB05G29670 transcript:OB05G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFENARSVRLKSHLGTYLCDLDGGGGEAVSHGYPRQCRGXXXXXXXXXXXXXXXXXXXXXXXGSPAALAGCRGSAACCWVIQGLPSNPNDGAFLWTPRREGEHLTLTGLYGRLLRARFGLTPQENVVTVDHDAAPEECSWVVEVVPVESAPPPRPPRCRAQSCDARMEVTTSEQDTASSAFVRFYSAKEPKMVKPPEEEPPSEAPSCAPVPRTVFYNTARDDGGVDDFDEGTWKYFTFNEQSLAALRRRLEEETRRGDFVVCRRRCAAPPPGLFPVVLDLPPGNRDMEFVLVLESSRDSKEFSDATLKPNHFSLDSNRTSEQCTWLSCKCLQHVSSITEHTAKTRLFLGCFT >OB05G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16320487:16331208:1 gene:OB05G29680 transcript:OB05G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVAASTPPPVGVLQFFDDAAFVGDEDDEDEEEEQQEEMFFESEDDAAADGLFTQGKAETVNLRTERSHPLPFLGIVKEEELSGDELEDFIKDRYSSHVKHTPFDGSTNVHDDDFTMDQLKEPTIWKIKCMVGRERQMAFCLMQKFVDLQKFGTKVPIISAFALDHARGFVFVEAEKACDVTEACKGFCTVYVSRINSVPVAEVPSLLSSRTKPFAISPGTWVRMKNGNYKGDLAQVVSADDGRKRVMIKLIPRVDLRAISKKFGGAISLREASIPAPRLISSQELEFFRPHIEIRRDRQTGDVFEVLDSLMFKDGFLYKRVALSSLIYWGIQPTEAELLKFSSSPSNTNSTDDLEWVSSMYGRKKRNLPKEHDMKPSSSKASSSKEKCSKESNLKASTSTEDYEDDRSNLHDLVLFGRKDFGVVIAIEKDGLRILKGGPEGSAVTIRKQDIKVCEDKMFTAVDQKKKIISINDTVNVLEGPFQGKQGVVKHLYMGILFIYNESESENNGYFCAQCGACENVKKRKEVASSTDGNLEDNPIPMFSYEQNEQQDNERPYRSTREQLFSIGETLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPAKRGDNSSGAPTGPFGSEADKPSWNNGLPSFGSDSWQPFSSSALPVENADGDSEADPWCKKTTSTANDSDPWGMKTKSASLEVWNNSTTQKEKSSDDAWDKQPGGSGSNIGGSSWDRTTVDKESGKSDSWGEACKEMDKTASDTDPWGSKVKEVDLKETDNWGKSSMQPEKSEENSHGWGQPIGRSNRDQEKVAEKSGAWDTVIAGSSSSAPGIGDGDSWVKTDTFPVAQDAWGKSKDKSSDGAAGWNERTSNQSHGTAAGNWNKSSDVDGEKDAWGKAKDTTTKSDEKNNEGGNWNAPNSSIDQPWSSSRGTKSSDENRASTWNSSEDKKPSGGQEQGDAWTSKMTSAGAEDKSDGWGTKTEGNSGSTGGKWDNASSGEERQTDPWGSKIDSTKGKEQETDPWTGKVTSSADAEDNNNGWNARARDTASGSEGKWGNAGAEEKAGAWNGKGGNENNGGWNGGGSSWGNKTSSWGTPSFSGNQEPAWSNPKNGDDNTGYGRGGFGRGNRGRGRGRNFGDNGSSWSGGERSEDRWNTRDSDGCRGRGRWRFGRGDRPQGSNYSPGDNNDRSWGSGRGNRDRQNWNRNDDKIPFGQDRGGGWSQSSDWNSNKGNTEDQALSKGKSSWGSDKNDSWGAPKPSGGDDQTEKNNGNNPWGQWGAPGDTTGKGSGGGGSWENKNLSGGTRGSGMTAEKPSWGGSGAGQKKEGSWEKHKGSGSQGGGNSSWDKADGGWNGSQGGGKADGGWNSNKGENTQGSGGSSWDKADGGGSSSWEKADGGWNSNKGENTQGSGGSSWDKADGGGSSSKGGW >OB05G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16334060:16336107:-1 gene:OB05G29690 transcript:OB05G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKFMFSIAFLLCLSSVSSTSHYFTSMFSLGDSYIDTGNFVIMATPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPYLPASLANSSSVSQGVNFAVGGAPATGIDYFEKNNIVPFKLLNNSLDVQLGWFEELKPTICNTTTKEANGFKNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITMGVERLINEGAVYVVIPGNPPTGCAPALLTSRMSPNKTDYDNLGCLCPINNVAKHHNTMLRAALGVLKGKYPHAKIIIADFYKPIIRVLQNPSHFGFAGEGILKACCGTGGAYNWNASAICAMPGVVACKDPSAAVSWDGVHYTEAINHHIAKGWLYGPYADPPILAAIRN >OB05G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16338959:16342274:-1 gene:OB05G29700 transcript:OB05G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLAFSFALLFCLSRASSASRYFTSIYSFGDSYVDAGNFVIMASSTVPVWYDKPPYGMTFFGHSTGRMSDGRVIVDFIAEEFGLPFLPPSQANSSTLSQGLNFAVGGATAIDTGFFERNHIVSFKILNTSLDVQLGWFEQLKPSICNTTKDDAKGDKNCWGKSLFVVGEFGVNDYDFLWTAGKSKQEVESFVPRVVRKITVAVERLINQGAVYVIVAGNTPTGCAPALLTLLASPNRTDYDGIGCLRALNHVSRQHNALLRAALEGLRAKYPHAKIIFADFYSPIIQLTQEPDRFGFAAGGVLKACCGTGGAYNWNASASCGMPGVAACRDPSASVSWDGIHYTEAVHRYVAEGWLHGPYADPPILAAIHH >OB05G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16351151:16351727:-1 gene:OB05G29710 transcript:OB05G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDNLSCLRTSNGVAKYHNALLYVALTRLRGKHPCGNIMFADFYQPIIRILQNPSHFGFTADGVLKACCGTGGAYNWNASATCAMPGVVAACKNPSSSVSWDGIHYTDAVYRYIAEGSWLYGPYAHPPILAAIQH >OB05G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16352913:16353392:1 gene:OB05G29720 transcript:OB05G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTRRAFLLCNYLLLGAASGCIFLTLSLRLLPSPCGLLLVFLHALTAVFAAAGCSGSFSSGGGAHTASAVLTAIFQGAAALLALTRTGDFLAELRSYVREEDGDVILRLVGGLGAAIFVLEWAALALAFALRLGDDGGDDDQDGGDAKSWQSGYHV >OB05G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16355257:16359674:1 gene:OB05G29730 transcript:OB05G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRRDGVGLGLGLGILLHGAVALASLLLVASGEVFFEERFDDDWGSRWVKSDWKKSEGKAGTFKHTAGSYSGDPDDRGIQTTMDAKHFAISAKFPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLIDNREREFGSMYTDWDILPPRKIKEANAKKPKDWDDREYIEDPDEFKPEGYNSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYRGRWKRKKIKNPNYKGKWKVPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARNVVDEIRAANKEAEKEAFEEAEKRRKAREEEEARRAREEGEKRRRERDRSRGRDRYRDKYRGHRRYDYHDEL >OB05G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16383730:16386917:1 gene:OB05G29740 transcript:OB05G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylem bark cysteine peptidase 3 [Source:Projected from Arabidopsis thaliana (AT1G09850) TAIR;Acc:AT1G09850] MAFASCLPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRDGEGAPYLGGVGSVPDALDWRQSGVVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNTGCGGGLMDYAYKFVVKNGGIDTEEDYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDLLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMHMHRNTGNSYGICGINQMPSFPTKTSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDSATCCKDNRYCCPHDYPICDTASRRCFKANNGNFSVMEGGSRKQSFSKVPSLGGLLELLGQ >OB05G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16389462:16389800:1 gene:OB05G29750 transcript:OB05G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCRARGNESVILIHFLYLVWFSMWPLSKIKNQPSEVAIDRLRSHKRNRESWQVISAKDFAFLVHGLAHYSFFTPGQCHPQTDTETRKKKKKKKKNERGKNIQAGVELML >OB05G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16390074:16393842:1 gene:OB05G29760 transcript:OB05G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSGHPINWQSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSNIRQVIITHGAAIDSIRIEYDLKGNSVWSETHGSTDGGGSETDKVKLVFPDEFLVSVSGYYGSVCGTPVIIRSLTFQSNRSMYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHVPDRSNSPSLPRSQRLTGAYNKNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYAKPSPDYHDGILWNKVPQTSPPLQMVSFPSSYGERGAATMSSHETFGPWGGSGGTIFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKIVFDFPSEILTHITGYYGATMIMGPTVIRSLTFHTNKRRYGPYGDECGTYFSTSFTDGRIVGFHGREGWYIDGIGVHVQEGKLVPPRVSSRSMTEMNPSLRYDMLAQAQSETYDEVAYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQVYVMRGSFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPRALRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRPAPKYVLSKYLF >OB05G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16396445:16399404:1 gene:OB05G29770 transcript:OB05G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3M8Q3] MAAAASAAQVEDSVIAIHSLEEWTIQIEAANSAKKLVVIDFTAAWCGPCRIIGPVFADLAKKHPGVVFLKVDVDELKPIAEQFSVEAMPTFLFMKEGDVKDRVVGAMKDELTSKLELHAAQ >OB05G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16398460:16398735:-1 gene:OB05G29780 transcript:OB05G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVNSFKPFIFCKSTRIWPITRTVMDSVQNNNCSLLVMKVKQLPRTQHSDISPERKHMQNTLLFCWEDSKHKLGSSKGIIDELDTGKNSA >OB05G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16399449:16401671:1 gene:OB05G29790 transcript:OB05G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISRRAAVRGFVESLSTVEGRLLRLEVVVLLSAVILAALVLYGTTRRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFSQRKKLLLQHILQTALVLWLVVSATGRNASYRAAIWAFWCLNVLKTAAKIVEMIKASLPDQSVKVVAEYMDVEESLAASEQPADPRTMKGYKYIFHGEDTMLPVSHQGSRLARDDMMTQSDGKSVVTIDRVYRWIDGEAGYSEVEKDMARDFCLSFALFKLLKRRVYGFVPAEAGSQKARDLVCEGLIQPVTTGPDAAFRVVEAELAFLYDEFYTRNIVLVGARTYVCIAAAVAGLTMWTAFFGTLGPGYHHLLIGVRDLDRSVTVMIVLITAGLELCQAVAGFSSNWRYIKTVYRCVRDEQHWSNRRRGHLWWKESITPPATRYWEDKVGQYVLLKRYRHRPWNLLSWLTLYLVEPRRQGQKRGRRKRLPQEVRRAVLVSLRASYGQLTNGVSTLRRHGLSSPLEWACSFPKLTDQILVWHVVTTRCDWEWASLRGGRSRRREGGDDDDVAGVNRLIARKLSNYCAYLVAFVPEMLPDPSYNVEQIFDTAVQQARDHLAGCRTDGSVLERLREIEERELGGAYERVGSSTIIEKAALLGGQLRATVDAEERRGGGGGGWQVLAEFWAEFVLFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPAGAGAAPPLAPSPTPAV >OB05G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16404710:16414695:1 gene:OB05G29800 transcript:OB05G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDTSTRHRMSREKTDVILKFLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKDKKGRMLAELDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGTSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNETKGKRGGAVNEKDKRAKKKQAKQKKNNRKVKDKERDEKFEAKILERLHDETAIDDSDGLSSKRAEEVTTKVDTLEEGASDRQGDLDSSVTAPDKYPRQMNGLSDVASNASSVQKVKRDASLEVNSPAILADSVAASGIHSKGNNLSDSKNRMTPNRGKNQRNKGISIINFAEESEGIPSSSACGSARYSSSCGTAPKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMEAKASGSSPSSSSLEEAPDIVKSPEQSPEVTTDAKANGIPNKDEPMTNHGREESVSVIPATKSTEALSGMTLPKTKVDPVSNKDHVPKPTLHTNRASINCSKSTPLDVEKDAPLPSRSPHINKAATVPPKSPQVGNATPAHPKSPPIEKACPAPPKSPIGAKDTSLPSVRSLQVDKPIPVPPRLPQVDKVASLSSELPQTSTAISEAQEETTAKRVTSPSVSDVAVTASRPSSAPVFPAPRPTQVQASTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTASAATTYQSTSLGQSASLSQPLSTYAPTMSVTMPPAVRNDQFSGRRGLESGLGKPEAHDSWQPWNGNRHVDKHLWRDDSTYQQTTNSHAYVNFLQARGTETESPIRFGGPQPPRQFQAEIPAGIILQQAQGAVAEEFPHLDIINDLLEEEQTNGSMPESIGHDYQTFGMPLPFLLRGNLADSEMGSASSPGRFNLAEPYYDEGFSRAYDMSAFQGTRERQFPSLDAYSNGLSDLSPSKPWLNGSPNPSMNHVVGTNGFPQQMPDYATNLASELNGGSLYHRRYANGRW >OB05G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16416058:16420815:1 gene:OB05G29810 transcript:OB05G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLDRRAESPSPSPWSPPPPELLRCAVDAAEEEGGRAGMREYGPAAAPRLLVTDAGRVQEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNNADPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVETPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRVRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYSTNSTGAAKLIGWKDGESNLLVDPDEIGCLERVSSLDDEADCVHEIYPGGSAEAAWEALVTSPSRAPAQREIKAAVQTSEARFRTVPS >OB05G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16421610:16422350:1 gene:OB05G29820 transcript:OB05G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAAAAIARPSVRYFSSPEPSFCRCKAGLPQLTRARAESVRCGYSKAGGKVAPAAALKGAPSMLAAAMTVSSAPAAMALVDERMSTEGTGSAGAEQQPAGWVLLGMFGLVWSLYTVYTSTLDEDEDSGGLSL >OB05G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16423959:16427375:1 gene:OB05G29830 transcript:OB05G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVGVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLDHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLRRGETPPRGTQNPERKNCGPAGGNTTLHSEPKPPPCRDLDAC >OB05G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16428398:16434100:1 gene:OB05G29840 transcript:OB05G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVNEEDKAAPSADADHSQALESFRELCGDADCEALKGLLQKASAGGENDVSPVILSPDADKAHRSEVHNFFKISFKFLVTDTVEHNDGVQRCIRVRLGSGGRDGRSGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPSHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKVSANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDVIKAAVEGLGKNGFINYYGLQRFGSSSVPTQLVGAALLRGEWKSAVNLILDPREGERDDIREVREHYKEHGNIDMALRNFPRHLVAEKAILQCLKKCPGNYLQALKSIPRTLRMMYVHSYQSYLWNHAASTRVQKYGISQVVEGDLVYTKEIIPGEAASVDTSEPYDDQLNSSNIDLCSETLPEETIQSVKIVDFEDLSKGVYSFEDVVLPLPGSQALFPGNKIADIYHEMAKKDGISLIENAHGVKEFSITNMKGGYRRVFQRPIDFEWELMTYTDDTSSLAETDLDILSRNKPTETKEVSETESSGILSAQSHDSKLAGPLDSSMPGSESGVVEDKSVGSSDMLARKVAIKLAFTLPASSYATMAIRELLKTSTSVSYQKTLDC >OB05G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16436087:16438243:-1 gene:OB05G29850 transcript:OB05G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLALTPTPKRQKVEESADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKAAYAETVQTTRKVLLSRQKRMSLKINRRSETNAEAVEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNGIMAEESKRFLYTGADLDHSEDEHDFVVERSPRLQSPISKESSFQQTPPHMRAANRDTHMFPQALSQWQPRSWHCSKRQSNDRVIDDTGEYKNSNHDWQLSKQEDSYSISRCVQILNGMVELSQVEKSVAPDVFLQAGNREIFVSLSGDVRALWLKRKIQNLT >OB05G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16440768:16444148:-1 gene:OB05G29860 transcript:OB05G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:J3M8R2] MVLSQLGGSISRALAQMANATVVDDRALTECLNEITRALVRSDVQIGAVCAMTSSVRRAVNLEALAAGTNKRRIIQQAVFAELCNMLDPGKPSFAPTKGKPNVVMFVGLQGSGKTTTCTKYARYHQGKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKENSDLIIVDTSGRHKQEAALIEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVIFIGTGEHLEDFEVFDVRPFVSRLLGMGDLSGLVNKIKDAMPADQQPEFLQKLTEGTFTLRLFYELFQNLLNTGPIGQILSMLPGFRSELMPKGHEKESQAKIKRYMTIMDSMTDAELDSTNPKLMSASRIIRIARGSGRAVKDVTDMLEEYKKMAKVCSKFKTAIPNKLDSLRRNTNMLNNVIPESLLKQLGGNNGLLNLMKQVGSKT >OB05G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16446105:16450349:-1 gene:OB05G29870 transcript:OB05G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRFTMSALNTGLLKGLRRVLEQQRKPIDFCRKSQAWSSTVSFSDLDEKNDIGDDGDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTIGTGGMFDQRLAGDDTLPKPAQWHRIAVHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDNINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELREGLF >OB05G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16454062:16458052:1 gene:OB05G29880 transcript:OB05G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKYLETARAERSVWLMKCPPVVSRAWQAAVSSSDAAGANPNPVVAKVVLSLDPLKSEENSLQFKMEMAQNNTGNTPKSYSLNMSKDFVPMCVISESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKYMVKTRKVKVLEEDHRMNLIPLPGRVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDTDAP >OB05G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16460093:16460742:1 gene:OB05G29890 transcript:OB05G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRMVIVGEEHCDGEERELTKPLFSARRSSILGVGSGAAAVLVDLLAPGATGEFRVDGSFPRRCCRVVAVKAAGGGDEEEEVVAEVRRKVDEDAHVVMGRDVFVLWVRAGFDAAFAMGVVLVLDRITGDEIDGDLSEDLAVAGSPQ >OB05G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16461871:16465759:-1 gene:OB05G29900 transcript:OB05G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGVNLSRLSLGVELNEPATSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLVANCEYTVPLAKHLEGSIFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGVHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >OB05G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16477360:16483896:1 gene:OB05G29910 transcript:OB05G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 25 [Source:Projected from Arabidopsis thaliana (AT3G14400) TAIR;Acc:AT3G14400] MAGKKVFPNKDKECVFCVVERQIWRLLRGERGAIDSPGKVIRCLPLFAEHFRWGRQEDAHEFLRYVIDACHTAGLRIRKRLPVSNANEDATEEEGRVQGPCTVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFVLRAPKVLVIQLKRFEGIHGGKINRNIEFKESLFLSDFMYKKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILMSTKTQKPSTNGYCSSAAKSSNINGNGISNCTSNEPLKIPLIKQNGLCSTKGIVPPLPLKNGKIAPGLHLKPIHLKNNGAEKVTSNGKANIIPGNKLEINEGLTLPAVNGRESGKSTDPSKMNVSVSISCNKTDVNSQRMLHNANGNGNPIHLNDLQETTAAKASFAEQYSEKSSIASLGDSKSSVACHEVSANVVKDVVSPGKDSAPLKHRLDEGKFKEMLAASASSELRSSGWVDDVSNFMRSAKRRCVQNTAMNQDSDTIRKELISESGRIFRSKVPESLREHLIQALRSYYQDKFSPG >OB05G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16489375:16490837:1 gene:OB05G29920 transcript:OB05G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPAGSRRWRCDPGEEHGWNPAAGDDHFDRLPDPLLLVIFNRIGDVKALGRCSLVSRRFHDLVPLVDSVLVRVDCVIPDDPASSAAAASSSPSAPCSPTASARARTVFSQIARIVLGGIVKPIQALGQILSPANSTSVFAASVPAAAASSSSSRCRSSPSSSSSSSLPLPGDVSHHSPSEVLRSFKELRRLRIELPAGELSMDEGVLLKWKADFGSTLGSCVILGASSAGKDGAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHATLESLDLTDADGQGVLTMDKWQLQELRGKPGVLPMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPSEEASRDTVGGGIAGSAGGCWVSDAFEEPYRSAVRMLLKRRTYSLEMNSF >OB05G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16493406:16494698:1 gene:OB05G29930 transcript:OB05G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLRKLPVLPYHRINCSLTKTCKFMCRCLLAGCACWLAGHVLSFHPRLAGWLCRLAGWPAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAPCDSRRDFLRGRCREDGRRTRTNRRRDCQSGDEDADQTVYTVEMQYG >OB05G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16501773:16503539:-1 gene:OB05G29940 transcript:OB05G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMSIMTNHADAAFPVAADKLTLGRLPLDAGEFRRQGRQVVDFIADYYARIDEYPVRPAVAPGFLGAGRLPEAAPAAAEPDALTAALRDVRELILPGLTHWQSPRQFAHFSATASNVGALGEALAAGLNINPFTWAASPAATELEVVVTDWLGKALHLPEKLLFAGGGGGTLLGTSCEAMLCTIVAARDRKLAEIGEERMGDLVVYYSDQTHFSFQKAARVAGIRRGNCREIPTCRESGFVLTAEALHAAVTADEAAGKVPLFLCATVGTTPTAAVDPLRELCAALEGRDVWVHVDAAYAGAACVCPEFRGAIAGAEAVDSFSTNPHKWLLANMDCCALWVTRPAALVAALGTDHDVILKEEAATARGDHHVAVDYKDWQGGPRRRGRXXXRPPLPRHRRPAQLRALPRPHGGGVRAHGARRCAVRGAGPEAIRARLLPAARRRTASRSRRRRARSRRRRRHGQHQRAQPAAPRGGERDGARVHELRRGGRHVRAALRHRQLAHRGAPRPGGVGRRAGAGRRHLGRRHGRCRRHHRSYDEGARRRRRRPPRRCAAPHDDDEMSLRCTGAWNCVSNAPFLRCVFPLG >OB05G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16509599:16515613:1 gene:OB05G29950 transcript:OB05G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:breast cancer susceptibility1 [Source:Projected from Arabidopsis thaliana (AT4G21070) TAIR;Acc:AT4G21070] MADVGSLEKMGRELKCPICLSLLSSAVSISCNHIFCNDCLTESMKSASSCPVCKIPFRRREMRPAPHMDNLVSIFKSMEAATGINVVSTQVDPAVKVADRSECVNSGKNSKSSLKPLARKRKVSCKKENNTKKDATASTSCPTTKPSFSTNKRIQVTPFPESETPIRTKKIMNPEELKNNQNDHVEENKSKAVTSDQPESPSLSPFFWLREHEEEVGCTAETLSETLSLDTPLRHDAPSFSDIKDSDDEIPFHTTPNSKPTAKEFFDSEIFEWTQRPCSPELCSTPLKKQSKAKNKLDQIEEKGDEDIHIGGSFDQLGHAQPVNTKATKQKRKKTSASNRKIAKTLNRAERCIKKTDANDQGLSSRRSVSLKSCQKNSVAVGRNTSGRRNKASSNSKPIHCSSDNPPESYFPKEILDVEAPDDPLSERNQDMEKNSRRKGSARKPRMEGKTTEDTTERNCEPRSKRAKRMSDRVIAEPVEVPSGSGNEAEVLQLHTVSKGSIQRTPANVRRKRKVCGEQKSKNELESTISDIGTMTPIVLPGRCQSNEALCTAPSVRNASIKNKQAEFIEPDFFGIENFENLQACPARNVLLKKCEDTSLKVSCAFCQSGVITEDSGEMVHYQNGKQVPAEFNGGVNVVHSHKNCLEWAPDVYFEDESVFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSSKLPNENPEQQKQPKRKITPKGPSQIERNQDCSNNWKWPSGSPQKWVLCCSSLSGIEKEVVSEFAKLAGVHISANWSSNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMECMEPIDEQKFEVTTDVQGITDGPRLGRCRVIDRQPKLFDGVRFYLHGDFTKSYRGYLQELVAAAGGTVLQRKPVSRDQQKLLDDSSASAVLIVYSVESKDKPKSKGETEAAAANRRQADAQALAFASGGRVVSSAWVIDSIAACKLQPL >OB05G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16516827:16519329:-1 gene:OB05G29960 transcript:OB05G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16445) TAIR;Acc:AT1G16445] MDASGPLVSNRTNNNRDLARVLRHSKPPAAAAATAATRGQSTMPPPLPRAALPLRPLLHRSRSLPPLLLRQPRQRRGLSARASAAQLAGPPAAGGVEDAVVGFVAGKRKATELAHAVWRSIIQKGDTVVDATCGNGNDTFAMLKMVADERVQGRVYGLDIQESAITSTSSFLKMAVSSHELELVELFTICHSRMEEVVPKDFPIRLVAFNLGYLPGGDKTIITVPKTTELALQAASRIVSSGGLISVLVYIGHPGGRDELDVVESFASSLPIDTWMSCKFEMLNRPMAPVLILLHKK >OB05G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16520519:16523140:-1 gene:OB05G29970 transcript:OB05G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3M8S3] MAHHCSRVYHPGSPSTMQRPLARPSTHAGSASLSFPRARPNSVPIVRCHADPPPEGGTVAGWAPPGPYTGRDPEARKPAWLRQRAAQGEKYTRLRETLGELRLNTVCVEAPCPNIGECWNGGGGGAGGERDGGIATAKIMLLGDTCTRGCRFCAVKTSSKPPPPDALEPLKTAVAVASWGVDYVVLTSVDRDDLPDGGSDHFAQAVKALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVFAHNIETVRSLQRIVRDPRAAYDQSLAVLKHAKNCKDGMVTKSSIMLGLGETDEEVKKTMSDLRSIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKKYGESLGFLYVASGPLVRSSYRAGELFVQNLVRRKKIKLAPTVH >OB05G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16528942:16535983:1 gene:OB05G29980 transcript:OB05G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKSSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSAKGNTKPLPDSTSRLSNSSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEEAGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSTIPPEQYQSRHK >OB05G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16539108:16547514:1 gene:OB05G29990 transcript:OB05G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRYTEQEEALEISSLRRIIAAYADYQDAAERDVKRYERSFKRLPRAHKELLFNLGLKYQRLRWCISMNASFIMNMLEAFEPPFDMSQYVDVDGHDCGENMHGHCHEDCAHSVERGDCFRSSVSLSNSELHQPDGCPRKDDKAHELSKETGNRDGVVDMEGCSQPVGDKLEALQGVDKSCSSDKSADTEANCQDTDCVACSADENVTTRQCMAPSLQLNVPPIDVDKVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKQRPPSCLVPGAGLGRLALEISTLGFVSQGNEFSYYMMICSSFILNHTQEANEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSCSGITEGFSMCAGDFVEVYNEESQECAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVERMVDTTYTANMKSMMLNRYRAVFWTMRKNASRSKAQKH >OB05G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16550903:16552348:-1 gene:OB05G30000 transcript:OB05G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G45120) TAIR;Acc:AT5G45120] MHSSVYLFILLCLLAINFNSHAKDNKPHSSVVLGLSHVRSLSAPSMVIINSTKFDFLDIIEPVTTYIDGYLLSLNLGMPPQVFQVYLDTGSDLTWVPCGTNTSYQCIECGNEHSISKPTPTFSPSQSSSNTRELCGSRFCVDIHSSDNSHDPCAAAGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLSKDIVTLHGSIFGIGILLDFPGFCFGCVGSSIREPIGIAGFGKGRLSLPSQLGFLDKGFSHCFLGFRFARNPNFTSSLIMGDLALSAKGDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAAPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTSILASLVSATPYERSYDLEMRTGFDLCFKIPCTHTPCTQDELPLLSLHFLGDVELTLPKESCYYAVTAPRNSVVVKCLLFQRMDDDDGDDEDEGDVGGANGPGAVLGSFQMQNVEVVYDLEAGRVGFQPKDCAIHAQ >OB05G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16553418:16555717:-1 gene:OB05G30010 transcript:OB05G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYQYKAELLVKDYLLADSYVPYASVLGGILMCKLAFDFTRFISSFYFKGYASLTKMQKIEWNNRGMSTVHAIFITLMSTYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFITDLAMILWLYPSLGGVEYIIHHILSLTAVTYAMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFVTWLIARIILFMYLFYQIFLHYDQVKQMDTFGYLLVSVAPAVLFVMNMIWFSKILRGLRKTLAKRH >OB05G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16564120:16568138:1 gene:OB05G30020 transcript:OB05G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGVGNGGGTPVVVKMENPNWSISEVEAAEGWHLEEVTSLLAVDGLFAASYAGWMRFRLEYLAPPLQFLTNACVVLFLIQSIDRLVLCLGCFWIRFKGIKPVPQAAGKPDVEAATDDYPMVLVQIPMCNEREVYQQSIGAVCNLDYPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTIPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLLGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >OB05G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16569368:16573076:-1 gene:OB05G30030 transcript:OB05G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGATEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALAMEHLVPSLSDIKVAICSTDMSEACFWKIYFVLLYSKLNKQDAELLSTPRILQAREELLQSLQTKNKRVSEVPGKGESSKTVNMFSAPAEEKVIQPSSIENKAGTPEISSFEEPTSDISPDIEAEKFPIETTEVEIVDKSVIEEELSVKNETKSSPIESKIHAETDDDEVDEWPDDDDDADEVVGTAGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >OB05G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16579031:16583561:1 gene:OB05G30040 transcript:OB05G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTTETGSARGSGAERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWVHAPIAPTKECIQLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDEDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >OB05G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16584693:16584989:1 gene:OB05G30050 transcript:OB05G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKATRNMVLVAAKNWKEEEEEEEEEELAYTCTSIYVIDCHADRCSKHRSSIVSPFRASRSVPESKNKRGRRRRLWMGGFLITHEPGRRRVQESKN >OB05G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16588430:16590448:-1 gene:OB05G30060 transcript:OB05G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPESARHETLRKGPKKNHGRAAGAGRRGSPRATRPDDKPRAEPKAGPPVSEGNRDVLRGARQCVTGRAGGSAGGSTWARCGASHLAFQSASAFLSFPRPTSRCSSSSASRCATPPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAAHHAYPYPSKYQYQYQQPKPQPQTNPSEHSHVVLHSLLRRVAALESTLPHCFTSPPVPPPLRPNLRHRRVAAHQEEEEDDDDDDEEEDTPPSPPPRQRRARAPPSPARERAARTIQAHFRRFLARRSRTLRHLKELAILRSKAAALRGSLSGRGRVGDPAVISEAAMALLFHLDAIQGGDPMIREGKRAVSRELTRILEFADKVLVKEHGEIAKNGALDCNDYHEGCSGANKKKVSFCSNGKVQELHYEAVAEEEHCSDAEESSETSSSAEADERKRTYSKKSANGKPGLAAPAPVYMESRRIDGERR >OB05G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16591136:16594895:-1 gene:OB05G30070 transcript:OB05G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: regulation of transcription; LOCATED IN: nucleus, H4/H2A histone acetyltransferase complex; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CT2 /.../erPro:IPR012423); Has 60 Blast hits to 60 proteins in 27 species: Archae - 0; Bacteria - 0; Metazoa - 26; Fungi - 2; Plants - 30; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G26470) TAIR;Acc:AT1G26470] MEAPADEEQEGISAQSPAQAPLSSASSLPKEQSQVELELRVLQALEFYPPSKLKGIHRHFVLYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDDKDNLTQAEEFSLPESFFNKDD >OB05G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16598382:16600413:1 gene:OB05G30080 transcript:OB05G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLLLLLAMSTGSDGAFCVCKPDQSPAAMQKAIDYACWRGADCTQIMPTGACSQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTTTDPSSGNCKYPASASAVGTGTGTGTGTGGVGTGGTGVGTGGAGAGTGVGTGTGGAGTGTGVGTGTGTGAGMGTGMGTGTGAGTGVTTPGSTTGTQGGAVSPPFGGAYGPSAGAMNPDYNEAAPARSQLAAVTASLLAVAAAPFLLHLI >OB05G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16621008:16621379:1 gene:OB05G30090 transcript:OB05G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFMSLSNSLGLLNATMPSTLPHHGAYYAAAESWANGAGNAHHNEVSHGVSPQVAAAAHNSPFPSLLSLAPGSQFVFYSPEGGGFAAMKEAAEQFPVDNLDHSQGQLSLSSARSFLHSGSQG >OB05G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16629593:16635365:1 gene:OB05G30100 transcript:OB05G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISAYSSYIDLVGPYTLEEVDQKTLEFRKSMTTPRSVAENASEFEKIQAMVYSTAMKVPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLEPQTQHIFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQLPMYVDPVLERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVTIKPWKLEADDTQLLDLIPFLEYLAMARPADIRTVLASYQGHDVAAEFIERSKEHQRRMQEQKHQGRIWRR >OB05G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16637771:16641031:1 gene:OB05G30110 transcript:OB05G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) TAIR;Acc:AT1G73840] MAANQPAGEALAANISAMSRPEMYDLMSQMKVMIDHDQERVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSDMAQPTALQATPSSSVKTTVQDHASFPKPQLPANQQNMQQSGPFSSGSSNMASSSDLPAMSANPQQSAQAKGYPIHQMPPASTTQTSQHPNVTLPPHVPSQYSNIPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQLPRPPNMQPFAHQMHPQITSSFGLSHTNAPQHMLQQPMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGNSHAASHYNSQSMQMDRSTPWGRSNAEASSAGSHFPGHLPGLPGQMTQGIGGIHSARPEAPLTPEMEKMLVQQVLSMSPDQISMLPPEQRQQVLQLRDVLRQ >OB05G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16642049:16645598:1 gene:OB05G30120 transcript:OB05G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3M8T8] MEGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTTRLNGDLLKHVEGERNSDEEKALRRQEMY >OB05G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16648229:16649666:-1 gene:OB05G30130 transcript:OB05G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKAFPAAAAPSRRDMRRRLRLELDAMHGLLGKAEALVALARKDVNGGAAAAAVEPDSALARRSPSRDRTLRRRGRSDREEFGRAGKRHCRSDREEFGRARDREEFDRSRNWRGQSNREEFDRARNRRGQLRDREEFDRSRNRRGQSNREEFDRARNRRGQSEREEFDRARKIEAVDVAPSPWQLEEGEVAPQVVAPSLCQLHVDGEIADDQSTGMDIDICGGVSPLEEGEVAPQVVAPSLCQLHVDGEIADDQSTGMDIDICGGVSPVLAGSVHFLSLAEQEDDEFVDVSGDASPVATGSSCRDASSSDSDSGDDDDGESSKKPDTAGRPTEAEATASPLEQDNEPAKGAAASPATQMRELIAIAQEKQQLQRQRERQLERKRAREALEELERNARPISDCIDPMDMRLLGLDKVQYITSTVESSESSRRPGGLLQQLGFFLKPEDHGSIGTELV >OB05G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16650261:16652268:-1 gene:OB05G30140 transcript:OB05G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G70630) TAIR;Acc:AT1G70630] MVAAAQAADSDICVLADAETVLLPETVTLLKHLSRSDLDWFIFSVSRNISTFPYHLADNGTQWLDEDDKEVSFKKLQEIQFDKWAADGSDRGLIVAWNNPGSPLIAGVMPSFLNGRGAHNRWLIHEALSSDTRLVFDASNLVLGLHPENFSERHGISTSRNASSPAGSWEYGINQHLATVYGSYCYELPRRNSPMLYKVVKQYEDYMLSKIEELNLTDSVINKEQTAHEEGGSLCKKENYYSVAVSLPHSLEMLLQLAADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVICALDQETYEFSVLQGLPVFRDPLLSPKNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDVDVYWFHNPVSFLHSLGPGTFAAQSDEFNETGPINLPRRLNSGFYYARSDGATIAAMEMIVQHAANSGLSEQPSFYDILCGRDGANRAGADRCLEPSTNLTVVFLSRDRFPNGAYRGLWEKRHVQSACRELGCVIIHNNWVRGRRKKLQRQMESGLWDYDPSSRLCLQNWSDAKRFSIQNDEPVSYDR >OB05G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16656291:16657592:1 gene:OB05G30150 transcript:OB05G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSSSLTNLLNSANTSGNPQNPISQQHHFPSPQYPMNYPPTQFPPNFHPQYSHMFNPFGAQSSYPQFPFTPGSYQGPPYLGNTGQGSGQASPVGSMAFFQGSRGTNSRADENSPVGSASPVSLGQQIACDPIDTTDWSERSESSPEESEKKEGRVHWSEEDNLRLVSAWLKNSNDPIIGVDRRGDRYWNDVAAEYNLHTVKERRRKASQCKNHWNKTIPFITKFNGCYDKAKREHGSGESDDQVMDRARQDYKGLVKTKRPFALEYWWRAVKDQPKWSKAYPIEEMMNKRSKLNASGAYTSSNQDSEDADPAARCRPPGRNAAKAKQKSKGKLVHSEDSISNENVNLFNELQLRKTIAAEKMAEATLVKAEAAKVKAEAENKMAEAEKEKAMLQKMDKYMALLDKDTTGYDEVAKTRHEQILVYLAKELFS >OB05G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16663400:16665977:1 gene:OB05G30160 transcript:OB05G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGEATMARKKTRRSSGGCSLKNLMCGSTCVV >OB05G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16665239:16670257:-1 gene:OB05G30170 transcript:OB05G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3M8U3] MDQQPLRKDLYPHTEPYDSGFLKVSGVHTIYYEQSGNPHGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQRGAGRSTPHACLEENTTWDLVADIEKLRQHLGIPEWQVFGGSWGSTLAIAYSQTHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDADVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGVAAELVSANEKLKNMLRK >OB05G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16672403:16680283:1 gene:OB05G30180 transcript:OB05G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M8U4] MANARPQPAMGKARGGLLLGRYELGRLLGHGTFAKVYQARNADSGETVAIKVLDKEKALRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMSMYRKIYRGEFRCPRWFSKDLTSLLNRLLDTNPETRITVKEVMESRWFQKGFRPVRFYVEDNQVHSLADGENEILELEPREPPPPPPLPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPPRSLTRPASLNAFDIISFSRGFDLSGLFEERGSEVRFVSAEPMQTIITKLEEIAKVKSFFVRRKDWRVSIEGTREGEKGPLTIAAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >OB05G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16685772:16685975:1 gene:OB05G30190 transcript:OB05G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQIIKKFGSKRGDAKYATRVLHCVNCDPIVFVKCVGPDAFTGRAPRVMVWKGRIIRGGFLRRPRD >OB05G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16686386:16690460:1 gene:OB05G30200 transcript:OB05G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3M8U6] MHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSYVGKLRSDFLGTKFVVYDSQPPYDGAKPSRNQSSRRFASKQINPNVSGGNYEVGQVSYKFNFLKSRGPRRMQCSIQCPVGQNTASDQLKDKQLSTSSSLVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSDPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >OB05G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16692992:16693855:-1 gene:OB05G30210 transcript:OB05G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPQHTPPLQDRQKPKRGEPARAEHAERAASWTRPEHHGRGGRKGLGALLSPELDTTMPSVRELYLEQGDGGHPKATHLGFLLVFDRSDERRDDYQCPPAQSLPMSDEPKLLPPPHIPPRPVPKVFDGGKKPRRDAATGGGGYGWPLLLEDKAAASHDVAPLLPPLPSPKSPSESWLSRALPSVSNNPPATSFLGIHVQHKKQAPAPWCSSKSTAKIVVDDHARPRQMRIHDLQKS >OB05G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16698567:16700104:1 gene:OB05G30220 transcript:OB05G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRTVKKTSKFEDSDTAMAKPARAVFEMPHRTSPRVPVRVKMAAAVAAGSEHHRAAGAGRGTSPRSPLHEKKPAGGGVAGSTRVAELEAKLGKAEGQLSEMREQLAAAEKARKDARAALVEAKKRFSIKKRDVPGAATASSPPVVGDEAANAQAAEQKCGVVSPAGDVPEAATAVGDGATQVEETKNTAADDDVNSVTAIIDDLDRNKGSQQEVEHLRAKLMVKDSEVYELKAKLMAMEAEANDLRANLATKDRELDELRGKLMSKDADIAAVEADNAELMRMAEEASQAMKETAMKARETEHALRESAAREARLAERLRASELVREAVEADMQRCRAQSEQWRKAAEEAAAVLGAVEHSAGARGVLVDVEKRRHGSAAGAGERMAKDTDEHQGSGGKRKAGASGGAMRMLSDLWKKGQK >OB05G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16704355:16705704:-1 gene:OB05G30230 transcript:OB05G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKSPAPLEQIPLVRSPEPGDYFAGVPAVDLSAPGAARDAVRACERYGFFKAVNHGVHDGVVSRLEAEAVRFFASPQAEKDARGPASPFGYGNKRIGRNGDMGWLEYLLLAIDGAALSDASPAPSSSLRDAANEYVGAMRGMARTVLEMVAEGLGVSPRGVLADMVTDAASDQLLRLNHYPPCPLLQSLMPNCSPTGFGAHTDPQIISILHSNGTSGLQVALHNDAADDDAPHWVSVPPDPSSFLVIVGDSLQVMTNGRLRSVRHRVVANTLKSRVSMIYFGGPPLEQRIAPLRQLLGAAGGGDGEEQSRYEAFTWGQYKKAAYLSRLGDDRLAPFHRQQPPPVAMPQVQSSSYPPP >OB05G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16705081:16705546:1 gene:OB05G30240 transcript:OB05G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTPRGDTPSPSATISSTVLAIPRMAPTYSFAASLHGRTRDIDLKQFYECCSDRFCRTVYRSEDDGAGEASERAAPSIARRRYSSHPMSPLRPMRLFPYPNGEAGPRASFSACGDAKNRTASASSRLTTPSCTPWFTAL >OB05G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16715872:16716168:1 gene:OB05G30250 transcript:OB05G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGKKAFCCAVLLICAAAAVQRAVSARPLLREGRDDGQGRRFVPTAVVSGDDGNGGGDGEGSQRLGGGVAAGDQTSSPAPYDEKRLSPGGPDPQHH >OB05G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16722132:16722383:1 gene:OB05G30260 transcript:OB05G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVTGIVLLMILLVAAVPEARRIVLPAAAAAGCFTTSSTAAVECSVAGGGARRPSRWNTRRSLGGDKRTVPGGPDPQHHH >OB05G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16723157:16724793:1 gene:OB05G30270 transcript:OB05G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQLGPGILVCLLFLVTCLVAAVWSYLRPSGGADGGRAAVIPSPPALPFIGNLHQLGKGRHHRTLHELARRHGPLFQLRLGSVRALVVSSAPVAEAVLRNKDHVFCGRPQQRTARGTLYDCRDVAFSPYGERWGRRARRVDSFRALREEEVASFVGRIRAASGGVVNVTELVVGLTNTVVSRAAFGKKLGGLDPAKVRETVGELANLLETIAVSDVFPRLRWVDWASGLDARMKRTAAKLDEVLEMALRDHEQSLGNDDGEARDLMDDLLHIVNDDGGGGGGHGYKLDMIDVKGFILDMFVAGTDTIYKSIEWTMAELIKNPSEMAKVQAEVRHVARTHGVGGDNAVAVVHEEQLGKMALLRAAMKEAMRLHPPVPLLIPRESVQDTELHGYHIPAKTRVMINAWAIGRDEAAWENAGEFRPERFVSGGGGDGAGVDYQGGKDHCDFRFIPFGAGRRGCPGVAFGTRLAELVLANIVCHFDWELADGQDVGTFEVVESSGLSPGLVNPLVLAAKPL >OB05G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16734557:16738308:1 gene:OB05G30280 transcript:OB05G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3M8V4] MLRRNFRGGGGEDGAGEMEGCDAEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKTIRPSQELIAMDLHGTQWKFRHIYRGQPRRHLLTTGWSSFVNRKKLVSGDAVLFLRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSAVANSLENKSIFHVCFNPRSGASEFIVPYWRFLKSLNHPFSIGMRFKACYESEDANERSTGLVSGINEVDPIRWPGSRWKCLLVRWDDSTDNNHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGNLDTPFLNGNGHPESMGTENFHRVLQGQEFRGSRSHGVVCSEPPGPAVPNFQAPDNRRFSANMRGYMMPASAPPQRNTEFTYQPVGFGESLGFPEVLQGQEMSQVVPLFRGATSGARTQNDRVVSTNSVHRSAALSGLPAATQGHAISQFTLSASKVSSPSSVLMFNQATAPHLEPKDGAGNKGIYGSQFASQEMLAEAVTWPAIQHQMPTASSQFAMAKASAPPSRAESGSPKSGAGRSSCRLFGFSLTENMLGEDAQVLEEGSSEAERQNPRVLELFGHRHSHSTPGALHALCAAAPLGM >OB05G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16739698:16740084:1 gene:OB05G30290 transcript:OB05G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTCNHHHHHHRRRLRLLHSSRVDLDDGPEAKHRHDLVPAESGLHEDLLPLPLVPLDAVDEHDVHIEQGLHLPVLLLRRPCSDDYVHDYHLPSGVPGRDGLLAVLQYLDALVVAPVVKNPLEYAANI >OB05G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16739761:16742120:-1 gene:OB05G30300 transcript:OB05G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMELAESKCNGQASLLDAQLELYWNSFAVIKSMALKSALDLRIADAVHHYGGAAPLSEIASEVALHPSKVPCLRRLMRVLTVSGVFAVGAGGGGGEEPVYELTPSSRLLVGSANLAAIMSMILHPTLVVPFLGVGEWFRREPPDPCCIFKQAHGQGLWELADRDAAFDALINDGMVSDSLFIMDFVVKEHGEVFRGIGSLVDVAGGLGAASQVISKAFPEMKCSVVDLGHVVAKAPGGTDVEYVAGDMFESVPPADAVFLKWVLHDWGDDECVKILKNCKKAIPPRDAGGKVIIMDIVVGAGPSEQKHREVQALFDMYIMFIDGVERDEREWKKVFMEAGFSGYKIMPVLGFRSIIEVYP >OB05G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16746290:16746544:-1 gene:OB05G30310 transcript:OB05G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 4L [Source:Projected from Arabidopsis thaliana (ATMG00650) TAIR;Acc:ATMG00650] MDSIKYFTFTMIGSILGICGILLNRQNILIISMLTESMLIAINLDFLVFSISLDDMMGQIICFISSNSVSCGMYYWISQSLRYY >OB05G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16748369:16752292:1 gene:OB05G30320 transcript:OB05G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPTAGGVGGRGSSGGKGSSRSSSRHQQFRNLAKTRVDDLQEMFSGLQSARKESRSADAALLEEQVHHMLREWRAELSVPSPASSLQNSQSQGNKREASDPPSETLRLLQLAGAEEEDDATSKLVMPRSPLPMPASSHEGHGHGQAGHNLNPVLQGGTAEMVPHSPLQMPSSHQSHGHGQNLNPNMQGEAVLGSAAAPQQSLDQGMQDDCGDAAGAANAMFHDQLYYIDHELDIDDFLRDDDYKMNLSGSNPDGPNTLQGLDQLEHQQYNLPLDLPPPNSYVDTNNSAQSSGDVFFHMSDLLTTMYPSPSQYLGPKCALWDCGRPVRGSEECKDYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALSAKNQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYEINDSDALALYRLEYKSSDTKRSVKSKLTSSPLNEIQQQMVKLSADSPVENKRTARSRPKANQKDNNSNTYPAVNTPNQASASNAHQPMSLNTPNQASASNSHQPMSLNAPDQVNVSNAYQTVPPSQAGPSNTYHPASQMDQMTFLDGSVVYGPHLPYGYSTERSDFYWNPTDGT >OB05G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16753529:16758070:1 gene:OB05G30330 transcript:OB05G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKFKGKPTGERSFSSEEQIAAGTSAGRPKTFKKKQDEKDVYDRSQESDEEASNNFQKNKHKGTEGLIEIENPNLVKPKNIKAKDIDIGRTTALSRREREELEKQKSHERYMKLQEQGKTEKARKDLERLALIRQERAEAAKRREEEKAGSIIYALLLAAKEKRKGGASK >OB05G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16759614:16761496:-1 gene:OB05G30340 transcript:OB05G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPSPSTPTQSSYFAGCMGSPAWLPAVQRSPARFHLLSSRDAARPPPSSMAASTFHYDAASYAKNFDDDADDAGIVAAAAAAGVH >OB05G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16765533:16768451:1 gene:OB05G30350 transcript:OB05G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19985) TAIR;Acc:AT4G19985] MRPGAMAAAAAALKLPRPPTSAALFPTPSPFGSRPAPKPMPPPPVSISMDPAAVDPSHLQALMLACAHSCTIRPSPPPAAAEPVDLRKLRVALAHSFLVVSVSCSARFLEDGGGGDGQRLLGLGLGLGRQEDRRLVGFGRAVSDVGLTASLHDVVVHPSLQRRGIGRKIVERITRVLHNRGIFDISVLCTEKERPFFEACGFGDDAMGSTTMMYTRKMHR >OB05G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16772123:16775349:1 gene:OB05G30360 transcript:OB05G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHASRKGSCEHGGGGVERPRRCSLRPAARAHVSTPTMASSARADAPSGQQQEHLQEQNVRTDRLGCLGEEENYHVEEQDVRRNVCFLDRDGGSIITVMEGKVKKFGEIGTKYGVFYGPQFQLPVASHQPSPPIYTAIKYSPRPHARSPRGDGGDGSGSDPPPPSPPAPVSGGTISYADLEVATDGFSESKLLGQGGFGHVYRGVLAVAGGQEVAIKKLRPGSGQGDREFRAEVDIISRVHHRNLVSLVGYCIHGDQRLLVYEYVPNKTLDFHLHGSGGRSTLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYSFEPKVADFGLAKIQPGDDTHVSTRVIGTFGYLAPEYATTGKVNDRSDVFSFGVVLLELITGRRPVTSTEPYNDETLVSWARPLLTKALEEHVYAELVDPKLGNLYDTSDMQRLITCAAAAVRHTARSRPRMSQIVRYMEGELSIEDLNAGVAPGRSLLHSQEHHSGDTTELVRRIRRMAFVPGTGTGAVTDSDYLSEATSEYGQNPSISSSEVDMAEVAGVTQTATSRPHVAGSGPGTSQVVAPRHYSGHPDNDDDDDAEPMSRRTRAGRLP >OB05G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16776814:16779749:1 gene:OB05G30370 transcript:OB05G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >OB05G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16783108:16785649:-1 gene:OB05G30380 transcript:OB05G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAAPGKGEKINVKDDVSAMKRVGCCSN >OB05G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16785353:16785766:1 gene:OB05G30390 transcript:OB05G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGQQAEGARDRAVPLLAGGVPNLGLDDLAVDLEAAGGELDADGGLGLEAELVLGEAGEEVGLAHAGVADEHHLEEVVVVVLRPVPASRGRHCPPLRAAAALVVEARGVSVPGSRRRVWRSVAMEWGRGRDTSLCV >OB05G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16787826:16794426:1 gene:OB05G30400 transcript:OB05G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MALKNVVRFFLVLIHVSSCLGRSGKMFSPGFVSLSESLPSWPILSAGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQISESSPLLDLDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEQEIDNVAIMQAARPDARDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKKKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGFAGKRHKNAKRAPSSLKPFDFRLGRWF >OB05G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16795551:16795748:1 gene:OB05G30410 transcript:OB05G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding GELTRRNLQLPACHVRPKPQYRSLVLASSPPPFRNVTNVSPSFTNLRIQHISIQVPTLYKNTSIHV >OB05G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16795926:16796811:-1 gene:OB05G30420 transcript:OB05G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIRRQQQASSAAVERRGGESDERRGKGKAAAFSFSPLSWIAKLTARSSQGKAGGAKPAPPPPATAFHSFLTKRTCPSSSVELERLLACYLALNADEHHDCIVKVFRQVWFEYINLHLSRRRRARRC >OB05G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16803954:16809962:1 gene:OB05G30430 transcript:OB05G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFAALGPAGGGRRRSGSFGAKRMTRVMTVPGTLSELDDEDDEPAATTARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASDVPSSAVCERLIVVANQLPVVARRRADGRGWTVSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPACEQDEVSQTLIDGFGCAPVFLPAGLYDRFYQNFCKSYLWPLFHYMLPFASALPTAASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFIRLRIGFFLHSPFPSSEIYRSLPIRDEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGNIGLDYFGRTVGIKIMPVGIHMGQLQSVLRSSEKEQRVTELRQQFEGKSVFLGVDDMDIFKGINLKLLAFENMLRMHPKWQGRAVLVQIANPARGKGKDLEAIQAEIRESSQRINREFGRSGYSPVILIDRSVPSVERLAYYTIAECVVVTAVRDGMNLTPYEYIVCREGIPGSESEPEVSGPKKSMLVVSEFIGCSPSLSGAIRINPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNLDSIIMSYERAKSRAIFLDYDGTLVPQASLNKSPSEELVRIVNALCADRNNTVFIVSGRSKDDLSKKLIACPKLGIAAEHGYFLRWTRDEEWQTTAHTSDFGWMQMARPVMNLYTEATDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLEGVLANEPVSVKSGQFIVEVKPQGVSKGLIAEKILSSMKEKGQQADFVLCIGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDPIDFEDDLATSVSSIDIGDNLRQFSNIRTEGS >OB05G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16810676:16815258:-1 gene:OB05G30440 transcript:OB05G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPLPRLLLLLLLLVAGPSAAAAAEAYGRPVIGVVSHPGDGAGGRISNGTATSYIAASYVKFVESAGARVVPLVYNEPEERLLEKLNLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIISKDNNILETFSAENQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHRYGISPKTLRENAALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKPMIPHSEDAVQVTQNFANYFISQARKSPNRPPADKVLDNLIYNYSPTFTGKKSKSFDEVYIFS >OB05G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16817535:16818434:-1 gene:OB05G30450 transcript:OB05G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSETQEELVLRSWRAMKKDSESIALKVFFRIFEIAPGAKQMFSFLRDSGDVPLENHPKLKSHAVTVFVLACESATQLRKTGDVKVREATLKRLRATHANAGVADAHFEVVKTALLDTIRDAVPEMWSPEMRGAWEEAYDQLAAAIKEEMKKAAAPT >OB05G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16822062:16824800:1 gene:OB05G30460 transcript:OB05G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVGTAVLLLRSDVRQSAAIFRRNLRHIRNWLEEESSAAAKSAERAVPKELESQAAKKDVTPKDDKH >OB05G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16825203:16826736:1 gene:OB05G30470 transcript:OB05G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADQSPEEVCSVWALPPEHVRDRLRGVMAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPPVSGPTLPAWPASPAATSSTSACTSSSSPPPRYYGIPSLLEDSDSLILEARPESSMDSEVVEASDHCCDHFGYQRSTPYMPHVSLLYGDLTDEEKEVARKKVEEIDKEICGLQFEISELALYRTDTEDKSLESWELVEICHLEKK >OB05G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16826702:16828612:-1 gene:OB05G30480 transcript:OB05G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVLTQIIPEVLDPFTPTIPLRITYNNRLLLPGIELKPSAVLSRPRIDVGGNDMRVFYTLVLVDPDAPSPSHPSLREYLHWMVTDIPETTSINFGRELILYENPEPRSGIHRMVFVLFRQLGRGTVFAPHTRHNFNCRSFACQYHLNTVATTFFNCQREAGSGGRRFAPQGP >OB05G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16834950:16839281:1 gene:OB05G30490 transcript:OB05G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRRKRSIVELFAAVPKVAAGDEVLGRGKRVRRKLDKGEPPLGAEAAKKKGFKKEKIVVQIGVRKKGKSSKTKVTSASMSQLFQDAVQKQKLKKSLNKKKGVLLGKKSMKGNKMTSLSSQKATKSSCHVQSILKKHLKTGMCTPVKNTDVMPPSKSLIKQKHVTFSDDNDILGRTASQLEDGTGKSQLLQTSQQPYKYGKSQGGDNHHSIYRPQSLYQRMGAPLESVEEDAGSTVLLAKSKEKTILGNSVDLNHCLEISSSASCLSSINSAVLSGQVLAQNFAGMGSVPSKSLNVDVGFQAEANNHKYQGSSVSASLTVSARSGDLIRRQLPEPSNSCLVASLNVIDRNRSKMLQERLTAVHPRLLGSKDMVNSISSSAGSNKSTDAQTSNCVSACRSINTSDDYMGLPLNSHGEFVKLHPTGTIDSNGMIKRQFFGEDVRPSAFPTFFTPETLMDYAYLKTCYQAPRFCAVDTFGFHSEPYLSPTVAAPYGMSFRQSPNSERMEIPNYAIPGNNGPYDNQQEVSVDCFCPGFMGQDNQARKSLEMHSCLPSQNYEQNTHPAPETTVRLMGKNVTLGTSSKQCRGLDNKNPCPNKQIRDEGHSFQGMCTKDFPQLFHGACVEPPNTLRNSYGGVEYPSCFPSVPEAELRCGLDAYSFRTSGRYQQPHLAVRNKLYVHPVRRHNEAELWHQQLSVENHIVGASEPQLLGSMHLRQSQNAATMPSYSPKESFSNLVETRPAHSQFTYFPQQIKNVTQRTPISSFLSGYAVQSSPGLTAQTKFTSLRPLPPSITSSYVYSSDDAQSHGSVPPFYPSIALSDQASKNSSPGDIRGNRSMQQTRITSNHDSFEQMSRGCKRSAGDNMLLTARKPCIAVGKDLNLLPLQEERLGFRGTRPDPQLPVCLSKDSEVDVQLINGNTQTTWSDSVNMVSARPVKLTSGAKHILEPGGNASATMGEDESWPVHSIKKFVVDNDVHAVSTSKKRDEGICGV >OB05G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16839279:16841218:-1 gene:OB05G30500 transcript:OB05G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACRRWGVVAAVVVLAASAGAAVAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGPTGRFSNGLTTVDVISKLLGFDDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAAHLSQCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLIGRYTQLLRAMYSNGARKVALVGVGQVGCSPNELAQQSANGVTCVERINSAIRMFNQKLSGLVDQFNTLPGAHFTYINIYGIFEDILRSPGSHGLKVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYVFWDAFHPTEAANVLVGQRAYAARLPSDVHPVDLRTLARL >OB05G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16877983:16885484:1 gene:OB05G30510 transcript:OB05G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalose-6-phosphate synthase [Source:Projected from Arabidopsis thaliana (AT1G78580) TAIR;Acc:AT1G78580] MKQRLLVVANRLPVSANRRGEDHWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHISELTERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQMRTRQVPPDLPNQPAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDFVLCIGHFLGKDEDIYVFFDPDYPTESKAKPDSGSVSLDRRPNGRPSNGRSNSRNSQSRVPKAQVAPERSPPSSSSGQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMAEATAAHNGFQSSTADYMFLDRQ >OB05G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16887279:16887722:1 gene:OB05G30520 transcript:OB05G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPETPPPPPSRRDHLLHGLPPPALVVHDTATAFTSSSSSSPTPTSTALLFGRPSDDASMATRFDDDDEEFQEADVLWPDDVDEDTARDQLDDVGEFWWLCRDFGEAGSGGGGGEREVWRPSLSSPIDIPTLLHRRRR >OB05G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16893699:16893851:1 gene:OB05G30530 transcript:OB05G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHEVAAARAQRCSEERAAFSVCVGNGRTLKGRDLRSVRTAVLRMTGFLET >OB05G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16895471:16896912:1 gene:OB05G30540 transcript:OB05G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIISVCVKTNLRASGLMLMDRLGHCSPSASRPESPHRTAQQHPWVGPTTRLASSSFTPPALACARAEPAAGDHRRRRRLIARGEFPGTPLLYHGGLTRFARLSPDEDSRIISGGREHREGESSLKRMGMKVQALMTHILASSDNSESLKEKRDKLDDFGLPSEDLGMMTTIQHSLILVKIHRRSYQVQMGQTSHLTLMIFVTRLLNLVPW >OB05G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16898927:16899100:-1 gene:OB05G30550 transcript:OB05G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGFNYIDSLNGWFGSMERRQFQLHAEAASFGRKKQGAKPDTDDFKKKMQVKNRCR >OB05G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16906880:16907647:1 gene:OB05G30560 transcript:OB05G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAKQLLPSMARDPNSPSSSTSSSSPSSAAASPTTAASHHRLPSSSHSQALPPSPRPVTVPRTIDTTPFPTTFVQADTASFKQVVQMLTGSDTPSSTQSQRPPAKSHHQHGGGGGQAGGVASCRPKKPAFKLYERRSVHKNLKMIAPLAMAAAAAAGASPRKAAQEVLSPSVLDFPSLALSPVTPLVADPFNRSPASASASASPAEEEAAAIAQKGFFLHPSPRSAEPPRLLPLFPVTSPRVATAAASPALQ >OB05G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16915857:16919557:1 gene:OB05G30570 transcript:OB05G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVRSNSGREQFGAFLDQFNSSTAWKQKGFSSELILHGHDFQPRAKAAVPKSARPSIPLAKSPVPQAERKLKAMFSDVELKQRGKFSDGSLREASKEKDCKVGHDGEGLSLDISHPSLDRKIKDDSSDILEFSFHSEEQSLRLLRVCSSPAPFYSKDTTPFSDTKIRSASIKVAGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRSPGKLKARRASSWPRNYGNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLERQFTAEVTILAQLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELVSGSIPYEEMTPLQAAFAVVNKNLRPVIPSSCPAPLRLLIEQCWSCQPERRPEFSQVVQILKNLKEALDRHGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >OB05G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16920515:16922577:-1 gene:OB05G30580 transcript:OB05G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEVAVAGNEMSLSNMVLGFYEEAGDGESWPDGAAGLGDGGSDDEESGGAESRAFWKEQRSQLHEALAKMSSAERRIQADAEEAIREMHAAGAGAGACSCASRTAAVAAAGCRGCALRFLAERLRDAGYNSAICRSKWPRSPDIPSGEHSYVDVVVPTRSGKAVRVVIEPSFRGEFEMARGGAEYRALVAALPEVFVGRAERLRGVVRAMCAAAKQCARESGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTSAAGPPWRSCELAIECVFTLFCTTCVCGFLTVFSFKTSEQQS >OB05G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16928307:16935107:-1 gene:OB05G30590 transcript:OB05G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT4G04910) TAIR;Acc:AT4G04910] MAGRNYHGAGGGGGMSMLVASTPGQELALTNCAYVSTNDARRFPNSLALVGDAFVFTLRYPFADLRRQTKVSAGDSITVSSFSPPNDFKLALLTLELEYTKARANRNEELDAVVLAQQLRRRFLDQVMTAGQRVPFEFCGTNYIFTVNQALLEGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKAHRHLYQRAMALVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEGDIDAAAEALDDMPIKKLYTLVEMAAQGPSGGNAEAVYAGEEKIDINHFFSILSDIIRY >OB05G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16935654:16940707:-1 gene:OB05G30600 transcript:OB05G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MNYRFQNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNITRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRITFKSAPSAVRFSPDGKLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSAFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVNGTYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQNDEPELEGDLPELDGGSRKRKSLGELEEPDTTPLHFAKWELQKKDFFMQSPAKLTSCDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHALLSASLDGTIRAWDLFRYRNFKTFTTASPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHQGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDIGEQVLLRRFRITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQARGNLGLGLPGSMANGGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEAIAENQQQRALLLSLRLNEDPLIKRCIFSVDPSNVRAICSSTPLKYLQRLIEAFADLLESCPHLEFILLWSQELCKVHGNNIQQNSRALLPALKSLQKSVTRIHQDLADTCSSNEYMLKYLCSAGTKN >OB05G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16942208:16945372:-1 gene:OB05G30610 transcript:OB05G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAVSQASGGDAGAPDSFERVVSGALKKLPSQSPPPDTVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQLSDCLKEAGVSAGRVRAELEKLRGAEGRKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKPAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLQEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLLEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAIARLENETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQSEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMRDVAVRLAERGIALAVTDAALDVILSLSYDPVFGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPQKDELDYKVDHRGGLVNAETGQRSDILIQVPNGGATRSDAAQAVKKMKIMDDGDEDGMDEE >OB05G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16944160:16945506:1 gene:OB05G30620 transcript:OB05G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGNGKNGWSYLPMMYLDESCAATTSARSCILTPWWPSYFSLRPRRMLMVSGTLGSATYTCWNRLSNAASFSTYLRYSSSVVAPMHRSWPLASIGLNRLAASMDPSVLPAPRTRCISSMKRITLPSASSTSWSTALSRSSNSPRYLAPATSAPMSSAMRRTSRRLLGTSPRTMRCARPSTTAGLPTPGSPMRTGLFFVRRDRMRTTRRISSSRPMTGSSLPACSTRSRPYVLSAWKLVSPDADSTLRPSAPRSFSSSARTRPADTPASLRQSESCESSRSPSSSWSTARWESPRFFCADCARRMTLIKAVDAGTVSGGGDCDGSFLSAPLTTLSNESGAPASPPDACDTACRRIPPLSAASAAARCSGVSCAWPASDAISCAATSASLVLCVKLSGFMADAAPRTNPTATYTNQISKSSQVSLNARGKNCLAFDLNCGRRRQRAMSI >OB05G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16945946:16950211:1 gene:OB05G30630 transcript:OB05G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YebC-related [Source:Projected from Arabidopsis thaliana (AT2G25830) TAIR;Acc:AT2G25830] MASAARALGALLHKASSLSSASALRSAAVLHGRGSVGRASLFQMLAAKRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNTALAAILEKARELDIPKEIMERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSVALDAGADDVIEPNFDEDDDDDNDDNDDSSEDVSERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEADDEAMELNKVLVSKLLELDDVDAVYTDQR >OB05G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16950317:16956099:-1 gene:OB05G30640 transcript:OB05G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MAEPESSTAAAGGRRLRNAFGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYVKGLLTPRLFKVAMTLVITVGLAVCFAVVAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKYQLSKLFDDSPAASGDSSTESSSASTASTNSAKNETRSEKTETALKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNVAGRKKNPWQ >OB05G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16960138:16960374:-1 gene:OB05G30650 transcript:OB05G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTKGKNISPNLKYVCTILLYYRDKMKIPCMFLRWKTIFACSITLGCQPNFCSKSQTWSPYGQQRHTGDTQERKKST >OB05G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16965307:16965453:-1 gene:OB05G30660 transcript:OB05G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIGQPSIPSLFVFILLSLHMNPNFHFSTGFLTLVQLSRYISFKKLM >OB05G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16967936:16969627:-1 gene:OB05G30670 transcript:OB05G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGFEALPAFHAGVAAGSCRHVVSGVFGLCLDWVHGDPVHCVVGPVSVGRGACTVDTVAVCIVENEVCLGAVIYLQIQVAFHCILCVYLCLWVDRDNYISSGRTCCGQLVSFSVIQDIDTFWLVAANFCFPLFFRFYAYL >OB05G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16972060:16974077:1 gene:OB05G30680 transcript:OB05G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRERDSKRRRPAPSSGDGAVPPEMTTDVSPSSKRRRKCAPSSCAVAQLPDELLTEVFLRVPIKSILPFQAACRSWAAMLSSEEFRRLHAARTELLFVSPTENFNSTAVYSCSPSRPTDDLLLTLDHVRGDAVEVAPAPCHGLTLLYDAVAAAYCVFNAATGAITRLPPCQDVSFATAGLGFDARTKKYKVVRLFQGKFHEKQPFKCEIYTLGGDEGDYWRPVAGGVPFRFCRFAESAISNAVYYKLQPVFVNGFLHWLINPVLLGKAPRAAIISFSRTDETFRWIRSPPFVVCGVHLVELDCQLCIVRDLRDRSAAVCMLEIWKLTGDWSLDHRIDLTGQLARDLLEPQIVKVLGSFGSCLSGKKIIIATSKHKVCTYDPVSGTLETIISILETCTFYQNEKSDIRFSLFKERLTPLCRTREEIALSTPLAKATEEILLRLPAESILKFKLVCKQWLGLVKSDRFVRAYFANKNKDKRPKVMLVGKGSRKSPFNFIPLSKWLQEASRQGTLFLDTKVVCSKPCHGLNLVSIDENDYLFNPCTGCRRIYRNRNKEAQLPQVLSYPQQLLHPGWQVPTGCCEEEEDNPFAFGNKNVGLAFNQVIQDHVVVALLYGWRDYESRGYYLRCVPFGCDYGYARHIHEPPLPVNAMPPGICFRESCVLFFQIQLRMS >OB05G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16974914:16976691:-1 gene:OB05G30690 transcript:OB05G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVEPPQKEVLALPPPSSPGTPAPPPPPAAEAQSPVTPAPVSTGAEVEMNGEEVDREEWITVFGFSHRDTNLVIREFEKCGVILRHHSGPRDGNWIHILYLHSYDARKALQKNGIQISSGVIIGVKPIDPMHRQQLDERFTGNKQGGFMVSLPPKSLILKGTGASNQLGALPRPYDPKANTNVNRDASRRAAGSVAAPAKSLVTNVMDLIFGI >OB05G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16978287:16980677:-1 gene:OB05G30700 transcript:OB05G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT4G32900) TAIR;Acc:AT4G32900] MATPPRRTPKPDRVSRRKGEEPWLAASLRPGNFLPGLAIGFLLGLLVDLSSSWRPKPSPALAPSAPASRGSKRTGGSSSAGGGTGGEELKMVLVVRQDLKMGTGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRLKETAEHRGIPTFVVADAGRTQVQAGSKTVLAVGPGRKADIDSVTGKLRLL >OB05G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:16990617:16993669:1 gene:OB05G30710 transcript:OB05G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAADCAGGVRVKREASGGGGGGDMFLVDDLLDLPCDDEEEEETGLGGAYGAFSGLADGDFSGGLCVCEPETLRRRGKTGAVSISSKHPLLLFTRDFPILSVFCSMQQQQQSATSSCVGDWSGVATGIPGGLSVLGVTHKDGVDMARLYTWCSWSISGEPIGPYCGSKEGHSSSLVVWDLIGIVQEAGGDYPKKFA >OB05G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17001294:17002297:1 gene:OB05G30720 transcript:OB05G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLARQQQAEPPTGGAALKRSNSDGGGERMAAAAAGEQEARSVRCECCGMAEECTPRYIVRVREHFHGKWVCGLCSEAVKERQKREPALTVDGAVDAHAALCQRFNSTVRLNPKLSLASSMRDIARKSCQHRATAAATAAVPSVCSGAIARTTSCALPYV >OB05G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17007147:17008009:1 gene:OB05G30730 transcript:OB05G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEQAAVESGGCQHHQERQAATESAKKLWRVTRAVYLVLVKGLGKHQPKLAALGVHLHQMMSSRGHGGGRHHPNGHGLDDLREHPALLTYLSSTMSCRSMDPAAAVRITDSPFPAWEEGGGDDDDDEGRLGVVDRRADEFIMWFHEELRMQQQRAAAKERSTYFLVR >OB05G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17014479:17017634:1 gene:OB05G30740 transcript:OB05G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCFVTLLAFCFIAPVALMLGYYYGSPELVVVGSGCSRLVETNSFFVEDIEARMEGGSPENGLVFYGLTAAPPLAVPAAWSEARCAIVPANSHREWAYFLNRGSEIEVAYSVRSETESPHPICMIIARGKKNFMQWTDKPSANNTTLLWHLVRGNGTIKQTINLSSEYYIALENLNNQDITGLNSDDQYLNLSYGPRWIVYLIGLAMLAVALLILYEIVSILFAPRHGDSGGGAGSTRAPLLSPASKEEDSESLGSSYDSASHDGDGEDDDDVEEGGGRGGGGEGRHLCVGGGGGRELPAVPEEAEESEEDLHRLNSRSTEKRKREKKWNAHRGARTHDHKVKSLALYRLS >OB05G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17019315:17030958:-1 gene:OB05G30750 transcript:OB05G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRQSDRPTKDVESDRRAEVIEWLNALLPEYCLPPDSSDGELRELLSDGTVLCHIVETLIPGVLEGSLGTYALSDQQSGHVKKFLSVVADMGLPGFNVKDLEEGSMSGVVDCLLVLRESVSSGLCDDTSKAPLRKKWRVPEIGEPLGPGVAQGNTSPGEDKRNGLPDPKSQQKTPIFNGQKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNMIIKTREDKYRSKIKALEMLVNGTNEENQMATNRLQIIKEEKSKIEEKQKLGEQDVARLMKEKEYAESTIVSLKKEMDVMTSMHEQQLQKIEINAKQMEEHLATKIKEVEFLLGQSNKKIEEVEAASQLKSQLWNKKEGIFQNYINSQQLYVKDLRISSRSIRNEMHALKMELRDEMSNFGSGLTCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRSNAFSYEVGVQMVEIYNEQVRDLLSNDIAQKRLGIWNTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGVDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKDLLEQVVSLKDTIVRKDTEIEQLQLMKDKVKSPSFAVDMNGVNIPKYSNSDLGSASSITTNQQNQLSDSQSYAEVNRDGHTSPTDIAPTCLDEADFEDNASEDGFSRETDYPIGAASVFPNSCSDRTADTSNRRSSQIARLSLAKNGQSTTSRPKPKDTALKTPNQTRVQSSQSIGGSSLRASKRWQK >OB05G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17031057:17035593:-1 gene:OB05G30760 transcript:OB05G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNNSLLGYINDLRVLLSATEGYLDEQFCQVEDLQDEANPKFAEEVVFLFFKDSARVMLNIEQAIIGASRVKNECTSFRNFCGEENAEGCTRSFQKVKREHTILRQKLGSYFQLLRQAGPAGIATRPAGK >OB05G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17038423:17044353:1 gene:OB05G30770 transcript:OB05G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGVPARERRQMEEILQLDMEELIVEMVDDEETEEEFADEDDGDFTSVDAFLRDVDREGVASTSGPFTFNTSLASLHTYLGEVDDTRGRVSLLDGGAILSLPMLYLQGVVLFPGATLPLRLIQGRFVAAVEKALRQVDAPCTIGVVLMYRRQSTRYYANASVGTTAEIRQLGRMDDGSLNVLARGQQRFRLMRHWMDVDGVVWGDVQIIEEDIPLRTPRDVFAQLASCNSFPRHASPIISLDVSPIKKQDHMDSELDGGTPSPKSTSSNHSAIDSRMCHSDSQSSSSMRSSNEDGVSMHEQFYSQELHHLKGSGAAVQSGENTDMGKEDFCLTSPRSLSSTGTRDKQQRQYIISKQPFQAPLSFWPRWAYQMYDSYALARRAADLWRQIITHPSMDDYVRKPDLLSFSIGSKLPVSESVRQKVLEIDGISYRLQREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPYGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIASCADCESNIGWLFKATKRNLRPRSFWGIRSSQIADDVQELDQDE >OB05G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17047763:17050705:1 gene:OB05G30780 transcript:OB05G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3M904] MAVAVSWARFLAVALLLVSSGGAVAAAGRPHHGRGSKHGHTKGLRPGKAAAKPYPVNATSVETIERQFTRWVRFMGGRDHSAFQRALYRGLLPTRTLVVDKNPAAGNFTSIQAAVDSLPLINLARVVIKVNAGTYTEKVTISPLRAFVTIEGAGADKTVVQWGDTADTVGPFGRPFGTFASATFAVNAQYFVAKNISFKNTAPVPRPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSILEDTGFSFVNCRVTGSGALYLGXXXGTFSRVIFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVAWSRELTDQEAKPFISLSFIDGLEWVKL >OB05G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17053950:17055556:-1 gene:OB05G30790 transcript:OB05G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWFKKVLTGKKEGGDKDRKEHSAAAGANGGIAPPMERRRWSFAKPRSSVADGSRRPSVTAVVAGELLQVRPCNCGQQREVEAAVMIQKAFRGYLARRALRALKSLVKLQALVRGYLVRKQAVTTLQRLQALMRLQASSRAIKTASSRKSVEQERIVVQVQGARVKTLTLPGGQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAKAWPGSSRVEEVAGRQRHQPEELGDEPPRGL >OB05G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17065637:17065945:-1 gene:OB05G30800 transcript:OB05G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILNNPSPIQQHAYPHNIVFNQQDPNVPRESLGHCTSEYLLYQGSCLSCHTYLPTYVKDYFHSVLKLESILTLYFLLLMCRYCIRVKLVVFEWSFSDRCAK >OB05G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17070448:17077475:1 gene:OB05G30810 transcript:OB05G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3M907] MGKAAAVGTAVVVAAAVGVAVVLARQRRRXXXXXXXGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVSEMERGLRGDIHAMLKMLITYVDNLPTGNEEGLFYALDLGGTNFRVLRVQLGGRERRVVRQQYDEVSIPPHLMVGTSMELFDFIAAALSKFVDTEDNGLHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINDAVGQDIVSELSKAMERQGLDMKVTALVNDTVGTLAGGRYADNDVVAAIILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDAALFGDVVPPKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVADTSLEVRYITSHICDIVAERGARLAAAGIYGILKKLGRDKLPGDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDAVASSVVTKLANDGSGIGAALLAASHSQYAEID >OB05G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17077693:17082562:-1 gene:OB05G30820 transcript:OB05G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHTRRADGGRVTSLSLPSVTVAGAVPDAIGGLTGLTVLNLQNTSVSGGFPAFLYNCTGITSIDLSLNSIGGDLPADIDRLGSNLTSLSLNNNNFTGEIPPALSKLKNLKVLTLNSNQLTGTIPAELGELTSLDTLKLEVNSFSSGELPGSFKNLTSLKTVWLANCNLTGEFPSYVTEMPEMEYLDLSQNSFTGGIPPAIWNLPKLQFLYLYSNKLAGDVVINGKIGAANLTEIDISVNQLTGLIPESFGSLMNLRYLNLNTNKFSGKIPEVIAQLPALEFLLLFQNNLAGQLPAELGKHSPVLRDIQVDNNNLTGPIPEGVCENRGLWIISASANRLNGSIPAGLAACPALMSLRLQDNELSGEVPAALWTETKLINLLLNDNELTGTLPEKLFWNLTGLYIHNNRFSGRLPATAIKLQKFNAHNNLFSGDIPDGFASGMPLLQELDLSRNQLSGAIPASIGSLSGLTQMNFSMNQLTGEIPAGLGSMPVLTLLDLSSNKLSGAIPTALGSLKVNQLNLSSNQLTGEIPAALAVPAYEESFLGNPGLCVSAPRAGKFASMRSCAAAKASDGVSPGLRSGLLAAGAVLVVLIGGLAFFIVRDIKRRKRLGRTEPAWKLTPFQALDFSEASVLRGLADENVIGKGGSGRVYRVAYTARSAGGTGGTVAVKRIWTGGKLDKNLEREFDSEVDILGHVRHTNIVKLLCCLSRAETKLLVYEYMDNSSLDKWLHGHKWLAGGATSRAPSAVGPASAAGLAGEDQGGRRRGAGALLHAPRVLPADRAPGRQVQQHLARR >OB05G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17089241:17091696:1 gene:OB05G30830 transcript:OB05G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKGSAADICGHLGFYLKFQISFALSSASSFCCSGDCLDELPFVVRFLLKDRMGGQPTTGGIAPLHQIRALVSVGFIRLFLERPGEFLY >OB05G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17088850:17092829:-1 gene:OB05G30840 transcript:OB05G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M910] MEEEFKDKGLPPTLLNLIPDGREWKVKEAGGERSRNTSVDAHEDEELELKLGLPGLHEGEQAAGSREKIQQQQQDGSSAPSPSCFPAHSKAIASITTTGAKRGSLASVGATPEGYNQIHRDREECGNELTLGGENMAGERKKGCSPSPPSHGSAAVHSSNPQGRGAIPPVVGWPPIRSFRRNLTTNGSSSKQSPEQQNDEADDKAKLICKKRPLVKINMDGIPIGRKVDLALYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGTEEKIFSGLLDGTGEYTLVYEDNDGDRMLAGDIPWNVFVSTAKRLRVMRRSELPHDMQKLPNVEAI >OB05G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17102775:17103254:1 gene:OB05G30850 transcript:OB05G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLGWGDRPGMYEEGDDDELFGASSSVSGGESDEEGEGEDQFSEGGAEASAGAADQMEHRRVAAPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAGSRRRRCGG >OB05G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17103284:17103675:1 gene:OB05G30860 transcript:OB05G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQLPAKKGLSRYYEGKSQSFACMSEVRCLEDLRKKEKPYKQRIKSCSSYAALGGIAKTQSSSSCANLSMMGANGGFRAPPIPVNKNSYHQ >OB05G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17104138:17107337:-1 gene:OB05G30870 transcript:OB05G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGLEEAEEEEREEARGGGGGGEVVDVVRLRARRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDQIDQYVLLGAVPFSSDVPHLKQLGVRGVVTLNESYETLVPTSLYQAHGINHLEIPTRDYLFAPSIEDICQAVDFIHRNASQGGSTYVHCKAGRGRSTTIVLCYLIKYRNMTPEAAFDHARSVRPRVLLAPSQWQAVKLFSALNTRCLSMESSNQTHSAESCEESGEPFSSLAASCLQIQSSNRTHSVRFGEQSSEAIVEAEVDGFTTEFDNEHFVLPLWDGMLAKPSSPSRCSDAVVITEEDLEGYETYADAGKGTASMEMVIRQKPMISKLSCFLGSLKITSNCEPPPRRLAEVRAC >OB05G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17109472:17110830:1 gene:OB05G30880 transcript:OB05G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSAAPPPPRLPPPPYRRTRSLHSSRRRRPCTAPLGGHLRSLKHHGASRFASTSVVKQSSVGLFGWFLGGNSSQLPPLDVPLPGITLPPPLPDFVEPSKTKSPLFQMASRLHQIHRRGLNSDSRCISGTVH >OB05G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17111538:17113394:1 gene:OB05G30890 transcript:OB05G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEDIGTQLFMCLATNMSVASSIPGEHSTPGPAWLVLEFHAPALHWQIDWNK >OB05G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17114864:17119408:1 gene:OB05G30900 transcript:OB05G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3M916] MASSHIILPPEDDDEEQRRLEDEDEDEDPWARSVPPPAPPEHAVKASLPFSASCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLIDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKISRRGIHFRRAGPRQRVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVSKIYGIQNGYKGFYSSNYLTLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYIEKRLKENKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVNIHDRMWARLLSSTNQPSFLSQKDIDEAKETNRMANKPPLPTGLSHHAANSFDQSASSSSNNET >OB05G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17120269:17125680:1 gene:OB05G30910 transcript:OB05G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTPGTMATRSWLLLLCFAAAATAGLLQAHAQPDSNGFISIDCGLPGKTGFADDKSTLYFVADDGFTDGGAYHNISAEYITPGLGKRYHSLRSFPDGTRNCYTLRSLVAGLKYLVRATFMYGNYDGLGKPPIFDVYIGVNFWMMVNISDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISTLDLRPLEKKLYPQANETRGLSLFGRWNFGPTSDTTFIRYPDDPHDRVWQPWVSPSLWTEVSTTRPVRHIDEDVFDAPTAVMQTAITPLNGSGNIEFAWVPYVQPKDPSPGYLAIMHFSELQLRSSSAVREFYINLNGNMVFSKAYTPPYLYADAIFNSDPFLRYTQYNISINATANSTLPPMINAIEVYSVFSTATIGTYGQDVSAMMAIKEKYLVKKNWKGDPCVPKTLAWDNLTCSYDSSKPARITNINLSSSGLSGEISSSFANLNALQYLDLSNNNLSGSIPDALSQLASLTVLDLTGNQFSGSIPAGLLQRVQDGTLNLKYGNNPNLCTNGNSCQPPNKKSKLAIYIAVPVVLVVVIASVTILLCLLRRKKQGSMNNSVKPQNETTTSYVTTNVSHGHSSSMQLENRRFTYNELKKITNNFQRVLGKGGFADVFDGFLEDGTQVAVKLLRSQSCKQGDAEFLAEAQILTRIHHKNLVSMIGYCKDEKYRALVYEYMSEGTLHEHIAGKRNNGKYLTWSERLRIALESAQGLEYLHKGCNPPLIHRDVKASNILLNAKLEAKIADFGLSKAFSPDNDTHVSTSRIVGTHGYMDPEYHATMQPTIKSDVYSFGVVLLELVTGRSAIMHDPEPISIIHWARQRLARGNIEGVVDGHMHGDHDVNGVWKVADIALKCTAQVSAQRPTMTDVVAQLQECLQLEDGRRAMSDANNSYTGNNSNPNSSYSMYATDQSTDVSQNSGTIDMEYNFERIPPTATGPAAR >OB05G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17127501:17134610:1 gene:OB05G30920 transcript:OB05G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIATGWWHACAQSARSGMERSTMAARWWLLLLIGLAAAAAGVVRGQGGAPDTTGFINIDCGLPEKSRYVDDVTKLKFTSDEAFTDGAGTIHNVSADYATASTTTDRSLYTVRSFPDGARNCYTLPSVVPGSKYLVRARFMYGNYDGLSKPPAFDLYLGVNFWQTVTVPSADWLGIAEVIAVVPDDSVQVCLANTGAGTPFISGLDLRPLANSLYQQANATQGLVLVDRRNFGVGGNATAGGVRYPDDTYDRVWLPWSNPPAEWSDISTTEKVQNTIAGLFDVPSVVMQTAITTRNSSKPIQVSWDTHPNHDYPDPGMFFTFYVSELELLTGNAVRQFNISVNGIIWTKQPYKPVYLSTDSLYNAAPHRSYSRYNFSLYAAGNSTLPPILNAVEVFSVVSTGNVGTDAQDVSAITSIKARYQVKKGWAGDPCAPKNLAWNGISCSYGISTPPRITSLNMSYSGLSGDISSYFANLKEIKYLDLSNNNLTGSIPIVLSQLQFLTVLDLTGNQLNGSIPSALLKRSQDGGLTLWYGNNPNLCSNSSSCQLPQKKSNSMIAVYVAVPVVVIGAVAVLLLFLIKKNEAKGSVKPHILGSGLPSHSQNGSEHTSLQQLHNRQFTYKDLAVITNNFQRVLGRGGFGPVYDGFLKDGTHVAVKVRGESSDQGYTEFLSEAQTLTKIHHKNLVALIGYCKEGDYLALVYEHMSEGTLEDKLRGKGSNTRSLTWKQRLRIVLDSAQGLEYLHKACSPRFVHRDVKSSNILLNANFEAKVADFGLTKAFKCDNDTHVSTIRVVGTRGYLAPEYVTTYLVTEKIDIYSFGVVLLEVVTGQPHILKCPEPVSIIQWTQQRLSRGNIEDVVDTRMQGDHYDINGVWKVVDVALKCTAQDAKQRPTMTNVVSQLKECLELEENRFREDLSDTYVTGSSIDRNSSYSTYATELSQGSTTFEMEHNLRKMPKRDIGLPTLR >OB05G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17137115:17143721:1 gene:OB05G30930 transcript:OB05G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLERSTARAMAARLWLRILCIAVATGGVLQARAQPDLKGFISIDCGIEAKTGYVDDMTTLSYVPDDGFTDGAGTNHNISVEYMTPSISKRYHNLRSFPDGARSCYTLRSLVPGLKYLIRAVFMYGNYDGLNKLPVFDLYIGVDFLTTVNITRPDGAALEEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNTEIIRYPEDPHDRVWLPWINPTDWAVISTTLTVQHIENDIFEAPSSVMRTAITPRNASANLQFSWEAYTQPKDPVPGYIANFHFAELQLLPSNAVREFRINLNGRPVYNESYKPTYLYTDAIFNRKPFLRYLMYNISINATANSTLPPIINAVEVFSIIPTTSVGTDSHDASAMMEIKAKYEMKKNWVGDPCVPNTLAWDRLTCSYSSSSRPRITSLNMSSSGLTGDISSSFTSLKAVQYLNLSNNNLTGSIPDALSQLPSLTVLDLTGNQLSGSIPYGLLKRIQDGSLDLRYGNNPNLCTNGNSCQLAERKNKLVIYIVVPIVLVVVIVSIAVIFFCLRTRRPGPVSNSIGPQNEKKANAPKNGDQMHSSLPRLENRRFTYQELEMMTNNFQQELGRGGFGCVYDGFLEDHTRVAVKLMFKNSQQGDKEFLAEAQILTRIHHKNLVSMIGYSKDGDNMALVYEYMPEGTLHEHIAENSNRRFLPWRQRLLIALESAQGLEYLHKGCNPPLIHRDVKTTNILLNAMLEAKIADFGLSKAFNQNNDTHVSTNTLVGTPGYVDPEYLMTMQPTTKSDVYSFGIVLLELVTGKSALLKDLDNTSIIQWVEQHLVRGNIEDVVDARMHGDHDINSVWKAIDIALKCTMQESARRPTMTDVVALLQECIELENKCSKYGNMTSHFYTTNSENHHSSYNMYNVDQSTNVIQSDDAFEVRHDIARVSMDIGPVAR >OB05G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17147178:17147888:-1 gene:OB05G30940 transcript:OB05G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTREHLLLDPAALAVSWADPSAVEIPPELLAALGEYLSARRGEGEAEADAAEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRXXXXXYRTRPCRAGVACRRRVCFFAHTAGELRASPKEDSPLSLSPKSTLASLWESPPVSPGEGRRWVDGMDECDADVEMEELMLAMRELGLRKARPSPSPVTPVLPPVTDEDGPDFGWVSELVM >OB05G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17152386:17153956:1 gene:OB05G30950 transcript:OB05G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPNSPASVAGRLIGALPVVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAVYVCCFGQDMVEDEDVKLLCTMLSAVFPSVGRPAIERTVTSMAKQVAAGERKGPGAKTVSKEAAERQLKDLEFLKQNKLDSSL >OB05G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17154494:17157777:1 gene:OB05G30960 transcript:OB05G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPWKRSTQPGSPGPSGLVGSERREASGRLAVSRRIHEGREGSDAGEAAAAAAEGDGGGGEVRGDGDIGRAVAAGGLPYPTACGEIAALLRNGYADLPKAAQALVAADVLLAFRLLPDVQTGYALSAANGLLQAVEGSLPKQKKAQAISEFKRSIVAHKRHARVQQDPGATHIPYDVLVHIFSFLDMRSLLAAGLVCWPWNSAANDNNLWKMNYSLFFSIYPMNCNSTPTPGNVQNSDGHVQNSMYPVSPDPGFSWKEAFQNKYAEQETWSSASNRALCGFCRSVIWLCNLTSATPHQCPKNGKDGVKLGPLLPLTVADYILGIDDLTASSSESADTDSDSENYHQARFWSLS >OB05G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17159067:17161119:-1 gene:OB05G30970 transcript:OB05G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3M923] MAEHKEEESVMDTISDKLHGRGGSSSSSLDSDGERTAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLAGATAIWLLFEVMDYHLLTLLCHCVILTLAILFLWSNASTFINKSPPNIPEVRIPEDLAVNVARSLRFEINRGFATLREIGQGHDLKKFLIVVAGLWILSVLGSCCNFLTLFYIVFMALYTVPVLYEKYDDKIDAFGEKAMIELKKYCAIFDEKCLAKIPKGPSKNKKH >OB05G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17163695:17164297:1 gene:OB05G30980 transcript:OB05G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDSLSSVGVGYAVAVALGFLVLLASLLLTSYFCFRRGVPGGGGVHSARHAVSSASSSGHISITVPRVIFVADDTDSPGSSSRGAGGGVASSPVGLDPAVIASYPKVPFSRAAAGADAEAACSICLCEYKEGEMQRMMPECRHRFHLMCLDAWLRRSASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRHR >OB05G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17163716:17164206:-1 gene:OB05G30990 transcript:OB05G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDRQTGHDALRRSHASRHMRWNLCLHSGIMRCISPSLYSQRQIEHAASASAPAAAEGHLRIRGDHCGVEPDGRRRHPAPRAAGRGARGVGVVRNEDDARDGDGDVPGAGRGGHGVARGVDPAAAGHAAAEAEVGGEEQRREEDEEAERDGDGVPDADRGE >OB05G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17167234:17168007:-1 gene:OB05G31000 transcript:OB05G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAAAENKNETTRPLAVPSPSVHPAASDAEEEAQAESRWRSAQSLRKRRRALWCCGCCVTTLVVVGLAILVLALTVFRVKDPRTTMNGVWVTAISTGPGSGGPGVGSTVATNATLTADISVKNPNIASLRFSRSETDVYYKGKTVSVAYVPAGEVGADRTVRMNVTIDLLADRLASVLNGTGLILGQEYDLSTYTDMKARVNVLGIIKKNLEIRMNCSIILDVSGIAGALVPGGGAASGVQTKGVDCVARVSY >OB05G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17172524:17173966:1 gene:OB05G31010 transcript:OB05G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M927] MEANPSQKTVVLHACLGVGHLTPMVELAKLLIRRGLAVVIAVPTPPTSTTDFFSSSASAVDRMAAANPSISFHRLPPPEYPEPDPDAFVQMLDTMRLSVPPLLAFLRSLPSVAALVLDLFCVDALDAAAATGIPAYFYYTSAAGDLAAFLHLPHYFATTQGSFKDMGKAPLRFPGVPPIPASDMPHTVQDREDRTCTTRIGHYARMPEARGFLFNTYEWLEARTVAALREGACVPDRPTPPVYCIGPLIVKGDAARGERHACLSWLDAQPERSVVVLCFGSLGAVSGEQLKEIARGLENSGHRFLWVVRSPPQDPAKFFLPRPEADLGVLLPEGFMERTRDRGMVVTSWAPQVEVLRHAATGAFVTHCGWNSVLEAASAGVPMLCWPQYAEQRLNKVFVVEETRLGVVMDGYDEKLVKAEEVEKKVRLVMDSEEGRKLRERLAMAKEMAAEALSDSGSSSLAFTEFLKDLNYGKTPNDQA >OB05G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17176136:17177563:1 gene:OB05G31020 transcript:OB05G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M928] MEPNSERSQTVVLHAGFGVGHLAPMVELAKLFLGRGYAVIVAVPTPPASASADILASSAPAVARIAAANPSVSFHSLPPPDYPEPDSNGFQQILDVIRLTVPVLLMFLRSLPSVAAVVLDLFCVDALDAAAAAGVPAYFYFTSSAGVLAAFLHLPHHFATTEGNLKDMGKALLHFPGVPPIPASDMPCNVLDRADPIGASLIYHYRRMPGARGMLINTYEWLEARAVAALREGACVPDRPTPPVYCIGPLIVKGERHACLAWLDAQLERSVVFVSFGSMGAVSAEQLKEIARGLENSGHRFLWAVRSPPEDPAKLSLPRPEPDLDALLPEGFLQRTRDRGMVVTTWAPQVEVLRHAATGGFVTHCGWNSVLEAAAAGVPMLCWPQYAEQRLNKVLVVDGMQLGVVMDGYDEELVKAEEVEKKVRVVMESEEGRKLRVRQAMAKGMAAEALADGGPSCMAFSEFVKDLELARQTIK >OB05G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17176148:17176906:-1 gene:OB05G31030 transcript:OB05G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFHDQRPDAVNRRRGAVGHARALPERRHGPRLQPFIGVDQHAPCPRHAPVVIDQRSADRVRAVEHVARHVRRRDRRDARKVEERLPHVLQVAFRGGEVVGEVEERGEDPGGGGEVEVRRHTGRRCGVEGVDTEEVQHDGGDGREGAEEHQEDRYGEADHVEYLLEAIGVRLGVVRGREAVEGDGRVGSSDARDRGGGRRQDVGGGGGRRGRDSDDDGVATAEEELGELHHGGEVADAEAGVQHHGLATF >OB05G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17181561:17182370:-1 gene:OB05G31040 transcript:OB05G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRGVLVNSFDWLEPNALKALAAGICVPDKPTPRVYCIGPLIDARKKGTSGGERHACLPWLDSQPRQSVVFLCFGSKGAFSEPQLKEIARGLESSGHRFLWAVRSPPEEQITSPEPDLERLLPAGFLERTKDRGMVAKKWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMAGLPMICWPLYAEQATNKGLMVEEMKIAVPLDGYEEGGLVMAQEVEAKVRLVMETEEGRKLRERLVQTRDMALDAVKEGGSSEVAFDEFMTDLENPLH >OB05G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17188663:17190144:-1 gene:OB05G31050 transcript:OB05G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M931] MNNVVLYPATAVGHLTPMMELARVFLELGYTVSVALIDDPLSTPVMNSAVERAVASHPSVSFHWLRAAATSLCFALAPAADEHFLVRYLNLVRHNNEHFRDLLCSALVPQPVHVVVIDTFLFHALDVRKELGVPAYGFFPSGAGALAIYLQLPSLQAKCKASFGELGDTPLDLLGVPPLPASHVPVDMLLHPAESKICEAMMDMCKKIPEFDAIMVNTFESLESRAAGALGDSAGLLPGRALPPVCCVGPLVKHGGVGGGEGAAKRHHHCLTWLDEQPDRSVVFLCFGSEGTHQQEQLREIAVGLEKSGHRFLWVVQAPGSTDPTKFLDRRADPDLAALLPEGFLERTSGRGVVVKLWAPQEDVLRHRATGAFVTHCGWNSALEGVTAGVPMICWPLYAEQSMNKVLMVEEMGVAVEMIGWQQGLVAAEEVEAKVRLVMESTAGGELRARVRERMRAAAMAWAVDGGPSRAASARLLSYVRGQPTASLGNRGS >OB05G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17194200:17195114:-1 gene:OB05G31060 transcript:OB05G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASYLYAELPEDPDSAVYKAMMDLERRNIRCSNGFLVNTVESLEAPVLDALRDSLGHRGPGLPPFYCVGPLIEEAGERNESAERHECLAWLDRQPERSVVFLSFGSIVVGNHSEKQLKEIAAGLEKSGHRFLWVVRAPIASNDPEKKPHDPRADPDLDALLPAGFLERTRGRGAVVKLWAPQVDVLHHPATGAFVTHCGWNSVLEGVIAGVPMLCWPLYADQKMNMVFAVEEMGVGVEMVGWRKGHVAAEEVEAKVRLIMESEVGARVRARVTAQKEAVAAAWTDGGSSHTAFARFLSDVDSRQ >OB05G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17195345:17195760:1 gene:OB05G31070 transcript:OB05G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKSWRHLSLCRLTRSKYPGRKKAPSLVAVAGGSSTRGSLWKDMAGLDAMTRSTVAAKASCRWTVDERDSDGITVIHELVGELHHGDELADGEAGVEHHGLLHRGFECFLAGRRGSNYGSSGRWRHIESPTIKT >OB05G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17196596:17213329:-1 gene:OB05G31080 transcript:OB05G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDARGEHMGIAGVLQASSRLSCKWCWELQGKQVVQESRNSKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKENVVCLPWINITELIINRAGSLLWLVVLSPMVNWLYPSILRKSRAEGKSFVQCSRHSLATSSGQQRQFKGRNSTGKILFTTARSWRPGARQECFDEAINGVACPGDPMRYHLALDGSSPGRTCSRARSVMSGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESSEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLALRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFNVMGYCVTTAKGAAETLKLNMAMILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLINSSEEKYAPLGKYFGEVKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEFLYLIRKWYKRTTWMYLAVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVFKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGVFYCGAPVLAQELGDLCHDFNDRCTSKFEFHKEHF >OB05G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17214864:17217785:-1 gene:OB05G31090 transcript:OB05G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXSSTVTTAGGSSGVTEATATTNRQLQGSARRGRGNGRKAGGGGRTPRFAFHTRSENDVLDDGYRWRKYGQKAVKNSDFPRSYYRCTHHTCSVKKQVQRLAKDRGIVVTTYEGVHNHPCEKLMEALNPILRQLQLLSQL >OB05G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17221414:17222526:-1 gene:OB05G31100 transcript:OB05G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPFSLRSCEDIHYCLPSEAFHLLVFEAEDFLFFKCSNREQRLSSNAQAEAVWWNETWLSRTQIAYILTNYTDENFQKSKAVIS >OB05G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17222822:17225595:1 gene:OB05G31110 transcript:OB05G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 [Source:Projected from Arabidopsis thaliana (AT5G53070) TAIR;Acc:AT5G53070] MASARAALLRRHCLAAAAGGGANPVLFCGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFTLLIREQRKLYQPQEVEVVKEVRKEDDDARQQEEKLKEYQTAAKRLDNALLVLRRFISVGNELRSPVTKDEIISEVARQLNINIHPDNLHLPSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >OB05G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17225836:17229190:-1 gene:OB05G31120 transcript:OB05G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSPLSPMGSRDVRDRELLIPVSGGGSAPGDGDGDGDRAASSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFVVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRIGEYAFGFITSSVSLQSYTGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQTILGDRTGASRS >OB05G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17234518:17234964:-1 gene:OB05G31130 transcript:OB05G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAAVTGHASAAGFLLALCHDYRLMRADRGVLYMSEVDIGLPLPPYFVAVLRAKITAAHALRDVTLRGRKLKAAEAKEMGIVDVVCPTAAETAAEAIKLAEQLAARKWNGSVYSSIRISMFPDACRSVGIGEESDEEKRKHFASRL >OB05G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17246442:17249833:-1 gene:OB05G31140 transcript:OB05G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:J3M940] MSRWDGPDVSVAAGVTSPFAGEVSRPRHLLAGPVPRTRTRATEVAGEWAPPLAHAVHGARGSCLTPRASRSSWARFTGPKDTGVWGPDVAGQSTFGAQRLPSFPSLPVPSRRGVLPTSSPPFFPRIWSFKPRNPNHCAAAVAAAAAVAMNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMVVFVARYMDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDRDQDTFRHVVLVAASFVLALIFNERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVLFLGAYRAFYILNWIYRYFTEGHTSRWIPWIAGLVQTALYADFFYYYFLSWKNNVKLELPA >OB05G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17249992:17253716:1 gene:OB05G31150 transcript:OB05G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDEHGKKLKKPKPWKHTQAITPAQLSQMREEFWDTAPHYGGQKAYRISTEIWDALRAAAEAELSLAQTIVESAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIRDG >OB05G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17250994:17251291:-1 gene:OB05G31160 transcript:OB05G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3M942] MASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPSWVVSEDMERDVLCQQTKPKLMDILIL >OB05G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17259747:17265136:1 gene:OB05G31170 transcript:OB05G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGISRSFSGELGIFSNQTSELDSDLASEARSGQKSRGSQRGRHRSLTQKYLPMTFKDVVGQSLVVQALSNAILKKKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRNLVEIGPVGNIDLDSIVDILDNVMLSPAPTQHRVFIVDDCNTLPPDTWSVISKVIERSPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVNLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERTRRKFFKHPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTATLLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIARGAAIDHSNILPRNANLGDGSGNNEARTRSGKERGEHTPGSHALLKGATRVNEASRYSKSDNEMIWQAVLEHVQSDSVRKMLAKEARLISVSLGTAPTVRLMFSSRVNKSKAERSREQILHAFESVLSSAITLEIRYEPKDDARGGHAPAISPYPDDSASNVALRRSFTKHSSVSSRGENLIRRLQKDNAVHGVNSNQMRWMQSDPHILTEGEIIEVGPSQVDWHGESNNSAVATKERRDEVEWEQAAFITQDQENIVLRGENVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAISIAEKLEQENLRLEPRSSLLCWKASSTTRRKLSALKIRTRKSRALSRLALCGRCISTKSPR >OB05G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17269901:17272781:-1 gene:OB05G31180 transcript:OB05G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHSPPLLADSLATLRTASPSFPCSSRRTRPSVSARFARTGRRSNRRRSGSAGGRRDLRVYAYASETEHGSTEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDGPEVTNFCMFINEVYTVLSDPIQRAVYDEIHGYSATAINPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGRTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRMASVRWEKRQSKILENIRTRMANKNNSDISGTWSDIWGSPTRYQGNEEEATERAKRAAAAARRWREYSRKGADKPPGYRLPEAVGNKE >OB05G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17277683:17280786:-1 gene:OB05G31190 transcript:OB05G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRTSGERCVFFFLNNFFLPSSRLVSSCSQSQAAFLFKFGIFVASPMSSSGTETDSLASRQSKKPKYSKFTQQELPAWKPLYTPGIVIGAFSLIGIVFIPIGLASMAASQEVVELVDKYDVECVTASDKVGFIQDAKTNKACTRTITVPKPMKGPIQVYYQLENFYQNHRRYVKSRSDKQLRDNESASVIKTCEPEGTSEGGAPIVPCGLIAWSLFNDTYTFSVNKKAVQVNKKNIAWGSDRSSKFGSDVFPSNFQKGGLIGGGQLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGAKALVLSTTSWIGGKNNFIGCAYVATGSISLLVALAFVVLNVVKPRTLGDPSYLSWNKENPDYLH >OB05G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17281892:17282176:1 gene:OB05G31200 transcript:OB05G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGVVRLAAACHAAFLVVLLLGCCPRCAGRRLPPVSAEGRGGGEVVHFEGGLELSVFVRRGGGTGGPARPRRFSATGGAARLMRSVPSPGVGH >OB05G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17284252:17288667:-1 gene:OB05G31210 transcript:OB05G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQYNYSNISELQLQFKLLRDAIDSLDEEDDRFGCEIGTFGNFKKAKGTVNISATYDTRHHDFETSVVARGDLWRLESSRGLNSGNENAPLFLVQLGPLLFVRDSTLLLPIHLSKQHLLWYGYDRKNKMHSLCPAIWSKHRRWMLMSMMCLNPVNCSFMDVQFPNGQLTYVAGEGITASGFFPLFGGLLQAHGKCPGETRVSFSFKSKQGTKFTPMFQWPDNSLSFGVAQALAWKRSGLMARPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFTCSRHPSAFTAVSLGRSKWNGQFGSSGLVITLETPLDNRARPSLSVQLNGGFEF >OB05G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17295025:17295243:1 gene:OB05G31220 transcript:OB05G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFAWLHSLLRRLWLLGSSGGACAYACLYAVMISSSFSFFGRKELAFDRKVQGRCDSRSSVRICAAVQCEIWPG >OB05G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17295380:17297187:1 gene:OB05G31230 transcript:OB05G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHSGGGGREKSSGPPPFLIKTYEMVEDATTNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGQTHLLKNIHRRKPVHSHSLQNQINGPLAESERRELEEEINRLKYEKSLLVADLQRQSQQQYVINWQMQTLEGRLMAMEQRQKNMVASLCEMLQRRAGGGVVSSSSLLEADDHFSKKRRVPKIDLFADDGAAVEQKVFELQGIGGSETPGVSPVFPVNGENLDRVELSLVSLEKLFQRANDACTAAEEMYHGGTEPSTAVTPAEMNTAPMETGINLQLLPASLHSTEFAESPTFVHSPELPMAEIHEHARVTAEADVNSEIASSTDTSQDGTTETEASLGPTNDVFWERFLTETPQSCFAGSERQDDAKERLDCNGFRHREKVDQITEQMGHLASAEHTLRT >OB05G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17297312:17301432:-1 gene:OB05G31240 transcript:OB05G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M950] MEGGGRDPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTIGLPRYLAVPPPDTAQQVKKLDDETMNDVIKMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESIQSSLSQVTSAETPGSAAEHRLHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWTPGFPSHESMMHSNHSFGAESAIIETDDISEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >OB05G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17301644:17302069:1 gene:OB05G31250 transcript:OB05G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRKRSECVRGRGGFLPDFRRAADRSGGGRGDEQELLALALALGGGGEGAGRCGGAVRCGWGAMVRGGGGGVIDAPVTGERRGEGEVEVEEEEDELVLVVPHAGPYLPGAASWRAEIRSLAVGPVVERSAWRAVASGSV >OB05G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17309169:17311657:-1 gene:OB05G31260 transcript:OB05G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDEGDKLRDVTRVMIMKVLTVSQNALIRGEVPQGIGELKNLVHLDLSYNSLTGPIPSRIGELRSLVGLDLSYNSFSGSIPGQLGDLAMLQKLDLSSNNLTGGVPATITGLTSLTFLALSNNGLSGRLPAGLSDLRDLQYLIMENNPMGVPLPSELGDIARLQELRLANSGYSGSIPETLGRLASLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRRLGRNLDLSGNPGLCVDDRAVVQARIPR >OB05G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17318509:17319150:-1 gene:OB05G31270 transcript:OB05G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPQRRRRARHRRRRLLLLLLPPQAEQQQQVRRHDRHLPDVAAVVVVGLLLSTAVFPAGVQERGLEGDVHRPRARRAPLLLGPRHGRLLRWRRRGYRRRWRVVGVGVGVRPEHLELVEFLLHLHRHDKAATRPRELAAHLGPGARAAVAIYRGETGGRPPFLAGLHRGRIASEILATATARWVSSTRGRESEAAGGGCGDAPAAIAPRASDAAT >OB05G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17318773:17319225:1 gene:OB05G31280 transcript:OB05G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEEELDEFEVLWPDTDADADDAPPPAISPAPPSEQASMPRAKQQRCAAGSRPVDVPFKTALLHARWKYGGAKEEADDDHGSDVGKVAVVPPHLLLLFGLRRQQEEEEAAPAVSCTPPSLGPRPCKRARDLRHLRNSVLRMTGFIEG >OB05G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17320713:17321153:1 gene:OB05G31290 transcript:OB05G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPEPSEDSLSSGDGRADGGVPSSSVVAGRPIGSPPETTSSLSAPVEIISRRKRRSRSWASEDGMLCQTDDEDDGGAAKKKANGIAVVPPHAIVDRRRLRGQTAAYSMCAGKGRTLKGRDLRNVRNLVLQMTGYIEK >OB05G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17325753:17326460:1 gene:OB05G31300 transcript:OB05G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKIRLAVVAALFFLIGALLPVSHASGRRYVAPAPAPAPVPATSSPRRQRIQPVVVVQGTIYCKSCKLSGYNRYMDASPLPNATAELVCYGADRVLNLTSTRTDKNGYFLVMVYDLGMFQRSRCRVYLGSSPTPLCAAPFIPSNKWLGLTLEREKVASLPQGVKGVYRPKSTLMFGPGSSGSCPAAAVPMM >OB05G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17333653:17337796:1 gene:OB05G31310 transcript:OB05G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIANNYEVLNTIQNSVYPSMTRPLMTSSDQYSASSVNKTELPNRLHLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCAVCYVSVDQAFALMPLQPSPSPVLKNLSYVSEDSITANLSNQGSVFGGHPSLEQRNKYFDINESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEQCRELVVASAIFGNYDMIQQPRNASEFSKANACFYMFVDEETEAYIKNSSAVYRNNKVGLWRLVVVRNLPYEDSRRTGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTHYTTAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVDWMPQMFLDCERRNFVVQAYHRELLEQMIASGWKPPPVASELSRNLRPGSRKAPPSKKPSMKRKRGKKSSSRRRLPKPTTGMTDVTMGL >OB05G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17338536:17341221:-1 gene:OB05G31320 transcript:OB05G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSQGPTTXXXXCPPATPAPAACPPSVATSDGASLDRLLLARSDLSGLVSQIDELVCSALQCQTVSERGNQEIEAFGCFLSDTNSSLKHWSSRLKQVLQQASPEKSKNVSKFTSVTCPVSATKGNSKLLCGNNSNLDEADLIVSPSPLVSWRAGACMVDSGKQLFLLTPLPKTKTCSSRCPKSSTTQLKTTTSLDQLNLPNIPVLKLTISDDNCFDLEKSGKGNEAKTGVVTPCFIKGKKGSSENSLFSPFSFSVQKSGRALPSPCLRTALSSKQQRFSPISEGSRKEDILGTGPTQSSKPSEASDDMLSDEISKDLASRYPDLYAFNQPITTYRRREADDALDWFLSPLKTCVLMDPSDEKPIAPPAGDSKSFIDTPSKGLEIGEFQTTKELSDDNPIQTPSLYSKALFGTPWKGLQSDNPKKGQGISDGKPHQTPAVHSRVLLGTPWKGLESTNLKGRQAGETTLKRELWTRFEAVSTNELHFDSSIFQRSDGRRFIDILEEEAS >OB05G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17343373:17343633:-1 gene:OB05G31330 transcript:OB05G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALGGGGSRARQNAVRSGLVVLGAVAFGYLSFRVGFKPYLDRAQEAMDARASPASDARPPDHAGGEGDEDDVAASKDPAVVLRD >OB05G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17344826:17347101:1 gene:OB05G31340 transcript:OB05G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3M960] MAGMRSPPPPPPPPPLWLRPLTSSGVLSGGACRASVDIAGAAATRLSGGHSTHTREHTLYPHVTGTSVIALKYKDGVIMACDTGASYGSNLQYKSVERIKVVGKHSLIGASGEFSDFQEVLRCLDELTFFDHMCDDGNSLGPKEIHSYLTSVMYSRRSKFDPLWNSLVIGGVKKGPNGEEKYLGTVNLIGTHYEENHIATGFGNQLTVPILRAEWHDNMTFEEAVRLVEKCLLVLLYRDRSFINKFQIAKITAEGATIYQPYSLKTHWGFSAFENPSKHSIKESMRLLFL >OB05G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17348358:17355495:1 gene:OB05G31350 transcript:OB05G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNQFDILGGDDIDDPAQLLAKAAVAAQKAEAKKPAAAAAGKGAQPAAAKLPTKPAPPSQAVRESREGGAPSRGGFGRGERGRGRGGRGYGQNRDFGGEEMNGFQGGYGGGGGGYGGGGGGRAGGEEGAQDRERAPRPPYQGGRRGGFRDSGYGDDSERVPRRPYERHSGTGRGYEMKREGSGRGNWGTTTDEILAQETGEALKLDEKVPVSDKQGPLEDAPQADENKDNKDATPNEEEKEEDKEMTLEEFEKLREEKRKALLSLKTEERKVEIDKDLQSMQPLSNKKENDEVFIKLGSDKDALKKKENAEREERAKKSVSINEFLKPAEGERFYGSRGRGRGRGDRGGFRGGYGEGHRYAAPPAPLIKDQSQFPSLGGK >OB05G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17358402:17359934:-1 gene:OB05G31360 transcript:OB05G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQSCYSCPSKDHNKTCKTLNTIFREEFLMELLSAILGDLVSRSITFMIDRYFKQTSVDDDLRRLHHLLLRIRTVVEEAEQRHLTNHGMIRQIELLREQMFRGYCILDVFRFRDEEDKVRPPFALSKFNRAKRIRLSDSSNSSDNSPIRARSMDDLHQTVSSLERIMGDTKESVVFLMSYPPIYRQPYSMHLYLDRCMFSRHMERENAISFLLQTGPPAAEIVQVLPVFGPALVGKSTFVEHICSDQRVREHFPLILYYSGDDLTDEKVATFRENCQTMHRNDAMDGRFLLVIELLGDVDDRTLKKVYSSFRNRKHMTREMKVIITSRSEKIVRLGTTQALRLKFLPFEAYWYLFKVLAFGSTDPEEHPKLASMAMEIAEVLRDCFLCAHIGGALLKANFSAQFWSRILAFAREYRNECNSLVSCGCQENVSGTGDHPQLGWAIVKPKPAKYFLLRDSYQKAPAQDDGSKITLVDLLLGRVRRRGKFEVLVWKSRIPPYYSYISNCVM >OB05G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17365016:17373371:-1 gene:OB05G31370 transcript:OB05G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGTPEKQAFQNPVQQRLGERHSKLDSRSIRKRKKKVAPAFPPPESEDEESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLASFTAHPSLRAAVVADLLAARSRDPACVGFSQCLLNFKGFLAIQAHRVSHVLWAQQRRPLALALQSRVADVFAVDIHPAASIGKGILLDHATGVVIGETAVVGDSVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARNTAVGNPARLIGRRRGEAERDEDMPGESMDHTSFIGQWSDYII >OB05G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17372953:17379376:1 gene:OB05G31380 transcript:OB05G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:J3M964] MIQNTEKNIMLLNQARLQALEHVEKVLKEKEELQKKIKKLEMRLSETDAQFKLSTEGKLSTEINDSLPVLELDDIREENMLLKDDIQFLKTILIEVAETEDSIFKLEKERALLDASLRELECRFIDTQADILKPGPRQYDAWWEKVENLGDLLETTTNKVENAAMVLGHNHDLEDKVDKLEASLAEANISKFSCYFVDCLKGKIKSVEERFQACNREMHSQIEQYENSLEEFHDILSKLVEETEKRSLEHSASSTPSELWSRISLLIDGWLLEKRISYNDANTLREMVWKRDSCLREAYLSCRGMKDREIVDNFLKMTLPGTSAGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVQVQSYFEGNRFNNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFRRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAHDLACCGLDVEQLDRPDRMQDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQDSLKVHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKEANKAALRKQLKMSSTNASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVSHIQREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDEAIPKELRNGFTFVNADEKSLSGAMERAFNYYKRKPEVWKQLVQKDMRIDFSWDSSASQYEDIYQRAVARARAAA >OB05G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17379972:17382150:-1 gene:OB05G31390 transcript:OB05G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIPAPVDLLLQLKDAIEQSFSSWRASWDVDVHGYNPVASAHDRVGVVVVSTTVGAAAHRNNPSRLWHLYGLAESGRHLVGERAGDDHAVGLARRGAEDDAEPVEVVAGGAGVHHLHGAAGEPERHGPDRAPPRPVHQVVHLGYHELRRLGGRGXXXXXXXXXXXXXXXXXXXXXYRRRGFSESPGRGRQRWGGVWGRRREESGAV >OB05G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17380151:17382571:1 gene:OB05G31400 transcript:OB05G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWNK >OB05G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17383111:17385157:1 gene:OB05G31410 transcript:OB05G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASKLAQMQAKACEATRFAAKHGCAYHRTLMEKNKKYVADPPTIEKCQELSTQLFYTRLASLPGRYESFWKEMDQVKNVWRNRKDLNVEHAGVAALFGVELYAWFCAGEIIGRGFTLTGYYV >OB05G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17389205:17389926:1 gene:OB05G31420 transcript:OB05G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIGKQYKKLFKMNNVKLHFTENALRLIAKKAAARQTGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSLHSRGCGAKIFRDDGALELYVYQNNIRLPGLIQGNPKRTRILSICLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANIFTQ >OB05G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17390522:17391817:-1 gene:OB05G31430 transcript:OB05G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGFVGPDPQVYNLLMAGFVEAGDGAKAVELYEELKDKVGCEPILDGIVYGSLMKAYFLMGMEEKAMNCYEEALGVESEVKFGAQSYNGVVDALGQNGRLDDALNLFERMLQEHDPPLRITVDVRSFSVMVDAYCAAGRFDDAIAVFRRMGEWEVIADVAAYNNLIRHLGLQRLVSEAELLYKEMGERGLKADEETHVLLMEGCFRVDRIDNGINYFSRMSELELKPDANAYHKLVDGLIGFGMLDNAHTYFDQMKQQEITPSIASYKALLKAYIGAARLDRSTDVAKDILLDEKLVFSDEMRELLEGALREAGREGDITKLYEDVEREKAEAAARAEEEKARADALAREEREKRRAEAAAKDEAAARASAAAIEAILGHKRKTENVTGESDANTVDGGFRSMFGITSAGDGALHGISLGGETKQGDEQ >OB05G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17394092:17396625:1 gene:OB05G31440 transcript:OB05G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSGGMPSSHTALCTALTASVALCHGVSDSLFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQVFAGALLGIMVAWFCCQGCIVPI >OB05G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17396439:17396606:-1 gene:OB05G31450 transcript:OB05G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPLRYTNTLKQLKQFPIPYLSTYNRLVNQHSLRHREFHASLVGDNRQRHASCD >OB05G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17400257:17402218:1 gene:OB05G31460 transcript:OB05G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQVQRRPPGYEEPAVLAAQTAFTVNEVEALRELYNKMSHSIIKDGLIHKEEFQFALFRNSRKVNLFADRVFDLFDLKRDGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLRLSESAVEAIVDNTFSQADSNGDGRIDLEEWEEFVKANPASLRNMSLPYLQDITMAFPSFVVHSEALD >OB05G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17404176:17406872:-1 gene:OB05G31470 transcript:OB05G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPMRRLRIGKVLDCFSFSMCASTSGCLCMRTLEEDEEAAMERKALVSSQVDQVTRLRDLVDGTRTLGFHLEPKTVELKVSMHCNGCARKVQKHIARMEGVTWFEVDLESKKVVVKGDVMPLEVLQSVSKVKFAQLWMPMAPAPLPLPLPTTISV >OB05G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17411785:17416539:-1 gene:OB05G31480 transcript:OB05G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAETETETEEEYESDLDDAAPPPAVRRRAAASDDEEEDPSPPTKAGSDAESDGQGAAEVYDDDVYEDDDDEEEYEVYEEFEQGRGGERGVATGAVAVVAEEEGIKGEEGGEASAAAAEGDEGKKGSEPYAVPTTGAFYMHDDRFQEARGRVRQRRILSNRQLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRDRFRGRGGGPGSKARGSTCGNFRGNKSQTYYHDGSKNYIYVPKEPQSYHDNTKKVQWIQNDNGKNRFTKPSNPHDGNANNFDFVPKESRPLYDNTKSNKNVPRVVRGRGSKRYQQRWRSTAEISSENNNESHNLENSSSNANLEKHQHQASNSQPERRFPMKQTIASNLNSASPPFYPSRSSRQELPVSQKGNAQPSTSTRPFSSPISMESASPTPQYGPLLRGKAFVQSAGQSKLHPEVPIKGIDHPSSHLPTSPSTSQFPVATNQVTGHSFQSPHPVVQQRLVQSFTQSTPKMSGQMLAAQFGSGDKLASSLQSTSTILTEGTGISSPRGSSKSNTRLMVKGQHSDQGEEHASFMYGGAQVLGATGSLGDQNFRGTPALLPVMQFGGQHPGGPGVPSIGMALPGFVSQRQLGLSNSEMTWVPILTGASGAMGATYGSPYIAVDSSYYPRASEHASSSVSLREPSASSQLKSQEITEALNDELNQRQHKLRRYSEMNFGQ >OB05G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17420931:17426119:1 gene:OB05G31490 transcript:OB05G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTLVCISCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFQGQPLPDSSDVDRLRSFIKTVYVDRRYTGDRIGDDCPQAKGSRDDTYRNSNVDSSRGVPRSPYGGTSEDNHGRQHSTGSTSEDQSNLKRHPVPAKVDQKNRTTMEREKTNSGKHQHLDELQKTGGSLEQNHKDMTKSVPSVVEPSKETNRIVLPIRLPDPPRSHKATTSTTPTETQKVAPPGAADLSSKTIADVRLEISKSLIDFDSDFEPRQVGGQTKVQKSLPLPDVGWATFDDTTPKKATAISSSSTNSLEGPLAQIPNSVSAPQSSFPTSQNTKSLSFPQTHHGNQQNQPFFATADNIQSYSSPLNRANSVPVNSQFWGSASQASIQGSHSLPSNHGSNILIGTLASQKPGLDVRSSRGKALPEDIFTMSYHPSYASTWDWRANPQLNMGYGQYSMQYPVGAANFPSLSSMQGALPHTGGTSMLPRASFMGSVNYDLRTPQFVPPMMANSNQFMVQQHAVNVAHQMQNTSLPIGYQGVQGLASTGSAYGLSSMNQAITQSSLPQVGGNPFT >OB05G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17428414:17431062:1 gene:OB05G31500 transcript:OB05G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPLLLLHSALCSKPAPSRLLSSSSSAAAAAATAASSGARSFGAHGVVSAVLEIVGPIQLLFPSSEARLYVRLLRRCARDALAAGAAAVHGHVVKRGFESVSLVSNVLIDTYAKGGLMAACRHLFDEMPNKDVVTWCTVIAAHASRGRCFEAVALFKELLSSEVKPNRFIISSVLSACARCGVVEQGLMVHGLVVKSGLGDDRFVEVGFVDMYAKCGNVGYSFRMFSGIPVKNSVAWNAMISGFVENNCFMEAVELCQDMHRVGMAMDLVTLRVVSGITAILGAFELSRNIHVYALKAGLGRDSFIVSELIKSAGKAGETQYIKKLVSTVRRHDTSLYTLAISGYHSNGCQGEAVKLAEVLLSSGLNLREGDLVTVLNICQTKKEVQQIHAYTFRAGNLCYTNVCNSLISIYSEMGSLIHAESIFKTMQSRDLISWTAVMAGCVKNLQYERAFGYFLELRNSGESLDQHCVANIINACTGLKDMHKGKQIHLLALKLGLLLFDFISASLVNMYAKCHHIEEAALIFSNTLFPRNLVIVNAMISGYCWNFLPQKALLLFCREYHSGLRADHFTFSTVLGACADIGAKEAGEQIHGHLVKIGSEYLDVVVGNTIINLYVKCGCIASACRFFHSMRSWNINSYSMLMLGYIQNRCSDEALQLFSKMQYSGLRANRVTFARILRGCADLRSIRLGRQLHSSIIKMGLVSDVYVTHALVGMYKKSEGCVELKRNSKETLAPGQDTKDHYDPKPRDIRSTLEEIGLFMLEEEKDHEPFSDAWKVYGSAASVFGHDLRTNSIVANWKNDRCNENRIVLNHKNAEYQETGGEPVKLFALLQQDSIKSDKFVLLVFTDSSRLNTKGARLFNAELVRRSGIAPSLDFPP >OB05G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17434690:17437099:-1 gene:OB05G31510 transcript:OB05G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHPISPCQVVAMLVANGVDRVKMFDADPWTALALADTGIQVMFAVPNDQLEYLAGDPRRAYLWAEQNVSAYLEAGVDVRYVAVGNEPFLKSYNGSLINVTFPALKNMQRALDKLRLSEQVKAVVPLNADVYNSPENKPVPSAGSFRKDINALMVDIVNFLHMNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKVVVGEVGWPTDGDKNANVRYAQRFYDGFLKKMVGNIGTPLRPGWMEVYLFALIDENQKSVLPGRFERHWGLLTYDGKPKFSMDLSGDGLDNLVGIEVDYLPAQWCVFNKDAKDKYKDLAASVDYACSNADCTPLGYGSSCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAKITTINASQGGCLFPVQIISASERVVPVRFSLISFLVLLVAVSILT >OB05G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17438897:17441715:1 gene:OB05G31520 transcript:OB05G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQERRRRRQVQARVEDGSVASLSGKRKESPCQPEDDNQGVKRTRNLWTMLTEDIWYHIHSFLPIQDAARAACVLHTFLRSWRHRPNLFFSKIELGLNGNLLESGKVVRELDEIVDHTMKNHSGIGLRTFGLSYHNSIDNSYLDRWLQIAITPAIEELVLTLYPEYKAKYYNIPFSLLFNRGGSSIKHLRLSYCAFRPTSGLNCLQRLHLCEVRITGYELGCLLSNSFALEHLKLTRCKELNYLKIPCMLQRLTKMTVFECKALQVIEIKAPNLSTFDYDGNLARLSDGGLLPVKNLHLSSYYQHDIIPYAYAKLPSTAPNIETLTMCSARERFGMQISPSRFLHLKFLMISLTIYSGVFSRSYDYLSLAYFLNASPVLETFTLTVSQTVKHEMTSADSSHLRQIPGHCYGNLKNVKIIGFCSAKSMIELTTHIIENAASLERLTLDTICDDYENPDRQSVHEIGECSPIYRHMIREAEIALLAIRRYVADKVPSTVKFDVLKPCSWCHAGKV >OB05G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17443821:17447244:1 gene:OB05G31530 transcript:OB05G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASETSRRAEAAERSIPEEARRLLHELAAGWDDVADCRALEVVPLKGAMTNEVYQARWPLAAPAAAEVRTFECMSRHGHGPCLLGRFPNGRVEEFIHARTLSAADLRDPEISAIIASKLKEFHNLEMPGPKSVLIWDRLRNWLKTANNLCPSDEAKEFCLGSMEKEIAVLENELSEDCQCIGFCHNDLQYGNIMIDEETKSLTIIDYEYASFGPVAYDIANHFCEMAADYHSERPHILDYTKYPDSDERKQFVQSYLSSSGEEPDEENVNNLIKSIEKYTLASHLVWGLWGIISEHVNDIDFDYMEYARQRFQQYWLKKHSILSMSGR >OB05G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17449013:17453118:1 gene:OB05G31540 transcript:OB05G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFGSRLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDENALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSMYVMKWKDFFPNKDLKEPPYFDARVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWLLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAMFRKGSCVYRDKVETKVKTDHCGNPIKRTRLVITVANVDIIGPEFWENHQHILREEKCRYEYIKKFDINHRLPPCNWTVVCINASQFEQFSLIHSFEKPNDGTALRLMNASASLMMESFPDIVFGYGFDNEYSFVFQEKTELYQRRESLILSSCTSRFTSFYMMKWKEFFPNKDLVEPPHFEAELLCYPKQKIVCDYLSSRQAECHTRNQYNTCFWMLVNSGRSENEAHELLKATLSKDKNELLFQQFHLNYNNEPAIFRKGSCTYRQKLEESAGVEGSENSTTVRWDAMVAHVDMGPEFWRKHPYILSM >OB05G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17452605:17458430:-1 gene:OB05G31550 transcript:OB05G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASPPLLARRRHQRQEPAMAASSQRRCRGRTEKQVPGIMQRWAETMSLCCGVDCGWCVLQLWHKVVLRKWLNVGSGSGDSDFSADECGTSDGGDMDQKPYGEERRLGGLGAGTIGDEIKSMPYRLRRRKSETLRAHYIDTRELRICVGTWNVGGKFPPSDLNIQEWLNKEEQADIYVFGFQEVVPLNAGNIFGAEDNRPIAVWEQIIRENLDKICPNKPQYKCHSDPPSPSRFNPSDYVIVMKDELLSESDSDNYGELHPLIQQNADKVINCTGVHDKTYENFSSASNERFHKGKDLGRMDSVKTSDQPHKLSYGKVRSSNLEETTNQRTLTKLLCHSERLGIICPEQPLDMMAQHLQASTSLKDLATPVSLKSTQKNFNYFSNDDLSPEVNRDDGLIRSKRPYFLRIVSKQMVGVYLSVWVRRDLRKHIQNLSVSTVGVGAMGYMGNKGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSMPKAILDHERIIWLGDLNYRINLSYERTHEFISKQDWDGLFEKDQLKRELSKGRTFDGWTEGVVSFPPTYKYEFNSENYVSDEPKSGRRTPAWCDRILSRGKGIRLISYRRGELKLSDHRPVTAVYTADVEVLCHRKLQKALTFTDAEVEYHLVTEEERT >OB05G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17461964:17464259:-1 gene:OB05G31560 transcript:OB05G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAGAAGGFLLYKDYKLAHDSMALQVKGLQDHVDARYKALDNRLATLENQKTSEAVPDVGTGSD >OB05G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17466356:17471197:1 gene:OB05G31570 transcript:OB05G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSPRSRRAARRPPAASRLPVRGARAALPLHASSPSSSSSSGGDGGGDELHLLEKPFPSPSADEAETEEAAPALSPEEALAPFLKFFQAKSTEPDEDDEDAGYVEEDAEEEEEEERTKAGADGRSFIYYDPKPGDLAVGVVVGGDGRTLDVDVGAGGEPALMMAKEAVPMSGEEFEYLACDIASEDAIQFADEGKVGVVVSGGDRQGGVNGGRNGKGRSSPVVGVGTVVFTEVLGRTLGGRPLLSARRLSRRVAWHRVRQIKQLNVPIKVKIFEWNGGGLLTRIEGLRAFLPKPEMMTRPRNFVDLKNHVGRQIHVCITRIDEKANELIISEKEAWAMTYLREGALLQGTVRKLFPYGAQITIGETNRGGLLHISNITHGQLRSVGDVLKVGEELKAIVIKSTTPGRIALSTKDLESEPGLFLSNKEKVFSEAEEMAQRYRDQISETHKPTEFDSCDDDTAPFDDEAVSFANWRWLRFSKSDKTNFNPPAGSEI >OB05G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17478728:17482948:1 gene:OB05G31580 transcript:OB05G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSRSGSTKAKDLSKASNNKGYAAAGKEVGFESSPVISEPVLVTPHNNEDVQEVGRAENSSLQGKVVARDVNQDLEKQNTAVSGASNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLHATWLIVKFQALVRGRNARLSTENQIDWKLVQQKSAGGKLDAWKSKLSSNAFARKLLASPILVEALHFQYDEIDPNSAFNWLERWTIGRVWRPISHPKRAAVADAKPHTRKASYAMETESGKLKRNSRKSSAAPVEPPQTTMAIETEKSRRNPRKFTSSAADSVPDSQLTELEKVKRNLRKVTNSMAEASKVSTPAGEIPERQEVQCEKPLRNAQEVPNYPEIQEPHSGNLLEDAKADILVPNVQPEPEVTTYQVAPEEKVAEPTVAAPAVEIMPLQDIHNEENALVDDMEQRSKEEPLSTESLKSNKRRSSFSTKIEYPENGSKNSPAVPSYMAATESAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSNGKLNSHSPRTQRPTHAGGKEGVKADKSMLSSRDASERPTKAEWRR >OB05G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17485130:17488388:1 gene:OB05G31590 transcript:OB05G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPTGPGLYSEIGKKARDLLYKDYHTNQKFTLTTYAANGAAITVASTRKDEAMSSEIQSQLKNNNVTVDVKATSDSTLMTTFTVHDLCMPGTKGILSIPFPYQKSAKAEVQYLHPHAGLNACVGLSANPLVSFSGAFGTRAFAVGADVTFDTATGDFTKYNAALSHTTEDLTASLLLNNKGDSLVTSYYHSVSKVSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGLASALIQHEFRPKSLITISTEVDTKAIDKSSKVGLSLVLKP >OB05G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17488577:17490558:-1 gene:OB05G31600 transcript:OB05G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSIMMVKSEIENYPGSSPAMTINAPDGGTEVGPVVRRRRREPSLLAPINGDTNGSGIGKTSLSGITVKRSSRFRGVSRFRTCRDYKHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPAPAPVAMNPEALAMQIPADHQFLPVETQMVMLPHSHSNPFISDHNAAPLGGGGGGGGGDDGGSSSSNQEASMMVSPSVSRKRGSSTALSLLLKSSMFRQLVEKNSDAGEGNGGEIREQLGAHPAEGYEYHNFFRGDAAPEMCDLLSSGSVHAASSAGFEGDVACFGDDERTAWDGFGSMQMQ >OB05G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17492970:17499132:-1 gene:OB05G31610 transcript:OB05G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 12A1 [Source:Projected from Arabidopsis thaliana (AT5G62530) TAIR;Acc:AT5G62530] MSLILSQRRLAAAVRRSGPIALASRCLHSPPFATVSPEEISGSSPAEVQNFVQGSWTASGNWNWLVDPLNGEKFIKVAEVQEAEIKPFVKSLSNCPKHGLHNPLRAPERYLMYGDISAKAANMLAQPVVSDFFAKLIQRVSPKSYQQALAEVQVTQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMEQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSLRVAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKNLSGRRKLEDLTIGPVLTVTTSSMIEHMKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSEILKSGNFELVTREIFGPFQVVTEYSDDQLELVLEACERMNAHLTAAVVSNNPLFLQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKNWALPSAT >OB05G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17500342:17503308:1 gene:OB05G31620 transcript:OB05G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCMLVYDVNAKRSFNALNTWHDEFLTQAGPSDRKHFPFILLGNKIDIDAGRRVISEKKAKEWCVSKGNIPYFETSAKDDYNVDSAFLCIAKLALEHENDQDIYSKTVTEPVPDTEPTSGCAC >OB05G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17515005:17535170:1 gene:OB05G31630 transcript:OB05G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHALLYHHPVVSGDGHSMSSYFSHGGSSTSSPASSFSAALGAATTPPLADPSGAAQFDISEFFFDDAPPAPAAVFNGPPAAVLPDGAAASAAAAHATRSSSEVVPAPAVERPRTERIAFRTKSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDKDDQSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPAVKYKLISTYKFKLSFDGIAEKPRVCCSSEKLVKEGFEFKHVNLEEIFDDLVEYGKAAATLKIVLGSHIWLEDKDLAWIDGEVFRIEGQKAHIRTTNGKMVVASISDIHPKDTEVPSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMAKYKGANFGELDPHVFAIADVSYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGARTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIRKYNLGEPSSFHYLNQSTCIKVDGISDTEEYLSTRSAMNTVGITEQEQEAIFRVVAAVLHLGNINFVKGREVDSSVIKDEKARFHLNAAAELLMCDRGKLENAMIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVNRLNASIGQDENSEHLIGVLDIYGFESFKNNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKCTHESFSQKLYEKFRNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVQEENTKSSKSSIATRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENSNVLHQLRCSGVLEAIRISCAGYPTRKLFHDFLQRFRVLAPEFFKERNDEKVICQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEVHTRAARAVQSRFRTHVAREQFLILRNTSISFQSLVRAILACKLHLFLRKQAAALKIQKNARCYFASKSFSELRSSAITLQTGLRTFGAYNEYIRRKKNKASTDIQTQWRCHRDNSNYLKLKTSVLVYQCAWRRQVAKGKLRKLKMAARDTEALKVEKEKLEEHVEELSSRLGLEKKLRTDLENSRAAEISKLQATLCEMERRVEEARASQERESAKKVVEEALVLEREKIALLNKEVEELKVLLLKEQEEKNAVKSASFIAQERSNDLTKKVEVADENFKHLKDTLKSFEESTKGLEISLMMERQQNEANRRQIGEAQQRVEELLRQVADANGKSTSLQTTVRRLEQSLLENETTWLTERQESEATNKLLVEAHGKNEELLNKIEVSESNIAKFRDNIQRFEETATTLETSLLAEKQHSAAIMSQLAEMKQGNEELQKKLADANRTNNLLQDSLKRFEENATTRDALYVAERQEHDQTKQSLSKSQERNWELLRKVDEAEKSINRLLENAQRLEKHATSRESLLLKTKQSHDSTTKALIEAERRNQELTKSLEDLERKTNLLEDSVNRLKECTAEKDSLLAIHRQENDATKDELTNAHRKITELVNEFQQLQEIRRHLEDNIKRLEEDAITREALLLSEKQTHEVAKQTLSETQLRNGELINKIQDCDKHTLQLQLTVERLQENASTMEAVLLREREQSNATMKAHSESQERNSQLLKKFEDVDKKIGFLQGTIQRLGEQTTKDTLLLSERQEKDELKKALTETEYRNEGLVIKIEEANKRVEHLQDTVTVLKENIVAQAANMEAERQENDRTRKSLVEAQERNEGLFKKVRDSEYRAQQLQDTVQKLKQEGDAVKKALAESHGRIEDLIRRNEDLLNRNDDLIKKIEDSGQVISELQATLGRIEGKTTNLDAENHILRQQANATPPSTAKSQAAFSKISMIHRSPENGHILNGNVAYAEKFSTGPAETRPSMVVNQGSTLDLFNQKDYENGDKFPRAHNEIYQHQQPQDDQQLLLQYISQHLGFSGSKPVAALLVYQCLLQWRSFETAKTGVFDSILQTINSTIEAEHDTRSLAYWLSNLSTLSVLLQRSYKTTRAAISTPHRRRFSYERIFEANQTSNSGLAYFSAQSVDGPTGLQQIDAKYPAMLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDPRISHSNQAKASLSSASHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILTHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEYIRAGLTQVKHWCNDVTQEFADSAWEALRHIRQAVDFLVISLKPIRTWSEICNDVCPALSLQQLERIVGMYWDDMNGTNIISAEFTSSMRTMMKEESNNATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLPFVRENHSFAFILQRR >OB05G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17535695:17537893:-1 gene:OB05G31640 transcript:OB05G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHWDDVFTKCFQRVDDEVSGRTSRPVNGGDELRPEPVTAENVGSTAVVALVCSSHVVVANCGDSRIVLCRGKEPVHLSIDHKPDRKDERARIEALGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVVPRAKDDDCLILASDGLWDVVSNEEACKVARRQILLWHKNNGAASPLSDEGEGSTDPAAQAAADYLMRLALKKGSEDNISVIVVDLKPRKKPKNDALSNSS >OB05G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17544944:17546215:1 gene:OB05G31650 transcript:OB05G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAAESQPDNLHPPLPSQMADQFRPWQFIPDDILDLVVRRIPCEVDRLHAGRACRSWRLGLVNHKPPAPPLPLPWLLLPETEADRDGPTFCCVLSGWRAHPFFLPHAARSARYFGSYDGVWLFLAVDGQGYRAHDHVLVNLHKFQFLDLPNVVRIDLRFPQVLMDIEIAIVAVTLSRQPTEQGCIAAGIIELEPFPGPAGVRVIAFWRMGDRVILPFYDDVCPEGVEDVIYHEGLFLFLTPDEDIRACGEPVFLEASVHVGSILFHVEPRGDDAGETVLARYLFRSRGWVLMVVRLSSALHYLPTSSFRVFEFVIHSSSTGVCVNTWNKLEKLDGRMLFVGRGCSRSYDAGDGYPGMEEGVYFLDDGSFHEPVFHHFPFPASAHAAYRCCDNGKWSEASSQVERCFPERGPSKHSPPVWILP >OB05G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17548599:17549795:1 gene:OB05G31660 transcript:OB05G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQSAGWANLPDKVVHEIYRRIPCEVDRHHAGRVCLSWRVALAKLKPPVPPAPLPWLLLPEADGEHRPTFSCVLRGWRSTHPFFLPIGARRARYFGSYNGVWLFLAVDVLQGDGKAEDHLLINLHSFQFVDLPNAMRVPIYQADQGLQNIAIVAAALSRPPTERGCVVAGIIELAHSPPARRVAFWRMGDRVVPRHPWAEWPPEEVEDLLYTTGAFIFLTKKEDIRVCREPAIHKDFVQLQLNLQSLVLFRPRGRDGQMVLGRYLVHSRRDVLLVVRLAAPLPYDQAAVEFRVFESKKYVQGKYTRMWNALSGLDGRILFVGRGCSRSYEEADGYPGMEGVYFLDDHSSRRPIVHGPRHACRCSDNGKWSEASLRIDRCFPERAWSKCSPPVWIIP >OB05G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17551154:17552087:1 gene:OB05G31670 transcript:OB05G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNCVRSLEEALRFINERGGEHDVAVIISGVDGMYQAAKIATKLEEMEREKGWKITNLDVVRRISGMYGLLTLVNERLEVMRRHRRDNGFRAITREAKELRKHGLDLKAVFHRREARVLFLDIPFAPLSAIAHALGDRIDKLCEKLINGRKRNTTATQTMLDNAEGISDDVSTLMKREIYVGTSPPRAAPEAAVAPAPASPADNLGEAAVSRCFQSSETGVGIGIASKSLYSRISFC >OB05G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17553654:17557318:-1 gene:OB05G31680 transcript:OB05G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLKRLMSTQREQKRRRRQVQARRCVADGSIASLVKHKGPPYQQQNQGVKIMRNSCPSLPEDIWYLIHSLLPLQDAARTACVSHTFLRSWRCYPNLIFDMETIGLMRGNSLKKRKVRLSVIDHIMKNHSGIGLRTFMVETYRWVNTSYIDRWLQIAITPAIEELTLTLYSKGDNLKYYSFPFSLLSSRGGNSIKHLNLSQCAFHPTAGLNCLISLHLWDVCVTGDELGCLLSSSSSLEQLELGYCKDLNYLKIPCLLERLNDLEVYQCKDLQMMEVKAPNLSHFRYVGDMARLSDGGIIAVKNLDISFYIQHNAIHYVCAKLPSILPTTETLTIKSLREEINTPVAHFRFLHLKCLTIYLNYFWEALSPYDCLSLAYFLDACPVLETFNLTVWQHGRIHDVISEDYSRLRQMPGVRHDKIRNVEIIGFFSAKSMVELACHILKNATSLECLTLDTINDGYKNPDRLSVHKIGCCSPIDEDLIMEAKNALFAIRRYIVGKVPSTVKLDVLKPCSWCHTGR >OB05G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17558734:17567033:-1 gene:OB05G31690 transcript:OB05G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3M995] MGLARSFQNLLLALALCSATASGEASRRFWVENDTFWKDGAPFQIVGGDIHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWEFKGFTDIESYIRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPAIELRSSDSTYLSLVDRWWGVLLPRVAPLLYSNGGPIIMVQIENEFGSFGDDKNYLHYLVQVARRYLGNEIMLYTTDGGAIGNLKNGTIPQDDVFAAVDFDTGSNPWPIFQLQKKYNLPGKSAPLCSEFYTGWLTHWGESIATTDASSTAKALKRILCRNGSAVLYMAHGGTNFGFYNGANTGENESDYKGDITSYDYDAPIKEYGDVNNSKYKALRRVIHECTGTPLHPLPPDIERANYGLVEVQKVVSLFEIIDNISDPLKVAISEQPLSMELMGQMFGFLLYTSEYQEKHSYSILSIPKVHDRAQVFVSCSRGVVRNPRYVGIIERWFNKALQIPNLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGVILRHWKMYPILLTALSNLSEFQPLMQMTGDQASKVSIYGDSENMLHDVSFYLNEGISDEPSFYEGHFHIDSKSAKKDTFISFRGWNKGVAIVNNFNIGRFWPARGPQCALYVPAPILKPGDNVVVIFELHSPNPELTIEFVKDPDFTCGK >OB05G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17567636:17571200:1 gene:OB05G31700 transcript:OB05G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKSPKSSVVREQRVTISNKHGENLVGLLHQACSKNLVILCHGFRATKDDSILVDLASALTSDGVSTFRFDFAGNGESEGEFQYGNYRREADDLRSVVSYFTEQNYNIIGLVGHSKGGNAVLLYASVYHDIPIIVNISGRFSLERGIDGRLGKNFMQRIKKDGYIDVRNRKGEFEYRVTEESLKDRLSTDTLLSSRSISKDCRVLTIHGSKDEIVPVEDALMFAANIPNHELHIILEANHRYTGHEKELTTLVLDFIKSQLHFSSSLRPKL >OB05G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17572378:17577254:1 gene:OB05G31710 transcript:OB05G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G56450) TAIR;Acc:AT5G56450] MSEEAAAAAGAGVEESIGRRRSSGGRGDAAARVWEFERDLVAGAVMGGAVHTVVAPIERAKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFSSIALTNFIAGAAAGCTTLVIIYPLDIAHTRLAADIGRTDTRQFRGICHFLETIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVLVPLDSPLWQRWVTAQAVTSMAGLVSYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >OB05G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17575918:17579308:-1 gene:OB05G31720 transcript:OB05G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKAHLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKESADETPADKKEETGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWHRISEPKGFKLEFYFDTNPIFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGRCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDEEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDLEGIMDDEDDDDEDDDDDEDEDDEDDEDEEDEKKGGRVPAGEGQQGERPAECKQQ >OB05G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17584185:17585903:-1 gene:OB05G31730 transcript:OB05G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETGCGDDVVSSSNEVQELWPLGEVDQKGARFPCSIVWTPLPVVSWLAPYIGHVGIAREDGTVLDFAGSNFVSVDDFAYGSVARCLQLDRRKCCFPANLAAHVCARSYEHLEAGTAISWDDALRSGARRFEHKCYNLFTCNSHSFVASCLNRLAYDGSVGWNVLNLAALVWLRGRWLGKMAVVRSFLPFAAVGCVGVAMAGWSFLVGMAAFSSLLLGWFLFGVYCIRGLVC >OB05G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17591300:17599204:1 gene:OB05G31740 transcript:OB05G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVVLAGSLILCILLYASTEKPKDPFENTGGRAIPGVYNDTSARSFEPDAFCGEPDLGVYFWVVVSSFRLPDAGAAGCLPDGEGSWAIGMYYGKSPLELRPIELDWKSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVSRSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLIDSSLVQYDGVWWLFASDFTRHGIEKNAELEIWYSNSPLGPWNEHKQNPIYRSDKSLGARNGGRLFMFEGSLYRPGQDCSGTYGRKVKLYKVEKLTKEEYKEVPVKLGIEETKKGRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLFGYMGFSVAVALVIFVGFVKGAISCYIPPSFWVPLTRRSELSRMLPVHRFNQKIRRYSTSVGRSISATKSRLSEKTWSNTLFFCVIALIGIINVCIAVHFLFGGNGAEEAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDIEKGFKVWREHPERMVGFYPRMIDGNPLQYRNERYARGKKGYNLILTGAAFMNSEFAFRKYWSDEAKEGRDYVHKNFNCEDLLMNFLYANASSERTVEYVHPAWAIDTSKLSSVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWGFGMREDGWDK >OB05G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17596804:17602845:-1 gene:OB05G31750 transcript:OB05G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:J3M9A1] MGIKGLTKLLADNAPKAMKEQKFESYFGRRIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSKREDATKELTEAVEEGDKDAIEKFSKRTVKVTKQHNEECKRLLRLMGVPVVEAPCEAEAECAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIESILENINKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWNAPDEEGLVEFLVKENGFNQERVTKAIEKIKSAKNKSSQGRLESFFKPVVSTSMPLKRKDTSEKTTKPVANKKTKGAGGKKK >OB05G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17603094:17603937:1 gene:OB05G31760 transcript:OB05G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2752 [Source:Projected from Arabidopsis thaliana (AT4G29660) TAIR;Acc:AT4G29660] MASRFWRWYADRQFHRWEKTVLWDMVEPYRPPRSFAPLIGTYVAAFYTGVVASAVTEQLYKEKYWEDHPGEAVPLMPPKFYWGPWRIMNGEVPRFMQTPEEAKPA >OB05G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17604495:17609110:-1 gene:OB05G31770 transcript:OB05G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 60-3A [Source:Projected from Arabidopsis thaliana (AT3G13860) TAIR;Acc:AT3G13860] MYRAAAAAISRSSSALRKQLVRRGGEQRLGARGYAAKEVAFGVGARAAMLQGVNDLADAVKVTMGPKGRNVIIERSHGTPKVTKDGVTVAKSIEFEDSTKNVGANLVKQVAEATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGMNKAINSITTHLKSKAWIINSSEEINQVATISANGEKEIGDLISKAMEIVGKDGVITITDGKTLDNELEAVQGMKLSRGYISPYFVTDQKTQKCEMENPLILIHDKKISTMNSLLPVLEMSIKNRRPLLIIAEDVEGEALSMLVLNKHRAGLKICAVKAPGFGENRRANLDDVAVLTGGEVVSEDRGIDLGKVQLQMLGTAKKVTVSLDDTIILDGGGDRQQIEERCKQLRESIDKSTAVFDKEKAQERLSKLSGGVAVVKIGGASEAEVGEKKDRVTDALHAARAAVEEGIVPGGGVALLYATKELDKIITATNEDEKIGVQIIKNALKAPLMTIAANAGIDGGVVIGKLIEQDNLNLGFDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVAELPATKARIASRMPQMSGMDF >OB05G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17610864:17612564:-1 gene:OB05G31780 transcript:OB05G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKFSRGRGRDCDLRQPDGCSLGNSTEVRREDTFCRRRTPVTSGDERLRALRNVVRRKGGCCSRRTTAAAFADDDRISALPDDMLLLILRRLDTRSALATALLSKRWARLRRWLDALDFMASDTLPPRYHRCIQLHKTTGYMLYHVDVKVLVASIKRYERLAMRNMAASINNFLDADDGYARAGGARRRVARVRIEFFATHYAGCMNRLISKAVDAWEVEDLEVVAKSAYWSIPPDVHRFTNQALCNEPHKSRLRSLRLAGCIIPPLQGFQALTKLTLENLENSTPAAAYEAVLNSCPQLQVLHLKSCMWKDVLNIDAPRSGIKQLILEFCGFTVLHSLGMMESIAIRRTWVRHEGCSYPHLTHMNLNLCHGYSSSRRTLCFGWDLNIEDFLGFAQEITNLVLRFTGYGRWFVPSCPSLLLPNLTRLLIADVPSSWDVSWPRLLLEAAPYLESLHIHVIPWDDECCDQIIWQPSTLQHEKLKELIMVGFEGTERQVFFVNFVMEVSTALQLVALFRYGHVQEMGRWDWKTVNQQHHWSDEEKVQILNQFAVRNSCPTSPVSVLLE >OB05G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17625409:17627745:-1 gene:OB05G31790 transcript:OB05G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIVRKLEKLRSICLVLGEDFQELVEKQIGLLPEDDDYSKKLDLAVEKMSFWKTFKISQSRDLADRIIEYWDLLCIPLHERKGFTYLLCWMNGVSDDLVNDPNQLSSAAIGQMTAELGRVEDICWVRISEKMRVLEKYKKLAGLDVPSSASQPLGQDDGDELDAISRLRSIKRQIKDAKGKVSSRVGITTRAVIVLNAVEEVKWFWGCSNRAQVIMDANSPMNERAIKAQNLSQKILGMLEELEVQVLDWSNTAQRPFMYGKENLITIIKIKTTEVRASTPGRQSRDPQGAVNPQLHQSTCNHAPVNLEQDTHVRVNPQRQQVEAQAEGNPTEGLIIQVPMDMHVPRRARTPRVPAEPQPAGPLHAPMEPSQPAGPPHVHPPNFTYEEDNDDPNDPDYAP >OB05G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17635731:17642478:1 gene:OB05G31800 transcript:OB05G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G47210) TAIR;Acc:AT2G47210] MDAKDILGLPKTAFPSVQEKKTRTPKEPQRKPDGVSREVYALTGGVGMAPLMPTVEASHLKRRPAVEKEKVTWQWLPFTSSARSDSLQLYHWVRVVNGAPPTGDYHFAKYNKKADVLKYNDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVIADRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMESRAASKHTEEVGTLASFDNATVSADGVSPLSNAHPSSTATLQAAPVNSSIPASLRMLRVYLRTQALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFVGERAGKRDHKRKTAGRFMDAPPSPPQSKRPRKLKASD >OB05G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17645248:17646690:-1 gene:OB05G31810 transcript:OB05G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPAATAVSEYSLRVRLHVLFCLSPEPGKCHADAAIPAGRLAAAAHWIPHVFHPPSQPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHQRGPLYVPPPPAPAQLARTSSVLDRLRSFGLYRFRSGDFPPEFATAAAATSHAEEEVVSPAVDEVNQTQYARSRSEPAPAQEEKKAKSRLRNSSSEVRKAEVVRATARVVEAFPEEATIDGRADEFIDDSTPKQRDPPHQQEYVPPPAPAPAPLARTSSVLDRLRSFSLSFRSGDLAAAAAEATPAHDAAADDKNQSAHYARSRSEPKTKKQAASEAKMTKSSSEARKEPAAEEADDGVDARADDFINKFRQQLQLQRLNSLLNYKEMLRKQ >OB05G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17650545:17653607:1 gene:OB05G31820 transcript:OB05G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRSLVSIKAPSSEGTSRGRKWTRRLWRSSSSASGATAGGCGAADSEEVSEEASSEADAFSSVVAAVVRAPHRDFRVIRQEWAAVRVQAAFRAFLARRALKALRGIVRLQALVRGRLVRRQLAVTVKCMNALLRVQERARERRARTSADGRDSRDALGDRDGRADTMIPIKQAEEQWCDSQGSVAEVRSKIHMRHDAVAKRERAIAYALSHQPRSSKHSARPSSPARSLRSHDSNRCNHDWSYIEGWMATKPWESRLMEQDRSELTCFKNSGELNLGDSKVSNAKSVRIRRNNVTTRVAAKAPSVLSASSSEFLCDESSPSTSSATPARSDGGHGGGPSYMSLTKSAKARQSCNSPFQIQRQRSGGMSSYKRVALSPLDVQSNAGSEISVTSRKLNSLSLKGRSMTRSLDKENDNLF >OB05G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17655917:17658409:1 gene:OB05G31830 transcript:OB05G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3M9A9] MGDRAAAPPASRFRTICVFCGSKAGRARVFGDAALDLGHELVRRGIDLVYGGGSIGLMGLIARTVLGGGCSVVGVIPRALMAVEISGESVGEVIVVSDMHERKAEMARRSDAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGRTQGADQS >OB05G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17676327:17677487:1 gene:OB05G31840 transcript:OB05G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDAFGWSGAQSPAAAPCQPSCPGDVVDDVLLAAVLGASFELHAVVDGGAAAVGSDDAYGFDDVLPCQQMSLLRCQDGLGSLPGGASPTTATFLDSVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRERVSERLRVLQRLVPGGSKMDTATMLDEAASYLKFLKSQLEALETLGNGGHRNNPLHHHYYTGSRNASSAGSSNSTVLAFGRDGISAGYVKSNRNLQL >OB05G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17685255:17689208:1 gene:OB05G31850 transcript:OB05G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDARNHYHTRGSTRGGGGGTAAERDLLLQWGNRKRLRCVAAAAAAAAAQRHHPAAGLAHHRAVRNSEESGAMRSSAQQHQMNAIRAIASPERERPARGSNIVNNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFLVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >OB05G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17693894:17694247:1 gene:OB05G31860 transcript:OB05G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKLSFPEEYVTTVSGHYAPVAAHGGEPAIRSLAFTTNRREYGPFGAAAEGTPFTFPVDGGAVVGFWGRSGRQLDAVGVHVAPLRPETMYEKAHKMGLMAYRSVRQRIGSQQQQQQ >OB05G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17694211:17696996:-1 gene:OB05G31870 transcript:OB05G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNGIKSEPPRSRPSPAATTPTPELSEAPPQLHHLRLSEMATVPEPLIWEIVKKNNCFLVKQFGNGNAKVQFTKEPNNLYNVHSYKHSGLANKKTVMIQPSGAKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPTKL >OB05G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17700901:17701542:-1 gene:OB05G31880 transcript:OB05G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKLKIFVVKEPVVAASCLIAGFDNGNWPV >OB05G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17702850:17703185:1 gene:OB05G31890 transcript:OB05G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFSQMKYFEIDEVSLLMRTVLEIVVQFLTHHNRLVLVEYEFTQASYNMVFTHCFYYCLVHILCISLLFAQLYAIHETRFIISGNNYIHSMMIQKNGDSNGAERMAVIL >OB05G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17702165:17707227:-1 gene:OB05G31900 transcript:OB05G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDKWEGVRLFYRRYGRGVTKVLLVIGLAGTHDSWGPQIKGLTGSLERADDEPEAQRSDEESGDASAAAAEEGGDGDGIEVCCFDNRGVGRSSIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRLCSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRAKTPEERALVDLETHYTKEYLDEKVESCTRRTILYQQYVKGISSTGMQSNCGFEGQVNACWTHKMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELVKATKSMIKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAVAVYNLLGKLQLSFLYVIGVILMGFEHMRNIVKVMKPVRVAAIES >OB05G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17707153:17707449:1 gene:OB05G31910 transcript:OB05G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDYYCRCYGYDELRQVKNGKNTRGGAAAGTTGRVIYSLSPPRREEGGGGGGGTRGWMGTSSTTTRGRSPSAESNVVALAVAAGGRREKDRIIPDL >OB05G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17707856:17708682:1 gene:OB05G31920 transcript:OB05G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKFAFLWGLPDLVNWNLECFNLLIRRKKVQFADHQIKAYVMTWRKFAFLRGFVGWKLGMLQS >OB05G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17714054:17715367:-1 gene:OB05G31930 transcript:OB05G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRFFISVVLVIVLLFDASSGQAATACINNEVTSKVKVRIQKHSRRVLTDVLDYDYGGPNSKHEPRKKPGNGHAR >OB05G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17719274:17720081:1 gene:OB05G31940 transcript:OB05G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDQASYRAGETKAHTEEKAGQAMGASKDKACEAKDRASDAAGHAAGKGRDTKEATKEKACEAKDKASETAQAAKDKTSGTAQAAKDRAAESKDQTGSFLGEKTEQAKQKAAETADAAKQKTAETAQYTKDSAVAGKDKTGSVLQQASEQVKSTVVGAKDAVMNTLGMTGDKAGDDGGSKDTSAATATATGTTARDH >OB05G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17721591:17729294:1 gene:OB05G31950 transcript:OB05G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTAVIWGASPQMRCDRKKDRVEVNPELLAAATAQAESLSATIGEKTRVIGWYHSHPHITVLPSHVDVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNHQSIVPVNDPVINLESSWSSLDTSSHPALIEGIEQDTGDSKTSRNSKVWEKSSDVDFYSHSGTNHSAKHQSRENAIVACDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTGHLLKLDTTLTSYCDMQHVIFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENEIRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGSSSLMAQDKHAAANQMSPRSPSGSSRRKAS >OB05G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17731272:17731688:1 gene:OB05G31960 transcript:OB05G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSRETFRRSGSSGLVWDDRHLSGXXXXXXXXXXXXXXXXXXXXXXXXRRRRASPCAASAGSSAARAGAPAPPARRRPGATDRRRSSHPELASSDYSSSHHLYIHATSIGLDSYSLFYIYSFLLSCKLFHVIMS >OB05G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17734239:17737069:1 gene:OB05G31970 transcript:OB05G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVWLLAVVALVFSGAGEARVLLTLDDFGAVGDGIANDTQAFLDAWNAACASSEQAVLAVPAGKTYQIWPVQLAGPCKKRLKLMISGTIAAPASPDEWAGRDPMKWLYVFRVDDLSVTGGGTIDGKGTEWWARSCKRKKTKPCNTVSAPKALQFEECRGVSVQGITMQNGPQFHLMFTRCTGVKASFLRVVAPEDSPNTDGIHLNDTSHVHIMDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGMGYAHDLRFESIVMKNVSNPIIIDQYYCDQSTPCANQTEAVEVRKIEFVDIRGTSATEQAIKLACSDTVPCRELELRNVNLTMAGGGAASAFCYRASGKTAGAVAPASCLAKTPHRMLRDTTPERIDS >OB05G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17740218:17742568:1 gene:OB05G31980 transcript:OB05G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPRMAPPPPLAGVVVVAAAAAVLLLLPNAAVSRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDRTFLHVPAVRTYLIWPVTLAGPCREEIKLFVSGTIVAPESPDDWPHGGRGEWLHFHGVTDLAVTGGGVIDGRGHRWWARACKTKHSATQNCTAQAAPKALHFENCQGISVKGITLQNSQQSHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDLIATGHDCVSIVGNSTDVRLRAISCGPGHGISIGGLGENRSYHRVEKIKMDTLFISNTENGVRVKTIQGGCGTARKVKFGDILMKNVKNPIVIDQQHSSSNEFPCTSKNGSSVTVGEISYMDITGTSASERAVTFACSDAAPCSRLSLENVNITMAGGRNASAYCHQAFGRSIGVVVPDSCLAKEDFLRLPVATAAGHRSAGEDDR >OB05G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17744120:17744810:1 gene:OB05G31990 transcript:OB05G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVIISVVVAVAAFAAVEARVAPTSLNTIADNGRKMAAAAIDECALTCEHVRNKRMCDTLRKLPGVSSPRDLLSAAVKMSAAKAKAAKARFEAAAASSSSKAGNPTASILDTCISGYDSVVAALEEVQQCIDAKDSKATLVSKMSAAATFTDDCDDAFVEFAEAPSFAAVQRNVRRVVSSTLAIAAKLKQ >OB05G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17747523:17750654:1 gene:OB05G32000 transcript:OB05G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14530) TAIR;Acc:AT5G14530] MAATLSQLDDEIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSGEDDSIRLYNITTATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESADSLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVATEAAFTPDGQYVISGSGDGTLHAWNINTIQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPNKSSSN >OB05G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17751332:17754682:-1 gene:OB05G32010 transcript:OB05G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANGSAGGADTREEFKRIYGVLKAELLGDPAFEFTDSSRQWIDRMLDYNVLGGKCNRGLSVVDSYKLLKGANVLGEEEMFLTSTLGWCIEWLQAYFLVLDDIMDDSHTRRGQPCWFRLPQVGSIAINDGVILRNHITRMLRFHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNIGVHRRIVQYKTAYYSFYLPVACALLLSGEDLVNYAAVEDILVKMGIYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKHVLFENYGKKDPACVAKVKNLYRELNLEAIFQDYESESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >OB05G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17770719:17770877:-1 gene:OB05G32020 transcript:OB05G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRTQINRRIASDHHTQEHNLLVQLDHTRRDMIETDRVSLCLLPLIDHSLL >OB05G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17771562:17772782:1 gene:OB05G32030 transcript:OB05G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSGGGVQAKLRKGLWSPEEDEKLYNHIVRHGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGLDPATHKPLAAAAAASSGGSADTQLADEDHKPHADGLAAKQSVFDPFPVTDFGVGFDLGAANMAAALYGGHFDGKDPDAAGFVADYSSVLDVSENLGYGAESSSNSSNWTCAEVGNVLDSEVMHWASATGAAAATTAKAEPFADMEQQHSGYGGAGGGQVEDDATLEHKFPLPCQEQSLAHFDFNLEYF >OB05G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17775018:17775221:1 gene:OB05G32040 transcript:OB05G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSVNPLERQRRRASGGVKRGSSLLLRASCAQLSRRAEADYDHKPASLMCCLINTLSDEILITTPSVCV >OB05G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17775398:17779469:-1 gene:OB05G32050 transcript:OB05G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYNTLGVSVDATPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPAKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVDENLNSQEARAKVQEKIKELQREREQKLIQSLKDRLQPFVDGRKDEFVDWANGEARRLSEAAFGEAMLHTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEEQFMRSFEEKKDAMLSSLWKINVVDIESTLSHVCQAVLKDTSASKEVLKLRAKALKKLGTIFQGAKSLYRRENSLRVETRPTQGATPSQ >OB05G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17786257:17792690:1 gene:OB05G32060 transcript:OB05G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFAHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRVSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHMMLRFLKARKFDVDKAKNMWSDMLKWRKEFGADNIEEFDYTEADEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRHIDSCTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLCRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGNKYQNKLLEIIDENELPEFFGGKCKCEAFGGCQKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIVHYARPQYPTQRKGSDGSAESGSEVEDVASPIASRNLITNPLLTPVHEESKLLAHASTSASPPIIEETIPVVDKVVDDGWGSPRSSMAISFSGSSPLRSPPITFDGLWTQVITWLTLIIVSLFAMVRSVPSRMAKRLSSQSNDRDHFYVEYPQEPEYKEEFRPPSPAPSYTEKDVLTSMVRRLGELEEKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYEALMRQDELLAYIDKQDMIKFRKKKFCF >OB05G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17793089:17798712:-1 gene:OB05G32070 transcript:OB05G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDEATLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLETLITSCRLNFLTDGQGDRVSFSEDVSIYQDSISYDTTLDIASSITRSFNSVGYSTPNSLNIRADVGSDRSNMLRASTGDSSRVSISSAPSSSSQGSGLDDIESLGDVYVWGEVWADVLLSEGSSTYLCSKTDVLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEEIGGRLGHGTDSDISRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYNWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALAMSSGKLFTFGDGTFGALGHGNRESIAYPKEVEALGVFRTMKVACGVWHSAAIVETSGQTNANVVSRKLFTWGDGDKNCLGHGDKEAKLIPTCVQALVDHNFHQVACGHTMTVGLATSGHVFTMGSSSNGQLGNPKADGKQPCMVQDKLGSELVEEISCGSNHVAALTSRSEVYTWGMGANGRLGHGSVEDKKKPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADTGVSGSYNKRNVITRRSIDIKDKSERPEMRPSRLATTAPAEPVKYQETKIVRAETKPADPMSMMKASQVPAMLQFNNLGFAGTFGSMPAAIKPTTVAPPMQMGVPILSPSQPIRKPTPTPATINPLAAKVDNDLKKTNEMLNQDISKLQSQVNKLKLKCETQDEQLQKVERKAKQAASMASEESARRNAVLEFVKHIDSELKVIADRMPSDTADSIKALQSHSEKFLAGQTSHLVEISGLTGHDIGHQRSTSMGNLAMSQDGSSGNASGSAIAMASESPCHRIMENNLKAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFKKYNHPSN >OB05G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17813639:17815368:-1 gene:OB05G32080 transcript:OB05G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGADAGARGVRGGGVLGGRRRVGGAVRRQVRRGGGRGGAEEGAGGDGRPELAARGPLRRQPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRIGGTPAFMAPEVARGEEQSPAADVWALGCTVIEMATGRTPWSDMDDVLAAGHRIGYTEAVPEVPAWLSADAKDFLARCLQRRPIDRSTAAQLLQHPFVASAAGGDAKPEAPKTKWVSPKSTLDAAFWESDTDEEDDELSQSTAQRISSLACAVSSLPDWDSDDGWIDVISTSTEESHTISPPADEGTTTTTTDFDGDVTGAEFELPHIDVDSGNTAHNAGEANPPSSLIFDNVVVPCKPSSLA >OB05G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17822542:17827138:1 gene:OB05G32090 transcript:OB05G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPQRRRSPPSRRERSPVSRGGSPRGRSPVKSRERSPAPRSDSARRRSPVKASSYRERSPHTEKVKERVRSPKHARPPTRSPSPARKRESRSPSPRTKRLRRAQGEREGADGTEGDHRKTTTREERDSGRNRVRDEEKDVSRDRKMEREDSRGSFKDRKLDRDDERDHLRDRRSDRSDASRETRSTRDDERRDSRGRRSDGDDRKGISREQREDHDDRRDARERRTDRDENNGEFGRPSRHGRSVSPEEHRHRGRHESRQSPRSSRSAARGEDTSSISEVASRSGDPDSLVKMNAAADALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLNEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINDNRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >OB05G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17830072:17830560:1 gene:OB05G32100 transcript:OB05G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSKLLLLFHVVLLAPLIKARPLGHGRLQVAPLTLPSDGAVVTISPPEVGGVGRPGPRPVADGGASRRPSPLENDDGGVLERPSPTPPSPVPGGSIGQLSDGVRRQVAPPSPTGNPPPHNHCRTDPLRRLPAAADSAPYLLGVIRDAVQYMIAGVLEA >OB05G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17839830:17843581:-1 gene:OB05G32110 transcript:OB05G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:double-stranded DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G29850) TAIR;Acc:AT1G29850] MADPELEAIRQRRMQELMAQRGAGNPQNEGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >OB05G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17843822:17844454:1 gene:OB05G32120 transcript:OB05G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDETFASPTAGGGVGGGGEFEQPLTNGGAGGGVYPAGKAYDAGEIDALREAKRDLEEKLAAVEHENRFLAAEAYRLEGLVSQAREDIATAEHAVAASEDEAASLRDEIKRVGELLAAERSAREAEVRRGADLDAEMRSVQKEVAALEEELRALRASAAADAEKEIAAPSVTVPPKEAEVAYQGVIAAAAAGFAAAAVVGMVVLHLKR >OB05G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17848923:17849877:-1 gene:OB05G32130 transcript:OB05G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVAAARDDGFYCPDCHRATAVVVDHATGDTICTECALVLEAHYIDETSEWRTLGNDGGGSDDRDPNRVGDRSDPFLPDQVGGTTITYSGPLRRQAKNAGGEGAPLTMRRIDVGVSTEKTLVAAFKAIADMADRLGLVATIRDRAKERAGGRKSVRDVSTATGVAEATISAAQKELAPHARTLFG >OB05G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17851742:17857638:1 gene:OB05G32140 transcript:OB05G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEGGGGGEEGKVGLHRLFRFADGVDALLMAAGAAGAVASGAAQPLMNLVFGEVVDAFGSGSHDDVLHRVSKVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAYFEKEMTTGQVVERMSGDTILIQDAIGEKVGKFIQLTATFVGGFVVSFAKGWLLSCVMLSSIPPIIIAGATMSWVISKLSTHGQSKYNEAGNVVEQTIGAIRTVASFNGENRAIALYNKYIHSAYVSAVQESTATGLGFGFIMFILFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIQRMPAINSSGTDGIQLENIKGDIELRNIYFSYPSRPDQLIFDGFSLHVLSGITMAIVGESGSGKSTVINLIERFYDPQAGEVLIDGVNIKTLRLRWVREKIGLVSQEPLLFATSIRENIVYGREDATTEEIVAATELANAAKFIDNLPNGLDTMVGEHGAQLSGGQKQRIAIARAILKNPRILLLDEATSALDLESERVVQEALNRIMQDKTTIVVAHRLSTIKDADSISVVQHGRVVEQGTHTELLKDPDGAYSQLVQLQGVTKELDKSDADYRRSISTVRSAISISKSRSSNKSFKKLLSRGTSFGSTSVHLVTAAGMIVPESMSTEVPSKVLDDIEEHKKVPLCRLISLHKPEIPVLLLGTAAAVVAGILFPMLGLLISSSIKSFYEPPHQLQKDARFWTLMYVAAGIASLISLPVENFLFGVAGGKLVERIRSLSFKRIVHQEISWFDNPSNASGTIGARLSVDASNIRRLVGDSLALIVRSSVTVLAGFTIAMVANWRLALVATVVLPLGGLQGFFQIKFLEGFSASAKVKYEEATQVAHDAVSSIRTVASFCAEHRIMKAYYKKCEAPVRQGIRQGIVSGLGFGISFFVLYSTYALCFYVGAKFMLDGKATFTEIFRVFFALLMATIGVSQTSAMGSDSAKAKASASSIFAMIDRESKIDSSSDDGMVLANVAGELELHHICFSYPSRPDIHIFKDLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGVDIKNLKVGFLRQQMGLVSQEPVLFNDTIRANIAYGKEGEATEEEIIAVAKAANAHQFISALPRGYDTCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHAVQAALEAVMVGRTTVVVAHRLSTIRGADVIAVLKNGEVVATGRHEQLMAQKDGVYASLVELRLSSERAGDSRPS >OB05G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17860156:17863712:1 gene:OB05G32150 transcript:OB05G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTAGAADEDKRGKEENDKRMDKDGKVAFHHLFKYADNTDVALMLVGTIASLASGMSQVIMTIIFGQMVDAFGKSSPSNILHQVNKAVLYFVYLGIGSGVVCFLQVSCWAITGERQATRIRSLYLKTILRQDMTFFDKEMTTGQVVSSISADTTLIQGAIGEKAGKFQQLVTTFLGGFVLAFLKGWLLTLVMLSTIPPFIFAAGIVSKMLAEVSSEGLASYRQAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLVKKAYKGAVKEGFIQGFGMGFLNLVYFSSFGLIVWCKIMYEEASQVATEAIGSIRTVASYCAEKKVMTKYNQKCQASRHQGIRTGIVGGIGFGFSYMMLFMTSALCYYIGAKFVSQGNSTFGDVFKAFFSLVVAMLGVSATAAMASDSSKAKDSASSIFEILDRKSQIDSSSNKGLTLELVKGDIEFMHISFRYPSRPDVLIFSDFTLSIPSGKTVALVGQSGSGKSTAIALLERFYDPDYGVILLDGVEIKKLEISWLRDQMGLVSQEPVLFNGTIRANIAYGKNEEVSEEEIVAAAQAANAHEFISSMPQGYSTGVGERGTQLSGGQKQRIAIARAILKDPRILLLDETTSALDAESESIVQEALDHVMIGRTTVVVAHRLSTIQGADIIAVLKDGEIEEKGKHEALMGIAGGAYASLVELHHKS >OB05G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17865500:17866372:1 gene:OB05G32160 transcript:OB05G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPKLGVRLNAVSYNIILKGACLRDGFMGARAMLDEMLGRGVRPTVVTFNTLVGSACREGELGAAERLVDEMVRRGVTPNAATYALLMRGLCDSDRYDDAKKLMFDMEYRGCQAEVVNYGVLMASCARRGDADGVRELLSAMRKRKLKPDDASYNVLIKCLCDAGRVGEAHRALLEMQLKGTVPGAATYRVLVDGCCRARDFELGLRVLNAMLASGHCPQARTFRHLARGLGEDGKAEEACFVLEQMARREMCLDADGWQAVVTCVCSSCSTKASEIKLAGELALSH >OB05G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17866926:17869815:-1 gene:OB05G32170 transcript:OB05G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G21610) TAIR;Acc:AT3G21610] MRGGGEMLTASRGAGTSSSTPATDLAGAGGGGGGNFPLAVALLAFAVANFVNLVAIWLKEKRLDARKFLTSAGIISSLSATVGSLAVAVGQQEGGDSSSFALALVFAAVVMYDASGIRFHTGRQASLLNQIVSDFPPEHPIISSFRPLREPLGHSPFQVFAGALVGCSVAYLSGKSV >OB05G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17871553:17875669:-1 gene:OB05G32180 transcript:OB05G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAANGVGEVERKAQRSYWEEHSKALTVEAMMLDSRAADLDKEERPEILSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENTNGHHKNITFMCADVTSADLKIEDNSIDLIFSNWLLMYLSDEEVKKLVGRMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHSYDKDGGSYELSLEACKCIGAYVKSKKNQNQICWLWEKVKSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGIETTKEFVEKLDLKPGHKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKSYQPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEDAGFHHVTAEDRTDQFLRVLQRELAEVEKNKDAFLADFTQEDYDDIVNGWNAKLKRSSAGEQKWGLFVATK >OB05G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17879595:17886086:-1 gene:OB05G32190 transcript:OB05G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAVNDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEVPVPDPVEEEKPPVEEPVPVPPVAEAVSPPPPKTVVADTGDLLGLNDPNPSVSAIEESNALALAIVPTDAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSVADSQLGGGFDKLILDSLYDQGDYRQRQQQPLYGSSAPNPFMSNDPFAMSNQIAPPPSVQMAAMSQQHQQIPTMMQANPFGPPMQPQHVGMGPATNPFLDSGFGPFPVANNGHQQANPFGGTQLL >OB05G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17887089:17887493:-1 gene:OB05G32200 transcript:OB05G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSFGMHRRSCQSTASVEKRRNSSWPGGQVDMAIHALPDWSPCDPQFLIPHLRRNCFVLPKWYIEQRVIFTVFLLVKLHFFLLRYRLTKSDLLRFMCTQIQFVYNNLLWQSARQLTNFKHDLATNSHRPGSFI >OB05G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17887919:17892940:-1 gene:OB05G32210 transcript:OB05G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STT7 homolog STN7 [Source:Projected from Arabidopsis thaliana (AT1G68830) TAIR;Acc:AT1G68830] MACGDVIYRSTLPRNDGLTITAPGVALALAAVSYLWSTPGVAPGFFDMFVLAFAERVFRPSFRKDDFVLGKKLGEGAFGVVYKASVADPKAAEKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQNLPKGVARENKIIQTVMRQLLFAVDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTAAKAALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVIGLMARSGTETEGGFTEAQLQELREIKPKKGGARRNLLASVLRVQRKIVRTINESMDELSSQSKSIWWSRWIPKEE >OB05G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17909399:17909527:1 gene:OB05G32220 transcript:OB05G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRIHGKNRPGGGLSRMLREQKARLYIIRRCVVMLLCYHD >OB05G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17916096:17916913:1 gene:OB05G32230 transcript:OB05G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANHGSTGVAFTATGMLGSIPVLIPAVCNGNVDVPATPCFNFNFIAPAASPGSLHLQDNPESSTAHHPARSTRRRGNVSTSTPSGSGNQVSDNTNITNIAMQVQDDNYLANTPRYPWSTNEVATAELSRRGITHVEGEACKMMVYNIEAKFQEVVMYFRQNCLNMNDRASARWMNPTIPDCDNCCQKNSMRPVIPPIEKEHINWLLLLLGETLGLCTLDQLKHFCAHTKRHRTGAKDRVLYSTYLELCEQLFPGIIMPIERKMGHAQLKM >OB05G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17917613:17919112:1 gene:OB05G32240 transcript:OB05G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSARRRRGTAPRTTTSLRGTRPSPRRPTATPTSATPWTRSSTAPARGGSSTRAPFPPAPNGDPDERYSLDEIVYRSSSGGLLDVRHDMDALARFPGSYWRDLFDSRVGRTTWPFGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGRDHLAGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >OB05G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17932151:17932777:1 gene:OB05G32250 transcript:OB05G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPCPAAPPAAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPPPTTTSVLAGAGGECKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAGKHAQLFIDCKIRSKQSATTAAFLSARAATPPAPAVKAIRLFGVDLLTAAAPEQDAAVAMAKSKRAMDDAIAESQPHVVFKKQCIELALT >OB05G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17939807:17942641:-1 gene:OB05G32260 transcript:OB05G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPATAPAPAPIRLGVPHPYLKTHGSKVARLHLFDWIVLVLLVAIDAGLNLIEPFHRFVGEDMMTSLRYPLKNNTVPIWAVPIYSVVGPIIIFTVIYMKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIARYNNITRGVICHGDRSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKLKAFDRGGHVAKLCIIVLPLLLAAMVGISRVDDYWHHWQDVFTGGMLGLVVASFCYLQFFPPPAGEQGFWPHAYFEHILHPESENQVQLTATANHHQGPAAVDMRTNSHSLDSMEQGRRARLYMMNTRESWFNFTVLVQDA >OB05G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17943093:17943272:-1 gene:OB05G32270 transcript:OB05G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRKTENETSEQFIVESNSSPSNTASQQRPIIHPFHPLQPKHSRQLIRPPVSTAPDTSGV >OB05G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17948374:17950582:1 gene:OB05G32280 transcript:OB05G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRGEAERRHRERPAAPRPRRVTMREHISSSLMDSPPLPDMPLLNADLLPPPPGRHRHRHTHVGAEEEIMMFRTRLLWGRMGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEITRSLRMVKYSAFNPKNFVERNCSICQEEFEANEEAGRLICGHSYHVQCIKQWLSRKNTCPVCKTAVSKT >OB05G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17950654:17953466:-1 gene:OB05G32290 transcript:OB05G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSAMEEHSLGSGDAMNIFGQSIDVRRPSKSRRRVTHKNLSPEIEDSGGSSSHRSHRRQAIAAHQERARAESELSMAMNMAMELERQIEQNNAKARPHSVKAELRRLQREVKAAAAEKGAAGRDAEASTTSRVRSSPRDVDGVGIEADGASDEHGVLVELAVGLAATTTPTKELRRDTSCASDLGATRATASSDAGLRHAELALVEATGKCHANDVGGSSEVTAITTRRQVEHEIISLPEAEAELSSARIELESIKAEGRRFRTSIERTREETARVTDEIRRLTEQEKKASAQVQQLNAKLIKARSRLESVTSAEERAEEVISKLTAILRQLDDDTEAAEKEKTLTAMESRAVRADLENVEAEIAAAEQRIRESVRELEVARASEAAATAKLKAIVASATLATVAAMPQSSSGNVTIPRFEYEYLTGRAEVLRAVAEKKAAAAEAWAEARRASEKEITMRAEAIERELREARAGDAQATEDGQDAAARQEPRDHTSRRPAEARGRGAATTSARTKSQRMPSSSSPAARKPRSPPYSVKRRKKRVLTPNCLKLFGGKCRGLN >OB05G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17951156:17951707:1 gene:OB05G32300 transcript:OB05G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFAVAAASDALATSSSLTDSLILCSAAAISASTFSKSARTARLSIAVSVFSFSAASVSSSSWRRIAVSLEMTSSARSSADVTDSRRDLALISLAFSCCTCALAFFSCSVSRRISSVTRAVSSRVRSMDVLNRRPSALMDSSSILAELSSASASGSDIISCSTCLLVVIAVTSDEPPTSLA >OB05G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17954413:17956327:-1 gene:OB05G32310 transcript:OB05G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3M9G0] MSVPHASSDSDDDRPVVRLFQRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNLVTLLCHIALLGMLLLFIWSNAAPLFDRAPPQIPEVIVSEHAFRELALTIHSKVAHFSTVLYDIACGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPALYERYETEVDHLVARGGQDLKKFYKKVDSNVLNKIPRGPVKTKVH >OB05G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17961842:17962084:-1 gene:OB05G32320 transcript:OB05G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLNRVHNFGTKFPKLWVYRLIAVGCASSLKIQTCFNLVKIFKFSGYMFKFQNYSFGIPQTLEFLPRSKVVKPVVGVF >OB05G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17962602:17962760:-1 gene:OB05G32330 transcript:OB05G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSAMEEHSLGSGDAMNIFGQSIDVRRPSKSRRRVTHKVHSKKFCLLSQTE >OB05G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17963883:17965461:-1 gene:OB05G32340 transcript:OB05G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3M9G0] MSVPHASSDSDDDRPVVRLFQRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNLVTLLCHIALLGMLLLFIWSNAAPLFDRAPPQIPEVIVSEHAFRELALTIHSKVAHFSTVLYDIACGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPALYERYETEVDHLVARGGQDLKKFYKKVDSNVLNKIPRGPVKTKVH >OB05G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17967515:17967811:1 gene:OB05G32350 transcript:OB05G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRGRAAVVVLAAAVMVAAAVLCGAGAEAACDAMQLTPCAGAIIGNAAPTAACCSRMKEQQPCLCQYARDPNLQRYVNSPNGKKVMAACRVPVPTC >OB05G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17970946:17971447:1 gene:OB05G32360 transcript:OB05G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASAVLVLVVVVVVLRAHPASCFCQYKKDPNMKKYVNSPNGKKVFAACKVPLPKC >OB05G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17971626:17974725:-1 gene:OB05G32370 transcript:OB05G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFLPFLIILLLSPVPLSVQESDDYFRYTSCAPAPYSCGSLQFDVDYPFSASGVGRRPDYCSYPGYRLVCNTDGKLMIYMNSTAFQVTAIDYGNKILVVIDQNQPQPQETCPYLYHNTTIDEAKFMYTDRDQFLTAYVNCTANLSSLPSIYDLVSCISGGSSYYRLHKNKDDSLESDLLGSCSSTIAVPYNLTMAASLAAGNSRLGDVIRGGFTVRWKAGLGWCSDCKASGGQCGFNSSFPSDQTCYCSYGQAIGSCSSSSSSGMKASRKRAITIVTVVVSGAIFVSLLLMAALCGKKFHGIMSRRRGSKETPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMQDGKAIAVKLLKSCKDNGQEFMNEVASISRTSHVNVVTLLGYCIQGSKRALVYEYMPNGSLERFAFRPNSAAKDSLGWDKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGLAKLCMQKESIISIDGARGTIGYIAPEVFSKQFGEASSKSDVYSYGMVILEMVGARENINATSADVSSKYFPQWIYEHMEEYCASSSEIRPDNSVLHFSAVEMLAHQVCRAKLITHQAPTEPGFILKL >OB05G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17980696:17987029:1 gene:OB05G32380 transcript:OB05G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSFHLLVAFLVVVAGGTYDDSVVCESPTPCGDHVDVKYPFYLANATGAASRCGGYPGLGVICEDDGARRRATLRLGDHNYTVLEINHANHTVTVADSDVLDAGGGGSDCPRVKHNVTLPPVLTFPVTNNDSISFFLDCDFTGAIVQPPDITEINCTGFERAPGAAPSFLAPRPDTPAGEWFRACREVFVAPVIRDWLLSKEYYPTLRDGGYGGVLRRGFQMSWDPTAGQCYVCEQSGGRCSYGGAQNDFQGCLCSDGHVRNLVCGQKISRKRKIIIATSTVAGVLLVLFFVVSFLYIRKRRQYKMSSSSRLLKYTTTSGETPRSRGSSDKFMESGSSSSFHYLQTHHFAYEELEAATDGFSDARELGDGGFGTVYKGELRDGRAVAVKRLYNNSWRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGRRAAERALAWPLRLDIAVEAAAALAYLHAVEPVPVVHRDVKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHRCYQLTDKRDVYSFGVVLVELISSKPAVDVTRDRDEINLAGMAINRIQRSQVDELVDHELGYSSDQPTRKTMTMVAELAFRCLQQNGEMRPPIREVFDVLRGIQEECRAGKGGKRDASPCSPNTVHAPWDSMSTTPNTSQ >OB05G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:17992530:18001924:1 gene:OB05G32390 transcript:OB05G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMAGEGTIAAAVIPRSPSPPDTAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVKNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLVKKFSNEEQADLVWQFLCSIPLNMMSEFLPWLATSVSSDEHQDILKCLHKIVPDEKLLQQVVFAWIGGEAVKTVPHNFCSPCLKSNVRCNDVIGQADNYVCSHEHSKTGKRKCVESSYSQLVMHPIDEILCWHSAIRKELREIVEETRSIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNNQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETEVLPQARIHFSSDKQRELLYKSLCVMPLKLLERILPWFVSGLNDPDAEAFLQNMFLAAPSSETALVTLLSGWACKGRLKDTSNSVEFICLTPRALSSSLDGNEFKTCQLCPCSLGSNGAYSLLLQSDKCSRPAKKRNHTESSNISDCSQTADIAALTCKNRPCHIPGLRVESSNLGVNSFASAKSFRSLSVNYSAPSLYSSLFSWETDASFSGPDKISRPIDTIFKFHKAIRKDLEFLDVGSGKLIDGDESCLRQFIGRFRLLWGLYRAHSSAEDEIVFPAIESKETLHNVSHSYTLDHKQEEELFKDISTILCELSHLHADLKHPLDGTDAVGTSHIHSYDGIDWSKKNTELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMMDTWRQATKNTMFDEWLNEWWKRSPTSSGPSNDASPPEENHFQEKLDQSEEMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKLPQPQSKDHNGCTVLPGCSPSYRDPENQIFGCEHYKRNCKVVSACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAMYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEQLPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKVDRADCSTSD >OB05G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18002745:18003047:-1 gene:OB05G32400 transcript:OB05G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSGIIREAHLSAEAEDLRHTTMRVRRRRRRADVGRMEVGGMPQRQRREARGNGEGGPTWATCSDVRRRDRCVDNDARFSSPFYFKYLLRRNTIVHPF >OB05G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18004022:18007489:-1 gene:OB05G32410 transcript:OB05G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRKLFSSSSKKTKLLPPVRTQKFSPGRLPAGGEKTPAAKMRTLLLDSPYNPNEVTSRLSPPGQNNRRSEMCTPGVVAQLMGLSSMPATSHHQKAAKAIDASELGGHRNECSYRSIHNSHQKPGQLRDGRHDNGSHCNADVQPSWSRKHAHKVASPIKSPRSISGRNKARLIEAAVKVLEPGLQSRHRRHARLEYRCNDNGVQGVAGDMHKFSDQFSREMCDVDASRSGAQDAGATSLHKTTSNQWSEEETKRNASVRRPNQSIPCQAQSEGNHKGQRNGFKDDAQRTSDASQGAQKMQPKNISRENVACRPLKQNNLKQNALPETYRAADTGHMVQKQKHRAGEQNVANTASDFVCLNRAMNNSASLRSKGKVMDKIYVPHSSAEEEKNLSTRCQKTGGLHGDRSNKLKLKTATPRATEKDMIFAKGAGLVSEKPKSTSPNSVRNESRRKAESRIASRGNNSGIVSIASNSPRKVVSNLLNGHSKGSDSVILGSPTSSCPKRDSSSDCQNMSSQGELVSREALQGISTLESAESICFNRNEFRNRDILRDRVTSSLFQKTSAAPPMEESPNDEFLRQCHLVDSLLQGFRDLPRSVGLRGTHKMHEATTKASDQSHYTDDDHISGSLPDTASTAAAEAGWRSQRRSETCTVQDATAKRHSRCAETKFGQDGVQLFDPAFRNSSPKHPGEVAATVELLLKNVGRPTQRRSKAHLKAFLVQTSESALTTLTASSKEKTKKKNVFFSNAGGDGGGRRSPLGKLAFDSAMELLDTMFIQFCDSGYRSFTRLALLGPEERLAAQVSREIARCSAMAGKPLDDVIASDVQHAAAAEAGVGSLHEVFQIGAQIERDLLQELVAEIGVDVLRRL >OB05G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18007403:18007786:1 gene:OB05G32420 transcript:OB05G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHPCNLTWGEQLGLLGGRGEELPLGEHLEQRRHARRRRLLPLGDRPAVAPRGGAXXXXXXXXXXXXXXXXXXXXXXXXXPPAGLSPRAAGAAAELPLFMPPPLHTRTKISNSNLSEPSAAPVCSS >OB05G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18009508:18010209:1 gene:OB05G32430 transcript:OB05G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYPLLHIYVHFFSYINIVDLVWYLETLWRQRVHNATKKKLTFFFLVMRRLNLSAEPT >OB05G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18026664:18027736:-1 gene:OB05G32440 transcript:OB05G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPATVTPAPAAMSEAPATTRPRDPGLFGSFDLPAAWGCRRPMAFCRDLDALGGSEPHAAAAVAAGAGEATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGGGRAGRGFTVELTRQEIDADFYAITGRKAPRKPAKRPRNVQRKIDTICPGNSLWEVSRDRYKVNEKGGF >OB05G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18033336:18034405:1 gene:OB05G32450 transcript:OB05G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPAPTPVVAKKRGFSIALTREEIVADFIAIRGTPPPRRPKKRPRAVQNEIDSFFPGLSLADVKLDSYKIVEEK >OB05G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18039286:18045606:-1 gene:OB05G32460 transcript:OB05G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVTATPPRGSAMAGGGVISEIREKHKLELENLTLTKHPFRTLHFFMLAMLQYLKRLATYILSRGALFVLLIVLVLAPGILLVATDGLHKKHVQEFLNYVRYVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPLYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAASLSGSEPEAVKELDATASNEHGPLASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSATLPSVIAKLHSAKDKYLSPPTSTASSSPIEDNQWNFSFTLVWNTVVWLVLVNFFIKIVRSTAQEYLKKQQDIEMELITDSSPQSQSKTN >OB05G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18066474:18066773:1 gene:OB05G32470 transcript:OB05G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARCSGFASGGEDTRMVVIVGATGTGKTKLSIDAARALGGEVVNADKIQLYDGLDVTTNKVSLDDRRGVPHHLLGAVRADAGELPAASFRSLAAAPAA >OB05G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18071065:18071580:1 gene:OB05G32480 transcript:OB05G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGMVEELREYFATTTAAERAAHAGLGKAIGVPELGNYFAGRKTFSAAIDDIKANTRVLAVAQVSKIRRMADAWGWPIHRLDASDTVRARLTRAGSAAESTSWERDVRGPGLAAIRSFLAGQSPPPQLSEGAASANSNDNRYATMEAAPAELPAPLLRLPRMQYCDMVG >OB05G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18074437:18080170:-1 gene:OB05G32490 transcript:OB05G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) TAIR;Acc:AT3G18390] MALSELPLHHSFRLSSRPHLRLLPLRILSSSRHASSSSSSSTASSSPSSGGPAPPAPSRSAPWLQKWGPSDPAAPPPPPPPPPAPSASSSIDRIVHRLRNLGLASDDDEPATATATATAPPDGNERLSDLLDRSWSRPDQQFAATSFDESVLPWERDESARSRGEEDDGVKRKRVRAPSLAELTIEDEELRRLRRMGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSEALDGTSSAVKGEDGTLFIPDASSPIEHGNQGKDLNTQREIAARLNMQNAEDMTEDELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARDLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMAEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQRRRISIEHSTDDSLDGHALAGTLAEFQEAQARWGREVTVKEQEEMKEASSRSVKEKAFKRLEHKLSIAQAKIHRAERLLSKIEASMVLANPSDDQEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLQYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSEHIAQLESNIREMKLDLGIENDEEYEEDNSDSENEDNGGVTTARYDKDQDDFDESSDEDEYDDYDEDDDDEEEIDS >OB05G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18078936:18080171:1 gene:OB05G32500 transcript:OB05G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARSSWNLRRTNSDFLQASWIFSVTACVTPALGTVMRSRRVIPILRRRRSSSSSIVSSASEGALTLFLFTPSSSSPLLRALSSLSQGRTDSSKLVAANCWSGRDQLRSSRSLRRSLPSGGAVAVAVAVAGSSSSLARPRLRRRWTMRSMEEEAEGAGGGGGGGGGAAGSLGPHFCSHGALRLGAGAGGARPPLEDDEGEDDAVEDDDEDEAWREEERMRSGRRRRWGREERRKEWWSGSSESAM >OB05G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18081009:18081344:-1 gene:OB05G32510 transcript:OB05G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARELPLQLRLPAAPTIPRCHHHALYGLLVLLGASVVVWSVSVTGNPPSAHAGYALAGFRLWLLGIALLLSPRPVLPGSMAAATADSDSAVQKLEHLFITRQPPPPPPA >OB05G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18089342:18092235:-1 gene:OB05G32520 transcript:OB05G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPGESSEKHSQWISHWTKGSSSAEPRVGKRCDDSTEDAKYDTCTENFGPSNFEIMKSRLFERLMVGISQERAILEHGQQLNSNMRAVVKDARRHTAQNQIDQVDGPIKKSVMQKDVLYAKAVVSKSLSIQKFSELPLDFQNLVSSDDLSSEWNHFPMFAINRKIDSILNAKRKSAKSTVPKNVFVPKQTLKLNMTTSNVMAFSSEEYELHSQRTTDTIMDQCKHAGDIVSRLEDPAGVMLDPAEQKLKGQLSPATSCSCSKDDSNSSDSLSDEQHTSHYLADSDQELACRSSEKKLMFSENNNADHKIGSSFQNQKSGAPGHREQNSSEGAIFRTSVTGKEFEADQINCSNKYKQDDEKFYGPCESHGRIVASDILSYGQQHLNSQRMVSAANVTGSCMLPDTTANLFSVNGRGEAATQPLDILGGSTKKKAPYLFEMLTIPSKTQDMYPEDSLPSGNSTAFGVHMYDTNIGSHLFGPNNKSSGNVETLSSGSQHVSKSSEGIASLLAQKEYGCTKNEKSEQFATLSIKGALGCSKANGFQNVNQHQDVSSKATVSNNQHCFIPGTARMDLDLMQFQMSRMRNQVAADTIQSQATAEPSDRWLKRLQLDSKDPHLPGSKRPKVGDCRPVIEEPSSMRPCCDGSDDDIVDGDKEEQGLVEEVQIQGARETSPAGAKIDSRWIGRWCQGGVPVYHEDDPDQRKEATKPVLASGGLEGQFPSITAMAMMGRAMSKVRPCQQERRGSFMVWKT >OB05G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18095880:18096920:-1 gene:OB05G32530 transcript:OB05G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M9H9] MSSSSSSSLYKQLGLGAGSPVSPSHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSGGGCGRPGTDAVDVASAAAAASPAKNVSGGTTGDAADVRVLVGIQTLPGKYERRNLLRTVYSLQAREQPSLAGSVDVRFVFCNVTSPVDAVLVALEIIRHGDIIVLDCAENMDGGKTYTFFSTVARAFNGTGAPRYDYVMKADDDTYLRLPALVASLRGAAREDAYYGLVMPCDRDNFYPFPPFMSGMGYALSWDLVQWVATAEESRRDRVGPEDMWTGRWLNLAGRAKNRYDNAPRMYNYRGGSPPSCFRHDFIPDTIAVHMLKDAARWAETLRYFNVTAALPPSPLYHL >OB05G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18097824:18100582:-1 gene:OB05G32540 transcript:OB05G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDVVTAIAAPIDNSPFVVSSSRDKSLMVWDISNPAPAVATDPDSAPPEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGATTRRFVGHAKDVLSVAFSVDNRQIVSASRDNTIKLWNTLGECKYTIGGDLGAGDGHSGWVSCVRFSPNPLMPTIVSGSWDRSVKVWNLTNCKLRTKLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLTEGKMLYKLEAGAIIHSLCFSPNRYWLCAATEDSVKIWDLESKLVMQDLKPEVQAFKSQMLHCTSLSWSADGSTLYTGYTDGTIRVWKVSGFGGYAI >OB05G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18099938:18100885:1 gene:OB05G32550 transcript:OB05G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFELGAQLAVCEVPDLHAAIPRARDDGGHQGVGGEPDAGDPPAVTIAGAKVPADGILALAEGVPELDCVVTRRGDDLAVIDGEGDGEDVLGVADEAAGGGPGGEVPEAELAVPGPGERELAVGAEDDVLDEVGVAGEAAERDAVLRRRRVGVGGDGGGRVGDVPHHQRLVTGGGDDEGRVVDRRGDRGHHVVVAAQDARQGERLLAGHFRRGGGFAGSRRAAAAAAQERRKRRRREADGFIVGRSGGLGFRGASDRRFGGGRVDPHRWMRSDAVFFSSTTNAKNLEHSELQISLRAIFKSDSELENFVFFGEKA >OB05G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18104027:18105697:1 gene:OB05G32560 transcript:OB05G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTAGIVIPVYILLVSVTELLHRRSQRQISEEVAAADIIVQQVPQSPRRQHVINIQ >OB05G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18103844:18107809:-1 gene:OB05G32570 transcript:OB05G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:J3M9I3] MARVDCASKVNIDLCNRFSVDHYPFLLWGPPTKFASAKWDSKQENSEIKLIDDGRTAERLLKWINNQMKSSFSLEDKKYENENMLPKNASDPEQIVQAIYDVEEATSHALQIILEHKMIKPKNRDALIRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSQEGSRLLESVAEENHWICGKEVPRGYWLFCRGSKSETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSAPFKSARELSLWLWSTHNKVNVRLMKEEKDLGTGDPSFPKVTWPPNQLCPSCYRSSKITDGAVDWNEDEVYQFLVNYYGKKFVSSYKETYMESLQQEKKIVSEDSSTSNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN >OB05G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18113851:18115308:1 gene:OB05G32580 transcript:OB05G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPAPLCRRTPGAGNRRPEVIASSVQALSAAAVSPFPASAAPPLPATAVPPLAASVTPLSTASTPPLATAPVPPLAASVTPLSTASTPPLATAPVPPLAAWGGGGAPTSVTPLSAASVPPLATATVPPLAASVPSLAPAAVTPLSTASVPPLATATAFIASTARALVTTAPCLLAAATGALVVTTTTSGAIASSTGALVAATTGSTSGIAASASQRLLHQHDEVPHLHCAGVLPQEVPSVLPHGLRHLQARLRLQPAGSGVPGSTXGGGVRGGGGARLAVVFDGEPVQVQPVANARWEWGSLSVTRTKAANGVLVELDGVFKITANAVPITKEDSRIHRYGVTDDDCLAHLDLAFKFYSLTDDVHGVLGQTYRSSYVNRLDVTAKMPVMGGEKQFTSSALFAADCAVARFGRAGGDADAVAIAADELIDVKCSTGLDGVGVVCKK >OB05G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18123165:18124672:1 gene:OB05G32590 transcript:OB05G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPATWGLLLLLGVALLVSPALAAGGNGSGNSGNHGNNGNNGDHGNNGNNGDHGNNGNNGDNGNNGNNGDNGNNGNSGGGGKHGKSPPPPGAIASSTGALIAATTSGSSAIAATTSGASGIASSTGCLAATTGSTSGIAASASQRLLHQHDEVPHLHCAGVLPQEVPSVLPHGLRHLQAHLRLQPAGSGVPGSTVHRRRRQHGLLPWPQGRRLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPGGDADAVAIAADELIDVKCSTGLDGVGVVCKK >OB05G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18125894:18127333:-1 gene:OB05G32600 transcript:OB05G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3M9I6] MEPHVLLVSFPMQGHVNPLLRLGRRLAARGLLVTFSTVRLPGLRDVPEDGACADVGLGRLRFEYMRDGGGSRSYMAPDDMLSHVAAVGPPALAELVGRQADAGRPVTFVVNNIFVPWALDVAAGIGIPCAMLWIQPCSVLSIYYHFYKSPEAFFPTAAEPDVRVALPGLPVMAMDDMPYMVRPEFAQSLWGETIRAQVGAIQKTVSWVLVNSFDALERSALEALRAHTPVKLMPIGPLLEHDHDDGEDDALAQAPVAEDDDGCIAWLDAQLPHSAGYVAVGRLVNTGRDETAAMAEGLVATERPFLWVVRDDSRELIPEAVLEACSDRGKVTAWSPQGRVLRHGAVGCFVTHCGWNSIMEALAAGVPVVGYPWWSDQHTNAKFLVEDYKVGVRLPAPVAGDELRAFVDRVMSGPEAAVLKRRAMDWKREAAAAVADGGSSDRSLQDFVDHVRRSSRSVELARLAQEIEIINGPVNPVLV >OB05G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18133336:18133869:-1 gene:OB05G32610 transcript:OB05G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSCTLAKVPGGKGARVILPDGGVRQVALPATAAELMMDAPGHFLADARAARVGVRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADMNVFKHRLSSARSRRPTLETIEEENYISRN >OB05G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18136952:18141054:-1 gene:OB05G32620 transcript:OB05G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMCGSIMRELQAIWDEVGEPEAARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAQSEAELAAVCSAMGEPPIHFKQSNQKACGLREELCAILPYLEEMKRKKAERWNQFLDVVGRIKKISSEIRPENFMPFEVPVDQSDISLRKLEELRVELQSLEKEKSERLKQVMEYLKTLHSLCEVLGINFKQTISEIHPSLDEAEGPMNISNTTIEMLALAIQRLCETKMQRMQKLQDLASTMLELWNLMDTPIEEQQAFQNVTCNIAASESELTEHNTLSIEFLNYVEAEVLRLEQLKSSKMKELVLKKNTELEEHRRRAHLIGEEGYATQFTIEAIEAGAIDPSMLLEQIEAYISTVKEEAFSRKDILERVEKWLNACEEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVNKIPGMVDVLETKTIVWENERGNEFTYDGIRLISMLEEYMIVREEKEQERKRQRVLTVY >OB05G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18142521:18146675:1 gene:OB05G32630 transcript:OB05G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAAAAKRARESGDAAAAATAGGGGAGGGSAXXXXXXXXXXXXXXXXXXXXXGEAHSLKVEEVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAQEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVTNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPISNIENDEFSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKVN >OB05G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18147072:18150123:-1 gene:OB05G32640 transcript:OB05G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3M9J0] MVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >OB05G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18162511:18164052:-1 gene:OB05G32650 transcript:OB05G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDHIICSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMGAVQPPRGAGAGARAFSAAPATPPDTVQSQCTSSAQAPALSPASSSVTSSSGDACFATTMYPQPTTSPQQYIRFDAPAAASQTELPPVPPTATVTPDGCNWASTEAGAVSLDDVFLGELTAGEQLFPYADLFSSFTGLPESKANLELSACYFPNMAEMWAASDHAHAKPQGLCNTLT >OB05G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18165804:18173735:1 gene:OB05G32660 transcript:OB05G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVDRLNGANVAGEDPFARLYGSVDAEISECVEKAEAAKQEKNRATVVALNAEIRRTKAKLLEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDGNFDDEYFKGTDESNQFRREYEMRKIKQDEGLDVISEGLGTLKAMAADMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIILLCVILGIAAYLYNLASPSSPLRPAAAAAAVGAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTTSPENHTGDDGSKPSADQ >OB05G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18178085:18182387:1 gene:OB05G32670 transcript:OB05G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3M9J3] MEERIPLLSPRGPADGTAGVGGGEEGGCCACACGGGGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLILIGQDALISQEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCEVTRSPPTIEAFRGVGLFLRLALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARSAVHLVMSIAGTEAVIVTGMLLAAQRILGYAYSSDEEVVAYFTSMVPFVCISVAADSLQGVLSGVARGCGWQHLGAYVNLGSFYLVGIPVALFLGFSLKMEGKGLWLGIAGGSVLQFLLLAVIAFFSNWQKMAEKARERLFGETPSEKQSLVLDATNSV >OB05G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18183939:18185147:-1 gene:OB05G32680 transcript:OB05G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRSGPPPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPPPQNPPGGGPPPGPPPPPPPPAAAEEIIDVRKLPTDYDPSTFDPTSPSRAPPSDRVWRLVEDVSSLTLGESAALSALLLRRLDIPAPPIAILNSAAGLGGGGGGAAAAGAAGEKAGGAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEAQTIIEKMKAVGAKVVMD >OB05G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18191537:18191746:1 gene:OB05G32690 transcript:OB05G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKAWQSGSAKLANNCTFEGLGRIGILFKNLMPNLLLVTIIYSLMAPCHPIFSSCKLLVYGKCILLSF >OB05G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18195605:18199742:1 gene:OB05G32700 transcript:OB05G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICILELLICMKFGHGSPSPNRINPAAAAAAAAARSPQLAPLSARPTPDPRRDATRATAMMKKRAAANKPAGSGELSRFLQSHIQTINDTFQIMAEAAPGGLERTEWSQVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKSVVDSSFSLFNQAVSAFESRSPDRKTIIPQVTGTVWEACLALNKVPTNNCVAIGRAMTQIGVYLKDVLREMKELPVGDSGDSTAEKSSNGAVETTSCSDRDELSSDLELDEDFTEEEIAVAKMIVTVASDALVVVKETIRFITCLLKSSGNRSGANEEKVETMEKLRSCCRDAADQINDLGASVYPPQDLSQMNSSVKRLYDGTSAMRREISNLNGSPESAYLALERFEKSLGALEVEIDGDVVNEMENLTISSS >OB05G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18205731:18206078:-1 gene:OB05G32710 transcript:OB05G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQFPTSSHHLRLPPPHAQLMEPAESLASSGSQTGKHIAQSICEAFLQAPHLYLLHKLATQYFYSYHWTPFELRREQRTQSCSSREEELSWSRKKQAGGTVMRRRKSCLLIYKG >OB05G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18213237:18219106:-1 gene:OB05G32720 transcript:OB05G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADARATSHGGFSIPIVLKEKGHHQEEEEIHLHLLKQLLTPFILVPGDDPVRREWMHAKPTRGKERDSAPPRRCHRLFRIKLSVRRGQALWSHKETPRFSAEREGGGPLRLVRLVADGSRLELEDDGGQLGAISFFRLKPSQSGLSGKGETLDRDSVPMRVVAVIRRFPGPGGDCIALISKLWSARLGVRLHDKLFLLPPGNATVTITFSERWFRVPSKWSEQKLYTRVGHDFVNITATLEELARTLYQMYEQEEQEKMILSKKQEQERRRQEELNRKSDELEMKPMAYIPLGHGDIKWESSDEAMLRRFELSLGTNREVFCNFVEQECPQDMRWRLACYDRFVLPLTTVELQVVGFVEGYLDPKTFDFIEQRGQTETLRAVAMVSVSPQVDQILSFKFLADNLAIRLNDGTILTGWTGITVSIHCGDDDDDDNSCTFLSCTSAESSTHQILEWKNDGKNPIPCSCLLVQLNRKLRQVNATMTSREEQDFGLSSIFASEAEEDQGLLYHHMQQENDDLRHNVLSLSGKLSVEGEGEGEYSLLFESPGESDWVKVSEPYVPKFPTDEEIRMREEWRKERLKLVMEPITQPVPEPRRGWNYFMCKPGSRSTRRAELPVREPFAGFHYWILSDKLESKLHPKRKLYPRLVCLEWCTCSPSRMLQVYTLEIIVADSLHSCKLDISGFVAIRDFRDEQRNYIFNREMDHPLTVQSQHGVLRLPTLSPRRAIDSNSDILLEFNLEMKRTGDGIDSYHELIQGVVEHPSLEGRRWSRVNELSILPCGNHSTPVMRLKLAMISKGVEATIELQALILPPEGIGLRCTARAGWIDDDIELFDGKYGGGDNTSLQFVVATELHGDMEIYLEGVFNGVSKAWCLGFVPKFHALFSQEVDFQFAQLSLTVAWSM >OB05G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18222253:18223118:1 gene:OB05G32730 transcript:OB05G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDDTKGDAVTEVKDYPSEMQLMMEKQKRKMVKHKISNPVAIVRFAPVTIEGACKRYSCIRGWYPDTLEVCQSFQRRRHQGRIRACTALNMGHTHVFLCHSGDAYSRSSPIANSKVAT >OB05G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18233523:18234227:1 gene:OB05G32740 transcript:OB05G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3M9K0] MSAISVRYCTQFTAGNKPILPSEPTLASIRVDYLENHAFLCLDASNLYCKGVWANDKFHEAKTSHAIGGMVEGAQALGQGHTYKDLLGNDDPTMELTEQATIAALSEFFKLNTPRQCTGRVLWALGYFSLTFIEPCRQRYMYQKVLMGEPGELIPCPRDTDQFYHRLTRECWVEIRSWGHRCDVLHDHDDIDKRVKWSELDVEDARKNVLVVNKGGSRYRSSSYRLDLPPRRGV >OB05G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18236590:18238659:1 gene:OB05G32750 transcript:OB05G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRETPIKISLDEVEPAKEIVKRFCTGAMSYGSISLEAHVSLAEAMNTLGGKSNTGEGGEQPCRMEPLPDGSKNPRISAVKQVANGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGALPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGQSVSN >OB05G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18238875:18243808:1 gene:OB05G32760 transcript:OB05G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRDVAVACLLEAEEFGFSTTPLITLGCIMMRKCHTNTCPACIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEVDPEVLKGNEKLENINLSRLLKPAAESSPGAVQYCVEKQDHGLDMALDNKLIASSRVALQNGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAFFNGMAAERFCVRNSGARAVVEGIGDHGCEYMTGGIAVILGKTGRNFAAGMSGSIAYVYDVDGKFRSRCNYELVDLYDVVEEDDIITLRMMIQQHRLHTKSDLARYMLSNFDNLLLKFVKVFPRDYKRVLDKLKAEKAAEEAKQKLEATKAPNGISVKTEEGSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEERELLGLAAADQLNKMVHFLTVFERADRIGGLMMYGVPNMKTDKVGIVQGRVDLMTKEGVKFVVNAHVGSDPLYSIEQLRSDNDAVILACGATKPRHGCTNLVNLELLPEPPIKRARDNPWPQWPKIFRVDYGHQEAASKGRGISVKTAEEAKQKLPIEATKAPNSNSVKTQVEFAHCGRLVMLAKRFVLDVVTFVFFETLNFAHRVGIVGK >OB05G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18247258:18249561:1 gene:OB05G32770 transcript:OB05G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGFLSKLPRKNSSSGRGDLDSGQCSNGTANGNPIQRTSSCVSIPSARSTSTIKRMSSAIFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLNLCCAVFDFSDPNKSSAEKDIKRQTLLDLIDFVDSSNSRFSEAVIAASARMFAVNLFRVFPPNYRSGSGGGEGDEEEPMFEPAWCHLQLVYELLLKFIGASSLDAKVGKKYFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFMFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGVYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNSQKEVMFLSEIEEILEATSMAEFQKCMVPLFKRIAHCIKSSHFQVAERALFIWNNNAIITLITQNRQKIMPIIVPALEHNSQNHWNQAVLNLTANVKKMFSEMDEELFSACLIKYKEDEERQGSLESKRMLTWERLESAAAFQPVTGHTAVLVGRQPSANLIATLI >OB05G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18250940:18252689:-1 gene:OB05G32780 transcript:OB05G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32590) TAIR;Acc:AT4G32590] MAATSSTTTSTALFSLAFPSATTSRVSTRVHHHRKASFRASPVRCSNAASPNVSPAEAAPAPAPKPQIDLEFVGPKADADGLFPVDRAAALSGEKLLRDVMLENKIELYAPYGKVMNCGGGGSCGTCIVEIVGGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKK >OB05G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18256177:18271765:1 gene:OB05G32790 transcript:OB05G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKIDAAFSRDQIPDPIHAVLDTYSSRGSGFPCSVQRDFRAEKRGAKQDTEVKKQRRRAIQLPGRGENRPARRRSPAISIYPGEEEETAVQSFPENREMYVLRRFSIMSIREALGVKNDLGDKNFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCNGLGLTRDEMLKLLPIVDATSSDSGAIDNVLELLIQSGRSAPEAVMMMIPEAWQNDVNMDPKRKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPEDISRKGRLNPGMMLLVDFDNHCVVNDDELKQEYSKAHPYGEWLKRQRIQLTDIIESVNETERVAPRISGTLPITNENKADMGLRGILAPLKAFGYTREALEMLMLPMAKDGVEALGSMGNDTPLAVMSNREKLTYEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLTLKGPLLNTDEMEAIKKTNYRGWRSKVLDITYPKKNGRIGLQQTLDKICAQAREAIHKGYTILVLSDRDFSSDRVAVSSLLAVGAVHQHLVSNLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLAIETIWRLQIDGRIPPNADGEPYTQKQLVEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARVNSREAYKEYSRRIHELNKQCTLRGMLKFRETPIKISLDEVEPAKEIVKRFCTGAMSYGSISLEAHVSLAEAMNTLGGKSNTGEGGEQPCRMEPLPDGSKNPRISAVKQVANGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVINGLRGRAVLQTDGQMKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEVDPEVLKGNEKLENIDLSRLLKPAAESSPGAVQYCVEKQDHGLDMALDNKLIASSRVALQNGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGVTLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAFFNGMAAERFCVRNSGARAVVEGIGDHGCEYMTGGIAVILGKTGRNFAAGMSGGIAYVYDVDGKFRSRCNYELVDLYDVVEEDDIITLRMMIQQHRLHTKSDLARYMLSNFDNLLPKFVKVFPRDYKRVLDKLKAEKAAEEAKQKLEATKASNGISVKTEKVINEKPSNRPSRVSNAVKYRGFITYEREGISYRDPNERVKDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEQGWMVPRPPLQRTGKRVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKVGIVQRRVDLMTKEGVKFVVNAHVGSDPLYSIEQLRSDNDAVILACGATKPRDLRIPGRELSGIHFAMEFLHANTKSLLDSKLEDGNYISAQGRKVVVIGGGDTGTDCIGTSIRHGCTNLVNLELLPEPPRKRAPDNPWPQWPKIFRVDYGHQEAASKFGKDPRSYKVLTKRFIGDENGKVNKLEVIRVEWGKVDGRFQFKEVEGSEEIIEADLVLLAMGFLGPEETVAKQLGLEQDMRSNFKAQFGNFATNVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSKDESEINGTEDIAMSSEGLVQPVVA >OB05G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18274529:18274774:-1 gene:OB05G32800 transcript:OB05G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPVSTRHPGHALFISRSGVSSTGTTTYLDALALALSLLPPPAGACFFLLLFSTTPAAAATAVASWHGGHGSCKLLPII >OB05G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18274536:18276361:1 gene:OB05G32810 transcript:OB05G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLQLPCPPCQEATAVAAAAGVVEKRRRKKQAPAGGGRSDKAKAKASRSDKAKAKASRYVVVPVLDTPEREMKSAWPGCRVETGGDGMRVTVVMKRKDAAELMARLEVRCALERKARMVELNAGLTGGSNGGVMRPCRDGWAPRLASISEIN >OB05G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18279374:18279986:1 gene:OB05G32820 transcript:OB05G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEDVGWYAGKRIAYVYKAKTKSSGTTYRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMYPSSI >OB05G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18283115:18288127:1 gene:OB05G32830 transcript:OB05G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13400) TAIR;Acc:AT4G13400] MEIGRLVRTQPGWAPAAARGRSRRPLALSSSGRRGARCRAMESPASASVHAVSIPFSDLKEKDRDLGGKIEEGLGPSGLGIISIADVPGFPVLRKALLRLAPKVANLPEDVRKGFEDPDSRFSFGWSHGKEKLESGKLDTFKGSFYANPILDVPTTDDVLVRRYPSYCRPNIWPASHLPELEIAFKALGKLMLEVGLMLAYHCDRYVMQQGVGTYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGDSVSSWCGWHTDHGSLTGLSCALFTKNSLEILCPDSAAGLYIRTRDDKVVKVTFEENELAYQIGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGMT >OB05G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18289198:18295685:-1 gene:OB05G32840 transcript:OB05G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPYVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQPWVGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLSHRMGSEHLAKMLSKHLESVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >OB05G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18300358:18304910:1 gene:OB05G32850 transcript:OB05G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADQAAAAAAETEWERDVEAAVPMSPAGRLFRETHFNCYIVAVIGLGSSVDVAAARAGLEATLVRHPRFCSVQVSNEASKRAKPKWVRTTVNLDNHLIFPELDPTATSANPDQVVEDYMSTLSTQPMDHSRPLWEFHTVNDVLVGVTSAALSRYYFRKTSDNSREKRTRRKNIRMRSALLVNIRKTPGLHVRKGCDYDQSTRVYRPALAEMMNSSKNNAARWGNLIGYIVLPFRIAMYDDPLEYIRQGKRTVDRKKNSLEAIFTYWSGNLIVKLFGIKTAAALCYGMIANTTLSFSSMVGPAEKVEFYGHPIEYIAPSVYGHPHALTIHYQSYMNIIKLVLAVDDAQFPDAHQLLNDFAESLKLIRQAASTKS >OB05G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18305978:18308187:1 gene:OB05G32860 transcript:OB05G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAVAVLVVAFAAAATAQMESCNTELPFVLVGNYSGLACQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWIGRKGLPHVKQFSLRGKTSGKVVANRGFLVSNDRDHTVVVQQARIYLAFQLKFSYRLTSQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKTFTDGSFPYGLRRAHGALNLFAWGVLLPIGAILARYFRRMDPLWFYLHVGIQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFILVLGILQILAFFLRPNTDSKYRKYWNWYHHWSGRLLLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAILLLAVIGLEFMLWTRWSKDSEPTPSY >OB05G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18314594:18316241:1 gene:OB05G32870 transcript:OB05G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVGPDCLPLPNGSGGSGSRKPTAATRSSKDDDSPAAASDSKGIGPYLASASASVESKPRTRAPPPPQPPQAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTAAPPSPTTGSTHALTPSILPSVDCLAGRRRRRLRPLFLSSIFFSLPLLPLGPSRIPARGLASSPASGRFRFGSCSGLGSGLPPSHTLVVS >OB05G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18317018:18318576:1 gene:OB05G32880 transcript:OB05G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSGEQSATKAEKQQHRPASDSKAHRAEVVMALAKADSKFQHHAGGSGHSQAAADHHGPSSSSKAAAAATPVTQKFELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFICPGMWLSDVTRSKYIVREKKCTKKLCRANLRWPDEKSLSFLFGSSTPQQQKYRGLKGMESMDSDSD >OB05G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18322378:18326818:1 gene:OB05G32890 transcript:OB05G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLLKDDATEEKGERARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAAECARDALLQRAMDNKEDSDKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVEAVLRLKGNTNLEAIQILKKAGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVEKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDITSTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMKRLGISESFKAKQAVLLSATEAAEMILRVDEIVTCAPRRREDRM >OB05G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18328483:18329589:-1 gene:OB05G32900 transcript:OB05G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAGGPRRRRMLLLMANYAALLVGSVASSLLSRFYFIRGGRNRWVVTLVQSAGFPLLVAAVFGVGGRPAAAPRPFTWFSRRFLAVCVVIGALMGANNLLFSYSTSLLPVSTSSLLMSTQLAFTLVLAVIIVRHPATFVNLNAVVLLTLSSVLLALRSGDSGETPEGGGGKSYLLGFVVTLGAAGLFSAYLPVMELLYREAVSGGFVLAVEVQAVMQAMASLVAAVGLAASWGVADDVSNWKGSPAQYWAVVATLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTLNVLGGVVVFGDPFGAEKAVATALCAWGFSSYLYGEYAKTKKASMAAAAAEESSSDGEGSVHKSLTGGGAAGGQVDSAG >OB05G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18335253:18339703:-1 gene:OB05G32910 transcript:OB05G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSGAYTGTGQYFVRFRVGTPAQPFVLIADTGSDLTWVKCRGDGAAPSASPSHASSPSPSPSPPRVFRPSDSKTWTPIPCSSEACKLSIPFSLANCSSSTAACSYDYRYNDNSAARGVVGTDSATVALSGGRSDRKAKPQGGGAGGPAAYDGQGFEASDGVLSLGNGGTIIDSGASLTVLASPAYKAVVAALSQHLAGLPRVTMDPFDFCYNWTSRGGDLAVPVPKLSLQFAGSARLEPPAKSYEGAWPGVSVIGNILQQEHLWEFDITNRWLRFRQTRCTQ >OB05G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18348974:18354813:1 gene:OB05G32920 transcript:OB05G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEPAATPAMDPGEMQSMLLAAAEFASYPGAHGDDAVRQFLEQFPLPKLLGALQSEGDVPGMDETVAACLDKVFSSRYGASLLPSYGAFIQAGLLANSKNLRQLACKAVIHLLEKAGDSAVAVDTFVQHNLYPLLINCLTEGDEEISAISLDGIKRLAEIPKGFEIIFPPNGQGSVQLDKVAAQSSSMARIRILSLIAKLFAVSTNIATAIFDSNLLSLFEDEIKDRRDMLKTLSSLEVLYELVEHPHSNIFLLKTNLLQLIVDVINDSSTDSIVRSRATLISGRLLSSADAFTAIDQNCVTSLLLAIDKILQMEDSQNTDETESALEALGLIGTTSVGACLLLTDPSNAARHVVDASFDRQGRGKQLAALHAFGSICGADRQEDQIKLDNQAEECLKHLVYATARNSPKLTPSAHLLAMLQQDPDIRIAGYRVISVLVAREWCLMEVCSKSEIINLVTDPKMEMTKLGMEARYDCCVAISKALSSLHLLHEPRLSELIAKLNEAVKRGPYLSERKRVEPQPVVVPAERF >OB05G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18354729:18357654:-1 gene:OB05G32930 transcript:OB05G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MRGFGLVGDGSGGGGGQGGGRMMTAQAAAEAAVGAVGCGYDLTSDLRLSRVKPGGRLVDIDGTSGAHRELVLPGGAGVPSVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNALFDYRGCWHKDAAATRSLCFDGRFVELYAVEAPRSHLPLLDRVKLDVPPFWDPAALAEFIDKYGTHVVAGVKMGGKDVVCIKQLKGSNLTQSDVQTRLKKLSDDKLSQDSPESYNNARDDKFLQGINGNLFGPGSAAWRLFRPSVISMKDVSNHTCTDDDHCVIYHPQQQGINERAMINCSVACPFISQDIVSIHIRRGGIDNGQGHGKWISTINSSPDVISMAFVPLTSLLTGVRGCGFLNHAVNLYLRYKPPIEELQQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLQVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITITGEAVSAEDAAVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALISARLSVGSSAQTQQPPAAGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEARDDPDV >OB05G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18361143:18361304:1 gene:OB05G32940 transcript:OB05G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding SREKYLGVQLAPTLSVCTLFTAAWLGRKTLAVLCGFGLIADVTRMVDCSRLCV >OB05G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18361826:18379171:1 gene:OB05G32950 transcript:OB05G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNIFKLGELQNVSWFQFLPIEPDLSTASERSSKAEQKDALNNIVLSAHLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRHSSVPEMAQHAVAKLRVVSNGLWVAPGNSEEVAAGLCQALRNSLERTLRGLSYARFGDVFTKYYPPTRNQNSFRRAQPTIEFVFAATEEAIFVHVVISARYMRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGKLVGCCPSDLVRQVYSSKLSAATLPGFTQPTICQLRGQSYYVEIALGFPAATTDKVPESENNQIIKELDTVKDAQLSADEHQKLESADSVPVLERTFIYPPEAIMVPMVHQAFVRFSSKRMCSQGCMGNSSWEGWPFWNFSPSSYFLNSSCLGSSRGLGVNSNFLRLRRQKNNNYTSTASSISSVSSTSNGSEHAVAAEGGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEITEVSSRAGKESVDNNQGANGQGRCSWGWDEEGVVMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLVIPGSDCGDATFTDSPSTAMDIPEQRLSPVGLSSLEVFNHQTMAPIHDVVSKVQEPQKDIASPTGSQSVVLSSGRSSYLTKAEALLTYAPEYAAVEISVGEAPTSLFTNPYQPKSIKPGSSSFNSRVYSYDAAQSSQMESGEDKAEKFVRLTSGNLSRDIGSSNLYTVVQVGKKESDKGLKNNEIQSGKEEASRPISGETSLNSSLVSQRKSDSMFNAGYFLLSMKTALATEIECIRFQAAMCRIRHTLLSLRSKASTELKSAFSSLMHTDVNSKLDLVPKYDIKRKENIPARLSIDVDHEVYDRSQIENVGVWRSVGTPKGATPLESFSAKTYTGPSQGLSAKRQPIVDLLSAMALIVQQSTSFVDVALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGTCHSKDCAGVDLVDPLSAEVSESSVISLLQSDIKTALKTAFASMDGPLLVTDWCRGRSNAAESASIGDAYSFQHPTGDIRESSSSISIGGDSMSPPLSSHVMSNDRGTLELEHHRGYHRVRPTVAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTIYEICKLGTHSPQNSGGQMELSPGKYLSSGLVLIECPDQVKIGNSHSSSISSTSEYLQALSKSWTVKSFVTSLTRVIKDIKLNSNILANQKESTSGPCTVIYVVCPFPEPSAVLETLVECSVALGSVMLSPERERKSFLYSQVTKALNCNASVDESSASNVVMLSGFSIPKIVLQIVPIGTLLRLQKPNNELAVLKDMAFTVYNKARRIPKAMTTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMSRETEFDASMRSSVTWDNSWPGRAGGFMDPNKIPDVCVQDDRKYAFEPLFILAEPGSVDYSTGMESSKSNVDTSGSGIYSSISGGGSDSGVEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDSLIFPFGGISSRQDTKVFQSLFIQILQQGCQIMSSAPECSNTRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGGALGQSGNKKQILVEQAGMDSSKGSLHLVRSISLVAVSQDHSLHLTCQADLLARPTPGEGNQSSSGPSSYLEGFTPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLAKEERPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRSFTQESAGRDHEMEMHHVLETVAAELHSLSWMTVSPVYMERRSALPFHCDMVLRLRRLLHYADRHLSQSAEKGDVA >OB05G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18377236:18379602:-1 gene:OB05G32960 transcript:OB05G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMSGGRRRPPFGGRHRLFEYDSQAPRFRPRLPRFVGRRGSITFGLEGYYSSASGELCMVGTGSGRTADGTAVNLFSAVLRVRYPGRANLTRPFVTGSLESTDSPGFFEPVSLVAYAEEGYSYAESASCPPSPTGRLDALQLFEGRKFSCAHLSSLFKAPFRLDYSNGSESTASSLGIHQTFMFINRMRCADDGAVRAYVVFSNQKDASSYYFMLGEKAMVVEGFWDEKRSRLCLKGCYVVNSGPSRADLAVGECGIGMSFWFPAVWSLQERSFSAGLVWNASLKSGEAIAASSSTIPPYFRGSLLGLKYNYTKVDEARKYYENYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVSPDHFLDKMTETNQRLLNVSYDIHYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISSDCEILVTAQFSSLDAKVAQHVKGTIRSLRKKTDPLFFEPLDIASYGLYIDQVDESIWRMDLESTMALISMTLSCLFIVVQLFHVKKVPEALPAMSITMLVVLSLGYMIPLVLNFEALFKNSNKQTVPLSGGGWLEVNEVMVRIVTMVTFLLQLRLLQLAWSARSADVSKDQSWAAEKKVIWICLPLYIIGAVVTWAVHMRSNSNRRMLRKIARLPRVNRHAFWEDLVSYGGLILDGFLLPQIILNACSGSKVKALSTGFYIGSTLIRALPHVYDVFRANHFVPSLRPTYRYANPHDDLFSLAWDIAIPCGAILLSVLLYFQQRLGGAFFLCSKNRKSSEYEMVSTVSS >OB05G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18381616:18384172:-1 gene:OB05G32970 transcript:OB05G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKTKPVNILDDRVDEKYNAFKQFDTVDDHSDHYYSKPELRKVHVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAIIMGPAGTPYHDGLFFFDIYFPPLYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCEMWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAKHANTPHGERSSLTYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGHSILIACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKTALKRLFEELLMEFTVKGADCDKFLAEKAKKSTASRAPADTTLRL >OB05G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18389561:18394164:-1 gene:OB05G32980 transcript:OB05G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 2 [Source:Projected from Arabidopsis thaliana (AT2G33770) TAIR;Acc:AT2G33770] MDLFAIDSDSESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVEVDMFVDLETSSSDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFNDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVSIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPSFQDPKKLTLLSCFPYANWQLGDWCTLSDHEGSLWENSDKSCFMSTPWKSSSDTQKAFGTYGSDYSQTYVVAKTKSSVGVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFVLEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAISVDSDTASSGDGPTEETVSAYELVLHPDFSFCTGEIVIRSAVNIENSEANPTNGTAAVSRRSLDNSAFLSCIGKVLGYKDEGLEVQWASGVISKVQHFEVIALDRILDDSLDSVIEEHTTDDLVDMADQEKMHLEDTKNDLEESPGDCTGSLCKATAFLFPRTAFEFLTNVASSLFGAHDSTSSNSVTVDPQYKIVTTAELQPSPEDLSEEKHAMELLSGIEKPALSSENIMRFDVVTDCSDHHFVKENGHENVKRGWGKKIQQEWTILKNDLPDGIHVRVYEERMDLLRACIVGAAGTPYHDNLFFFDILFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGNEVWNPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFEKFVEEHFTCRAPHILDACKAYLGGDLVGHARDSAYISDDGCKNSSTGFKIMLGKLLPKLVATFSEAGIPCSL >OB05G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18401007:18401649:-1 gene:OB05G32990 transcript:OB05G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGVAVIKLTFSDRKLDQTHTKRGSDEELTNRKEADAGEVVLLHFGRRIQQPCGWIFCMRSRDPVKEGGCTFRGMECRQRCI >OB05G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18408806:18427835:-1 gene:OB05G33000 transcript:OB05G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT4G30930) TAIR;Acc:AT4G30930] MATRRCLLRLLSRRLVAHSTQPLAAASIPTRSLTSLVQPLAPRSPRAALDSPRLFPSRCHFASRSSGDEEEGDDEDHYEEEGSEDEWGEEEEEEAVAAKPPSGKTEEEKVAEAAEIGYKVMGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQTQTVIGRPILPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEPAAVAA >OB05G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18412740:18415449:-1 gene:OB05G33010 transcript:OB05G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFSRFLDLAWQLRHQLAQLIEHVFRYIDWIPQHHEFQFSHCYKRSLPTTERYIHGEDSLLPVGNFKKDQPPNGLSETIVVRKSLSSIWQRFPCFLHGFRLGQQLAFHLRKLCSAVASEIHVKLARLLHRFWTTLQGSSKDIGWLQRTKALPCPVDGTNRFKELLHSIRSGMHHLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLATLSRVAQAELFPWLPLPRFLSASEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDRAEADATQMCEALMAMLVEIGRKKCC >OB05G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18418264:18419532:-1 gene:OB05G33020 transcript:OB05G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQQRKHEVDRATVAWAVAALSKWMRARAAELPANLLTDERDDLLILQLSLRRIPASPATKPRLLPLPHPVLPADSASVCVISDDRPKSRSPAASDLVDASRSHHLPVSEVIPLSTLRTDYRPYESRRRLAASHDLFIADRAVLPLLPRVLGKAFYSTKKAPIAVDFARTGWPEQVRKVMNSTLLYLRSGTCSGIKVGRLDMKEEDIVDNVMAAVAAAVEKVPKKWANVRALHLKAVDSVALPIYQLVPELGMKIEVPVTPQLEGEGGSGEIVDAAKVGTPVKKSKGKKVALKYVKGEGVDEAAKGSGKRKRGKKQQAEHLTEKRKKAKGTSADETELKASKKGKEKSMSALGNQEEDQSVDKKKGRRRNEPALEEVSNKKKKAKKEDSTHTLNELENDIVEKSSKVKKSNGKTRSKLRV >OB05G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18424118:18427835:1 gene:OB05G33030 transcript:OB05G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDGLELSLGLSLGGSSGKSKARESPLEPKAEPQVEESSSKGGSQTPEAPFVHYYQTNTENQEHSSKQRHSPAAPPFGKFWGQPGSSSVPAADGSSEPNRQSQLPRYQEGWISNNTGNNSDEQKPVSSKRKLLSEEISFQKKPNTATEQPDAFSKSSDGVVKNTPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDATVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNAVAVPYQVPAHVSAPPGITNASNFPPVCTVQLRPPTNNGLAVTMSGTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAQDKLERAGTMQADDGKKTQEAGASSSALVEDDKMSDRALPLMGSAIRPGIAPNVKFGGSGSCPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTAEEFMRHASADAPAQENTATLPAFPVGNQAASAQN >OB05G33040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18428755:18435955:1 gene:OB05G33040 transcript:OB05G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase beta subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G72550) TAIR;Acc:AT1G72550] MPTINVGRDLLHVALGRTYTQEEFEVLCFAFGIELDDVTTEKAIMRKEKHLDDDGEVDADEEVIYKIEVAANRYDLLCLEGLARALRVFDGTEAAPMCKISSIPRNSMIQMFVKPQTSQIRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTMFSEYCKNKFEVEPVEVVSHDGTKTIYPDLSCYKMEVSLSEIVGPIGISLDETQVISLLNKMQLQAESCPSKGEPRIAVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFILCSREENFDMLNRTHDVNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDSSRDVGASNNRRLAALYCNSQSGFEEIMGLVDRIVKVVRAPHVKFGQNYYVPTNEPEFFPKRQCKIVTSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >OB05G33050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18435901:18438164:-1 gene:OB05G33050 transcript:OB05G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRAAVFAVAIFLLATSGEAFFDVFSIFRPRSESDYFQNAFDGSQEQVMPQQQIEQEEQGAAPATATGLTKVPPLGPPSKAAQDSIVLPVDHAAGPAGTWTIASENSGVSAMHLVVMRHGKAVMFDTSTTGRSLMRLPMENCRADPRAKEAGTMDCWAHAVEFDYSTNALRSLKIVTDTWCSSGALDADGNLVQTGGYFEGEKAVRHLGACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGQTTGQAVQFPLLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVIFDYRTGKVVRELPKLAGGGRNYPASAMSALLPLDLRNLSRGADPEPEVIICGGALKTAFRLGENNTFQPTLRDCARINLAKIDAQWAVEAMPVGRVMGDMLVLPTGDLLMLSGAAKGCSGAVLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFSPPYLSSELAGNRPVIDIASVPANGMKYGAKFTFRFHTPVAAVVEADVRVTMYAPPFTTHGYSMNQRMLVLPVTGFTGQGQMYDLTVDAPRKPELAPAGYYLVFVVAKDVPSSAAWVKIQ >OB05G33060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18440155:18442416:-1 gene:OB05G33060 transcript:OB05G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGHGAGGSKDGGSSDPRKRKSDKKKDDKPKERKRGHGVAMLEIMMRLQSEMAELNKQNSRVNQGPSNQIPDGTTDGMDIAAIRASSYPWSMHATVGPSIPYYPPNPVMAYGCGNAVRGASSGQLLSTPFRPLGASGAVYYPYSNYTMLAPNEVTMTQPLSEEEHAIDFTREEEGHNTSVVESTNKNSDDPEDPDGPDLQLKL >OB05G33070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18445958:18447978:-1 gene:OB05G33070 transcript:OB05G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRPFGSRKGKRSAGSGSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQINPPHLGLQQPPVPGIGSLNLQEDARSSNSLSSSPSSSSFHANINVSSPYPIHPNLAMAYGGSRSGDIRYGEFQSTNPIIRSPPNHEVSYGAGADHYYSHPSSDPTLSLFEPEESIYLRRQYYSLNQPVDSMNPDDPEDVDLELKL >OB05G33080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18454483:18455637:-1 gene:OB05G33080 transcript:OB05G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQPGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNVAVGGGGGGGGGGVKGGSGTPCGDAAASPGLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGARAPSCSARCRRAAAWASRGTASASPDRAAGPSASLLQVGPCPQWLGQCVGLGGGRWGRAG >OB05G33090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18461427:18465260:-1 gene:OB05G33090 transcript:OB05G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3M9N5] MPPPPPLEARDYIGLGGSPATPASSSSSSPGEVGAHLALRLGLPGSESPDAALTLGPAPPPPPRGGAKRGFVDSLRRSESRSSDGAVREEKGIAEAAAGAPPAAKAQVVGWPPVRSYRKNTLAASATKTKGEDQGKSEAGCCYVKVSMDGAPYLRKVDLKTYLSYEDLSLALEKMFSCFISGKNSLHKTSKRDRLTDGSRADALKDQEFVLTYEDKDADWMLVGDLPWDLFATSCRKLRIMRGSDAAGMAPRSLEQTGQNK >OB05G33100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18474400:18478311:1 gene:OB05G33100 transcript:OB05G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3M9N6] MVSSRRNTGALQRDGSVRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLLSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFLCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGVVDRGMMDTKVKELETIVVEKEVDIIDESEVAESPPVPAMLDDEADFVESSPAIPDINDLDIPVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFETAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNSAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGSRVTGWNTVQKKGAARRFPIGFSGFAFNSTMLWDPQRWNRPPMDSVIVHSGGRGGLQESRFIEKLVKNERQVEGLPDDCNRVMVWNFNLEPPQLSYPSGWSLHNNLDSVIPVT >OB05G33110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18482612:18491336:-1 gene:OB05G33110 transcript:OB05G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) TAIR;Acc:AT1G79830] MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKHDDEEGSGSHTSNSDRIGFFNPVMAFMGNNGEDSTETSEKQQPPKSTPTAEQNQSASAGPPTSRADASEESGSTQSPKQPSEHEETLISSTESPVSKAEVSEQPTTLKTSTPLSATEEKPTGSTESPTRVSETPQSPTNLSTVEENGGSTEAGNTIETENQDHQEAKYSGPNDEALESQLEQFERDISDGTKPSSHTELDQSGNMGAVEYLHSGIGDTDDGNAIQSQPVESIIANSDDVNEAVKIVQGHNDQNEISSLQESSDSIDQASQVEVKERDESTNGAENEEEANQTEAQAATIVEREDNTLVQLEDLSSKSITVKNDANSQNESVPTSADVPVVPVEVGSTSNDLRKEDNIQGLVTTSNHLESAGSIAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSVEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVMAEGEELSKKQAAQEATMRKLRAQVRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNNEAKVELESRLREACEKENMLIKTIEELRHALTRQEQEAAFREERLKSDYDDLQRRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARRTEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRARHKKELQEATEHRELLEKDLEREKAARAELEKISSHEAPKIPLPDQTRNAPMRKLSSAGSINSLEESHFLQASLDLSDSTSLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYTSRLASLESIRNSLAEELVKMTEQCEKLRTEAAALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLQSLGAHV >OB05G33120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18493825:18496318:1 gene:OB05G33120 transcript:OB05G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSSMSGRRLAVRPSPSALCGRTARRPRAAVVAKYGEKSVYFDLDDIRNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLLLGGGSLVAYVSASASPDLLPIKKGPQLPPTPGPRGKI >OB05G33130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18494154:18498545:-1 gene:OB05G33130 transcript:OB05G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLDRFRRRRSASSASSSSPLSLASSDDDMGSPMDPAMPPAAKGGLSRSCGSRGRLSFELPPLAGGPSDKEEAPRPSSSAPAPAPTQARPAVLHEGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMASDLKARWRREIDWLLSVTDHIVEFVPSKQVSEDGTTMEIMITQQRQDLQMNVPALRKLDGMLLEYLDNFKDKQEFWYVSKDADESEKGNTPREDDKWWLPVVRVPPCGLSDASRKWLQNQKDLVNQVLKAAMAINANVLMEMDIPEAYIESLPKNGKSTLGDSMYKIITDDYFDPEELLGSVDLSEEHNTVDLKNRIEASVVIWQRKMVQKEGKLTWGHGVKFEKRERFEARAENVLLLIKHRFPGIAQSVLDISKIQYNRVLKSTCLFGFTTALLFTQCINLLC >OB05G33140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18501122:18501364:-1 gene:OB05G33140 transcript:OB05G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPQNVPILTSHNTRNHIHHFTPFLICQNCAGTHDAMVCAVLAATDSIQNICSQPDGTQPNQKALLRPAQTPMMCIDDF >OB05G33150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18501092:18504409:-1 gene:OB05G33150 transcript:OB05G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLSSLVLVVLTLQAAVSSCSSLDLSEQPSNRRLLQDRNPDLLHRDHLPSVGSSTFPRSDQPRNDANGTPHSSSSSSSQSHEVHAKKESSKKGSKKWLYTVVIPVAAGVLLAGIAWMFSPCRKRSDATIGPWKTGLSGQLQKAFVSGVPQLQRSELERACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHGEDCFRKKIDSLSRINHKNFINLLGFCEEEDPFTRVMVLEYAPNGTLYENLHDESFDHIDWRSRMRIIMGVAYCIQHMHELSPAKVHPDLHSSAMFLSEDSAAKIADLSVWQEVVSEGKMPRTNGDHQEPISAGLAGNVYSFGILLLEIISGKLPYSENEGSLVNLALGCIIKGRSIASLLDPKLESHKENELDLICQIILDCIQSDPKKRPSMREITTRLREAIAISPDAATPRLSPLWWAELEVLSPVEAT >OB05G33160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18504945:18505133:1 gene:OB05G33160 transcript:OB05G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTHTLIPQLKKMVHVILAKKQSNNHCVMLAAGFLPAAAMMVTGDDANPELVLAICMATSP >OB05G33170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18507464:18511021:1 gene:OB05G33170 transcript:OB05G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVWEEAEEEDRWGGLLPELVAEVVRRVEASGGERWPARKDLVSCACVCRRWREAVADVVRPLPESGQITFPSSLKQPGPKDFPIQCVIERNKKESMFYLHLGLSNATMDKGKFLVAARRFRRGPQLEYIISLDAGGLSQGNNAYMGKLRSDFWGANFKIYDSQPPYDGAKASSTRSSQRFESSQHFGSRRICPQVSTGNFDVGQVTYKYNLLKPRGPRRMFCTIECPSTQETWENSLKTKSLRRTRTILLKNKAPQWHEHLQLWCLNFHGRVTVASVKNFQLVAAADPSHPDSVGDEETVVLQFGKVDNDIFTMDYLQPLSAFQAFAICLSSFGTKLVCE >OB05G33180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18509360:18511254:-1 gene:OB05G33180 transcript:OB05G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKGSTPRSSEPVSTTVATDNGQEVAASHFISQQASQLDEAARKRLQRMNERLKLLEMQMETLEAGVAKASSDSYE >OB05G33190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18517052:18517567:1 gene:OB05G33190 transcript:OB05G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPSYRRGSPLPAAALIQQEAAAAAAAVSDSEDSEGPAGNNNNNAQQSPSQSVSSRSGNSNKRRSREAVAGDDGGFRELARAIEAFAEMYERVESAKQKQELEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRHKKHAGTAPDGVGAVEMVSSVAALPFLSTSTYL >OB05G33200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18529767:18530957:-1 gene:OB05G33200 transcript:OB05G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKANAGPPAVIPSIDLSAPGAEAAVAEACRSLGFFKATNHGVPAGLADALEAGAMAFFALPHQEKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSVSSSSVPSSALPPSLRVALEEYTRAVREVGGRVMELMAEGLGVAAEEREVLRRMVVGREGSEEMVRVNHYPPCLLPAGRDCGVTGFGEHTDPQIISVLRSNCTAGLQILLRDARWVPVPPDPDSFFVNVGDSLQVLTNGRFRSVKHRVVAPEGRESRLSVIYFGGPAPSQRIAPLPQVMREGERSLYREFTWGEYKKAAYKTRLGDNRLGPYELKHDAAK >OB05G33210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18540318:18540578:1 gene:OB05G33210 transcript:OB05G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKASIMAGVAVLVCVILLVLSSAVTAEAGRHREEGRESAATAAAAVAVRGRFRKVMREEKLDDGGAIGESKRRSPGGPDPQHH >OB05G33220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18553240:18553455:-1 gene:OB05G33220 transcript:OB05G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLGSPSTAGYSFLSDQTIILHHPPCMHRCLHCRASRCMMVCTCECVVYDRSSSISGDAAGQVRRGPPTWL >OB05G33230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18554018:18557098:-1 gene:OB05G33230 transcript:OB05G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPICPPSSDSAPSPGLGGRALIFHFHGLALGAAVAAAAAAAYLYRRPGGFRSRAVGIIPARFASSRFEGKPLAPILGKPMIQRTWERVMLASSLDHVVVATDDERIAECCRGFGADVIMTSESCRNGSERCCEALQKLDKNYDIVVNIQGDEPLIEPEIIDGVVMALQRTPDAVFSTAVTALKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >OB05G33240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18560746:18564704:1 gene:OB05G33240 transcript:OB05G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQAENLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANVNFRCLEKHVKEVQKSVQVLQDKQDLAETQKELNKLQILHEESAQKSEGTAPSVFMKEIEGSMPAAKPELALVPLHQVNAVQSPALQFQSCNGLVLQQLVPVTLSTQQDQQHMNQATMYCMQNQTHVEHRQAQPFQPAPQSVQRHTPNPPPQTVVEVPQSQAPDFYLQPQQQWPHQTGQQVHPQARQPQPQVVQQQHYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMGAQPSYSTISSSQRNHHEVAPIYVQSSSISVPLAEHNIQPQQPQQLQSLGNGSFKPSKVSVHGVASYTVQGNGQVYNTAYGCPSNNAAAVVAVLPQQAQSSSPMVLHHLGPQSLQNHPIDMVEKVARMGYFKDQAESIALRMASAGQPVEFKQLA >OB05G33250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18566443:18569384:-1 gene:OB05G33250 transcript:OB05G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHAGAATPPRSPHRAGMEEMDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSSSSNTTNISADPLLSSFGLSFATSDVEETSKPPSSIPDDASMVKETPAQHWVSRVDSSLTSEEREQKRKQASVRATFVQDLLLTTLFGD >OB05G33260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18572411:18574156:-1 gene:OB05G33260 transcript:OB05G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILGVDKAATDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGPGMRAGGPRFSSSIFGDDIFGSAFGGGADGHHGMHGGGGRALKAPAIERKLPCSLEELYKGTTKKMKISREIADASGYVTIPVEEILAIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTVHLTTLDGRSLTIPISSVIHPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPSRLTSDQKSGVKRLLGQ >OB05G33270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18576316:18577062:-1 gene:OB05G33270 transcript:OB05G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAMASSSTTSSASTMAAAAEATQREDVESRRGGDEHGHGDSKRRPLLVKRRSAVGGGDDGDGGGMSPVQRAISQTYQSTAHLAKLLPTGTVLAFQLLSPIVTNQGHCVQANRAMAATLIALCALSCFVLSFTDSFRDGATGAVRYGFATLGGLWVIDGGAPLDPQAAAGFRIRLLDLVHAVMSVMVFAAVALFDQNVVSCFYPVPSEGTRQVLTALPIAIGVVGSMLFVSFPTTRHGIGFPLSPH >OB05G33280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18583504:18585142:1 gene:OB05G33280 transcript:OB05G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) TAIR;Acc:AT4G28500] MTWCNSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRNLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDEDGGETRWHKTGKTRPVMANGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTTAKEASAAAAAAVATATAVNNNYSGHHQGGSFLKDASVVHEFYDPAATMGYRPPAAPPAAHFAPNFAVHAARNSFGP >OB05G33290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18584990:18592471:-1 gene:OB05G33290 transcript:OB05G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGLRSGSGGGGSPSAVVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGIDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGHFAPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPLNNFRPEFKSGLDALTKFVFDRTRPKQLGASTMTGPVLAGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDSLREAHEDALKKAVSVFSASAVGAGSARSKFEKLLQTSLKKAFEDYKRNIFLEADLQCSNRIQSMESKIRTACNRPDAKLDDIVRLLDGLLTEYESISYGPGKWKMLATFLHQCLAGPVLDLFRRQIEHIDAERNSLRLKCSSNDDKLALLRKQLEASEGHRAEYLRRYEESINDKQKISKDYSGRIAELQTKSSKLEERCVSLSSSLENAKRESVDWKTKYDHNLLQHKADDSKLKSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVGEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEEEISRLNTKINQTEIHATNLISRLEATESKLKNHESDSLALKEEIRSLTVSLESIRTEALSREKEVKILEQEKNHLQEKYLTECKRFDEADRRCKEAEREAKRATELADVARAEAVASQKDKGEAQRLAMERLALIERMERQVESLDREKNKMLEEIERLDKSEKDAVSKVALLEQRVDEREKEIEEMMQRSNQQRSSTVQVLESLLATEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEGTESVHDMDIDDENTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >OB05G33300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18597741:18597947:-1 gene:OB05G33300 transcript:OB05G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKVTLQYYRDSRDVKARVLEMMHMLHHTLIFSRLNRSSYSQHVSLDLEGPGICIWQPMERTMISMNGR >OB05G33310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18606945:18607253:1 gene:OB05G33310 transcript:OB05G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIPGGTTTRGRYQHQSGARPASQQGAERKGARVPRAMCSGRERERERRRRRQRLPAPHVEGSLWAMMSFLRAAAAAASSCKRQRLTGTTPDKSEGKVDV >OB05G33320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18611378:18616507:1 gene:OB05G33320 transcript:OB05G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3M9Q8] MTGIDLNTVEEDEEEAAAVAEEVVVAAAVNCSQSAAPARTSAADPATDEVYAELSLVPEKEEVVRRTDDANAEEGDAMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPAQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKVRYESEDATERRYTGIITGSGDTDPIWHGSKWKCLLVRWDDDTEFRRPNKVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPHGGGCPDFAESTQFHKVLQGQELLGFKSHGATAAATSQPCEARHLQYINERSCSNDASNNILGVPRLGDRTSLGNPGFPYHCSGFGESQRLQKVLQGQEVFRPYRGTLVDVSMGSNGFHQQDGPRTPGVVNKWHAQLHGRAAFHGPPALPSQSSSPPSVLMFQQANSKMPRFEFGHGQLDKHENDRRVRFGPSEGIERTEQRISLQPNPASGEVSDGQTIVEKSHSPGRLGKDGTDNKALGTNSCKIFGISLTEKVPAREELDHDDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >OB05G33330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18618520:18619149:-1 gene:OB05G33330 transcript:OB05G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLNKLTNAAERESSESQALIADIRKAIGEIRNVAVDYEKDGKSDKVKKLEEAALELVASNVDCTCYAEAIREVPRAYQPSNQSTDFEKLIEAEVNKVKADSSTSVENHPLIRQFREAV >OB05G33340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18623664:18624341:1 gene:OB05G33340 transcript:OB05G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQQDTQLNSQLNGTATGFTVFAPTDGAFSNLKAGTLNGLSAQDQVSLVQAHIVPKFYSMDAFDTASNPVRTQASGADGPYTLNITATSTNQVNVSTGVVDTTLGNALRADQPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXACRLRRQLHRRRPGRRQGRRVGPGCLGGFCRFSLVRFNVQDKSHRATG >OB05G33350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18627500:18628069:1 gene:OB05G33350 transcript:OB05G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYNGLTMFAPTDAAFAALKPGTLNSLSSQDQIQLMLYCVLPRFYSLSMLTTLSGPVNTQASGADGPYKYKIKPSNNNVNISTGVNWALLSTVVSKDFPLAVYSVDKVPLPYELFGPKPPTPAPAPAPAPSKSKTKKHKKSTGIAEPPAADDTTAADTAKKAAAAATGVSRWVAAAGVLGGAIFAGLF >OB05G33360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18638454:18638756:-1 gene:OB05G33360 transcript:OB05G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKKKITAQETTTGHAMSYTSPIHSRSSTAAFVRATTHSSNERDFCFFFFFLFFFCKSMHRCTQHQMLNWQITANLSFISPIHLFTWSKIDILGYKMI >OB05G33370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18642796:18646225:-1 gene:OB05G33370 transcript:OB05G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTSMLEAKGEEILSWCDDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLVVASEVNQIQIQCGTDVGSDAKGSSVHQKGIGIHDYDNIHCDDQMKTDCQLNYFEEDKSTSSPNTSSHFVRSDTGEDKSNSEAAKFEVVSAEEELDMLLNTLGGTRLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTLSKSLVTVPCDDALDDLLSESSLTVQNEGFSETDSTSKPTTKDHNIEIRYANQIDITTSIDDLVDDLLTDTSLCLNEQKQITSAQGKDKISSGSIAPNSGPSNASDDFDSWFDSL >OB05G33380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18653556:18654269:1 gene:OB05G33380 transcript:OB05G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLGDAALPFADVLRFPGTRAVEIGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRPLPPRPRRPRPHGRRRGPPRAPPEPPPQPPPPPPRSPPRAASLELPRAPVRARLPAPLRPRRRRRRRLRPGVRSPPHRRHGCPRRRRARRGAARVPGPVARGAPGLLGRRAGGLPGDREDSTGASGPRLCLRRI >OB05G33390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18657438:18658316:1 gene:OB05G33390 transcript:OB05G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPRHRRGNRDRDDFRPRDRDGYRGGGGGGGGDSYRGGGGGGRRGGGSRYDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKQ >OB05G33400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18661737:18668966:1 gene:OB05G33400 transcript:OB05G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTHQVVSPENQVQPESFYNGVAGSNLSSLGVQVNVGVPGSTDFRSHYESINLLHQHVQNSYPHVGVASSSVFPSTMYNPCISTTAVSRYVPPIQCFGLGNPQLLPLYHLVSQGSMDESGSSGSFSDRVREFIKRKNALLAGGHHFVSSFASSSSSANVPQNPSHRSWNTSFESNILPSTGVSNPPGYSSADGLKRSNYVFPAGHMSQSWIVQAANRTGGVPQWERGNAVANPPGGFVHSGTVDMPNGGLQGYQAGHSAIFYGPLPHFHRNPMHIMQDPALFNIQMQIPPQHCLSNNLLHHTNPSGNGLPLDPRFMAISSNSVPTFGPTAQPAIANQVNTGSLRIQPYEVAPLVDLSRLYEAGVDEHRDMRLDVDSMSYEELVALEERIGNVNTGFTESYIKENLKTSSYVPNTSCMPDQSYVENDACIICQEEYEAEELIGTLGCGHKYHVTCIKEWLMVKNLCPICKTTALPADGRSR >OB05G33410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18669792:18671357:-1 gene:OB05G33410 transcript:OB05G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKTACCSS >OB05G33420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18678622:18680572:1 gene:OB05G33420 transcript:OB05G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNLACNIRQLQQQPDMNGNGSGGGGGSSSPSLFLSPSPPVATSGKPSLISSGCEEGTRNPEPKPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGQLTSPESTSLLLQWPPGQYMPATELGGVLGSHGHNPAVTHHQPSISPGVLLAGLCNEALGQEIMDDMSCSKQGFGHYMDMSCTELSSKTDAVSTVIRDDEKARLGLLPYGVGVTASATDPAPRHHHHHHHHHLASPVHAAVAAADAPFTTTAAATPSSNVVASNSALADQLQGNETRLGYVKSPVHAPILSCYMHMLAVDAKRDISMTEG >OB05G33430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18683699:18684033:1 gene:OB05G33430 transcript:OB05G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTTSYSFPAMMHLNVKMFGEAAVLVRNSGETVLVDNSGVTVEPLQQGATYYAVLATEDVVQWST >OB05G33440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18686926:18687753:-1 gene:OB05G33440 transcript:OB05G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAAPGSNQPRDTSNILENVWASIMTGCTPKTSSVVSMASEEENSAILQRLPSLGRWISMGAQEWDELLSGPALASDSSGELKLVASPGDQDGHRQVIVVNRTTTSSSAAAACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFATADEAALAYDMAALRMRGHRAHLNFPLDTVRGHLERELRAGRSDQTTATRVLRRKRRRNTTTDSAAARSAVLAADVITATNRDQMLSFASESDQISTQKCSTGDAGAIDFDEIGGEYWDYLFPPLV >OB05G33450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18690101:18695234:1 gene:OB05G33450 transcript:OB05G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGREQEAAGYSSPTGDLPPSAPPHLQGQDPRQYQYGTFQPPPHHAASGDFGRPPVGFPQPAPPPGFGGGGGGDGHHHHHQQQPYAPAEPYYAQGYQTVPGYGPIAEGRPVRMRRLPCCGLGLGWLLFIAGFFLAAIPWYVGAFILICVRVHDYREKPGFVACTVAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGSIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >OB05G33460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18698533:18700235:1 gene:OB05G33460 transcript:OB05G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGRKRLLAVLGSCTVLLFLTPTQCASSSPDSLNQSYKTVQPLELTPKLSLQLKLHAFLLWSSVGFLMPLGVLLIRITSNVKSTNSIKILFYSHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLVLYGFIWLQPLIGFLRPDRGVKFRSVWYLAHWLLGIGICVVGVANVYIGIHTYHERTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQQEAAALGDDDEQSEEHAYPANDHKEVVP >OB05G33470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18700886:18703839:1 gene:OB05G33470 transcript:OB05G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein G [Source:Projected from Arabidopsis thaliana (AT2G34470) TAIR;Acc:AT2G34470] MASHDHHHHHHHHSHDGGHSHGEHHHSHHQDDGHGGAGTGAGSWGGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLTSRRFAERAFTVGIGGPVGTGKTALMLALCRFLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRR >OB05G33480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18701305:18717252:-1 gene:OB05G33480 transcript:OB05G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAAGFAVTTPTYMGPPGSGQSSKIANQIAVAGAVVGTSEALAFANAAGLDAPLFLDAVSKGAAGSRVMDIFGERMLNREFVSGGAVRYIIKDLGMALETEEGPEGAKALPGAAIFRQMFSAMASNGDGDLGMHALITVVERLNGIRNAILSLSLQKTSEEESGTGARMAAAGSLGLLQVPSFTASRPAARRALFAVRASAADATKDSVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFHSAVEAGAKMIEIGNYDSFYDTGIEFSSEKILKLTRETRGMLPDITLSVTVPHTLSLPDQVRLAELLEEEGADIIQTEGGKCSSPTNPGVLGLIEKATPTLAAAYSISRAVSIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMVAEVKSIAEALGLPSRNVPNNLRTVHH >OB05G33490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18745218:18747230:1 gene:OB05G33490 transcript:OB05G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASHDHLQLGGGDGFPFRDELASLFAQRPGDGGMTGLLQQHTWPTSFIDYEAFVGELDDDVPPAAGPLLDEVKRELVVDCTTAGVGLSGGGGGGAAATVGPMTPNSMSVSSTSSEACGAGGAGGDEESAGKCKEEGDGDDGKEGSATTKGDAEGEDKNKKGAAGKGKGKGEKRPRQPRLAFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRYASCSLIFLLRRLNVYKLKI >OB05G33500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18747458:18747775:1 gene:OB05G33500 transcript:OB05G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHQIPATLRGTAHLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRR >OB05G33510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18754652:18760612:1 gene:OB05G33510 transcript:OB05G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSVAPWPPNDMWDQRAPPHSASRDQFHTSDAATTGPTALRWSHMSVAVELMMKNNYYNDGDTGSEFYECFSNHYSQINGVDPFESGDFSNYEEPAGFFLPYGVPHAYLRTHVAGQDIKIGGGADFMDDRAFTLLKGLITETRASSPYYEGAHQLNSLNYVNEDGNGIPSATNPTWELSQSCDLLDHSLTRDSAPFSVDTSSGVLTNIGALNDFMPINELAIKSGRFGVFPSIEKTEESSIAIDEANSYGATASLYSNNIYFSHWIDQNLTGPLPDLADLPDMYPTSNLPALPAPRKSITLVLDLDETLIHSSTNDCNGADFSFPMCYGKKEHTVYVKKRPHVDTFLQKVSEMFKVVIFTASVSSYANRLLDILDPENRLISQRFFRESCVPLDGRYIKDLTFIVADLAKVAIIDNSPEVFRLQEENGIPIKTWTSDLDDHSLFELIPFLEVLAAADDVRPIIAENLGRHRSII >OB05G33520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18762983:18768472:1 gene:OB05G33520 transcript:OB05G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family [Source:Projected from Arabidopsis thaliana (AT5G46420) TAIR;Acc:AT5G46420] MAPPASASAPVSLFLSRPHSPPSCRGLRRLPAPHTYVPPRRLALAPARPGAALALLSSLSEAQEEEEEEEEEYEDEEEGEEEMVEVGYVSGAHGVRGDVLVAPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKGWIVTFDGIDTVDEARQIVGSAILVKSGDRPQMEEDEIYSLDLVGMRVIVKDTGKLVGTVAQVFNFGAGDLLRVMVGGNEYTVPQPNSENQDSTPTVEHVWIPFAEDIVPDINMESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPVGSLSKNMLVNSSSSRKKLMRIPYEALMNHEEIVNLSSELNEGIGILQKSKAATILITNESSTLDVEFQGLLNSFHKSVKVEETRGSLPFVIVCPAGHVESVQNCLVENDYFGLDTQKVWVVEEIKLPIVSMPSKLNSRRILLKSPWEILQEPAGSGVIFSLLSSNKIWDTLNEMDMEYVQICSLSNKPIIGHPLLFGAVNSFGADAGLMLSKSSKEIQDDFDLILSMNHINKVCRDVTKLRFSAQPEQRAYVEYYDGQWVSVQPEAANCHRLHSEVSSVLNYCSPDKVCVIEIVEQ >OB05G33530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18768823:18773895:-1 gene:OB05G33530 transcript:OB05G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3M9S9] MPEANAGGLGTRAAPEQRSKNTTEMDFFSEYGDSSRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKITNIFEHLSDAARILREVKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPVPFSEKFPNVDPLALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQSISKMEFEFERRKVTKDDIKELIFREILEYHPQLLKDYMNGSENTSFLYPSAVDNFRRQFAILEENEGKSSALDRKHVSLPRATTVHSTAVPPDEGPDATSQIPQRIPTARPGRTVGPVLPFENPSVTDSHNAWRVGRNPVPQAASNKPVYSYHLKPDYSSTQHQKELEKDRAQYRPAQQMMDAKVAPETVPDMRPSQYYITRSAPKTDLTDRAALQGSMLYGIAPFNGIAAVAGGYSKVGAVHYGATRLY >OB05G33540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18783048:18784292:-1 gene:OB05G33540 transcript:OB05G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQIPFANFTKLSFSVTDQPEDLLLCGAVEYYDRTFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVNFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVTRCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEASNTAAGATVGEGEKSAEATAA >OB05G33550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18786423:18788310:-1 gene:OB05G33550 transcript:OB05G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVSAGATAVLFLAKGTAIHKSFLVPLFALLAPCSVISWIKSDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSIAPHQLMNLRGTQGGAALSLALAGYLAFQHFTRVGGLGKAFDQGSIIATLAIICITVIPLLMLF >OB05G33560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18796575:18804611:1 gene:OB05G33560 transcript:OB05G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRATSNAASRGVRGGTDRGGRNSSFHSSSIDNVASRSISGPGMTSINPTQKQTVPSSSVNKSVVADGPSVPPQSSSGFQHGWSGTPGQLSMADIVKMGRPQAKQSSSKPAVSADKGYAGQYPSLPTTVNQNLKQSATTVLPTDPDQGLHSAQDSIHVKDHNLSAAVNKQTYDNDWLLVDRPKDEPQSGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHFDENKPAAIASVNASERHLEHHGGNSEYNDELLQDSSTYLPQKNSLTEDEVEDSNADVDLATENFQGLILHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRIPDDNNVLPVLEESVPIDQIDSRDQDYYDSGTVTSPGNENHDDSIIGSNMENLDVPSVSQPDVLRQEVLDHAGLQYNLPSDSSAAYANTTQPSTMDSSQGNAAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFTLSPLLAAQSMATKYNSAAPTTTGPAISMQEALKPGVFSNTQSTQNLPSTSVPTGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSPAAAVPGGAMKYNMNVLPQQYKNNLSATSLQQQPSSVISGYGGFGSSSNLQGNFNLNQNAASASTNLGFDEALSTPYKDPNQYMALQQGDNSAMWLHGAGSRATSAVPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSGLTQEHHQNPAEGGGLNGFQSAQSQPSHQGWQQHTGY >OB05G33570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18812979:18818581:1 gene:OB05G33570 transcript:OB05G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVALVLLGAVLLQALLPASAAEGLVRIALKKRPIDENSRVAAQLSGEEGARRLGLRGANSLGGGGSEGDIVALKNYMNAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKSNHTYVPVTQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAEIKSVVDDEAGKSNGLHSDAMCNACEMAVVWMQNQLAQNKTQDRILNYINQLCDKLPSPMGESSVDCGSLASMPEIAFTIGGKQFALKPEQYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >OB05G33580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18820131:18823735:1 gene:OB05G33580 transcript:OB05G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVYLGVKRKNVVVGGGGGARGGRPPLVPPSAVAAAGLGYGGMTKEGAYQERRAVVAGEMDFFKTAEKRGERKEPPPLAPAAGHAGASPDDLNLNQDDLTINMGLHIGRRRNSGSEESTVDDGAYSDEDYREAKATLAITKSELGRVSDENKQLKNMLNNMNTKYNSLKMQFALMQQRINQKSSLVPPIHHEQLVDPEKEQEGSQQQQQQIPRQFISLGSAVLQGDVEAPHSVGAGNPDAAVPPAMMPLPHFDHHHHHPIHGRERGGSPVEADHHHRHHQQEQQPQQLPPQSWLPADRAPKFLPGKGPEPVAEAATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGHHNHPLPPAAMAMGATTGGGGA >OB05G33590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18826522:18826752:-1 gene:OB05G33590 transcript:OB05G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCKPNKSQRDEISNCSSADSTATTKMSKRDYALCGTTKPRNLTPRLAMRAANEFLKVKLIRTVCSTGAERTFHM >OB05G33600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18826520:18830847:-1 gene:OB05G33600 transcript:OB05G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G66470) TAIR;Acc:AT5G66470] MELGLALRLAAPPPCLSRRAAPPPPRSFPPCASREICTRASTLKCRVGVVSGGSMAYSAVEEEEEEEEEEEAAAVTARPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVVDACKMPEKIDEMLEEGVGKKDTELPVLLVLNKKDRIKTGEIAKRLEWYQKFTNADDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVVSYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEILVKVKENWRQDELLLKRYGYGGEIRAL >OB05G33610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18831112:18835797:1 gene:OB05G33610 transcript:OB05G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSALVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVDPDPKKVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEAQTPLEFLGSVNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSQVTQKSDDIPVEGNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDDLGDSENEGIQTRESSDEEMDSDKEQERYDAQLEEMLDEAYERYVTRKGGEVKQERKRAKRVNPDADAELLEGGEDDGDDVDMDQGSDEEQAKETNPLLLSLDAEKPTKEQIMEQWFSQDVFTEAGTGVAEQSDSEDEREQLTRIAKKKVVAEKKEKSAKGKRLQQDDFEIVPAEPVRAEDDSSSSSDESDDPDEDLDDNTKAEVLAYAKKMLRKKQREQILDDAYNKHMFDDEGLPKWFAEDEKRHNQPMKPVTREEVAAMKAQFKEIDARPAKKVAEAKARKKRVAMKKLEKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPEKEYVVAKKGVQVRGGKGKVLVDKRMKKDKRASKVKGGKGKGAKGKGKKGGGQRGATRGKAARKSQN >OB05G33620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18836688:18837134:-1 gene:OB05G33620 transcript:OB05G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGHRRSLPGNHICIKPSKRCRIPSDILNHPSKCFLGGAGRRLVSETTCCASFFHAFWKNFCRFWICSLEFLFASLMLVQPCLSLSCINFKVEVIQLTYLPRKKRENSTGNSACICLLASNRIADSGLTTVPPSYEKGDKRWHIGVE >OB05G33630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18839573:18839842:1 gene:OB05G33630 transcript:OB05G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSNSNSNSNWSQKENKMFEEALAYYGEGTPNRWDKVSSAMGGSKSAEEVRCHYEDLVDDVNMIESGRVQYPKYKTQGFWT >OB05G33640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18840169:18840812:1 gene:OB05G33640 transcript:OB05G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTNHVGSNPRTIRIIPSKRNALVLREHSPSPRASSLQPTSISHRAIVLYKSQLSAKSCLQARVCSEINISTSKKDEAWEAPIDSMTEDEVV >OB05G33650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18841783:18842304:1 gene:OB05G33650 transcript:OB05G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYIPSYSTAMALPFAFLAAAPLLCMRRHMYHAPTDRYGLHRSHSAAISSGLGRAGSLYSSCTPLRTPRSPDGSTSGRCSEKIMNMWTVHSPTPFTLVPRGAPLRPLRPRRSRRAPRPRTCRRETPMARRSAAERASTEAGLTTAASVPAAAEGRRARKRA >OB05G33660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18841803:18842660:-1 gene:OB05G33660 transcript:OB05G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRAAGPPPGPGGGGPPATGKISFRSRKIVKSTSAKAKPLATTPVPSPLPPVLPALSSPGELAAALSHLHPAAPLLSAVIASTSAPTFISSPSLPAFHSLAHSILHQQLAPSAAAAIYARFLALLPSAAAGTDAAVVNPASVLALSAADLRAIGVSARKAAYLQDLAGRFAAGELSDSAVAAMDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYKLPALPKPEEMAALCERWRPYRSVGAWYMWRLMQSKGAAAKKAKGNAIAVE >OB05G33670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18844650:18848665:1 gene:OB05G33670 transcript:OB05G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSGSGSRRGGAGGDESGGEQDGGLRKPLLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAEVNDIKRAVASANKRTTISFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISTAGMTLSLLAVAVVFFLEGNTSHDSHSYYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVILWVPETKGRTLEEIQFSFR >OB05G33680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18850940:18855770:1 gene:OB05G33680 transcript:OB05G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGGGDESGSDHDIGGGMRKPLLMHTGSWYRMGARQGSLTGAGTSSMAMLRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLNLSLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMEDFETSLQVLRGFETDITSEVNDIKLGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDMATCALGAIQISSIGMTLSLLAVAVVFFLKDSVSQDSHMYYNLSMISLVALVAYVVAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFAITMTANLMLSWSAGGTFLSYMIVSAFTLVFVILGVPETKGRTLEEIQWSFR >OB05G33690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18869384:18870778:-1 gene:OB05G33690 transcript:OB05G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3M9U5] MAVIILEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPDNLKVRFEEFLHHSKLCGFSEDCLEFQRKILERSGLSEETYVPEAMHLIPPVPTMANARAEAESVMFGALDNLFKATGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGSDRRRAKYALKHVVRTHRGADNKAFNCVYQQQDDEGKTGVSLSKALMAIAGGALKTNITTLGPLVLPVSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPQSPWEDCIDRYPVELVDGFPTHNAQQ >OB05G33700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18871026:18871226:-1 gene:OB05G33700 transcript:OB05G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRLRRRRRRSRLRCISPSSAASSPSRPPNHHRPDGYTSRCEAPLPPRQKNPFHSIPPTQSTRHRTRT >OB05G33710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18880626:18883826:1 gene:OB05G33710 transcript:OB05G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:J3M9U7] MLRAAGKRLLGVGIRPGPGGGEAAAAAAFAVRGRGYHERVVDHYDNPRNVGTFDKDDPDVGTGLVGAPACGDVMKLQIRVDEGSGRIVDACFKTFGCGSAIASSSVASEWVKGKQMEDATAIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKMKLDKRGE >OB05G33720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18885858:18886769:1 gene:OB05G33720 transcript:OB05G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTVDGSGDGGEQQEAAAVRKGPWTMEEDLSLANYIAANGDGAWNALARAAGLSRTGKSCRLRWLNYLRPDVRRGNITPEEHTLIVELQARWGNRWSKIARHLPGRTDNEIKNFWRTKIQKKHRRRTYGIHATTSESIRPAAAGYLTAVAEDQGSSSISGRTTVVVTQEYGIAAPQLGSLSLDHPASYPSAIHGGEGADAGGDIVVSEEFLAASNDNFWVLEDLWPTVQSLHGD >OB05G33730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18886951:18887488:-1 gene:OB05G33730 transcript:OB05G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAVSSALPLFHVRTASSVVGPPRRGAPPHHSVACNSTASPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFSPAAVVAAPGAAGAAGGGEDAAAAAEKTEFDVVIEEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKVKEAVSKDEAEDAKKQLEEVGAKVSIA >OB05G33740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18894923:18895621:1 gene:OB05G33740 transcript:OB05G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGVAAARDDDYSAAESDEDFTFAAAAAVVPCMVGGGGVFDSGRIGAVYPVFGRPRSPPAREEEEAETATVRVPLGQLLLEERASASAAAPSGKQPDDDGDLDGVPAETYCLWSPGSPAAAVSPARCQKSGSTGSVIRWRQRLIGRSHSDGKEKFVFLNSTSDRSKGRTTSGDGGGGHGGWRYYGRGGGSGGAGVGNGGGRRSSFLPYKQDLVGLFANAGAFRRSYHPF >OB05G33750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18899226:18900044:1 gene:OB05G33750 transcript:OB05G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNAIAAGSSTMRAVADDDGFTFAEVPPLAVPGAARCIGPPLYPIFGRPRSPPPPPEPETVEGASRLPLWRFRLLDQGQPPTQPADDGLDLDLDGEPAEPTYLYCPLCPALPVATAAAPPAACLENGRVVSPPVAPVGHRPEPQRRQGEVRVLERGLPLRLRAQGERRRRPCRCPELLRERRRQQQGRRSPEDVPPLQAGPRRDLRQRHRVPPELPPVLRIRRGVSCCACVSLHGFFLLCRLSSVLATIIRVQVQLKFVCCVWLISLAACF >OB05G33760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18904149:18908830:-1 gene:OB05G33760 transcript:OB05G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 [Source:Projected from Arabidopsis thaliana (AT3G27925) TAIR;Acc:AT3G27925] MTVARGISSTHAAAAPPPPPHTPPPASTSASSSAAAAACFLSPSPPPRPRHSLKHIACAAARSSPGPGPSSSSSSSRSLALPSPFPWPWPRRLRDLLPDEAGRILSSASGSLIVALASAALILGDAGAASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPTEKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPSGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVTLEPKPDES >OB05G33770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18914411:18921710:1 gene:OB05G33770 transcript:OB05G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSNSASNPLFGVHISEKLTKQNHVVWSAEVLATVRGARLEGYLTGVKVAPAAQIEQKEEEKIKLVENPTYEEWFAADQQVLGFLLSSLSRDILNQVAGSRSSAQAWRAISEMFSSQSHARTLNVRLVLQTTSKGSAGARYRGFDGLHGKGNPDFGKMKTYGDEVAATGKPLDEEELIAYIVNGLDGDYDPFVSSLGMRVEPISITELYAQLLNFENRLKLRQGGASANAANRANGGRKTFAPRGGSVSILSDGRGRGDGSGGRGRGTAEAGRGRGGGQQQHTDTRPVWQVCYKRGHVAADCWHRFDTNYVPDERHVAAAAYAYGVDANWYLDTGATDHLTSELDKLTTREKYKGTDQIHVANGAARLAEDNYAFIEIHSIFFLLRIGPRRERLLRGGVIRVFTLCLQPQISKPTVLHPPSQDVWGPAPDSMGRKNYYVSFVDDDYSKFTWVYLIKHKSIVFESHLHKGFKCLDISTGRIYISRDVIFDENVFPFANLHPNVGARLRSEISLLPTSLVPYSTDQGGEQGTDNMFNDNVPSESDDFSEEHATDTGSEADDVDAGTNDGVAAANMQENGDSTLGLEQQPTEEHQPTEQAEDTSGTDTVVSPVQSPGRPGHVEQQLASPGHVSTSAFMDHLQLSAADGTNSAGASNDADTGIATHYDVNDVINMTGSNSAAATRPKTRLQRGIRKEKVYKVKRKADGTLDRYKAMLVAKSFKQRYGIDYENTFSPAVKAVTIRVVIFIAVSTGWSLRQLDVQNAFLHGYFEEVLYMRLPPGYEDPKLPNYICKLDKALYGLKQAPRTWYLRLSTKLQELGFKASKADTSLFFYNKGDVTIFVLIYVDDIIITSSISSATTTLLKDLAKDFALKDLRELHFFLGIKVSRTNQGIILTQEKYARDILKRIGMQNCKPVNTPLLVSEILSANEVNKVCQFLHAPTITYWTVVKRILSGATAEITATVSTVFPAALVSSASTARQAPLRRVDNGSGYCLASVCNGFLCFASYYRTARVIVCNPVTGDKLALPKAPPLGPNQLHSFTFALGFSPTTGEYKLFRFADRVMHVYTLGAGGEAGGWRRHPLPYPCRLEERTPPVLVGGKLCLVTAGPSPHRHPADIVTPGPVLVVDVATEEHCTYSPPDYGNPWADPAVSAFELHDRLCLAIRTEILIQFWAMPVEDDDDDLPWQLLYRLKVDKDDIQGGVAAMSSWLDGKTHTLCYRVGNSLYRRYVGMTTMMAA >OB05G33780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18932988:18934426:1 gene:OB05G33780 transcript:OB05G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKKKPLYTHSLPCIEAWLRSIGFTQTREDRAVWVAEMPLWHARLSLDVTDLHIRYLKTGPGNLEKDVERRFSYALSREDIENAILAGP >OB05G33790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18938213:18942690:1 gene:OB05G33790 transcript:OB05G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAIATQKTGKTSSPPKDQPTPGPFPDWSAVQAYYGPGVLPPTYFAPAIAPGHAPPPYMWGPQPIMPPHFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSANSKEKGSNKKLKEVDGAAVSTGSGDSKRTMTSSGDYSAEGSSDVNDVKVGKTAKKRRSDDGAGAETTAAAKMENALAPSHILGSTAIMPNHCFPAQVIKPTATNVANSRALGTPISPQPAVIVPSQAGVSTELLNKDERELKRERRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSENSEKLRLENSALMGKLKDPAASTHAETSLPKTTTASSPRVVENFLSMIDNTNKTNVRHTEHGEPKLRQLLGKSPATDVVAAS >OB05G33800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18947395:18950689:1 gene:OB05G33800 transcript:OB05G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYTNLTDPRTELEVVRDWNGVEQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWAIDEGHPPLNQNDNSSKASVDLILKPSEDDLKYWPHCFEFRLRISLSMDGDLSLVSRVRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRAYVGSPNVIAVLDHEKKRTFVIRKEGLPDIVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAATERPITLKPGEEWTGKLELSEVPSTNCSGHLDQPGII >OB05G33810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18951193:18952170:1 gene:OB05G33810 transcript:OB05G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVAHHKNSSSPASIQHPGTRTYENRERERSRSSMASSCHEVEVPGKPTETGTALLETATGAIQGFGPVNQIHQHLCAFHFYGDDMTRQGEAHHFCAHLNEDVRQCLIFDGPDAGVRLIGVEYIVSEALVLTLPDGEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLEKVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTRDGQLRQDLAKCTCTLSQKFKFFILNLENFRAFSSKFLNSYSLFKRLVNELEGVGFIDFYVAGVEDKFSVSFQKERENRAYMSGPDHGIHPLANAAGKGLKTHLREVDLPATTTAAHAGRVFT >OB05G33820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18960481:18961653:-1 gene:OB05G33820 transcript:OB05G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGFNLPADLFGLIYDRLPCPVDRLHMRQVCHNWRTAVTPPAPRPLPSIFLPRAGALSFSCVFSGCATPRLKLWVEDARRARYFGSFDGGWVFFAIGRPHGHTLQNLLADHSFKLPSLFFLRNGCHIPLVILAATLSSPPVHGKSVIGAIVSSRRRLRGTERQLAFWRLGQCDAIDKTLTPTQADLEDIIFHKKSFHVLDEQERVRAYTPLFDRDGNMEECHTEWPLSQMRRRHYEEHVAARYLVESRDELLMVVRLTPHHGQPTSAFRVFQMVYLRGRLHRNAHILGSLEHTWNELHKLDGRVLFVGRGCSRSHEVADHAGFEEGVYFLDDGSFRDGDKDELLFQNSDQLQFSCTDSGRWSAPTRQIESLFPEQAPSNYSPPVWIRP >OB05G33830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18963156:18963680:-1 gene:OB05G33830 transcript:OB05G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQISVETRYCYFSQAGRHYNEHVAARYLVESRNELLMVVRLTPHPGKPTSAFRVFQMVRLRDRLRLTVDIFDDTAEYTWNELHELDGRVLFVGRGCSRSHQVADHAGFEEGFYFLDDGSFSDGDKDELLPQNSDQLQFSCTDSGRWAAPTRQIESFFPEQAPSNFSPPVWILP >OB05G33840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18970431:18971400:-1 gene:OB05G33840 transcript:OB05G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGIDLPADLVWLIYDRLPCPMDRRRMRQPRPLPSILLLQDGGPSLSCVFSGCVTHRLEFRVEDARTARYFGSFDGGWVFFSIGNHRNTLHNRLADRSFKLPTLFFISKGRHVPFAILAATLSSPPVHGKSVIGAIVLSRSRLCFSAAVRQPVF >OB05G33850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18972579:18973778:-1 gene:OB05G33850 transcript:OB05G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPRLSDVHVVPDILRVIYDLLPCPFDCLHMGQVCNYWRMVIKAENQPPRPLPLIFIPQAGGPSLSCVSRGCATHRFQFRVEEEDARAARCFGSVDGGWVFLTIGRHRHRLLNLRTGGSFKLPSLFVLNNGLHIPLVILAATLSSSQMQGQSVIGAIVSSRRGVSGTDRQLAFWRLGQCDTIDKMMTPEGPGLEDLIFHKGSFHVLAEAEYMFVYTPRCDEGEDIGEIHVQREFRHFSHEGRHYGERVVARYLVESRDELLMVVRLTPRRGQPTSAFRVFQMAESSSDMPDHIFHYTPKYAWNELPNLDGRMLFVGRGCSRSHQVADHAGFEEGVYFLDDGSFRDWHKDELLFQNPDQLQFSCTDSGRWSGPTRQIESFFPEEAPSNHSPPVWILP >OB05G33860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18977257:18981451:-1 gene:OB05G33860 transcript:OB05G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEIVGERFYLKAANADDRVEDLAKYLAMLSLLDHKQFGYGGMPTTVIIIFRRSFEHYYLLGLKQQLDESVKISKATIDILKDQVFGFDTFFVTSQEPYENKFGDQYKLINPEDEKPVAVVVPRQTLQPETTGNKINSPVADVGSGRRRGMDVDLLEGRLVEADPAADGRRSRRRRPMGDEADGGGPAAESDGRRGRPWAAEGQRRRWMGVGVRRTAAETDGRRGPADGGGDGNDSFCSVHLLSRTAAYSFFLSFLAPCSYAPDILA >OB05G33870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18985810:18986775:1 gene:OB05G33870 transcript:OB05G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAALPSPSSGNDPPATPLYEITRRIPCKPDRVRMLTVSRSWRTSLREPPVRPLPPQLPWLLRPSSGGPTFSCLLSGADEGSVHRFRVPVDLPAARYFGSYDGGWLAYGHNLGHTLVNLCNGRRFRLPEVVPCPWPTKSKEFAMIMLAAATLSSPPSYTDDRCFGADDLSGVRHTTFSHPSLIPYPMAIRPGLQPWWESFKHNLKNQGTLIVDKIWKREEHPDAMQEERIKMAAFELDDQRRARFMAWARAAVNEAKWKEPRLGPVLKLEFDDPLWMEVEATRTSSPTPSPPQTECHYLVAIGIVAVAGYVIVKYWLWR >OB05G33880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18987456:18987713:-1 gene:OB05G33880 transcript:OB05G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRRWNYLPRSVLRRISYHVPCKFDRVRGFSCLFSGADDLSLHRVRVPADLRSARFFGSYDRAGDGSSSPADELPARYLQVQ >OB05G33890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18988282:18991153:-1 gene:OB05G33890 transcript:OB05G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPRLSDVHVVPDILRVIYDLLPCPFDRLHMGQFRVEEEDARAARCFGSVEVSLTIGRHRHRLLNLRTGGSFKLPSLFVLNNGLHIPLVILAATLSSSQMQGQSVIGAIVSSRRGVSGTDRQLAFWRLGQCDTIDKMMTPEGPGLEDLIFHKGSFHVLAEAEYMFVYTPRCDEGEDMGQISVETRYCYFSQVGRHYDEHVAAHYLVESRDELLMVVRLTPHHGQPTSAFRVFQIVHLRDRLHLTVDIFDGTAEYTWNERHELDGRVLFVGRGCSRSHQVADHAGFEEEGVYFLDDGSFSDGDKDKLLLQNSDQLQFSCTDSGRWSAPTRQIESFFPEQVQSSYSPPGCITCLCCRENFSLECLSVLEKAQPHIIHRDIKSSNVLLFDDDFAKIADFDFSNQAPDMAARLHSTRVLGTFGYHAPEGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSNVVKALQPLLNARATNPGENAGS >OB05G33900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:18995119:18998267:-1 gene:OB05G33900 transcript:OB05G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDMDVLTHGAQNEAITEGIASLIRRRRLRPRVLLLLCRLSAAATASASASAPASSSSSADYSFAPLDESSSDDDAEPSPTFSLFLAFAEQFVSCPHPKMPAVTDIAVLTGKRFKDLDNEESYERFRQRERRGVGVLDFQCFVTTAHHFLRFYLKAANADDRVEDLAKYLAMLSLLDHKQFGYGGCTDMA >OB05G33910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19007989:19008735:1 gene:OB05G33910 transcript:OB05G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAALPYPSSWNELPANLLYEITRRIPCKADRVRMLTVSRSWRTSLREPPVRPLTPQLPWLLRPSTGGPTFSCLLSGADEGSVHRFRVPDDLRAAGYFGSYEGSVHRFRLSPPYSMAIRAGLWPWWESLKYNVKNQWTLIFDKIWREEPPDAMHEESIKAMAFELDGQSRDRFRSWARIAVEEARWREPRLGPVLKVEFDDPPPPPQSSAISTSEPASTQIDYYYLATIGSIAVAGYVVVKYWLWR >OB05G33920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19009840:19010220:-1 gene:OB05G33920 transcript:OB05G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVLRRISYHVPCKFDRVRMQLVCHSWYLRHLPPLPPQLPWLLHPLAGGPAFSCLFSGADDLRLHRVRVPADLRSARFFGSYDGGWLFLASGRTTGNILLNLRTGRRIPIPETPTSSARQRNPA >OB05G33930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19011418:19011828:-1 gene:OB05G33930 transcript:OB05G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRRWNYMPRSVLRRISYHVPCKFDRVRMQLVCHSWYLRHLPPLPPQLPWLLHPLAGGPAFSCLFSGADDLRLHRVRVPADLRSARFFGSYDGGWLFLASGRTTGNILLNLRTGRRIPIPETPTSSARQRNPA >OB05G33940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19012600:19015039:-1 gene:OB05G33940 transcript:OB05G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLACCGDEDTQGVPDNRNPYPGNHPTRNDAYRTADPTPRGPQPVKVQPIAVPTIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDFAKIADFDFSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGT >OB05G33950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19016972:19018026:1 gene:OB05G33950 transcript:OB05G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADGRLEKGVLRTTTGQADGSWGISRSVGCGELGKYKEHSTIVSSSTTVLLKNDILDDMVLRVNGNLIIDDYLIMLGRDEDNVDTTKEICTIWHHSHCGTQQSLRSSH >OB05G33960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19021858:19023066:-1 gene:OB05G33960 transcript:OB05G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAALPYPSSWNELPANLLYEITRHIPCKADRVRMLAVSRSWRTSLREPPVRPLPPQLPWLLRPSAGGPTFSCLLSGADEDSVHRFRVPGDLRAARYFGSYDGGWLFLAYGQNLGHTLVNLCNGRRFRLPDVVPWPTKAKEFPVIMLAATLSSPPSYTDDRCFGAAIVHCSPYMSGARHMTFWIMGDRLARLTIHPEIVYGLSDMFYEEMEDVIYHNGAFCFLSILENVLVCTPVLHQGDLQIQEEWLRFFRQDDGCSDRPVALARYLVESRGQLLMVLKRKCDLAGWPPLVFSVFQMMRDQQVAVWIPVVRLEGRMLFVGHGCSRCYEVDAFPGFQEGIYFLDDLHFYDVSRILRCQEYLCHDNGKYTLGQPPVVSRCFWPDQVMSNYSSPVWLLPEAR >OB05G33970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19028171:19029082:1 gene:OB05G33970 transcript:OB05G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMRRGSKLAFFKVADSKSAKLSFVLTSHYLIMFQSLSYGSLNHIDERYRHRFQVNPSMVPLFENSGLEFVAIDKTGEKTEIIEIPDHRFFVGVQFHPEFKSRPSKPSALFDETQMLSLTICSTYTYILGRANRGIVWQLDRVLQDAAKGNHEPEQNRREEKRRASDHLGSETCRSKRQVMAGTT >OB05G33980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19029965:19030363:-1 gene:OB05G33980 transcript:OB05G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRFSPQPYYLQLPTTAFRVFQRKDSWNGGGAAAEHSWSELPGLDGRMLFVGRGCSRSYEAAGNYPGYEGVYFLDDRSYHDTTILCGKSAERQFPFSDNGKWSEEEEPPRIEGFFPEPSLSNYSPPVWILP >OB05G33990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19035326:19038563:-1 gene:OB05G33990 transcript:OB05G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIQYAFLLLSAVMASLFAGSAAGVYHIVGAGKGWRMAPNKTYYEDWARTRNISIGDKLMFLYRSGVYNIVEVPTKEQFDKCSMKNITNRWQNGPTIIELTQPGPLYYFCGVGKHCEEGQKLAINLCDDIIGEIVRRHFPCEVDRLAVELVCRSWRTALEPPAWAPPPQLPWIVLPEADGPAFYCVLSGCRAHPFFLPHGARRSRFFGSYDGAWLFLAVDPAQGKERDHILVNLSSFEFLDLPNMIVSLEFEREIVIAAATLSSQPTEQGCIVAGFINFYPLPQYQWLIAFWRMGDRVILRSFAEDDACMDVEDLIYSAGYFHFLTRGEHIRVSRQVIFHQFHGQQGVEEGVEVNWEVLLFQPRGDG >OB05G34000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19038766:19048826:-1 gene:OB05G34000 transcript:OB05G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWGFTWQQLGCNLQVLLQILFACGFCCQEKLADWNVAVWGRGVLIRGLTLQLSREGSSSLADGLVALRWSTGACGGAGCVRREVCLPTTESGGVKDAPARRDLIASWMAGTHGFRRVGVVEMEAAAAAEVAGAGSGTDGDVQIKGSKENGQPAEQPVASEALEMPSTPLPLPRDIDWSEHFSFFNSAGGFGSSTDGARGLTSVGISNSESRPDSVTQSCLNNADERVEELTLKNCISTDVKPEVSVGGSTSSGEKPTVMRGLWGNFTRMAWRANDVTSKEKLAASRGDVANLRIGDMPSRENLAVSFGNNMISRGSDASSKEMTISHGDNVNNEFNLPFGNQQPFPSPRPNQTEQLVERENALIVSSFSTRILDQMRSKAATPSSGVQGFPFKTALKGKGVVYQGTREEIQVQANARPRVPMDKIRKMPNIPQDSMARVDCTFYGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHLFNQILDLVDKSHTQGFALHNLRPSYFTISSSNQVKYIGSYGTQDLPAPGKLDIAKDDIFNRKRCFDPKIESQESNGDNASVIKYQKLGEQGSIALRRPVNTFWANHRGGNQNEGVDPGALWQGNSSCTVRERFKAAEHFYGSSMPYTQRLSNSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFELFCCCETWEVHCAAMSDLRHRILPPHFLSVSPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLDKTPDAVNEEDTESGLLLGFLSQLKEEKEMHTAKLSAELASLETDIAEVERRHSMRMGFNLEDMDVLAGSNDFSGACAYAPEGAPFSGLPPLLCRSSIYEERVMRNLEQLENAYYSMRSTIETSEANIIKRSDNDALRVRQNFHQLNSDANAINEQTDPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKNFVGLSVHDGYITCGSENNEVFSYYKNFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >OB05G34010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19053646:19054887:1 gene:OB05G34010 transcript:OB05G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G01037) TAIR;Acc:AT4G01037] MSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQEKRILIEKIAHLKQDLGLPPEFRDTICLRYPQYFRLVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREAEERNLIIDRPLKFNRVKLPHGLKLSRGESRRVARFREMPYISPYADFSHLRSGSDEKEKHACAVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSLKGDRDSVFLREAYKNSQLIEKSKLVLLKEKMRALVAVPRFPRRGVPETIQEADGINRAAQMLSEGSDTEDDDEGLSDMEDLITEISGGKSDADYHWGDGWVGENDDSPPDFEDDDDDSSLKEVKVTMKTSASSANGKTHAPVFPDGKPRERW >OB05G34020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19062500:19063878:1 gene:OB05G34020 transcript:OB05G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRGERQTLTSELAQVRAMARELEAKMDPDKAAARELCRALSSSVDRKGMAKVRRQVRVTSVQDMVSLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNATKQVQRTDGDPLLFDVVYLGEHICGQAAAAAQRAPPLAGAEPVPMQPQHAGQEQSSPPAVETEGITHQVVEDPMAPFLFTSTQDVVDGYFSFISPANSDCQFSSDFSAGSAGVDMDHEARFDDLFLITSEFFQPEIQNL >OB05G34030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19064616:19066668:-1 gene:OB05G34030 transcript:OB05G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSVASQLFAVSLFPYLGFLYYMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEDTKESSSEDSEDIKEKGSI >OB05G34040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19068366:19068737:1 gene:OB05G34040 transcript:OB05G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFAWDWLSLGRSNPPMVKERGGEAMEEEKVARRREWDCGSALYDSYELASVYGLVDSNLMALPFAKRSAAPDTTAERSPARRRRTAAAKEQRRRKAAAAKKKGKAVLRSIFRSVTCSRRL >OB05G34050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19070620:19071382:-1 gene:OB05G34050 transcript:OB05G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPASFPGEVRSAVSSLLLSPGGSALDTVFSHLPPPVTIPPLGSSVYYRQSELLRHFAASQGQSTASAACSSTAAEDGAPRKLYRGVRQRQGGEGGGGGARPVRPAPAAHAYDRAAFKLRGEYARLNFPGVMDGGDCPDHLRHLRDAVDAKIQAIRVRMARKRARARRQREESKNQPTDDAKAAAAPARPAISERAASETTTTTTSSYGSPDGVLSMSTASVDGDCPLERMPSFDPELIWEMLNF >OB05G34060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19079744:19081873:-1 gene:OB05G34060 transcript:OB05G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGASDEGQECRAVAGDGKASAAPRRRRLELRRLRRTASEEEDAAAKRIRSVKDGSESDSSAEAAPRSWPAACLSHGAVSVIGRRREMEDAVAIERTFMASTGDAGAVRAGGGGGGDEEDFFAVYDGRGGSRVAEACRKRMHVVLAEEVSLRRLHGDAPGDVRWKEAMVASFARVDGEVVGSAATASRVDDTEPSVFRTVGSTAVVAVVGHRRIVVANCGDSRAVLSRGGVALPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVRVVERTSKDEFLILASDGLWDVVSNEVACKIARSCLNGRAAAMFPDSVSGSSAADAAALLAELAVSRGSKDNISVVVVELRRLKTRAA >OB05G34070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19090617:19092680:-1 gene:OB05G34070 transcript:OB05G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39680) TAIR;Acc:AT5G39680] MAVARACPMGVSVDQRRAAVAVLRAAAAAGELSLGKAIHAKVIRAARFDVVQYNNLIAFYVKCGRLGLARQVFDAMSSRNNVSANLLMSGYASSGRHKDALALLRVADFGLNEYVLSSAVAAAAHVRSYDMGRQCHGFAIKAGLAEHPYVCNAVLHMYCQCAYMDDAVKVFENVSSFNVFAFNSMINGFLYRGHMDGSASIVRSMVRKVEQWDHVSYVTVLGHCASTKELVLGSQLHTQALKRRLELNLYVGSALVDMYGKCDCPHDANCVFEVLPEKNIVSWTAVMTAYTQNELFEDALHLFLDMEMEGVRPNEFTYAVALNSCAGLASLKNGNALGACTMKTGHWDLLPVGNALMNMYSKSGSVEDARRVFLSMPYRDVVSWNSIITGYAHHGRAREAMEAFHDMLFAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNTMMKEVGVNPGKEHYTCMVGLLCRAGRLDEAERFIESSCISTDVVAWRSLLNSCQVYRNYGLGHRVAEQIFRLKPKDVGTYVLLSNMYAKANRWDGVVKVRWLMRELGVRKEPGVSWIQVGSEVHVFTSEDNKHPYMEQITKKLLELIDQIRVIGYVPNIAVALHDVEDEQKEEHLMYHSEKIALAFGLIRSPKGETIRIMKNVRICDDCHVAIKLISLATCRRIVVRDTVRFHCIEDGVCSCDDYW >OB05G34080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19093635:19096572:-1 gene:OB05G34080 transcript:OB05G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGLRLLLVVCLVVAAAAEEVQTNILQADKNGGNDLAHSDGAETGRHDETNPNKGQHDEAKNDTDEKNKKGALTEGTMKTKDEAKVNHLNKDISTAKSSHVTDFSQDPLIKECDPSHRCVIENKKFIACLKVPGEDSLALSLLMDNKGMNPLDVGIATPEFVTSAEDTVHVSANDHNETQVTIFKNGAPNMAIVLRLRVAEEDCNISIHRAIARETSQVMPMRLTSTYMLVPVFVLIGAVVACIRIRRRGNQDGGPAYQKLDVAELPVSTGGKKEADQSDQWDDNWGDEWDDEAPLTPTRPMPNLSSKGLASRRSTKDGWKD >OB05G34090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19100042:19105101:1 gene:OB05G34090 transcript:OB05G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:J3M9Y5] MAFSKIKVANPIVEMDGDEMTRVFWKSIKDKLILPFLDLDIKYFDLGLPYRDETDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFEGKDEEIELEVFNFTGSGGVALSMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNARLLDFTKKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLATN >OB05G34100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19103219:19109536:-1 gene:OB05G34100 transcript:OB05G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:J3M9Y6] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLKDQKAHEAILKVLDLQCVGKVPREPKLIEWTERASKFDRLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAAKETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNIPYLGICLGMQIAVIEYARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQINTCKSAKLYGNASYVDERHRHRYEVNPDMVTEFEKAGLSFVGKDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLVAASSGQLDLLLQQTSGIVSSALKRSSPCNGVTKQPKLYQNGHVKNGLVNGYYANGNSILHT >OB05G34110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19124521:19128446:1 gene:OB05G34110 transcript:OB05G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLDGTENGGGSGGGGREQDRFLPIANIGRIMRRAVPENGKIAKDTKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLKLYRETEGDSKIPRASEHPVKKDILLNGDPGSSFDGM >OB05G34120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19128229:19128438:1 gene:OB05G34120 transcript:OB05G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLPITPTSIQLLPKITVKISASDGLVSFFAFFFLLILKKLELAIIIDPLCVCAGLSLQCRGRMRRSR >OB05G34130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19130167:19130577:-1 gene:OB05G34130 transcript:OB05G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAEVKKGQEEGMKLAVSLLEEFGLPLGLLPLGDVVEVGFVRATGYMWIAQRKKVEHHFRMVSKHVSYDADITGYVKPSSIKKLKGVKAKELMLWPPVNEITVDHPPTGKIHFKSLAAATKPFPVEAFAAGQ >OB05G34140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19132097:19140001:-1 gene:OB05G34140 transcript:OB05G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:J3M9Z0] MFDPCLVSEENQFPLPNFYLYTQIYSQSEEQITQHSTSTDLCAPLASSSSAPAAAVLAPXXVGGAMPSLDFETCVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIIVKIGLRKGSKSFEEARAAGFTEENGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSSVLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEQLAYKNTVEGITGIISKTISKKGMLEVYNSLTEEGKKEFNKAYSASYYPCMDILYECYEDVATGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPANDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVTADADFVRPELRQSS >OB05G34150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19139796:19142514:1 gene:OB05G34150 transcript:OB05G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27430) TAIR;Acc:AT2G27430] MLAAGDGGGPGARTAAAGALLELARGAHRNKVHIVQAGLLTKLPLLMDDKEMSRSHQLALLLLSVSSLANTDFPLATSELLPFLVAVLSADDAPADTKLTCLATLHNLSTKLEHVRDVVSSGAVHALLALSLDTKTSEPALSVLGDLAASAAGRKAMEEDETAPRALVEAMTWHESHRCQELAAYLAMVLAHGSRLQRRRMHQLGVVQVLLEVSLLGTPLAQRRAAKILQWFKDDGQSRIRAHSGPRTTEGASCHGGDDDGNGAKDRRNSVDRIVKQSLDRNMKSILRRATASVDLTNVKLLVGSSSSKSLPC >OB05G34160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19142374:19147215:-1 gene:OB05G34160 transcript:OB05G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQMTELRKRRQREVAAGPDDCSSSASSDNSESSNAVSNSAKSAKVAGCSSVRPPPPPPPPPPPPMPATFKSKSYFSGSSRASPANSSSSSSSSVPSTPSCSSDTAASRSRRPELSKLPPIPPPPPPPPPPMPTVRSRSASPSPSNSSSGSAGPPAPPPPPPPAARRSTRTSTPATSSSTPASGPCVRRVPEVVEFYHSLMRRDSKRDGGGGAEGCPGGGAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKVEEEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLSRVRDGAMNRYRSYQIPWEWMQDSGIVSQIKLQSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQELKEKASTFQSQRECQNQHLQQQKLAGRS >OB05G34170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19154009:19155307:1 gene:OB05G34170 transcript:OB05G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWLRGTAHRWRELHGQNNWDGLLDPFDLDLRRTVIRYGEMAQATYDAFNHEKLSPHAGLSRFGRRRFFERVQLPDHAAAYRVTKFLYATSSVAVPEPFILRSVSLGRRCRESNWIGYVAVATDDGKTALGRRDIVVAWRGTTQALEWINDMEFVMAPPRSLLGDEASEATVHRGWLSMYTSSDPESSHNKDSARDQVLSEVARLVSMYDGEELSITVTGHSLGAALGTLNAFDIAANGYNRSPRAAAATATGCPVTAFAFASPRVGGHGFRRRFDGARGAGLRLLRVRNARDIVPRYPTALLYHDVGAELAIDTGESPYLRNPGNELLWHNLESYLHGVAGARGGEAGRFELAVERDVALTNKFYGALRDEHPVPAGWWIPSNRGMVRGADGRWTLMDCEEDEDAE >OB05G34180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19155970:19159362:-1 gene:OB05G34180 transcript:OB05G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPSPSSSPMLGGIAGRWRELHGQDSWNGLLDPLDLDLRASIISYGELVQATYDAFNRQKRSPHAGACMYGHDDLLATVGASAAGSYRVTKFVYATSGLPVPEAFLVLPLPSLLPPAWSKVSNWMGYVAVATDEGVAALGRRDIVVAWRGTMESLEWVNDLDFTPVPAAPVLGPAAAANPLAIVHRGFLSVYTSSNKDSKYNQSSARDQVLEEVRRLMEQYKDEVTSITVIGHSLGASLATLNAVDIVANGANSPPAGSSQPPCPGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPGHFKAAFASFPDLRALHVKNAGDVVPMYPPLGYVDVAVKLPT >OB05G34190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19163479:19167708:-1 gene:OB05G34190 transcript:OB05G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3M9Z5] MRPSLLRSASQLLRRNRRRDYSAAAGGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPATNALCHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPNVVECSFVQSTVTELPFFASKVTLGKNGVEEVHGLGQLSDFEKEGLENLKGELKSSIEKGIKFAHAN >OB05G34200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19169229:19171611:-1 gene:OB05G34200 transcript:OB05G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ras-related nuclear protein 4 [Source:Projected from Arabidopsis thaliana (AT5G55080) TAIR;Acc:AT5G55080] MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >OB05G34210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19173632:19175179:-1 gene:OB05G34210 transcript:OB05G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3M9Z7] MADQQAPLLQTPTTAAARRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLAAAHLSTFTLGDLAELWHSLQYNLLCVVLCSTMLVVVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTESLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVRPKDVGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSTCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVSRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKEKNFTNPWAGEIHRFPVPVPKVSAI >OB05G34220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19178123:19180713:-1 gene:OB05G34220 transcript:OB05G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSAPVAAKPVALLPAPAXXXXXXXXXXXXXALSTTAAAGVCRLRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRIFHHSTYRVLLAHKERMILSSLWVEENLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGGVAY >OB05G34230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19181457:19183834:1 gene:OB05G34230 transcript:OB05G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15510) TAIR;Acc:AT1G15510] MRACARADAEHPSFGLRLGNAMLSMLVRFGETWHAWRVFAKMPDRDVFSWNVMVGGYGKLGFLEEALDLYYRMLWAGVRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDVAAARKVFDGMAVTDCISWNAMIAGHFENHECEAGLELFLNMLQNEVQPNIMTITSVTVASGMLSEMGFAKEMHGFAVKRGFSIDVAFCNSLIQMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFADKALEVYALMELHNVSPDDVTIASALAACACLGWLDIGIKLHELAQDKGFIRYIVVANALLEMYAKSKHIDKAIEVFKCMAEKDVVSWSSMIAGFCFNNRSFEALYYFRHMLAHVNPNSVTFIAALSACAATGALRSGREIHAHVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHSEKDVVSWNIMLSGFVAHGHGDIVLSLFNQMVEIGEHPDEVTFIALLCACSRAGMVSQGWELFHMMTEKYSIVPNLKHYACMVDLLSRVGRLTEAYNLINGMPITPDAAVWGALLNGCRIHQHVELGELAAKVIFELEPNDVAYHVLLCDLYTDAGRWAQVARVRKTMREKGLEQDFGCSWVEVKGLTHAFLTDDESHPQIKEINAVLHGIYDRMKAYGFAPVDFLEDKEVSEDDIFCGHSERLAVAFGLINTTPGTSISVTKNRYTCQSCHMILKTISKIVRREIAVMDTKQLHHFKDGECSCGEIGYG >OB05G34240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19189213:19191169:1 gene:OB05G34240 transcript:OB05G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLLLLLPLPYRGGEAGGWGLEKMAVRSVNLLVTCAGAIQAGDYAAAAGSLSDAREIFVKMPTRTGIGRVLTHFADALAERLFPAFPQSVPPPLPPRAEERELFRGFYEAGPFLKFAHFTANQAILEAFEGCNSVHVIDFDLKEGVQWPSLIQALVVRPGGPPFLRITGIGPHAGGNRDELRDVGIRLAELAKSYNVPFAFRGIAADQLDGLRPWMFQVAPGEAVAVNSVLQLHRLLVDQDAATAAPIEAVLDWVASMNPKGEIADIVSREGSSRVERHEPMPRWTERLQRAGLAQLPLGATGLWQAAMQVRDFCGAGFGVQENGGFLTLTWHNQKLYSASAWRAAACNKKMIAGAAAMEESQHSDQNAGEGSSGHGVFSQMMH >OB05G34250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19196045:19196227:-1 gene:OB05G34250 transcript:OB05G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMSNIHTKLKPLCKNLKPSLFCFWKTETNLLYFVVIWSTCGGFLCLLFLESNMVKLK >OB05G34260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19198473:19199437:1 gene:OB05G34260 transcript:OB05G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSQPRRSIQMYWARRNYQRLGSPSRHLRVARLGAVGARRKDDDAAAVRSRLSWSRKARAARVLLLMLSPSRLLARIRDAGKINVWHLHSEGHFTPPTSAFFPNYREGHLSFQTERACLANGIGKLYPTLQGAS >OB05G34270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19201474:19208044:1 gene:OB05G34270 transcript:OB05G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVTNLGTNGRPGPLTVAAARSHLASRIKFTGFDSIRRGGDAPGRLCRCMVITNLIDEEKGVQFSSRGSVGVKPDGDNDLLLKPPQKPVRANGPPEGMNAAASPSGGGRPPGSNLEDRDKVRESLDEVLEKAEKLKASTSGNGSGDSSGSRQNGASKSDSSATPAAEGVNSRKTKTLKSVWRKGNPVSTVHKVVRDHPRPESRNQSSSTAKPSMPAPTKPVPQLLTKPSVAPPPRRPVKADMSKDKKGPILIDKFASNKPIVDPVVAAALIEPVKPVRGPPAKIKDDRRKKTSTPAGPRRRMPNDDGIVDEDTADVPISGVPVRKGRRWSKAKRRAARLQLEASQVEEPVRVEILEVGEEGMEIEELAYQLAIGESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVEGNPQACVFLDTPGHEAFGAMRARGARVTDICIIVVAADDGVRPQTNEAIAHAKAAGVPIVIAINKIDKEGANAERVMQELSQIGLMPEMWGGDIPMIQISALSGEGVDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKGDIVVCGEAFGKIRAMYDDGGNLIDRAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARADSLRIERISAKAGEGKVTLSSIAASVSSGKQVGIDTHELNVILKVDFQGSIEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLELAEEEVPLGSAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGVGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASVTAALKDAGVQL >OB05G34280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19208991:19212345:1 gene:OB05G34280 transcript:OB05G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASQLARASILLPLTLTLTLSLRCDDQSNGFFLMIIAIQIIKLDYFKLDYYKLTLVTDDKDIWHHIHSLMPMHDAARAACLSKAFLCFWRRHPNLILTKDTIGLNASACGGNFSRKIDYVLKNHSGIGVKIFRLEYVGVVGFDASRYLESWFQLVKPGIEELTLKLCKTEREYNFPCSLLSSDDGIQNSIRLLNLSFCAFHPTAELSPLRSLTGLRLSHVNITGKELQCFLSNSPALEQLHLFSCMGIICLKIPCSLQKLNYLCVFGCFNLKVLENKAPNLSGFYLREERRLKLSLGDTSHMKKLNMEHMNLAHYVRAVLPPIMPNLETLYISSGGEVIDTPMLPTKFLYLKHLTICFPSGLTFSPSYDYFSLVSFLDASPSLETFILDMYRPCMKQQESVFKKSPDWRQIPENRHDNLKSVKITGFSSAKSLVELTYYILKNMVSLESLTLDTIYGNLRCYLKPFVRCDPMSEDTLMEAPRALSAIRTYIENKVPSTVKLTVLEPCSQCHAKGLKRILC >OB05G34290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19213266:19214351:-1 gene:OB05G34290 transcript:OB05G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDQQIIVMKGLRNAVLRASEVVGPRVIAVGSVRVSDTAAMVALLEKEVLRQGWEIQHKHRLIRLSRLDHDLTIVLEVLVPILVANPLDLVAGRELRRYGRSMEHTACSVVGPSHPLYAAAGPVRRLLRQYAKHQYRGTKDDTWLAGNIWEVHDRVSAIRTFLVDFQHFRVPEDDDIGDDRMGDAAAEAKETIVIRGLRDAVLRAAEVISPRVIAVEYVRVRDTPAMVALFEKEVLRQHWRIKDKRRLIKLSRLDHDLTIVLEILVPMLVDNRFDLVAGRELRRYGWSIQHKARSVAGCSHPLYAAAGPVGRFLRRHAHHQHQATKGAVWLDDNIVEVRKKISALRSFLVRFPFSIGGE >OB05G34300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19222647:19223786:1 gene:OB05G34300 transcript:OB05G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPAHPDRFYSALGPMAFGGVDQRFSSPNPLTSGEDLFYGCYSPFSPSPPPRAASLSHCSSSSDSVIDDVDDAAATEHRLHLTRLALQYQEVANRFELCLSHLADAADEAAALRRENAELRVANNNLACRIAKFGGMQGSAITLAGDLRRLRLAEEQTVPVLPPPPPPPSPPAAALMHPVAVPEKQAVLPKSISIRSTGYQKLNQGGKHRVSKPLNVSSQRVFVGIDGAEGGEHKGGVKEDPPMGGLEFEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTEVCRMVLSGGVCPYGHRCHFRHSITPADRFSFRR >OB05G34310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19225496:19227040:-1 gene:OB05G34310 transcript:OB05G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESEAAAQLPRIDFSGVDPSAPGTRRWAEVRAQVMDALTTHGWFDAHYPQLTPELRASLFDDAVRPLFSLPVDTKRRNYYGPEKPFHGYLGGLPGLDAYESLAIVDGLKPENVRAFADVIWPGGNDGFCEIVHGAAKRIADLEEMVRRMILEGLGVAKHHGAQSESMWHLFRMSEYKAPNSDEKVTGYVAHQNTNWLSIVCQNEVNGNEMQTRDDEWVLVKPSPTSLIVNVGNALRAWTNDRLYAPFHRIMVSGDATRYTAILFSVANFMIQPADELVDECHPPRFKPHDNNDFIRFCVSEEGARHEDKLKAFCGI >OB05G34320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19228815:19229588:-1 gene:OB05G34320 transcript:OB05G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIRFTRGIRSYWGRRKYQRLEAANGAGKTRATQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPRRKQLPAPRPGQLTDFEQRLVVEIYKSIVASKELTTMLHHSAAHLPQHNNTASSQLLLH >OB05G34330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19233719:19234204:-1 gene:OB05G34330 transcript:OB05G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQQHGEARAAAGPRXXXXXXXXXXXXXXNSTQVVGFLTLAISGAVLLLLTGLTLTGAVVALIFLGPIALLTSPIWVPVAIALFVLAAAVLSTCAFAVAAVAGGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRAKDAAPGA >OB05G34340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19237890:19244300:1 gene:OB05G34340 transcript:OB05G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MA10] MDPSKKTSESEFFTEYSEANRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLMRHPDIVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPAIPFTKKFPSVDPMALHLLERLLAFDPKDRPSADEALTDPYFNGLANSEREPITQPISKLEFEFEKRKLAKDDVRELIYREILEYHPQMLQEYLRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPRERAIGNKHGDDEYHAKLNVGEKTNHASVTDGISKPLSSARSLLKSESISASKCIGEKPRQDKDLEESLTETLDETVDEVSGKIAQLKT >OB05G34350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19250312:19253262:1 gene:OB05G34350 transcript:OB05G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAREQGVHLDLNRPYSGEEEELRLGVGMGVHRGEERGVLRRESSRHARLTMEQCKQLDEFYRQNPTIDVKQKRELAARLNLRLKQVDSWIRNRRSRSKQKSTEMECKQLKESLNIAQEDNHSLRLQVEQLKTKNLQLQLQLHTHHWQHAVRAPAGQQASTSAATGIFTSPWLDPNPYRAWYSPNAL >OB05G34360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19257171:19259504:-1 gene:OB05G34360 transcript:OB05G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3MA12] MISWQELYMVLSAVVPLYVAMIAAYGSVRWWGVLTPDRCSGINRFVAVIAVPLLSFHFISSSNLYAMNLRFLAADTLQKVLILAALAVWSRFPARSPAWSPLDWSITLFSVSSLPNTLIMGIPLLVSMYGPYSGDLMVQIVVLQSVIWYTLMLFLFEFRAARVLIAGQFPDTAASIAAVHVDPDVVSLVGSQAEVHAEVAPDGKLRMIVRRSSVSRRSLAVATPRPSNLTGVEIYSVSSSRNATPRGSTFTLADIPGHHPPNSALRASSFGAADLFSLHSSSRQQTPRPSSFDEHAAARARASATVAPSNDPNDTHMIDWSSGASAASEVSGLPVFRSGREVRRLVPCDAPSIASSRVIRPPGAMDGERAASFNKAVPGQDELAKLEAGSKTEQQTAAQTAAVAKDGGAGAERAGTQQTAPAGVMMRLILTTVWRRLIRNPNTYASLVGLTWSLIAFRFHIAMPIIVAKSISILSDTGLGMAMFSLGLFMATQPKIIACGHSVAAVSMAIRFLVGPAVMAAASAAVGLRGTLLRIAIVQAALPQGIVPFVFAKEYNLHAAILCTLVIFGMLIALPISLVYYIILGLL >OB05G34370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19264565:19265428:-1 gene:OB05G34370 transcript:OB05G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITARNPHDSLSFSRRHFKWPVLGKSYSHGATRSEDEDYMKSSEAEEEDEATMAFSSVCPSFHSEEFVSPPKKPPRHQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGSEGDVMYMRARFERVVGSRDSEAFYMMNPDSSSSSSNSGGPELSVYLLRV >OB05G34380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19269932:19274082:-1 gene:OB05G34380 transcript:OB05G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAGRASGDAAAEEAVPRVVNQVLVRRRSVPGASDSPLAPGSRGGGERRSTFREDVSHAAAETYLVTRLAFILLRYLGVGYRWISQLLALIIYAILLMPGFIRVGYYYFFSRQVLRSVVYGDQPRNRLDLYIPRDHTKPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASEGISFICETAGTFGGDPNQIYLMGQSAGAHIAACALLEQAAIESKGEQISWSVTQIKAYFGLSGGYNIQNLVDHFHERGLYRSIFLSIMEGEKSLPRYSPEIVAKKSSPETIALLPQIVLLHGTEDYSIPFSASETFAGVLKQAGAKAKLQLYEGKTHTDVFLQDPLRGGRDKLVEDVISVIHADDSSAREKDALAPIPDRLVSEWQIKLARKISPF >OB05G34390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19274611:19275126:-1 gene:OB05G34390 transcript:OB05G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMTMARPAPAPQLRGSQLKQLREVFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDADGNGSVEFDELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRTMRDADADGDGVISFHEFAAIMAKSALDFLGVA >OB05G34400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19277511:19281119:-1 gene:OB05G34400 transcript:OB05G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAATGADQRGRPALAPAHSLQRRQRREDWEIDPAKLVIRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDDHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAVMGARDLNIQTENGHIGMPSNVCCVIVEYLPGGALKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGWLSCFRRYRGP >OB05G34410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19282551:19282754:-1 gene:OB05G34410 transcript:OB05G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSSSSYTRYGVALASFFKTSLIIGLKTLQVHGPNVADFSMATNTSRRCGPREIAEIRRQESYYY >OB05G34420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19283614:19289435:-1 gene:OB05G34420 transcript:OB05G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRIMLKPLEDPHVDLIATVSAASDKICGTKVKGYALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYYPKYRVGAFGALPLLMGSRLHSEDYGVMGIRYGSENLSFGASFVPFPGSAELPYGAWLVGRKGSLSAGVQYKPLSGNKHLMPYTDLKNWNYAISYGVESTSPLSPSFIFSLELARSTEFIASFYQHLVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDQPSESSNNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLMGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPTKEHLAPGVLREFGKRPMFQADIDSGNYDHLPTELKPISKIF >OB05G34430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19292288:19292539:1 gene:OB05G34430 transcript:OB05G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDCDCVVGVAGLRGRLISPPAPPLISHGRLIHPPALAPPPHLPADGPYKPPAGASISCCSSSRRRLLRWLVLLLPLLPRP >OB05G34440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19292320:19295845:1 gene:OB05G34440 transcript:OB05G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLASHLSDEAQVPGRGISDASVRSSLQDVGGEEAVGGPEPMREELVQSAVSFLKHPKVLASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSDSMSLNTCTYQGVDDHSSKITQEKPETVTTCMDDSGRPEPETESVDPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISESRMAPKPKPWEMQGQESSNRELKSQSTDTIELISEVQHDSTNQFTGTGAISKQMVAASEAHTDDAASTKS >OB05G34450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19305931:19306830:-1 gene:OB05G34450 transcript:OB05G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFPGPTEPQDRTVDVAGVLPAEAASVGVVMEADDDESDGEFEFEFPFVSRDSPAGTAALADDLFADGRIKPFYPVFARAGGGGGDHQLGKGVPPPVPPRTRGPLGRLFLEESRGSFDRWTSKSSASAAASDEGGLEGAPPESYCLWTPGAGAVSASASATPRPPRKSSSTGSMARWRRISELVVGRSHSDGKEKFLFLPTPPHTRGHDDMDLFKPKPKPKPRPTPAAAGGKKLPAAAVAEIDTVAAMHRIAYGAKTCTATGAGGGTPRRTFLPYREELVGLFANVNGITRSHPHPF >OB05G34460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19313138:19318769:1 gene:OB05G34460 transcript:OB05G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALLRHGAGAWRSGAAAKRAAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYIVRLALETQEDLDKLQEMEMFGENGSDGVWTVMANVMDQDSLNRAFNGCVGVFHTSSLVDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRFPTIIDESCWSDESFCRENKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGAGGGAGGRYICYDHVVRSGEEFAELRRQLGLPIRGAASPTAAATATPGSRSLCNGKLARLVSSRRRCTYDVYYPASYD >OB05G34470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19320010:19324879:-1 gene:OB05G34470 transcript:OB05G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIKGLTFMLLLVLLVLCSGVSLSDARSGKHWRQNRAPSTSLLRRKGKGKTSNSHKQYGKGNQNPYQPSPGTIPKVPVNPSESPVQGKGNQGPTIPTPSSGSGHKLPSPAPPLPPLLPPTQPPAAPSHNTVFNVVDFGARGDGVTDDTQAFEAAWAAACKVEASTVLVPSEHEFVVGPISFSGPYCKPNILFQLDGTILAQTSTRAWGSGLLQWLEFTKLSGISIQGSGIINGRGQEWWTYSDSNDDNDVDAYNVELENMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKEVSIHHTNLACGDDCVSIQAGCSDINIHNINCGPGHGISIGGLGRYNTKACVSNVTVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDRTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLIVPQYHLYNPFCWQAFGELFTPTIPPISCLQIGKPSGNNVMSDHDLC >OB05G34480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19335307:19336165:1 gene:OB05G34480 transcript:OB05G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYTSAGNHQDVAAGSPSAMASSTFSLFFPLPKGQWPPAAEEAYDDHSTVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAEPVAAPAIFGAPPAAHCPSLPATVPWDTSAESYYCQQGKPAAGVAKCAVGHDTLIDRRCANCSTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAATADGNGAGSHGFTAHRARGSPARAAPAVTCSEETSPYLGAGGDVADAPFLAWRLNVVPPAAAAATALSVWPERASIFHYN >OB05G34490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19340521:19341788:1 gene:OB05G34490 transcript:OB05G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQLPWECGTEEEGRMVNWVEVQRKLLGLLAEYSRLRRLDVAVDDAGTIMSFWAPKDKAAPGERRSSVVLVHGFAGDGIVTWGLQVPALAKLHDVYVPDLLHFGGSASPSPDRSTGFQAACIAAALRKLGVERCTVVGFSYGGFVAFRMAEADPGLVRSIVVSGSAVHMTDAMNDALLARRGARTVGELLLPESVERLRSLFSAAIYRRLWLPDCLLRDFLEVMFTNRKERGELLENLVISDADATVPDFQQKILLLWGENDDFFTMEMAKKLKEELGEKATLRSISKAGHLAHLERPCVYNRILMEFLQSHGDAV >OB05G34500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19343644:19349003:-1 gene:OB05G34500 transcript:OB05G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGARSSSASDLRKPFLHTGSWYKMSAAGGGMGSRQSSLMDRLGSSAYSLRDTSVSAVLCTLIVALGPIQFGFTCGFSSPTQDAIISDLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSVLIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFDTDIAAEVNEIKRSVASSRRRTTIRFAEIKHKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSASFFVKDNITSGSHLYSVMSMLSLAGLVAFVVSFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >OB05G34510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19349742:19351332:1 gene:OB05G34510 transcript:OB05G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKILVGFMGLYGPRVDNELPYQIQSHLVSKNKICNYIHVKRAVTDLTELRQIGVSPRKASYLHDLARKYASGILSDAAVVNMDDRSLAAMLTMVKGIGAWSVHMFMIFSLARPDVLPAADLGVRKGVQHLYGLEAVPRPSQMEKLCEQWRPYRSVGAWYMWRLIEYKAPPPQLPPAIPVRPPALPATDDELMLQQQQQSVIQMIDPLQMLPGMG >OB05G34520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19354709:19354861:1 gene:OB05G34520 transcript:OB05G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLNIIDTSTKCQMSLLYMEHGMTRATSDGLKLMMDTGNTIDGQWKQFL >OB05G34530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19356335:19358248:1 gene:OB05G34530 transcript:OB05G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTENYDPCYPDQPVVHRYLPVWAKLPSFGAKPAFVWADDGAATAGAMSSYAALTYSQLDSAVERMASGLLGTLRRADTVLVLASPGLRLVKLLFACQRAGLTAVPVIPPDPSRHGAAHAHLLRIVSQTRPRAAVAAARYVAAVRESAAVAGAPDRLTAMLRSLRWLSVDELEHGGANGAAPVRGCEPEDAYLIQYTSGATGVPKPVVITAGAAAHNVRAARKAYDMHPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPDAFVRRPRLWLELVAEFKATCTPVPSFALPLVLRRGRSPDERRPPLELGSLENLILINEPIYKSCVDEFVAEFRRDGLHAASISPSYGLAENCTFVSTAWRSRCVDLPPYRKLLPSARLSRVADDEPEIEIAVVDEETCEPVEDGVEGEIWVRSPSNGSGYLGHPSASREVFCARLPGKAGSSCFVRTGDRGVVRGAERYLYVLGRSADVLALDGGQRSAYAHYIETAAFGSTPGRLRGGGTAAFTAVAAPSTSLVVLVAELVKESGGGGGGDHKGICESIRRAAWEEEGVRVTWIVLVESGVVPKTTSGKLRRRAARDKLLAGKLPTLFEARYDVTESSVPGIGGEEEMECAAMSTAYGSASRRLRLQSFL >OB05G34540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19362812:19363018:-1 gene:OB05G34540 transcript:OB05G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSTIAAFAIGRVRAASTIAGCRRLHYRLHASADVALCAATPRTCRSVSHASTIFALRCTLRTSA >OB05G34550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19363045:19363557:1 gene:OB05G34550 transcript:OB05G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEVRKCGAATRRRLVRRRDTEKACAARVGTCDVESWRRHAWHRQSVNWAITSKAVLTRKRQQEQHLQLEIISAVGGGARLQGVAAVGRSAAEVRSVSEQETSRV >OB05G34560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19364347:19364709:1 gene:OB05G34560 transcript:OB05G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXRGSGSGSGPSSRRSRRSRCWRSRSGWGGGCRSRTRRWSSSSARRSACGGRCSRCGCTTTRAAAAAAVGGSRWSNSPNSSSSSSNRSSHGRRQAFGLVNPHLIIFISPRQEGVN >OB05G34570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19372555:19373342:-1 gene:OB05G34570 transcript:OB05G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQARAQWTPKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEEVKRYYELLVEDINHIESGKVPFPAYRCPTGAAAAHASLGCQTERLKHLKI >OB05G34580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19377393:19377726:-1 gene:OB05G34580 transcript:OB05G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGSSKQQQQVTTMLPSSRGGGWTQRQNKQFECALAVYDRETPDRWHNVARYMGGAKSADEVRRHFEQLVEDVARIESGRVPFPRYAAASTTEGNIDQRRSLQLSY >OB05G34590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19380580:19383251:1 gene:OB05G34590 transcript:OB05G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) TAIR;Acc:AT2G18290] MESDGDEEAAAPPGAAGAPAAGRLKGCPELMVDDDMREMAKTAAWSVSSCKPGNGVASLRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISVRAGDGFHNLKEIKTVELSKPVGWVHISLSGADPRETFIHTFMLQVAVLSNHLNGRDTHVRQIKIYGPRPNHVPHQPFHFTSREFVTYSTIR >OB05G34600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19392165:19392347:-1 gene:OB05G34600 transcript:OB05G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRRWGSECGGRNGTNLELPLDEAISSASLSPPASASPNSSSYGTAKSPKSKRPKISP >OB05G34610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19392201:19399269:1 gene:OB05G34610 transcript:OB05G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKTSQRSDDLVEMTTCMVDPSLDYVVDSGELPQVPATDHVSRMDDGIEANGEKSKKSTPSSASVSLSLRSSESDFTADQKQHIPACPPISENVSVNENHQNSNSISTPSNETPSPDYAFLRISDANVQTQTVKAPPPLKQQSKLLKKREIAAKGDVYLENPSCTPASCARTPSSTSAPQAERRDDTDSFNTEANPSSAAAAMKEAMEYAEARLRAAKELLERKGDSFKLRRKPSHHRSTRSTEIKVPTESDIFDEKLAVKKLAKEEINSEDSLLDKNQKVDKNQKASEVIIDHCDESGKWALSLDKPQNFTQSNTVPNQTSSKLGKLGNWTSGDEFYELTGEDQKQKMDTAIEEEDKCETTNFVTNLSKEQKHEVITTDSDLERYEKLWEVNDGRDVGVKHVNPREDNTSPTEQYVVSTTLEASTENVGYDKNCNSSEGPAIVGNSKEDPDDEDGVAELPCKSGISISGLNLMKDMPSSFSEASSSGKHATDFDNSITKESSVAGTSQEPKCTNEELEAACDVEMQCTTGGSEKLQEAPEVINIDNSRAKQIKSLILEDLEGSYESQAFPRDLGTAGSEAETYGRSLGTTGLKAETYGRDKFSFIEESFMDNANGNRTESPLETPISEVEKVETEERVDSCAHSEESIVDRDAECPKEESDITSQNNNLPDYEESSMLNVFEVASKLIKRDLDQEKQDTLQPDQEKQETGKGEPDAKENHSETRDKTGIEEVLSRGNQQDQKVPEMEKTKGQSNVNAQGNITVVELDGVTCYEDEDVTTAVTSNSKDQASSSSEMRTGGHHMPQDAEPAISQACNGSFPCLEKTEKVCKEAGRELPRDKSSAFEEEKARTNKVEGKFSKGISNAELKQQQSHLEKTSSLPKSAEGNIPSSADISRKEAPGIQRPKERGSLRTEREREKDKEASRRLEETKEREKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKIALERVTAARQRASAEAREKEERASAEAATERAARIKAERAAVERATAEARERAIEKAKAEKAAAEARERRERYRSSFNKSTTLDTRQDTQFQRATSSNLMRNQDSYSKGLEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRMSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTELITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >OB05G34620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19401209:19404997:-1 gene:OB05G34620 transcript:OB05G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MA38] MQFSSVFPLEGKACVSPIRRGGEGPGSDRLKIGDSISIKHDRAVRRMCFGARGTRNSAQCVLTSDAGPDTLHVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEAMKVDTSFLNFAIDDPTKYPYIASMGVYVFKREVLLNLLKSRYSELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVVIKNSEGVQEADRPEEGYYIRSGIVVILKNATIKDGTVI >OB05G34630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19411969:19418487:1 gene:OB05G34630 transcript:OB05G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKVLYDDVSRLLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENMMDMDLEQEQPMLFPEADTTRFRGMRLEDLDEQYVNVNLDDDDFSRAENHHQADAENITLADNFGSGLGDTDVFNRFERFDITDDDTTVNITPDGHPQVPSTLVPSPPRQEEPPQQQENYHAAPSPLHEEPQQGGSSHEQLQQKLKGQQPAKSSKRKKHMKDPQVMMDNDQIMIPGNVYQTWLKDPSSLIAKRRRINSKINLIQSIKISDLMNLPPVSLISSLDKSPLEFYYPKQLMQLWKECTEVKFPKTPSSGGQKSSSPEQQQRNSPPQAFAPQAQVDNEREMGFHPVDFADDIEKLRGNTSAEYERDYDTFQSDHSATPGSPGLSRRSASSSGGSGRGFMPLDPEVQLPSGRSKRRQHSSGKSFGNLDPVEEEFPLEQEVRDFKMRRLSDIGPTPDLLEETEPTQTPYAKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLRQLAYGMTTAKAARLFYQACVLATLDFIKVKQLEPYGDILISRGPKM >OB05G34640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19419627:19421819:1 gene:OB05G34640 transcript:OB05G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47840) TAIR;Acc:AT3G47840] MAGHRRLRLICLRRSVWTAAVGHDTPPPPPPMHELLPRLKQLVRAGRRADATRLFDGMPHRDEVCYATLLAGHAAAGDFPGAMELFYRLRASSPPRAAADSFVLSLVFKSCAAAADAGFLPDAASLHAFAVRSSAVSSVFVATALADVYAKAGCLGLALKVFDEMPHKNVVSWTTLIASLTRAGRRHDALRRFSDMRTSGVHCDSYAYAAALTACTDAGLLSRGREVHAFCAKLGLDSMPYVANTLATLYARYSDIDGALAAVNRMATRDVAAWTTVIAAYVQTGRAKEAIEAFVRMVREESSPVALPNEYTYAAVIAACADIAWVCLGEQLHAQAARKGFACGRSVANSLVTLYTHAAGCLSAADAVFRESMVKDVVSWSAIISGYAQEGLAEDAFALFREMRHYSRYPRPNEFTLASLLSVCATVAALDTGCQLHALAVAAGLEHHAMVRSALIDMYGKSGSMLDADMVFFHRAKDDVVSWTAMIVGHAEHGHGKKALELFKEMCRIGLKPDHVTFIGVLNACCHAGEVELGLRYLNEMNQSYGLHPAKEHYGCVVDLLGRAGRINEAEEVIRKMATNERDGVVWTSLLRACSALGEEETGRKAAERAMEAEPWGAGAHVAMANLYASKGQWHEAAQERHMMKQKGVVKGAGWSSISVGGEGRRVGVFVASDHTHPKDSAIYSMLELIYYGAGMARHAHDQLDLGSDLDMMISRKHRLHEHLFPFSGA >OB05G34650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19431576:19432415:1 gene:OB05G34650 transcript:OB05G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVVFLSWSSSRVPAAAAAVVRLAGSLLLNARFVFVLGNAIVLLLLALSRHDLSISSSNQAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAEAVSWGADDAEEFRRTVEAFIAKQTRFHREESMTMSIVTGVGHGEVAPAITGALAVVE >OB05G34660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19432235:19432564:-1 gene:OB05G34660 transcript:OB05G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENRGRPRTRTRQGKNVHTSLLLVSQGELQFSIYVLCTGFFSLTGGPTYSTTARAPVMAGATSPWPTPVTMDMVIDSSRWNLVCLAMNASTVRRNSSASSAPQLTASA >OB05G34670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19439138:19446864:1 gene:OB05G34670 transcript:OB05G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVAFDRLISQDPFHEVRRKRDKKKELAPLVAPTNALPRVETNFFHMPNGWPGQNMPHNGMFEKFAKIKAPQETRPRPFYKSTFRGSKTGSDRSGHFHTGLGGDSTGSGKGPTKRETELHSLANSSALDSVKESNPKENISAADHATINDSSIVSGGQADAKPTTLQPSSQVKHGWGVMSGRPSMADIVKMGRPQAKPVSRSVASNTGMPTVGGPIISNPTNHTSKDQQNLVLTSEVDSVTTDKIPNGTNGVSPTCNDSSIDVLPPREGLEVPESVATSKPGPSTADVNKDEVKEDTNYKNKDMSASSVDGPTSSGPCTASSEEIPSDTQIATQLNNDLIDETNDCQSDSNAFENNRVPDSEGNMSATDKQFEQLTLNEEKISKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANSDGDVAVVSDNHLIDQSDVRIHEYENKDTVAPAAEGHAASSTKSDMENLDVTPVQQPELRTTDLMDVTNNTVYNLSSTSDYATSSAVQPDSSAQTYLQEHRHLQNVSPLSNFMHGNIPNGLLAPALPPVRDFDPAFSLLLTNPPFATMVHGTTSSSMGNAATVSTQPQENVNPGASSNTQLSQSQPSTSTSIASGPPLPQHLALHPYAQATLPLGYASMIGYPSLPQSYAYLPPAAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKSNVSLASLPQPASLLSSYVGGFGAASSMPGNFALNQSTASATAAPGFDGTVPAQYKEGNHFVSLQQSENPAMWMHGAGSRTMPPLAANALYGYQGQQGHQGGLRQGQLPSQFGAALGQSQPGLGHEHRNPSDGNLSAAAAAQANQMWPNSY >OB05G34680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19448277:19450311:-1 gene:OB05G34680 transcript:OB05G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGPVHCLACLLVLLLFSHGFEKIKLKWKDEVRTRHRSYALAEAAGGGAEQTATEARHDASRRLTGVAKLESAHETPERDVTSPLATVPVETNPTVTSTNPAAMPATQTPSLANPVALDYACGQGGVDCSAIQSGGGCFNPNTVRDHASYAFNSYYQKNPVQTSCDFAGTAILTSIDPSSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGYSNGNSPPLYGSMSPPGYTDNVGAAAAMAGSRRKVLSLACLVVAMVPLNLYE >OB05G34690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19449480:19450311:-1 gene:OB05G34690 transcript:OB05G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQPSFFTFLHLLLNLLPSHNHLNYVRDPAKLLASPSKFPLIPLTPPPLRKKKNLEIILSRHAYAYAYQDQEMHLLEE >OB05G34700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19457020:19457778:-1 gene:OB05G34700 transcript:OB05G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPLATASSSSSAAAAESPSSSPSNASIVARVVAVLAVASVSLFAQHEASRGFHIDVVNAAPRDTVAGRRFDLFFVSNGRAERVLHYASRGVEEALFPDASFPRKRVTRVTVKTPGGGGGGARRLRRRCGSRGVRHFTEPRAHVQHQRQGRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRR >OB05G34710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19458406:19465476:-1 gene:OB05G34710 transcript:OB05G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWVYSEILEYRKSLSYGKVHSDANLESETIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRGILRAMAEFGVVLVYFYICDRTNIFPESKKSYNRDLFLFLYILFIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKHNEKPSVMAIKLACCCLTVILIWEIPGVFELLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGTIVTLSLMVGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNFTQQLRCSSLALLTWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPDYPLLNFMLTTAIYLLLSHRVFEIVGVLKGAFIPSRDDNRLYQNFIAGIAISVCLYFCSLILLKISV >OB05G34720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19471821:19475256:1 gene:OB05G34720 transcript:OB05G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSCPRVYMSRKALDFGQLASCRCRWAGRAGTRAAPRRRMPCVCFVASPSQPGVAAIDVPAEAIGSAAATAMIPERISVASLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELASLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLAASTRSAAIFSGVSSTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLRDIIDSEFSESDSLATAIELVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >OB05G34730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19476376:19476528:-1 gene:OB05G34730 transcript:OB05G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQFVLHQYLMILPYLTCRPMYERRKILMVRLKFGAAILYFISILVYAFNS >OB05G34740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19482022:19487614:1 gene:OB05G34740 transcript:OB05G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MA50] MQQEQRKKSSAEAEFFTEYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKVHSIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKALSKADREPSCQPIRKVEFEFEQRKLSKEDIRELIFQEILEYHPQLQKNYRNGTERATFLYPSAVDQFKKQFSHLEESNGSGSAIPMDRKHASLPRSTTVHSTPIPAKEQPLAASLKSRPVSDEPSKNPRVMGGFPGNAPATSQAPRPQGAKPVAPGRPAGSVLPYETGSTNDPYGARGPAMSSGYPPQQQTSQANDYHQMPARMHSVDQSQTMDAYKTHSQTQAYAYWNTKVTADVAVDMRASPFKHSAGSTNGSLDRMVTQTDIYTRSLNGIVAAAASAGVGTNRKVGALPVSASRMY >OB05G34750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19487835:19489239:1 gene:OB05G34750 transcript:OB05G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3MA51] MAPPLSLVFLVLAAVSLSASAAAAVLPRGAVPAKSGYLPIPSANASLYFAFYEATEPIGPYLFAGGSNGSGGGSLSPNPFAWNRRFGLVFIDSPLGTGFSVAPSPAAIPTNQSVVAEHVLAALQSFFALEPSFRARPLYLTGESYAGKTIPAAGSLADTSHEPEVTAHADIAYFMGLINGKQKREAEAMQAKAVELVKAERWSEAYLAREGLLGWMENASGVASLFDVEEKRSMLEVAAALVPLLNGDEVKAALGARGDVEWKMCSAAGLASREMIEDWVFGDGLFASGGGNH >OB05G34760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19491089:19492399:1 gene:OB05G34760 transcript:OB05G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3MA52] MAPPILLAVVLLVVQLSAAAPVVFPDEALPTKSGYLPIPPANASLFFAYYEATHPLTPPPSTPLLLWLQGGPGCSGLTGNFFELGPYFVNPDSVSLSPNPFSWNRRFGLLFIDNPLGTGFSAVPSPAAIPTNQSVVAAHLFAALQSFFALQPGFRSRPFFLTGESYAGKYVPAAGSYILAVNPTLPEQLRVNLRGVAIGNGLTHPVAQVATHADTAYFMGLVNARQKRELEALQARAVELTNAARWREAADARGLVLSWLENATGLATLFDAAKQRPYETGPVGEFLNRAEVKAALGARGDVGWEECSGAVGAAMHEDVMRSVKPEVEALLRGAGRARVLLYQGLRDLRDGVVSTEAWMRELEWDGLRGFLDAGRAVWRVGGELAGYVQRSGPLSHVVVYGAGHLVPADNGRAAQEMIEDWVLQAGLFGGGMRRAA >OB05G34770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19495016:19496784:-1 gene:OB05G34770 transcript:OB05G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGAAGHGLLYTDHPAAGFVPFDHDDGFLFDRSAACAGAGGVGVDGLIAPYSSITDYLQAGFLQDVVVPVDASSTLGDAAVKHEMMVVVDRHAGQHHPGGGVAPVTPNSSSSEVAGDQDELRRCKKGRRPEEEEEIDEEGSTVQSCKMNKAKNIKKGEKKAREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAPRCGVKKRVERSQLDASMVITTYEGQHTHPSPVSYHVHRHHAAAGLMAAAAGFAAPPPPSLLRLCPDDDGLATRVATMNQQQMSYDVPSIEMHATRDKVVQL >OB05G34780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19501575:19501835:1 gene:OB05G34780 transcript:OB05G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTQGSLKPRTNPRGRPGRRRGGAPLPAPEDPSRAAAMRSVREWSTWTMKAAKAAAIYGFIPLIIVIGMNTDPKPSIGQLLSPL >OB05G34790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19505294:19512539:1 gene:OB05G34790 transcript:OB05G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT1G15240) TAIR;Acc:AT1G15240] MATAGGPTTVRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINLPFATALIVLFRYISLDYDFRRKSTTIKDNNASRPLNKTKGIESNKIPSIQKDGKSDWRSKVNSPPVEAAFEQFTRHLVTEWVTDLWYSRVTPDKEGPEELIRVVNTVLGEISVRARNINLITLLTRDLVDLLCKNLELYHLCQAKIGKEKFVNLPTERRDAELKLTLLTDNKLHPALLSPSAEHKVLQSLADGLLSITAKPQDLQCYFFRCTARELLACAVMRPVLNLANPRFINERIESLALSHTNKAERGVAESLEPATMVKQRESPMPTVDELAALIDPTSPGVELVRFSQDQSKATQDMQPGKTKYPSNLKANSSNTSLTNSSHPLESSILSTTTHVHSDSSMSLHPHSSVRTTAECYGGERAQTMDISSQRKNQALAPEHLENMWTKGKNYKFENAKHVTKVPARSSSLGTAPVQQSAPYSTSIGNYCSAPQRQATLSPSDDQHLTKQSTSAAYLNDTNHLRLASPRESASHASQEDLGVDSESSYATEDDENNNVTGLDSPVTRVWDSKSKGNVTSSHIHHPLESSGLHKAKKNRNHIGKLKMTKTSGRKRSRPNSQKPPVWQEAERSSFLVGDNLDILNTSADDSRTDGLYDDTEVENMSRMFSGTNASSLSLASTDSLYSSNYSTTNVLEDSYLKLKCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVHVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTLSVNLDERSKEKNDKPFNSSQALKGNLVSSSQYLCVHKDDTMPKERDTDFDAVDGLRSRKRNTEQNLGIGVGNTNTDLHEDLPGSDSEQNEHSFLINSGNSKKMSSETDYQPQNLESDGCSVTPNDWMSPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQLLQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQILWPDGIFLTKHPKRKAATPPPGSPNNGMANHLTDEQRLEDAHRANFVHELMIDKAPSALVSLVGRKEYERCAQDVYFFLQSPVCLKQLAFELLELLVLAAFPELDDTVRKWHEEKQQFYALE >OB05G34800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19517652:19518602:1 gene:OB05G34800 transcript:OB05G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRTDDAKARRVGVGVGVGGRLRQRLSQILLHSSCTTTSATAFVSLSKNNAVPGNAAAGSRQGQPPAAAHEPPRTKIDGSVRRRRRRSSRALVHISVECSGATSARHSARSVGAAAVMPSPVAPAKGVKDVGSKARGGKPRSLSYSCSSSTDTDDELPPFSSDGEGDEGAETRSSTLFSSLSISSDSTSDFYNSTGGSKRHHHSKNPPRRTPPRGAKPANAMASKQHDGKGAKKADGAKHGGVAAAGSMAVVKRSHNPYADFRSSMVEMVVERRVCSPDAMGDLLVSYLSLNSRRHHPAILAAFEDVWEAVFAAP >OB05G34810.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19524940:19526270:1 gene:OB05G34810 transcript:OB05G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNDWDLQALVRSCGAAVAGSEPETAIAAAPPPELVGQPVVRSASAAVASYCDLEYLDLYHHELPRAPFMVTAPPPSTSRGRGRERGEGEREVLISFPAASTSGQGQLLPSRKLPGRKPGVRTARPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPFPRGYYKCSSLKACMARKMVERSPEKPGVLVITYIAEHCHAVPTQINSLAGTTRNKPASPDHQQQPQPQQPSSGQSPGATSTDEAATATGKREDSADTCSMGDDENDLWAPVEMDMDDFFGPFDDDIDHFLEDDVGVLGRRLGG >OB05G34820.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19534084:19535704:1 gene:OB05G34820 transcript:OB05G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDMIIACAWRRFLEKTRLQKLFSRKKSARRKADSNRMMTCGPPQRGYSFPHFTFQYKEILHSKRSLKVRDLSSLARFVLRPILLLDLRCKPSSTSTHVVSVLGDDKLTLEIGSNHRTAAYCLRPAI >OB05G34830.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19536193:19536758:-1 gene:OB05G34830 transcript:OB05G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLESLSFKGMSRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFIISVVKDVGRDWDIDYELRVGLTVDLPIVGNFTIPLSTSGEMKLPTLKDLF >OB05G34840.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19540271:19540961:1 gene:OB05G34840 transcript:OB05G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPNKPKAKDVGVVFYAAAKVGLSSHTAVDGRVSVLGGLYGRRAVRVAMRCRVLLRVSSTAVAAAGSPSCVADFTSH >OB05G34850.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19549043:19549825:-1 gene:OB05G34850 transcript:OB05G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRLLAAGRRPRAVSTITAAAAAPDAGPRDSEDDGPFFDLDFSSVRASSTTTTSDSDDDCTDTDLDFIISLPRSRSASPSYDPLFFAAASASFRSPHPFCAKRRAAGLRTLSFGAKNAAAFYGGDNVSKLSPICVT >OB05G34860.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19549129:19549897:1 gene:OB05G34860 transcript:OB05G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMPSCFSRRESSRRRDAELELDAGKVAADADRLFRRRRSPLASAAARGDVLRNRLEILVGAEGMGRAEAGGGGGEEEGVVGGGGGAAAGEGDDEVEVRVGAVVVGVRCGGGGGCPDGGEVEVEEGAVVLAVPRAGVRSSSSSSNGGDGTRAAPRRQEAEDVHGWEVGRQGWAWVGFKPGAPRGRR >OB05G34870.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19556407:19556622:1 gene:OB05G34870 transcript:OB05G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSSSLGVLRSISFCFSTFFFLCIYLGAMKSLLLRSIAADGDVMFYHYHWFVFAEHPFQVSFSVLANSLLP >OB05G34880.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19557428:19563099:-1 gene:OB05G34880 transcript:OB05G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALGVGVGVGLGLASARWTAPGDGEGGGARVGVGVAELEAELRRLVVDGRESDVTFDEFRYYLSERTKEVLISGAFVHLKQADLSKHIRNLCAASRAILLAGPTEPYLQSLARALSHYYKTHLLILDVTDFSLRIQSKYGSSSKGLVHNQSISDTTFGRMSDLIGSFTIFSKSAEPRESLQRHTSSADVKARGSEATSNAPPLRKSTSVSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLVQSLYKVMVSVAENHPVILYIRDVDHLLHRSQRTYSLFQKMLGKLSGQVLILGSRLLDSDSDHRDVDERVSSLFPFHVDIKPPEEESHLDSWRTQMEEDIKKIQILDNRNHIIEVLSANDLDCDDLSSICQADTMILSNYIEEIIVSAVSYHLNHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDMKGANGSKKPDTEKSTTLPLKDGDGPLPPQKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDQAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVDEGIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKGATAENSGNSTSKKENPENPESKEKESSENKEEKTESKPENSEAKAEGGDGVTIDLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >OB05G34890.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19590646:19592594:1 gene:OB05G34890 transcript:OB05G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQTIQLAAGLGTTTAPSPPAPLLTRLPSHAAAGVHQSMITDSVSRLKSATSIPAGVRVRPDEDGAPEVPYAVSLSVPASPSGVPLGASIRRGDARAQAAPSETKIDIHPAEPSHSQLTMIKGADGEPPVQCAVPRSTSTRDRRFDQFKTFSGRLERQLSSLRGMPPQEPADIETAESNKISEEEADGGEVPTADRYFAALEGPELDTLRATEVAVLPKDERWPFLLRFPISAFGMCLGVTSQAMLWKTLATEPSTAFLGVSPDVNHALWWLSVALMVLVSAIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGSPRPVWTIHHVVWFLLMAPIFLLDLKIYGQWMSGGERRLSKVANPSNHLAIVGNFVGALLGARMGLREGPIFFFAVGLVHYIVLFVTLYQRLPTNVQLPKELHPVFFLFIAAPSVASMAWARLRGEFDMGARVAYFVALFLFMSLAVRANMFRGIRFSLAWWAYTFPMTSAAIATVLYASEVTTVATRSLAVGLSGIATVTVTGVLATTVYHAFVRKDLFPNDVSIAITRRKPKFSKILAHLRSSGADVKELVFSFSSKNGASDSDDSASAVSKDYSNSSGDQSPMTRPIN >OB05G34900.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19596044:19596316:1 gene:OB05G34900 transcript:OB05G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIFFAWWSHLMTHASWVDTQTHAKLYRHHVPITGNCTYHFTLSDNSFKFRALLKVTTTLFLLLLFFICLFSLPPLSSAVCQSWGLGE >OB05G34910.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19596761:19599993:1 gene:OB05G34910 transcript:OB05G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPPSPAAGGWAGVAGVGPTTVDEASMERSKSFVRALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQQIGGTTLKHHKHYILPSTSHKRTQVAHLQKDNGQDSMPKPYPSAKTLSWHLASENSISTPGAQKYTFTLGDTISSKPASNSSLYLLGKDIPASPMHKPLQLNGNTSFDAKKNIGAKDQPSFKYISTFNSLDKPRGREIQKVPGGTKSMLATLFIKHKSAKMRKSSVR >OB05G34920.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19600164:19604366:-1 gene:OB05G34920 transcript:OB05G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARKDDVDDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNRTEDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFLSEPWPSISSAAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRIDYQEFVAMMKNNSPEIVPNRRRMF >OB05G34930.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19607863:19610386:1 gene:OB05G34930 transcript:OB05G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAVGGVNALMVFHDDLRINPRQCALLAHACSLAFHAVAGEVSARLRFEDRLTKWKALDDPIRELHRAVRDGEAYIRQCLEPRDWWTRAAAATHATDCVEHLLHNLLWCVAVVMEAVEAVGEVTGSDPDEVARRRLALAKDYDKDLLDPKLFRQRLGKTYLATRELAARMDMAWKEDRWLLSQLLDERKGPTSPEPLTRQEHRLADLLAAPRGKLHPASVLLSDFHMRRRLGGNLKEVQWMGESFAVKHLVGVDADAAAAEGALLAAGSPPTVAPCRYCFHDEEKRELFVVMDQLMSKDLGCYVKEVTSAKRRVPFPLVVVVDTMLQIARGMEQLHSNNIYHGNLNPSNVLVKPRHGDAYLQVKVAGFGHGHGQSAVSNSGTKAPANANANPCIWYAPEALGNEPTARCTEKADVYSFGMICFELLTGKIPFEDNHLQGDNMSKNIRAGERPLFPFQSPKYLTGLTKRAAAEVEVDGRGEAAAADRPTANAEDKVRRAPE >OB05G34940.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19610417:19614823:-1 gene:OB05G34940 transcript:OB05G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) TAIR;Acc:AT4G01100] MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKAPSHQLLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRRQTGDEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLKTNPLDLAKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEFVKEVLGVEMRISD >OB05G34950.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19614575:19616034:1 gene:OB05G34950 transcript:OB05G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGGDGYREGVRGTDTPPATPPATSDLQMERSWWLGALTPSRASLASSARLTMVVTAVSPLLFPTTSSEAMSTRIARCLASALLRAAWGSRGGGGGGRRREARQRRRGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGALIKPIPASRFAFIGKGKIAARTRAAAGPSLAAGGPSPGGVHVSVATAGRVV >OB05G34960.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19623722:19625071:1 gene:OB05G34960 transcript:OB05G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEGAKQGLDEYCCIKGFFYRRLLSALIEIFGDDSILQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRNLAREGEANTRGTLMTKTEEVTINAKTANWKAIVIFLILQATIK >OB05G34970.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19624035:19624280:-1 gene:OB05G34970 transcript:OB05G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGWILPTQPSTISTLLHLQADRIISLPSRARLRPSLRACTQASKATRLAAAPGALPQGCPKVPPPNCNIESSPKISIRA >OB05G34980.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19639312:19644602:1 gene:OB05G34980 transcript:OB05G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) TAIR;Acc:AT2G46370] MTICSCEETINEFEMLTRDAGRVQQDTLKKILEINANAEYLQNYGLGGRTDAESFKSCIPLCVHNDIEPYIQRIVDGDSSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIFRTSYAFRNREYPIGQGKALQFVYGSKQVKTKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWKDLCADIRDGVLSEKVTAPSIREAVSKILKPNPVLADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGSNINPTVPPEQVTYAVLPQTGYFEFIPLEKPKGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIVRFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKLLEGEKLEIVDFTSYVERSSDPGRYVIFWELSADASDEVLSSCANALDLAFVDAGYTGSRKIKTIGPLELRILRKGTFEEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGL >OB05G34990.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19646659:19648400:-1 gene:OB05G34990 transcript:OB05G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24140) TAIR;Acc:AT3G24140] MEANLFLDMDVSIMCLRFGNLVINDHVHAAEEPPGQLCAAGQEAVVAAGEMVDYMLGQPTPPTPGPQSQVSFDKLTFSDVLQFADFGPKLALNQPKASENGGGGDDDDDDDSYFLRFQSLPSLPTVPPPRGAHPGDEQEGSKQTVDAGGVSESTTLVQQADGGGAGGRADKAADQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKGPPPPPPPRPVADGAAVVLPAPMQQQQPQPQPPATPFFPPSIPFPASSGGDGSAGAKILDLDANGGGGDVVAGGLREEMAENKSCLADIEVRLLGVDAMIKILSRRRPGQLIKTVAALEDMQMSILHTNITTIDQTVLYSFNVKIAGEARFSAEDIAGAVHQILSFIDVNYTL >OB05G35000.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19652318:19657878:1 gene:OB05G35000 transcript:OB05G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHFVFGLYRFRAVCSHRRRSVVVDSAKGEDPGRGGGMGSEAKEMKYRRRARVSGPVDDGQCGDRSGALDWGALKENPVELLLKLDEVRDHLIRSYEMEGQPRERHPMSRRAASLRPSHAEPPPGRGPEHYRSRYAGRYGSGLPQSPNEQLRRSFHSDRDSRQPSGRFRQWPEKQWENSGYIQANHHSTCQCAQCLHGQRSHTGYMQEEHIPMARYFAGQQGSHLFERSPSISSEFDRRSVASSLYSHLSVSKRRTEYFRKKAESFCRPARGAAPFVVCSSCNRLLQLPPGKCTARKQIQVRCGSCSEIICFKLKEVKVHPLVSPTSFPASKTVGSSSRQANQSFGWYQHQDEGNSSFHRLQAHERWQQNKDLADNIPVSSTSSYDRTDKERGSNRNSQLLSVSVRRSRLADSPKDILCQGDAYSQVEFSAISTVSPQAPVIEDKCVDPFSSRQKDCSDNCTSKDCSLNSMASSVDANVRNERLGVKYEQNSKDHKEGFGEETVNGRNRQKHRENTSGFCDDGSVGNTNKLWADTDNDNTCSLEDGDVGKKYEEKSKQDDNSFQAECITERYSKCSKEDINNAIRVETIATLSKQDDLDDCYSELLSPNSEHAVVSSKIESSVNERTNSSSRVSSEAELDEVQSAAVKNGDSKYIAGFLKKGLKDLSLFSQSVDSAKVLINGHPISERALRKAEKKSGPVGPGSYWYDYRAGFWGIMGHECSGIIPPFIKEFNYAMPKNCAGGNTGVFVNGRELHQKDFELLAGRGLPRISGKSYSVEISGNVIDDATGKKLRKLGKLAPTVEKLKRGFGMHMPEETS >OB05G35010.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19660127:19660280:1 gene:OB05G35010 transcript:OB05G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGGSPLLFPSPANGAMPTPSAAVFDVEAAHRHATKPDAGAAFVLESK >OB05G35020.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19660616:19666846:1 gene:OB05G35020 transcript:OB05G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLDHCEANGRRHIRFRELAADVLGSGWVFYLVVTVQTAINAGITIGSILLAADCLQIMYSDLAPNGPLKLYHFIVVVAVVLSVLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGALSNAPAKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYAVVFFAFYLPAITGYWAFGNQVQSNVLQSLMPDKGPSLAPTWLLGLVVVLVLLQLLAIALVYSQVAYEIMEKGSADVARGRFSRRNLLPRVALRTACSALASPAKQGSAKLPPPQPTTSRTTTEADAEQERTDYNEVAAALESIYKLSPAVVEEKDGDADEGDKSKKAKRKRKGRVGQRNRSATVTVRSRRRRLGQRLDLGKRVEMRRREEEAGAGAGAGKREDEEREFEEMLLREHAVSTDMGSLDWKRMKIPPVLTSSQSARLFRIMQPMKAILEVKENLQNELQRDPTDAELAEAMNMPVLQMRRRLEVGRAARNKLIKHNLRLVLYTINKYYPDMANDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEIHRAREELSFELGRAPADEEIMKRVGLSPARYRDVLRMTKPTYSLHARNRVTQEELINEVTDADAIGVDTSKHNTLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >OB05G35030.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19667363:19677942:1 gene:OB05G35030 transcript:OB05G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARMYGPALAVRRWEYANAGAYVFAALLLAVALAALSAGGXXXXXXXXXXXXXRVGLVRYDVQLGLVELLVPALHVVGCVLAVVAMALLLSQGRETHAANTLLAAALVWLLGSVLNSCQVYERADGRAQLLQSSVQVPLLLGSLLFLVAGVANRRRVLDWRREPVLVGRSWAWLCLFGSLLWLVAAVLNMLKVLVMHQSDAVRLEKLRGGAQERLSRDREGRVPLNWEEAARSRRTALPVDLRS >OB05G35040.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19682415:19684451:1 gene:OB05G35040 transcript:OB05G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G01030) TAIR;Acc:AT4G01030] MYAENADIASATRVLDAMGTDSIVPWNAVVACCARLGLVDDALELAECMARLGPEPNVATWNTVLSGCSRHGRHREALGAVARMLKQGLRPDATTVSSLLKSVANTGRLGHGMEIHCFFLRNQLEADVYTGTALVDMYAKCGRLDCAKKVFDALEHRNLTTWNSLVAGYANAGQFDKALELVELMKRNRLNPDITTWNGLITGYSMNGLSSQAVLLLRQIKAAGVTPNVVSWTSLISGSCHNGEYEDSFYFCHEMQKDGTQPSMVTMSVLLRACAGLALLKKGKELHCFALRRAYDCDMVVSTALIDMYSKTGSLISAKTIFGKIQQKNLVLCNAMLTGLALHGQGREAIELFHDMWNSGLKPDSITFTALLTACRSMGLVTEAWEYFDSMETKYGVKPTSENYACMVDLLARCGYLDEAMDFIERSPIDPGVSLWGALLTGCSIHGNLALAEVAARNLFRLEPYNSANYLMMMNLYEHEQMYDEAESLKYAMKARGVDSRPGWSWIQIEQGIHVFEVDGKPHPETAEIYEELIRLVFEIKKTGYVLDTSCIVYNVQEEEKEKLLLGHTEKLAITYGLIRSDASRKPVRVIKNTRMCNDCHEVAKHISSLCDRQIVLRDAVRFHHFVDGKCSCNDYW >OB05G35050.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19685094:19687261:-1 gene:OB05G35050 transcript:OB05G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPTPGARASASLRLSFAVALALALLSSPAAAQGEKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGNVWWDMWKKRTLPFTRPHLLELISSTDVIISNVVFQDSPFWNIHPVYCSNVVITNVTVLAPQDSPNTDGIDPDSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFSMGVGIHIKTNSGRGGFIRNITVSEVTLDGARYGLRIAGDVGGHPDASYNPSMLPAVDGVTIKNVWGQNIRQAGLIRGIRNSVFSRICLSNVKLYGSASVGPWKCRAVSGGALDVQPLPCAELTNTSKMSFCTN >OB05G35060.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19690032:19694695:-1 gene:OB05G35060 transcript:OB05G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASAASPALSEASAAEAAPSEVTEVAAAAEERGRPPRPGHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVVDEEASEVEQEALPVEREPHEEEPGDVEVSSAPVEMEVVEGDAMEEESPEPAVAVGDSELEGRPGEEEEEVSSPVVPQGERKQETAAAAPEPAMEEKKHKDQEKKQKEREREKERERVDEVGYMSGGWKSEDGFLSCGYSSFRGKRASMEDFYDIKSCKIDDNQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYKKTDSDFLDSESHTHRDDGSTASTAVLVRNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQKIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSAPSGDKS >OB05G35070.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19696871:19698156:1 gene:OB05G35070 transcript:OB05G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPLLLPAPLPLPALTFPPRRAESKIVGMVTGLVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPEPYSNAQSSTRKRDMKKLVQDHSPCQPLALQSDPDITILPIKEIERRRKIGAANKGKVPWTNGRKLSKEHKALIKQRTTEALRDPKVMSC >OB05G35080.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19702614:19707247:1 gene:OB05G35080 transcript:OB05G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MEPADPDFYRIGYVRMMRAYGIEFLEGPDGMAVYASRDVEPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSADECTSLLLAPKEDLMELEDQDLSMKMLKNQQRAIDFWQKHWHKTVPLKIKRLAPDHERFLWALSIVQSRSVNVKLRMGAFLQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAVKKGEEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNSALTLGENNFVDGGVVAAARTLPTWSDGDVPAIPSVERKSAQVLQEECHTMLESFSTTIQQDQEILDSDGPIRRTREIAIKYRLHRKLLLQKIIDALDIYQDRILF >OB05G35090.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19707052:19707246:-1 gene:OB05G35090 transcript:OB05G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCDVVVGEVVDACPVRFVVWCRQHFAALPVTFFLSDLRPEKRQVFSVAWPTWRQCNYALQSQSQ >OB05G35100.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19709303:19714880:-1 gene:OB05G35100 transcript:OB05G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDGGRDKPDTKQDVFADLGSPVSPLRLRPGAAATPSSSSSSAGSAKSPALCNAAGGLFDFVTENCTMTAACRFGMVERARKHFMLAGQANQSDSAEFQRLQEMERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLLKLDSASLSSMSTKLSGMVADSYVHVVQAQVNMAFGRFAPAITMAEKARIIDPGNTEVGRITNNIRLVAQARGQGNELFKAGKFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGHWVKSVEDCNEALKIQPGYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDTEVAESLFHAQVALKTARGEEVANMKFGGEVETVTSIEQLRDAIHSPGVSVVYFMATMNPQCQQITPSVDSLCSECPSVNFLKVNVDDSPMVARMENVRIVPTFKIYKDGARVKEMICPSLHILRYSVKHYAVSSS >OB05G35110.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19714910:19715158:-1 gene:OB05G35110 transcript:OB05G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSSSSSSTPLCSPHSSLLHPTPQSHSHSHSHTYKHREREYLRFFIIGASSSRRESTQSSVLVPVVCLLGQRGGCWWWSRLVS >OB05G35120.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19721872:19723717:-1 gene:OB05G35120 transcript:OB05G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIYCWFIICSGCRWSGNQAGSWESSTESTDPSSLLVKIPGPESSKENSGVMWTSSPDRLEDPHKPFQYSNSSGSPCVSESGSDIYNKREVKQKLRQHLKRRDEMIMEMQAQIADLKNSLSIQVKQTANLQSQLDAANRDLFESEREIQHVRKIIADHCVAEALSHDKPLQAGHWQSDGTNGHANGYADSSVDDPELHSNCIEKRNGEVERVEMLKQEVCDLKEIIEGKDFLIQSYKEQKLELCSKIRELQEKLSVQVPSILSLICEQSCAICLHLARYGSGECHCLNEQGFLQLYARLMSTRRNGHAEDDEQAKLDFTRLLDTGLYLTIIMGRRRCPCWCEYCTAAGQPKAVLG >OB05G35130.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19726620:19729229:-1 gene:OB05G35130 transcript:OB05G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIHCARAGVPSMTFEYCVGSSSRITFSYYKYCATLESHLHQNKFQDHSRLIQYRVFILPRSSLEKRACEHLVHGMDEQWTDHSSYSQPFSSAQPSTQKRDVKKLLQDHSSCQPSTPQGDVYTTGLSMKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKQRTTEALRDPKVRKKMLGHRQLHRQASKDKISAALRKIWERRIVSVKARQEVIRTWSNNIAAAAKQGDYWQDKLDWDSYDRIKSEMISTFLWNKVREKIIKKLNRAEAKIVAKKLQAAERSKLQSRGINKLQREKLVLRKSDAELTRVVVSTRPKLKERLTKWHDRKKELETMIHSRKRKGVGLRSSIPRRKAAERRAEVDLVEEVCITCKDRLPCEIHHQGETQPTELFGE >OB05G35140.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19729560:19731641:-1 gene:OB05G35140 transcript:OB05G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEQLKPPSMAATRKPPPPAVLSFLSLFLLSRAFLSWPSSLPPHSSRHEPGNGKLMRPEEEEASSFSMALAPLHPARIGVVAAAPTPSPAAAADGSPAPAPTQGEVRCDLFDGSWVYDPAGYPLYDARECPFLSDQVTCRRNGRPDSGYEHWRWQPRECAAALRLGGGEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSRALVDDASADYKIFRALDYNCTVEFYWSPFLVDLDEQTRALRLDRLPAATYRRLAAADVLVFNTGHWWTHTGKFRAWDHLEKNGEKVEMGAEEALNRALRTWTRWLDRSVDSDKTMVFFRSISPEHKNTNWCYNETAPMATAEEYVEAFPRGMVSAVERNLRRARTAVACLNITRLSELRRDAHPSVFTARGGKVLTAEQRRQPGSYADCSHWCLPGLPDSWNLLLFASWNASIAMKMRH >OB05G35150.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19732470:19732718:1 gene:OB05G35150 transcript:OB05G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLEKNYSNSSTSGKTNLKCVRRVGWVVWLILHLGVFYMERFLFGGLCLETCRCLYSTLLASTTVGLTLCRLRLSLHVPAN >OB05G35160.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19733161:19734854:1 gene:OB05G35160 transcript:OB05G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLKDPNEFDPKIVASLQQDATGVDSQSYAAASDPKGSSSALPVSYHDKTGFQKKTDDKTKGATDDLTNEETTSENIMLPRETLNSDQNKSPRYSVGNRA >OB05G35170.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19734303:19739010:-1 gene:OB05G35170 transcript:OB05G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGWGRQRVEYGRTYVVRPKGRHQATILWLHGLGDNGASWSQLLDSLPLPSIKWICPTAATRPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDALAAHIANLLSSEPPDVKLGIGGFSMGATAALYSAACYAHGRFASGITYPITLSTIISLSGWLPCSRTLRSKMESSHMAARRAASLPILLSHGRADEVVSYRNAERSSDTLRSSGFLYLHFKSYTGLGHYTIPEEMDDVGKWISSRLGLDRSR >OB05G35180.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19738616:19738957:-1 gene:OB05G35180 transcript:OB05G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIPVASICALMKSAPRLCCNLQVDAFLSDLVPISMLIWCLQLIDISSRVIVFSRPFSLHYILHQNKLQFLLLCFLLNLMQKFKVLLHIPNFFSLLCHLHQNSTTRIGFLSF >OB05G35190.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19740082:19741858:-1 gene:OB05G35190 transcript:OB05G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSEGHGLTVVAAAASSILRLPCRPRPILCALLHGRLPSKRKVPLFLLTTHRVKSALASGNIFPVLFGDLAMVGADSGGICNQQFACKELQSQEFLVYRHVIGLILPLPFNSGSV >OB05G35200.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19742248:19745197:-1 gene:OB05G35200 transcript:OB05G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: RuvA domain 2-like (InterPro:IPR010994); Has 29 Blast hits to 29 proteins in 9 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G12790) TAIR;Acc:AT1G12790] MERSTHSTGWSCLPPPPEPAAPGRGVCTMSTSWRDKQQPSLINFTAAFLAANSYRLNFLPISPDFIFNNGGLSVAFVFETNWDCQNEGVVFSRVNTLKRQLKHLYVIVVVPTKEQNESFNKSYHRYGMELGRPTFVPVTDSEMGFEKIVKIAHALGVCKQQDIISTMKNEREQAVQCMDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKVILENTDLSTDKAETVVRFFRDPQYYLSPKIN >OB05G35210.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19745909:19752283:1 gene:OB05G35210 transcript:OB05G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRYNSSPIMLPRCSITCATSGAQRAPGSSICDSSTREALLLRLPGVGLVGRVPQGTLGALRGLRVLSLRANRLFGDVPGDLFSLPELRALFLQGNLFSGALPPDVAKLTALEHLALSHNNLSGAIPFALNGLAGLHSLRLDGNRFSGSLPSLTLPLLEFFNVSYNQLNGSIPTSLSRFPPESFAGNLQLCGKPLNRSCEPFFPGRLKEVAASRREFSGHLDSLGKVEHRNLLPVRGYYFSKDEKLLVCDYVPAGSLSAMLHGSRGTGRTTMDWDARMRAALSAARGVAHLHAEHSLAHGNVKSSNLLIRPDPDAAALSDYCLHQLFAPSSVRPNAGGYRAPELVDTRRPTFKSDVYALGVLFLELLTGKPPGTASVDGDGAVDLPRWVQSVVFDAELVRLGGGAEEEMVALLQVAMSCVATAPDARPDTADVVRMVEEIGSGHGRTTKEESEGRGASEEERSRGTPPAGTTP >OB05G35220.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19754777:19755160:1 gene:OB05G35220 transcript:OB05G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLYSDHVMVARLSSLVAFCARHLSRATRRLLRDRRCYGGRPGAGDGEAIWRRTILMGERCQPLDFDGAIHYDSFGRRLAAPRSASSLSCSCRSDDSLAATSDIFTTTTPQLSSPPSTSPASTPS >OB05G35230.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19755970:19757051:-1 gene:OB05G35230 transcript:OB05G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3MA99] MVNPDAVRNVVGIIGNFISFGLFLAPVPTFVTIVKKKDVEEFVPDPYLATFLNCALWVLYGLPLVHPNSILVATINGVGLLIEIAYLAIYFAYAPRPKRCKMLAVLAVELVFLAAVAAAVLLGAHTYDKRSLVVGSLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYALIRFDIFITIPNGMGTLLGAAQLILYFCYYGSTPKAGDDRSLQLPAKDGSAHSAV >OB05G35240.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19761457:19769576:-1 gene:OB05G35240 transcript:OB05G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQLYKSELAASENLVTCVCVFASPVRWVGSRESEKMSYSPDDHGDALPVPPKRAKTIRYETMQYLVFVKTVLPDNVYSDFLRCMIKFRRQKNISIEKCKQMVLRILDGHPRVIEAFQLFLQKGISPYQTELLSVIAREWLMKVKVCGISIEDYHAVLDIMVNFNKIDTVVTEDIIDEVKRIVGNHPKILEEFNFFLPYHLWAPVPKEQSCRSPNNSRESKMVLIITPDTTNKLDGIPVKATDGRKEVPQWKYTQDQNQTHEGTDYSLRHRQNKRSTGLIENPTKKGGNESPDVADDEEHKAEPFLQWSPSRENELPPKVDLSNCKHCTPSYCLLPKNCTTLQSSYQIEPERSIFNDSLVSVTSGTEGGFKCRTKNQYEENMFNCEDDLFESDMLLQRFRATIDFIKDLQHRVDSNVKIQEHLTPLHKRCIEQLYDDSGIDMLDALSESENTSSALAVILSRLNQKKRDFSEARLSLDKMCSDTIANNYYRSLDHRSNSFKQLDMKRMNPKALLAEDKQISKMKSYTDMNIYEDIGNIINYAYGRSCTTEDKPMMNWTELVKEFLSMKFQWPDLEDTVALKKVCEHCGMSKDFLNNIPVAVLANEIFLSSKRVESPRAKSNESSSLLDRFDAEVEEGEFIPDVENIRLRFQRLPTNNSGQSTYGHWNRSEEQYESRQDSDNKVDSSAYFGRTARACHVNRSISCCTLAVLSKLLQVMYERLLIAKDLSKGASTRDSYAEFKEELCNLIDGSTDNWSFEQHCLKFLGPNSYVLFTLDTLIYRVIKQICKIYPSREDSSVLEQQDRSRRTIFLKDPALLARKTDLSKEMLHHQNARDPSIELLKQDREEAKGCEPHGDAGKKNQNHFQRRRKRSLENGTPSFSQTGSENQSPTSYV >OB05G35250.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19768593:19771423:1 gene:OB05G35250 transcript:OB05G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCLTSPSRRYRHLLYQLFDFWIHGMVFNRVVVAGQNSESLWGAVPHVDVHVHPARPQQCWVKTLSVVCGEDDDPLLAAC >OB05G35260.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19770674:19772248:-1 gene:OB05G35260 transcript:OB05G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGDYEKYMATAAAVAGTAMVVRGVVSELIPDEVRELLRAAARSIRARVSSTHTVVIDETEGLSTNQIYDAARTYLAARINTDMQRLRASRVDDAQGIMITMDQGEEMLDVHDGVDYTWRLVSRDNASASAAANAHAGGYGYTGGATNRRGRSRFEVKSFEVSFHKKHKEKALRSYLPFVVDTAKAMNDQHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDHSLKQSVMYDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCSIDLQQRDEGDIKRAKSSHSGEENEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTPEAFRVLASNYHSVENHAMYPEIEQLIEEVPITPAEVAEVLMRNDNADVALQVLAEFLMAKKNQTGETKAQNKNGNQKINKYEQAMV >OB05G35270.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19773122:19775041:-1 gene:OB05G35270 transcript:OB05G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLVRSVVNELVPYEVRDVLFSGLSYLRSQISSQNTIIIEENEGWSHNHVYNAVRAYLATRINNNMQRLRVSSMDESFEKMVVSMEEGEELVDIYDGTEFKWCLISRSSLPDPNNGNGSVQREARSYEVSFHRKYKEKALKSYLPFIIATAKAIKDQERILQIYMNEYSDSWSPIDLHHPSTFDTLAMDQKLKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTEVDSNSELRRLLVGMTNRSILVVEDIDCSIELKQREAGEECNKSNSTEEGKREEKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHATYPEIEELIKEVMVTPAEVAEVLMRNDDTDIALLGLMELLKSKIKDANEIKIESKGDTKVTEENKDDKATEKQKDPSTDECT >OB05G35280.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19777961:19781254:1 gene:OB05G35280 transcript:OB05G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASSGGGDGPEQERRRAAAAAYNYEGDARWAVYWSNVLVPPHLASRPVVVDHYKRKFYQRYIDRELVVEPMTLTGSTPPSRPEVRSSSSSSSENVRARSSGSSSRSAAPPPPPPQTDSATNPLRFDARTIHFSINAWVLVVAGLGMLPILPKHLADRACKLSLLGTIFSSAYSLYSTYGKPRAWNMPAVQGWLQSVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRRYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWHVLKLMYHAPVTTSYHQSVWAKIGRIVNPYIHRYAPFLNTPISAAQRWWLR >OB05G35290.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19782705:19785556:1 gene:OB05G35290 transcript:OB05G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit C [Source:Projected from Arabidopsis thaliana (AT3G53920) TAIR;Acc:AT3G53920] MGLQMMRGRPCGPCCSSSSSSSSSSWMPSKHSHPPLNRRKISSESLRALTLRILLKRKEYHNGDISRISASSSAVLQITENKSNSKVDAERNILDDALDRKSEIEWIKKDISSLMDRSYTSSNLQYDMLMQNIHMLEISLAGKDLVRLERDILVHIERLGALKSFNASMSRVTLSPIYESEFSLPGDIVKLDPDIIPEEQNDEVIVRSGKSHERKLKRMRASEKGSRVSVRTPSRKSKKSSSSQFIAEWKNYPGRRRSIAREQSALLVSIKECANLEKIRENLLKDGSEVSYARWAKAAGVDEVLLKSRLQEGYCCRERLLVTTEWLVKYIAKTYTGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLVENSGVIQLPARMEGIIRKVKEARRAIRYNTGRHPTDAEIATLIGVSVANVRLARKCSRRVVSLYTEIGVGQNTKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNEDVHNELKDFRGF >OB05G35300.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19787045:19788721:-1 gene:OB05G35300 transcript:OB05G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCSHSTAACRVGAGQRDGEAGAAMSSGEEGGGAAAGLRLFGVQLHAAASAPTASQHLHKSYSMDCLRLQDSSPCLASLLSPSPSSSPPLPSALLLSIGEECERPADGYLSDGPHGAATMRERKKGVPWSEQEHRLFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRQNSNGKKTNKRRTSLFDMVQDCDSGRSLASDPASHCKNISASLSLKVSHQKSADSVWLSSEASVSDAAPAVMEQAQQAHGYGSHHCTPLDLELGMSLSTPSIGT >OB05G35310.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19789813:19793441:1 gene:OB05G35310 transcript:OB05G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAAPATAPAKLPTKPAPPAQAVREARNYGAPHDGAGRGGPGRGRGGRGGRAGPRRDFGEGDANGFEGGYGGGGFGDGGVARGQDSEGKQAERGRGPRQPYRGGGRRGGYTDGQNGDDYGRPRRAYERHSGTGRGYGMKREGAGRGNWGTVTDEGLAQETVEVVNTEETAATAEEEKKPEDAPQSEVDKDKEGLENEEEEEKEPEDTEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQSMQQLSVKKDNEEVFIKLGSDKDLKKKEIAERDERAKKSLSINEFLKPAEGERYYNPSGRGRGRGRGRGEHRGFYGGFNGNGGRRHASAPAIEDQAQFPSLGGK >OB05G35320.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19794691:19798361:-1 gene:OB05G35320 transcript:OB05G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRNFYDPNKIITKIKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPEKEPKSPLPPLPELSASVGITESSVKEERGSIREPAVTPSSSPESETAFGSTDVGTSSISSSDPCTSPYSASETNSTFKKEAAKDNFQHSDVNISDSESEASTPPAASSIQPWMADILKGSASSRLAGNRPRRTRAPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDGLIDPRLGDQFSENEVLCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCVSAPGSEAGSRSRRMLLQEQTSSSPAAEQDSQSQRVVDGKQHSYVARRIAWDRDTQSLSHR >OB05G35330.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19804667:19805077:-1 gene:OB05G35330 transcript:OB05G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRRPARRLPRGGRGPPPLPGRVLAVRHVLQPERAEGGEGADPVDALRRRRGGGAAAGELPDPGGHERDLLLRVGGHGRRPVDHRQHTAAGLQGGVRRRRPARRLRAQELLKPDRLAQTMVSCLMLRITLQYVCVK >OB05G35340.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19808401:19808885:-1 gene:OB05G35340 transcript:OB05G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRAANISAGRPNGGCHHVVTLVLVVPHSPTALQDGLRFVGSWSRNERKKYKVARVAIGCGHDNEGLFIAAGGLLGVGRGKLSFPSNKS >OB05G35350.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19809448:19811314:1 gene:OB05G35350 transcript:OB05G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGATLCAAARDDQELLQICPSSLRPSLCGAESIVIYLTAPGISVMPMRVMASDSIASVKLRVQTSRGFVVRKQKLVFDGRELARNDCCIRDYGVSDGNVLHLVIRISDLRLITVETVQGNKFRFRVEPGRTVGYVKQQIAKDRLHPAHPDDQSLVLQGEVLDDSNLIHDVCRSDGAVIHLLVHRSAKLRTRPVDRDFEVSIVARNAGEIHDHSPKQQTKLQRDFAIEPVVVNPKAALPSVIDNLVNAVFSGMEKGNAPIMSSEGTGGAYFMQDASGQEHVAVFKPVDEEPMAANNPRGLPPSPTGEGLKKGTRVGEGAIREVAAYILDHPPGARRSFSRQGAAVVGFAGVAPTALVRCMHRSFKQPPCEHGKTKQQQQPVFKVGSLQAFVKNSGSCEDMGPREFPVQEVHKICVLDIRLANADRHAGNILTCREEGRGLCLVPIDHGYCLPESFEDCTFEWLYWAQCREPFSEETVEYIRALDADEDIAILRFHTWEVPAKCARVLRITTMLLKKGVERGLTAFDMGTILCRATLTEESVIEEIIHEAEADGAIDDEAAFLNSVSESMDRRLDGIKRARESNITTRT >OB05G35360.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19814524:19816977:-1 gene:OB05G35360 transcript:OB05G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLWRANARVVGSGREEEGAVSLVLAHGYGASQAVWDKLVPSLSQRHKLLLFDWNFTATGAGNEAAAAEAYTFGRFADELIAVMEERGVGASGALVVAHSMSAMAACIAAAKRPDLFAHIFLVCASPRYINSEEEGYVGGFDEAAIHGMLAAMESDFQGWVESFVPNAAGDASAVEHLSKSFLAMDPTVALELAKMIFLGDQREVLDGVKTPCTIVQVKADFAAPPSVAEYMHLRMKGTAAAVEIIDSVGHFPQLVAPQQLLDILDGVLRLREEAEAEHDAGTVGIAGGIDVAM >OB05G35370.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19814864:19815325:1 gene:OB05G35370 transcript:OB05G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIPTVPASCSASASSRRRRTPSSMSSSCCGATSWGKCPTESMISTAAAVPFILRCMYSATLGGAAKSAFTCTMVHGVFTPSRTSRWSPRKIILASSSATVGSMARKLFERCSTAEASPAALGTKLSTHPWKSDSMAANMPWIAASSNPPT >OB05G35380.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19825710:19829881:1 gene:OB05G35380 transcript:OB05G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3MAB4] MTPAATPEVVEPSTCSGGGERRSRFRRICVYCGSAKGKNPSYQHAALDLANQLVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIVISAPAAKELVMKLEDYIPEYSIGLVWEDQNQKQNNLVPELDSGITSS >OB05G35390.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19831791:19834517:1 gene:OB05G35390 transcript:OB05G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRSVPAQRRGGSEGSRRMLGCWGLLTTSSSPSPSPSPPRSQSQLETTVYGGRIYHDKPFRPEEAFSGSISPTLVASEFTLMQFTYHDLMHATENFRRENFLGVGGFGRVHKGWINANGTPAKPGNGLPVAVKTLSCDGLQGHDEWVIEYNKLNQMVLSYFFSQAEIHYLRNLRHPHLVKLFGFCMEGDQRQLGYEFMSHGSLERHLFRNRTAPLPWSIRVKILLGAAKGLAFLHEEIESPVIFRDFKTSNILLDEDYNAKLSDFGLARDGPVGDKTHVSTRVLGTYGYTAPEYVMTGHLTWMSDVYSFGVVVLEVLTGRKATERMRMREQKNLVDWGRENGRDRDRFHRLIDPSLGSIFSISGAQMLGRLACACTNRDPKTRPPMSTVVHTLDTVLSLQDMATDTALYRTMLADRAVNASSS >OB05G35400.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19834456:19836805:-1 gene:OB05G35400 transcript:OB05G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGNLFCCVQVDQSTVAIRETFGKFDSVLEPGCHCLPWFIGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGHAAAAI >OB05G35410.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19841207:19842292:1 gene:OB05G35410 transcript:OB05G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNKQGGGGGDKVASAAAPNEEIDPKYEWVENANNFLLRLTLTGFKKEDFRVQVDGKGKLTVRGQRPAGAGNKHVRFHKVFQLPSNASVDDITGRFEANVLTITVPKRPAAASPSSVQEIKQRAKQDDEDEEARKKKEDEANKKKKKLEEDVEANKKKKKKLQEEEANKKKKLEEEEEASKKKKQQQQLEEEDAMAKRGKQADEQQVHKSATERKEQQVNAAPGLSIDRDNMAERVKRRAEEERAKAAAAAEKTTTGFSGWRERVAGELEHLGDMRWAEGVVQTARRNKEVIATAVAAFSIGFFISQKLCCRR >OB05G35420.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19842685:19846467:-1 gene:OB05G35420 transcript:OB05G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3MAB8] MALALRCPAVSSPSPARSPFPPSSSPRLPRRPPAICRCYYHGDGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGMHEGPAGYSMGMGTGSMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSVPR >OB05G35430.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19853044:19876382:1 gene:OB05G35430 transcript:OB05G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLGGGKGRIDLPATDKDRPSSKKNRRRHKKPAAAPPPPPTPTPTPTPVPAMQSLFDTSKEVFRDSFPGFVPPPQAVARLAALLNDLKPHDVEIEPSMSCFKNVDSKGPPRVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDSDSTALETSEGARLAKVNTDAVFDASAETTVLYPENGGNLHCFTARTPCAVLDVMGPPYNRADGRDCSYYDELPYMSSSDLFGKRFLDLEANKLSVGSLSLLAERNGIRFQVVMHVTDIPFDFFQRHQKIRKQLLETMILNWEQERNSIMRNNSKTRYLYKIGYLDAEENLDLEIEWVKKMMSTTDAGSRKGEGYLRKANGLGWSGWSFGLATESVRDPGPQGPSEEIRRTATQPSPPLPSPLPSRTLPPASAAAGPAAAGLPSPLPSRTLPPASAAAAASASEMSVWNYVVTAHKPTSVTHSCVGNFTGPSQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFRAIPIKQSIIRAYGRVDPDGSRYLLGDNTGILHLLVLTHERERVTGLKIECLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADANGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAISDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDSKLVEVKHIQLEHEISCVDLNPIGDNPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDDIYIGAENNYNLFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFFEKLQSTLVKFIKGVGNLSHEQWRSFHNDKRTSEARNFLDGDLIESFLDLSRSKMEEVSKGMGVPVEELSKRVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDEELMDLLFSFVKPGHPHSTLLAGYFSKVVICLMLRKTSPLMNYVQEHPELVVQLVDLIGITSIMEVLIRLIGADETIYSNYADTLKWLENTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPDTVDGMLESLGDLLKLLDISSAESVLPTTYGCLQPPLGKHRLKIVEFISVLLTIGSETAEKELINQSAIKHSIDLFFQYPYNNFLHHHVESIIISCLEVNRSQLIDHVLNECNLVGKILAAERSPSLSTESNTPTLPSEGKVPPKLGNIGHITRIANKLIQLGNSNSIIQSHLQENSEWVEWQTTALVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGMYSNDDIEEAQAIDRDDEDVYFDDESAEVVISSLRLGDDQDSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSGETSLNTEETDEVLIDEDTSIEARLESVSLENGPVEETGDLVDASKQTDSNMEDEKLLCTEEGNVSKEAEESEQHVVRGGQADVQAEDAAEGSCGEMGTERAVDEPVSSSSETNDASDGASSDSGDIHNASATGSSEQAPNDSGAGFHANEEDLHVKVEDEQKTDEPAATE >OB05G35440.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19873661:19881102:-1 gene:OB05G35440 transcript:OB05G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNLAIDDDEYSFPQDDAADKDKPKKGAKKGKKGAKAALPDDDDYEPPARPPGDDDEEPINLVFTGKKKKKAVSSFSALAADEDQHDNDDDDEPASVEPDAAKSGAEDDDLDFDFSKAKKKKKKSKDKGDRPAPLQDDDDLDKLPPSAADDEDGEEEVVAAPAASKKSQKKKKKKGGFTVDDEDIDKLLAEIEDTSPPSEEAEPEVKAEGSVAAHDVDDALGKKSKKKKKKGGFTIDDEDVDKLIAEFEDQPPPVEEPEPEPEAVKDEGNVAAATSVDDAESKKSKKKKKKSGRTAQEEEDLDKLLAELGEGTPAEKKEVLPQAPPAAAMVKEDTETAEDGNVEQKAGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEDVKDVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSERQVADGAVPETKKRPIYDSKRKKGQQKPVETAKLVEEQPQEVDEANKDEEEYVLVDQESQSQVEESEEKTEPDQDVEELKPEEEEEDEDEWDAKSWDAIDVNLPKTSAFEEEEAKPVVKKAVEPVQKQENSKAQSTVATVKKVGPAANSNKGEMEDVESSNGNVRRNRGASKKGPIKDDETKNGGDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCPNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVSKHDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDVATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKTILKIP >OB05G35450.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19880358:19880903:1 gene:OB05G35450 transcript:OB05G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTSSSSMVKPPFFFFFFDFFPKASSTSWAATEPSAFTSGSASSEGGEVSSISARSLSMSSSSTVNPPFFFFFFCDFFEAAGAATTSSSPSSSSAAEGGSLSRSSSSCRGAGRSPLSLLFFFFFLALLKSKSRSSSSAPDLAASGSTEAGSSSSSLSCWSSSAARALKELTPPSSSSCR >OB05G35460.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19881904:19885723:-1 gene:OB05G35460 transcript:OB05G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAVPAAPAPTLSSAAAGLTLFAAAAVAEAMEEALGAALPPLAAPGPGDDADASASACGSPCSVARACSSVASTDFEGFAEQGPVLVADDLTAAAASVAVAEAAEPRAARSVFAVDCVPLWGLESICGRRPEMEDDYVVVPRFFDVPLWMVAGDAAVDGLDRASFRLPAHFFGVYDGHGGVQVANHCRQRIHTLLTEELRRAEEDARGTDLSRVESKKLWEKAFVDCFSRVDAEVGGNAAAGVQPVAPDTVGSTAVVAVVCSSHIIVANCGDSRAVLCRAKQPLPLSLDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARARDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAGTASTSSAQISGASSDPAAQAAADYLSKLALQKGSKDNITVVVIDLKAHRKLKSKA >OB05G35470.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19885861:19886136:1 gene:OB05G35470 transcript:OB05G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGRCPGEEGSSARSGGRTDGSQPQAPAASRCVALPCLADPAAARWKVVRYAAVAGEERRDLGIGSGGPCLAWGAEEEVVVVVVGPSCQ >OB05G35480.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19887706:19891652:-1 gene:OB05G35480 transcript:OB05G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) [Source:Projected from Arabidopsis thaliana (AT1G12840) TAIR;Acc:AT1G12840] MATRYWIVSLPVQTPAATANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFVEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIIGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVTSEHLVTLLAIVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKEELDKLLQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYVSFTINFV >OB05G35490.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19892333:19894564:-1 gene:OB05G35490 transcript:OB05G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGKPKPHAPPPPPPPPPLHPEAKKSFLRRLFPFLLAANIFVGAYLLVRTYQGSGKKDTESDPTSASSASSPAAADKPAEPIAAPIKVLPPIPEDDQRQLYKWMLEEKRKIKPRDAAEKKKIDEEKALLKEFIRAGSLPSL >OB05G35500.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19900277:19912327:-1 gene:OB05G35500 transcript:OB05G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPHSMGGIINYGVSISNKSSPRSLAIEKAQEELRQEHDVREERKRELEFLEKGGNPLDFKFVHVASVSVQSTSLTDQIAEQNTISDAKGSFAFAASPPGDSVESNGKPGSSPCRETNTADNLMLFLGNNSDVVEEKIVKRGTKRTIAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRTKPCREIASIKSPVVPAKSSEPKGVIQGKQADGHGSCSVSVLKLAGQKHENAIKSTSSDEQVAMELDGIQTVCGSHHLVKNEATQGDDSSKAVELLPNVNGNQQLGECGEGEVVAAGESVGTPDSTSNIILRTSYSSTKPTHHARETRAYDEKVEDGQSDKGMTSIHVGEPDDSGIGPVCAVESGTLCTNIVDLHCEETINITNNLADGKINQGDMKIVGKPQEDLATSRISNKGVRESGQLEGFSRSTSVKENSNCVQPEVSTIAHVKDELEACDSAVVAQKDIVRPSPGHSMDNEESPGSERRNSCLGNCNPVHPIVAGPVLPKIHPIVAGPVLPKISLPEKSNIESEIQKSGENLDKMAQKEYEDSILKKARLIEVSLKRAGEQSDMSLEKSTKGHWDFVLDEMAWMANDFMQERLWKNMAAAQICHWIVSKGRAKFDEAIIQRKQKAVTRGLIKGIMNFWRSAEALQTTGRTTVTQEHNSHMLEKTKHTGVKAEKSQGNESLEKEERNCPHQSRIQDYAVKFIEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLFSEGNLFYTVAPSAMQAYRESVESLFVHHKKAAGLKDDYEASGCDSAADLPQENVYEDEGETCTYLSEAYDGGLLSQMGQKKHLGQQRINGARSYETSTHVPFEPYLERKLSNGKRASSFLAVPTKRVRTAARQRIISPFPAGVGGTTQVTSKTDVSSGDTSSYQDDQSSLYGGSLPWKNSDFESTVDFDRKLPYDGSTVFTKANKKKKLKNPGYKTTQNAANSSALASVKGRIYDQRTQADFFTQYDQKDFLKKKSDPEQFDSKWNIAAHGGQHSLKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKLASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIVQFKSVYRQPKGCKERYKVLVDKNSGDGADSAEDSGSSQHYHFTLPGIPKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQMHSSRRRKGNSQELKSIIQPHSSHAAALTQAFPNNLSGGALTPLDLCDAVSSNLDTSTPGSGYDTNGLTPPNHHGSNGPTAPNSTLSSRSTGSPGTVLGNNLSPHSTFSASSRDAHKYGIPRCTSLQSDEQQKIHYNQMLSGRNLQHTGGSVSGTFPPGVDRGARVMPSTHGMGMMTGLNRGMPAATAGFPRHSSPGMPNVVSPGNVSAKSGHAVNVHPGAMSATGNTTIRSHNPMQPGQNMEEHRQTMMPEFHMQVSQGNTQGISSMNQPFSNAASSSPVQSFPIQQHQQTHQISQPSHMFGNPHHLQIQGISHSNSQQQTYAMRLAKERHSQQRMVPEQQNDLPGASAVPSIHNGSQGQLQKQSPAASPAPASQPQRQRQQAAQNPPDSYAIPSQPTNATATQHKSKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMVIPQTTVDTTPTNAVSTSSKKQVTENKMIQHGQGTLPGNKTSSPSIPHPVNQHKLYSSALPQSPKQLPDIGIQGVSQGSPSRALLTSQQLPLHSKSPLTTQQQHRHANSLQNSIQTMMMPQNLQMNSGCRTDSQVIQVQHNQIIPTPSIPQSTGSGSTGLPSISQQKHELSNDSVAVNSTSMMLSSSQDALERETLGGFHMYGGQWHQEQSKQLQQSPNQQIPVVQGSVYAPLNPGPG >OB05G35510.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19914264:19919004:-1 gene:OB05G35510 transcript:OB05G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQMRTRSLVVIPGIVPESSVRALMEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHAKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSGMAPIANNNRLSATEEGRRSGWSDMDAVRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAMFSSSTFLGWSSGSSRRPVVSNSRGPSIEADQSRSRTTDASPGAFLRSSQHDRRTSSGRHTSNAKNYESTIRGIQGLSFDGDDRIHNHQCPAP >OB05G35520.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19924205:19925590:-1 gene:OB05G35520 transcript:OB05G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCVVVLLLLGLGGAVCGRWQEEFLRLGSEGGGTRWGVLVAGSKGFYNYRHQADVCHAYQIMRKGGLNDDNIVVMMYDDIASHPDNPRPGTIINHPSGPDVYAGVPKDYTRKDVTVNNFLAVLLGNRSALTGAGSGKVVSSGPNDHVFVYYADHGGPGVLSMPGPAEGEYLYANDLVQALKKKHAAGAYKSLVMYVEACESGSIFEGLLPTDIAVYATTASNADESSWGTYCPGDDHGAPPPEFDTCLGDLYSVAWMEDADAHQDGRRGETLQQQYRSVKNRTSDAGTYFLGSHVMQYGDMSLSPQSLHLYYMDTPAAASRADDAAAAIPASVSVNQRDADLLYLWRKYMRAAPEKKVEARERLLQEMGRRSRVDSSVELVEGLIFSSGKEKEEKKAKAGQAVVEDWECLKSMVRTFEARCGSLGQYGMKHMRSFANICNAGVSHHAMATAASLACPPHL >OB05G35530.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19925957:19929487:-1 gene:OB05G35530 transcript:OB05G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSSTAVINPPPPKRKRKTPNDSEDDVPQGFPRMRDLSDIGSNKFSKRMPNLGTFSDFTDDLPTTCPVKRSRQSDATAKRKPPSPDLDGVFGSVRKKDRSRPLSELFNGDMWNGFKPNGRRSNQLSIDAGSCSSSSPGTSSLDTVMDKCNSRRDSAFKIDQSKGAQVSCMTRLPDDDFSHRNSFAATSFTAGSMLEPDHLKAYLPSALTKDPICKLKRQATSCSKASISSRCDRRNVKKQIISSADCGGNNGESIALEPGYHKDRVVNHRSSISEVILSEEKVDKSSVNRPSGPDVVKQLAVFPTDLDCGGAVKKQCSEVKHEHEELSEILSSPSNCDNVSASSLVFELPLQVLPPEQRTPEPARCHAVKPTKTLQLNPILYDVELSGNGCTNKGRRVPLVSLMSRWNRRPVVGYPVSVEVSDGVCFLPASGVNDHHPATSIVNGALKKDEAASPGRLRSHTGGAKPRSRRKMSELEMDKSWRPHTKTHAPSSRKMRRLSSFEINRRGAGDNKSVVGKISAPTIACIPLRVVFSRINEALSFQMK >OB05G35540.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19940862:19941248:-1 gene:OB05G35540 transcript:OB05G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSSEGRSHDRPRLRGGGCVLRASLRERDCVVGVAGLRGRLSLRRRRRLRSSRCRLISHGRLIHPPAAPSPAARPPVGGLSGGSSSCCPSSRDRKRRACPLQSPSRRRACLNSSPTSAVALARTGF >OB05G35550.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19944439:19949024:-1 gene:OB05G35550 transcript:OB05G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTEAKEMAVRRQLLPGPADRPRTAHNMSSSSLRKRSDGSLINKVPCAALRAFLANLNEVLLATKLFLLLPAVLLAVAAAYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGILNATFGNVTEVIIALFALRERKIEVVKCSLLGSILSNLLLVLGTSLFLGGVTNLAAHQPFDRKQADVNTALLILGALCHSLPLMLRYAVASGEHALVSGAAALDLSRACSIVMLLAYLAYLFFQLKTHRQLFDPQEVEDDDDDSVTISQDEPVLGFSSAMIWLALMTLVTALLSEYVVTTIEAASQSWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVVPLSVVVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQDGESHYLKGLVLILCYAVISVCFFVIRRRADDNQLDGVRWIMEH >OB05G35560.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19951984:19956914:-1 gene:OB05G35560 transcript:OB05G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSCRGSIVVLLLLLFAAPLLCSGAGNDVAVSFSKTPPRVSKSASAEFAFQVVDSNGGPCQDCTITCKLDGKREGECNGTRRARLRLKDGNHTFTACAGRTPATTSCAIYAWDVDTVAPTASVMAESAFTSASNVSVLVSFSEPCHPFTCNATYCHLIVYGPGRVEPATMQVLRPALQYSVAVTISPEELYGRLILVMPRGFCTDAAGHRFTRTANSTFTLHFDRRSDSMSIGSSIPEKLIEIEGASRVVQATNDERELRIYLSFAQPVINSSAQILAALTATDAVLTPTNRSTLGNRRFGYLVNRTSNTAVVTVSCDANSIISRQGTPVFSSQPYTFLYDNQRPSVKLATSTVRTSSRNIPLLIRFAKPVFNFTSSALQLSGGNLLSFHEASKSIYTVQIQAVDKVISVQVAENSAQDVAGNPNLPSDRLEVRHYSVPASSSSMAIVTTVVFAVTAAVATLLTVSTSSLLASGAIQRPSSYIISDPSRNLLRMACHIQVLALSRWLSINLTVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSELLDRSAVAADVHRPLGLGLGALDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNMFWLAIVAGALLLLHAALLLYLKLRHRRHSYGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLVVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYHWYQELVRRTLGPGKRGQWTWRDPDHGRAAWLVKLGPLFEDLRGPPKYMLSQIASGKRPAAEAEQIIASDDENEDAEAPFLQKLFGILRIYYTFLESVKRVALGIVAGAHASSDHSSRAHAIVVLAIASFQLFFMVLKKPFIKKRVQLVEIVAVGSEVFVFAACLRLVDSGGAEEGSGLGLAMLSVMAVALAAQVCNEWNALYRQVQLLSPDRRSVVEGAKAVWIGLLLLVVPSSAVGEHLEKMKQKQPVVGQLGGGGAGAEAQRSWLGQLREMAKASFSREGQVDAGEASGSRGKGSKSMSSSSESKGEQWSSKTRGLYKDLEAIFSNR >OB05G35570.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19962398:19970675:1 gene:OB05G35570 transcript:OB05G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSATINVLTAVAFLLLFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGVAVQKVVNLNMRSYLKFLSWMPAALRMPEDELINHAGLDSAVYLRIYLTGLKIFVPITILASVVLFPVNWTNDTLESMKVVHSRIDRLSISNIPYGSKRFITHLVMAYAFTFWTCYVLLREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVDKKKKMRNWLDYYQLKYERNQSKRPTTKTGFLGCFGSEVDAIEYYKTEIEKIGKEEAAERKKIIKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYIIPIAFVQSLASLEGIEKALPFLKPLIDIPSIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRTAAKYYIFLFFNVFLGSIITGSALEQLKAYLHQSANEIPRTIGVAIPMRATFFITYVLVDGWTGIAGEILRLKALIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIVALIVSQLLLIGLLSTKGFEESTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTVERAREPTFDLKAYLTNAYLHPVFKSNEEEEKMSISEDIGMEEVIVPTKRQSRRTTPVQSKYEGSDTLSLPDTTTTVHHER >OB05G35580.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19973432:19977244:-1 gene:OB05G35580 transcript:OB05G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MESTEPLQDIMCEFRAGKMSLDGTRVVPDTRKGLVRIGRGEEGLVHFQWLDRAQNLVEDDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADYAGEVTSAAGPVRLEDLQRILSAIQPSDAVVDPDAGLGLGDILKLDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPSKYKFTVASFLEALEDSVAKASGAGDKDSGSQKGSGNDPMDES >OB05G35590.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19978292:19980437:1 gene:OB05G35590 transcript:OB05G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G65700) TAIR;Acc:AT1G65700] MASGGPGLESLVDQIISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEELDARLDLSKLRAHPLKPVIH >OB05G35600.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19980532:19981095:-1 gene:OB05G35600 transcript:OB05G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATPLLLFLLLAALTLAVAVARGYPADVVLNPPSEAPTAGMGGLVSATNGTGAYKGMAREFVDGHNKVRARYGVAPMRWDNKLARQARRWSNGMRGECVLRHSGGGRYAESLFIGRIASASDAINKWSTEEGIFDRQTGKCTGALDFHHCGHFAFIVRPNFSRVGCGRAECFNGGVFITCNYYHD >OB05G35610.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19980990:19981478:1 gene:OB05G35610 transcript:OB05G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRRRRQGSLARRPQPESGPPAAETITMGWPRPSSSISSSSSLANGELEREAGEGRGRGRPAGDVLTNGERWTGGPNHPAPLYPLAICFSWRALPTKPTAMATCATTNGWMAMPVFFFFFFFFFFFFFFFFFFFFFFLGAACMHAFVRFLNLGGHARSLTN >OB05G35620.1 pep chromosome:Oryza_brachyantha.v1.4b:5:19987919:19991602:1 gene:OB05G35620 transcript:OB05G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLLQGFRVVVVDNLDNASEIALLRVRDLAAHNANNLDFRKVDLRDKEALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLEVMAAHGCTKLVFSSSATVYGWPKEVPCTEEFPLCAMNPYGRTKLVIEDICRDVHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGVPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLNEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKVSGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYGSPDSSN >OB05G35630.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20013055:20017068:1 gene:OB05G35630 transcript:OB05G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETTGAFGFAAYSQPPPDAASCSIYTASASALPLGNMAQPSLVSEYDLGGEGDLFKAPEPIIEDPVLSLDPLAAAISMISGTENVMDETIDVADIGDIQNDPLLSEVLLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRQTEQVLGQVVLPVMENEKPSVPECSLQKSVSFGCLNSAEWVNGAARPNFLDFQGLDFETAFGLRRAYSEGDIQNLGANTPRPGNSANVQASCERFVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEENDVLKPRK >OB05G35640.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20013484:20019340:-1 gene:OB05G35640 transcript:OB05G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:J3MAE0] MSSSKLYQSACKAARSLLSAASPRSSVLAEGRNAALATLTNLGRKTVPTAYSYHNSGASTGPAGWLPTIAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAVKLVVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIALWFEPRELVSYTSNEEKWIYGVN >OB05G35650.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20017291:20018725:1 gene:OB05G35650 transcript:OB05G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYLPTTTARSPLMVPGSDFCGSVAPISFLPYLITPSPSQTCIMYRARAKEVTQSIEERSVFQIMIVLLSKFFGWNHQLDGNKLESFSFKSGQYLRNLPLWTPSGLMAIKVRSI >OB05G35660.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20020119:20023235:-1 gene:OB05G35660 transcript:OB05G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQHFLPSRESMETAGGLSTSESNLDSPPSARRRSWTPKRVMGAASLLHLLSIPRIRWSTINEDDDKVQLTRAQVESLKTEIADAEERESQLKARLENIDEVLRYARLSGYLNIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLSDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRALRGDCGLSYGAGGEGRSKSSKQEVGSW >OB05G35670.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20024524:20025033:1 gene:OB05G35670 transcript:OB05G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVIIRISRVIVAEAGEDRGSGWTSSPGRTRHWRRGRAPPTRCQARWSWPWTPSVTRRCSSGTGRPKVWCSGPWDGVQFTGDTNSLPACSCLCGLSPRSPMAWVLWDDRDGYTRGTPLDCTHACVEQVELQWQARTGSSTASPSLPNSSASSPSQIVPTLAFWKKRGE >OB05G35680.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20030451:20030705:1 gene:OB05G35680 transcript:OB05G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTMIMSKPILGSLLLCVPVTSMSCTFFFLVYTPDPCIFVLRGIKPKQKQQMTEKGEREGGGKQQNYQRYFIIFEEVPESTSY >OB05G35690.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20033639:20037521:-1 gene:OB05G35690 transcript:OB05G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20270) TAIR;Acc:AT4G20270] MQLQLKSAQRRARMAAELLLPSRLIIPLLLLLLTSAAAATATDDRLRAQAALLVHAFSPPLQPPLRATWTVANHASLCSSWPAVRCAPDNRTVVSLDLSSYNLSGALSPAIGRLRGLRFLSLAANSLSGELPPTIAALPNLRHLNLSNNQFNSTLAALRFSTMTSLEVLDVYDNDLSGPLPDAGLTTLPSLRHLDLGGNFFSGSIPPSFGRLGAIDFLSVAGNSLGGRIPPELGNLTTLRHLFLGYYNQFDGGIPPELGRLASLVHLDMASCGLQGEIPASLGGLASLDTLYLQTNQLNGTLPPALANLTALRFLDVSNNALTGEIPPELAALTDLRLFNMFINRFRGSIPEFIADLRSLQVLKLWQNNFTGAIPAALGRAAPLREVDLSTNRLTGEVPRWLCALGELQILILLDNFLFGPVPEGLGACRTLTRVRLGRNYLTGPLPRGFLYLPALTTVELQGNYLTGQLHDHEDAGGSSPLSLLNLSSNRFDGSLPASIGNFSSLQTLLLSGNQFTGEIPREVGQLRRLLKLDLSGNNLTGEVPGEVSECASLTYLDLSVNQLSGAMPARLVQIRMLNYLNVSWNKLNGSIPAEMGGMKSLTAADLSHNDFSGRVPQNGQFAYFNASSFAGNPRLCGLEADPCSLTPGGPQVWPSGSGGQAARRAPVMWRLKLAAALGLLACSVAFAAAAVATTRSAMVRRRRSGWQMTAFQKVRFGCEDVVRCVKETCVVGRGGAGVVCAGEMPGGERVAVKRIVAVGDGGFSAEVQTLGRIRHRHIVRLLALCWSAEAKLLVYEYMAGGSLGEALHLRGGMPWAARLRGAAGGAQGGGHPPPDCSPAILHRDVKSNNILLDAQLEAHVADFGLAKYLRGGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGQKPVGEHLQEEEAVDLVQWVRARSKDKEEGVWRVLDRRLGGDVPPGEATQMFFVAMLCVQEHSVQRPTMREVVQMLEQAKAKANHPPPPP >OB05G35700.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20038118:20039785:1 gene:OB05G35700 transcript:OB05G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPVVPLLLLLVAAGIAGVASGEEKEVQEEESFHLPITPPVVPESADERREHFRALQDKDQMRHRRVLRQVPALMSNTSTFELPMRSALNIAKVGVYLVVVRIGTPALPYSLALDTANEVTWINCRLRRRRGKHPGRPHVPPAATTMSLDEGGNGRPPVKVIKNWYRPALSSSWRRFRCSQEACANLPYNTCRGSNQNTSCTYFQRVQDGTISSGIYGQEKATVAVSDGTMAKLPGLVLGCSTFEKGDAVDSHDGILSLGNSDASFGVTAARRFAARFSFCLLATASGRNASSYLTFGRNPAVHGPGTMETPMVYSAVNVAYGFRVTAVLVGGQPLDVPPEVWDDRQGGVILDTGTSITCLVPAWSFAGDGVDPAHNVTIPSFAIVTESGARLEPDAKSIVIPEVLPGVACLGFRRIDQGPMIIGNVLMQEHIWEIDHLAGTVRFRKDSCLNHHQLNKNASSSPAAHRAT >OB05G35710.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20039704:20041781:-1 gene:OB05G35710 transcript:OB05G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAALSRGKSAISGSTAKFEAAKGSSSKGGKPTRARGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKQKRQQQIRSGTPIKPSVKKDKPESSKKPSLYNSSDSKAKKRVDYSDDGNDFIVKLKRSRG >OB05G35720.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20052708:20052917:-1 gene:OB05G35720 transcript:OB05G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTMATLGRKETLMPTPGGVSGAASAVAGCAAAAQAAAARRAARRGRRGDVGMDMDMDMDLFFSFVCL >OB05G35730.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20052757:20054509:1 gene:OB05G35730 transcript:OB05G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPRLPLLAALLAAAACAAAAQPATAEAAPETPPGVGIKGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLESKASCPLCRARVDADDAALGLKYASSARFVPGGSSERFDDEELAGAPGRDLLNIFVERVPSSRMEMEAEAPKEAAHCLDRHKHRIVVSDVVFKSRWSEINSSDLIALDNELLRSMSTDDAVELELELYGDQADHELPKAVNEETDRKRLLKVASGKAAGGSSSSGPVDAARMISSGVRSMSELVSLPRLRAAMRERLEDDQEQARRRWVPIARRTARWFAGRERRDVKREEEDDDDEEAAVDSPASHSHV >OB05G35740.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20065261:20080366:-1 gene:OB05G35740 transcript:OB05G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRAAKRPKLDSSSGPQRGDDDYVPGNIVEIELFNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPSILGRASSVGAFVKRGEESGHVKISLRGSTPDHKVCITRKIDTNNKSEWQLDGTTVPKKDVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLIETEKAVGDPNLPVQHSLLIDRSKDLKNLEVAVKQKEQTLNNLKALNAELEKDVERVRQRDKLLRKADLMKKKLPWLKYDMKKKEYMEAQEKEKTEKKIMEQAAKMWEHSKVPVEELKKKKMSHTLSTKRINNHMAENMKRRQDVTDKELQLNGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLEPYEAPKAEMFQLTEEIARVTCDINELKKKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALQYSGAEKINEAYNWVQDNKHMFRTEVYGPVLLEVNIQDKVHASYLEGHVPSYIWKSFITQDASDRDLLVRQMKQYDIPVLNYMGDKGMRREPFNITVEMQQVGIYSRLDQVLEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDTERLRSQKDKHIKDIEGMDECLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKKQEEIRRRVDIKRRMLENIYKEEDMESSKRKFVDQVAKLNDQRFELVLKLKDLLIEAVALKWSCAQKNMVSIELDTKIWEMEKDVKKLEKDAVEAAKEFENCKRKTQEHKHQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLMEYQSRQREIESISEKLKDDKGECQRCYSDIETTKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFERYGILIKVKFRQTGQLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPVNERKMFQQLVRAASQPKTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKAWSTGDCWRTVMSVSGH >OB05G35750.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20088033:20088858:1 gene:OB05G35750 transcript:OB05G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06120) TAIR;Acc:AT3G06120] MSHIAVERNRRRQMNEHLKVLRSLTPAFYIKRGDQASIIGGAIDFIKELQTLLQSLEAQKKRRLQQQQQHISPAGGSPSPTPSPRSLITTCSPTGFSSSGGSSAGSSAAVIDISPTLTPKDSNKPAPQLVAELAACCNSPMADVEARISGANVLLRTLSRRAQLPAVRIIALLETLHLEVLHLNITSMDDTVLYSFVLKIGLDCHLSVDDLAMEVHQTFCDPPPPAPALLHPHPHHHLHSI >OB05G35760.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20095074:20100876:1 gene:OB05G35760 transcript:OB05G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAVAAGKPLLSQDSSMPMEEEEEEEDQLLAASTSGSGGPLAIGRRLLSSMSLTRTLSAITLAQPPLPQNPPPPLGLSLLLHPDDAVTGFRDLAHALDTLPFQCAQPHLIQPLQLATVPTTAAAQPPQMLHPQAWEKTVIRQGSAAPFKHQVQTVEQRHELEEAMDGNLPQDHGMVDQQGTTDNQGAIKDGNTLEFQELVLVQQDVIQERGQTTQDIAVEDQNKAVENSVSGEFRGTMDNYAMGYLEVVEHDLIDQSGETVDGIAVDDQVKEVEHGVIRERSETTDCVAIKDQEQVMEQFASYGPRVTKDNIIMDHQVLVVQSVIDERVEDQRRALQQCIIGKLRTTENNNVVEDSTMVEQSITDEFAEAMGDIAVEDQEMTLVQCTNDELEGTENYNALEDRVSEQGAIYERGAYSSTEDQENAVEQCAGDVANATKVNNVVPVEEKAVEQDGIGKEDIAMKDQEEAMEQCGNDELRTSKNEKVVEGHKVEEQGVIDENLAELSINDEPRITKDVIAFQDQGKMFEQRVGNEQVASKGKFAIQDNMEVVDHVCHEWDTTEDDLAIDVAASVGTNAISFSEDLITLNDNVSGWGMVKENVKLKAKPDISSFPSEQENYGATGILELNRVGLPIIEGARNCSYYMRNGTCSYGKKCHFNHPEQVIDSQFDPPTGWDDDAFPSSALSKKSHDHANPGDTSYLENYDHATFVGISYIISSDYTTSDDTSYFKRSSSHVASDKKSSVSMVLPPNILRMLLPPEKVPPSTEEKVMKVNKDINWTAASDDSSGCSADSTGRALGKQEHVNYLERPGRRPECPFYTRFGDCKFASACKYDHSKDRFTNRSSAASDKSNGCHSADSLGGALCKQKHVDYPERPGRPECPFYMRFGDCKFASACKYHHSKDRQGEELVEHPERPGEPECPFYMKNGYCKFGVECKFHHLKGSIPSRWSPKDIKGPVAPKEHHPASKIKLQDHMYQQDQYPERPGQPDCRYYMQFGKCKYWSACIFHHPKDRLSNGCHPSEETVPKQEEHTEHALYPERPGELECFYYMRHGSCKFQRNCKYHHPKDRLSNKSHHFVSLDAIEQTTDKRVAELVKRIAASC >OB05G35770.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20102285:20104991:-1 gene:OB05G35770 transcript:OB05G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGQTVKCEPEDDRFLHLSQAALGESKKGSDNAVMYVKTDDQKLVIGTLSADKFPQIQFDLVFEKEFELSHTSKTASVFFSGYKVSQPPEEDEMDFDSEEVEDEEEEEKIIPVPKANGKVQGMENVQKKGKTIPSASKSKAVVNDDDDDDETDEDDSGDEGLSPEDDDDDDSSDDDSSEDDEDESDEEETPKKPETGKKRAAEIVLKTPASDKKAKIATPSGQKTGDKKGVHVATPHPAKQASKTPVNDKAKEKSPKSGGGSVSCKSCNKTFNSDMALQSHSKAKHPTK >OB05G35780.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20106682:20108958:1 gene:OB05G35780 transcript:OB05G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASSCLPIDATPNPPAFVGRRRRNEVRRPPNRVLLLDRTPSLLIPDPPWCQHEPYTSSSVAYAFPVVIHDHSASPLAAHEQPRSSSQHPSQTPSMFDSRFIAGFVIFNLTPETTDKEPGVAEAEIGRCDIKCILKKFYGMRKPEPLIIPQDKNLFD >OB05G35790.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20112270:20114025:-1 gene:OB05G35790 transcript:OB05G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVASPSSSPGAAGRPHPTYKEMILQALAELQDPIRSSRRAIAKYISENFSGLPSRHDALLSVHLRRLRSQGLLLMSGHSYLLSTSASGSAPQQRRGRGRPPKKAPPAPAKRGPGRPRQNTSASALFPVPVLEAKPGRPRKKPLPVAFSAAEPLGVGAKRGPGRPRKSAASPVAPPPASRPKRGVGRPRKNATPMAPLVLKPGPGKPSGFKRGPGRPPKNAIPVVPTAVLGVKRGRGRPPKNVPILSTAPGAKLPTGKPQLGRPRKVVVTIAVKRGPGRPRKIAAVESSSDNAVVNTSVPAARRGRGRPPKAKLPAHGGIASSAVPSLIAQERKHGQTYKKRRMPGRPRKDKPLQSGIVLSGDDALTKRGPGRPRKKRPLEAAGVVAAEVEASPTEDGVEAGAVQNGGVVGKRGRGRPKREKPSSARPAETGDAKSMGIKRGRGRPRKDSSFQAVFAGIASEVSRNVTEARPEGDADLLSGKQSETAAVVSGESKETRPADAGVVVVSGEKTSIDPVEAGSVMSCVEAGVDRVDSNLGTANL >OB05G35800.1 pep chromosome:Oryza_brachyantha.v1.4b:5:20117354:20121195:1 gene:OB05G35800 transcript:OB05G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPDIYPLTGLQIGDMQSYVSRAFLYFAPLSKKVFILVDNQPWRTSKQSRSARLWQLMVTKYRMSPFANSRVLDSCSGANNATTSTEEDGDCMMGARRWFEVADLSRALHGFLVFEVSWRDVHGINYLNELLIDTSLALEARYMKKWEFYSAEQAAGSAELWFLGRAPEAQALRGYLKRLSEQEQQQQQQEVPSRSSSSSSRSCKTNNMLRLIQHIRRRSSTSLQADAGGDVQFHNHGDLPVEAAAAQYTDTLILLRFRDSALPLKLREIIMSDIRLLTLLESGLPSWVIFLQSYPLLCLLYRPWMRPLARSLYLLVSLATVIIGFYDLYKNVPLLKAAAARVCGPLFGWIETWDMVTRIQYLGTILFLRNLRKCLQGLLGLLRMARALLRPLAAPVAAIAGPVLSACGELCELVGDLAEALWAPLDAVFDCAVGALNPLVQALLLPVRCAAALAGCAGSLLSNTYNFGKDIWETLSSMLELNHMAEAQHSALDVSLLKALWNDLFSQIFRAIRSILNGILVFFASCNRHRLSIYNHVQARLRHMLHVSRLAPYSCQCKMKRRLQ >OB06G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:786:992:-1 gene:OB06G10010 transcript:OB06G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKGTSSLMIGHYLCNKEFRYLRTVSYCHRLPGLPFKAYHTSPSDLPAPGSCPTLFIVLPLSRVMCF >OB06G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5359:6489:-1 gene:OB06G10020 transcript:OB06G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLSRVSMAVVMEKNPRLCGLSYTNLGTHCWRPWRGCSMSVFLQRVEGVDNIKMMSLVKEIQVLEMVFVTILEMVMVVMVVVGMLVVTINMGEDLTDVVVVTVCIFMMMIMHECFSMAPTFEIGFLIFDSYAWPTSLGV >OB06G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9878:14655:1 gene:OB06G10030 transcript:OB06G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATACFRPNANDPLQDAFHAVCPHRRLPTLMAADCSCRTRSQKGEHGIEGGIDIALCRWPDTLLKLCGHKQQFQTKKELSSYIHGFVKTGWCSLSIVNRDVYIAIDLGLSKPLLQDANRHSFSFFARGGNLLGIVAPLITGLEDGIRQGPELYDEPASKWNRIPGASRFNGPTNLRRNGKNKRKRRKKMTPPERVETNKKKLYRYRPPKGMETDRNKLYGYRNYSS >OB06G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15249:20553:1 gene:OB06G10040 transcript:OB06G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:J3MAG0] MASWSSRRRRRAAASSALQSQFGFSCCFSVLSPRRSLLLPPLARNPTLPLQSRPHHLQTTNHPSTWRCHAVAAEVEGLNIADDVTQLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDASKGMKGAVDKATEILNKTPNAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNHEIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREDCEKMQPEP >OB06G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:22652:27752:-1 gene:OB06G10050 transcript:OB06G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASLYRRVLPSPPAVEFASEEGKRLFAEALQSGTLQGFFNLISYFQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKEQGITFGKLACLAHCAGAKVRSFRADQATIHDFRDHLVRSASSQDCHLIASYHRKPFQQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDEATGLLRGFMLISRHTSAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDENANNVPALLSRLVKSLPANAGNLIKWVIEVRRKEEGGSGLSKEEKERLVLKEMILQQVRDTELFRLLRELQYTKQPCCSCSYSSDDDSFTHIAATVCCQGAALLTGNFSSKDGFCCRETCFKCIQVDDDGLKTVVTGTAVSGVNEQSIDMLLPMSPLETSVFNSKSSNEVVKYPSRTDILTVLLLALHPSTWMGIEDEKLKAEFQSLVSTDNLPDDLKREILHLRRQLHYVKDCKEETYGDPVPQSHYQ >OB06G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:28737:29597:1 gene:OB06G10060 transcript:OB06G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGRSRSGCLRADTSPGASSMSSGSPCDGGGAQSKHCTSAARNAGPACAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIWRTVYTLAIYSCSHYGLIYNFRKNCQNTTCKVSTLQNTISKQESSKIPLVNR >OB06G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:30243:31190:-1 gene:OB06G10070 transcript:OB06G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMEERGGVGAVAVLVGLVVAVVVAVIRRGAAAGSSKRSRLPPSPMALPIVGHLHLIRPPPHRAFDRILARHGPIVYLRLGPSTHCVVVGSADVARDFLKFEASIPERPPTAVTRQLAYGAAGFAFAPYGPYWRFVKRLCMSELLGPRTVELLRPVRGAELAGVLRAAQAAAERGEGVDMSQELVRMANNAIMRMVASALPGEMAEAARDCAKQVAELVGAFNAEDFVALCRGWDLQGLSRRTREVHGRFDALLETMIGAKEEARRQSGGQRESKDLLDMLMDAAEDDTAEVKLSRENIKAFVLVSINLLPNL >OB06G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:36990:37830:1 gene:OB06G10080 transcript:OB06G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAAVTVPSFAPSAPRRTRSSLIVRASLGKAAGAAAVAVAAPPCSRAAPWLRRCFSAPMAAYWSSSPTTSPSSPARPSPSRTTPATRTTSCSTRMPFPAALTSPRSRRRSSSTQPARPSPSPSPSPAPTASTASRTPELAWSARSPSTRIISIQCLIIIIILLHV >OB06G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:48838:49026:-1 gene:OB06G10090 transcript:OB06G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESECWRSDDAIHWKKEVNAKIHFCPYTQVPHADGDEVPYAWETWTCRYRTFLTLGCGSING >OB06G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:53386:55704:1 gene:OB06G10100 transcript:OB06G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLRHKTLMGKQHVAKGGANRKGSGDGVRSEKSTATGDNCERVSIDGVNSKRTSAASANSKRAGADDVSPIMNSGGNSSSQCNANSSGVHSKGACGNPKKRGRGKMSRTQLKLPPRGQRVELIPKGDIQFRYANYDPNGLKYASQVGAILKRQYPGIIKAYNDEGDIVDKHPTMSWNDFFWKKNDSGVSYARQVKQECWRLFFVKPQLRREADQNLENYLVKRVTNMMHQARLDAVKLYYDKFKGEDCDDTRARTIELTEAQYLKAKLDWCDKGAWALLSHYWTTKKYKEKRKKAQESRMKSDDVAQNRGGSRNFAETQQYMDFTFGAERASTLNTYVVMKSGMKNMDKTGCSGPIPSQKAQRVLDGYKAKTQNENSQELDGKILYSIGRGLPHGRVPIGNGDVKKADVLAAAKSSSVRPTKSASYQCVIEENTKLKKINEINIEENSVNRELIMSIFTNLGQEPPATLLSHLANIDARRHEAMGSSHSGSDLDDDMGSNEDLGDSMHNDEDSDGDMHTNGDMGNSEDKRDTMHWDENLDVEVFT >OB06G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:63544:64934:-1 gene:OB06G10110 transcript:OB06G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMGLVGKWASVRDPSGSRRLWPTVSDSRWTRTRHLLLFFFFSSISYLLPFLPISQRLWCREGGRQIGLVAVELLNSFFYLALAPIGIGLLHFPAAAAAMSSAAHPQRFYCHQCERNVPIAPPTSPDADVLCPLCGGGFVEEAGLGEGTNPSPHPAAFLPHPFFPFASPSFDLRHPSDLNAFFGPPSPAPAPAPSASTHFDPSNFLHDHFTGLLSGGATIQIVLEGSSASFPGASSGISLGDYFVGSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMAADGGAQCAVCMDDFHLGADAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPDHGHRRGSDQPTAAAAAAAAAAAATPSPRVAERRFRISLPWPLRAAFGGQAESSNPTNQDPVGGSGSGSGAGNNNATDSHHGYDDLD >OB06G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:70357:71453:-1 gene:OB06G10120 transcript:OB06G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73320) TAIR;Acc:AT1G73320] MAMEMAMEEDPLPLRQQQEEEEEEEAALLLGMGAYSGPVRPVGASGSGETMLLWALGQPASQRHNAFVRHAAHSFTLDACARRLSLLQSPSSMATPGVTGAVVWDSAVVLAKFLEHAVDSARLALRGARAVELGAGCGLAGCVAALLGAHVLLTDLPDRLKLLRKNVHLNVGEDARGSARVIDLIWGHDPHPDLLDPPLHFVLGSDVIYSEEAVDDLLITLKQLSGPHTTIILAGELRNDVVLECFLDAAMEDFQVGCIEQEQWHPDFRTRRVALFILVKKRPLALQPDHL >OB06G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:72155:76415:1 gene:OB06G10130 transcript:OB06G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHQPGLLAMAMDQLLQSSKPIGATVSVSSYQVLQDTHILDLLEPKDHEVLILEDADGHTHLKGLSRVDVKSMEEFSQLSCCASATNQQRHHPCKDSTQLQDWGHQGLIIYVSSFDQQGRECALAKINFLSLAGYVDPKQKKNGGLALPTGNKSLYAVMNVVQALNSNQRFVPYRQSKVTRILQDSLCKTSGAILMACLAEDCCQDTVSTLSLASRSSQVVNEHCYSLSLSAKKSSKSNMNSSTDVKTLSRTFLPYIQKISSMQEKKGQLKFNNSGLKGGQTPTANRRSQPIFNSMKKSGSSMSTSIKMKHNYAKPTISGRKLFCPSINSLKEENATCVASTAVTQTESTAVIQAEEVQPSTGMEIQTPSANEGLYEIGNTVDVKSSEIQEVVRCSTEELLPITIQEEDYASPNMQGIDIGRTCSSITDNLIEKTPTRTTQPSPKLSDRLREISNSLKLLSTRPVSITAQKSDIECVRRINTDAAEPKTPATHLKLEGAEDPKDILTARSTGIKKSIVQECLTFLNSANKEQLKSLKGIGEKRANYILELREESPELFKEVNDLTDIIGMNSKEIKRMMSGIIDS >OB06G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:76859:83940:-1 gene:OB06G10140 transcript:OB06G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPPPRAMKRELAFALQSLSAITASPGRTRSGRPLSSSAPAPKRRRRPDKPPDHPLSLETDLLLVSPHTPPMDAEAPKPTHLLNDKGSHPPPISPPHNPTVTLHGSPVAATAHAQPTDLNAASEAPALPMELHDAAAATVPAELTELNAAADNSAAPALPMELHDDASATVPAESTELDAAADTVEPLGLFAVAADDPKPELPAVTAAIDNNLMDVSHESNGRNLQHQVLDNDLTDPSLLAETTTAPVSTADADSNLTPVSTADLKPARRFTRSLLKNKPEEEATPSKSQCPAVSMTSEDNNEASVDLVLPQEKPQRRFTRSLLKVKVEARPNSSVLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVRYIIPYSKKAVLKGVITGCNIRCFCFSCNGSKDVCSYFFEQHAGSNKKHPADHIYLGNSNSLRDVLRACESSPLESLEKTIRSSIDPNAKRSYVNCLNCNERLSSSQTESFESFLCHCCLDPKQHQDPPSPSYSCKSNSSLISSSKDYLLKKTPLNTKGGSAGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKICPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSDIRSSLDKIISDGALMLPESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRSASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTIGTSVVSAALLRVMGGEVAELPLVATCKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFSKIPQEQSEAYLNGAHLTIFHGTSNLYKAIASS >OB06G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:84670:90759:1 gene:OB06G10150 transcript:OB06G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGLLLRPPPCFIFIATSFPSPSSSRQRTRSLMLSHSMTISFVSGGSYHRHHMESKRRSRGTGVYASLFGVGASEALVIGVVALLFFGPKVAEVARNLGKTLRAFQPTIRELQDVSREFKSTLGQEIGLDEVPPSTNYRSTTMNNSQQPAINTSSDDKPEVVPCTSEELMKVTEEQLSASAAAAWNTQEPPPS >OB06G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:95474:97947:1 gene:OB06G10160 transcript:OB06G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MRGDAFLDEFAAVNKQIQLALDALPYKTLDMPQEVHEQVALVHSQFQRAATRTDPPDTQLSKDLAWALTDNPTDPALLTRISHRLQLHTMADMKNESIALHNMVISTAGEPDGCVDHMSSLLKKLKDCVVTDDPTNDALASRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEVNGIELPKNKQNSRDKKATKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSLDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGAAGAITPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKVVIAQSEPIPPLIEVIKTGSPRNRENAAAILWLLCSADAEQTLAAKAAGAEDALKELSETGTDRAKRKASSILELMRQANEA >OB06G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:100108:101368:1 gene:OB06G10170 transcript:OB06G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGEPATGGAVVMGCKVLPMWRENGGMVDGARKTMVHGKKAVARVKELLRRAAQPTGASRWKKVLSFQARDGGGGISKAGDDSPSKLSFKWDVGSCSSASASSSAMYSPLSAVSAPAKVLSTSSQQHQLRAWSAPVPGVRDEQRTAQWITTDSDFVVLEL >OB06G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:106257:119257:1 gene:OB06G10180 transcript:OB06G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKAAAKSPSGGGRETRSSSARHAPSPILRRSTRETRANKSRLSSPPKPSHPNKSSPCAKKLKASATATTTRENPAKRKSTTDVHQSPPEMNADTTKAESASTRPHKKRKRLNAKSYLALFSSPDEKVKSPSPVLATPPRVDDQNVSKVHVEDNGAALSHEEVDAQEQDNQACLSEVANKVDEHASTSEAKKAIEDGDSSETRGASTSTSSQALGIQPDETDCKYMCAACKRSETVGILKSCDGEGCKSWYHDSCLNPLLQYVSLGIWLCTRCTKKRIQFGLDAVSEGIESLWDVKEGAQNSKQYFVKYKKLAHVHNRWVPESSIIHCTPGGHDLITKFSKRILKEKTIRWKQEWAEPHRLLKKRSLMPQKEADEFFNCLGDKYAYCNVEWLVKWKDLGYEHATWELETSSFLCTPEAKDLKRNYENRHADARRGSDPAKIKKVKQSPFQKLQRLPDGLPPGLDKDHLSSLNRLRAFWHNSDGAIFLDDQERVIKTILFAMSILPDVCQPLLIISTSSSLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQNLEFYENGSVMFQVLLSHPDAILEDIQTMECIVWEAVMVDDCQSSRVSKCLEHLKRLSTNFRMVLLSSPLKESIPEYVNLLTFLNPEGNDILCSSNGDSTDTGGVLAMLKEKFTRHVAFERKADSSKFLEYWVPVRLSRVQLELYCYTLLSNSPALRSHSRTDSVGVLRDILVSLRKCCDHPYLVDQSLQNSLTKGHPLTDILDIGVCASGKLLLLDKMLQEIKNQGLRVIIVSQSGGGAGNPMCDILDDFVRQKFGFESYERVERGLLVPKKQTALNMFNDRTKGRFIFLIDSRACVPSIKLSFVDVIIIYCSDWNPTNDMRVLQRISIESQSECVPVFRLYTSCTVEEKTLILAKHDHILDSNIQNIMPIVSHSLLSWGASFLFNRLEEFQKHDSSSKDCEADDLFMNNIFLEFVAKLSTKVEASSKMDNAAISRAHQCGSIYSREIAVISERDGISAVDGDLPKFWTFWLNLLDGRSPNWQYISEPVQRNRRKIQNMEDQTRVPAEETDETIMRRRKIGDIMDSSPEVILAEDKDAVLPENNTSSSSHQTSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPETVKCLCEELLDYILKNHQVSQEPQGILHAFNIALCWRAASLLKHKINRRESLSLAVKNLNYECDEVLAEFVYEKLRILKKKFSRRASETNKQSQFTPVNNTSPYHQQTSPISRSDGSFPKQVTTTDGNLENASHQEAPHDILTEEMVLGQKEQISVPETHKEQHCSRDELNRVTEKRINLIHMVFSSREKNICDKQANEALILDMHKQKEVAKLRETCNLVMEYLRKSHADSGDRDSAVKPVIEWFTMLLYAFLDHMRCQRNKLKMQQSTAWTKELQLKEKFLQEAKSGHLDRTFDQQIPLPDLHFTLEEFSHFKEIVGDHPVGAATSENCQKSLALAMEITLVRSVIPSEVVNSAGGINEAVEVPVQTERRPTSEVGLSQNRLDNNSSDGIDSQGGSSITVQHPLSSNPDNSNNWESPVDGHRSEQNVAVEVNTNDSDPTLADTHQLEPPTVAALPSQNALPMAREVQIQTTHGIQSSQQNIVPGQSPQDGQESSPAVISAQPLQPEMRPSSPVSNILLDRTHPDQRQQTHQPEAAPSLADPAQFFPVASLMYNHPPVGNEPLKNELHRLQLHIDALNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSIHHHHRKTLNDLYGKVLLNQSLADDFRVKFISTSAAQARAVSPPIRQATRQTAGASQQVPPRPLVVGSVAPPVTSSSAGRSSLLRHYAQPSAANSSSPPSQVARPSPGIVGTTVRAASTPFSHKATARGNYGVRSEVARAPAPHLQFRLPRAHSTAPANQQLPTRLGSTSPRTRPAPLATPVNARQLSSQAVLPVHHSSSSSSSHPVLSSVSSARPALAVNSSPSPVLSAAGVLLPASTHPLESAASSQQSTATNPNAGVPSGLPVVGAGLSTSVSGMHQQMNSDSVSLDAWLTSNLGLNMGDANRMDDGGVVDVVCLSDDEPEQ >OB06G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:119979:120684:1 gene:OB06G10190 transcript:OB06G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCQLCGARRAVVFCGAHAARLCLHCDAALHQQGDHHHHPRAPLCDSCNAAAAHLRCSLPGPGPDGGRVTLCRTCAPPQCSAVGVNVYTGCPSPVDMARLLSADLLDDGQPELEHQYFVGSGLALEDDHNFHSNNLGRGGGGGGQPRARSSSCLQQQQQLDTQEQEQEQNKLRKREERNRAKLRYIDKRNKRKYVRPNNFI >OB06G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:122561:124479:1 gene:OB06G10200 transcript:OB06G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMGVEDSKIRLAIIPVYRMYPIAVLDAVNYDGHHLPLFVVQLTDLTDGVFVGFAYNHALSDGTAFWDFINAWAEIVRAAPAGPLTSRPPLLKRWSPQGDGAPGVLPYADLSEVIERLTPPPLRERMLHFSSESLVALKERARQELLAAGDTAGAAALTRFQALSSLMWRCITRARRLPPEQETAWMAKPMIYTLRFFDSSSVMMGSSPRFDMYGCDLGWGKAVAARSGRANKCDGKTSLYPGREGGGSMDAEVVLSPENMAALEQDHEFWAAVTPDS >OB06G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:125530:128535:-1 gene:OB06G10210 transcript:OB06G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) TAIR;Acc:AT5G54080] MAAPPAPPPQQHDDDSFRYLSGLGNSFSSEAVAGSLPRGQNSPLLCPLGLYAEQLSGTPFTAPRARNLRTWLYRTKPSVTHEPFHPRRPAHRRLIGDFDRTTTVATPTQLRWRPADVPHDPPLDFIDGLYTICGAGSSFLRHGYAIHMYAATKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVIIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQAHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMTEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSKLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDKGQATTEEEPAD >OB06G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:133292:138118:1 gene:OB06G10220 transcript:OB06G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:J3MAH8] MEAGGAGEVDHLAGERATAQFDVDHMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVMHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSNVPKQLLYGTMVFVRQTIVADASKALSRATCIAVRYSAMRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSATADGIEECRKLCGGHGYLNSSGLPELFATYVPACTYEGDNVVLLLQVARILMKTVSQLASGKQPVGTMAYMGNVQYLMQCKCGVNTAEDWLSPAAIREVFEARALRMAVNCAQNINRAPSQEEGLYELSPDLLEVAVAHIQLIIVTKFIEKVEQDIPGEGVKEQLRNLCNVYALYLVHKHLGDFLSTGCMTARQGALANQQLGKLYAQLRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYDEAWKDPLNDSPVPDGYREHLRPLLKQQLKLSRL >OB06G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:139172:150724:1 gene:OB06G10230 transcript:OB06G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT3G48110) TAIR;Acc:AT3G48110] MQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVHEHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHATVDNIQKHFDDFEEEACSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVETRENLGHPLGTYQESNLIYPHVSEKPRRKGVIGQPRAFVLEIGTEELPPHDVIEAAEQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDSLYKRIDGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSFANFEVETAELYLHTMEKAGIVIDMQERKRQILHDSSILAEGVGGDIIAPESLVQEVMNLVEVPMPIIGRYDVSFLELPKDVLITVMQKHQKYFPVTSKSMGNLLPYFITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRGQLSSILFHERLGTMLDKMKRVENTVAEVALVLGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDMFPKTDPGIVLSVADRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAEEQSITIDNGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLMERANCPYLASQTAIEMEAFAKTEDFPKIVEAYSRPTRIIRGKEIGSVLEVDASVFEKDEERALWSVYLEVADKIHPGVDIKTFADASLELLQPLEDFFTNVFVMADDEKVRNNRLALLTKVAGLTKGIADLSVLPGF >OB06G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:151257:154579:-1 gene:OB06G10240 transcript:OB06G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaminyl cyclase [Source:Projected from Arabidopsis thaliana (AT4G25720) TAIR;Acc:AT4G25720] MPRRRQQQHRRASIAMPPTNLSISSPPAAAPGPPLYLRRPLIAAATALAALLLLLIVLVAAAHPYAPWRANSAPTALLSRPVPSAPATKFYSFDLVREYPHDPYAFTQGLLYGGNDTLFESTGLYHRSSVREVDLKTGKVLVQHAMEGRIFGEGLTLLNDKLFQVVWLKKEGFIYDRHNFSKRESFTHKMHDGWGLATDGKVLFGSDGTSSLYQLDPKSIQIMKTVTVKYQDNEVLYLNELEYINGEVWANVWQTDCIARISHEDGLVVSWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKLYEIKLRPVDGPRDGSIEKLCPKASFYR >OB06G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:159533:164702:1 gene:OB06G10250 transcript:OB06G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWEFKEDVEIMEEEEGSSLQTRGRSNNHALINGGEEEDEHDEEVVEEHKSVFFDPTQASENVTEASGKNEATRVEQFVAKKEKTHETSSSKGKELETQEDVGSQETYKHSNNSRLENGSHQNGSHEVCNSGETFAVANGKAGLKMITIIHKNQNSLANSNAALHTGNGSMNKTNVHEIEAEKEEDVIKGEVNIEEYDLEKILHEQETHDIFCPNCNSCITRRVILRKRKRTVRQTTREEPPKKPQLEEPSAYTSNQTIPETERQGQGTPIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEPSASPQMSGSDNCGTWLFSCFEPGDSPKKTDAEKEPLLDGNSTTSPVEGSTSVDPHGTGVKQERSKKPLPAESYSHLQASTSKKEDFAVSGSSSVEAHSSSSASIISSGQSMTGFVQTEETHVVIGQQDAVVEQQIPLPKPGDAAHLGKQKQETPAASHTFPTPEVKFPDAKPAIFIPEVAPPMVDEPSRAIVIPPEAVEPQTRPGHSSVQIGPDAAMPVSGTPASDQRDDWDILKAIVYGGLVESITSLAVVSAAASSGAKTLDIFILGVANLIGGLPVMFHSIADLRSIGDVEEQGGHYWAQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGLSFRGGGGDVREKKMAAVAAASLACIALLAIGKAHVKTPARSRSYVKTLLYYLTIGVTASGLSYVAGVLITELLHNFGLIDQPTTLFFPDAAWASY >OB06G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:160177:162419:-1 gene:OB06G10260 transcript:OB06G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKHDKHLNIGVPCPCLSVSGSPFELCTSCNWDRICHGFLAHEEFSQDHTLQCSLRPL >OB06G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:164450:175559:-1 gene:OB06G10270 transcript:OB06G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRRRMECRSSRRSKKAADWATGHWPRQCQVPLAGTPAPQPPPPPSALAGSPRAARLRLSSAVQFESWKQTTVDGDDFPEEEEEKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPAAAADFNRFARCLDALLHAEHKQMLEEMRTYYMLTHHQPDDAQDQPLLNASPSDTENGFLGITKHNGTLLLTRSLGLRTLLGLSPDPDSHNRVAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNSDYLLTLPIYVDWKKAAQSNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGLSRPLRKLGKWLDEALKRSTGNEGIQVWIEKLKVWLKEQTYAENSLLLIDTSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLTWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWEPASRESCDNNLWEITKASFRILFGKSTLQEPAFQELILLYTDEADHSKERENSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAILLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRKKYQVSSRVSIRDACEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGVSRVIALPCSDAYEILKSRWDSLLEHKPEQGLMAKEQGGSPKARQPEFQRMRVTLTIGVIGLCVASYILGAWQGTSTTSIQPSIMYTKTQCGNPILGASTNSSGTRLDFQAHHQVSFNESSLVVEKIPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPKYRNPFKWPLSRDYAWYNNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALIPLTDGNIRTALDTGCGVASWGAYLIKRDIITMSFAPRDSHEAQVQFALERGVPAMIGVMATQRIPYPARAFDMAHCSRCLIPWNKLDGIYLIEVDRVLRPGGYWILSGPPIHWKRHFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPVNHIECVNSRKVYETPQICKSIDVDSAWYKKMETCISPLPDVNSDDEVAGGALEKWPKRAFTVPPRISRGSVSGLTTEKFQEDNKLWAERVDYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDLIHADKVFSFYQERCDVTYILLEMDRILRPEGTVIFRDTVEALVKIQSITDGMSWKSQIMDHESGPFNPEKILMAVKTYWTGEPTQKQ >OB06G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:176576:177484:1 gene:OB06G10280 transcript:OB06G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGSTPAAGANLRAALSYCVQQVHNYDYHHYLCLLHLPPSMRKAAFAFRALNVETAKAMDVVSDPKTGLMRLLWWKDVIDKIFANKLVEHPVAQVLSSVVSERKISKHWLKRSVEARINDANQDDYAIPETVSELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEDCGLLTREGGRSEVRMGDELPDAVFKVASVADAHLEKARELASSVPTEAIPVLLPGVPAQVLMDSLRSREFNVFDSRLARGVHGISPLWYQIKLNWHAWQKKY >OB06G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:179354:180412:1 gene:OB06G10290 transcript:OB06G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLYLGSGLHVWLGLYLGSIHEYSAGLNLTHHSPTTGIYHNGALETTATAKIYCNLPKLFSTVFSWKLTVAWK >OB06G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:181350:181652:1 gene:OB06G10300 transcript:OB06G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHQLRRYHIAGGRYLISSFLSLMLSPAYLLSSFGHNHQYTLVGEFHYCSALLPLFLRHYPNFHSFVISFSVFWTQTLSVSSPYKTRLSFALKFISSL >OB06G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:183801:185385:-1 gene:OB06G10310 transcript:OB06G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGCSMSSTQQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQERCRINGGGAAAEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYATKQHSLGLVGMRKTLVYYRGRAPNGHKSDWIMHEYRLETSETAPPPEEGWVVCRVFKKRLPTTRRDSDHDAPACNWYIDEDASPPAFLSPMSRSMRPHHQGITLQEQHLHMTYKHRSPDLISSKIQQLQVPAGHHHHHLNTMPHELESSASFHSLLVSPEHHQINMHHAQADQLFDDMHAVTATDWRILDKFVASQLSHDATNKGAADYTDEGDILQVNDKQEVAAPDYASTSTSSSQIDPWK >OB06G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:183996:184629:1 gene:OB06G10320 transcript:OB06G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVKELISLSMVHIDLVVLWRDQKRMEGSRALQLMRHGVEMMMMMACRDLELLYLTADERDRVISIKMDRLACLWRRRLAGLEAVLVHDPVRLVAVGGTAAVVDERLPHADEA >OB06G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:188843:188995:1 gene:OB06G10330 transcript:OB06G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding INLVESLMTLRSIYPSAGRPPSSEIQEGPACCCSYYVHPSISINSIDIYI >OB06G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:192451:197506:-1 gene:OB06G10340 transcript:OB06G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLLLLLAVASLGHAADPYAFFDWDVSYITASPLGVPQQVIAINKKFPGPIVNITTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWEDGVLGTNCPIPPGWNWTYNFQVKDQIGSFFYFPPLSMQRAAGGFGGITVNNRAVISVPFDTPDGDYTLFIGDWYKKNHTDLRKMLDDGKELGVPDGVLMNGKGPYKYNDSLVPDGIEYETINVEPGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESIWSRVTGVAILHYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGNINVSQVYKLRNEPPVTINGKKRTTLNGISYSPPETPMRLADLYKKEGVYTLDFPTMPTDGPPVVGSSIINATYKNFMEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVLLSLDSPGVWNVRTENLDTWYLGQETYIRVLDPAGGYNVTEMVAPDNILYCGLLKDKQKAQKPHGSSGLASSAAALNRHLLAVLVSLVVVAFVH >OB06G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:201410:217209:-1 gene:OB06G10350 transcript:OB06G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3MAJ1] MSRQLALLEFGQDYPLKISWLRHCRGGATSANAVRHDRCTRSSYGRVHPLLSSLLLLGCFRILILPCVPSAEDMAVAVAAKDPNALPSPTYRSLAAPVSNPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIKNIVKANNRIEARNNPSIFLRYVNVRVGVPSVQVEYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPQFIIGYLPIMLRSYACVLNGRDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKRRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGTGNQKEGRSKSILRDVFVAHVPVNSGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNRTSERIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSYLVMFNGLILGKHRQPQRFANAMRKLRRSGIIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGISRVKEHHMKELRDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDNVQRSSITHIEIEPLTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNVAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGSLLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPKSTKRDSGGAQLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGVCPDLIMNPHGFPSRMTIGKMIELLGGKAGLSCGQFHYGSAFGESSGTADKVEDISLTLIKHGFSYNGKDLLYSGILGNPCQSYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >OB06G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:219275:220360:-1 gene:OB06G10360 transcript:OB06G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3MAJ2] MKKASSLSELGFDADGPSFFRHLSLADGDGALPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIILIDAVADKVRGEMLDLQHAAAFLPRVNIVSGTDVSLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRRIVPAAAEASPESLLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVAGMPVLAHLQKNHRSSAASKTKQFDEAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQHRIHPVSVLAKGLVRGIPADRELFLSLPARLGRAGVLSVAAELVLTDEEERRLRISAETLWGYCNALGL >OB06G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:231053:232316:1 gene:OB06G10370 transcript:OB06G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAALPQDSSFLRWIMDARSADGDGDGDAFGFKGPAFPDPFDSLLPPPPQDDPPQAQAQALVDELLDAARRLHAGDSTSAMGILARLNHRLPSLPPPGHPPLLRAAALLRDALLRLLQPTALPHQASVSSPLDVPLKLAAHKALADASPTVQFASFTSTQAFLDALGAARRVHLPDXXXLGPPLMQELAHQWRRAAVPLPPPTLKVTALVSPGTRHPLELHLTYESLTRFAAELGIAFEFTALAFDPLSASPPMGLADEAVAVHLPAGSATFSPAPAHLRVVKELRPAVVVCVDHGCERGDLPLPHHALNLLRSSAALLESLDTAGASPDVVSKIEQFILRPRVEHLALGGDKLPPLQSMFASGGFAPLQLSNAAEAQAECLLRRTANHGFHVEKRQAALALWWQRSELVSVSAWRC >OB06G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:234813:234971:1 gene:OB06G10380 transcript:OB06G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYRFLLLPRKGILQPSSKVGSWRLPFRHALVKKIDIHMKISSDCQLLLS >OB06G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:236886:241897:-1 gene:OB06G10390 transcript:OB06G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:J3MAJ5] MELLLRHSAKLRRVHAVLGRERGSVVRHFSSSDCSSLVKEDTISRSNLHAEHAKKIGGSNFTHDRQSGKELQISKFSMQEARRGSSFTRASKHGMPIAVTGVHSLFSCVQVVSARCFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSLGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGKFKDYKAPSSAESAAPAESKPQSEPTEPKEEKEQPKAPEPRATKTEESSLSEDRTFSSPLARKLAEDNNVPISSIKGTGPDGRILKADIEDYLASVAKGSKKETLAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTCVDKLIKLRSELNPMQDTSGGKKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGASEGEFEIGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >OB06G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:243499:250242:1 gene:OB06G10400 transcript:OB06G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSSCCFASPPAAIRILRRRMASAPPRAFQLRLNPLTGDSEWLVVDDEDPVVDDEAPPPPPRHLLSTTSYLDMLNDTARNRAYRRAIDAAVTDPFSRVLDIGAGTGLLSMMAARALAAAGGETRGSVSACESYLPMGKLMRKVLRANGMENRVKVFHKRSDELKVGDDMDSPADILVSEILDSELLGEGLIPTLQQAHDMLLTTNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGGMERIVSVKLQKHAMQCDALEDEIRLLSEPFKVFEFDFWKRPDSHRETNIKIRTTQDGHVHAIISWWVLQLDSAGSIFYSTAPRWARQSSTEDVQHDMKDWCDHWKHCVWFTQGKGIPATEDQVLSLRARHNQTSISYQLNIDDEACDRGFQGDHLTLLPERIALYGDKDWRSALINTIRNALTVKCSPTCVVADDSLFLALLISSISPTSKVIAMYPGLRDKGATYLRAVADANNISIDKIQVIGKRASSLSADDLKHKKVNLLVGEPFYYGSEGMLPWQNLRFWNVRTLLDSMLSEDAFIMPCKGILRLCAMSLPDLWRSRCNLKDVEGFDHSVVNETLGACGDLPDDQQGPCLPYYVWQCGYSKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDEKKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSAMHVEASFDPSTGELTFSTSSASICS >OB06G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:252638:259219:-1 gene:OB06G10410 transcript:OB06G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASDSDDADADFFDKLVDDDDAHTAAPSSQPEPDVAPPPPEAHAGPPPHHPPNAPAPQLRLPEAELEVALPPKVEGGSAKGVHTAVKQVQWTAFANTTGDDPFGEFMPAATEDAFFGGNTDQATQPSVVATIGSMEHSFSSGVGNVANSHSGWAATATEFTDHNTNLHADSTSAAAVDSTPTDPKYLESLYPGWKYDEATQQWYQVDTYTAQSNADNLGTFGVDSVQQQQQQFGISYLQNSSQAGLETIAEEGSTSWGLNESNTGATEYPSNMVFYAEYPGWYFDTTTQQWHSLESYQQVGVQTTTTAAALGGLVQTSDSYADDYSHQGQPQHVSLGYNNLAGSFYGSNQHADNQVGQQANVEPLESSNNHYTNINTYAHSTSQYTGSDDLQASHKGFGSSTSHQSSYKGFEPYTSHQCTSTGYHSGYKGSESSTVQPAIHQGFKPSTNSQNYKGFEPYSGHQSGYKGYEYSTDQIGQKEFGPSTDNQASHAAYGQVPSPYSSFNSVAKPQGSVPTSNMTHAQTHADSDGFMHFPNNYSSTGNSINFAQQQFISSNSSLEQFGHSPHEQRSSAGRPPHALVTFGFGGKLVVVRETSSMSTNFDSGNQGNSSGVVSILDVSEIISAKVVHPSIPNGSALGYFHALCRQPIPGPLVGGSAAAKDVNKWLDEIITGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSDAHKGEYGAIIHCMKNIPSDYQIQATAKEVQSLLVSGRRKEALQCAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNVENPVDSNYGKLHIPQQSVESVNPRGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIEPYSENSRICLIGADHLRCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPAKLVGKIFTSLDKSLSRMMGTQPSSLPPMPQGSSTERDSYSVPAATNFVNSQPAMAMSPLMSSVSEQSMSEMSGNSGPGKKVTHNRSVSEPDFGRNSNQGAASGNAQSSSSSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKASFQNSVPDQNMNGPGNISYTANGISEARPLNPSEPSLGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGANAAGPSYSKPATPSMNPLSGATFFVPSPATVGSEQIPDPTVNVQQDQSSSLAVMRESSASPPPSVPSVPVQSSIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSSNAVSRSPDGQRTMMQSPLIPGQKHSHSRSSSNSSLQLNNNNGLGEDLHEVEL >OB06G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:259947:264883:-1 gene:OB06G10420 transcript:OB06G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MAJ8] MEAAVMVGGLLVAQCILAGYVVFVHHVLALGANPLAIILIAAVASSLFFLPFALALERKKWPSKISRTLLAQLLLIALGGTTLFQELMLLGIKKTTPAIASAMPNLSPGLIFVVAACFRLEKFDKACKYTRAKILGTLVCLVGAMAMSFLQSPISSSSPQLTAISEPEPAAADGTYYDWILGCSYLLLAVVVLSLYTVLQAATLASFPAPLTMCSVTSMMGAVFTAILQLTVEGKIDVGSPRIDLAIISVIVLMGGGVVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLFGQIVSFGSLAGMALMFCGLYVVLWAKSNEEGRLDGGDVEKPLLS >OB06G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:266260:270784:-1 gene:OB06G10430 transcript:OB06G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPLDESSGPAGQPPEDDGGRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKAPHVVLPQQASLIMEQGCLIPMDASPVVRKFCANDVFPSWDSGLAQSFSPRHTQGVANNCSSSIESQSGTWPTSEAIEQEIGLPKLRALPDFAQVYNFLGSVFDPETSGHLQRLREMNPIDVETVLLLMKNLSINLASPHFEAHRKVLASHGSSGDQVKHETLGDLGSTHKLHLPFMVTSK >OB06G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:271434:278156:1 gene:OB06G10440 transcript:OB06G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPADPKRKMRITYSMEFLLSVGDSENCKKLPEGFDASLLSDLQEMSAGVLEKNKGYYATSLGRSDGSGGYPYSSRGGSSGGRWETRSSGSSDRDGDLPDRDSLMQDRRNGNQYRRNWQNTEHDGLLGSGVLPRPPGYGGQLAPKDRGNTYQPNRTSERYQPPRPKAAPFSRKDIDAMNDETFGSSECSNEDRAEEERKRRASFEMMRKEQHKTLQEKKSGPDIEKENSGRDIISLLQSGPERTGATSKSEKQDVSAYQEETTKPSSMLAASTARPLVPPGFSNAFVEKKVHPQSSSISIEPKVPDATSEDNILATAQFGGLAEGNQSATEITASKNKEKDISNNIASVGQKNTLPSGGVAYSTEFASSILKGSGDWEGDAMDKYSIENVGKSKNIDSVRKDHSVSILEQFFGNALSKGGSDLPSCIENQQTKTDDGMIASSLPESSKFACWFHDEDLKPAEDLSSNGLLSMIVKNEKPGQESVAHGPPLSDGAVQNLVPKSPTHKLEVASTLLPFTPAPAVGMLEQHNHVDIPEPVPVMMTCEDLEQAMLAQVSSSSSSTQKNTVQEHQLVLDEPTATQKVAVDNHASQHLLSLLQKSTDNKGSSSLGFHVGPADRPHSSNITANGGVSGTAPNKAETAPTSAANVTLEALFGAAFMNELQSKDAPVSIRGSATGGPNYEFAGTAKTSVASSHEGYYPAENSALSGPSQGAASLDQKGLEIQLPEEDNLFTLNDSLDGQKPDILSSVRSSRVDGLLPEKADDDLKYRLQSLVPGDSEHVQVLGPDALGSHSHERHYQVESQNLYHLLQGRPPALAPRPMIDHIGNRNQQAPFDMTHTIQHDPHRSFSSNMNHMQQALHGPRVPHVDPAAHHLMLQHISTPRNFPPEGLQRGVPPSQPVHHMPGYRPEMTNVNNFRMHPRQPNYGDFGLMMAGPSGPEVRGSHPDAFERLIQMELTARSKQIHPAMAGPVPGGMYGPELDMNLRYR >OB06G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:281267:283791:-1 gene:OB06G10450 transcript:OB06G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein [Source:Projected from Arabidopsis thaliana (AT3G13200) TAIR;Acc:AT3G13200] MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSSSLLLEGSKREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDTEALMAELERIKKERAEEKLRKERQEAEEEAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >OB06G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:284861:286933:-1 gene:OB06G10460 transcript:OB06G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASPLHVVRCVCLLLLAASASATVAARRHGPAAPVAGQSMYLAPSCRAHTASLTDFGGVGDGTTSNTAAFKSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQDMAEWPIIDPLPSYGRGRDKIGGRDASLIGGSNLTDVVITGANGTIDGQGAMWWSKFHQNKLKYTRGYLIEVMHSDTVVIANVTLVNSPAWNIHPVYSSNVVVQGVTILAPTHSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVVRRLTCVSPTSAVIALGSEMSGGINDVRAEDITAVNSESGVRIKTAVGRGAYVRDVFVRRMSLDTMKWVFWMTGNYKSHPDDKYDPNAIPVVENISYQDVVATAVNTAARLEGIQGAPFRDICIANVTATLAKSRKYPWTCTDVEGVSAGVTPSPCQPLQGSHDGACPFPTDTLPIDQLVLQQCAYSVPAI >OB06G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:286671:286844:1 gene:OB06G10470 transcript:OB06G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSILQAWRNRDKKSNIAGYKNETRSRIAIHEISRTVIMNTASETTPQERIETQ >OB06G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:291988:292626:-1 gene:OB06G10480 transcript:OB06G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPGGNQGGKLLKKGKKKHAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKRQQKKEEQQRLDDEGAAIAEAVALHVLIDEDSEEPCHLMLDNLRICNHWEDFVGFGFAPGSQGVGAYPSGRPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEAPPQSDIHPGPIAVVSSFQKRKDDTFAIHGEAAAASSATESGQWNQQ >OB06G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:295411:298897:1 gene:OB06G10490 transcript:OB06G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRQQDQEQEVVAHVYDVACSGSDGGGGNGNTAVVHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGTGVFSCPPCKNPMYTYRESIVLGKTSCSIFTVNQILQELSWKWPGGSYELLSRNCNHFCNAFCEKLEVPKLPAWVNRFANAGDAALEVAETTAVKLKQAKKEIAGACKAATTYLTGSSSSSPSNAENTGCSTNSSLFEGTWIRSIIGISMKPSKSLMCSDSSDDEKSEDERGSDCEQPSSDHIEDKKDATQEQRVESDNRACHHP >OB06G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:298304:298513:-1 gene:OB06G10500 transcript:OB06G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKACKGAAGHLIKVYKGEKQMRVRPLPRRGQVKSRIARIVMNTITSALHRALSQLPVLDNKSMPLNV >OB06G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:299986:302933:1 gene:OB06G10510 transcript:OB06G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAYLLVPMRSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTLFSLATKIPAEAKTAQDGATGDGEAEGRSRLSVLNGGAAVALSFVICKAGSAMAKQLGLQGGTLPCVTALVVVLATAFPRLLGRLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVLPPLDKTPTRRVLRGNLANVTHVIGHSSTEGKSSLDT >OB06G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:300106:301780:-1 gene:OB06G10520 transcript:OB06G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPMPRNVAMEIPNMPTRIPGTISELHPLAVAIAAARGGPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRGPPDVGVGRDEQRLPLETHQLADGEDDAEVDAHLHQREGEHAGRLGHRVHQVAVGAHHREEHLHQDQRDGLAGRRQPPQQPRERRRQDDHQRRHARQRATLEPQLLGHGRPRLADHERQRHRRAAVKHGQPASAFSLAVARRTVCRIGIR >OB06G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:306816:309400:1 gene:OB06G10530 transcript:OB06G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MAK9] MGWLGGGLPVVAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAALFLGPIAYFKERKSRPKLTLEIFAYLFVSAALGYQFNCLYIVSSLLLFKKTDASLLSCSCRAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAILTRSESLNLRTKAGIAKLVGTLISLAGAMVLTFYKGVPVTHASEIHSSQLHHGAGAATSSRNWTLGTVAILGNCICLSCWFLLHSRLAKKYPYVYSCNAFMCMFSFLQVAVVGLCTQRNVAVWTVTSKFQILTVLYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVIDFTVLHEQFFLGSVLGSVLVIGGLYLLLWGKRQETLHLPPKVAEHDKEQQQQQQVQL >OB06G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:308374:313982:-1 gene:OB06G10540 transcript:OB06G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin-NADP(+)-oxidoreductase 2 [Source:Projected from Arabidopsis thaliana (AT1G20020) TAIR;Acc:AT1G20020] MAAVTAAAVSIPSSSSSTAAASCPAHCFLPCTQPRNRAPHHRGLLLRAQVSTTDAVAAPAKKEKISKKQDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEIPYREGQSIGVIADGLDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKTKEPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWLEYKKQLKKGEQWNVEVY >OB06G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:316569:317168:-1 gene:OB06G10550 transcript:OB06G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTTMVAWESRRDQLQGGGGGGHGHGGERREHMFEKVVTPSDVGKLNRLVVPKHYAEKYFPLGAAARSSPAGTVLCFEDARRGGGETWRFRYSYWSSSQSYVITKGWSRFVRDKRLVAGDTVSFCRAGGRLFIDCRRRAAVPPTTSSLAPQAASINVQRSSAVDEKEAAAGCGGRCLRLFGVDLQLLAEPPALDLQL >OB06G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:323135:323296:-1 gene:OB06G10560 transcript:OB06G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASCGEVVLLYIGMWRKKRRRPDGCGEGGDDCELKRIGFGVCQLQYYYQSTPF >OB06G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:323319:328339:1 gene:OB06G10570 transcript:OB06G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATEGGGQQRRGGRREVRRIEDATSRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPSLEETIDRYISHSQETPANKKPKELITAQVQNMESRAETLAMEIDTVEAYTRKMQGEDLESCSLQELNDLEMQLEKSLSSIRVHKQKKMMDKILQLQQQEKILLEQNAQLLRKQQVS >OB06G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:328901:329834:-1 gene:OB06G10580 transcript:OB06G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPAPAIFLAALAGAAAAQLAAAGFATDLYWKDPQPAPGAVTPYKTSDWQDGSATFYGASSGIGDDFGGACGYTSNDILSLFSTNTAALSTPLFVTGNGCGQCYELRCVKSPWCNPGSPSVVLTGTNLCPPNWDLPNDNGGWCTPPRHHFDMAPPSFLKLAQRVAGIVPVQYRRVPCQRTGGVRFCLHGNHYWLLLYVMNVGGAGDVSSLSVKRSGGSAWIQAAHNWGITYQVFAALDNSVGLSVKLTTYSSPQQTIVVDDAIPPWWTTGLCYQGSNNFY >OB06G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:341311:345051:1 gene:OB06G10590 transcript:OB06G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFHLRGSKYLGPVKEMLAEFCSLEAEVHAMDGAKRAPKIGKWDDVERSSSSSPWGNLSLSSMDLLDLERRKARILSMVEEVDRRYRRYREQMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRKVMGDSAGGGAGAAAPGATKGETPRLRVLDQCLRQQRSFQQSGAIDNFPWRPQRGLPERAVALLRAWLFDHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPAEESHHTANNNNNNKLNPSGGGAGNKQQHRDDKFYTTATPSSTVATSLAGESSYHHLRSSANANSSSLISAAASMDDGSRHQLFGHSYSSASGLHGGAVSLTLGLQQQQPFAAMSMMQQQQSFMVEAAEEEDEEIVLPYRNLMESQLLHDFAAEQIKGKTVWHVLTVL >OB06G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:347245:348348:-1 gene:OB06G10600 transcript:OB06G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRCPAAPRPLRQRARVQVVRCCEDTLGVPRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXREQDFGNFQDREKMRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPEMNVVLVSHGLTLRVFLMRWYKWTVRQFEGLNNLANGGAVVMQTGSGGRYSLLVHHSVEELREFGLTDDMIEDQMWQKTARPGELNYNFITNGPSFFTHFNDHAPLPTTQQLDQIDQIY >OB06G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:349608:351031:-1 gene:OB06G10610 transcript:OB06G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGGYSGVPRLLLYLLFLLTHLLPASILSCSSCISLQRAVQEILALARTLICRKKYPRIGMCKGKWS >OB06G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:350036:350882:1 gene:OB06G10620 transcript:OB06G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEQERIEAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGSVTAQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPDVTAQVRLTVYAK >OB06G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:361474:364811:1 gene:OB06G10630 transcript:OB06G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MAL9] MWQYMAHIDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKITGTLMSFAGVMLLTLYKGVALTHQAVSSDQHHAVIAEPSKKSWTLGTVALLANCLCFSFWLLLQTKLTKKYPALYSSTAYMFLISSLQGGALTAAIQRRASVWALTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFFLHENIYLGSVVGSILMILGLYILLWGKNRDASAKEAKEEEEDKEKQVKSLGPN >OB06G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:365396:368611:1 gene:OB06G10640 transcript:OB06G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MAM0] MWMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERKTRPKFTAEIFGYMFLSGILGPVLLQYTLFVGLEFTTATFAATFGNMLPVVTFLISLVFRFEALNVKSKSGSAKISGTLVSLSGAMMLTFYKGSALTHTASSSSNPAASSSGSKQAEEHDTVRWVLGSVSLLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSVSLWALKGTIEIATVVYCGVVASGIGYLMLTYCVEKRGPVFTAAFSPLAQIFVAAIDLFILHEPLYLGSVLGSVLVILGLYLVLWGKREEAAIASPEKPVQAADVEQQQQEKV >OB06G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:369170:369512:1 gene:OB06G10650 transcript:OB06G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMTCRRWRWRRRRSRSSTERGWARRRSRRGWSAAWSCTTPPPTCCATPSTTTSTRASRGRRRRSWPPRSRWAPRRAARTPGRAAPSAPPSPDKKGSTAAWPCSPSASPPPSS >OB06G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:370758:375595:1 gene:OB06G10660 transcript:OB06G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MLRSQYISQTPKRDPGSNQQLEIDVAAEKPRRFITSDGGAGGEAKPEAALSGEGGDRGSKRPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGTGSGDAGPESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLFKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRARFRQEHDGNSKQDWWRQPWDSDEVEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTYGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGANERVSILRALARNKPISPSVDLGALARREECSNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSSIELPHFERALAKMQPSVSEQQRKHYEALSRKYSAS >OB06G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:375400:376101:-1 gene:OB06G10670 transcript:OB06G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPLEAVLFDIDGTMCVSDPFHHRAFSELLQGLGYNNGVPITPEFGMAHMAGRSNDQIGRFLFPGWGQDQLDAFFADKEALFARYAGEGLREVAGLSDLCRWAADRGLRRAAVTNAPRANAELMISILGLSDFFQVIVAAADDCDRPKPSPDPYLRALTLLGASPRHTLVFEDSGVGVQAAVAAGMPVIAVAQEAGEAKVLAAGASLVIRDYQDGKLWAALDKLDAAAQAK >OB06G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:377077:380735:1 gene:OB06G10680 transcript:OB06G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:J3MAM4] MAHTNKNHTESFPPGKKITIVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEAKSETEQGTMIKNLMHEGKLVSSDLIVKLLLKAMLQSGNDKFLVDGFPRNEENRHAYENTIHIEPEFLLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFDVFQQSTMPVIQYYEKKGKLRKVDGNRQVDEVFEDVKAIFAQLNNQGNLPGQQAGGLSRTQMNPFKRWFLDFFCGCFGTQEARN >OB06G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:387978:396044:1 gene:OB06G10690 transcript:OB06G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLWVAAAAVVAGIVLVDAVVRRAHEWARLAPLGAERRARVPPGDMGWPVVGGMWAFLRAFKSGNPDAFISSFIRRFGRTGVYRAYMFSSPTILAVTPETCKQVLMDDEAFVTGWPKATITLIGPKSFVNMPYDDHRRLRKLTAAPINGFDALTTYLGFIDRTVVDTLRRWSSEPAAEVEFLTELRRMTFKIIVQIFMSGADDRTMEALERSYTDLNYGMRAMAINLPGFAYHRALRARRKLVSVLQGVLDGRRAATAKGFTRPTTMDMMDRLIEAQDERGRRLADDEIIDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKAEQEEIMRSIPATQKGLTLRDFKKMQFLSQVIDETLRCVNISFVSFRQATRDVYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLTRTNPKCRVRYLPHPRPVDNCLARITKVSDEY >OB06G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:398463:402996:1 gene:OB06G10700 transcript:OB06G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDEVVSASADAARDETVCVYIWDMDETLILLKSLLDGSYAGAFDGLKDPDKSIDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRTIGEKYTKGLEKILDNHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTVEPTGKHASINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERFDGPNVRFCAIGDGHEECSAAQIMKWPFVKIEFRPDAPHRFPGLNLPTIHRVMAAVYDSSSNDG >OB06G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:403560:403799:1 gene:OB06G10710 transcript:OB06G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKEKVSAAKAKGKVSRAKAEEKAEARSRAKAKVDLHQEKALHREEAIHRRLHDHHAGAGAGDDDHDASSSHLLLID >OB06G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:411074:417083:1 gene:OB06G10720 transcript:OB06G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRPHRPERVMDNWERLVRAALKHQHRAPSAAAASASGIGLASAVPPSLGKTTNIEHILQAADDIEDEDPHVARIRNPLLPLPLACAASQLKSGGLGLKHTHNFPLLCTPVCEQAYTMAQNLDPGSEGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYQQYKSRRRVDDMQREQERLRESGTFSTEMGSRAVEMKKIYATLRALLDVLEILVGQSPSDRLGRQILDEIRRIKRSDAALRGELVPYNIVPLDAPSSVANSIGFFPEVKAAMSSIQNCEDLPRFHFQEPQPRQKDIFDLLQFVFGFQEDNVRNQRENVVLALANAQSRLGLLDMREPKIDERAVTEVFGKVLDNYIKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANIRFLPECLCYIFHNMMTCGMLLCNDKKFKGVICKNYNESRWGGRITPTIIGAFIKEYRKRDCQHDSTVKA >OB06G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:428568:446874:1 gene:OB06G10730 transcript:OB06G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMYFQMAKELDGILDSSEAEPARSCTITNEDGSTYTSYLEKIITPIYQTMAAEASNNNNGKAAHSAWRNYDDFNEYFWSRSCFHLGWPPTEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWVFLLLMFQCLTIIGFHHGKIDIDTIKILLSAGPAFFILNFIECCLDVILMFGAYKTARGFAISRLVIRFLWLTAVSTFVTYLYLKVLDEKNARNSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSNFSDRSQFFQFFKWIYQERYYIGRGLYESIGDYTRYVVFWLVILACKFTFAYFLQIRPLVDPTNVILTLRNLHYSWHDLVSSGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARARLGEIRTIEMLHKRFESFPEAFAKNLSPLRYLLPLPRIDSESTKTHASIFSPFWNEIIKSLREEDYIGNSIMTKFSFREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWYRISRDEYMAYAVKECYYSTERILHSLVDGEGQRWVERLFRDLNESITQNSLLVTINLKKLQLVQSRLTGLTGLLIRDETPDRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSKIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFANSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWTNFLERIGRGESSLDDFKDSPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTEGYERHPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEDDVSSGKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRSKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYVFLYGRLYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILERGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTKGGASSFILLTISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKITSHNTSLAVYGFSWIILLVLVLLFKLFTATPKKSTALPTFVRFLQGLLALGMIAGIALLIALTEFTIADLFASALAFVATGWCVLCLAITWKGLVKAVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >OB06G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:452418:454586:-1 gene:OB06G10740 transcript:OB06G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQDADVKKGPWTPEEDKLLVDYIRRNGHGSWRRLPKNAGLNRCGKSCRLRWTNYLRPDIKRGDFTDDEERLIINLHATLGNKWSSIATKLKGRTDNEIKNYWNTHLRKKLLNQGIDPVTHRPRTDLLAGLPNLLAAANLGGAAAAQLPLDINAIKLQADAAKFQILQGLVRVLASATAAPAPAAAPSAMDLMTILGAITGANSGGLLGQQQQQLSSVDLSRLGQYDGNYSLPPLTNSCSPQQQQPMRPPPMSSSMSLDGMLDRLVSGTGVPSGDVMSSPELCHGGDGLSSPALGHTPVATPSMVASEDQCNTPGGGGGMTSCEQTPVASSTFDGLASLNLDDVDIVNMEVCWTDVLLEPLPAWLSNSNPSDMYFPKNNTGEM >OB06G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:467884:471012:-1 gene:OB06G10750 transcript:OB06G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPVRAVKVTNVSLSATVQDIKEFFSFSGEIEHVEMQSGDEWSQVAYVTFKDSQGAETALLLSGATIVDLSVIIAPAPEYQPPPTSSAPPMYSGTSVPVSGDNNVVHKAEDVVSTMLAKGFTLGKDAVGKAKAFDEKHGFTSTAGAKVASIDRKIGLSEKFTMGTSIVNEKVKEMDQKFQVSDKTKSAFAAAEQKVSTAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEEQHKGSGPSGGHSYTPIQ >OB06G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:471640:472101:-1 gene:OB06G10760 transcript:OB06G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSGSRLGSSTASRMRARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGMSWAVSYCGDLKMKRFDAAAWMEFAVDNRLRVGDACVLELITVGAGGGSVEFQVQILRGGLPAEVLTSKGATSDEPIVIID >OB06G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:472158:472406:-1 gene:OB06G10770 transcript:OB06G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPDAFPPATKMNNTNIKPKVEPRDDDELPAPAASWSDEDGEATTPLAAGNPFFTTIIAKSHLHPKFQMVGRSINSYRIYI >OB06G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:484822:487795:-1 gene:OB06G10780 transcript:OB06G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDASAPLPAGAISKVLIVIAMQTEALPLVNSFHLHEAPAHESIFPKGAPWIRYHGNYKGLHIDLVWPGKDPALGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGAGIGDVFLASDAAFHDRRIPIPVFDMYGIGARKTFATPNILKELGLKVGKLSTGDSLDMSPHDESAILNNDATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKTVTEVVDFISGKCLSDL >OB06G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:493326:498846:1 gene:OB06G10790 transcript:OB06G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPRQLDLSLNISTPSSSSSPSPAPAATSWPWTSAKQLPADKEAAAMTASARSAPLLPRNSDVCSTRGAAAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTPTHHGGGLANLQKQQQQLKAAAMQQLKEAAMQQPQPIHGVPVYHHQQQQQRRQLHQPHAVVGDRRSDGGGGRRLFSHVGVATPRTLPSPSPSRSMLARLPPGRRGVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDRPVSYAGQANDGFDNAPGGDISDDSFTDGLLRQNRSMLASGEQNDTNIYSGLWSNNTSGKVDGLDLGLPVSEPANEFYRIYLKNAHRSVGLETSVLSLPGRPNLEFTLGIGKASQ >OB06G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:500507:504311:1 gene:OB06G10800 transcript:OB06G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSSLRRCHHHLALLLLFLLAGSSIALPVQPEMERVRWQVDRVNRRGPSIGLVMSYIDEATALQSSGYFRPWHALPFVDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFSVSGIVHYGTAGSSNDSMSFGDVSVPKFVAYTGAWTWKKFKSFKESDSELSFGEFNVPNGGENLLGALKFRNEELYSVGKPMKEVFWLSVDSTWFKIAQELKVTLERCNNTFCLPTTPKVVYGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMKLASINALKVAVEFIGTVGKQKSTTLAESASN >OB06G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:505149:505664:-1 gene:OB06G10810 transcript:OB06G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGCSQNGCSEDAVRLFTRMYKENVRPDSFTLVSVIQALADISDPLQARCIHGYSIKLHLDHDGYVLTALIYMYAKCSCATIARTLFSSARERHVFTWNPMIHGYSSHGFGKVVVELFEEMKSIGIAPNETTFLSVLSACSHAGLVDEGWKYFTSVKEDYGLEPGKLDEE >OB06G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:505879:506112:1 gene:OB06G10820 transcript:OB06G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQDSCILTIISIAIRARPFRAYPATSAFQGSLTDRHSVEHPACVAGTTTLSLHISECGGCEPATKASEASPRATS >OB06G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:512894:513417:1 gene:OB06G10830 transcript:OB06G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILINYFLLYRVFLDHPNHTRQLGPPASAENPLDFSGVDVRLPMLVYVSREKRTKHNRQKKAGAMNALMRASTMLSNARARRAPEANLRRHRLPLPPHHTLQLRAAKDQRRRALLPQPRQDVRQEQVPEVRAGDGQADGTAGDHSGEGEARGLALA >OB06G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:520050:520235:1 gene:OB06G10840 transcript:OB06G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPVARTSSSPRSFSLTKPPFAILVLASIPPQLESPLSTRIASPPLLRSVADWFHSFIFIR >OB06G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:521670:530839:1 gene:OB06G10850 transcript:OB06G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLFLAWRIKHKNEDAMWLWGMSVICELWFGLSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPDKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREAALDDVVEAVKIAKATWMADGTHWPGTWIQPSAEHARGDHAGIIQVMLKPPSDDPLYGSSGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKVKTSTIASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVRTLNVAFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDDFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >OB06G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:531983:532960:-1 gene:OB06G10860 transcript:OB06G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSCRRTFLASEVPPPPAEAEAAATANPPPANKSRTQKREMTLAEMQAKISRTEKREMTLAEMQLQLAKKRATNNSSRIIVEEDDDDDEEVSDEKEEQLNDQSEMMDVEDSDFYNFDADRSEKCFKKGQLWALYGDDDGMPRHYALVDMMMPAGNKFRAQIRWLDLQPDGEEGKPCGEFKVGRVVTVHSVNIFSHLVTYERASRELYRIYPKKGSVWALHGGKDTDSGRPKYEFAVFLSGYSDLYGASFGYLEKVEGFRSIFTRRDVGSHAVQTLKKEDMAKLSHQIPARKAPKGEGSTLPPTDCWELDPASLPSELLHVEQPK >OB06G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:534573:544536:-1 gene:OB06G10870 transcript:OB06G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAVEAAAAAVVPGMEEPCCISHAFDRAVRQKPTRVAIIHAASSGSDSDRRFTCADLLAAVSTLSRRIAAELRSSASRHRDESPDCSESDRPTKAPRIVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSVSNAALVVSAGGLGAAHVFASSAFSVIRMDGDLWQGSEDGKDVIGREELAWPCECDRPRDFCYVMFTSGSTGKPKGVCGTERGLLNRFSWMQRWKPLCSDDVLLFKTSVSFVDHLQEFLSAMLTCTTLVIPPPNDWRANPAFLANLIKAYGISRMTLVPSLMEIILPTLEKNLSWTHNPLKILIFSGEILSIFLWRRVHRILPETTIVNLYGMTEVSGDCTFFDCTDLPAILKREELTSVPIGFPISNCEVCIATDARIADEGEMHVSGACLFAGYLEESMMTNHSQGSGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKLYGQRFSLHEVESTLKEHPAVSAAAVTFQNNGNNGSVDFRAYLVLKNSAASAEDCQQRKKHKSSQVIMPSMRSWLVMKLSPAMIPRFFHPVESLPLTSSGKIDYLKLSSLNCASESHEIETERNTVNPHLQLIKEAFCDALLVDEVSDFDDFFTLGGNSISAAHVAHKLEIDMRVLYIYSTPSKLLDALFMKHGCLLSSGHEPRPKKGLDTSSSIPSSFNPISTSVNDSFPEGKSHLNGDGECAHDKITGNFANEVDDQLNKNMPLSNDRYQMKPPKSPVLDKCSNDRNCLDDSPWILNFHLQKKWSLGRCNRFMHGYEGKLQLEDVCAYVPYSERGYLQAIWNIPLGSCVDASPLLVSNDGMLNIFIGSHSHSFLCIDGCSGSVRWCVKLEGRIECSATITGDFSEVVVGCYKGKIYFLDMLTGKLAWTIQTDGEVKMQPVVDRIRNLIWCGSYDHHLYALNYKDRCCAYKISCGGSIYGSPAIDMTHNVIYVASTSGLVTAISLEVSSFRIIWQYEAGAPIFGSIAIHHQSGNVICCLVNGLVIALNSHGSVAWKATVGGPIFAGACLSSGLPSQVLIPSRDGRLYSFDTTSGALLWEYEVGDPITASAFVDEVLTSTSSGSSERFACICTSSGRVHVIRIRVDAKQEKVNGSVCSDLVQGIAAIDLPGDIFSSPLMVGGRIFVGCRDGQLHCLTISS >OB06G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:545308:545475:1 gene:OB06G10880 transcript:OB06G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSEEHYPSPDSVLDAVISLRFPCRKRSSLWTDLNAVRKLSYGINAVRSKIVKP >OB06G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:545541:545762:1 gene:OB06G10890 transcript:OB06G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDGGITKFTGDDLSNLTLVDINDPEQQLEFSVTKIHARKAKFRTINLIMVQMLLIN >OB06G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:547202:548647:-1 gene:OB06G10900 transcript:OB06G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:J3MAP6] MAVTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELHQVFSEWNKGELLSFLIEITADIFSIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEAAKIFQGDFSSDLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDVPGAFHTEWFKIARAAAKM >OB06G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:553557:558892:1 gene:OB06G10910 transcript:OB06G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQLVSGPIGNGVAPVVRPVYMPVHRKQDARRAEPPVAQVRRSSESVDSVPRNEEFSDDDSCSVSQESAHNYHGQRANRTTAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCGARFCSYCVLRAMGSMPEGRKCITCIGQAIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNQEEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRTISTNLAFNGKLQPNASNGNTQVYMNGREITNIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPVTRFACALFSLPVPPANSDEPRDEAPYSARTVPDYLDQKRVQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENTQHDGSQSNGSNSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYAEGVTQGNGLAFIEFTLDDRSPMSELYTDNHEAHSQTLNKYQLIRVSAKGMNEGCKWMEMFEDVSMVIFSVALSDYDQLGAPSSGGNSPLMNKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFNDFSPLRTHHNNQSLAHQAFYYVAMKFKELYASFTDRKLFVWQARARDRLTVDEAFKFIREVLKWEDEKDVGGYYPDESFYSTTELSSSRLIRQE >OB06G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:559081:563073:1 gene:OB06G10920 transcript:OB06G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MREAMAAFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGMHKDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHSKVMHIWEQMLEANVAPNQFTYTVVIGSYAKEGQLEEAMDAFGEMKRRKFVPEEATYSLLISLSVKHGKGDQALRIYDDMRVKGIVPSNYTCASLLTLYYKNEDYSKALLLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQSYVAMAQVHMNVRNYDRALQVLDSMRLRNVKPSQFSYSALLRCHVAKEDVDAAEDTFRVLSNYGVPDVFCCNDLLRLYMRLGHLDKARALILKMRKQDVQLDEDLCLTVIEVCCRTGMNEDSDKLTEEMQENGMTMKNAAMVIQNEGDSLKASTDSLAFSTTLKSLLDKPGGLSNASQLITKFAREGNTDEAKFLYEHLTELGAKPDDTAVATLIVQYGQAQQLEQAQKLFEVSSASFPGEAVYNAMVDALCKCGKTEEAHRLFMELIDQGHNGDVVTISILVTHLTKHGKFQEAENVIYRCLHDEAELDTVVYNTFIKSMLESGKLYSAVSIYDRMISSGIPKSMQTFNIMISVYGHGGKLDKAVEMFTAAEELGSPIDEKTYTNMLSFYGKAGKHQEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEMMFQEMQENNHVPDSHTYLALIRAYAESKCYSKAEEAIQMMLSSSITPSCTHFNHLMFAFLKEGQINEAQRIYNQMKETGIAADVVCCRAMMRMFLEHGYTDDGILFFETECRILKPDSYILSAAFHLYEHSSRGSEAGDVLDAINMNGASFLRNLKVGSKLGAR >OB06G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:565714:566943:-1 gene:OB06G10930 transcript:OB06G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRREFNLSNMQSHSKISDASGSTPPAASVIQGWAELPDSLLHSIVALLGTFRDLLAFTGTCHAWRAAFSSYPSKSAFRASLPPLLVRPNVRVKPPCFPSSENGNGGRKKLRACEVIDLANRSTPLRCQIPRETLQKMRFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSEDREEFYYCGTLTAPITSRGSHLLISTQSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLFAVIEYSLYALQLAPKLRLKKMKTLWWDGMNECPYLRPWFVVCGDMLLVVDHYMSFSFGAPVVYRTYRLDMSTKPAKWVEVKKLENWALFIGGDARSPPFSFKNPESWGGRSNCLYYAHYSQPWSLHGLGDDADAVWDPTTDDDLVFKRNWYGQLQAFWVYPSMFYSDGDGQY >OB06G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:570355:570834:1 gene:OB06G10940 transcript:OB06G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKELVGLHFLHLKPWLVICGDMLLMLDVSVGTRQLYSFPAKVFSSFQVFRLDFSAEIAKWMKMDKLEDHAIFVSLDRRNPTFSCMSPERWGGKSNCIYVAQPSEDSDEPWTTVELGQSVLRTVRCFPYGHRLLQTNGHGSQLENLWVLPRFVYGADQ >OB06G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:572145:573332:-1 gene:OB06G10950 transcript:OB06G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKVSDASGSKPTDTSVCQGWAELEERLLHSIVALLGSFIDIVSFAGTCHSWRAAFSSYPSKSVFRTLLPPLLVRPNVRVKAPCIPCSSNSRRKLRSCKVIDLANQYTPLRCQIPQETLQKMLFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSESHTEIYFCGTLTAPITSPNSHLLISTQSSLFDWPFGGDSWSELKLPVNRIDQVVEFNGQLIAMIDCRLYTLQLAPKLRLKKIKTLWWDDSKECPYLRPWLVVCDDMLLIVDHYMTLSFGAPVNYRPYRLDMSTRPAKWVEVKKLENWALFIGGDARSPPFSFKNPERWGGRSNCLYYAHYSQPWSLHGLGDDADAVWDPTTDDDLVFKRNWYGQLQAFWVYPSMFYSDGDGQ >OB06G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:574420:577274:1 gene:OB06G10960 transcript:OB06G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRARRRKRQRQRQQQQPGPSPPTELTTLGRREIAVEERPLKTTCHTSVPTSPALCEPHVWQDLMDSLLHQIITFLSSFQDLVAFSGTCYSWRTALSSFPSTYTFTFPPLHLKPDIPDIHTYSRNFKYSLLSCRKWKLGDPSKRNISLCCSAPQNTPNRMRYLGCSYGYLIFSYRKNCLLVDVYNGTKMKPPILQFLSNKDIYYGILTAPLNSPNSHLLLCSKSSIFFWQVGTNSWSEHPFCGDRILQIVLFKGEMFAMDFHHRLHTVRFAPQFSMQELGVLWAEEMLVGVHFKPWLVICGDMLLMLDLSVGTHQLHGFAGTFQVFRLDFSAETAKWMKMAKMENQALFVSFDRRNPTFSCMRPERWGGKSNYIYVAKPSDDSDEPWTAVELGQPVPSTTDFVPNHHTILRREPNGHCNQLEHLWVLRSSTYGVGQ >OB06G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:577199:577786:-1 gene:OB06G10970 transcript:OB06G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDLQSTKSKSSTQSRSRNRSRSASIFVSFRFDRSSMEGDSSKKMITLISSDGERFEVSEAAASLSQILRHMIEDDCVDPAGVVLPNVAAKPLAKVVEYCTRHAGAGAGDEAAAEELERFDAEFIDVGMDMLHALLTAANFMCVDGLIGLAIRRTADHIRGKSPEQIRKLFRIVNDFTPEEEQAIIKEHEWAFQ >OB06G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:587676:594184:-1 gene:OB06G10980 transcript:OB06G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRTDFSLSKHVTRLLLLLATRHVYTHGRSLPDRSEWGEEREVAVMDVMRAVRVRSFAWQAMRPGQNPTSMLVLKGGEEKWGGAMSEQPLPQPRSSMREALEKEDKEKAAAAKEKEKAAVPKNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAGSAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDAKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGSSIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCVGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRAVYGIRYGVAPAIPVRIMGDTKKKPIE >OB06G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:596564:596791:-1 gene:OB06G10990 transcript:OB06G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIGFLIFFSFPLPFQSLQLYNVFRRISLPFQKWINTWPLHPTILEMHLFKSIVERRLCLFRELNRNFSYPQR >OB06G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:597609:598001:1 gene:OB06G11000 transcript:OB06G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARSHEAGTHTTQAACNGARLHGCVCVPVPVRQREKRRKRRRRLVHNFSCRHRRGNINGRPLASQPLLWIRKKRMMKMKMKIARSVDGHLPLLGRPTRICVCVFFLYSLQYSCISSTRLCLYISMVFHL >OB06G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:603013:607953:-1 gene:OB06G11010 transcript:OB06G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFNATSTVGLMAAPTGIVSDKKPSSLSSLSSVSVASRPLNARFQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKEATTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKYGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >OB06G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:610990:612105:1 gene:OB06G11020 transcript:OB06G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDSFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRHLWRQYFSNADALIYVVDSMDRERMGVAKEEFQGIISDPLMLNSVILVLANKQDLKGAMSSSEVCQRLGLYELKNRRWHAQGACALTGDGLHGGLDWLASTLRDAHTWGASVRF >OB06G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:611129:611377:-1 gene:OB06G11030 transcript:OB06G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVLPSHVPHRERHVLVLHLLHIEPCSSMNNSAMGHGQEMAMNMMYLELPIVGMDERTSPMCSLYSIVVFPAASSPSIRT >OB06G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:617376:620722:1 gene:OB06G11040 transcript:OB06G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTCKRKTSKTPIPMPVLQGWADLPDDLLHSVLPLLSSASDLVAFIATCPSWHAAFRSAKSILSTTLFRPLAIRSCSSSDNYPEVWELFDPAKSTICLHRVTPPGFLRVMGFECCSYGHAIFSKSLFNATSFAIVNAFTGISVSPPPCPFSGFLNCRALTAPFDCPDSHLLVSSISSMFAWRVGSNYWSEYPCKDISSSLEHFVSFKGQLFALEYPLLYTIRLEPQLIMEILQVVWSEVMADPEIWEPWLVVCDDMLLMLTRSTGETFRLDLSSEPAMWVKMKEEKMKEWAFFFDEKKSYQPRPPLSCKNPQRWGGVGKKTGDRRRRRRRLRQRREYRPSVIRGPAHLNSKDHVSYSSSVPTCQYSGFDFLTHPDSSPPPAMAPYPLPPPPQQQQQLPQARSSKPGRPPPHSHGGYMNRAVSVESGAPHDARGLRAFIKALATEHGEAAPAVHAHAAKIGLDRCRAVRDGLVELYLARGELASARALLFDGFPAGRDVVSCTAMVTSHARHGFLEDAVALFFAMADDRGVSIDAVAAAAAFSACAQIGDLALGREAHHRVADRKVAMDVVAWNALGDMYARGDDMAAAHRCFRRMPVEKNVVSWNTMISASARAGELDEALALFQEMQAAAVRPDDATFVAVLGACAQLGALDTGRWLHAYMGRLGHSADGVIGNALLDMYAKCGAVDQASEVFDAMSRRDVYTYTSMILGLAIHGRGEKALSLFAVMRRAGVTPNEVTLLGVLTACCHAGLVDEGLEQFNAMPKPRIEHYGCVVDMLARAGRLDEAEELVAAMPMQPDPLIWGSLLAACRAHGDVDRAERVMRRRTADADADAGDYVLMSNTYASKGRHGEAVQVRKQMRKSDIDKVPGCNLIEIDGVVHEFRAIPANSIDRPKCKDAIFY >OB06G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:619304:619687:-1 gene:OB06G11050 transcript:OB06G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPAQREVPDLRARGERRGGGDGVDGHAAVIGHGEEQRHGILKNPCLAWLVTMAVQETTSRPAGNPSKERPRGGELAASEVELDEPVADGAAAVEADLCRVGVHRRRGLAVLGRQGLDEGPKTSR >OB06G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:620972:621919:-1 gene:OB06G11060 transcript:OB06G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALAAAAAARRMAGHTGGSPLLASSRRGGAQSLNHSSSAQAQLEEQKSIHDGVAGEQVEAALNRKNVEVLPDEMVDALEGGPEDAWVPDQETGVFAPADEAVSCTESLSHGAAAGGSSVLDQSVFVREEEMEDVERPAIDMATANRKAK >OB06G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:623484:624549:-1 gene:OB06G11070 transcript:OB06G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ozone-responsive stress related protein [Source:UniProtKB/TrEMBL;Acc:I0J1A7] MAAKYIAAGIVGSFAISYACDHLIAEKKIFGGTTPKTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLES >OB06G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:627358:630380:1 gene:OB06G11080 transcript:OB06G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSILIDMMKPDGSSAIPILSGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGINDLSMWDLMLTVDLLKHNIPDPSLLTSSTTQDKENKNDNQSGIEDYIADLCFVHAGLRNQDFSPSKLMFLRKVLEKHFNSSSFSIGSSGATPQVCDPSVPSSMKVEDLRSNQQDIYLLPSRTPDNSKNFEYGTCPSMLGMLRDQILSRPSRPFSKNLTERDWLRSSAKIWDMVKRSPVISEYCKALQDSGFFRK >OB06G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:631563:635827:1 gene:OB06G11090 transcript:OB06G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16790) TAIR;Acc:AT2G16790] MAVSDLTHPGLAVVIMGVSGCGKSTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDDDRIPWLESLRDAMRERLDGGEDVAVSCSALQQKYREILREGDSSYRSGSGSYSSCRVKFVCLEASAEVIAERIRRRSMEGEHFMPASLLQSQLDLLRIDEAEGITEVDATVRPDAIVKNTIVQFREQLASTVC >OB06G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:633199:636233:-1 gene:OB06G11100 transcript:OB06G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAAVGFLGVLSAALGFAAEGTRVKVSDVQTNSPGECIYPRSPALALGLISAVALMVAQSIINTVAGCICCKRHPVPSDTNWSVALISFIVSWATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSSKSPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >OB06G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:638191:640083:1 gene:OB06G11110 transcript:OB06G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFAIAYLCDTFISDTKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >OB06G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:640530:640697:1 gene:OB06G11120 transcript:OB06G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGIMYHARRTQILDNWNYHSGYHHLDSNQIEGIMYHARRTQIRSIRQLGLPL >OB06G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:641360:646638:-1 gene:OB06G11130 transcript:OB06G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKTLPDTITNEDKLLLYGLYKQATVGPVNTARPGIFNLKEKYKWDAWKAVEGKSKEEAMSDYITKLDRKCKEEAMSRLHHEVEAAARGDCCIQVPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLVEALNGSLPKAYSFDPSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAIKKAEIDNPVSIQTTKGYLLVASQDKVLVYNTSTQYYGRVGAPRPLFGTTIKDIKSVFAGSSGVMPASPAGKPLIAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSLTAPTGSILNHSTSDRAFADSSTRAGERGYVDGMARASDRSYVDATTRATDRGYAEATRSVDIRGGALRGAPRRYPSPTRYSGGGVIPYRPVSTEPVLRATPELKYRGPGMEPPGFPKKRDTLFSSNQTGVDDHVD >OB06G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:647288:650118:-1 gene:OB06G11140 transcript:OB06G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSLHCETAPPAGIFQCANEANVVTTEEEIAKDDTDDETSSDAEIDEDAEANGDESSDPDEAASVSWIEHQPLPYPLDALEPYISKETVEQHWGVHQRIHVERLNGMIGGSEWEGMSLGQMMLSSFNEDREAPHRPFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIQQFMDAALTQFGSGWVWLCYKTSKLPYVNSRSPIPSDNYGRLVISKTPNAINPLVWGHSPLLAIDVWEHAYYLDYEDRRADYVSKFIEKLVSWEMVETRLKKAVQRALERDEYVSTKLIRKQLLAREKNRIRARPQQVNGDAREHTNSQERSLGV >OB06G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:651151:652944:-1 gene:OB06G11150 transcript:OB06G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:J3MAS1] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKSFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >OB06G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:660963:664458:1 gene:OB06G11160 transcript:OB06G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSEFFRNTSEEMFLKAMMENSMGAVTAPSMEMMGFRNMSQSFREDSEELFNSWLMNGEIPGFSSVNNRPRQPSRLSSEAAGLPTQQHEIAQENIPTDNLIPQNLAVHSEFTNNHNQQPLKNAAEKGMQACDLLLAKAWFHSTQPMTRSRSSELRKKYAAMQTNMPPITTETIEAANRLRQDLTNASTANSTPMSITPIQTPTFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSSHANGDTSSGISFGFYDTQHFQQNILGGTDIFPLVTTSQIQDSMMLPKVERPTEPSTGNFVAPANQVWLGIGSREPSQSGSSAAITAHSAGFEVCDDLPPMRQAMTVCESTRTNAANGAADCISKGKEFRERILKDNLKDDRKKGALTRMGSISSEQAVDKGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQTVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLLEEIERIVSDTNA >OB06G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:664946:665698:-1 gene:OB06G11170 transcript:OB06G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFFAGGERVEPPVPVTDPLLAWAHEAHWSMGGLSSKRLRLQGRIEGSIDKLRRRVRRDARAKAKTRAAGLKPDSLAALGSDDDSSDEEAEAQERNLKRELADEPSESEEEQEESEEEEEDALATIAAAAKRKRARKLSDEFDRVATLQEGEPKKQHRAAASTLARTSPRRKTTEAAPTPAAAAPARASPRRKAAAEAAPAAPARASPRRKKAAAVASTPATGARRTSPRMKH >OB06G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:666994:670453:-1 gene:OB06G11180 transcript:OB06G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINCRRFCSWLVMLLSATGVGVVMEMFFGSFLNESASSDNFFGHPNVERCPFLRNINGATTFSFSSALPVAARGSKSPIFEDGPGFDSAFKLFHGRDGIVPLSGRSYAPDENNSENIDAKPEPALPFNPLASRAASISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPTNPNQNSMKQKGGNSSSHEAMSDEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTALVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVAMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKTDADSTTVADIYPNKTGNCSDTEGKAWDPLAMKMAGRASGAAAAPTPSMCF >OB06G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:679288:683711:1 gene:OB06G11190 transcript:OB06G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDTLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSVLGTPNQRTWAEGLQLAASIRFQFPQSGSIDLSEVIPSASEDAISLISWLCSWDPQRRPTAVEVLQHSFFQPCFYIPPSLRFRSSGYAATPPSVGAKGAVDQKNARSTVGLLSNGRPAVNYSYWSSNTPARAAGVQRKLDLDHQGSMNPYQVPEVNHKLTKEEAMNQPWSRPPAAAVRNNGNYFTKDQSPRAPDIAEKLSQLAVGSNRSSGLSSDKFVDLKARTHGNTMKRTLPPVGARAWHAPADPFRRSYDMPGDRAFLPRKLVS >OB06G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:684658:687204:-1 gene:OB06G11200 transcript:OB06G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAGMMGGGGGGGYQHHHQRGAAGRAGVEQQALIYKYLMAGVPVPGDLLLPIRPHSAAAATYSFANPAAAPFYHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQQSNVAATATHDADAPLPSLAVGAKTHGLSLGGAGSSQFHVDASSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDNPWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGLLGNAKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPISLRLPLASLWLPVDLFHTDDSFH >OB06G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:691371:695063:-1 gene:OB06G11210 transcript:OB06G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSGGGGGGPVIEMASLLRSDRPYAPLSTDDPSAASSRGAVTVGLPPAWVDVSEEISANMQRARMKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEITDLLKRSEKRLQKLSMKDSSEDSNVRRNVQRSLATDLQSLSMEFRKKQSTYLKQLHQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIILLILKKILF >OB06G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:696097:696966:-1 gene:OB06G11220 transcript:OB06G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRFGVVRALWQVTSAAAATLLTAIVLTLIQPALAPPAFASFHSAANAGGGIFKSELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRMESAAVGALWGCGHDAGQVIFGLLFLSLKDRLHIEVIRTWGTRVVGLTLLVIGALGIREATEVPTPCVALENGGGACAPTTTAHRGPLDALPTSRKKITFATFATGIVHGLQPDALMMVLPALALPSRIAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALRERVPRITEKLTWAASLVAISMGLGILISQSLGFSLY >OB06G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:701796:705557:1 gene:OB06G11230 transcript:OB06G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGELTRGSAAAARHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLRTHHGQMVYVLCIYNKKEKEDQITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGPEDEEEPRPTLLRRTTIGNGPPDSVHDWTKEPDIGLSDQNDTNHAYSRKNWRLLKCQNGLRIFEELVEVEYLARSCSRSMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFHYGSLVEEVDGHTAILYHRLQLNWFSMMVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAFIESNLPSAYQDA >OB06G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:714551:717708:1 gene:OB06G11240 transcript:OB06G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEHKDYGAELFVNGEIIQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >OB06G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:718219:719246:-1 gene:OB06G11250 transcript:OB06G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;folic acid binding [Source:Projected from Arabidopsis thaliana (AT2G20830) TAIR;Acc:AT2G20830] MTTMAMAMAMRPTMLACCKLYISESRNASALRAIEQAARAGAGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRMPSPLRHAVFGMVRAALEAIDFGAHAGTHPRLGAVDHICFHPLAQASLRHVADLAGDVASDIGDKLQVPTFLYGAAHREGRTLATIRRQLGYFKPNSSGDQWRGAPDTHTLPVAPDAGPSEGSARSKGVVVVGATGWVDNYNVPVYTADVEAARRIARAVSERGGGLRSVQAMGLAHGDGVVEVACNLLDPASVGAEQVQGMVERLAGGEGLSVGKGYFTDFSQDKIVELYAKSTNAED >OB06G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:719229:725319:-1 gene:OB06G11260 transcript:OB06G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gametophytic factor 2 [Source:Projected from Arabidopsis thaliana (AT5G48030) TAIR;Acc:AT5G48030] MRLPGGARLALLLARRRALHSSSSSAAPSYASPFHGARWSDGYRAAAAAPTWRSPFSSPNNSARLFHGTRPVAARDYYDVLGVSRNASQGEIKKAYYALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDEQKRSLYDQVGPDQYEKASAGGGPGGPFEGGFGNPFEDIFGGGSGGGMNDFFRNIFREREFGGHDAKVALEISFMEAVQGCTKTINFQTAVTCDTCKGAGIPPGTKPETCLACRGSGFIFMQTGPFRMQSTCTQCGGSGKTVKEFCKSCRGRKVVPGTKTVRLDIVPGTDDGDVIKLVRSGGADPDGGSPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLTGEVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPANLTPRQRMLIEEFAKEEQAEEEKDAKAAGASG >OB06G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:729011:729769:-1 gene:OB06G11270 transcript:OB06G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEGGGVSSSSVAAEQGGGGGGVSSAAGRTALLGWINAARYVAGLALMVLIVVMVAYAIKVVVRERQLVFTVADVRTERYYFPEEPTPASRLLRFVLSLRASNPSDRTAVYYTGHVQAILLVKIMPNTTVTVTFPIDDMVVGPKGSVAREATAELPSNTTPLTIPPAAISWDTLVNSTTTTIYDAVLQLQGNLTSEKISGENATVFRVVYTCTPVTVRGRQDYEDYEYDYDDAAAYNYGPPCLQTRIHL >OB06G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:732877:733599:1 gene:OB06G11280 transcript:OB06G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQEEEGEEPSSPSRYGDDRRHFIVLAGLGGILGVTIVVIVISIVLRPAHVEFTVVHTTMNCSSSSSSSNSTSSESTISLNLTVQLQATAASAKVSYRSVFVDLIMAADGNDDVPPTRNESSSGALTLHAPVDPGDLKEQRRTPQPAGTPASFRATSLVVQADDDDDEVDVRGGRLLLDGDECRDGSAAVRATVVVTALVSFVVGPVFTRSYHVVVHCPYVQFHMNGTINPAVNCTA >OB06G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:736550:739066:1 gene:OB06G11290 transcript:OB06G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFTYADSSAEFSLVSGSLFMLFLAGFFSNLNLFGGMSELGAILSPRVRILFSSALSLFFPVMSYLFSEAKNMPLSSGGPSSPDSDLNFVAGIILTWMLLLELIRKKVDEIAMRGYSGTIFRAGRVVWLGSLVFSNIRSPGRRAVFGMFWVLCATKLVQRIAFTEVGKRSYNCGKNAWIINSYMFSTTTTTAAADKDDHGDAMLKRCKYIVTGEGGLDVKATADGYKIKNAGRSSLVTVGRIWSELDQDDDDRRRRRSGGGGPRIMFTHADDLKRMCLSFALFKLLRRRGCTTSATRRDEEGTAVAVMDMMNGEMNFLIEYYHSVVPVALASPFFLFVNYFLLPIVVLGVFFMTIILCGNGDASFAFRSLKTDNFTLQSTAVNTFLCLLLNAHSSTSAFFAIVNFAVTFLLFAMYLYEELWEFLVFLLSNWFMVSLLGTYTAKPSWRHSRAFRAFFRSILCLRPLMASYPALRIKQFSPINLRWPPLTLSMPPALMSLLVSTKRVPNQIKHAVVKSGWRPMREEARGDSVAEIILTWHVATGLLEQISRPPETALKKKATKKTKKLRDNFIVATTLSRYCAYLVAFHPELLPDYYEKAEEVYEAMKTELKDRLGCCRYYFSPPRARACAIINAPPGGLREKPGVVHDGARLAAFIKRPTAVAAATAAASAATPTATATATAATAAAIATATATGTGTATATAVASDGDADLETTWKLLAEFWTELIIYMAPSSDEELVIAHENVLGQGGEFITALWALTAHTGVDRAPRKYSASSAHDKSTSDEISITLTP >OB06G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:743067:743886:1 gene:OB06G11300 transcript:OB06G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRGHPRRCLLGALVGVVAATALVIAVLFILRPAPLVFSVADARSGVTVEDRAAFFNLTLVAGNPSGRAAVEYAALDVRFKVGPVYTWPYNVKVSCTDVFFFVATKKNTAFTPIYCHG >OB06G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:743124:743870:-1 gene:OB06G11310 transcript:OB06G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKAVFFLVATKKKTSVQDTLTLYGQVYTGPTLNRTCATTTTLNGPVAPPLLSSPPAVAISLTHSFTLFPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASSVGEEAGDVGQDVVGGALPEHDVQRRVLHGGAPARVAGHQGQVEERRPVLHGDAAPRVGDGEDERRRPEDEQHGDDEGGGGD >OB06G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:745894:748125:-1 gene:OB06G11320 transcript:OB06G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDSHCGGAAGALGSYVYNLTSSYADQKNEVSIVATSLAMLLLAALLLAFDLLTGAATLRPVARLVLSVSLSLFLPVTSYLFSEAKNVPGADGSGELPLRARLILAWMLLVELLRKKAEGTVTGTKGAGGGGGPAGRAGRVAFLGSLVFFNIHGAGRKAVFGALWVVAAAKLVQRVAIAEFVKRSFAFGKNPQLLAGYMPQTLEQHERRPRRGEELMTSCKYAVQGEEKLEREAGPNGYLVYLDKAVAGEDAVVTVGRVWSLAESDQLLVSNPKLKRLCLSYALFKLLRREFEETAPLTATEAGDCRELIFRGLCNDGAADRATAGGGLFRVIHDELGFATEYYHSVLPVMLASPFFLLVNYIVFPVVVLGLCLLTVVLCGNGDIAFIFGSIKRDNYAVSFGLMRMTRCLLSRVLRSPSALFSSIDLSITFLLFLTIIYEEAWELSVFLLSNWFTVSMVCDYAAKPPSKLRRGTIMCVQWVTNRIGHRNLRVKQFSVLWFCRLPFKLPMAAVPEEAKQSIMEYLAAYDGTVTPLSAGRSAVARNPLCSSSGLISSACESDSVAEVILTWHIATSLLEVRCPPQKDQAAAAAAVASSSMVATRLSRYCAYLVAFHREMLPDDVDCSARVYRAMTTDLKRELGLKGYYLSTDATRYGMMMAIAGRDDGRGLGAEAAGDEAAAWKLVADVWTEIVVYVAPARDAEQVSAHEQALARGGEFVTVLWALAAHTGIARPAAA >OB06G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:749868:750542:1 gene:OB06G11330 transcript:OB06G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPTTSPAASGSWGPKRHLLLLLAGTLAATFIVVAVSAILSPAAIDFSVVAATPPAYVNLTVAYANKTYGGHAGMYVNLTVAAGNPGWRAGVEYKSFDLALLYSGQGHIEYPRAIPTPQLGRPWVNEVNATSITAQPPRSATNITVPLFVGDDDWAKTMKGKQNHAVQLFLQLWAHVRFTIWVARTRVYKIAVLCELDNTLFNIGLNNTVVKCGASDLIFRAS >OB06G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:752356:754072:-1 gene:OB06G11340 transcript:OB06G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRQEEEELEEEEEEEEEKPAFRWFDLVVIIVAGAVGFVAMAVLVGAAVLMRPHTEPSSAGQLEIQVVRGAVFVSMGDGPRPPSSMTFSFHFVASNPMTNLQPGNAKFTGNGAANLIGIYIHIMNFNTSMPGCFRVGGGEDDDIVGVSPGKKVRADWVKTVSSDGGVLGSYFLEVLLGRNSTLITMRLYGKLSSEEKVFSSDGSTVTRTTAVYVMYTCEGVDLTSGDPRLINDTGIGVGDTPCYLSVESHIPPGGNKNCANGYLEHHDIIRFT >OB06G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:754536:756149:1 gene:OB06G11350 transcript:OB06G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENMAVKVTPRGYEVEHDAAATAGVVTVGRIWLSSREHPKLKRLCLSFALFKLLRRRFENVPAMTKEETDDCRDLIFDGLCGNAAAAATDGLTAEVALFQVLKDEVTFLTEYYHSIIPVMLASPYFFVVNYLFWPVVVLAFCIMTIVLCGNGGILYAFKSIWTDNSILSISMSSMIRCLSNNAVSNSKMFYTFIDVSLCYLLFVAVIYEEASEIVVFLVSNWFVVSLLCTDAADPKCRRNPSFHAAFRCVLWFSGHLRRYPSVITIKQLSVLGGSRISTALTLPTAKLPKRAKRSILKRLRAGAPLTNGRAALSNPEEPRFSSLAWACESKSGGVAEVIIVWHIATSLLETKLRSTPRADQERERGSGGGGSRKTAERLSRYCAYLVTFRPELLPDDREATELIYGDMKEQIEAALSCSSWYPSSEWARHDKRGAVLGKVLADEADRDGGAAVWKMLANLWVELVVYVAPSSTAEQDKGHERALVQGVELITLLWALAAHTGLTTSPDDHHPSV >OB06G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:759469:760299:-1 gene:OB06G11360 transcript:OB06G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEDDGRGRSVPCLVAVRCVVATAVSVVAFVMVVMVIITVRRPEEIQVVVDRGYVAVHGMETAVVGNMTASVAMAPAPAGGHRRLLSTAAARKLSEVHGSDTQTVGGGEDEDTEEYYPTFRIVLKVSSPTGRGDDVIDCNTTIGLVDVLAPPSAPAGSTDAAIVLFGAVRFSLDKESSHTLLATATIGHDEAQRRYVTERYRNSFVFQVAVKVQMIATRHSSSTTYTFNCWPVTVGDGYAAELVDDDDVLCTKTTSHDKWIPKLLHFPAPPPTS >OB06G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:764268:764900:1 gene:OB06G11370 transcript:OB06G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGKQRVLAALMGTLAAIVIVSAVFAALSPAHVFFSITDASIMLGDEAADDDDLINITFVANNTSHHAEVSYHSIKMELWMRNDDYWLPMDISNSVAAGWGWQPAGNFTAYKVSSPLTSFNNESRHEITGGGQQNGASSGDDDDEEQRHPVVVIRAHLRFRYGQYARTRLYRVVVSCLSVNFIIFRPDGTYDYFLSPSKATLPVSCKA >OB06G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:767693:770131:1 gene:OB06G11380 transcript:OB06G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITWSSYPYSINSSSNCTVILSEYVRNLTSSYADKSNEASIVTTSVVMFILAALFFILNFFSRVSDVSAVLHPTVRLFLSTSLSLFLPVMSYLFSEAKNNSGDLSAAASDPKEELSLRARTILAWMLLVELLRKKVEAILIAMAGAQGYLTTIDRAGRIAWLGYLVFFNVKSAGKKTIYGFLWVLAATKLVQRVLINEVLRRSFAYAKNAQRLHSYMDLVMQDQPPPPQGNAPTGADQLKGCKFAVMGEEDLELKASSDGYFLSENKSTVTDSAAAQPVVVTVGQVWTLAETDPLFQRDHRLKRLCLSFALHKLLRRRFEGFQFTDTEVRSCRDVVFKGLCHDGTDREAIAVALFQVLNDETHFVCEYYHSVLPVVLSSPFFLLANYFLFPIIVLALFFLTIIICNNGDLFFAFHSLKSDNLAISFGLTSLTKCLLRNISQSAPALYATVDLAITTLLVMAFVYEEFWEFVVFINSNWFMVSLLHDYTSKPHRRKSPTFMGVVGRIMWIRNSMSRPRLCFHQLSVLQGFLPCRHPTALPYKSVPKEVKKAVMEYLMNHVDVESSHGHGHAPLSNGWSILQEKHPRCHSRLSWACHSSSLTEVMLTWHVATGLLEEKYPKQTAATTTSQQSNSTVAATLSKYCAYLVAFHPELLPDVVDGTKLVYDAMKRELKSVLGCSGYCCPHEMMPSAAAERRYSKVMQVGKQPVQAGKLEREMSPVWKGARVADALLAMAGREVDEEGFVWQILADIWTELIVYSAPSDDELHVKAHGDVLAQGGAEFITVLWVLATHTGVARPSGKPWENIVVENLA >OB06G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:772173:772598:1 gene:OB06G11390 transcript:OB06G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEAGKAPEEATPIETPKVAVETTSSIKVVVVQAPEKVVEEAKVELVIADPPTNIDTQKVEATPKVNKEAKVEEEVKDKIIEEEKPSAPIKEKNAEVNNTEVVEETIEVNNTEVSKGATEVKNVEEEKPIQS >OB06G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:774363:775774:-1 gene:OB06G11400 transcript:OB06G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALYRVPSCYTTPALVWRHCSSESRCFCWEQSLTFHLDEANACFVKVLPER >OB06G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:775890:780421:-1 gene:OB06G11410 transcript:OB06G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGSAISKAAAAAGEEMSLLMGVQKEIWFMKDELGTMQAFLIAAEAMKKKDLLLKVWAEQVRSLSYDIEDCLDEFMVHVGNQSLLQQLINLKGRHRITVKIRNLKSRVEEVSCRNTRYNSIKMEASNTFDETDSMDDVCNHSPSNIEEAKLVGFDTSKKELLDKISIDADDDGHCWVLCVVGMGGLGKTTLVRKIFESKEDIEKKFQYRAWIVVSQSFSMIEMLKDMINQLLGGLSLMECLEGLKGKAIRAHDLGTYLRDQLKEQRYFVVFDDLWNTHDWERIKKIAFPGTNNKRSRIIVTTRLDDVANSCTTEPFVYRLKLLEKECAIDLMLMKIRKNKEDMENDDKLKNIVTELVKKCGCLPLAIVTIGAMFANKHSSKWEEMCSQLPSELESNPSPEAIRRVVTLSFNHLPSHLKPCFLYLSIFPEDFEIKRWHLVNRWIAEGLVRARVGKTLSDVGESYFDELISRSMIQPSRVNVEGCVKRCRVHDIMRDIIVSISKEEKFVYSIGDNLPAIVVDKFRHVSYHGNNYPIVGMNFSRVRSLTIFGEFGQRSMVFGSSICSPQFTMLRALDLENADLPLTQKDINNIGLLRHLTYLNMSTVRWPYFYALPRYIGKLQNLQVLDIRYSEVSILPTDISKLLMLRILRCSKAGFYGYFDPDEPIECLKYTFGMPLLLTPLVGSTERKRIIAELHRAYSSHWSKTWGVRVPTGISKLKELQVLEVIDMKLTKSKAIQELGELHRLQKLWVTTKGAQDSKLKILCEAIEKLSSLRSLRVDGTLEWLAPSNFSPPPLLRKLKLNGCMSVLPESFRDLKQLRKIYLYESKLDGRAIEILGRLPNLMLLALESDAYVGKKLPLKEKEFPNLKVLCIWHMAELRGIRFEKGASPLMERIEMSWCELKSGIVGIKHLEQLKEISLEFRCKVAALHLLEEEVKAHPRKPALWLPEDRNDTDLGSPVVLTEDEGSDGEATESIHNDAGECSQVIV >OB06G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:782391:785162:1 gene:OB06G11420 transcript:OB06G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIYLVNPMRFLAIVMPPGPCFPPTRGISVVVSLDPRLQEKFQSYLSREGERLRQQDRVAMDQN >OB06G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:787212:788121:1 gene:OB06G11430 transcript:OB06G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRYVKVDSRFFLVDDGGNSSSNGNGDADGECYHYLDACFLCKRDITFNRHIFMYKGNAAFCSDDCRQDQMDMDSALAAVARRHRTLQRNRPSSSSSSLAPAPCAANAAGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPTRPASSRSSPAAPPSQLTSPPTLQLPPCLASYPFVQSQHCPVDARS >OB06G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:792052:795495:-1 gene:OB06G11440 transcript:OB06G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSRLRSVRNLPARGCIRASLILLTRVCLHPVRPSTSRGSPEHGGEVVENSPIEQVALTVPVGDEPETPGLTFRMVGAGRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKPISLFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEARKKGGMTRNQFFLVAFICSFAYYIFPGYLFQMLTSVSWICWVFPHSVLAHQLGSGLRGLGIAAIGLDWSTVSSYLGSPLASPWFATVNVGAGFFIIMYIITPIIYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSQFQFDAVAYEKNGPLYISTFFVVTYGVGFATLTATIVHVLLFHGSEIWQLSKSAFNERRMDVHTKLMRRYKQVPEWWFVCILISSIVMSIFACAYNIEMLQLPWWGFLLACTVAFFFTLPIGIIKATTNQTPALNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVHIGTAWWMMETVPNICNTELLSSDSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLIWFTHKSFPSQSWILLINIPVLIGSTVQMPPATAVNYTTWILFGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYFCLGLENISLNWWGNDLDGCPLASCPTAKGVVVDGCPVYT >OB06G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:798937:799890:1 gene:OB06G11450 transcript:OB06G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGITPDVVTYTTVLTAYSGKGDLEGAQKLFDDIIANGRRPDVMMYTVLIDGYCQHGRLQDAARIMDEMEAVGVQPNEVTYSVVIEACCKEGKSSEARDLMQEMLVAGYVPDISLGAKVVDVLCQDGKAEEAHQMWRWMVKKNVPPDNTVTSTLIYWLCKNGMVCEARNLFDELERGFKPSLMTYNSLISGLCENGELQEAGRVWDDMVERGYMPNAMTYEALIKGFCKTGKPNEGAALFEEMVTRGCSPSRLIFQILLDSLSEPIHKDIVSKILETAALCGRDFLTGDYWEFFIRKVVNTTDTWKKHLDLVLDM >OB06G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:792191:804561:-1 gene:OB06G11460 transcript:OB06G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPPAMASSHQEEREEEEEEEEHRHGDEIASPLLRPSTSRRSPELEEEEENSPIEQVALTVPVGDEPGTPVLTFRMWVLGVVSCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVLGSGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRSKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTQAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPESWFVCILIANIAITIFTCEYYIEQLQLPWWGVLLACALAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETVPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPVLVWFAHKAFPNQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWSGNDLDGCPLASCPTAKGVVVEGCPVYT >OB06G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:806445:808407:1 gene:OB06G11470 transcript:OB06G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHGVSHTVKDGVNIIKSNFTVLSSNHGSCTVIKCTGIVPYVNPSRPSTDERFRPSRLSLQLPPATTATPSSYPGGASEPPHPSCRASFFLCSDALPVKSGPLRVRACLSSHHANARIVYRMNPGREVREAVWYRCTGTAVCGTLLSASAEGNLIGKVDKLFEEMPERRYGEHCKAVRGNAKEGKK >OB06G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:809322:812224:-1 gene:OB06G11480 transcript:OB06G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLAGTASCAALSFLNQFFWYRKEPLTVTAVSAQIAVVPLGCLMAAALPERAFFRARAWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHLITGVRVFYGKPLSFFISLLVVLTTQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHQKEARRKGGLTRNQFFLAAFICSFTYCIFPGYLFQMLTSLSWICWIFPDSVLAQQLGSGLRGLGVGAIGLDWSTASSYLGSPLASPWFATVNVGVGFFIIMYVITPIAYWFNFYKAQNFPIFSDGIFTSIGQKYNVSSIVDSHFHFDAKAYEKNGPLYLSTSLLVTYGVGFATLAATIFHAILFHGSEIWLLSKSAFQERTMDIHTKLMRRYKQVPEWWFSCILIANITTTIFTCEYYREELQLPWWGVLLACTIAFFFTLPIGIIKATTNQTPALNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYVKIPPRTMFMAQVVGTSIAAFVYVGTSCWLMETIPNICNTELLPSDSPWTCPSDHVFYDASVT >OB06G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:811984:812402:1 gene:OB06G11490 transcript:OB06G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSTCSFTLNGPGLRVNSHARARKKARSGSAAAMRQPSGTTAICAETAVTVSGSLRYQKNWLRKDSAAHDAVPSSTHIRNVSAGVPGSSPTGTVSATCSTGEFPYPYSGEPRVVDVDGRTAHDRATSSASHSHCHI >OB06G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:814348:818446:1 gene:OB06G11500 transcript:OB06G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHAGGEAAARARAPPRRAPPAAAGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRAPRRGVRRLQPLLLRVLAAGDHRYAARLLASYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLGLLTPLSFTLLFSSSAAAAAASASSSSTRFFLCSRSLLIKSGHFASSDPFLASALVSFYAKNHRLVEARKAFDEMTCRDTAVYNALLSAYSKGGLIDSAQKLFEEMPERNVVSWTAMVSGYAQNGRHEEALETFLEMWEGAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLMNVYVANALLEMYSKCGSIQQAWQVFQGIGHRRDLCSWNSMIMAFAVHGLWREALALFHKLRMAGFRPDGITFVGVILACTHGGLVNEGKLFFDSMEAELGLKPRIEHYGCMVDLLGRAGLLKESYGLIASMPVEPDAVIWGALLGACSFHGNIELAELAVNKLIYLEPHNTANLVILSNIYALYGKWDGVARMWKLLKEKDHKKSAGYSFIELDGKMHRFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLDNLQELKG >OB06G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:822235:825093:1 gene:OB06G11510 transcript:OB06G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPDAMRRITVHYVNPPIAGAGEVSAADGLDDDQVLDYVIGDVLQGQEGLYQSILYGSHYYHGENSSGGEATTSTASEIDQQIAYDLVYARQLQGLENLTIDTPADEDDDISCVPSPSDSETDEPSEGNNEEEVAVQDDNDDPDNMTYEQRQALVESVGNEDRGLSDLLISYLETWKYKSGFFPRKANHDERRETVITLACKHTYHEACVARWLKIDRTCPVCKYEVFGPS >OB06G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:825635:830833:-1 gene:OB06G11520 transcript:OB06G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMNGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPIVQQSPPQEQMQKRRSSSVTSQPVIEAAGALHAQKKSRIDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFTQIQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRLKQYLYHKRHRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNPSGPVPHTALESWRCDICNTHGGKGYEATYEILPRLCQIRFDHGVIDEYLFLDMANEFRLPNGLMLLEHTKVVQKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTVPKPPVQNMHESKQLMAAAGLPNDQASLKAMGVKTEMNTHAHETHSIGPIGNGPQNAAAINNYQNILRSSSANQSLLQQEASSMFKGPTAIHNGIQLEASRSFRGPNQVQLAQFHHPGSFQQPMPQQNSLQGLGVSPQYQQHVIHQLLQEAKNTNNRVMAQQQQHQQLQHAPANSGLASGTAITSSAVSGDHMNNNGAAKGGTPMGTTGPSSVINNTASILPSRSNSFKSVSSNPQVAAGAAAAAGGGGHAAAPKADPMHELDDLDNLITTELAESGLFLGDQAGGGYSWNM >OB06G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:836052:838577:-1 gene:OB06G11530 transcript:OB06G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVALLLAAALTTGVSGQGRPVTDSGAQTAPTPSTFTPKDNFLIDCGGAAPVNTTDGRVYKSDAQSNNLLSAKDAIRVAAADDKADVPSPVYLTARIFRDEAVYSFPLTVPGWHFVRLYLFPLKNADFDLATATFTVSTDANVLLHSFTAENKPVMKEFLVNATEKHLAIKFIPLKDSAAFINAIDVVNAPDELITDTAMGLAPIGEMGGLAEAAYQVVYRINVGGPAIAPDKDTLGRQWDVDAPYVQSKEAVKDVSVPVGNINFPDGTSKLVAPAQVYASCAKMADADVGSPTFNMSWKMDVDPSFSYLVRLFFADIVSKSMNDLYFNVFVNGRKAISGLDLSTVTGELSAAYYKDIVVNSSIATDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSVGSLDGEFGVDGRKADDGSGSRKAVAAVGFAMMFGAFAGLGAMAVKWYKRPHDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTMGLGRFFTFAEIQTATKNFEESAIIGVGGFGNVYVGEIDDGTKVAIKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDWPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGANQPADDDDDRAAAPTSSSSSSSVTVAPPDASTTDAGEMFAQLADMKGR >OB06G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:851078:852244:1 gene:OB06G11540 transcript:OB06G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKRGGAADEEPVNVKPVPTIRVKVKHAGITHEIYINSQASFGELKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRLQVKRVQKYVETLDAVMAKNAAIVRKSGEKLSSKQHHQPPPPPARQQPPPARQQQQQPHQHHQQQQQPPPTQTRWEMFDLLSSLPSTSSASSNTTVSSTASSGAPPANRLDWMLF >OB06G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:859248:861221:1 gene:OB06G11550 transcript:OB06G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESVRGDLGLLILYLNKAEARDKICRAIQYGSKFVSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIELAELQRLSKSMKKLEKELKHQELHKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >OB06G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:866849:867049:1 gene:OB06G11560 transcript:OB06G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHHQEDMATPSYDYAYYGSMDFDQPSYYYDGMGGGGEYQSWQMDGDDDASAGSYGGGDVTLWSY >OB06G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:870823:877753:-1 gene:OB06G11570 transcript:OB06G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G01920) TAIR;Acc:AT1G01920] MAAAAPDDAKLDSFLQWLQANGADPRGCSIRRCRHEGSGVFSTAAEDGATDEVVMVVPLDLVITPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMVERLRPSSLWKPYLDMLPSTFGSSIWFTEDELAELEGTTLHRATMMQRKSLQTLFDNKVKGLVGELLNVDESGSSIEARFEDFLWANSIFWTRALNIPLPRSFVFSESLDGKQTKTGDDSSLSARQGTGITAKNSSDDDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEIDSAGDVTGIPSSMYLVLADKSFVKAGTEICINYGNKGNEELLYLYGFVIDNNLDDYLMVNYPVEALREVQSAGIKMKLLEIQNAELRCLLPRSLLDNGFFGSCSGENKDNKNHASLLSSYSWSGQRKVPSYIQKVVFPEEFISTLRTIALQEHELELAASLLGEIGSNEDREPSSEEVCSAIWEVSGDNGALSLLVDLLRVKITELEEGTGTEASDSQLLDKFDLSDSEDPSRSDGSNQTKSKINIRSCIVYRRGQKQLTKLFLREAEHLLELSSKEET >OB06G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:878852:881690:-1 gene:OB06G11580 transcript:OB06G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase-related kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G12580) TAIR;Acc:AT1G12580] MAAVGGGGVGDAKYNSYKAPGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEASVHLVMELCAGGELFHRLEERGCFSEHEAAVLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKELVTEMLRRDPRHRLTAMQVLEHPWIQEHADQSQDSCGHCHEINLRGEDHGSCSLSTPPVASCSRDVSFNAGGPVACQSMSEEACSPTFACRSSFSAFVAENASSCGLAGFSFGGGGDDDMFQSPVASMPSFSFFSGEPDPSASSAPSGDALGGEKSHCQAVVALVSSSAPRTARANPARINSRRNHTIGAGEREHLDVAVAAESVIRWASCTNLSTTHSLRASLVC >OB06G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:888783:891397:1 gene:OB06G11590 transcript:OB06G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYSRDEERAEYRPARDTAALNDSYERFLRTGQIQSYGAGPAAESIRPAAGGNAGYPIEDRPIMAGGNMDGRNIGFGGGMPEPALPPDASNTLFIEGIPTDCARREVSHIFRPFVGFREVRLVNKEARHPGGDPVLLCFVDFETATQAAIAMDALQGYKFDEHDRNSPHLRLQYARFTGPRGGSGPGGGRVRR >OB06G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:892586:898256:1 gene:OB06G11600 transcript:OB06G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWTGPKFCIYRLLRNKSLSTGYHFHGQDLLTATKTSMRTGTAPNSSHHPSMASSLHQEVEEEQGHGDEEEVHDSQTLASPLLRPSTGPEEVEEGENSPVEQVALTVPVGDEPSAPVLTARTWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAVLPERAFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKKQRSKGGMTRNQFFLVAFVCSFAYYIFPGYLFQMLSSLSWICWIFPNSVIAQQLGSGLHGLGIGAVGFDWSSISSYLGSPLASPWFATANIAAGFFIYIYIITPIAYWINLYKAQNFPIFSDGLFTVTGQKYNISTIMDSQFHFDTKAYEKNGPLYISTFFAISYGLGFACLTATIAHVLLFHGSEIWKLSKSAFQDKRMDIHTKLMKRYKQVPEWWFICILVASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYTGRPVANMCFKVYGYIGPRQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPDICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAINWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLITGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLKWWGNDLDGCPLASCPTAKGVTVEGCPVASA >OB06G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:900446:901889:-1 gene:OB06G11610 transcript:OB06G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSRHQMSTAQRFDILPCGFSKRGNRGDGAAPRVAGDARSGTTCSFRTHPAPPVTQAGSWGAQARAVKRSHDDDAVEEYGPIVRAKRTRMGGDGDEVWFHQSIAGTMQATAAGEGEEAEEEKVFLVPSAAAFPHGMAAAGPSLAAAKKEEYSKSPSDSSSSSSGTDGGSSAMLPPPPPEFDARNGVPAPGQAEQEALELVRALIACADSITTRNHEAANYYLARLGEMASPAGPTPMHRVAAYFTEALALRVVHQWPLMFDISPPRDLTDDAFGGDDDAMALRVLNAVTPIPRFLHFTLNERLLRAFEGHERVHVIDFDIKQGLQWPGLLQSLATRASRRRTCGSPESASRGRRCREMFAREIRNAVAFEGTERFERHESFAGWRRLMEDGGVFKNAGIGDREAMQGRMIARMFGGPDKYSVQAQGDGEGLTLRWMDQPLYTVTAWTPAGDGDGDGAGGSTVSASTTASHSQQS >OB06G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:906780:907745:1 gene:OB06G11620 transcript:OB06G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDESRVREIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYKVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSINGGANHVFSIDEDF >OB06G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:912964:918027:1 gene:OB06G11630 transcript:OB06G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMKLPLPTLAAVVALCVASYLLAVWTHPAPPLPTASLAVLPCNTRQPPIAPATATVQSSSVPKKNDTAVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRCLVPAPRGYRAPPRWPRSRDAAWFANAPHEELVAEKGVQNWIRRDGDVLRFPGGGTMFPHGADRYIDDIAAAAGVTLGGGGAVRTALDTGCGVASWGAYLLSRDVLTMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWTKYNGLYLIEVDRVLRPGGHWVLSGPPVNWERHFKGWKRTPEDLGSEQSAIEAIAKSLCWSKVQQVGDIAVWQKPINHVSCRASRNLGFCNASQDPDAGWYVNMEECITPLPEVSGPGEVAGGEVKRWPERLTAPPPRIAGGSLGSSVTVDTFGKDAEVWRRRVDRYKGVSGGLAEKGRYRNLLDMNAGLGGFAAALAGDPVWVMNVVPTAASANTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHADSLFTMYKDRCEMEDILLEMDRVLRPEGTVIFRDDIDVLVKIKNIADGMRWESRIVDHEDGPMQREKILVSLKSYWTA >OB06G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:919524:923799:-1 gene:OB06G11640 transcript:OB06G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAGGGGPVLLVSVYLLVNYQHPDDANQAYFPKLVVVLGITVAVLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVTVSAVVCGLILGILYGLVGKVDFTVRHLSSAVEAFPNSFTSFSSGQPCIGASARQCSAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >OB06G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:926640:934685:-1 gene:OB06G11650 transcript:OB06G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSRILAASHLLRGSRHDPVPVAAAAPVFRRLHGPAPVPRSLPSHPLIGSFGPDCRVYPGKYAPLGRLSCFLPDSTYPPPHGARPPRDVRGHAFSTSANAVAVGKSSDDKVQKDAPKKDVDDQIADTQILKNLGKYLLLNDSADFRFRLVLSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTDANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSKTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRPAMNKADNASSTVAVDSLLNYETVKYFNNEHFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNVIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLEFKGGCIEFENVHFGYVPERKILNGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIRDVTLESLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIMNFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKTLSIDRTSIFIAHRLTTAMQCDEIIVLENGNVVEQGPHDFLLSKGGRYAELWSQQNNSDAIDAAAVSLEVS >OB06G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:937511:945568:1 gene:OB06G11660 transcript:OB06G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 7 [Source:Projected from Arabidopsis thaliana (AT3G56990) TAIR;Acc:AT3G56990] MASNNGAGTLRSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDAEYQRRLDLIHDLRFETATTKIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVNFQVLGDDYSKLAFLCADRSVCLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLPSQSPAINVVSRSTIHGLIACGGEDGAVECFDMRRKSSVGRINTAISPEDFNQEVTSLQFDENQGYLMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILSIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLMFLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENSVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYNKLLASSAVDYENVQEKVKQKKIEEQRKSRITQVVKIPKVNRHIMDHIRQEEEEMDADLENGEKSWIKKKKKKLEMNKALLIDPRFKEMFENKDFEIDEQSKEYLALHPQTSLKEPRLIEEHFETVSDDEEQQDASASDASAESDSDNGTQNSKRIRLYEIKDDRHAEAFLNSTSLANEDALPIGDRVAALDRQRNSNALNEVKYGPGGSREISFIARGSRRRNEEPNDEEPKDFKRRGVQSLGLKQGKAEYYLFGGSRGRGRGGGRGRGGGRGRGGRGRGRGRG >OB06G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:946128:948323:1 gene:OB06G11670 transcript:OB06G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L29 family protein [Source:Projected from Arabidopsis thaliana (AT1G07830) TAIR;Acc:AT1G07830] MLSLSRALGRRLFSASASASDAAAAAAASTSVVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLHSENMRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINTL >OB06G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:953697:955585:1 gene:OB06G11680 transcript:OB06G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCAGLGERLAGARPVHHVRQPQLAAAHRRRQRPPRDRCIAAGEWSRCECEEHLWTGERTPCRRLAQLCMSHRFLTLN >OB06G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:955717:959144:1 gene:OB06G11690 transcript:OB06G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACRFGHWEVVQTLLVFRCNVWKVDNLSNRTALHMAAAGGHVKCVRLLLADAAGDRDGYVNKAASGGVTALHLAALHGHVDCVHLLIDEHGSLAAQTLPCAAPPMVSIGAGSTPLHYAACGGEVKCCQILVSRGADRTVINCNGWLPIDAARIWGCSWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLINIAREQGLNLSSEFADGVDEGSEACAVCLERPCNVAAEGCGHELCVKCALDLCSVIKSYDSAGIAGEIPCPLCRSGVASFRTTATPAASRSTSTGGLGSGPRRKSSSGSEHEASSGGEKGCGSIDPDAGAGAVVPLYYAPFAPSAILT >OB06G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:960314:960952:-1 gene:OB06G11700 transcript:OB06G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMFRFMSKNGGDGCGGGGGGGGGGGIALEVTVLSAESLRLPPSYYYSLIPRRLRPYVTVSSVASAVACSTAVSEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAARVVPRGDGHPGVRRLRRRGGDAGVGVGRRGVAVITASRD >OB06G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:965290:965637:1 gene:OB06G11710 transcript:OB06G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNEAVFCWYQRLHVSRSPDTEYGRAKVFLHNHHLCAIATQLVAPPLLVLSLLALWRVQGKDYFEGVAELDWLVGWSVAMKEAALLAARWIVAVWSAVTVGALMFYKRGWLFVL >OB06G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:972708:973145:1 gene:OB06G11720 transcript:OB06G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLTGTAGPSGFGSRATAEGATTPVSSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSWCCRSTSARSPPSAASPPASSPSASPSTSSCTY >OB06G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:973413:975239:1 gene:OB06G11730 transcript:OB06G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNNAGKFADRFALSDDGVEMTFATNYLDTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGSSGEEAAKLWRFSEEIAAEEKEESVHVGSFRLQVQSSNADRGLAFA >OB06G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:977247:978548:-1 gene:OB06G11740 transcript:OB06G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKLVDGYKFGDETTSDVRVCFKRMDDQAECFYCHSSVLSKNSKYFADWLSRNDVRSNNCIEVDCASIDYEHYVKVLKLIHLPRESIVDSFDSVRSAVGILRASTLLKCELITRSCIEYLEAASWDEKEEEEILEVAQSLGSEEAVALLSRLQTPSASSVKNVFISAIRFATSMETSYPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSVVQEGLKKLLSTLKTELDLLTTEYDQSPEQAEERVLCSLADVDWMANVLTKIEMMNEFVSGWSEISGDVLSVVQHKKYSSGLWLVKAKLIEVTGKALDAVGYGSVVLPASSRVHFLQMWLPFMQTTKHLLDEKTKDDTIPQMDADLFQNIESAIVSLVLALPSGDQADILAEWMKNAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >OB06G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:979668:982712:-1 gene:OB06G11750 transcript:OB06G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEVVVAAAAAAAEAGGGVGGAGAEGSSSAGGSGGELAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSVASATDDSQLLLFRHSQSILDLQVIPVLFQNSLHQPKEYPVVTLDHIFGVEPMKITSPPTDNEIALALRVLEGCCLLYNRCTALAHKYKAVKVILNILANRGPSEQGVCLDVLISLMLDSPSNQMDFEEFSGLEKVAELLKDVQVEEHIRLKCGEFLLLLIGHVYAKENSPIHEQMRNLFGEQCASLIWAASRFGSTLDVEQRQMALQIQARRVVESLEPY >OB06G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:989230:996208:1 gene:OB06G11760 transcript:OB06G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADRIPEWKGYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGTLAYRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLIFSSIVLFLGNVCYAMAYDMNSLSVLIIGRLLCGLGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWLMAVAWLLYLVWLWISFKEPNRANEVNDTQQVPASVQRADIDKLENGLAQPLLRDSESKQEEDEDEEVDDSEEGAHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVSSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITAAGYLGIGKLLNVTLLPSLVICAASITCTFLTYNSLF >OB06G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1000630:1006604:-1 gene:OB06G11770 transcript:OB06G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDDAPRPSTTVAVPGAAGPVRVVAARGLTEEGFTRAVESALFRQWLKNLQEEKGVLTHGRLDLRQILIQGIDMFGERVGFVKFKADIVDEETKAKVPGIVFARGPAVAVLILLESKGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMVDLTALLNPDTGCRMFPSPGGCDEEIGLFLYRGHADEDTITALQGKETGLRDHGELIKLRVVPYSQLWRSTADAKALSAIALYEMAMKEGLLPSSPRTSRRRGSSPTANL >OB06G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1007141:1008650:1 gene:OB06G11780 transcript:OB06G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNYRAAHGGDSRLPPPPKQREVDALPSKLRRLIAIQEKQKGGAKASGGAVAGGAPGKQGESDAVKNKARKDKKTKKQPLEPTADSKAAEVGDKYGATDDESASVDGNKRKRKRGKAMDLRFKELDETVAVSKKQKRKKYLDERKKRRKGDKTETLPEFPGREKVKFGEVVQAPPKLSFPKVKSPLDATREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTSL >OB06G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1008076:1012431:-1 gene:OB06G11790 transcript:OB06G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLTSLAASAGAGLTSALAIALLSITLYVIAVVASFAVLCIKEFAERAPDRPPLIGTVLRQLKNFDRLFDEHVTYAQANRTSRLVYPGHCELQTADPAVVEHVLKTSFSKYSKGNFNNTIMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDVFRRNAAKLAEKISCAEANRISINIQDFLMRATMDSIFKVGFGFELNTLSGSDESSIQFSKAFDEANSLVYYRYVDILWKLKRYLNIGSEAKLKKNIKIIDSFVMKLIHQKREQMKIGRDYKTKEDILSRFVLASEQDPGTINDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEIREFVDWSKEDSTIESFTRRLDEGAISKMHYLHATISETLRLYPAVPVDGKMADEDDVLPNGYRVLKGDGINYMIYAMGRMAYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAYRQMKIMAATLIYFFRFRLEDESKEPIYKTMFTLHIDNGLHLFASPREISP >OB06G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1014789:1022072:1 gene:OB06G11800 transcript:OB06G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSVAGGAAAEGADRMAPSPAAVVGERVAVKLKGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGVALSVPKKVVTNNPVNRNDRAASTSFHRSNLQPSPTFNRGGQASSHQKNISGSAKPVQRTGANDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKSMTVIRPSLQKSKWDELEKWNEEFGSS >OB06G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1032185:1038570:1 gene:OB06G11810 transcript:OB06G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAPWLFWMVVAVVVVVVVLGAVVEAGEGGGGGEGEGKALMAVKAGFGNSANALVDWDGGGDHCAWRGVTCDNASFAVAALNLSNLNLGGEISPAIGELKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQFEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGVIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKFNVYGNKLNGSIPAGFQKLESLTYLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLELNLSKNHLGGSVPAEFGNLRSIQVIDMSNNNLSGSLPQELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNTLNLSYNNLSGHVPLAKNFSKFPMESYLGNPLLHVYCQDSSCGQSHGPRVNISRTAIACIILGFIILLCVMMLAIYKTNQPQPLVKGSDKPVQGPPKLVVLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLRIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDEHFEAHLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDIGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPAPAVTTPKTVDYSRLLASTTAADMRGHDVTDIGDNSSSDEQWFVRFGEVISKHTM >OB06G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1041704:1047293:-1 gene:OB06G11820 transcript:OB06G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEDMRDVSSTSSSSCGGGGGGDDGGFAEEPGDEGVMVMEVRWFEVDLEYEFDAPRWFDLAQEESPVEAAAAQLWFASAPSYPPSPLIAKMLPEDLGLQSLRSAVDINTVHCSKSSHECSSGSEQAMHQPNVPNGGPYKPNGRTPCYQFSADKRKPAFRTIGKGTISKGSTLMKPTASQLARQNRQLEVKNSTQSKKLVGVRSDRSTMSSNDCTYQASKRQRLERGHLNKIVATNQPELIHKNHEKNIMNSNSDHATVIPKLKITIPREPELATKLRAERSRILRAVPTNSKQLNTQRGQSTSMTQAASIRKVVQPSGRKDHQHASVPHGGIRSNLPVCTSNRPRQLDNVSKKPDECRDDLFKFRARPLDKKILGSKGDIGVFQSSKRNTTVPKEFKLSTSNKGKQAPLSELFNKLTLTAEAHRRLDRQAADLPNYITTKDCKENMIGNMHC >OB06G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1057855:1061259:1 gene:OB06G11830 transcript:OB06G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHAAKAASMAGGEDEEERELSIRGLAAYQPYDGILALKMALAEFMRQIMQESVSFDPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKVRGVLISNPNNPTGSFVPKQTLHDLLEFAAEKNIHLISDEVFAGSTYGSGKFVSVAEVVDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVTAAAKIARFSSVSTPTQRLLVAMLSDQKFIADYLKINRERLRKMYNLFVSALNKVGIECFKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEHDIPVILERLRRVTDSHKS >OB06G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1069905:1070476:1 gene:OB06G11840 transcript:OB06G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3MAZ0] MSVTLHTNLGDIKCEVFCDQAPRTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGASIWGKKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGAADRPLAEIRLNRVTIHANPLAN >OB06G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1071141:1073774:-1 gene:OB06G11850 transcript:OB06G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGLHTQWMMPQQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGFPQGSLNGSKNIHHHDQLSHAQSSPLQLADSPYDHAREMHISEAYPISTAPGSVGKAKRSKKNNSQASPLKRPSGVLRKTKKPSGDWKNVGMSGYGDDSAHASVMKNEWKDQDLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVIPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >OB06G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1078146:1079396:-1 gene:OB06G11860 transcript:OB06G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEAPAVVEEVKEAVVEAPKAEEAPKGEEVVEGKKAEEGEEKAKKERKPRVRKPRSAGPHHPPYFEMIKEAIMSLDGNGKAGSSPYAIAKYMGEQHKDELPANYRKVLAVQLRNFAAKGRLVKVKASFKLSAAEEKKAAAAKAKAKSAKSGGSAKRKRTPRPSAAAAAKKPASASAKEAKKAVPPARPARAKRARKAAPAKPMTQPPKSIRSAISKKANKASA >OB06G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1081215:1082213:-1 gene:OB06G11870 transcript:OB06G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:J3LYE7] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB06G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1083641:1089294:1 gene:OB06G11880 transcript:OB06G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MAMSVPENPPRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVASSARLGDKIISQGRDGSCKCWAIEQAGLSRRPLYTIKTSTYHFCKMSLVKSTCCSRSTQCGLSSGTDDIEPQSCSVTDGSELGTCCKGPNIMAIAGQESSEVELWDIDGARKILCLPKTCSANLLDHPTKQRGLCMAVQAFFPYGAGYVNILSSYEDGSTLLWDIRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFALDHQKGAFILRKEIKLERPGIAGTAVRLDSKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKAASEAVVRTRDETSQ >OB06G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1099602:1101711:-1 gene:OB06G11890 transcript:OB06G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3MAZ5] MPALAVDAAAPVAHAFAACDAARFPAPLMGPTAAATATEKPDAAAWSADLSSALYNVDGWGAPYQGVYPVKCNQDRHVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALIARTMGLNTVIVLEQEEELDIVVEASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVAKLKTLGMLDCLQLLHFHIGSQIPTTGLLADGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVAHPIICSESGRALVSHHSVLVFEAFSASPPGRIDPATGYLLDELTDDCHADYRNLMAAAGGGGRLCEIVARGMGAAEPPRTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGERPAVDGVLSDLTCDSDGKVEHFIGGRHSLPLHELPVHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPSCADVLRSMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLSFDPEAASMASGESSGMSSDSEGSAAGVAEEDDDEWEFMRGLTV >OB06G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1100573:1101391:1 gene:OB06G11900 transcript:OB06G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLAVTDAADGGHHGRRVLLQAVCHGHVGLRRVTPVVVNAEASTNVDDTHGGAQAGELAVDLRGLADAIGEQAGGRDLGANVEVQELEAVEHTKGLELGDDGENLRGVQAELALLPRRGAEVAGVLGAQLSAHADHRAHAEAAAGLDDNVELLLLLEHDDRVEPHGARDERERDVLVVLVAVADEQGVRVAARGEAAHREQQLRLGAGLQAEAERLAELHNVLHDVPVLVALDGVHALVPPAVAGGAHGVVERRVEGFHAVAEHVWEPHQE >OB06G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1113157:1115256:1 gene:OB06G11910 transcript:OB06G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;exodeoxyribonuclease IIIs [Source:Projected from Arabidopsis thaliana (AT4G17760) TAIR;Acc:AT4G17760] MSSSTSGRDDDAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSSPGHSSAVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHSGNTPVTFTVKSSVLKESIEDLEWPGSSIQIQMQPDPPSVIFKGEGHGDLQIELPYYANTDLLIAFQCEQETSYRYKYKFLRATTSNFPSSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQYFRNVAGGAQQPSRIAYIEFFVKPEEDDNTINDA >OB06G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1127107:1132191:1 gene:OB06G11920 transcript:OB06G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRIDQLHACGAETEDVVFIHGFISSSVFWTETVFPAFSSAARGRYRMFAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVQSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRTWDRLFRLFTRNRPCVCHGENLGTLIVSFGLGSEEAASLCPELGSYALPFLQDRFCGLTIRTFLIEAFMCHTHNAAWHTLHNIICGSAGKMDSYLDVVAGQLACEVAVFHGRDDELLPVECTLAVGARVPRARVTVYDDKDHITIIIGQEKLFAAKLEAIWRRSAAADATDGE >OB06G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1133640:1134941:1 gene:OB06G11930 transcript:OB06G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEGAIRKNPIPKIPAPSPANHSNEKRDSSLLAPAMARAAVSTAPFSPPLPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPGEPGGAVRHRGVPGRADPLPAGGGEADDQAPRVARREAGADQLRAQDALLRPPQARRRAVPGPVQGDARLPALGARHRGRPPRGRLARRQPRLHLHAVLLRQALRGRPRRRRRRLAVAAAFFDSGLQILLSI >OB06G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1136495:1140195:1 gene:OB06G11940 transcript:OB06G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT5G42090) TAIR;Acc:AT5G42090] MAFAQNLQDFMKLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNPAGVEVARSSSFSTAFRVSEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGFFGVVYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAVNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQIFLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALITITSYRYQWTSDVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >OB06G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1144081:1145423:-1 gene:OB06G11950 transcript:OB06G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAGRPGVAYEIMRANGLTRAWQSAQKGWDSRHVIPPRMLTPLSASTRVKASSHQTPTLPNTSRNIMGQSNGVHLKFINGHPKCGAVLQTWRVKLSVQVLQLTGIGKGLLGSNYQIHGQSAKLWIQISDSRAP >OB06G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1145168:1145374:1 gene:OB06G11960 transcript:OB06G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTNNPTKCPIWRASLACLQQWRFGGGGAAGRRVLAAMMMEVLTLVGLHVENPSLFEHFAMHELGR >OB06G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1158219:1160857:1 gene:OB06G11970 transcript:OB06G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSAAAAATAVAFPSYSSSSSPMHGGAGDQAAQEEGWVICRVFKKKNLVHHGGQRGGGGGGRAAAKLAAAMESSPSNCSTVTVSDQVKAQMLHSASDDALDHILQYMGRSCKQETKPAAAAAAALDHLAAPISMYGKFMKLPPLEHVAGGGGLLAANPAGEYSAADASGIADWDSLDRLAASYELNGALSDVASKSMVSGFFDEPGAAFSSVHASSGAGDGDLWSLARSVSSLHADLTMNNV >OB06G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1175992:1178897:1 gene:OB06G11980 transcript:OB06G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:J3MB04] MLRHGFQGLKPRSPAGADGSLSVTTSARATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGIDYKDNQLRFSLLCQAALEAPRILNLNNNPYFSGTYGEDVVFVCNDWHTGPLPSYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDFPELNLSERFRSSFDFIDGYDKPVEGRKINWMKAGILESDRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITVKYDATTAIEAKALNKEALQAEVGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMKENVQIVLLGTGKKKFEKLLKSAEEKYPSKVRAVVKFNAPLAHHIMAGADILAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVQKVATTLKRAINVVGTPAYDEMVRNCMNQDLSWKGPARNWENVLLGLGVAGSQPGVEGEEIAPLAKENVAAP >OB06G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1183197:1183502:1 gene:OB06G11990 transcript:OB06G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIAMSVVGAGPGNVFGPGMSAGALESFVHRRQPGAGNKAASAAAAEGTGRGKSPPSSEARAAPAEAKSAAAKGGGAGARFDPALDLDGLYCFETISPH >OB06G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1184825:1185070:1 gene:OB06G12000 transcript:OB06G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLVSLVFCEMPGIDVVDFAGTSVTAAVKASTAGRAEAPPAEAKKEDGGRKERFSGEAAYEPAFDGLNCFETIVMRR >OB06G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1190591:1190848:1 gene:OB06G12010 transcript:OB06G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAAAGYTTRFSWRTSAGKQQKQKPAEKAPKQEGEKPSSRPAERKKPEAARARFAPEFDGINCFESIVSF >OB06G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1191538:1192356:1 gene:OB06G12020 transcript:OB06G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDGLEAVDPIELGGEPRRRVFAWPPLPPQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPRGLRLRAPLPTLPLLLRRRLLIRPPPPRQPGPAPAGYFGRRRAQYRESEHLVHRLQTCRRDEITRLLDSRQGSNFWFEFSLACLVRSAIRGGEERAACLYSSRGGEEED >OB06G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1196396:1197412:1 gene:OB06G12030 transcript:OB06G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) TAIR;Acc:AT5G51550] MHRLAVCLLLALAQLAVAWRPWPPRNGSGELEGIGASKKFEGSSEFVKLQYHMGPVLAADITVHPIWYGRWPAEQKRTIRAFVRSLSPAASEEGAIPSPSVAAWWRTVRLYTDQTSANVSAVVKLGQEKTDARMSRGARLTRLDIQSVVRDAVTARTRPLPVDSSGVYLVLTSPDVVVENFCAQVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPSYVAGRKPEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGEDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDVRSGASYNVNGVGGRKFLVQWVWNPFLSYCSGPNALDQ >OB06G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1205021:1206336:-1 gene:OB06G12040 transcript:OB06G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADDDSCHPPLPTHGWACDGERWRVLHMTYSKEPTDMIDFNCSSSTGIFSLPHH >OB06G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1207485:1210803:-1 gene:OB06G12050 transcript:OB06G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDAVMEDDLKQFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNTGYDEIRAAIKEAKAVSDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVSQGASFEADWNAKFAEYEKKYPEDAATLKSIVSGELPTGWADALPKYTTESAADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKIAVLNRKRPSVLALSRQKLPQLPGTSIEGVEKGGYTISDNSTGNKPDLIVMSTGSELEIAAKAADELRKEGKTVRVVSFVCWELFDEQSDEYKESVLPEAVTGRISIEAGSTLGWQKYVGSKGKAIGIDKFGASAPAGKIYQEYGISVESIIAAAKSL >OB06G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1219911:1223027:1 gene:OB06G12060 transcript:OB06G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MB12] MLEALKALGLSVEADKVAKRAIVVGCSGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVNGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVTAEHSESWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVKN >OB06G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1223303:1223461:-1 gene:OB06G12070 transcript:OB06G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSDVINDDDSLKIYRILVSFSWTHSSVSFQCTELRILVVDCGRAWSGDW >OB06G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1223316:1225622:-1 gene:OB06G12080 transcript:OB06G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADMAYAPPMKSGKMGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQ >OB06G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1226507:1229511:-1 gene:OB06G12090 transcript:OB06G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSPLRLRAALLLLLSSPSPRLALPMNPSSSSPXXXXXXXXXXXXXXXDGGDRIDALGRLLTRILRHMASELNLDMRADGYVRVRDLLKLNLQTLAKIPLKSHTVEEIREAVRRDNKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKNLDSILQHGLKRMARLHVHFSSGLPTDGGVISGMRQSVNILIYLDVSKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPVPFHK >OB06G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1237712:1241206:1 gene:OB06G12100 transcript:OB06G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLLAVLLLLAVLAASARNEEEANALMALKGSLDPSGRVLGSWDDSGEPCGGSFVGVTCDRGGHVTAISLQGRGLSGTLPPAISGLRRLAGLYLHYNGIKGSIPREIGSLSELTDLYLDVNHLSGPVPVEIAAMANLQVLQLGYNQLTGSIPPQLGKLNNLAVLALQSNQLTGAIPATLGDLALLTRLDLSFNSLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPAGLGRLNGGFQYVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTREVPQSANTDHCEGSRCSRSSNSSTGVLIVGVVAVVIGAAFCGTFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSSGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAIKSLNKTSCKQEESDFLRGLKMLTVLRHENLVSLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKDGSSANVLDWPTRVSIIRGIAKGVEYMHSKKTNKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVMTGRRAVSQLKVSTAANDLESLIDENLNGIFSRTEAANLAAVAALCTSEAASQRPTMEAVVQQLSSCH >OB06G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1246910:1250198:-1 gene:OB06G12110 transcript:OB06G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT4G12130) TAIR;Acc:AT4G12130] MLDCTGSAPRTGERPNCGEEEEEPGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSKDFLCWQRFGRNVEHKGPSTQEPEAQSIGWGQGVDHAAESAAQGNGHGWEWFKDPRLDCLGYRGIFPANSIPPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLIFVDENGQELEQAVAPGSEVVDKESGKKIGTVSTALGCRGMGLLRLEEALKQNSSLAVKDNRDVRVKAIKPDWWPAEWTQVLEQQSAVA >OB06G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1250807:1254756:-1 gene:OB06G12120 transcript:OB06G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRNGRDDFFGGRDPFAGFGGFGRQRSLISGFFGGRDPFDDPFFTQPFSGGMHGPSLFGPMGGPFGDMRNDGFLEQAPPPRVNSKKPIITELDEEEGENAEGHANEQASHESYVQQPDDEMQGGQMQPRRDFNRANEGQPQARVFTYQSSTVTYGGVNGAYYTASQTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGKVDTTQILHNLHEDELAGFEESWKGNAGHHLPGWNQNAGTSNNNEPGNRGTSGRGRQSGWGWALPGTEQGRDPRRNGRPKSRVIPIS >OB06G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1255380:1255691:-1 gene:OB06G12130 transcript:OB06G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLDLEASVGPRATSLRGHANVREKSRRALPSLRVASFLFLLLARRRAANATDRRSRAAPPLPGSHHQTRRESPSSSPSSGIPSAASTNPRARSPALGRFR >OB06G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1257228:1264670:-1 gene:OB06G12140 transcript:OB06G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSMSGSDFAAAGEDSKIFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVRIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQGFTTDHDMALTDLYGANEHNSKRFNDTISTMATRIATTFASLKEFPCVRYRAPKGSDPSTTAKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGNKYIYEVSKMGSEPEKKESLLEDHDPLWLELRHIHIADASERLYEKMNNFVAKNKAAQLHSRDGGEISTKDLQKIVQALPQYGEQVEKLTLHIEIAGKINKFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGDKLMQLAKLPHDDMHAINSLRYLDGSDTKKTSRAGGFSLKFDAQKKKNAARTERQDGEETWALSRFFPLIEELIEKLSKGVLPLNEYPSMSEPSSTAEGTTQNASAPRPAPTQQPMSRRSRRTPTWAKSRNSDDSQSSDSSVLRHGSGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISKMKMLTAGGAKDVSIDKLRI >OB06G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1265980:1267090:-1 gene:OB06G12150 transcript:OB06G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDLFEQKNDVAKAVLEELEKVMGDYGYSIEHILMVDIIPDAAVRRAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISDQIRNGMMEASSSNV >OB06G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1271344:1272019:1 gene:OB06G12160 transcript:OB06G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGAVQAMPSPAVVAAAEQRRLTRLLLNVTVEQSLWPVHVVLGADCTVADLPLPGHAGDAAAAFELHFSKYSLESLRSEEKLADLGSRNFFLCSRRPAA >OB06G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1274787:1277547:1 gene:OB06G12170 transcript:OB06G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQAVLSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWMIWSVLLEEDQ >OB06G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1278491:1281876:-1 gene:OB06G12180 transcript:OB06G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYTEKDKTYDLNFKEDNNDGSHKISGDKLKDVYKSFVSEYPIVSIEDPFDQDDWTTYAKLTDEIGQKVQIVGDDLLVTNPTRVAKAISENTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGLKFRAPVEPY >OB06G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1285720:1297924:1 gene:OB06G12190 transcript:OB06G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRSRAALAEISPDSPSTSVPYDPVRLRVAPTEPGLGAGAASLLTAVAAAEDNVDVSKFVAVISHSCLEISRLSDAASRELYRQLLLFGHTAEGGPNEALLEGEPQKTFAHSIPLLLDVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTMFQSLGDGLLVFLLVDEILRHNGNIRSYLSLFSRMLDKVKSEADVFSVSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSINLIRSNKKLSDTFYSFFSESSSEIIQRIGCLKELPFDRRTVLHLLALFLFFTAATGEAPDKKPMNLLIEIFQLVPVVYIEGGKCIMLSDLIRFHCPPALSSLPPIKEACEAFVTLKNNYLSRLNEVHSRNIEAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFRTKGSSLVRSLPHIINVIQSDLEQLIVPLKTKLQNEIAKGSQTSKTGFLNSLIRGGTDMETRLLDSLSLVLMALQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFRILSNFWSLIDERTNCSFLYWRKEMLATWLSMVYGDACKLSWLQSIIDAFSDGMSLLTLGNVGKVTLQCYEEEIEHALRKEVVAPLCRDIETDLRLHVHSTHLKGAVFVNPTKTGVRNLSWYLRMKPLRLPSKFIDIKLLVESHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLSMDTVDLDFYLKQSMENLDSFSEAYSYNIVKQMFVENDLGGQDRKNLRILCVDHIASSAATCNLQRISAYLDSIFIFLNQMVVNLHALLQSEIEIDLLRDFKQPEDIGMSGANPASQGDMKFSIGKLGLGDHALDLLEQVKAVVTRIGSVLGLMMVLTAGRTRYLNNISRYVRKPKCDLSYSASCRLLGWDDDVVEIGKVLDMTTGDNDPSEDRIQPFSLLATNFSKKLQSNKLNEMKVFFQIVPSVIGHMVDCKLLLKDKVLRRVHEDKRSAHTYDGFLLGVAFVLKILEQENSFDELNWFASTKTKLEGETKVRDDKGTDRSMSGSAFANLKLWRSNPPVKTEQHKGLDDKRTRYLQEIELIECLFSLARTVLR >OB06G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1298344:1301773:1 gene:OB06G12200 transcript:OB06G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3MB26] MALSPPAPANSSCFHPRAVATAPSSLSVGTKVFVGLKAQTKLGSSESSCPNVTAGFYTAVNRRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >OB06G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1311604:1312527:-1 gene:OB06G12210 transcript:OB06G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAAAAHGGGHHFAPPVAAVHHHHPFHHYPAAFQQFQEEQQHHHHHLVGGGGGMAKQELVDESNNTIKNSGGSNGSGGEEQQQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGCDLVDSVATFARRRQVGVCVLSGTGAVTNVSVRQPGAGPGAVINLNGRFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGTVAGPLIAVGPVVIMAASFGNAAYERLPLEDDEPSQQHMPGQSSPPPPQPQLPLPPHQQPILPDQLPHNLMNGIHLPGDAYGWTSAGGGGAGGGGRVAPY >OB06G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1330515:1338231:-1 gene:OB06G12220 transcript:OB06G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MB28] MQMSWQQMLTSVIVLSFSQRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEIRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGRHEMDVSISGENGHSSSMVGSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQKKYFDDEIERITAEAQLRVTEAEREYKTTLENEKVKCHQEYLDSIKILEEKWKVHQQSPKKQIKETEPTSNEVGEVQNLLQNEKMLRQSAEDEANDLKNQVLHWKKMEALATAEVVKLRKMLDTEASQKEKLDEEIAALKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >OB06G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1344635:1348684:1 gene:OB06G12230 transcript:OB06G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRCAGRGLSGAAATSPAAASGQRMDGSGKGQRLWAVVDWECVYGTFTRMIPICGPEAVELGRPADQTTDFMGRAHPKTPPTLQPSPRAVHTLPNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAATVPRLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQQSKVVSGKLCQGFNLMMKNFTLVYPVQSQQFGHG >OB06G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1355611:1356213:1 gene:OB06G12240 transcript:OB06G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAGLKQILKRCSSLGRRQQQQQSGRWEEEEEEVEEETSGLPSDVPRGHFAVYVGERRRRFVVPIALLDRPEFRSLLRRAEEEFGFGGAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRLRRRRCRRPPRAPVRGGRLPLPHLLPPVLLNPVNNAGRRRRNCTLAIRPSIAPAS >OB06G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1359253:1364478:-1 gene:OB06G12250 transcript:OB06G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MTKERKRREPAGAPPPGDDDGERMDRRREKKPRMEMVDPVLPSQIKNKDKRKEVHAKLKREKKAQKRMLARERSQAAQRAAELGEQPPEKQVPRTIENTREPDETVCRPDDQELFAGNDADEFSAVLKQQTAPKVLITTCRFNSGRGPAFIEELMQVIPNSSYVKRGTYELKKIVEYANNRGFTSLVVVHTNRREPDALLIINLPDGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGNRIGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFETKENKITSKDKKAKTSESKSQSEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL >OB06G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1365675:1367333:1 gene:OB06G12260 transcript:OB06G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome-binding factor A family protein [Source:Projected from Arabidopsis thaliana (AT4G34730) TAIR;Acc:AT4G34730] MVAKQIQRELADMLTRDPVLQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQEEGNEEEENGEGANLSEDEDGDWDADEPDEEDIIYVK >OB06G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1368838:1369182:1 gene:OB06G12270 transcript:OB06G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYQNYSVTRNFLLFPASLSAAYISLCISQTQKRLYRHEVIESKIMIMCLFNHFINVPCFLCTLILLFIFFQVDRVSLGNSRNANPTTLRLHTNVKCLYSGPSIMYLLSWREH >OB06G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1374141:1375418:1 gene:OB06G12280 transcript:OB06G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQHTNGEPAAVPRSLAPPRKVALVTGITGQDGSYLTELLLGKGYEVHGLIRRSSNFNTQRLDHIYHDPHSQPSTPRPPMRLHYADLSDSSSLRRALDHILPDEAGSSEMFGSTPPPQNEASPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKTRKELGWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYRDAKQQP >OB06G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1379901:1385606:1 gene:OB06G12290 transcript:OB06G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE6 [Source:Projected from Arabidopsis thaliana (AT2G34900) TAIR;Acc:AT2G34900] MVPGGGAPTGKAEGGRPLQAAAAAGTPGAEVDAFRRQVEDLVSKTDQVEKRVNEVMGFFDGKKHGSGGRKAGRKDSSHSKGMPDLMRQFGVIVRQITSHEWADPFLKPVDVVGLQLDDYYKIITKPMDFSTIQKKMEGKDDTRYNNVREIYSDVRLIFANAMKYNDERHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEESNGVPKTNISVEEAIVQLAKDTDNELIEINRQLDELRQMVIQRCRKMTTEEKRKLGAGLCHLCQEDLTKALEIVAQDNPTFHLRGEEVNLDMDAQSETTLWRLKFFVREALERQANVASSKTDENAKRKREICNALARTASKRVKQQPN >OB06G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1385791:1387950:-1 gene:OB06G12300 transcript:OB06G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase family protein [Source:Projected from Arabidopsis thaliana (AT2G18030) TAIR;Acc:AT2G18030] MARESASAEAAGVVLLLVAVVASGARLPGGSGGAGIRGQQQQQPRGGATATAVFALGSFWRSEAAFGSLPGVIRTSVGYAGGSKASPEYRNLGDHAECVKVEYDPRLIHYNKLLDVFWASHDPREVFGQGPDVGNQYRSIIFTNGTIEARLAALSKEKEQAKDRQSVITTQIQPIGTFYLAEPEHQKFELKRKQFLLQLIGNLPEEELLSSTQAAKLNAYAAELCPTNIQNRINSKIDEIAKKGWPILREI >OB06G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1388184:1392997:1 gene:OB06G12310 transcript:OB06G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGEAEAMALVRGYNDDELAIASEFLTTWLPFLSAGLCPSCADSLRGRVASLLPREVEPASPPPPRIDQIEPSGWDSDPAPAPGPAPPQHLPFEPTGWESDPSPPPPPPREQQQKQPAEKPRMSWADMAQEDELAAAAEEDAAAAAADDGEEGSEAGRPGMQLTREQRELWRFRSVVRKKDFMCFERVHGRLVNILAGLELHSGVFSTAEQQRIVKYVYDLQEMGQRGELGDRTYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIASDPMPSLFKVMIKRLVKWHVLPTTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNIVFGSTLEVTAPGEFTGSIAIPLPVGSVLILNGNGADVAKHCVPAVPSKRISVTFRKMDPAKRPFNFRDDPELLNITPLETTVQETARSSDEGKGKQPDVQIRNVNKANRSKRSKLRPSSGKAGRGGILGDGHPQYAQALVTDFSSQQNLHGQPTVSSASAERERYSAGPSRELRYQQDTPGIQSNMDGIRERANWLGQERKHSNNMNLIEDGMESQQRRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVNLDV >OB06G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1399482:1400656:-1 gene:OB06G12320 transcript:OB06G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVPAPRRGRRFSCACSPALLNLLMFLALLSTNVLALLAFFSSSSSSSSSAVHDPSAVSAAAGVDVSAAASAISDHVAAIAREIDSSHLLHLPDGLPPELLLFLSPHALPLGRDARTGLTHMPASVAHSCFRSPSTLALLAAFMSYEPHAACPRNATLPHRLVSKACEPLPRRRCLSRGARTPLPASNMGLDSRRWVRPRHDYEFLADDVLRLSAIRIRIGLDVAGGAANFAARMKERGVTIVTSVLDNAGKPMNEFVAARGLFPLLLSPAHRLPFYDGVFDLVHVGTNALDEGGAPSMGNSGTEEALEFFMFDVDRVLRVGGLLWIDSYLCHSEERRRIVVKLIERFAYKKLKWGRREGQHQQLKDSIVPLGIVAKAVKGLRLN >OB06G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1402015:1404331:1 gene:OB06G12330 transcript:OB06G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREPYLHHEYLGRFQDPIGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEEAMEEQEEEEEEEEEEEESEEDAMEEKGRGTENSTENPSASEVVDSSKTGESPAGTSRQTLSAEEMEDQLEQFTSLMQQKFLSGEDSEHMDYSRIDNDEMLDDHWSKEANYDAEEKYFEED >OB06G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1406298:1408397:1 gene:OB06G12340 transcript:OB06G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWPGPGGGGGGGEGEEVPVEVRCASWRLAGEANNLAPWSAVPEECAAYVRGYVTGVAYRSDLEEVAREASTYARAARVAGDGRDAWVFDVDETLLSNLPYYAEHGYGLELFDHREFDKWVERGEAPAIPSSLKLYKEVRDLGFKTFLLTGRSEGHQGVTVDNLKKQGYHEWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGYSTSARSFKLPNPMYYIP >OB06G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1410188:1413906:-1 gene:OB06G12350 transcript:OB06G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3MB41] MDASLMGSPSAAPGDGPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFYPGDKLPLWHEELEPQNSLLDLLAAGNPDPMVQ >OB06G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1415774:1420183:-1 gene:OB06G12360 transcript:OB06G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02100) TAIR;Acc:AT1G02100] MKDDYVHFFVRRTTKRAPIINRGYYARWSVLRKLLRQFLSAGNGSNDQKRKQILSLGAGFDTAFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSEMKEKLGSEASISIEKGEVTSAHYKLFSADIRDIPNLDSVIQRAEMDTSLPTFIIAECVLIYLDPNSTDAIVSWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKEKLFLDHGWQRSVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGLFDDFGFKE >OB06G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1429930:1430344:-1 gene:OB06G12370 transcript:OB06G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRRRALAYRSEGVHSSRTAAWSLDSRSFFRAPPPPSQPASSSSPLPADLSAVLFPLPLDTGLPGEHGATLSSSAATAPWLPSCSDAMATPEFRKRPGGGGGGGEPGRRRRRHSQAQPEAQAKIQALPLHV >OB06G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1429936:1430864:1 gene:OB06G12380 transcript:OB06G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSLDLGLSLGLGLGVTSTAAPRLPPPAPPPRPLSSGVAIASEQEGSHGAVAAEDERVAPCSPGSPVSSGSGKRTADRSAGSGDEDDAGCDGGGGARKKLRLSKDQAAVLEECFKAHHTLTPKQKLALANSLSLRPRQGEGGVQNRRGRTKLKQTEVDCEQLKRWCDQLADHNRRLHKELAELRALKATPPAAAETPLTTLTMCLSCKRVATSSSASAANAGAPSPFPGHHPQFFCGFRDSAAGATAAAYGSSSGLAKPVRAAR >OB06G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1444258:1445435:1 gene:OB06G12390 transcript:OB06G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRTMDLGLSLGLGLASQGSLSSSTTTTTSSPPGWAAALSSIVGDVRREAAQAAAVVGTGGGGEEYGQGRASTSPDSAAALSSASGKRELERSGSGVDDDDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELAELRALKAAPSPASSLQPSSAAATLTMCPSCRRVATAGAPPPNHQQCHPKSNTTTTSSSPATAAAIAGANVLPSHCQFFPAAADRTSQSTWNAAAPLVTRELF >OB06G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1446722:1451974:-1 gene:OB06G12400 transcript:OB06G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEAAGAGEDGLEMEAPSVSTVAIAVNGSKSSRHALKWALDKFVPEGRVLFRILHVRPIIKMVPTPMGNFIPITQVREDVATAYRKDVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTALSEEINKFNVSKLVLGSSSSIFRRKHKGSKTASRICECIPSFCTAYVVSKGKLSSVHSATSDNVEAPESISSSTVSSPSSRSLASSVPSEWGDTYGSANVTFHQSSLSSQRDQALANMNKLYNRTGSPSGSGRTEISYHDDTVLTSSHSVNSEAQFSSSSSGKSIYKSFNRDHSFDNSDQASVSDIATNLKHSHDQEYLKLEIDRLRVKLRHLQKLNEIAQNESFDASQKLHKLGIQDIEDEIKLKESELTEEKVRRLIRKKEREQQEVARREDQFKSDSAEREAKQNNDIQEGDENKTEERIFGRCFDEYNRYTWEEIQASTSSFSVDLMIGKGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDMLQRRNNTPSLTWFDRLRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRTGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEEGHFLDILDASAGQWPQKEAQELAVLALKCAEMRRRDRPDLSDHVLPALERLKDVAAKAREMALHGQTPPPSHFICPILQEVMVDPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKNLIPNHSLRSAIMDWRSKG >OB06G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1458723:1458923:-1 gene:OB06G12410 transcript:OB06G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILEVGIWLLPFTLLLAPMRRMVRLVQELQRIMLVVACGRSRRRPPTFGEVWSRLDRLDSATVIA >OB06G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1461473:1463063:-1 gene:OB06G12420 transcript:OB06G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVESQERGGGAAGRVTAFVVLSCIAAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPEVHRRMEGDVRVSNYCKFDSQLLTAFTSSLYVAGLLTTFAASRVTAGRGRRPSMLLGGAAFLAGAALGGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIMAAKLGDDGGVSKAWAAVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRSAGQSVTVAVSFVFTVFVAQAFLAMLCHMRAGIFFFFAAWLPAMAAFVYFLLPETKGVPIEHVAAVWRDHWFWSRVVGSVSDDEARSGGKL >OB06G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1464055:1466785:-1 gene:OB06G12430 transcript:OB06G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAIAGHARALLEWHSTARFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDCALLSRQSRFVPRMWSCLAGFIEDTKITWLYYTQIVIAYCRDGSDSEKQIPGESLEEAVRRETWEETGIEVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWQSREDVKKALTFAEYEKAQRTNALKVNQICKGVEKGQSISADLNVETEEPAPMFFPGPFAIAHHLISSWAFEGAPKRTGIEHGIFPCLLVHYVNLLNVEWYNSA >OB06G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1468934:1470446:-1 gene:OB06G12440 transcript:OB06G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKSCEHLNFSRRDYCQRCHTPRQDLQFGNGHVTGGVLTSLDVRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGIADGDFARAALDSSAVRAGWKSGDWICARPGCNVHNFASRIECYRCNAPREAGNGK >OB06G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1472506:1472673:1 gene:OB06G12450 transcript:OB06G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLFHYHVPLSFFLTEARQVLVFILKGVKQSIYKVPFCQRQKKNYQKNHIVST >OB06G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1474660:1474887:1 gene:OB06G12460 transcript:OB06G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCDLDALAPSLNGRARLCRCFWPADLRRNQFHAEVQSERDYRRYQLQILFPLNNAGSRFMECRSTEQIKDQLVEI >OB06G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1473117:1475769:-1 gene:OB06G12470 transcript:OB06G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVATAEREEASAGSVDLAGDSSSRTGRRAEMGRRSEELLGSEERAAFKPYQICPRILAARNEKSKWAMVSLNTGAPSEGAEKRILRGHKEQSCKS >OB06G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1476974:1477662:-1 gene:OB06G12480 transcript:OB06G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKVTSSSHLEHKVAMAKQSSHEAAIAGLKAAAVTAVCTAIPTFASVRLSKWARASLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKNTQHLN >OB06G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1480300:1481063:-1 gene:OB06G12490 transcript:OB06G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVTRAHLDQKLALAKRCSKEANIAGVKAAAGATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQCAGRPHPAFFRP >OB06G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1483336:1488131:-1 gene:OB06G12500 transcript:OB06G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLTASLLSWQCNQTKLELELRLKLRFRVVIGYSASAKIISASSCSWLVVAVVVVEELRKKMTTVSRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFEAAPEHLKNTSFQGAARPHPAFFRP >OB06G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1487597:1488138:-1 gene:OB06G12510 transcript:OB06G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAYLDQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFEAAPEHLKNTSFQGAARPHPAFFRP >OB06G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1492075:1493109:1 gene:OB06G12520 transcript:OB06G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLATAAAVFLASGLVAEARVVAIVHGVVPCSIDTVSMAAVSSSPVFANASVHLVVGGNDDGNLISTRTRTNSKGHFKMVLNVTSSDMMAALQAGGRVVVTTPPAACDSSLPAAGRLAAPLLPLGSRSLLAGAGGPPSPADDDDQLRDAIDDLCGFLGDPDRLAAAGAGLAVDLACVAVSLAVLLGGNDTIPAASYDVNSGTLDGFTALGVGPVSYSAAN >OB06G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1493784:1495211:-1 gene:OB06G12530 transcript:OB06G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20050) TAIR;Acc:AT5G20050] MESKKAKILAGTGVAVLLVLELALYLRFRLSRPFFLATAVIVSSALSASVVTLLHHALSARRRAERMARRPSMDGEEQLRVEYSYFRKVAGLPCKFSFEALAAATDGFQYTVGRGSSGTVFKGILDDGTAVAVKRIDGADHVDKEFKSEVSAIASAQHVHLVRLVGFCLVPRGPRFLVYEYMEHGSLDKWIFPHSGDRRRFLPWAARYQVAVDVARALAYLHHDCRNKVLHLDVKPENILLDDGFRGLLSDFGLSKLMGKEQSRVVTTVRGTTGYLAPEWLLGVGITEKSDVYSYGLVLLEMVGGRRNLQAEDNHASSSSSPRWTYFPKVAADKAREGRVMEVLDRRVVESGEAVEEAAVRRLVHVALWCAQEKASARPSMARVVEMLEGRGAAAEAVEAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRATSSGLSNSFALSYLSGR >OB06G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1495308:1498683:-1 gene:OB06G12540 transcript:OB06G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADAAQVRTRVEDVMPIATGLEREEIAAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGDGEDEHDVVWFWLEKGKPHECPVCTQYFTLEVIGEGGNPDGHDDEDDDHHHH >OB06G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1500432:1503131:1 gene:OB06G12550 transcript:OB06G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT1G04870) TAIR;Acc:AT1G04870] MASLPNGGAAARGGGGGGGDLSRFDYFGHVGAPPPSGGPAAGGGGRGAAEVVDKEVDYANYFCTYSYLYHQKEMLCDHVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADIVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSVLGEKKMEDLDIAMHEWNLFVEDTESFYGVNMNVLTKAYHAEHEKYYLKSSIWNNILPNQVIGQAAVIKEIDCLTATVDEIREVRAQVTMPIKMDMARLAALAGWFDVHFRGSKQNPATQEVELSTAPDENGGTHWGQQVFLLTPPLRVSEGDSVKVSFTMVRSKENHRLMDMEFTYELHESSGKQLPAITTKIFLE >OB06G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1503512:1508868:-1 gene:OB06G12560 transcript:OB06G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G11380) TAIR;Acc:AT5G11380] MGVTYLHSPPAANLVREESALLRSISCCHNSYWCLRCNSSNLKPCRTLAVNLPLRYFNRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKELKQLAGEVRSEISFIMSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQPKMSINAFSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVATLDSTGPVLVHVITENEKDSGGDFSSEITPNEEGPPESSQDIRKFLENGLSRTYNDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLNPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPRGAIVGTGGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLAEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >OB06G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1509674:1512223:1 gene:OB06G12570 transcript:OB06G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASALRALPSPPAAARPQQRRXXXXXXREQSQGCRSRRYSKVVAYYGLTTPPYKLDALEPYISKRTVELHWGKHQQDYVDGLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGGVLQQIQKDFGSFTNFREEFIRSALTLLGSGWVWLALSRKERKLSVIHTQNAISPLALGDIPIINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >OB06G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1516395:1518038:-1 gene:OB06G12580 transcript:OB06G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAQQGMSYYDHCTKRHEEKGCLYACEVVPVDQQKGDKMYNAPMAQEMSYYEHVQRRHEEKGCLYACVLPVLVFLRENSRRGRMSIGQADYSARSET >OB06G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1527621:1532283:1 gene:OB06G12590 transcript:OB06G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MB65] MAGSLAASAFFPGPGCSSAASARSSKNTAGELPENLSVRGIVAKPNLPPGAMQVKAQAQALPKVNGTKVNLKTASPDKADTIPYSSPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLADTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAITEEQGEKLAKPGSTSDGSATKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGTTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >OB06G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1534586:1536943:1 gene:OB06G12600 transcript:OB06G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLGLQPDRSLLSLSVCSSTASKASNARGARGMAASRGAAALGRALCSAECAGAVSWSNRLMAEHLRARRLEAAREVFDGMPRRDVVSWNTLMAVHARSGAHGRAAGAFLEMRRQGFRPDHTSFSTLLSCCARLEALELGRCVHGLAFKTRSSGNVFVGASLITMYANCGLVSCLEQVLDGVDSPNAALWNALLSGLVMNHCVANACKVFDQMPVRNVVSWTAMIKGYLTAHKVGMAFQLFKLMPVKNSVSWCVMIGGFVTHERFSEAVELFISLMRNGDEVTNVILVKILNAFAGMKSIRGGRCIHGFAVKSGFVYDPVLEASLVLMYCKLLDISEARLEFDKMEGKHVASWNAMLCGYIYWAKIDEARNLFDSMTNRDKISWNSMINGYINHGRIADATEVYSKMTEKSLEAATALMSCFIDNGMLDKAQDIFYNMPQTDVISCTALLFGYVKGGHMDDALDLFHRMHRRTVVTYNVMISGLLHQGKVTEAYKLFNETPRRDSLTWRCLVSGLATNGLIHEALQFYRRMVLSNIRPNESVVSCIMSCLSNYSMMVHGQQFHAITIKIGLDSHVLIQNSLISLYCKCGEMIIAQSIFDLMAKRDKVTWNTMIHGYALNNLGQNAVGMFENMKKAQVDPDDITFLGVLSACNHMSLLEEAKYFFNVMAYTYRILPNIMHYACMVDLFCRKGMIKEAEGLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAIDPSTKMPYLHLISVHGLNNKSSVIDSLRSQIKSTATEKDVGYSWI >OB06G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1538009:1541623:-1 gene:OB06G12610 transcript:OB06G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGMTGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSVRDRERSSDGERARSPKRHRSQSREHDSEHDRSDRDRDRHKDRGHDRHSRDDRDRDYRRPSYSSRDDDRQGRERRDRDSDRHGRSSARRSRSRSPNRSRADGEKHRSSPFGKPPESSNLAKLKDLYGDATNTKDDTADDKARRDSGTEEVIRLGGARWR >OB06G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1545170:1550378:-1 gene:OB06G12620 transcript:OB06G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 3;4 [Source:Projected from Arabidopsis thaliana (AT3G15990) TAIR;Acc:AT3G15990] MVVNNKVDSLSYDVEAPPATPAGGSVEAPPATPAVVSAPPTPRGEAAMVMMTPPVAAAGSVGVEVHKVSAPERRSTAKALRQRLAEVFFPDDPLHQFKNQSFARRLVLALQYFFPIFQWGSAYDLRLLRSDVISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSQMGFIQVMHSVIKHRDEWAWQTIVMGLAFLLVLLATRHISSRNPKLFWVSAAAPLTSVIISTIISFVCKAHGISIIGNLPKGLNPPSVNMLTFSGSYVGLAINTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMAAAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDFQGAAKLWKVDKLDFLACMAAFFGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVIKGVIPGTQSYRSMVQYREAMRVPSFLVVGVESAIYFANSMYLVERIVRFLREEEERALKSNQCPVRCIILDMSAVAAIDTSGLDALAELNRVLDKRNVELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKAQP >OB06G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1545860:1546786:1 gene:OB06G12630 transcript:OB06G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNYLDVSFVQDPVQLCKCIQATRVDRCHSTHVEDDASDRALVRLESPLFFLPEKPHDPLDEVHGVGEVDRRLDADDEEGRHPHGLPVLHHAPVALRAGDDALDHHVRPGHLQQDLEQRDADGDGEAHLDGDEEDAEEGGHAGQEVELVNLPELGGALEVDEADHRRDDDRRQDHVGGVVEQRHQEQQRHQHRRRHHDVRHRRLAPGAVVHRRPRERSCIHRCQAHEPAMFMTPMAIISLFPSTW >OB06G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1557737:1559587:-1 gene:OB06G12640 transcript:OB06G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKDLPRIFLSHLEGDCLAFFWCSDKIITALHITLVDNFTNDDYRILRSRELFGGSFWQKQLLGKAAAGRSFPQTDPS >OB06G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1567851:1572166:1 gene:OB06G12650 transcript:OB06G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein [Source:Projected from Arabidopsis thaliana (AT1G80420) TAIR;Acc:AT1G80420] MPESSSDPSSGRGKSSKRNLPSWMGSRDGEENPGKKKQQTQKGSDFSKLLDGVVFVLSGFVNPERSTLRSQALAMGAEYRPDWASDCTLLVCAFANTPKFRQVESDNGTIVSKEWIIESHSQRKLVDIEPYLMHVGKPWRKNELVESDEDKKKPHKERHKQVERSHIKASPSADKEARHPDSASKQFSPTKIKQWAMDDLCQTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLKQGNDVKGVAEQWSFVPRVVHELVELDGRRKDDSLSKEQLSQLAIKCKKIYQIEFAHMQGNDKKHQSKPRPDDAQYDSDDTIEMTEEEIELACRQLPGGCGR >OB06G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1573436:1574764:-1 gene:OB06G12660 transcript:OB06G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:J3MB72] MAASLVGILFCVVSTATASSSSSSTAVVAAHTVTSYNATADEEYWARRDEEARSYSRAAYVSDPVATLNRFNADVLRATTRRSLARYRGPCMATNPIDRCWRCRGDWASDRKRLARCVRGFGHKTVGGAAGKIYVVTDASDDEMIVPRKGTLRYGVIQDRPLWIVFARDMIIQLRQELIVSHHKTIDGRGAQVHITGAQITLQGVQHVIVHNVHIHHSAPHGGGMIRDSKRHYGRRTRSDGDGISVMSSSNVWIDHVSMSNCSDGLVDVVSGSTAITISNGHFTKHDHVMLFGASNSDPQDRVMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWMMYAIGGNMNPTIISQGNRFRAPDDGELKEVTKREYTAYEEYKEWVWKSQGDLFLNGAFFNQSGGRNERRYDQLDFIPAKHGSYVGQLTQFAGTLNCRVGHPC >OB06G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1576601:1580648:1 gene:OB06G12670 transcript:OB06G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARELPCRNRSDPAAAAEAGRRAFSHGHPHFSNRSATLNDWPGIPGTLEVAAGYATNGPYHGGRANIPIWQVEVRPGELSMNYIMVGYTLDQDYTPYPSADPPKTLTNQIVVGLVNDGGNNNNCFNLDCGGFHLVNSSYALGIGWNGDSQPGGDKYIVTISIHRDDTSFQWWVSVMDEAIGYYPEGVFDTRFPEAGGRVVDTRPGGAHTSTPMGNGIPACGGGLFAATVFEYLGISANGELFNDANPLGVDDKRPGYYVAYGRRRRDL >OB06G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1588349:1596028:-1 gene:OB06G12680 transcript:OB06G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRHRLLFLLLLLLLRAFSSLPAQAAARGASDPSGTGENFGSVLRNLLQDDPRITDELTHGYMSNSELEIAVRAVGSRCPNISRIYSIGKSVNGFSLLVIEISDKPGEKEAEPAFKFIGNVHGDEPVGREVLINLANWLCDNYLKDPLATLIVENMHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFFPINDEIDYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSKSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDIKWPKAAELPVIWEHNRMSMLNLAASLVKTGVHGRIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYEVIASMEGFRTKATRILLEEEAVSLDFILDPGEASGEVKMLRNDCGCLCGDDRLFHMHGAHLELYLFVFLIIIALYVLFKRRTSKFTIHRHSPRRPIAV >OB06G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1597133:1603364:-1 gene:OB06G12690 transcript:OB06G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3MB75] MAGAAAALRRSARRVVQPEAYTFPRALQNPERPLSSQASPDRAGALGTELGLYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRLATSQPPAPDQSDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSKVLPAVIERIPSPPGNRDSPVRMLLLDSYFDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSTIEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASTPGKRIAACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >OB06G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1619639:1620896:1 gene:OB06G12700 transcript:OB06G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENQLLAANSDEVPSATCQRHSCPPRRRITSRATARRRRRRYQALLPRHHVRRPHAAQAALRAPLPPFPDLVRSLQSSLATTLAAFTPLAGKLTHRPAHDDVVIDCSAGAVSPGVMFVEAEYDHTHAAVDMLRLAGDDEHHTEAFKRLVPEMDVGRLPAPLLAVQVTRPARDGGGIVAIGVSIHHVVGDGQAVWQFMRAWSTASREGSPAATVATPVFDRKAVLRHPKDEELSRIFLRVFAPALPLVNCSMFPEPDTARQWRKTYQLRPNQIQSLKQQMLAMSELAETKELTTMEAPTTHVAVLSLYWTSLVRAKFSSSVAGGGDGDVYFMIPGDLRRRLRPPVDDGYFGNCVKGCYLRASVADLCAGDGLA >OB06G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1622859:1624108:1 gene:OB06G12710 transcript:OB06G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRTVEAPSDGSDCPICLDGGDGGEEEKTPDDASSTWVETPCAHRFHGRCLETWTQVKLRTTCPMCRRTLTTAAAAAAASTPPEMVDLDDSVFDYDDHEMELARQRRARVRLRPRFMEGRAHAGELVASVHGVRDDRLRVGRTRPRVAQPHVPHPRRAGPLRGGRRRVTDAKNKQDMGEDMGACETSDLSHAPMRHGSMDF >OB06G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1625009:1631562:1 gene:OB06G12720 transcript:OB06G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLDDHDDPLHIDGGPAPVAGVVEAATVRYAWTAAAGEEDAGHVDDGVGGDAVRAPLPRPVPGDMDAGEASDDLPHVPANLDDGDNIDGGDGFRLFRVRLRPRDGACSSFFTLEIPHPDAEESTHHDLHFVRAYKLTQFLANLLSNCTARTMSSRSVRVLSVTHVQPQRTTGGGKLQLVAAGDGVVKLSFFDVMFVSMMPIQRLFFYEGPDLPPFPSLVSSLKSSLAATLAVYLPLAGKLTFRAALGDVAIDCSPAGAAAPRGVKCVEAESCHVDDELESALDAMRRLAGDVEHNVEAFMELVPELDVEHLPAPVLAVQVTRPAGDEGDAVGAVAVGVSMHHAVADGQSLWQFMKAWSAAALVGSPAAPGLLPPTFDRSLIRHPRSEEFAGMFLRMSSPTLPAVTLHSKPIDMAQQRRRTFFLSAGEIQSLKQRISESKSGREQLHNRLSTYVAITSLAWTSVVRAKSLDADDDVYFMVKVRLDVISTSI >OB06G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1634460:1637364:-1 gene:OB06G12730 transcript:OB06G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVALAGNGKEAGGDRSGGGNAVKRSSRFRGLNGSGVYKSRHRWTGRFEAHLWDKGTWNPTQKKKGKQGCKLYRQMVLNVVRIWIYYMLFAVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKVMHGVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAARAYDIAAIEYRGINAVTNFDLSTYIRCLNPHHHHRHPTSSMSMCAVQPTHGDGLLAPPPRASSEVGDAYGRPSPSTTALGLLLRSSMFRELLAQQPAVGDGDGQPSHDADDVKVPPPPESEYGGVFSDDDAAYGCSMYELDDSFALIEDSVWNCLI >OB06G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1637950:1638102:1 gene:OB06G12740 transcript:OB06G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSERCSIHRVFVLVSIYALITTRRRRCFRWCAPCLLQCFDSLHSHACTV >OB06G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1642026:1644939:1 gene:OB06G12750 transcript:OB06G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAWTLPGRRVSSVCPVASQRHSDYFDPRAPPPXXXXXXGYGSPPYSSPPAAPGTQNGRVFSTYSIYKGKAALSLDPSPPQFAPLDSGAYKVVKEGFVLLQFAPAVATRQYDWTRKQLFAGAKYESFNFSREIDICETLDFYQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNRLLNIDENIYIPISKGEFAVIVSTFNYIIPHLMGWSTFTNSIKPEDSRTYTRPQSGPEYEWRR >OB06G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1647386:1656702:1 gene:OB06G12760 transcript:OB06G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVIGMARSLLGSAIRAAASAAGQEITNLFGVQKDIRFIEEELTTMQAFLRAIEVTKDKYELEKVWADKVRDLAYDIEDCLEDFAMVVKHQSLSQKLMKLRHRHRIAVKIRSLKLRVEELSSRKMRYDVPSSSGTDDFSSSMDICRHQGAHYVDEADLVGFAGPKNKILEMISSSENAEFETIWIVGTGGLGKTTLAKKVYESSNITSVFHRAWVTVSQSFDVMDLLKGMIKQLLGKASLDGLLEEFKEVKVKENNLMDHLKEGLRNKRYFLVLDDLWSIEAWACIKPTLWGNNGEASRVVVTTRNKDLAKGSSSPLVYHLQALRREDATKLLLAKTNKSMSDIKKDGMNETFEKILKKCGGLPLAIVTIGGLLAASDVKEWEELYAQLPSELENNPTLDAMRKVLALSFKYLPFHLKPCFLYLSIFPEDFEIQKKRLVYRWIAEGFAPRDGVSIVDVAIKYFNELINRSLIEPSRLKIDGTIKSCRVHDIMVSISRDEKFVYWIGDKEARVQRGNIRHVAYYNSNSSEVAMEWNRVRPLTVFGKRPKDLAPLLCSSQLRMLRVMDVQGVRFGMTQKEMDHIGSAVHLKYMNIQCERDDINVPHFDGYSIIYRIPRSIGKLQGLRVLDISNTYIASLPTMICELQSLCVLRCTRKGYYDFLDPFKPRKLWCIHVMKSLRRKVTAELHMACSSGWFKTRGVGVPKGIGNLKQLQELGLVDIRNSSDAVNELGELSRLKKLKLETNGATQPKCNVLCAAIEKLTSLQSLYIYSTKAKDSSLASLEWLHLISPPRFLKSLHLRGCIKEIDWVKGLTHRLFGSELEGKTVQILGELPNLMILQLVGDAYVGEKGEAFPKLRRIKIDDLTHLRGMRFEERTLPQMETIEISYCRLESGIIGIKHLRKLKEISLEWCGGVARLGQLQEEMEANPNRAVLRIEDSSSEEGWYSGEDTEEGSAMHSDPSDHDLGDTVGESSQSNQGGDDEQQPNTAAEIMPSGADPSAST >OB06G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1666894:1671785:1 gene:OB06G12770 transcript:OB06G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMIKGEKQLGTHEERLKDEVRKDGNQISDGNFFKSLRNISSTKEEGQDDKLASTREEMGEVREENERLKTLLSRISQDYRSLQMHFYDALQQGKAKKLPDHPPTTLNADTEEHEFVSLSLGMSTSKHKNEDRSTIGEVKRSTEDFLKIKEGGLSLGLSDRRAGANNTTDRVHQDVMTLSPEGSFEDAKDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSSTSLGPYAAAPSPALGFAATVDAARPGGGGVGARPFFLPHAAASITSTPSYPTITLDLTSPATSQGAFSLSNRFSSSFASSHAARYPSTGFTFSGGSGPSSTPWPGYLSYGASLAAHPYNGGGKGSPFEAALRSINGRHGGGGAGGAQAGSPLYQMQQKAVSGAAAAAPGVLTDTIAKAITADPSFHTALAAAITSYVGTKGAGAPASGGDDDSKVGLKWGEHLGLGLAQSSPSSTTAAAAQSSSSQMFLQPSLGLSGSTTSASTSPVTNREQAH >OB06G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1672330:1675608:-1 gene:OB06G12780 transcript:OB06G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAGFKCASLSDRKPVDVEDEVVVMVIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAIFRQYPGKWKVFYDDPNRPNRYLLARELVSRPDATDIEIIFGGGDEQSEEAPSLMNNVMGVFSSMSRFMKVISK >OB06G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1676648:1679257:-1 gene:OB06G12790 transcript:OB06G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast-localized ISCA-like protein [Source:Projected from Arabidopsis thaliana (AT1G10500) TAIR;Acc:AT1G10500] MALASGTSCAAPGAARPHLAVSSSPAPSIRFCGGGPRGGSKAVVSLRAASVRPAAAVAATSGSIAPAISLTEKALKHLNRMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRANASPDDSVVEYEGFAIVCDPKSLLFMFGMELDYSDALIGGGFSFQNPNATKTCGCGKSFATGKETESTATACNN >OB06G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1681323:1681685:-1 gene:OB06G12800 transcript:OB06G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDLAVHVGLLVVTLTSGAAIYMAAGDVGSTAFVAVSYGALLVLFRCLRAYERAPPADVAGRERLRRGVWCLCTVLTALFAWKVAGVMPPAAAVAVWVLAVATSAGGFAVLFHRRRL >OB06G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1683725:1684318:-1 gene:OB06G12810 transcript:OB06G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARHDFLTRLGFTALTCSSALAIYRSRGEPGAVAFVGGAYAAVALLFHFLRRFERAPAADRGRIKAAVWLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRFSRRCSRRGSLRSCRRSSPPSSGPWPPPRSSEGSGPSFSAIDRTQIDQVNRFDLPLPTMHE >OB06G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1686814:1687173:-1 gene:OB06G12820 transcript:OB06G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSALTKIGFCVLLANSALAIYSSRGHAGSVAFVLAADAALALLFVALAKYERAAEEGDAAAGGKIKGAVWALSTLLTAMFASRVAPLMPPFAAAAVWLMSAATAIAGFWAFFLSNP >OB06G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1691775:1692140:-1 gene:OB06G12830 transcript:OB06G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGRSAWISRASLGVLTLNSGLAVYRSGARGDAASVVFVLASYAALLLLFSCLAAFDRASPGSAARGRLKRAVWALSTLLTTMFAWKVAALMPAPVAAVVWALAVATSLGGFLAIFVNT >OB06G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1694288:1695688:1 gene:OB06G12840 transcript:OB06G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRTATRSGMSGASLAANIDRHSSRYVWAARGQLKRAVWALSTLLTAMFTWNVEADRPSAWISRASLGILTVNSIGPRLLPLQGQGDAASTFHWGEELSNLNGIEHNFNRFRVQRANSLQVPGSTLLAH >OB06G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1694379:1694780:-1 gene:OB06G12850 transcript:OB06G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLALLIHADGRSASTFHVNMAVRSVESAHTARFSCPLAAHTYREESGFYVPFLTLIVLLFYFLRRFEHSGQPAERARIKAVVWLLTAKFAARLAPLMPPLVAAPRLGHGRVRHRAALPFSSEVRACGPRPD >OB06G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1694930:1695232:-1 gene:OB06G12860 transcript:OB06G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQIADGHPRKLKSLVWSHLEYKDGGGGGPRAECKYCGKILTARHVDGTSHLWRHITSAKCMEIQQGKAAGAVDEGNEFVEYINQIYDDLVAKGLHSIQ >OB06G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1696852:1697200:-1 gene:OB06G12870 transcript:OB06G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGRSAWIRRAGLGILILNSGLAIYRSRADASVVFVLASYAALVLLFSCLAAFDRAAPGSAARGRRGRAVWALTAMFAWKVAALMPVPVAAVVWALAVATSLGGFLTIFVNT >OB06G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1701186:1701551:1 gene:OB06G12880 transcript:OB06G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWMTCIGFGFLTLNSVLAIHRSHGDLAGIAFVATTYLSLLLLFWCLQQYQRAAPANSPARTRSKAGVWLSSSLLTTVFSWRVSAIMPWPVAVVVWLMAAATVFGGFFALFVWSGQQPLN >OB06G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1703280:1703492:-1 gene:OB06G12890 transcript:OB06G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQITFIYKLSLILITSVSAIKMLLEQEHYSPLCDKKATENQSCPHTRPFPFCASDDEVPVQEGAGSSYP >OB06G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1704960:1705400:-1 gene:OB06G12900 transcript:OB06G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKPDDSDPLLNPPATASNRGSNGGGRTPWASLIGFAGLAVNLALCIYRAEGDRGTIAFVSFAYLNLLLLFWCIRQLDRAPPPHGSAARGRIRAAVWILATSLTAAFTWKVAAGGAPPPPPVAWLMAAATVVGGFYGFFVHEEK >OB06G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1708130:1708561:-1 gene:OB06G12910 transcript:OB06G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDDPLLDPPPPAAASNRVSNNGGSRVVPWVAPLIGLAVNLALCIYRAEGDRAAIAFACFAYLNLLLLFCCIHHFDQAPSGSPARGRIIRVAVWLLAASLAAVFTWKVAAMMPLPVAAFTWVMAAATVVGGFYGFFIHEYK >OB06G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1712518:1712712:1 gene:OB06G12920 transcript:OB06G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLLYYYTWPYSLFLCMSLLAGTNKCYSYESLTVLVQFFVPPFHIDCVIIRSKLKKKQTNTEEL >OB06G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1723222:1727417:-1 gene:OB06G12930 transcript:OB06G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKPGKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEVPPVEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVIQLNIQEAIRRQIAQELKISLSTQCQYIVACCQCFYVNGVISIVLEYMDGGSLSDFLKTVKTIPEPYLAAICKQVLRGLMYLHHEKRIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSGEFCSFISACIQKKASDRSSAQILLNHPFLSLYDDLNIDLASYFTTAGSPLATFNTSNRYDDRYSDAPMS >OB06G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1730922:1735034:-1 gene:OB06G12940 transcript:OB06G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYDPPTSLGRDPQDLARHLPPEGSYYASRMSALHGTSDIHRHDVPLLPRPYGLDGPAGVSHPALAGLGGLTAGTTAQGASPLEDPVLVRRSSSLGQTASIPEVEHPRALLNLDGPREDESNILFVDCLPTDCTRREVAHLFRPFVGFKDIRLVHKEPRHSGDKAYVLCFVEFSDAKCALTAMEALQEYHFDEKKPDSAVLKIKFARFPFRPAAAPHDDRRRLTLH >OB06G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1736490:1737074:1 gene:OB06G12950 transcript:OB06G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGGGRRPHHISAHPSQQPSAGAGASTTSPLQRPPNASARAATKAPNHLARQPLLAVAFSGTDGPDRGEGSGPVDGIESPPAEVVLVRLASAVRRYPPGCGRGIAVPNAGAPAGQGGLKAQSALRNGEAKAIASGDCKVVVVGADSNGWMNCGGDAGGAEEEGCERHWNMTGIMLPPFQSWAQHGRRLQRRKLL >OB06G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1747598:1748758:1 gene:OB06G12960 transcript:OB06G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHCSSWADIHPELLGLVLRRLPSHADRVRLRAVCRPWRSSARIPSVPPPLPWLALLDGTFLNIASGVIHRIPVPDGACCHGSLDNWLFLVKSDGGCSLMNPFSRAKLKLPTLATYKAASTFKPSFYKLVVPWQLDSSPDSLVAVLIMGGYNFSTILICQPPVATDSSRGQKPLQHLADVAFFSGKLYAIGKFGNLLILDITGSSAKKPQILAIDSVINSKDYTGDLPEPLLKDVVYMRREYLVECSGRLLLVTRYIRSMDRARGRDSFEHHRTAGFEVLEADLSNIPGRWTRVNNLRGQALFVGKHCSKALLAGEAGCAQEDCIYFICDYPPQKFAADPLRDSGVYNMRNGMITPLLTGTAAALPHRVGQSCPTWLFPTETM >OB06G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1751382:1752529:1 gene:OB06G12970 transcript:OB06G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDPSGDRSWADLPPDLLGLVLLRLPSHADRIRLPAVCRPWRSGARLQAKLLPPLLPWLVLPDGTFLTLPDGAVHCLPVTDDVTHLVSIGSDLLLTNGGVLSLMNPSSSSSSPRAVAEWKPPAGGSIVDIALFQGKLYCLAIDKRHEDEELYILGVFGEQPMVSDFKCIHSPSDDEGDDDDEWFKPYSADSYVSGDRLLMVRRWINLPPMLPRDSGIVERTRCFEVFEAVDGLTGGGCGRWIKVDALMGHALFVSSGCSRSLANGAGEDCIYFLHDDIKYGMPEDPFLDCGVYSMRDGMVAPLLPETAAAEPLAEYGGPWFPT >OB06G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1759524:1761832:1 gene:OB06G12980 transcript:OB06G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16370) TAIR;Acc:AT3G16370] MARVRLTLLLAMSLLILSPAMDGGVQAQIVPAVFSFGDSTIDVGNNNYLPGAVFKANYVPYGVNFHRRRPTGRFSDGKIVTDITAETLGFESYAPPYLSPQASGKNLLLGANFASAASSYHDDTAAMYDAITLTQQLKYYREYQSKLAALVGRENASAILSDALYVVSTGTGDFLQNYYHNASLSGRYDVSSYCDLLVGIFSGFADELYRMGARRIGVTSMPPLGCLPASIRLYGKGGRGCVARLNGDAETFNSKLNATVEALAKKHSDLKIAIFDIYTPLRELSESPASQGFKEARKTCCQTGTRKTRVFLCNPATAGLCRNASDFVYFDGVHPSEAANLVIAESTMSAGISLVT >OB06G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1763812:1767709:-1 gene:OB06G12990 transcript:OB06G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLFKSQFKLSSNLRSLSTLFERLGRHMGLKRIGHGLIAAYLTRAKTSSASVFFSPLAVAPTGADEPPLSAAQIPRMASPPFPVEAITRLLADLASRHRPSPGGGRSGDPVAASVSSLAAALNPHGRGVSPSGTRVLDSVLSLMCFDPLEVDRARVDCLVRTTVSALSASISCRVDRTDGTEMLSVGGSVAPGDCRELVRSCAALLEKFGDSDVAEHSYELLYAVVKAALLSPHYQSLFPLLYYRDDEGNTNDTVTISSVLARHPTYQVLPSDYTIPLRVLLWHMSPSILKHELSALLQEAVRRPLLCLRKELHDRMAWRVIVICLVCSPLTFMETRSLFHTWFLMTGLGAVLELHTAVVSSVLDVLFEPMAWGLSMELGQKLPFSYDYFPRQNVDLLAILTRPLSCRRFLDLTSYIESIVYLEKTKTLHSTWSNLQPHASEGSVKYSSFWSMIVNFPLWFNFATALLFHREGSHNYLSEVLSMEIVSESIQEVSLANRAAFYLSWVLCPSNEDQRQMLAGNIMELSHSWARNNKKGLSYVHHTSTVNHRRKLRIPTMGDTEKLHLSTNPVSSLIKEFDDRCVKFCRVTANSQVQAEELSDLPICFNFLHLRIPLGILLVSSSFVNDQDCDMLLRYTSTGQVLESNEVQRKTKDYIGNDIFSASCKGSAEIWASAGASLIFGWLDIIVGLSAVIFECEDICDRFVSQLKSKTSPYLLKCVHSLLEGLDESSQRDFLVDLHDRLLNWNKKGQRFDGFEAFEDIILHMNNKFHCRT >OB06G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1768309:1768506:-1 gene:OB06G13000 transcript:OB06G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPMVALRAALVGGIAAFAKIGAAMKAAGGAKVGAAAAAMTAAATAAVSGKDTNKDDPKRETK >OB06G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1772441:1775426:1 gene:OB06G13010 transcript:OB06G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSPEDRAGLVNALKDKLQTLAGQHTDVLEALSPNVRKRVEYLREIQSQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGVTAEPASANAAEGKDTDAKGVPDFWLNAMKTNEVLSDEIQERDEPALKYLKDIKWARIDDPKGFKLEFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQPEDFDEEDEDDDEDDEDED >OB06G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1777262:1777603:-1 gene:OB06G13020 transcript:OB06G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMEVLEARSDALLASRSSILCCCSHECHPSATNEASSSALVTFSSRPASFAPIPRSTAAIPAAASLSLSLSLSCAVERRRPARVEKTGTRLPSTGSGRSGPNHWAVVAGV >OB06G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1777416:1779933:1 gene:OB06G13030 transcript:OB06G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRGIGAKLAGREEKVTSALLLASFVALGWHSWEQQHKIDDLEAKRASLRASNTSMSSAMWAWRAELFXXXRAGAGEREREGERGGGGDGGGAARDRRETGGARGEGDQRAAAGLVRGAGMALVGAAAQDRRPGGQEGVAPRLQHLHVLRHVGVARGALRPRRRALPAHLRLPPPPHLRRGGARVRGARSRC >OB06G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1780647:1786901:1 gene:OB06G13040 transcript:OB06G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRRGIPSLLKSTSSDEHIATDITQLIGWTPLVELKRIANKDGVGARIVGKIEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLLLIALRKGYRFVAVMPGQYSLDKQILLRYMGAELFLSDPALGFQGIVDKVEQLKKELPNVHVLDQFSNPANQEAHMRWTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKMQNPAVKIICVEPTESAVISGGEPGKHKIQGMGPGLIPDMLDISVIDEVVTVNTEEAMVNARRLALEEGLLVGISSGANLAACLKVASKEENKGKMIVTMFPSGGERYMNSDLFADVREECIAMTF >OB06G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1789493:1790011:1 gene:OB06G13050 transcript:OB06G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTDSVFALQVAPSSDFTGFFLAHPELMDLAASTTPRASSVAAADERAHSTQFDSIPELGEATMQLQGHYSFAFPTLIEVKRHSSKNPQEVHPRAAPTTMVAVAAAAEPAPAPAKAEMSSKPEEAPRKVASKGGWLPCFPCC >OB06G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1790303:1792233:-1 gene:OB06G13060 transcript:OB06G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKQALLLFVHSKLTMCPDHRFAFASLAETVSLVKKDFSSDASSAVEAIQSLNASETRFAMADLTQLFKIAYQEGKRAELQGRLLRVILIYCRSSTKPQHQWPIKQKNFTLDIVYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCVLLSHPLQRCMQDDLDIPKPLAKKTLAAEAAQNEDGVPVSTQ >OB06G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1794250:1796495:-1 gene:OB06G13070 transcript:OB06G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPSPPPPDGEHPFGHEAIAVSFFVACVAATVVLVSSMCSACGRKPKAASQAPDAGGASVSDAASAESQTGGGEDEKPEVTLSPDLATHGPIAPVPVAQAAPSKRRLSVTLSLKKNLSMNIPDKMRLSRRERRDKVEPEDTLWKKAIILGEKCKIPGEREGECDADELAAGSAPM >OB06G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1802090:1805657:-1 gene:OB06G13080 transcript:OB06G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Saposin B (InterPro:IPR008139); Has 137 Blast hits to 137 proteins in 50 species: Archae - 2; Bact /.../ 0; Metazoa - 41; Fungi - 10; Plants - 36; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G29520) TAIR;Acc:AT4G29520] MGQPRVPFLPAANHVMRPTWPPPIGRRASARSPALRSRPRMPSDGADLVRHVGSTRTAPLQKKVATAARKEDIPYIRCQVCERIAREISAQVTKKQQALPPAKKVPEIEIIEIAENVCNLKKQEADWMLKIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEFVYKKKPSADQLVKFLCKDLSEACTVDPPPVPKDRIPGEPFAAKPSKDAEMDRILKSMEGIPGAPSMKMYSRDDLMNNNFGADVDEDDDEDEEDDFPKNLGKALKDKGSQNKDLKQQVVKQIKDTGKKLKGHVNKVSKVVKKWWQGKKKPSKSSKTEL >OB06G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1807024:1808430:1 gene:OB06G13090 transcript:OB06G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKHFDLEIASRELVVASRRPPGFPAVLAVSNLDLILGPFPMYLVSVYAAPPGGVGAVLSAVRAAFRSYLSRFFPFAGRVVRDPVTNIPEIVCNNAGAELVVADAAVPLAAVDFRDPDRSTGLMHVPFEASLPLSLQLVRFACGGFSLAVGTSHLLVDGRAYILLLNALAEMLREGSLCLSREPLLDRSIFRPRSPPRFSPSLDAEFARFTPATMINPLMAAAIQRRMYRIEAADLEGLQKAASAGGRRASRFVALCAHVWKLLARAVGDSDPNCRMAWIVDGRKALEPSVGGEGALDRYMGNVITYTSREVSVEEVLRMPLHGVAAMVRESITPVMTKDRFQELVDWMETNKAAFKDGGKWTESVNLGLGSPALVISGLLPFPIDGDLGFGKPRLVMPWVRHGRLGSASMTAVPTPAGDGSWFISGTRMWPRLLEVVESAPDCLLKPVTAASLGFASAAAAHGSRL >OB06G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1810087:1815024:-1 gene:OB06G13100 transcript:OB06G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFGRFDVEVTARTLVRASDPPRGFPAVLPVSNLDMILGSFNISLIVMYPKPEAGFAAVAAAVRAALPAFLSRFFPFAGRVVVDAVTGIPEVACNNAGAELVVADAGVALADVDFADADRSFGAIQLPYEQGVALSLQLVRFKCGGFSMSWGTNHLLVDGHGLTSLPNAWAELLRTGGLSKKKPLGATLHRLLSKLYSASMDDASSTTYAPYTWTEHS >OB06G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1816460:1822516:-1 gene:OB06G13110 transcript:OB06G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G13700) TAIR;Acc:AT3G13700] MSLSHHLPPPGDPYYVYAPHTHPDPQRQGVLTLFVAGLPDDVKPREIHNLFSRRPGFDHCLLEYTGRGNQAVAFVSFFTHQAALSAMSALNGSVFDPDTGDCLHIELAKSNSRKRHGGVGVYRVIDKRLRNKRTGHENTGDEGNDDDAWCEDDNGGSDGDGGSEEPLDTENDGFDEKNGLPAEQSSGQPGLKQHKGQSPSDDQADKFSSDIPPCSTLFVANLGHSCTEEELKEVLSKHPGFHLLKMRRRGGMPVAFADFMDIESSTAAMSALQGTVLASSDADGLQIEYARSKMRKS >OB06G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1823244:1825303:-1 gene:OB06G13120 transcript:OB06G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02280) TAIR;Acc:AT5G02280] MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIEILLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQIIQKDRVALLGR >OB06G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1829646:1839079:1 gene:OB06G13130 transcript:OB06G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAMLPSPSSLRSVLHLSRRLLLRRAPDPRLPRPLLLTHLRLLSSTSSPSDDPLTDSLGTSAATSSSADSEAEAPVEGDAWGLFDPVAGRIVMQEQPPYSSPGSDEDAPKGKGKRSVREKGAGTGRSVAGKDQTRWSSVAGVRRAGGKSGKERVTYVCSNCGEGSSQWWGTCRHCEAMGTLTKYVPGNDSTDSERSHHAYRSWVPQKSKEMVPQSLQQVNKGVDHSEWRIPLSGSFGVEIARVLGGGIVPGSLILVGGDPGVGKSSLMLQLASIVSENIGVGESSAVVYVSGEESIEQIGNRASRMSIRSRNLYLYSSTDIEDILDKIQPLSPKVLIIDSIQTVYLRAFAGSAGNMTQVKECTSALLRFAKLTNIPVFLIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDEIGVFEMSGYGLQAVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRPFAIEVQALCISGSCSGGVVGIPKNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEIGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLKPLNLDLEILPCVNLKEVINTIFRPQ >OB06G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1842523:1848207:-1 gene:OB06G13140 transcript:OB06G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3MBC0] MADVPPIPPLPSSPSPLLLHHHHHHHHVVAPPSSAVNVATLLLVTVAVAIARCHLQQKRSNEPPEPGPRWSPPTSSPSATEAKDSSRSTCPRAAHHRHPSRPPWDAPRVLRAWGDPTVAAEGDAAAPKPEVKLVTGDGGYVLEDVPHVSDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIIHKDGPRGNHFRRAGPRQRVYFQSDEVSACIVTCGGLCPGLNTVIREIVCGLYDMYGVSRVLGIQGGYRGFYACNTIDLTPKSVNDIHKRGGTVLGTSRGGHDTTKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAGSAENGIGVVKLMGRYSGFIAHYATLASRDVDCCLIPESPFFLEGEGGLFRHLEKRLKDNGHMVIVVAEGAGQKLIAETMQSMGKDASGNAMLLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTAGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVDEARMEEERAAKPFDGPPSNPKVASNGNAVK >OB06G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1853475:1857213:1 gene:OB06G13150 transcript:OB06G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPARRRSAAARRKAKAKEAAVGAMARVLFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRKDVPRLQKLGVYGVITLNEPFETLVPSSMYQSRGIDHLVIPTRDYLFAPSLVDINRAVDFIHRNASCGRMTYIHCKAGRGRSTTIVLCYLVKYKNMTPSTAFEHVQSKRARVLLTRSQWKVVQDFSMNNAEAEIPVVTSHSSAASPAGDVVLVTEADLEDSGVTAANITEHASLSSHKATTSKPIADTLSCLFPSLKVSGESSCR >OB06G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1859412:1862226:1 gene:OB06G13160 transcript:OB06G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:J3MBC2] MSWQTYVDDHLMCEIDGNHLTAAAILGHDGTVWAQSPNFPQYKPEEITAIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTTLSLVMGIYDEPMTPGQCNMIVERLGDYLVEQGC >OB06G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1868998:1873477:1 gene:OB06G13170 transcript:OB06G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCTACDEEEASGYFFCLEDRALLCRDCDVSIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPNAEKHVNTADGSVDSPTKHLQRNPTALLSGESSVSLASQNAINGDYSKQSSVTNTRTGAVNWTMSNNTIRSIDTPPKYSSEESPALVLAGQTNTMAAYSNQISKDSDRVYNLPFTGGNGSDCLHDWHVDDFFNNSEFGFADHSSSKVDSGKQGSAGGSPQCRLAEGLFAEGLLGDEFLTRVPDNPWTVPEVPSPPTASGLYWQNNLLCPSYDSTMFVPEISSLENSRNNFTVSAGLKRRRRQF >OB06G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1874049:1878969:1 gene:OB06G13180 transcript:OB06G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme Q 3 [Source:Projected from Arabidopsis thaliana (AT2G30920) TAIR;Acc:AT2G30920] MLRRLTPSLRRSLLTSSSPSAAAVPGRWGVPLTEPGASLPHTLLPQWRLCSSAASHSSPPTPPRPPSPPQGPKRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIAHVHAASDPSTASIEYICTTAEDLVKEHKQFDAVISLEVIEHVANPLGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHNWSKLLTPEELVLILERASISVQEMAGFVYNPLRGEWSLSDDISVNYIAYGIKKVEKASVESN >OB06G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1880367:1882022:1 gene:OB06G13190 transcript:OB06G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MLRDGVAPNVYTYNILVRALCARGRLEEASGVVGDMRGSGCAPNAVTYNTLVKAFCRAGEVDGAERLINSMREGNVKPNLVTFNLMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLGGYCKVGCLHEALAVFSEMTQRGLVPDVVTFTSLIHATCKSGNLERAVALVAQMRERGLRMNEVAYTALIDGFCKEGFLDDALLAVEEMRKCRIQPSVVCYNALINGYCKLGRMDVARELVSEMEAKGVKPDVVTYSTVLSGYCKVGDLDSAFQMNQQMLKRDVLPDAITYSSLIRGLCEEKRLNDACELFEKMLRLGLQPDEFTYTTVIDGQCKEGNVEKALSLHDEMIRKGILPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPHNIKYDALMLCCRKAEFKSVVALLKGFCMKGLMNEADKVYQSMLDRNWELDGSVYSVLIHGHCRGGNVTKALSFHKQMLRSGFVPNSTSTISLVRGLFEEGMVVEADNVIEELLTCCPLADAETSKALIDLNRKEGNVDAVVDVLCGMARDGLLPTSG >OB06G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1884694:1884897:-1 gene:OB06G13200 transcript:OB06G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRRLLVALALAVLMFTATAAAAHPAPAKKHGHPHAPAHHHHPSKPKQHGARKHGGHTKPHHGM >OB06G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1886796:1894880:-1 gene:OB06G13210 transcript:OB06G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSATYDSRTNDVESSVVARGDLWRAEASHSSAATGGGDGGAPPLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLCRGIFQAHAKFPGEKKLSYSFKPEASSLPFRIAALSTYNVISVASRTGVEEASLQCICPTFGGSRPGLSMELIHSLNENAGVVCGYSHTASPSAYASISIGRSKLNGSAASSGQADGGGDAGERAALAPVENGVPPPSNKAGRRWPPSPAVLRMRGVGSVMVGVVFLALLILIPRWLGLDASFLRDSTMLMRRYAGRVPDVDIMFACDDPGQVRAADFAAAPADAPPVFRYCKDKTTLDVVFPDWSFWGWPEVNIGAWPGMLEAVRRENERVRWPEREPFAFWKGNPGVARIRGELMKCNPSNGKDWNARLFTQDWNHAIQNGFKDSSIPKQCLHRYKIYIEGNAWSVSEKYILACDSPVLFVTTPYQDILSRGLVAGEHYWPINRTRMCGSIKLAVDWGNAHPAAARRIGERGSRFVREQMSMDYVYDYMLHLLTEYGKLLRYRPAVPEKAVEICAASMACPAKGRRRECMDESVEEFVAGFEPCALPPPFTEEEAREIAAREEKVLRRVEKMEENIAS >OB06G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1902042:1902305:-1 gene:OB06G13220 transcript:OB06G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELILAMYKLQSYNNITKQSQQCTPRPHVPHAKLQLARTSNARLRKKKKQTCLPTVLNLQSLTRSRSKTKTKTKMKKNSTAATRNEL >OB06G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1911410:1915609:1 gene:OB06G13230 transcript:OB06G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G06470) TAIR;Acc:AT1G06470] MYAADPDGVGATPRKAFDVENPPGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMHFQSKGMDNAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVAKETDFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMILSLLMDPWSDFQKNAYFDSPWHVMRSCLLMLIGGSLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLATIMVGVSLFNWYKYEKFKKGHINEDEVNSSSFDGDAKYIILDDLEDQDEFQDEDT >OB06G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1917121:1918486:-1 gene:OB06G13240 transcript:OB06G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVQRALLPAHADRDRLLAEEENTDAGERGISSEGDEAEKVQEDDGTGKCDVSLNNVDAINTVDYYKSHSILLKENANVRKGSSSINDDNGEVN >OB06G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1919270:1922379:-1 gene:OB06G13250 transcript:OB06G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3MBD1] MKLDVSAMENNFAVRCGGEDDDGGIFGAGADLPAMELPTCADFDGFQKEAKELMKNKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGTRFSVGSGSLYAYGILDEGYRYVMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGADGWKKLSGDDVGELHYKYYPVQATPVEQEMADAPAA >OB06G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1925381:1930771:-1 gene:OB06G13260 transcript:OB06G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAALSRVARVGVGFGFGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXELVQRGDEADGWEKSWEAGVTPWDLGKPTPIIEHLVKSGTLPKGRALVPGCGTGYDVVALASPERFVVGLDVSSTAVEKAKQWSSALPNADYFTFLADDFFEWKPSERFDLIFDYTFFCALHPSLRLAWAETVSRLLKPDGELITLIYLISDQEGGPPFNNTVSDYQKVLEPLGFKAVLMEDNELAIKPRKGQEKLGRWKRFEHRSPL >OB06G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1931646:1933769:1 gene:OB06G13270 transcript:OB06G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42620) TAIR;Acc:AT2G42620] MAEEEAVEGGEEEEEEVVGGSAAMILDLPEPLLLHIMSFVTDVRSRHRAAMACGRMRAAERATRGELALRGDPRSPGFLFLGPGLWGPAGGGGGASSSSAAVQQFHHTEVISEQNAFIAARLEGCFPAVSSLAIYCRDPTTLANLPPRWQGSLRGVKLVRWHQRPPSLPHGADLEPLLRTYAALRELDLSEFYCWTEDIEVALTTHPTATAALTHLDLGLAAATDGFKSSQLGAIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLNEPFEAEPFEAAASVEREEAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPKIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLCVKNCQDLTDASLAAIGRGCGRLSMFGIHGCDLVTSAGIRRLAAALRSSLKEVSVLHCRLLHTAECLAALSPIRDRIESLEINCVWNPAEQSCNVANGTTECDPEDDELGEVYESASKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRTIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCTGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIAD >OB06G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1932221:1933222:-1 gene:OB06G13280 transcript:OB06G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERSWPAENQRESDLSISQLPRSSNSMYLHFLDADSYTSPSSSSSGSHSVVPFATLQDCSAGFQTQLISRLSMRSRIGLRAARHSAVCRSLQCKTLTSLREDRSAAASLLIPAEVTRSQPWMPNIESLPQPRPIAARLASVRSWQFFTQSDSSPPQTATPSRCNQEALHSPWKDPSVKNLIFGHLRASASIAGAASSTLCWRSIVKSSSAGSAAKKATSPATVMAASSRSTLAAASNGSASNGSLSRKTVSRGQLVARESKASSPTQSLNRGLNKQGATSFRRLGQEAAMAPSWEDLNPSVAAARPRSRWVRAAVAVGCVVSATSMSSVQQ >OB06G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1950583:1952350:1 gene:OB06G13290 transcript:OB06G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSQGAAGMAPRTGGSEKLECTSRFGAIAGLEPINFVTLATPHLGVRGKNQLPFLQGLPILEKLAAPLAPFVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFISALTAFKNRILYANVSYDHMVGWRTSSIRREKDLTKPSHRSLDGYRHIVNMEYCSPVSSDYPHFPWQAARAKEAAQSRPNKENTEEYHQMIEEEMVHGLQRVGWKKVDVNFHRALWPYSAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKHFPANL >OB06G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1952891:1959874:-1 gene:OB06G13300 transcript:OB06G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MBD6] MDAGAQPPDTEMAQAGAGAXXXXXXXXXXXXXXXXXXXXXMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIHQEGIAFNPDYQ >OB06G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1962925:1963149:-1 gene:OB06G13310 transcript:OB06G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLGFQRTNNELTIMKDMAYWHLSCTTKQIPLATSTGEIVLVNCLLGHLSPQGCMPQSPGSILWMSGPTLSK >OB06G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1973381:1976925:1 gene:OB06G13320 transcript:OB06G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVEERDTRPQGLYPHPDIDLKKLRRLILEAKLAPCYPGSDDHRADLEECPICFLFYPSLNRSKCCAKGICTECFLQMRTPTSCRPTQCPYCKMSSYAVEYRGVKTKEEKGNEQIEEQRVIEAQIRMRQQELQDDAERMKKKQTDALTNAVTTVQVEHCDTGGASTIVESSGPDNDMLSSQVHHAELLLKTSERLKQLRNNNLDMDLEEVMLVEALWLSMQDQDALENPTCANAVSPILPPRSFDGSITIPAEAASSSNGFACAVAALAEQQQMYGETSSTATCHSSRCDILSRSDRSFTEDLTVNGSGSSGTRSEEPSSTRARQARDGAEYSNERWSELAEASSSFNGSDLTIEAGAANSGGSDIGSGGIPDTFEEQMMLAMALSLADSRAKASSPGLTWR >OB06G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1976979:1983713:-1 gene:OB06G13330 transcript:OB06G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSAAFTDRPAARRVYGDGDGGNRAIVPLFLAAAFLFFVIYQLFGVAAAAVVMALFCAFALAANNVKVKAAFLRVSRAKLLVAKYCDELMIAGPVYHVAGLGFGVSSQVFPRWSPLLADISQAILRVFENGTIQRLETAMVSAYNCTAAAADGALHRLRPENYWGLFLMTLFASTASLAVYGVLFHHGTTCAGGHGAVAGCHRKQGEHVHEAPSSTSGSVGHGRKDTEMVAINMECELTACIPQQK >OB06G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1990207:1994291:1 gene:OB06G13340 transcript:OB06G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) TAIR;Acc:AT3G15050] MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSVNQKSNGPSSSSDPEDNAALEEWAATRIQNAFRCYKARKTLRCLKGVRRLHIIGQTNPVNKQTATTLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGSFNYEVGKGGWGWSWMDRWIAARPWEPRSMVHPENPKKGPAKKENTSTNQSALKLQGSISLSNNINDRKIPKKKPSPSPDQKKPASSSSSPPDQQKPASAASPPDQKKQPAASSPPDQKKQPAAPSPPDQKKPVARVQKAKAAGPAKAKPKDMKGSQEKKHQQQQLEVPSLSV >OB06G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:1999313:2000019:-1 gene:OB06G13350 transcript:OB06G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHRSRGSASTAGVAGEAGLKLPTFEFLAAFIWRARVKAKGTSPDEVVKMVYSMNISKLLAPPLPDGYWGNVCVPVYVALTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAAPLPLSWRLLGSTEPCFFLPYGAGDERRRLGVKVLAAVPPQALPCFREEMQELSSQRHCFHSKQKL >OB06G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2001149:2005412:1 gene:OB06G13360 transcript:OB06G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73170) TAIR;Acc:AT1G73170] MDLGRPPLARFTSGDFLLSHSPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEALAASTIAQRGIQLVATAHGITIENLVMNPSLDLLVGGVQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKTELRVHRSLEDTVDALLAGKMPSVEIRKFGSKGLVQEIFVQKEHLHLSPPESAVQLNTDSLSNARRSLDSAFNLDSAEGYANRSTEAEPGLNLYAYGISESTALQAIKQLELEDVITLTYNISEADAVIALQSKLKKNAQIQAVVKSQDIPVFFTKTNSLVQIRRAIRALVDDHTDGLMDFEENEEMRSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIIASQVDLVESFKLKWEAIGQEPNQCLRILPRFVGVEEGSMSVKQEAATDKLTDSDSADDMDYKQNGVSRLPFLPE >OB06G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2007774:2008197:1 gene:OB06G13370 transcript:OB06G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSGAWRSSASSLVCVLLMLLLVLSATVSCGEPDHGDQYRVQLVGITGRRMLVAGSSNNAATRRRRRRCRSPSRRGLAPAARTLSTINPGIFARSCVQLLIN >OB06G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2010982:2011834:-1 gene:OB06G13380 transcript:OB06G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAIVLFGDSITEEAFGEGGWGAHLANHYSRSADVVLRGYSAGRPWSSAAPSSPRGRRXXXXXXXASALQHVPLQEYKRNLRAICDALLAAWPSVVVVLITPPPVHDRARARYPYGDDDGGGSGLPERPNESAGAYARACVEVAAERRLRAIDIWSKMQRFPGWESSFLRDGLHLTPRGNRVVFEEVVFALKDARLGLDALPADLPLFCDMDPNDPVKSFDE >OB06G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2019999:2021946:1 gene:OB06G13390 transcript:OB06G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVVLVALAAASAMSVAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRPGADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTATGNNAILPATAGGPSSSPPYGMTYFHRPTGRSSDGRLVVDFLAEKFGLPLLPPSKKGGSDFRRGANMAIIGATTMDSNFFQSLGIGDKIWNNGPLNTQIQWFQQLLPSVCGSSCKSYLSKSLFVLGEFGGNDYNAQLFGGYSPEQAAGQSATIVDAIGRGVDQLVSLGAMYVVVPGVLPVGCFPIYLTLYGTSNPGDYDQHGCLTRFNSLSVRHNSLLQSKVSSLQSKYPWARIMYADFYSHVYDMVKSPANYGFSTNLRACCGAGGGKYNYQNGARCGMAGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPILS >OB06G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2023522:2025197:1 gene:OB06G13400 transcript:OB06G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPLLAAGALNQACVCMPLRGRCVTVSRVVTDRRLPFLQSFVMARRGALAGVGLLACCLCWCVVVQPALATCAGGGGRRYDAMFVFGDSLADTGNICVNKSAAATLLLTFAQPPYGMTYFGHPTCRCSDGRLVVDFLAGERGLPLLPPSKRSGGDFRRGANMAIVGATALDFDFLKSIGLGYPIWNNGAMNVQLQWFRRLLPSICGAPQSCRAHLSKSLFVFGSLGGNDYNAMLFFGFTVDQAKNYTPKIVDAIATGVEKLVAMGAAEIVVPGVMPVGCFPVYLAILPSSNKADYDELGCLRPLNALSVYHNALLQSRIAGLQARYIRSSPPARIMYADYYTLVAQMLHTPARFGFRSGITACCGAGGGEYNYEFDARCGMKGAAACPVPSSHVCWDGAHTTEAANRLIAGGWLRGPYCHPPILH >OB06G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2025420:2025926:1 gene:OB06G13410 transcript:OB06G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:J3MBE7] MESDSDANWDSSGRYKHARRLANHRRIISSSIITSLDRSIARRRLVLALLILQARAVPRDVPEMGTKKSWSSRLKAKSKKPAPKLETSFTCPFCSAAGAVECVVDLKLKIAEASCYTCLERYCTTAHALTEPIDVYTEWIDQCELANANAAADADDDDDRRRKRSRTN >OB06G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2027667:2027914:-1 gene:OB06G13420 transcript:OB06G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRQPQAAGPTRRRRRAGEESGQRPRGAWDGAQDTESAAARNPARPKRRQATEKAGVERRRRRRELRREEVADEKTLGELGMA >OB06G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2027671:2032716:1 gene:OB06G13430 transcript:OB06G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MBE9] MPSSPKVFSSATSSRRSSLLRRLLSTPAFSVACLLFGLAGFLAAALSVSWAPSHAPRGRCPDSSRPLSVSVAWDRRPGAAAXXXXXXXXXXXXXXXSHATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPSDRQGLLRLEEATGLAFRFVIGKSNDKSKMAALEREVEEYDDFVLLDLEEEYSKLPYKTLAYFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCSRDCTDSSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSEVSESDDR >OB06G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2032312:2032530:1 gene:OB06G13440 transcript:OB06G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEWLTTAHLEWIATAFTDFTRHNHCLGAVGETNTADFRRLIDGITEPLFYILVTFSFAYDYRTISCQGCS >OB06G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2033540:2035841:-1 gene:OB06G13450 transcript:OB06G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSSTVAAVCVAMLLSAAAAAAAQKYNAVYNFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGSPTCRCSDGRVVVDFLATKFGLPFLPPSKSTSADFKKGANMAITGATAMDASFFRSLGLSDKIWNNGPISFQLQWFQQISSSVCGSSCKSYLANSLFVFGEFGGNDYNAMLFGGYSADQASTYTPQIVDTISSGVEKLIAMGAVDVVVPGVLPIGCFPIYLTIYGTSSSSDYDALGCLKKFNDLSTNHNNQLKTKISALQTKYKSARIMYADFYSGVYDMVRSPGSYGTWFSTAFETCCGSGGGKFNYNNNARCGMSGASACSNPASHLSWDGIHLTEAAYKQITDGWLNGPYCSPAILHS >OB06G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2046188:2046478:-1 gene:OB06G13460 transcript:OB06G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPEGGGESRAAAAAGKRREEEGEMVVKVTHVVTAEVSADEASFKDVVQRLTGKDSAAARAAAVDAAGGGGSSEGKKGDAVVFNRGHGGRSRGR >OB06G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2053980:2055176:1 gene:OB06G13470 transcript:OB06G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative FT [Source:UniProtKB/TrEMBL;Acc:J3MBF3] MAGGGRDPLVVGRVVGDVLDQFTRTTSLRVSYGGRTVSNGCELKPSMVTQQPRVEVGGTDMRTFYTLVMVDPDAPNPSDPSLREYLHWLVTDIPGSTGATFGQEVMCYESPRPTMGIHRMVLVLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGSPVAAVYFNCQREAGSGGRRVYP >OB06G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2064135:2065024:1 gene:OB06G13480 transcript:OB06G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative Hd3a [Source:UniProtKB/TrEMBL;Acc:J3MBF4] MAGSGSGRDPLVVGRVVGDVLDQFTRTTNLRVSYGARTVSNGCELKPSMVTQQPRVEVGGNDMRTFYTLVMVDPDAPSPSDPNLTEYLHWLVTDIPGTTGAAFGQEVMCYESPRPIMGIHRLVFVLFQQLRRQTVFAPGWRQNFNTRDFAELYNLGSPIAAVYFNCQREAGSGGRRIYN >OB06G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2068384:2068572:-1 gene:OB06G13490 transcript:OB06G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESECWRSDDAIHWKKEVNAKIHFCPYTQVPHADGDEVPCAWETWTCRYRTFLTLGCGSING >OB06G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2070345:2071401:-1 gene:OB06G13500 transcript:OB06G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEYEMNDVSSLTRLFWSDPQSRIDYEAFGDVVVFDSTYRVNKYNLPFIPFVGVNHHGSTVIFACAIVADEKTSTYEWILRQFLNCMGQKHPKSLITDGDNAMRRAITSVMPNSDHRLCTWHIEQNMGRHLHQDMIADFRVLHAPIDPDEWRRKWDEFKFNHKVSEDNKWLMRMYNLRKKWAAAYTKGRFFLGMKSNQRSESLNSKLHRYLDRKLTLVLLVEHYEHCLSRMRHREAKLDAKSSQSVSFTASDASEFEKDASHVFTPAAFKKVKLEICKSMDWEVIDSIEEDSLVRYVISRKENSEKMKILSCTYVDSSLQSITCPCQKLECECIPCDHIFAVLHFFEG >OB06G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2082064:2082249:1 gene:OB06G13510 transcript:OB06G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPSSPPSLPPVHRVSQLSKVCRRNQILHHFYLSMDYKASNLDKFGQLLIVKFKGCLGVG >OB06G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2094313:2094585:-1 gene:OB06G13520 transcript:OB06G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACITTSTLFQIQEERRAQSDIKPSSITFLDRSRYAGGESYHLGRRKSRSEVGAPATVLPVAVPRQLGPTRATDLAGGLVHVPSASAAAD >OB06G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2094460:2095265:1 gene:OB06G13530 transcript:OB06G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRALILMNLSISPCTYLGKHVIWHKGRYPPILHAEIAHTLLQCYNLELLYISNHEPSKSGLAIVHHEHHVFHMSDLSTPV >OB06G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2096590:2096790:1 gene:OB06G13540 transcript:OB06G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTCYSTSSSTVAAVVVALVVAAGQLVAAGTSDLCSLAKTAFSDCTAYVAGAELKACTLAQGKII >OB06G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2096604:2096822:-1 gene:OB06G13550 transcript:OB06G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFDNKNQLTQIIFPCAKVHAFSSAPATYAVQSLNAVFARLHRSDVPAATSCPAATTSATTTAATVDDDVE >OB06G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2097257:2099476:-1 gene:OB06G13560 transcript:OB06G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKGSGRPFLYLIQKNSKIKSVHIKKKNSKKFEILKRSVLCVLLVILPEAVERGAGRDEADVDVAGGGEPGGAAGVDLAGAGGEHVRQDARFTAFRIGGAFSACIVQSIFERFDRDRSGRIDAAELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >OB06G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2100137:2108236:1 gene:OB06G13570 transcript:OB06G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVEVEKATENAGPTYQNVLAKDAGLLQPPPGMESCWDVFRNSVEKYPDSPMLGRRRVVNGKAGDYVWMTYKEVYDMVMKLAASISKSGIKKGECCGIYGANCPEWIISMEACNALGISCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKISELLKTCHATSKYLKIIVSFGGVTNDQKEEAKNHGMSIFSWEEFLIMGGDYHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNISGVDSVTGSVGEPFGHDDVYMSYLPLAHIFDRIFEEVFISHGSRIGFWRGDVKLLVEDIEALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKTLFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFNKVKERLGGNLRFIVSGGAPLAVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDISMVGTVGPPVQHLDVRLESVPEMGYDALSNIPRGEICVKGSVLFSGYYRREDLTQEVMIDGWFHTGDVGEWQPDGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWVYGNSFESFLVAVINPNQQALENWAKQNGIAGSFSELCKNSRAKEYIISELIKIAKEKKLKGYEFIKAIHLDPLPFDMERDLITPTYKKKRPQMLRHYQGIIDALYKTAK >OB06G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2110164:2111837:1 gene:OB06G13580 transcript:OB06G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGAGGGGGGDIHQLLSVLADGEEQARQLGEAAADGGRGEEYYRGAARQLQRTFARARRVGVARHHHRHRRPLRLAAVGGRELGQDGNGRRAAGTLSGHDQEKHRQNIFQKDLTPRTGNVEIYVIVPGSKAWEQS >OB06G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2112209:2113802:1 gene:OB06G13590 transcript:OB06G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWRLPQMMDSAGGSMVRRTSSVPSSQGGTTGARIATRRAARRRSRCSAPTPTSPSSTSPTRAPTAAAAAAAGSRAERGAAHELQDRRPEGGDRRAAGSSAGGDDGLTRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVRLAGRVGGRLELLLRRALLRAAGRRRRPVRHGPRGLVGAPRGRLRRHRRVLLRGGRPRLPLRRRRLRLPSLRAPRRARRPAPAVPAIVWRPRFHVRPVQRRVIDEACHVSPDVAIIFVSFFFKSFFFHLDDRIGSTTAVLAPGFFFIIGF >OB06G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2118233:2126777:1 gene:OB06G13600 transcript:OB06G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosylprotein sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) TAIR;Acc:AT1G08030] MAALLPAVSADAGYARCEGVVRGWAGSVAESEDKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAEECPRSYDKLRFDPSHPDCKLVVSHDDYSFMSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTTRVLSKSRAVSTLDIWPWKYLVPWMREDLFARRDARGIDNVRSAHRVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFSGAHEVRHCVRKHPDLGHFVLQVAKSRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSGALNLDIKEDQPSENDSRSSTLDPEEEETNEHLNRTHGLQNNRALKTADTGKDDHGKGNMTVGKLMEAYEGCISKLRKSQSNRRKISLKKVEEANFSKEARQQVPGALLEQIMSLNSLDMELYEHAKKIFTQEHLMLKAQHSMVAQHKQLPAKKGWVATVCSYWSCSPWKVVLFGLGVTIAIVLITFALTTRRRTFKLKV >OB06G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2123960:2128936:-1 gene:OB06G13610 transcript:OB06G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFSLTEGAVRILLCKLGCLLSEETWLVRGVHGEIQYIKDELECMNAFLRTLTISEIYDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFRQLIFMLRKLACHHRIAVQLQELKARAQDVGERHSRYGVELAKATHQEVHPRLTRHASVHLDPQLHALFAEEAQLVGIDEPRDELVSWLMKDDIRLRVLAIVGFSGLGKTTLARMVCGSPVVKSADFECCPLFIVSQTFNIRALFQHMLRELIQEPHKAMAIAGGKNGLISEDYLEGMERWEIAVLTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNVDVANTCCSRPQDRIYNIQRLSETTSRELFFKKIFGFADNRPPSDELEEVSNSILKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLSLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFICDNGSTLASHDKIRRLSIHSNYNSSQKTSANVSHVRSFTMSASVEEVPLFFRQLRLLRVLDLQGCNCLSNETLHCMCRFFQLKYLSLRNTNVCKLPRLVGNLKHLETLDIRATLIKKLPASAGNLIYLKHLLVGHKVQLTRTASVKFLRQSSGLEVATGVVKNMTALQSLVHILVKDKSPVLREIGLLQNLTKLNVLLRGVEENWDAFLDSLSKLPSPLRSLSIHILDEKEHSLSLDNLAFVKSPPLFITNFSLGGKLDKLPPWISSLRNVSRFALRHTELHAEAIGVLGDLPNLLCLKLYYKSYADESIVFRPGKFAKLKLLIIDNLERIEKVLFEGGSVPNLERLTLSFLQEPRYGILGLENLAKLKEIEFFGEIILSVVTKVASYVKAHPNHPRVIGDKWNIVTEYA >OB06G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2132279:2136244:-1 gene:OB06G13620 transcript:OB06G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR disease resistance protein-like [Source:UniProtKB/TrEMBL;Acc:J3MBG8] MEGAIFSVTEGTVRSLLSKLGSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDNQVRIWMKQVREIAYDAEDCIDQFTHHLGESSGIGFLYRLIYILGKLCCRRRIAMQLQELKARAREVSERRSRYGVMLPKTTLKGAGPRLSKNASRHLDPQLHALFTDEAQLVGLDEPRDKLVRWVMDKDPCRRVLAIVGFGGLGKTTLARMVCESPMVKGADFQCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGYTMDGNLDGMERWEVPALADKLRQYLLEKRYIVIFDDIWTISAWESIKCALPDNKKGSRVIITTRHEDVANTCCSHPDDRIYKMQRLSDAASRELFFKRIFGSADISPNDELEEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEIAESYFDEFVARSIVQPVRIDWSGKVKTCRVHDMMLEVIISKSLEDNFASLLGDNGHMLVSHDKIRRLSIHNSHNSLQRTSVSVSHVRSFTMSASVEQVPMFFPQMRLLRVLDLQGSSCLNNKTLNYICKFSQLKYLTLRKTNVCKLPRLLGNLKYLETLDIRATLVKNLPASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTTGVVKNMTALQSLAHIVVKDRSSVLREIGKLQNLRKLNVLFRGVEENWNAFGQSLGKLAGSLRSLSIHILDEKDHSSSLEYLARVESPPLFIRNFSLKGKLQRLPPWISSLRNVSRITLRDTGLHAEDIGVLGGLPNLLCLKLYQRSYADDHIVFAHGKFLKLRMLVIDNMDNIRHVHFEKGSVPNLEWLTIAFLREPKDGITGLKNLLKLKEIEFFGDIILSLVTKVASCMKAHPNRPRVIGDKWNIVTEYA >OB06G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2138078:2138302:-1 gene:OB06G13630 transcript:OB06G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAVVTVRYPASHPSISFFMFRYICTIFVENGFLIYRVSSIVFVSPLLRGLIRSAAAEGVPRLGEPAGEEAWP >OB06G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2139612:2142542:-1 gene:OB06G13640 transcript:OB06G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKSKGPKFAAVKKIISKKTIQKYKEDVLNPKKKDSEKEKLGRNVPQISSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRIALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGAPRI >OB06G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2142870:2144963:1 gene:OB06G13650 transcript:OB06G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATLRWVLQMHRDVPRAARFYAEGLDFSVNVCTLRWAELQSGPLKLALMHTNDRSRPLSFLSTNLASQRVYSSMLSFTVPDINSTVTKLLSLGAELDGPIKHEITGKVAAVRCIDGHMLGLYEPA >OB06G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2145526:2145963:1 gene:OB06G13660 transcript:OB06G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHLFVAIRNQLSEYGQRIHWKPGWSRAGSMQTNYQDSVISNKPAPTSMSTSISKTFICTMHKSTYLQKLLYPNQSPAHALQKEFPMASLSIPCMFHVLIGKSFDFFFLLGNWLELTALLICPFEMHCIVNLLSTAPFALMFDP >OB06G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2148092:2156149:-1 gene:OB06G13670 transcript:OB06G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTNSWMMNLCGSSVCSKKDVVSCALQEMLDSSSCMNHLVVSGIVAVLIVALALQLLIKIPKIRASARCLVVFNSPLQLAAVVFNGCLGLLHLCLGLWMLGISFHQDASTYRPHWWILILAQGFNLILVTFTFSIRPRFLGAAFVRIWSIFLTICAAFICCCSVVYMVGEKEVTFKAFLDVLLLPGALILLLYAIRHSHDEEDYEATVNGLYKPLNTETDNDKADSDSNATPFAKAGFFSVMSFWWLNPLMKMGYEKPLEEKDMPLLGFTDRAQNQYLMFLDMLNRKKQLQSHATPSVFWTIVSCHKSGIIISGFFALLKVVTLSSGPLLLKAFINVSLGKGTFKYEGIVLAVTMFLCKICESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGFAMVSSLAVIIITVLCNAPLAKLQHKFQSKLMEAQDARLKAMSESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRKAYNSFLFWSSPVLVSAATFLTCYVLSIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVAKFLEAPELNGQRGKYQAGAEYPVVLNSCSFSWDENPSKRTLRNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTDGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDQQRYQETLVRCSLEKDLAMLPHGDGTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILIISDGEIVRSGLYQDLLAHSQEFQDLVNAHKDTIRVSDLNSVSLHRAKEVSAKETDDIHSSRCRQSVKPSTADQLIKTEEREIGDTGLRPYILYLCQNKGLLYASLSVISHIIFICGQISQNSWMAANVENPNVSTLKLIAVYIAIGVITMFFLLSRSISIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAFMFSTSATLNAYSNLGVLAVVTWQVLFVSVPMIILSIKLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPYFYNFAATEWLIQRLEIMSAAVLSFSAFVMAVLPPGSFSPGFIGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDIASEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKILIDSMDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDHQIWEVLDKCQLREAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQRTIRTEFKDCTVITVAHRIPTVMDCTIVLAMRDGRVVEYDKPMKLMETEGSLFRDLVKEYWSYASSGNI >OB06G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2168886:2170415:-1 gene:OB06G13680 transcript:OB06G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:J3MBH4] MESPPLLEQILLRPDEYIGSVEKQTQMLWEYESFPMMRRAVTYVPGLQKVFDEVLVYAAERKRRDPSMDALHVEIDVPERRISVYDNAQGIPVVLHKEEGVYMPEMIFAHHTSSTDTSTGVRLANVFSTEFIIEAADGCRLKKYKQVFSENMGRRSEPEITDCKKGENWTRITFKPDLAKFNLTHFERDVIALMRKRVFDVAAMLGETVHVVLDGQRICEKVNDQWEVSEGQFEQVSFVNGIATIRGGTHVDYIANKIATHVMNFVNDKCNNFTAQLYDVKRHMWIFVNARIENPAFDSPTKEFLTTHVESFGSNNCDFSDIFLNKVINCGLLYDMFAPVKSKAGRR >OB06G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2172302:2176201:1 gene:OB06G13690 transcript:OB06G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIESRRRGTTEEEERKEEEARREKKLGDDACGAMRYKPAVEHYTRGADLDPADISLWIKRAKAYFRMNQYEECVIDCDEALKRSGGGGGGDKLAAKALSWKGLALLNLAACAADCEPAILALRQSLGKHYREETHAVLDEAERAMESFQEQEAADRHQHKGGELLRQQKYEEAVMQFTEAIKRNPRNPKNFSDRAQCHIKQGELPKALEDADRCIELDSTFGMGYVCKGMAQLRMGKYEDAQATYVGGLKHDPRNLQILDGLERCAVFFKAANGSNSRAKDSRQHERDIEHLRDELQKSKEKASRERSRRMEYEELARALEESYSGLVEQLTTKHDVVTVELQLAREHKEDLEHQLSECRECLERLLSTQSRVPPHFICPISHEVMNDPHIAADGYTYEAEEIRHWFQRGRHTSPMTNLRLEHEQLIPNRALRSAIQEWRQQQNMAL >OB06G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2176540:2177306:-1 gene:OB06G13700 transcript:OB06G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDSISQASRGSRSVGARTSRCDWSEVTARGSNSRLARSRAQSKFQTPKFPPNFEKNSWSANTNSPKSHAYLNRGNPLAQSTTTKFQVRRTHPPLQQPLPLRRRDKLSSFSMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB06G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2177126:2177910:1 gene:OB06G13710 transcript:OB06G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFRNLGEILGFGIWIGRATARDENSIRAQLLPTNHSEKFEPPRIAIRAARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB06G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2178580:2180283:-1 gene:OB06G13720 transcript:OB06G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITWLAAAAAAALCCVDAAASAGGQGQCKFRAVFNFGDSNSDTGGFWAAFPAQQAPFGMTYFRRPAGRASDGRLVIDFLVQAMGLPLLSPYLQSVGSDYRRGANFATLASTALQPNTSLFVTGTSPFFLGVQLNQMKELRTKALRSNGNNAQLPAPDVLSNSLYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSQITSTVQELYSIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGFGCMKTYNSAVTYYNELLNTSLAEVRKKLQDASIVYANKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKVLNGQTTSAKACADPQNYVSWDGIHGTEAANKIIASSLMSGSYSYPPFDLSKLCKLQPIA >OB06G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2181304:2184543:-1 gene:OB06G13730 transcript:OB06G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/translation elongation factor EF1B protein [Source:Projected from Arabidopsis thaliana (AT5G53330) TAIR;Acc:AT5G53330] MDYDYRGRPGSGSYGGGSPSMYPRVGQPSHGVANVPPPPRAAPYHHHGPPSVSAAPGAPPPVHASSSTSMGIQVVIKPEYRIAPPPQLPLQLVEVPRSTFNYDFEYEREILAEADKENPNWSKFVVENQPPPQPQPPRPKLTTPTTSVATPGDPVVEKYIAMGLGREAVSFAVLNYGDNPTKVKEFVKSYNALHEMGFTSSNVPELLAIHDNDPDKVIPHLLGRS >OB06G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2195647:2196636:1 gene:OB06G13740 transcript:OB06G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWLGTFDTAEEAARVYDHAAIRLHGPSATTNFPVPRAALPSPPPPPPLLAANAAAASGYDESSDESQLVTSPVSVLRSMPAQKPATATPKKEAREEDDCAGHTHKNPSPDDMFSQFTGDALNISPPDDDMFDGISFGDPTPPAPWFDDDCMTPLGHVSTDDEYPMMSTSLLDDDLGDLPPWPEVESLFSNDIIGDEPVAAEPHPPL >OB06G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2197231:2199861:-1 gene:OB06G13750 transcript:OB06G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGLLRSSAYARMQAESPSEFVPKRVLLGHAAQSSEVDRGNSFWVKAAVVYESVTGDHVDDATNAVARDLLLKLAANCCSKIDSEPRPKESDGEDEKTKDETAAKRTSHPDEGTEENKRVIDAIFLVVGFLPRLHTAVAGGGATSRDAVDESFKATHMQDIVTDVIKLENQLPIKHLLDVAGHVEAEVRKLAALDEFKNIEKALKDYRLGFGRQDFDGVIRSFCSYYSPFFSKPPPPTPPAAAAKDEQGGAAASVDRTLLDCLHDSVVPQPPSGKEAAGGGGAKGGKTARIPTARGLRRSGVRLEAAEEGRAVVQFKEETATLRLPALVYDFKLATVARNLLARELEEQSKPVTRYFQLMNELVEEVGDARILHRAGVVRGGGGSRGANEVHELIKKIDGYATYPSVFMAMDVQVEKVKAFHDKRMNNFFVRYRPAVIAGSSVVAASVVAIVAARKKRG >OB06G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2200850:2208525:-1 gene:OB06G13760 transcript:OB06G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGMGMGIGAPSTEFSEEREYHFVARNCGRSFSVDGIVEDSIDKTIFVASEQDSEIMDVKEQVQAKVTRSIVFVTGEASPYAKSGGLGDVCGSLPIALAVRGHRVMVVMPRYMNGALNKNFANAFYTEKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDSRSVLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPCHYTVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAMPDLMQEDIQFVMLGSGDPGFEGWMRSTESSYRDKFRGWVGFSVPVSHRITAGFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVENFNPFAEKGEQGTGWAFSPLTIEKMLWALRMAISTYREHKSSWEGLMKRGMSRDFTWDHAASQYEQIFEWAFMDQPYVM >OB06G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2211936:2212136:1 gene:OB06G13770 transcript:OB06G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKEGECAAVVAPTARSLRPGVEKSRALRQALARAGPRMEEIQLALLALEPSCARSGHCGRSWPP >OB06G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2218178:2220134:1 gene:OB06G13780 transcript:OB06G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGWFPIRSGSGDDVLLGHASNRCLRAIDRRAWWGTNEITVVMSDGPCTPWVVEAIPPRDSIPRLPNPSSAVDIMRAIRFVRAERAFPDESFPPVGWGCFHFTGVSLFKLRIELAKRLGFTVVSDVIMCVRGGLFGRLTPLFTDLPFNNVTMEIIVVTAGTIAANELRFPNVDAVWRKTELQEQNL >OB06G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2221577:2224006:1 gene:OB06G13790 transcript:OB06G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGRHVWLRNSAFGLYLHSDEDGKGVLLHRDRACFHAAWAVHIHRLDGGDVLMLHNAANGRYLAATAWWAAANVVNLRDLNELPSLTVGWIAIRAGGGDDVLLRHSSGRFLRANVSRNPFSYGVNVCVYSFDSPSLMRHWEVTAIPPRDSIPRLPRPSSSRPCINLRRISFVRLSYDDHAWRKIWFIGNSVFCLWNQLAERMGNELDPNSIICVRAGNFGRLTPLVTDLPRNNLKMDVIFLVPDAIGVLGLTCPNVDAT >OB06G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2225933:2227681:1 gene:OB06G13800 transcript:OB06G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16330) TAIR;Acc:AT4G16330] MRGAGLAFFRSPMEEKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPTRWPDFVPGYRDTVVKYSNNMKDLAQKLLRIISESLNLPPSYIEEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWLPVSPLPDGILVILADQTEIITNGRYKSAVHRAIVNTDHARLSVATFYDPSKSQKIWTAPQLVCKEHPQKYQDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >OB06G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2236787:2237287:-1 gene:OB06G13810 transcript:OB06G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMIRDHRCDRKVDVYSFGLVLWELTTCLVPFQDLSPVQAAYAVTNAGARPPLSPSCPPAINTLIERCWSAKPEKRPEFKDIVQVLESYDRCLREGLPLLPLPLPLPLPAPAPLAPPGAGELRPLPPGGPAPAAAATATATAGAGAARLADRSIQDPIMQAYRS >OB06G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2244088:2250334:-1 gene:OB06G13820 transcript:OB06G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAAGCRSQVTRCIHLGKGTDRSSSLSPPNAIKVQSSVTGWKKQTLIQELTKTLKSVSLDLLDRFIDSAYKFSEQPFLNEGNFGPVDEIGDEVLIDSLDGEVPADFPEGVYIRNGPNPLNASQAAAESMFGSTSYMYYEGHGMLHAVYFTKSSIGEWTISYKNKYVHTDTFELERKNNKIAFLPSADGQPYATLAAFILNMLRFGKPVKDSANTSIFEHAGRVFAAAENHLPYEIDINNLSTLEPYNINGAWDQPFTSHPKKICGSGELVMMGTNTEKPHYVLGVISADGERLLHKVDLKFEEGKLIHDIGVTAQFIQKDMDGISRIGVMPRFGDDDSIVWFDVENHCSYHLFNCFEDGNEVIVRGCRTLDSVIPSGRHNADKSKCYGRAFLPADKNLQGFDPSVDGTLFSRPYEWRLNLKDGTTKEGYLTNENVAMDFPVINENFVGIENKYGYAQVVDSVATSKIGLFKYNMIAKVHFDMEDKENQELKLVEYHVLPEKSFCSGVQFVAKKNGIDEDDGWIVTYVHDELTNISQVYIIDAKRFSEEPVVKITLPQRVPYGFHGNFVYK >OB06G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2252875:2254410:1 gene:OB06G13830 transcript:OB06G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:J3MBI9] MESPPLLEQILLRPDEYIGSVEKQTQMLWEYESFPMMRRAVTYVPGLQKVFDEVLVYAAERKRRDPSMDALHVEIDVPERRISVYNNAQGIPVVLHKEEGVYMPEMIFAHLTSSTDTSTGVRLANVFSTEFIIETADACRLKKYKQVFSENMGRRSEPEITDCKKGENWTRITFKPDLAKFNLTHFERDVIALMRKRVFDVAAMLGETVHVVLDGQRLPLKDFSTYVDWHIISAKKNRPVEELPRICEKVNDQWEVCLSLSEGQFEQVSFVNGIATIRGGTHVDYIANKIATHVMNFVNDKCNNFTAQLHDVKRHMWIFVNARIENPAFDSPTKEFLTTHVESFGSNNCDFSDIFLNKVINCGLLYDMFAPVKSKAGRR >OB06G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2269022:2270422:1 gene:OB06G13840 transcript:OB06G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGDNEEDRPVPFPRICEKVNGQWEVCVSPCEGQFEQLLAAVLSATCFFVPVKGVFGWWDMSGWEMTVQVFDVFGLWAKLDMVVQKGNIPRRCWMGVSGQIGRISSSTFTNQDH >OB06G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2271168:2275343:-1 gene:OB06G13850 transcript:OB06G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28830) TAIR;Acc:AT4G28830] MKLKQLEGLLGELQQFTRPKVELEQYATGSHIASRMLYTAENSFDDITGKVVADFGCGCGTLAVASSLLDAEHVVGIDIDPQCLELAQENAADLELDMDLIQCDIKNLNLRGLLVDTVVMNPPFGTSRKGADMEFISMGLKVARRAVYSLHKTSTREHIKKVALRNCNAISAEVLCELRYNLPQTYKFHRQKEVDIAVDFWRFVPRARHEGSTACSVTANVKQRRMHG >OB06G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2276454:2279217:1 gene:OB06G13860 transcript:OB06G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFQPHSGCCDDMPLDSYVQQNDHQELHLVDHPLFEGVTHGHEYYSSYAGGSFLPFATYYDLGHDDYCPHGGGDKEAVVDQASPTVHKASPHLPLFTPKSEVSHLIGGGVVGSYKAFEMNGRLIRRKKSSGKSLKKANVVKGQWTLEEDRKLVKLVQQFGLRKWSHIAQMLPGRVGKQCRERWHNHLRPNIKKDTWSEEEDIVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKSSVRLSPLEQPTVSSSPANTQKLAQVNGVRTGSNLSNQMVTQETLSMDENDDIQTNTCEEFQLLVSTYGDLCLDMCDHLFETKEEAPYQVYNIDGDDVDMNYIFNHIDYANKIGHEIEDMEMAWDDDVLELEDNESGSSPLETPAVHVKEEMDLVEMVTRTQFAASG >OB06G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2279871:2286649:-1 gene:OB06G13870 transcript:OB06G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNYNSCEASAALETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLVPLCLKELEQLESQIEISLMNIRQSKNQQLLDQLFELKLKEQQLQDVNKDLRRKIQETSGENMLHISCQDVGPSGHASEANQEFIHTAICDPSLHIGYQAYLDHLN >OB06G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2293938:2298800:-1 gene:OB06G13880 transcript:OB06G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAQREMEAGNAAYRKLYLETAVRHYTRGARLDPGGISFLTNRAAAYLLMGKYKECVRDCDEAVERGRELRADNKLVARALSRKASALLKLAACAADYEPAIRALQQWLAEQYSEDTLAKLDEAEKARKEVEEQERLDQEAADHHRDKVQCEETIFFNQRKYQEAAMHYTEAMRKNPKDPRLSFSNRAQCHIYLGVFPEGLEDACKCIELDPTFLKGYLRKAKVQFLMNNYENALATYLEGLKCDPNNLEVLDGLRRCAACIKRANGGDASTEDLREILGDLHLNDDLHNKLQKCMDEAALLKKEASDERLKRIESERLARTLEDLYLSQVQQRKETGESLSRVQQEFEQLKMQQDEVTVELQKVNELNENLLGQLSESKECFEWLLSEHDHLLHERDNAVREVEELRRKRGQMLSVLVTAMHFAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACLASSTLVYEFLPNGSLEDFLVCPDKRQNLTWQVRIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGFSRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGRPPVGIKKIVEDAMEKGDLNSVIDTSVGDWPHLYIEQLAYLALRCTELSRRCRPDLSGEVWEIVEGIRDAALSSPSSSRSMQDQNSAPSYFICPISQDIMDDPHIAADGFTYEADAIRSWLCAGHDTSPMTNLRLEHEELIPNRALRSAIQEWLQQHNMAL >OB06G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2301991:2308782:1 gene:OB06G13890 transcript:OB06G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25770) TAIR;Acc:AT5G25770] MEASGLRASFREVLLSRRRNLQVPLTVERGSPVKDPLYQGGTATGRSEAMESCPRKEVGNLKEKLVEENFYLITELGEQGRVPVLLLKLNDTAPKRKPVIVFLHSSYKCKEWLCPLLEAYASRGYISVAIDSRYHGERASNNTTYIDALKSAWRNGDTMPFIFDTVWDLIKLADHLSEREDVDPCRIGITGESLGGMHAWFSAFVDTRYSVIVPIIGIQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVQKVWDKIAPGLDSQFDAPFSVPMIVPRPLLLLNGAEDPRCPEPGLQEPVSRAAKAYEEVGSADKFTFIAEPGIGHQMTANMVKEASDWFDRFL >OB06G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2306336:2306928:-1 gene:OB06G13900 transcript:OB06G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSLLEFLRIYRLLSPIQLWQQPDSFQWRWSSSGLYSTRSAYNALFMGREIFQEAFLWKAAPNRCCYFCWLVAHQRCWTADRLSRRGLPRPPHCVLCDQAPETIDHILIGCPESRQLWWLILNAISLPRLTPMSQCSFGGWWGLQWDKIPRRQRKGFDMIVTLIAWSIWKERNAQVFNHQASAWSLVGSGEGCC >OB06G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2314264:2317619:1 gene:OB06G13910 transcript:OB06G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRANYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRDGGRKGGAGAGERKALNDKGWPECNVIEEEGPYEDLDGDSGLSRRCQIILGFLSFVLLFTVFCLIIWGAARPYEPDVVVKSLTMDDFYAGEGTDHSGVPTKLVTLNCSLHIAVYNPAAMFGIHVTSGPIHLLYSEISIGVGQVRRYYQPRKSHRVVTAVIHGEKVPLYGAGGGLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >OB06G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2318055:2322884:1 gene:OB06G13920 transcript:OB06G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVLAAALLLLLAGAAAARLDLDDDGDDSEVLDELLAVDEEEERGELDGGGKAAGAEAVRRAQSMVLVLDNDNARRAVEDNAELLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTAAPVIRLQSKDSAEEFLKKEQTFALGLFKNFEGAEYEEFIKAATSENEVQFVETNDRNVAKILFPSIASEDQFLGLVKSEPEKFEKFDGAFEEKAIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQIFTFAEAYDFEDLESMIQEVAREFKTKIMFIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINANNLQDFARDLVEGTLPPYFRSEPIPEEKGLIEKVVGRTFDSSILESPHNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLDNTNLKFARMDASVNEHPKLQINNYPTLLLYPAQDKSNPIKVSKKSNLKDMAKFIKEKLQISDAKTVAASDNAKDEL >OB06G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2323000:2323211:-1 gene:OB06G13930 transcript:OB06G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding VREGRGYKEGRWGNGKHLCAWGNDAASEVIPRRVNCWLKTISKPPLWPTRATENFWKGSFSLERVKPYKS >OB06G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2324632:2332511:1 gene:OB06G13940 transcript:OB06G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SITE-1 protease [Source:Projected from Arabidopsis thaliana (AT5G19660) TAIR;Acc:AT5G19660] MYYSEVLMYCRSQVTSLFGAERLWGIGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDRRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKNYQPRASIFPNMLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGYQFSGIISGNVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFNEEIQKLKDDVVQKGLGVAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGYLHSFQLQDNSKIAQDNSRIADTQNTPEKSKLSSVLGMMEAGKGRIAVYGDSNCLDSSHMVTNCYWLLRKIVEFTGNRIKDPVLFSESAQLKSPVFESIHQLSRRPDVNFSTYSTVVDKELICHQDSRFEVWGTKGYGIHPTGATRKLPEYQKSDSSTIPNITIVTSDSTQDEAGLQRNIATPIVAKFDRRMDYFGFLSHEEIDIGVLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >OB06G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2333766:2337390:-1 gene:OB06G13950 transcript:OB06G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MDDGEEVKLETYVKPILTAGKEDLPLPYLLFLQGGPGFESPRPTEASGWIKKACEEHRVVLLDQRGTGLSTPLTTSSLSQITSATEQVEYLKHFRADSIVRDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHEVVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFEMWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGKPMYFTGEMVFPCIFDEIHALRPFKEAAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >OB06G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2341126:2350027:-1 gene:OB06G13960 transcript:OB06G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPLPPTPPAQPQGKEQQQQKAVAMNALRLLAIGDRLRSHFRGGATVLEPADLAHLVYAFARGIDFALSSGDVPIVASDIPGILKKVYLIGKDQLLQSSVMVLMISCKNACSQNWFQPTDCTDILRMANELSGNFCTPASKPDNESTVIQIMSTIIPRYYPQLKFERLVTSLEAKAGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPLFPTDITRLLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVTADPPDSDILEGPSRVSLKCPISFRRIKTPIKGCLCKHYQCFDYDNYMEMNLRKPTWRCPCCNTPSNFTDLRIDQKMAKILQETGEDTIDVLVFADGSWKAVSTHDERSDKHSSDVIQQSGDTMDTDATPDDVIDLINEDDGGDAAMSFASASEDVKPLLNYQDLSVADYLSDLPMNTVSQAEDVHAGGGNNERGNVTSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQNVPQGTHSDVVRLQPRIDPLLGLEIARPPIPRNVRRDPIGVQALPVQPQRVRPNIYNCPPPFPQSSPASSAYQAHHVTNSDTVITAINSGVGSLSRTPDATSLLQRQLTQQDMRNTQNYHQAIIGITAPQNFMRPPPGGLFQSIGANALGVSPAQQSHHIDRLLANNLLNQLGQAAVVQASTTPPQVLPTQPGSTSTISSQIRTHFLPAQRSQAMRPTQAAPRPTISQAPSRLQPPFSPAAARPLSTPPPIGTSDDLPELPVDESWRPSGQMRGSLTGEAYSVAIGRYTPNVNIAGQQTNVVTSQARPAGPDARR >OB06G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2353907:2357365:-1 gene:OB06G13970 transcript:OB06G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MBK3] MDAAALDDLIRRLLDARGGRTARPAQLSDAEIRRLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRTWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKDIEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINII >OB06G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2360448:2361512:-1 gene:OB06G13980 transcript:OB06G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLHVEDNMKVEGSDQSDALLERERYGNEEVIYDSDDIRVVMESFASDIEVPDSQVTVDVQVTVVVRKELHGAASAADESELEAAVAICEKVVYMDVAHVLLHIKPPSWLIPRFSSA >OB06G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2362923:2365143:1 gene:OB06G13990 transcript:OB06G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPLNQSSGGHHLFDPLNAPPQNQLPSLILPSVPSTAVPEPPFHLESSQSHLRPFQLPGSSEMAFHGEIMPKHHLASHQESLPGNEMSSIRKESSMLNTDHFDGGSHNKEQL >OB06G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2373013:2375433:-1 gene:OB06G14000 transcript:OB06G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MBK6] MRGFCIFTTAISFLLMLLTAAAKDQESSLARGSSFSTQGDTMAILVSPNGDFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGAGSRLTFRKDGTFALLDYNGKVVWSTNTTDTRANRAELLDYGNLVVTDPDGQNLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLYDSNNVLTLVYNGPDTASIYWPNPSFDNPWKSGRSTYNSRRYGVLDQGGYFVSSDKLKFEASDLGDHVMRRLTLDYDGNLRLYSLNETSGSWSISWMAFSRVCQMHGVCGINSVCNYTPKLHCSCLRGFEVIEPSDWSKGCKRKENITAIWDKGNRNNTNNTISHDFSFRKNTGTDFWGYDIAYKESVPYSYCRNICLAINNCQGFGYRKGTGQCYPKYSLFNGKSFPDPYNDNYLKVPKGVSFTKESDYRLTHSCGVTEKLAYPSSQMSEDVSSKFAFGYFLSSVLTLLLIEVILIIVGFSVVQKWEKSPEITDEGYMIISSQFRRFSYKELHKATNCFKEELGSGGSGVVYKGVLDDERKVAVKKLNDVIYGEQELKSELSVIGRIYHMNLVRIWGFCVEKTNRLLVSEYIENGSLDRLLFDDHNLFPILKWSQRYNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKQGTAQMLSRVHGTRGYIAPEWTLNLPITGKADVYSYGILLVELVKGSRVSRWVFDGKEEVELAVKCTVDTLNEKLASEDQSWLLEFIDRRLNGEFNYSQAATVLKIAVLCLEGDRRRRPSMDTVVETLHSAVG >OB06G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2379105:2381117:-1 gene:OB06G14010 transcript:OB06G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLQYSGFNNFLFDSNNMLTLVYNGPDAGSIYWPNPSFDQPWLNGRTTYNNSLYGVLDQKGHFAASDLFQFDASDLGDHVMRRLTLDYDGNLRLYSLNETIGSWSVSWMAFSRVCQMHGVCGINSVCNYLPKLHCSCLQGFEVIEPSDWSKGCKRKADITAISEKGNRHNTNNTINQNFSFRKSTGTDYWGYDLAYKASVPFSECRNICLANSNCQGFGYRKGTGQCYPKFLLFNGRSFPDPYNDLYLKVPKGVSFTKETDSRLNHSCGVTEKLAYTSSQMLEDVSSKFKFGYFLSSVLTLLLIEVVLIVAGFSVVKKWETRPEINDEGYMIISSQFRRFTYKELHKATNCFQEELGSGGSGVVYKGVLDDERKVAVKKLNDVIYGEQELRSQLSVIGRMYHMNLVRIWGFCVDKTKILLVSEYIENGSLDKLLFDDHNLFPVLKWSQRYSIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKQGTTQMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSRWVVDGKEEVELAVKRTVDTLSEKLASKDQSWLLEFVDRRLDGEFNYSQAATVLKIAVLCLEGDRHRRPSMDTVVEILLSAVG >OB06G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2404212:2406612:-1 gene:OB06G14020 transcript:OB06G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRVHVFTTLIISSLQMLTVAPENGTGSFIARGSSISTQDAILASPNGEFTCGFYKVATNAFTFSVWFSRSSEKTVAWTANRDAPVNGRGSRVTFHKDGSLALHDYNGTVVWSTNTTSTRASRAELQNSGNLVVMDPDGHHLWRSFDSPTDTLLPTQPMTRDTKLVSASARGLPYSGLYTFFFDNNNVLSLIYNGSETSSIYWPNPSFLPWDNGRTTYYSNRNGVLDSDGRFVATDQLTFVASDHGQKDVMRRLTLDYDGNLRMYNLNMATRNWSVTWMAFLRVCEIHGVCGKNSLCMYKPDPRCSCLEGFEMVKPRNWSQGCRREANATLIWNNNAENKSNQGFLFKKTSHTDLYGYDLNYSKPVTLKQCKRMCLDNHDYQAFEYHKGLGKCFIKGLIFNGRKYPGLYNDFYLKFPKAMTHSQILASEPFHACVATEKEAYPSSQFLRSNNSKFKFGYFLSSALTLLVVEGRRPEIDDEGYTIITSQFRRFSYKELKKATYCFQEELGSGGSGAVYKGTLDDKRIWGFCAEKTQKLLVTEFVENGSLDKVLSDHQSSFHGYNGAKENILLDKDFEPKIADFGLVKLLKRGVAQMLSRVHGTRGYIAPEWALNLPITGKVDVYSYGVVLLELVKGIRVSGWVVDGEEVELTVKHTVDILKEKLANEDQSWLLEFVDCRLHGEFNYSQAATVVKIAVSCLEEERRRRPSMSSVVEILLSLME >OB06G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2411575:2412781:-1 gene:OB06G14030 transcript:OB06G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLVLSYHAGVPPSLAVFRHFFKLCLFKLSGWYHFRGKDTAGMLFTGMPKHIKGWKEGFFFLSSPSPWPCQVHWGGPPSKIATAEPVLTTGEEKLAAKLLAAHRTVVDLRRYLCESNLAAAFSSNLTAASPQPPPSRSTSAKEMDPSVFETMKSMRAEKAAAAQAPMTAQKVKTEPASDTPSSGKKRKFDAEANAKEGTPPPPPPVACVPRLRASRSRRRQRATKTGNRGTCPTSTTATRPNGWPRAANYASFAFDYALKLEEKLQEQERSAGAMRSELEEKARAELAAAKAAAVQEYLRSDEHRR >OB06G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2416384:2417590:1 gene:OB06G14040 transcript:OB06G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34050) TAIR;Acc:AT4G34050] MAAATSDAAAAATNESSGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTAKHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPLLDQLLEEDANHGAFDFVFVDADKDNYLNYHERLLRLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >OB06G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2420837:2421708:1 gene:OB06G14050 transcript:OB06G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLLGQFDRNFYKSWVKCTQHVNTSARTHTEKGLEKNKTNQRNARIKNSTSPFHESYSIPGVNHSGIISHFTFMKWMGNWQVAAMVDVTSASGDLHAGIEDLGDGPDLQRRADGEQAGEALRRHPHAADVDGLQLPAAADERHQAGLRHVAAAPHYDALHRQSTAKVKRAPCKVI >OB06G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2420999:2423467:-1 gene:OB06G14060 transcript:OB06G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MSFAGQRTGDDSTARFVRAAVNLRDLDISRSCWGCQITDQGLIRISTAECVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKNCINLKSIIVWSCRHVTEAGLVALVGGCRKLESINVGGMRVPPESFAGLLAISPALQIRSITQVLNASVQVS >OB06G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2427963:2430715:1 gene:OB06G14070 transcript:OB06G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRDSEEERIQRKDNGEETENGEDSGSALSLKDVANELLGCVVHSEEEAYKLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFTPMHNHQLAKPGERHMLRSAKSLAVGKSGVIDPATSTESHSINGLSHMIESDIVEPPGYTIRDCYNHVSMQGIMIIEAGDSQSLVNYFKRRTSEEGMFYWDVQVDQEGRMTNFFFRDAKSRNDYDCFGDAVIFDTTYRTNKYSLVCAPFVGVNHHWQNIVFGWAFLLDESSASYVWLFKSFLESMGGRSPKSIFTDQDEAIVQAVEQVFPNTQHCFSYWHILKNAQSQLGTVNTSQTFQNMFMKCIQGPDSEMELEESWAAMLNEFKLQDNNWLSDLYRTRSRWCSAFNKDTFDGGINSSQWGEVSNNTLNGISDESTSLTRFALLLEKVVKALRRNESEEDFRCSQTAPVRAIKYSTVLKQAAESYTHKIYKLFEAEFLDGCGATSCHESSCGGNLLRFEITMQGRGSKVWTVLLDTSTMEISCGCRKFERMGLLCSHALKAFSLQNVDTIPEKYISKRWTKDARRSMYKLSQDDSTQQECTEAELAYRNRATQYACSLIAKSQELEESRKIFWDTLETGEKALDVFFEIRSLRNQTAKDVSKRDKKKKKSSKEPSAKKAKQTPAASSSVPVLAQPNEHQFQSAQDAQGNTTIGRPFYYQTFSSTPMQPNQIYMHPNMHTMPLCAPQDFSAYSAIRPNSNFGGAKNV >OB06G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2433709:2434500:1 gene:OB06G14080 transcript:OB06G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVAAPPVPYSCAVCGRRFPTRPDLTRHFRQLHQRERNKKLSRLRSLKGKKRQKFRERFISGNTKYDDAARELLTPKVGYGLASELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWLVLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWDRVENGEVDEQMLQNGAHMGFRDEEKEEQDDDEFTVDWDTSDLDGVVDDIVATRTKLFGAATMSAFADEEIMDGILGVGINGVDMLWSSDDEDEDGYF >OB06G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2443027:2443443:-1 gene:OB06G14090 transcript:OB06G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLYLMTLATCQQILLMLHLSYLIFLFNGYATTIKYTLKPSHHPSPRPHQHEKKNKNQKKETETRRLHSGVALARTQRPSSPSPPRRCRHARSPGDQEARPPTAASPRRPIPSRHRRAARRRRRRSHPLSPFFFTGW >OB06G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2448706:2449822:-1 gene:OB06G14100 transcript:OB06G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3MBL6] MELELGLALPSPGPAELDLLNSAPGSCGKRGFERALEDDDDGGGNGGGDSDSDGEMGNKRRKLVGWPPVKCLHRRLDGGGGGGYVKVKMEGVAIGRKVDLSLHGSYAELLDTLHRMFPSTNQGLRELNFNKYYYDFGVLCAEDDGDDRRRHPHAVTYEDGEGDWMLVGDVPWEAFAKSVKRLKILVQCNN >OB06G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2459199:2461605:1 gene:OB06G14110 transcript:OB06G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRLSRFAHRVLCCGRKASGEDLSDEGSGSLRWVFTLRELRSATNSFNYDNKIGEGPFGCVYWGQVWDGSQIAVKKLKCAKNGTETEFASDVEILGRVRHKNLLSFRGYCADGPERILVYDYMPNSSLYAHLHGAHSTECLLDWRRRTFIAIGAARALALVNNLIFVHNSIAYIISSALVSFLMVSCSTEETHSYELLHRYLHHHATPQIIHGSIKATNVLLDSNFQAHLGDFGLIKFIPDGVDHDKIISENQRGYLAPEYVMFGKPTTGCDVYSFGIILLEISSGRRPVEKSGSAKMCGVRNWVLPLAKDGRYDEIVDSKLNDKYSDSELKRVVLVGLACTHREPEKRPTMLQVVSMLKGESKEMLSRLENDELFRPDSTVSSHAMSTPEGSSDCVLKNDQGLAAA >OB06G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2463093:2472845:-1 gene:OB06G14120 transcript:OB06G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLGIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEDEEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASELAGPPQMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHDEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQISGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGDVAEGDREDTFS >OB06G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2476095:2486378:1 gene:OB06G14130 transcript:OB06G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDHDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCTELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRVLGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKPLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALIACGEQESLVRVSVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVALLKLSSRFPPTSERIKEIVAQNKGNTVLEIQQRSIEFSSIIQRHQSIKSSLLERMPVIDEASYLVKRAASTQATISSDKLAAATTPGGSLKLPNGVAKQPAAPLADLLDLSSDDTPVTTSAPAAAPNDFLQDLLGIGLTDTSPAGGAPSASTDILMDLLSIGSPVQNGPPTLSNFGAPGQAGTKLAPATSQVVDLLDGLSSSSPLSDENTAYPSITAFQSATLKITFNFKKQPGKLQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNILPANGNDSVTQSLSVTNNQHGQKPLAMRMRISYKVNGEDRLEQGQINNFPAGL >OB06G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2492076:2493032:-1 gene:OB06G14140 transcript:OB06G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGGIKSQGGGATVSGASRISPAVVFVLVILAVVLFVSGLLHLLVRFLLRRGRARALRGGGDAEAADGGGGGGEGSALQRQLAAARGGARISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLLSNSTCPLCRAALGADAAALFDAAFDAMADEEDRKQEDAVFPVRLGKFKNLSMAAGPVHDGDAAIITREEGESSSSSLDARRCFSMGSYQYVLAEASLQVSVHRRNGDGNGRAAAATRLRGLGANPVGNDAAGEGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPVLASDDSPAVDGRLPWPRRSPGDS >OB06G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2503306:2503569:1 gene:OB06G14150 transcript:OB06G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLLCSPHVRWNTCEVHVPNLVLLLAELRLAVVCLMLIQLLFVCVNTLLVLVITPSCSKLLAINSSPFCAVRVEASLAYKRSRDFNS >OB06G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2503199:2505590:1 gene:OB06G14160 transcript:OB06G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:J3MBM2] MLGSLISQSPSVDSKIENHDELIATGVLASLQNFIRKCIIAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPAEVKSLMELMEEKINELLENRNVIDKVNCKINFWGNLDMLSEPVRVAAEKLMASTAENTGLVFSVCMPYNSTCEIVNAVNKVCAERKHMLQMDHADNVANNSVHSDISVEDLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRAQAKKYL >OB06G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2506506:2516602:1 gene:OB06G14170 transcript:OB06G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAAPFALLLLLLVALAAAQLPAPRGSQVENPDDASLRKVFEQWNLTLPENPCGNPVFEPFPANASIDIRCNCSDLNASVGCRVTHLNVTGYRNVTFIPERLFNLTELVSLDLSNNGLSGSISPNIANLTKLELWHLNNNQLNGNIPNESSRLRNLQSLWMFDNNIDGPVPEFIANFTNLKDLRIYGMKLQGPIPNKFSNLTNLTHLMIGDLGGDDRSSNFTGVWENLSVLSMRNCGLTGEFRSPIWPNLTYLDLRSNNLSGPIEQVFRYSRTLQYLYAGENNFSGSLPPQMPPSLLALDVTYNPLLNGGLPKNSSNTMINYIGTSIAANESNHSEVFSLLNCLNMKDMKECNRKDFTNPGHFAVNCGGKEFTFSDQQTVFNDDSTDLGAARFHVNTINSWVVSHVGADPFSNSTGIVSTNKNIPGTDMADLYKTARTSTGSLWYYVVGLASGTYKIELFFAEIVIESESGRRLFNIDIQDRNIRTDFNIFDAAGGFNKATNVTHVANVTDSVLKIHLYWNGRGTCCVPRNGTYGPLVSAIRVFPYTEAQASPPPAVHTSRRNEKRRGVVAGIVALSIAAVVVSSSVVYLWWKWVSLVKHPKA >OB06G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2518219:2518971:1 gene:OB06G14180 transcript:OB06G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:J3MBM4] MSRRPPSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEALGKLLAKSGLTQPAPEA >OB06G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2522383:2526479:1 gene:OB06G14190 transcript:OB06G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metaxin-related [Source:Projected from Arabidopsis thaliana (AT2G19080) TAIR;Acc:AT2G19080] MASAAAAAAAAEWEEADRKVLVARKPAFGLPTACPTCLPVLLYLRMCKVPFDIHVDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEGKIIDLNSKHPSVSYSDVLSTKAMVSTWLSDALQYELWAVTDGSIPHDIYFSDLPWPIGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFDNSPTDVDALFLGHALFVLNALPDTSVLRSCLQKYDNLVNFTEHLKVQLLEPDSSATGLGSSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKTFRRRSKYFLATQLVAVLIFLSLMGGVDSSELDVEDGVYYED >OB06G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2528419:2528677:-1 gene:OB06G14200 transcript:OB06G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDEHGRRLASRRHGMEAHEARVGDHGAPRLAHGPKPQDGLDGEAEEDLLGDVVRDVGHGAAGGGAPPPLGQGLVVAATFPLILHIT >OB06G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2528425:2529108:1 gene:OB06G14210 transcript:OB06G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKSGGYDEPLTKRRRCTPAGGTVADIPDDVAEQILLRLPVKSILRFRSVCKSWRAMVADPALRAPPFHGGATPAAVHARRATASEAGNSSQTTFVCNPATRELVVLPANTPDLHGIHGPVSRN >OB06G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2529275:2532391:-1 gene:OB06G14220 transcript:OB06G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLMNELALARPDVVGKWDDMVKGMTQHDGALQRPLISGGYPELNGPVVGNVSVAQLAGQLCQDAVRVVQLLHARRAREAGELLGVHVEAQHTRGGAAPVNLISKQLQSKDMPIDVAIESVQGLISFFKNYRETGFAQAQEAAKEIAIEMEINPEFRTKRKIKRKWKFDEATDDASSSSQSAEDLFRTDYFIPIVDQAIASLIRRFEQYQGYEKIFGFLFTSDRLRSLDNKSLMVACVNLENKLQSGEHKDIDGKELYGELIFIQDLLNESMSTLDILRFLKKHPFYPNAIIAYRILLTIPVTIASAERSFSKLKLLKSYLRSTVTRERLNGLAIIALENDVFEKINYEHIIEDFISRNTRRMMLFNRN >OB06G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2530570:2531076:1 gene:OB06G14230 transcript:OB06G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLPRLASVEVGRRDADPPYLVRSLPSPCVLGAIYWLVGLPSPPTGCCTTPGMLRFDMHTEEFAGFPSPSCVQQLDDANGVLAELAGKLCYGHVPDDRTVQLWIAAADEWSLQCTVVLCHPFHHVIPFADDYQGGILFNVDYSVIYRYDVERGVMERMVGMNNEMTY >OB06G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2540758:2541069:1 gene:OB06G14240 transcript:OB06G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVEIRSSMLFLFFHSDSLKGTLKNYAFHACICIYDVLLKSIYLLRCSENKTVIYPFLLVWLVIDADSCSTALMELTCPYSNSGLRGSREYSLKWPHSTFLY >OB06G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2542439:2546133:1 gene:OB06G14250 transcript:OB06G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPRSDVNREYFAEEHDRKAIAGIDYDSSYGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEATRQGHVAHGGMLPRAVISQQQSGEQPQPPGTEGQQQAPSGSYYFNIPAPPAAERTLYPSMDPQRMGALVKSQDGDGKPGPQQAGQAQASSSSGQSYPVPPPYYHGQYPPYYPPYGGYMPPPRMPYAQLPQYPPYQPMLAPPAQSQASSSSQQPAPAMPQQTQGPPQQ >OB06G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2551894:2553844:1 gene:OB06G14260 transcript:OB06G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVCAIVCKGYSINGCPATDRNAFQAPWGHRHVYTTSSGSTPMANLNNAIETYECHYWENHYRKRPKKIFVGGEVVCLYANDCEGIRSSQAGRPPAFTQTTAKSQIRKRYMHLTEEILQENPNMCAYMAPPLDARHDIVVVEVPKLGKAAAQIGWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLARTTAGPGCWRGARGSRGVGARRRLWMGPDVEARRRRSEGDGAGVRRRKNLAASRRQNKKYRVCART >OB06G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2565611:2570604:1 gene:OB06G14270 transcript:OB06G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAKMPSRRKAERGCGCWAAVARGLRGACFRPPPPAGDEKGAAGGSAKGSHVHDAAETRYLNASNRELGDHFQTNLDDENGVNASAEKKTPPKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDVDYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGKARR >OB06G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2572091:2572747:1 gene:OB06G14280 transcript:OB06G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALATVVAFLLLVLVSVAHGWNQDCPPPSSGSGGGHHGKPPGHHDDHHHHHHNPPSPRCPSCHPPYTPPTPRPPPYFPPPTPPFVPPYIPPPTPPYIPPPYVPPYIPPPSPPPYVPPYIPPPSPAPARTCPIDALKLNACVDVLGGLIHLVIGQKAKAKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHPPEGFKCPPLYA >OB06G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2574553:2578787:1 gene:OB06G14290 transcript:OB06G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:J3MBN5] MNCFWFIVLCERLDSKRCGCRIKDMYGHVNERSGLNAPLVADDVYEIIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGRVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNEEWSLFCPNEAPGLADCWGNEFENLYKKYEREGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPSETAVCNLSSIALPRFVREKSVPIESHPSKLVGSNGSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALQASAELAAKEGPYETYQGSPVSKGILQPDMWNVVPSDRWNWTALRSTISKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYALSLIHGEFVVVNKHLLHDLTEMGVWTPALKNKIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKNLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTSLLKANGENGTKPAEEEDVEAKMAQVVCSLNNREECLACGS >OB06G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2580772:2586360:1 gene:OB06G14300 transcript:OB06G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLSDSFELSKVDQAPANVDIELGLQSNMGSSTQPGFDGFFEQVGEIEKLLDTLTKLLKDLQNSNEESKVVTKASAMKEIKKRMEKDVNEVTKVARLAKSKVEKLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYREVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIIILLIVILIVVLSLKPWSK >OB06G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2587135:2587602:-1 gene:OB06G14310 transcript:OB06G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKRVEAPFKKPRSRPRRRLLLRPPPPSSSSSVQVTPRRSPAVGGNNNYVYHFGSTPRLNRNCSAIAQERAYKSAVEFGANPPTKLPARERRIDPVSYGEYGAREAAYSYQRLAASWLGAADVGFLEPSRSPQPPPPGVRLLPPRHWTPAPPS >OB06G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2590669:2590954:1 gene:OB06G14320 transcript:OB06G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAGATMADIPDDVAEQILLRLPVKSILRFRSVCRSWRAMVADPRFVRPPLHPPAGAAPPRRRPPSMIVMPCWSVTDQRMGAVSFFHYQGHGAAA >OB06G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2590669:2590954:-1 gene:OB06G14330 transcript:OB06G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGAVPLVMEEADRSHPLVRHAPARHDDHGRRPTAGGRRAGGGVQRGAHEARVGDHGAPRPAHGPKPQDGLDGEAEQDLLGDVVRDVGHGGAGG >OB06G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2599027:2601756:1 gene:OB06G14340 transcript:OB06G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLLWSFDQRRGADPNRCSAPNSRSSSPDHGRAPPPPPPPQQQQQHRTLDARCFRVLASGLAAAAAVSLGLAVSAAAAAAAMDALREAEAKLTVYVHPSNAADVRRAVARQLSTLLFSYEDRFDGVLLAHEAIVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHKKFKFKKKNGRGKFVSRSDQQHVIKKGSMIQFSVKRVDTEMNCHITGSLIPPHTGSMLWLSVHDAEYALEINSRKSSRDINIKIEQHEQDNKTVNDEQGVKNSERKHKSKSRKRNFEER >OB06G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2607342:2609943:-1 gene:OB06G14350 transcript:OB06G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSARSKRRRNPIGATVANIPDDVAEQILLRLPVKSILRFRSVRSWRAMVADQRFVRLQLHHSTAARPPSMIVMPCWSVTDQRMGTISFFHYQGHGAAAELAHEEALPLELAHEEALPLGVAADWNLPLHCNGLVMVSSEMYSSDQIIVCNPATRELAELPVGTPDLFGIQKVGLGADPLTGEVKVVRCFIRHCDYTKTDYSVGCEVFPLGGGSGAWRPVADSPYLVMPSPSPCILGAIYWNAALPSPPPPAGSGTARGILRFDVRAEEFSLFPIPPCMQAAPEDVEGFGPALTELAGKLCYVHKHVSDAGVAAAQLWTASAADDDGAARWSMHCTVELYHPALAVRPFAVDYQGGIFLNANFPCIFRYDTERQVLEREVGMNQEMTYFRASNRLHYRFYGGRWMHHVVPYRESLLTIRAK >OB06G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2610431:2616924:-1 gene:OB06G14360 transcript:OB06G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILPVLITQIKKVARIGELLPELPPPLPPPLSGRSFRDQFSLYQKKRRELGCPSFLWNWAYFSVQFPFFILWMSTIRTMCLSNHPGLDNGGILWFHNLTEFSHGSSGLVFPTLVAGLHYLNVQISFHGTQTKHYPGIFGILAKYFRVYLEILTIPLFLIGYVVPQGSLVYWTTNGFITVAQQLSLRNDAVKKMLGLPDAGVHQKSPRVGHKMMQQWPLEDAGMHTNLTSPDNEIANKILEGKVSESTSPEELLEQALQHLENGCQDQAIPLIRTAIEKDPNLYVALIGMGQALFSNKLFPEATVCFEHAIPKIEEQDPLLVLACYCAGLSRMQQGDNKMAIEILQRLSELKEPEKDINKKCYFQGLVILGSILINEGRKSEAVKFVQRAAAYDPDFEIYLKQCDETTEDYRKSEH >OB06G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2618282:2619797:1 gene:OB06G14370 transcript:OB06G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGGRNAWAKDMTIRRRIASIFNKTRDHFPSLKDYNDYLEEVEDMTFNLIEGIDVEVIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGADGTAAGSSQGISSGAGIQQGQYAPAAVPRGLGQPRPTGMAPQPIGDSSVHPLLQGDDEGTMRLRAERGARAGGWSIEMCKRRALQEAFSSIFV >OB06G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2619564:2627066:-1 gene:OB06G14380 transcript:OB06G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLRGRESLVRLIGRRRRCSSPLPAALSFGALPPSSPSRSLQVDAGDAEREAAAAGSSSGGLARDGAGAGAEWVACPVCGEPIRGTDYCVNTHLDMCLTRGTKRKLTQSTLLDFSFSKKAAGDPALNNNPETENMELTDGNVSSDGAFFSLNNETVNPKGSANASSPGCLYDSLDTAETCDTCIPPDTFLSYRENTANNGVGKKNLSHIPPTEAFSCTIDALSVTDSSNIVVVDTVIVGRRFHENIELQEGVSIALSRDPQNAKDPDAIKVLYAGYEREQMLGYLPRELAKVLAPLLDRQYIECKGCVVGVPEQQFDHVPIQLTCQKCKDKNETHDDLKHPQFLWENFIGAVGNGKLQRPSSTRYQTNFSLMITDVMENHSRLFTDKEKSFLDSFQLLSDDGQRLFVRIYTRKGPWFQISSISYREISDLGQAAMELKLAGYIDMISSVNDLSKYNMKEVFDVLSVPEMKEILKELQKTNANCTRRHELLSTLQSLYDSGTCTVLQKRILKWIGTCIRTSDMADELLWRVQRLFFLNGDQDLSSFLLVDLGLVRFPVYACTISNRVFKERSDLLQYEEAIQVAQVMDQSLDNNNMEIVTRCIELSENRLSALPKEENATMAECPPSFFSRFSASWVYPKILTLGVSVYERDRRYTDAIRILKRLLSTVYSDRKRGYWTLRLSVDLEHMNRQNESLSIAEAGVIDPWVRAGPKIALQRRVLRLSKPPRRWKTPSYANAVKRNINEVKIEGRPLNCETGAKNIFYGYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVIFSDIVDVFQAKFQTAPLDLETDDFYRSRKDLVESQLKKIQDGMAEEMLISSWELHQGTSCRGVNWDRNSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGTPDLLLWRFPDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >OB06G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2629277:2633908:1 gene:OB06G14390 transcript:OB06G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRDGAEAAGYGVEDEDDRDGGAVSDGEMDVEMGGESQAREAERRDGDGDDDYALLTRITDTSAAEARAGKDIQGIPWERLQITRCDYRKARLEQYKNYENFPQSGELMDKLCKQVDKSSKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPTQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMENFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDIRNLSTSLSVLRGNIGAIRCIRYSSHGRFMLFSEPADFVHVYSAAAGYKKRQEIDFFGEVSGISLSPDDESLFVGVCDRVYASLLHYRMVHSFGYLDSFM >OB06G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2635427:2637302:1 gene:OB06G14400 transcript:OB06G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MAAAPVASPFPAPPSSSPVPSTATAITYTAGRRCHDALLPPPSAAARARGYPSAGPGERRRRGEDDAEAEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFELMRDQVWYRPYVGIYVKLITMLGKCKQPEKAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKAIPRCRPDVQTYSILIKSCLHAYDFEQVKYLLEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLSENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLIRAYGRAEEVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDMMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >OB06G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2646813:2647513:1 gene:OB06G14410 transcript:OB06G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLILFLLGGLCALFSLASSSSPSAGKKCGGGGEAKKRREEEEEEVAKKQRKAPRPDPEKDLGIVFSTFDHDGDGFITAVELEESLKRLGIAVSAAEAAAMVARVDANSDGLIDIHEFRELYDSIPKRRRHQHQLPSAAGDGGVAGAEEAADEGEDAEEEERDLREAFDVFDGNKDGLISAEELGTVFGSLGLARPAVAECRDMIRLVDSDGDGMVSFEEFKRMMSVVKA >OB06G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2647256:2647491:-1 gene:OB06G14420 transcript:OB06G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNSSKLTMPSPSLSTRRIMSRHSATAGRARPSEPNTVPSSSAEMSPSLLPSNTSNASLRSLSSSSASSPSSAASSA >OB06G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2650357:2650747:1 gene:OB06G14430 transcript:OB06G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLHARRRRRRRRLLEAAAAASPPSTTWVRCTDRSLAPERCAARRPRWRSRTRRRSPAAAPTRGCSTTAASSPPSSASARSAAPTPPRSRRAPVTSHPVCSW >OB06G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2662875:2667433:-1 gene:OB06G14440 transcript:OB06G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) TAIR;Acc:AT5G20250] MPVTNPIRSIAAANPMARTLLSSSAALLLLPRRGSIYTRAFPLASSSPPSPLRLRRLRSLHGSRRWQRSRCSVGAASSSPALRNLPSWSNKRREGSEEMTVTSSVKVAGGELSVHGRTVLSGVPEVVRASSAAAAGPVDGVFLGADFAEPAARHVVSLGALSGLRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAVDGCVGEDAYVVFLPLVEGAFRASLQGGGAGGDELQLCVESGDADTRAVSFDRALFVGAAESDPFAAIAGAVAAAKSCLKTFRLRSEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLTAGGAPPKFVIIDDGWQSVGTDHQNPDDTGTDAKDRQPPLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCVLETLGAGHGGRVSLTRQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNTFHQTGSEALSCGVKGSDVHLIADAATDPEWNGDCAVYRHASGDLVLLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGIKSLSNGSPSTLPELQSLSSQAVGLVCMEVRGCGRFGAYSSVRPRKCMLASAEVEFTYDTSSGLVMLDLGTMPKERVHKIVIEL >OB06G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2668683:2669770:1 gene:OB06G14450 transcript:OB06G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVKEGIEHLKRTKNSNPSSWRGNGVHAARNTVADVEGACVSAAHTGTSSTQAVDDRDNYSPPISISPIGGWWPSTREGLYPQGGFTNYLQSSHGNNLEENFHFVGNIMRQSTMSPNDLCSKGTPSPVANNPVHIIDTEEKEAIDVDDDTLQGSRTHKRLNWSREEDTRLASAWLHNSKDPIDGTSRKIDQY >OB06G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2677194:2682586:1 gene:OB06G14460 transcript:OB06G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDRAAAYARLLHLQRDCADDPSAAADLAAASPSVLLPILLRDAADRDEAVAASALKCLGFTLYHPVLVSTVSGQMAQLLLHTLIQLILTTQMKAICNLGVWCISVQQLEAVILDDTATSLLTAIVHAIDNPFCSLSTTFEAAQAIIKLTCQNPERMRELSSIWVPPIYRRLLSTDKGERDMAERCLLRVSSVVLPPQSPLSKAVASDLEHSLLSRMLNMLNDPVKKVQAVKSWGWYISLLGLHAVDNRPLLNKILKVPEQMFIDPDTQVQISTVVAWRNLVNAFFPPLASETPVPETKISPIESRADANAQLKNIRLIMMPLSRILSRSRNIALSSSCLSTWHHLLYKLGDLINHLPVLEAAFGPILKIIFSIGPDIKNKPLYSFCVNLFHGFISAKVQNMTSNEENLPIPLNKNLLSQSCIYLKGLMDGQRIRWLPWDLSCFDFHLEILGSIANPELLHNMTLEIVVTVMDSATQIFRLLVQGVQLDWKANCSYDNALKCIAKVCKFVKVFMDLVGKQNSNNCSVLLQFGFQFVKVILEELDHCLLSSGTYLIGLDIEHIKEMQYAECSPNLSCLGIKSQSYMDMVSPAIYMIALTLSVVAEFTGELSHGDAEQLAMVICLANFQDNFHAVVSIMYKQIMHLTDNRLRVRWLMVWNKIAKHLGEQTMPHLKFICGISAQDVLYQFFCYPFFAFLMHGKKSTLCDAESSSVSYLSLTHDLEVEVTIEVFKSICANSNHGPEAAHKVFLERFCGFVSSIIDENISMFQANLEYCSDKKFKNIAFLSALGELVSGLLESGHILNHANKELTETSEEPAVSGQPSLVLSCLKLISRFMGLSTVVVKANPNSQHQIMSRVFSSLSTFAGHLLLKEDILLFFEIIGEQLTEWLFLSSTLYGEMLQGEIIDQLERLWFKIITCLKMSKLINDSSFLQKQLVLLQAALNHSHRPISVATTSI >OB06G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2684046:2689262:1 gene:OB06G14470 transcript:OB06G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAPPPAAMAVDDAEDDQLASMSTEDIVRATRLLDNEIRVHKDELQRSNLDLESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >OB06G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2690978:2692732:-1 gene:OB06G14480 transcript:OB06G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVIEGWTASEIEEARSLITSPNSGGSNSSGGNGDKKHGSIVSELHEWFPWKTMTEVIDFYLKLTVETPAIIHSLNNSVVDNSMSNIDLALANENLRRLEKEETMLNNEGLLFDYPLEEMEMGNQIDQNIEMVVEKEVEVQAEAASVIKEKVVEVSKILTSRQRVVPSMKRRVVWTEEEHRLFLQGLRVFGRGDWKSISKHLVTTRTAVQVSSHAQKYFLKMEAK >OB06G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2696987:2698165:-1 gene:OB06G14490 transcript:OB06G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVGTQWTEAEVREARTIVSMMTGAAYYEAGSSNGNGNNDSSTRHDRIVSELQSWFPWRTVCQPADQPQDNDGAGAVVNATPPEDQAAMNVVDLGMNNGGAGVVVGGGPMEEMVVQAPPPAPAPVHVVNQGPGRQYAAPNTIWTIDEHRLFLRGLRVYRCGDWDNISNHFVTTRSPVQVASHARRYSRRLRMAPSEQRGGVRDHVDLFDVGEPAIMHNGSSGAAMNGAGNDAAPVWAPLLHSPQIQQQMMQLQAQAQAQQRWDAPQTATAGDGDGWCSCSSGGGSG >OB06G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2703327:2705610:-1 gene:OB06G14500 transcript:OB06G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMLGMDQCQWTPAELEAARSIVARLNNGYYDVGSGNGNGNGNGTRHDRIVNELQAWFPWRNVRQVIDLYVDLVVEMSSQAGGGPAQDGGAGAVVNPSFDLVNDNFGMPPELAAMNVDASMNFGGASMNYGDAGMNYGGTEMNYGDAGMNLGGAGMVFGGVPMEETVEQTPAPVQAQVFNGNYGEEVNQGGGGRQQAAPNNGRFWTTTEHKLFLLGLLECGRGNWKKISSQYVRSRTPVQVSSHAQKFFRRMENTTGKQRYSINDVGLYDAEPSWGAGAAAAAAAVDNNNFAGWQALAFAGGHLEPASGGAGAGHIAPATSSSSVAAMNNVAQFWAPLLYNPQMQQQMVDMQMQQQMVDTQMQNQQNWNDQQMMMGGIAPMEGAADHDNFVPAGADYQQQELGAAYDAPAEQWMMNNNMF >OB06G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2709364:2711753:1 gene:OB06G14510 transcript:OB06G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLDLATAMASAGLLLRGPAAPGAVSLRGRSGKGGGLAFSAAPSSNGAAVPSSLNDSEKKGPVVMEIPLDRIRRPLMRTRANDPAKVQELMDSIRVIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >OB06G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2712319:2721718:1 gene:OB06G14520 transcript:OB06G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLEGKNHTAQGSGFRTRADSKNARAEETGMAAEAEAKEGRWEAEPEVMEVEMGVGGAMEDGEIEGGVDQEEEEEEDKAEGNGDGRMAEEDGVGDRKVWRKRKNKQQQQEQQPRTPIVAESRASWRGKVGKGIGRGFHHRPWILQQYMLNRFNRPGVYGGAIIICNHMLKREFFQKKLFGLPGYATSFIKKIRAGMLLFVFEHEERKLYGVFEANSDGSLNNLTNPFTSLRKPRPAQVHFRRVWFCKPLVEIEFSSAIKGNCLQPQMSFFGISYQQVLNLVDLFASKRIGLQPYQKPKSRVIWDYKISLAHPGREFSPHTRNMTSSRSPPIFCKNRFSFPHSCFMQTKQNAKHGACKYESPLYVPLKSVIFKAPDVKGESLEPNADYIPLELDDCKSDSDADLSDGLETIGFYSTLEGCISLENQDLKPFNGNFIGDGGHNSHVLIPGLNSECGTGRNSVLSHIMKERQSILQARGGKRCKRKAILEFDEHSSPRRCTMKKVSFSFSGEEISVTSEKSLHRPEFMELDSRREASTEEGKPEVGCLVQKTWSKGEDVSAKVKLMSLSLPEGIADHVHSCSSNSRSLVTQTGGTVKLVSCEYDLPCFMQDKLLMYRSGNGRILMNFWMGKAQSKEGLTYDGAIFLCNRLTRKECFEKKLFGLSAHCADFIQTVKVGATLFLYDVDQHKLHGVFEATSDGSMNIIPDAFVSSGKSYPCQIRFKRIWFCKPLMESEYHDAMQTKFMPKNKFRNGLSHQQVLKLLHLFSSRNRLQPRQNQNLQDDLPRESEMSSLVNLTDIQSSSNSSSHGSFKSPCQTCSSSTHGERAATLSHKLSDLMPLIHRGLKPDTVGVVKSKDSSKFSLHIGTNTDIVTVPVRQEAMDDKSSDDYIPLPQEENALESIDDLSDLHEDESYSSGSQGTSDSQEHSTFHQAYARKEDGCYPPVVNSKLHADHEERTSVFSRLMGKSKNFGPRKKFKAKAFPSMNAVSFSHLPQRKKQWRKQHSKPFPCDRDGILGTNQDNKMSRNPALDYSFVWDDSKSTNSFGGKPSKILTGLGPSLCEHGNKWDICTKEHSRCSEFKRLVIPEAIRKLIMPCDKEMNVPPVFPDDNEVNTEQEVNDSSLDLKWHVKDDQDFGDDSENVEATRKKRRVADAFFSQEEYRSDTALVPKGTKYTDMLAISDENCKDKSVCLSSRDTCAEMARAYMQTNVVLQDEQQGNIQDHCEEAAVNLKTCLNVETKSQVACVNLETRRPFQDTQNQSVGSCHGVINGDKILPLENSETMDVLPNHDEDCLSKSTFVGNDKHFASNHLEAKLPLQEKQSPSVQSFCEVLHSDDMLIQEEPDDMLSKIDADGGKQKRVSFDEAYSNVKACSLETHVSLQETHQMASDRCEIVNVDQVLALENSVTLVIPSKCDGECGMNSLSLDENGGYVTSYTVPLGKGRHEGIQSCDEPVTCNTMSSPENSMALHTVESIHDENGNKGKSSATCRSLGSDYMEEAHQLVTNCCEVSAAVPESSGTLINFAKFYGDSVNKNNLLDETSENVSTDHQETSMLPRDEQNHSSGDISSALEYSGSGTMDRNTGDGDSEHKKFDQKDGETIYPVTGVLLQAEQHQKLQGKPESSSHENSNSDSFAVLAEDSRSKSGLSADRMATDLETNSESRTSFFNSSSCECGENLSASASSSENAQQKLNGSAVSAEVARLQHDPGE >OB06G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2722097:2724773:-1 gene:OB06G14530 transcript:OB06G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLQGGCLRGLVTGRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRNVMIDNIDKVLERGDRLDLLVDKTANMQGNTIRFKRQARRFRNTVWWRNVKLTYVILL >OB06G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2726929:2727411:1 gene:OB06G14540 transcript:OB06G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSASSSSSSSVEIIDADAFSADPPPLPRPVAAERIASSLRTQQAVDALCEKYRVPGEFAARPAGDLRACSTPPPGAVCVYKDALEAGMRVPLRPFACEVLGHFGLAPSEVAPNGWRIVAGFLVLCHHAGVPPSLAVFRHYFVFSLFFHYFGSVLLAIKN >OB06G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2727841:2730125:-1 gene:OB06G14550 transcript:OB06G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDVFWKIYFMLLEADLTEHNSEEDENLRGSVHHQVNEIESDAAPNVCEIESVKSTQEGYQSPDGRTLLKTRSDQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHVVVMDKYMDSLLSDRRNLHYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDN >OB06G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2737861:2743103:-1 gene:OB06G14560 transcript:OB06G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTQPSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPRTRDLETGDLLDHLPALQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDVYKRATKQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLQTMEEYVTEAPTVAQKDKVLAIEYKKEAEDEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPIEEPDLLGLNEPNPAAAEIEEKNALALAIVPIDDAPKAAPAQNGVTGWELALVATPSSNETAITSSKKLAGGLDLLTLDSLYDDANRRASQPTSYNPWEVNPGAGAPMMQQPMMNDPFYASSGYAAPHNVQMAAMAQQQQAFMLQQQMMMAAAAAAAVPPPQVHHHQQQQHLQANPANPFGNPFAPAAVHPYGAAAAGAGNGYMGLI >OB06G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2750427:2754393:1 gene:OB06G14570 transcript:OB06G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cystathionine beta-lyase [Source:Projected from Arabidopsis thaliana (AT3G57050) TAIR;Acc:AT3G57050] MSATAAAAAAANPTALGRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGDPERDLSASAVGADTLGSVDASDFDVEMKEPSVATILTSFDNSFDEFGAMSTPLYQTATFKQPSATDNGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLVKSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRIDTTKISEVASAIGPLTKLVWLESPTNPRLQITDIKKIAEIAHYHGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPGHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSSIREERGLTDDLIRISVGIEGADDLIADLDHALRSGPVQRSRE >OB06G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2755157:2756996:1 gene:OB06G14580 transcript:OB06G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLAPIVGTYEYAMYYKEQEKLSHRY >OB06G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2758485:2763212:-1 gene:OB06G14590 transcript:OB06G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAGDQPGGVRCAVLVPAWLAALRFGQPDYMWLAPITILRSSRTVPSPAYICAPPWWISGQRRLAGPRPAAGGLGGIPAATATDLRVCPGAVEPAVASGGIGVGTGGVFCWRLTRRRLEIILREINMYSVLSTYIGSTHCVLYLNITVGPTLALIKAEVGPTLALIKAEVRWSARRGNVSEKDRVLNTVKGILNKLTPENFNLLKGQLMEVGLTTADILKDVISLVFKKVVFEPTFCPMYVQLCSDLNEKLPSFPQEEPDIKVITFKRVLFNNCQEASEGAEGLRAEIAKFTG >OB06G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2764427:2764621:1 gene:OB06G14600 transcript:OB06G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARKRRDHRGERRESVAEQSTAERNRECNAKQPEIDDRDQPFKRATCQGPPQATRNVPHRPV >OB06G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2766074:2769052:-1 gene:OB06G14610 transcript:OB06G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGCSHRREREVASRLGVASCCLVVAVTVVGAAVLAGFLSVSGGAGVLLVASPSSSPPARRLSTGLAKERAGTTVRTPPRVERELDAARAAIRRAARRRRCHGGHVGAGEGSNVSSANWLRFFGDVDDYARLERVYRNPAAFYRSYVEMEKRFKVYVYEEGEPPVVHEGPCKNIYAVEGRFIEELELMAAAAPRADSGGGGVRTWDPARAHAFFLPFSVSQMGPHASRGHPELYANAIRALCNANTSEGFRPPKDVSIPEINLYDGHTPPELLASPAPSSSSPSSRPFLAFFAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPTTRTCGGAGSACARAGTRWRARAWWRRSTPSACRWWSPTGTRCRSPTCCGGRRSPWRWASPTCRGCGRCWSGYRRRRGSASATACGW >OB06G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2770477:2772189:-1 gene:OB06G14620 transcript:OB06G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELQSARDEFKMAESDCGSARVQVTMHLSHVPGLGNVLLSVEYVIKLCNMHVAFKTLDPTCIPAIDAHLCVFSAQLTTKIKHLSATLHKKDRQSRKGLQEMVQRRKKYLKYLRRTDWDSYCVVLSKLVLRNVPEYKPPDYKGVIVNNM >OB06G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2773018:2777244:1 gene:OB06G14630 transcript:OB06G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MBR9] MAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKNSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLRGNGTAATGGSDKKKLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEDKGVVIRFVIGRSANRGDSLDRNIDEENHRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGAVVSEEGQQWYEPEWWKFGDSKTYFRHASGALFILSNNLARYININSASLQSYAHDDISVGSWMMGLNSTYVDDDRLCCGSSRQEKVCSQA >OB06G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2780664:2781865:1 gene:OB06G14640 transcript:OB06G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQMVQDLAASDLGAPPSRYVLREKDRPAAAGATQAQPELAAIPTVDVARLADPGDVGVGEAAGLRSALQSWGLFAVTGHGMPEPFLDEVLAATREFFHLPPEEKERYSNVVDVDGGAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAPPPALRPLLEEYTLRSEQVFRRVLAATARALGFDEEFFSDKVGERVATYARFTYYPPCPQPELVYGLKPHTDNSVLTVLLLDKNVGGLQLFKDGRWLDIPVLTHELLVVAGDEIEIMSNGVFMAPVHRVVTSDRERMSVVMFYQPEPHKDLAPSEELVGEERPALYTKLKAKDFGDGFWDAFAAGERTIDFLKVKVEQQKPEAAAVSS >OB06G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2786537:2788240:1 gene:OB06G14650 transcript:OB06G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHQWKIVKIPPIVQELAGEVHEPPSQYVVREQDRPSVAAVSGMPEPVPIVDLSRLSASDDEDRAGELARLRSAVEDWGLFLAVGHGIDPSFLGEVINVTRGFYELPLEEKQKYSNLVNGKEFRIEGYGDDMVVSEEQILDWCDRFYLIVEPESRIAHSLWPTQPPSFRHLSNLVQVSCCLNFSCFFLVRDVVREHTVRCREIAGLVLAKMAGLLGLQEGYFAGMLDENAMTYARFNYYPRCPRPEQVLGLKPHSDASVITVASMDDSVSGLQVLRQGVWYDVPIVPNALLINVGDGIEIMSNGAFKSPVHRVVTNAERDRVSLAMFYTLDPEKELEPAPELVDDERRPRRYAKTKTKDYVTGLFETFARGTRVIDTVRISE >OB06G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2795833:2796549:1 gene:OB06G14660 transcript:OB06G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIFRYKGVEMTRCQPKIRSSTGLIGCISWSSQRVIEIWPFGQHIPIPKSFRDVLHEYTLKIKTIKNNILVALDKLLELDEDCLINQFSHKAVTTARFNRYSPCPRPDVVLGLKPHSDLFVLTVLLMDKDVSGLQILRDGTWYSVPTAQDCSLLVNIGVTLEVITHT >OB06G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2807286:2811739:1 gene:OB06G14670 transcript:OB06G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSELGTEVFVPVAAAVGIAFAVVQWVLVARVKLSEDAAGASGGGKNGGYGDYLIEEEEGLNDHNVVVKCREIQSAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGFLGMKIATFANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLGHIRPVAQHPHQAHGRRVPCVCAFLCHTRWSAVQVPLRTQYQWQRYYDERSTDITNNICQLLVCC >OB06G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2812589:2815115:-1 gene:OB06G14680 transcript:OB06G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFTQGCLPMHRWDELNAFFQKSGARIVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTASKGYKIHGWELGNELSGTGVGTKVGADQYAADVIALKSVVDNVYQGSPSKPLVLAPGGFFDAGWFTELIIKTKPNLLNVVTHHIYNLGPGVDTHLIEKILNPTYLDGMISTFSNLQGILNSAGTSAVAWVGESGGAYNSGHHLVTDSFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNKETFQPNPDYYSALLWHRLMGTKVLSTTFSGTNMIRAYAHCARDSPGITLLLINLSGNSTNQVTVTSEGVHANAVKKHSRKTKHLPGYVQAAGSMREEYHLTPKDGSLQSQVMLLNGRALVTDANGGIPRLEPVKVDAAQPIAVAPYSIVFAHIPNFSAPACS >OB06G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2816055:2816261:-1 gene:OB06G14690 transcript:OB06G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQARQHVGFLPRKPFWFLPLLPSLLHHCTTTLFFPFFFYSVLVLISCPGQNLTKLTSSLTANRRRKN >OB06G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2820644:2820883:1 gene:OB06G14700 transcript:OB06G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRHVSKPMLTNSSGGGKKRTRPTNGAATYKAAAPAKAAIAGCFANPDTYTYSDDDDDGDGGDDMRWESMFQDLKPT >OB06G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2821334:2825174:-1 gene:OB06G14710 transcript:OB06G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAVKAGSRPPWVGLGAAVWVQVAGGASSTFALYSHALKVALGADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLVGAAACLLGYGSTWLAVSASGPALPYWLGIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTVIYTGVLHDSAANFLLFLTLGVPVVCLVTMYFVRPCEPSLVENSSEKVHFLFTQLSSVLLGAYLVAATILDHFVTLTDALNYVLLVIMVLILFVPLTVPLKMTLFPSNRRKGQSDSSDCSSSADHGHTEPLLPSSSASNLSNIEDDDSMDIDILLAEGEGAIKQKRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVVDTTISLSLFSFGNFFGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIIIYLLFALGHHATLHVSVALLGICYGAQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGYVYDKEVERQHATTMDTDIACHGPNCFRLTFCVLAGVASLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSAH >OB06G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2833226:2834491:-1 gene:OB06G14720 transcript:OB06G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFVGTNWTPALTSSDTEGIMISSISGGRLMWPPAVELGSRAVEPPSPEKKDSTGCFIAEKPGTTVSIFHGAFTANEIGFATYCIGPVLRFDTMPHTAANSCFTYSQPADGFSSPPPPPPPXXXXXXXXXXXXXXXXXXXGVIGRLRHEQVVQQLEEVRVLGDVDRREVVHHLEGAELLHEAGDHPPQQLAGLIRRRALRLEELAEEEERHLVLEHEHVAEDEVVRRLPPGEVDEGRHPRRHPPQRRLAAAAVLRRLGSGEDLQEFEQECAVDDHHPRQRLAAEVEVPVVVRPHLALQPRELLDELLELDAGAEGPLRAARRGELVPLHLVQLRPPVVVRPQRNELRHVRLRLVHLQLLGHVEHGHRDAVVVVVLAAVLLEGVPDLDHPPELVEHQRRALPAAGMVIGASHCRSTRARAS >OB06G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2833256:2835002:1 gene:OB06G14730 transcript:OB06G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDHHPGGRQGAPLVFDELRWVIQIRHSLQEDGGEDDDDNGIPVSVFNVPKQLQVHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRPLRAGVKLEQLVEQFARLERKVRAYYHRYLDFSGETLAWMMVVDGAFLLEFLQIFAAAEASEDGGGGKPALRRVSSRMAPLVDFAGRKSAHNLILRDMLMLENQMPLFLLRKLLEPQCSSADEAGELLGRMVTGLMKELCPFKMMDNFPAIDVAKHAHLLELLYYLLVPKPADDSXXXXXXXXXXXXXXXXXXXGGGGGGGDEKPSAGCEYVKQLFAAVWGIVSNLKTGPMQYVAKPISFAVKAPWKMLTVVPGFSAMKHPVESFFSGDGGSTARDPSSTAGGHISRPPLIEEIMIPSVSELVNAGVQFVPTNGDISSVSFDAKTATFHLPVVTLDSNTEVVLRNLVAYEASAASGPLVLTRYTELMNGIIDTDEDVALLRGRGVVLNRMKSDGEVARLWNGMSRSVRLTRVAFMDAAVEEANRYHDARWRVKTKRFMRKYVFSSWQLLTFLAAIVMLLLTTLQAFCSVYTCSRWFGAVTVAPPA >OB06G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2838190:2838579:-1 gene:OB06G14740 transcript:OB06G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLPLVAAVLVLFLMAATVQSIRLDAESHSAFSNQIVNNTSGDKVAVAKTDGEPSGEMEKTISEEKNRVGHELPEIHVDYYGPRGHNPRHH >OB06G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2839261:2844333:-1 gene:OB06G14750 transcript:OB06G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEGTERLGFGERGGDRNGNTDELPSPRMERVCENTVASDFKQNKSGNFVPNIRSGDWSDIGGRQYMEDAHVCIADLAKNFGYQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRVIVEDADFPVELEKVVRRSFVQTDSQFAETTLSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGCPGGPLSAEPELKVVTLTKDDEFLIIGSDGIWDVFSNQNAVDFARRRLQEHNDAKSCCKEIVEEAIRRGATDNLTAVLVSFHLDAPPQIRVSRPGRVARSISAEGLNSLRTLLGSQNQ >OB06G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2848828:2852515:-1 gene:OB06G14760 transcript:OB06G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDSLDLRSNSINGRLPLDICNMENLEELYLNDNFLFGESPPCIGNLTSLRILDLSNNLLTLRFPFLSFANLKSLTKLSLSKNNLEGVLSLSSFSNNSQLKSLDLSGNSKRFHVQTESPITNLSAQLHVLVLPNCHLNGKSSIVPSFLLYQHALRIIDISNNNLRGYFPSWLIENNINLSFLYLRGNSFRGPLALPLKVHNTLLWLDASCNRLRNLPMDINSTFPNLFHLNLSRNNFHGFYPSAFRYMSSLSLLDFSYNNITDNIGAALVGAMSHISVLILSGNSFYGSFPRHLILPFINHLVLNDNNITGNIPENFCQSLQLTVLDVSSNKLTGSLPNCLFELSDLAVLNLRENYLVGSIPSGFCHLIQLVFLDVSRNNLSGPLQCLPNLQYLHLSENRLNGTFPIPLPSGTDTCTIDLRGNQFSGIIPSVISKAFTGLKVLLLGGNMFEGVIPNDVCHLRNLRLLDLSSNKFSGKIPSCLSRMGLDDDLNYFEYTDENSTSLVTKYFPGEDDVFDASEGIDFVYELDQEVFTTKSRQGYYMGNILNYMSGLDFSSNQLEGNIPENIGRMQWLRALNLSNNLFSGPIPKSLSNLSNLESLDLSHNSLGGQIPPELQALQSLEVFSVAYNNLSGPTLGTKDQFITFGQSSYEGNPYLCGPPLQKSCSAMPTQSIPQHEQDDDGDDQAGDVVLICGSALFYVIGLWTSLAVLYFKRTWRDALFLAVDRFSDLLMVRLSILSKRIGSTN >OB06G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2854571:2857015:-1 gene:OB06G14770 transcript:OB06G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13100) TAIR;Acc:AT2G13100] MVASGATSAALGAAYFLDVHSLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASSRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAFAIAALGVVVLVFLIAHPMDAGLDLQAMEVEMNGGSGEEVELLGEDKKEGEGDELEVEALSELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDKIGARAVTSALFLFLSIPALILYRTYGSISMNHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKINSRH >OB06G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2862339:2865686:-1 gene:OB06G14780 transcript:OB06G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGSSRGTLQLLLIALSAAYLTYSALLSSRSLLPLPTASFPGATATAASSSRRLASGARRRAFHTAVTASGSVYNTWQCRVMYYWFKRAREAPGGAEMGGVTRILHSGRPDAFVDEIPTFVADPLPAGTDQGYVVLNRPWAFVQWLQKADIHEEYILMAEPDHIIVKPIPNLSRDGHSAAFPFFYIEPKKYENVLRKFFPEREGPITKIDPIGNSPVIARKESLAKIAPTWMNISIAMKKDPETDKAFGWVLEMYAYAVASALHGVSSILHKEFMIQPPWDLEIGDAFIIHYTYGCDYDMKGKLTYGKVGEWRFDKRSYDSKPPPRNLPLPPNGVPQSVVTLVKMVNEATANIPDWDSYAS >OB06G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2870000:2870901:-1 gene:OB06G14790 transcript:OB06G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAAMLPGVECARRRRLRQGAGAGAETTAAAAVAAGGGGGGARRSSSLCVHAAGRGGHPCGAAGSHSGKQRSSVMELIHGGSLDSNAREAKERLDQKLRNQREAVIKRHHSTGSIKLNRARCGGGGGGGGGGGLVLRRLLLVRVGDVDGGLRHAADGEGEDAGAAAAGVPCAWRSSPPATSWRTSPAATASTGAAPCPGSRPAPPPAPAPSAAPPSTRRCLPSC >OB06G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2886620:2891378:1 gene:OB06G14800 transcript:OB06G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEYEKLAASAPDGSAKLRVFLFPASGSDAAPASGSPLAAAVDESGQRYIDAINCVSGESVAAVRRKESVASAGSSAHNSEASEYGALRVRRVEGMSPQAVPPPSLPPEYLYSGGNKYHAAFPESLGFSAVTPSAPAMGIPAQNPVMIRTEPLPPQPHQVAPYAPSHQPPQVASYAQHQQPQVASYAQQQQQPASYIPQMPQFREPQQVQYINAQQLGVHGVPQSVNFVPVQVSQYVPSIPVTNSVSTSAPPSSTLKPVSAVVEPVLDNVHNTRPMQATGDQNYRVLQPLSQLPPLPPVHLQVSDAHRYGVQTVVTSTMSTPIVTSSGTIPVVISSATMPAVRYDDCTMCLKALPHAHSDNMIQEQGNPRAMNNPDAAPVFYSLHQENVTKQHTPGATAGTPTNYIVEARSEVTSGMGQMEPNFAANNHVAQPTSFPDASVLVQNPRVTSRLAFAGNPPQLRTEDPIIYQHQQQNSYSMQPSQVPVNGFISNPQGIDASAFKNTNNQVPDPFREYGNDLPHDYVRAINAQMQGVHLGPIAPPESSVQGKPASPHGAISDGKLEKPSHVNIDSGSIYKSQAGGYHMGITNAFSAPAEENLVRHAEQSSSAFDSQSLHSDIGQQLNVLQNVPVSNNLGVPAKPHVSNERFLARPASAGLQVPVEHSPLRPSEMLNHVVSAPPNGNGQFPLQVTSGIDNVEATHDPAYTDSLFSNQDPWNAVGNASVALPRPNKLAKEPVVSGVPYVEGHGLVISSSNAATLLEEGNLPLIQDRTFKDIYPEPSQMTKGFGEETIKRQLQAVAEDVAASVLQSPFPEKPAVFSGYHTDKHGAVIDPKLEDAVSNQSEKTSQGVKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPEACDPRWRSLMEQCWSSEPSERPSFTEVGKRLRAMATPTTKAQPQK >OB06G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2894686:2900846:1 gene:OB06G14810 transcript:OB06G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTAEDASLVLDHVAVLRGLWGDRAAAEERVRELLAAEWAAIGQSRLEEAAERIVGDGAIETWSAADEVTRAKYCVLAGEQRACEIQCKLGGPVFQGNQISTPEVHKVVDSLKSSCANLHSVVEDPLPAAKAVADEVLAARMDKAADLNAGEVSNQPVACDIAGPSAPTDNREAPKKGASPSLMDWNPTARTFLWEDSPEPEGSRSPIHRPHLPSPRRTTVSPLQPADNKAKRRKARKWCALEEETLRKGVEQYGNGNWKDILTNNADVFIGRKAEGHHIGLLRGLLDSGGGGRARPIRYAVLGAGFAGLSVAWHLLKHSSKDSRVRVDIYDENGVGGGASGVSGGLLHPYSPKGFPLHHHKHCKCRNILLQLKLLWRGGEFWKECMDLLRCAEQANGSDGASEDETLIWRRQFLCSLYTCLWIYITLLFKGNAQSCLQSCSLEVLDSDEAQCLVPGLRVPLNFAVYMPLALNINPKKYLQALFTACQNFSDEVSLSSSKWKECKLYKEHINDLQQLSGDYDSVIICLGGKASSLPELTNKLPLRTCRGVIAGFKLPSDTVEIYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSTAVSNDEALTAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNNVVGKRSNCNFWLVGGLGARGLLYHGLAGKLTAKAAISCDESLIPSEFTCWKKP >OB06G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2901204:2904347:-1 gene:OB06G14820 transcript:OB06G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3MBT8] MASSISLEDVRDGAVDLSKMPVEEVFATLQCDRKGLTGAEGESRLRLFGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESMPVNKHPGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIVSIAAGMLVEVLVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVDKRTAITYVDGNGDWHRVSKGAPEQIIDLCNMAADAEKKIHALIDSYADRGLRSLGVSYQQVPEKNKDSAGEPWQFIGLLPLAPPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTTLLGDKNSQVNGLPIDELIERADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAGDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIAIIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFVTGVVLGTYMALVTVLFFYLAHDTDFFTEVLGARSIRGSDRELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQLVATAIAVYANWDFCRMQGIGWGWAAAVWEFSLVTYLPLDVLKFIIRYFLSSKGLDNVQNKTASTNKNYGKGEREAQWAVEQRELHGLTQPAAAAASDLLAEQAAVAEYIAASCDLARRRLSRVKGQVESVAKLKGLDVEMIQQSHTV >OB06G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2908177:2909076:-1 gene:OB06G14830 transcript:OB06G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFLIKKKLGKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OB06G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2913422:2915494:-1 gene:OB06G14840 transcript:OB06G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPADLKPKKKENQLQERVADLGDPGPPVALPHAAIPLLRPCHWRRRNLLVARRDETRRDRAKIEDMEKSSWLAPSMGPWVSPWEEQGLHLGKSMQDGTESSPKDKNLQIYAIAAAAAPAPAEASSLMHCLCLLSCLPACAAQLCVCASSELCKIV >OB06G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2915360:2915933:1 gene:OB06G14850 transcript:OB06G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPHDAADAPPPTHAASSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSEWSGGFLEEQYVDQMIEELLDSNFSMEISC >OB06G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2917515:2917793:1 gene:OB06G14860 transcript:OB06G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTKSEGERREKPEKEERGLTRPTLSPLGSWRRPNAGEDRPRPDAGEVYLDAPPWGRGRCRALQTGGEWKELMRTGGGRGREGERVVREI >OB06G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2925262:2925774:1 gene:OB06G14870 transcript:OB06G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAIDGEKALQVRRLRWKFRGSERLDLPRGRVRVSWDLHGWLFASDAAAVFVLRFETADGAANPSKIDVGDDAGMHVLRQSSFNRNHHHGGGGGGESWCSSDSDRRGWRRGPFRSGSDSSPTVSVASTSAASSAGSVATVAHWATAEEAAMNDGGGFSLVVHLWKKKKR >OB06G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2929064:2931275:1 gene:OB06G14880 transcript:OB06G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATELCHLKIWKSDALAGCLGCACTAASIWELARSSCRGGASSSASASAASSMTMSTSRSSAGGSSSGANCLSLDGYYMAISEERTVRSGQISSVTADYIDLKSVSILNKIASFMIEAGHEQMLRAAFDRHSEHLVRYIEILDIDNILGNHMEESTELVLKVWTSTMRIVFRALSEMQRQLNQNDFEIFSSLKEDYFSAIGKVSVMKLLNYAKVLCIKVSPSNDPSCKDTNAAVKHDISKMVNVLTMFQSLDDVKLEILDLFSGQTKDLILAEIERLTNELSANFLEHLVELNGLLRSQQLAISNTGVHSIARHIMDLIRLLLQQKNTVHVMLNGDPDKFGHMVTHLITSLEFLLDTTSRSLALQGQQQLFLLNNMNFILEQASRCTDLKLILGESWCSQQHGQLVQLMAGYLEASWTPAMSPSPFGGARNPVILLSPQMFSKFTSHFEMTYNVQKTWKVSDPLVRQKLREAITQKVIPLYRMCLESYSEKKQQKSARLDVEHLKAQLLEIFEG >OB06G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2943436:2962696:-1 gene:OB06G14890 transcript:OB06G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MLMSGQQAGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVSPEIARLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVTALRNTRGLTWPSAFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPEPLSKLDDRAVDVVMAKLFSNYRNWCNFLSRKNSLKNPSGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWSNYDDLNEYFWTSDCFSLGWPMRDDGDFFKSVHDSRPAGSSSRKGSSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSVFVTASFLQFLQSILDFVLNFPGHHKCQFIDAMRNILKIIVSAAWAVILPFFYISTAAKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSNWRIVRLLLWWSQKRIYVGRGMHETSVSLFKYTLFWILLLCSKFAFSYFVQIKPLIKPTKDIMSVHNIRYEWHEFFPNASYNIGAIMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKRRNRRFSLAKRFAEVSPNKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLIVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCTKFVELVSALKERDASKFDSVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDIPRAPRVRKMLSFSVMTPYYSEETVYSRSDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHESHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFVIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELMLLLVVYQLYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWTKWISSRGGIGVPANKAWESWWEEEQEHLLSTGLFGRFWEVILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGIFIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >OB06G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2965550:2968972:1 gene:OB06G14900 transcript:OB06G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58200) TAIR;Acc:AT5G58200] MERESVCVCVCVCVCVCVCVCHNDWTLEEDSRALHFLQPDLVLFTGDYGNENVQLVKSISELQLPKAAILGNHDCWHTYQFSEKREFNINALRFDDIIVYLAFRKVDHVRLQLESLGEQHVGYKCLDFPTIKLSIVGGRPFSCGGNRLFRPKLLSKWLWFTVSKNQITRYGVNDMAESAKKIYDAAANAPEEHAVIVLAHNGPTGLGSRMDDICGRDWVAGGGDHGDPDLEQAISDLHREAGVSIPLVVFGHMHKSLAYGRGLRKMIAFGGNQTIYLNGAVVPRVKHAQSSRPATEGAGLMVPTSRAFTIVDLFEGAVEKISEVWVTE >OB06G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2970966:2971691:1 gene:OB06G14910 transcript:OB06G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEQRNFEKYITFYFSLEGTTKALSTNATGLPHPETIVNCEIRTKSLQIFILFSLFFPFKTRNFCYHACKNRRELTTPGVTGGRWAAAAARPVVAVPQKAKHTAARRTERFPNPRAPPESNPSPKPRSPLPPPPPRRSCTCTCGRGVRSEYDDRRVGRGSCGGRPFRGGAAVLHGLCSRRRPDGRSLLCPALPCHRWVLVLLGWPSRAGGGGSPAVSPRRRRDQVIGAPLVVSLPPAQIF >OB06G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2971899:2978778:1 gene:OB06G14920 transcript:OB06G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGQYHSKPTEETVWNGPSNSAVVTDAQSEFDNEDIARAISLSLLEEEQRKAKAIEKDMHLEEDEQLARAIQESLNVESPPRIRENGNANGGNMYQPMPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACSQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLEDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIMAGSGSNGASTSSSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >OB06G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:2994850:3000377:1 gene:OB06G14930 transcript:OB06G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:J3MBU9] MLLGAVRTEERRGLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRERNNLDISKDCNKPPTADTEHGPNQPTCGSSDQNERVSKKRKEVHSEEDEEGDDNDFQENDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQPFAPSTALPSFNPHGLLSRTSAAAAFGLQELAASNTIQAVPGNVTISHCLEENQQTNLAQGLTATLGQPQLQQNWIHQENNGLSDVFSGSALTNTLSTTLQRVPSSSLPPQELLECKQANVSMQPSIRIPTSSSGLLERTLGVSPNLGDSSISQQGALPIDGGFSADRLPLHNSFDGTFATKLDTSLAASHREIGQQGKFSVSMLVCPSDNLTLAKNAKTGDSSSGSTIMLPLDTARHSDYLQFGGSSNSMQKMDAQKQDHIQSSSNIWSSIPSPQVPADTQIYNTQNEKLNSGSFNQNVGVHLADQANASVSIVRQMKFDTRASEDKLKQKNTYDFGNSKLQGGFNSNSCNFDGLLNSIIKVEKDDLPFMDNDLGCDLFPLGACI >OB06G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3000438:3008364:-1 gene:OB06G14940 transcript:OB06G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAEEASKWRRRCCYLWIIFPLVVIATCMMITIITFCSTMMYMAEVMGEATKGAMDSSLMHLAANMQPLLEANRCAFTIANTLHLQGNMAFFSHVGPKLFLAFSMQPLLAQISYAGVDGAAFSYYRADDGEAKAMFARPNHAWYTQAADPATGRLVGNATAATHQLPNVTRLLLDGKQSGSGGGGGASLGGGWARPGVRMLFLSAPAGGGAGAVPAXVAVDDVVLRGAAGLRQLRDLGMYYAVAGKDDGAAAALPAALYRSLLDDGQTRPHGAAAAAASKLQAEEMGLFSNVKCTASAIDAPPKLDVHGSKSDKYRFACTNFDMSGVQMGFRLVLRKSSMVDVFRRGGVTMVALACAMAAAATVACVLMARALRRAVADALLQAERKSMNKSNAFASASHDIRSALAAIVGLVEVSRPEAATNPNIMDNLNQIDVCTKKLLDILNSILDTSKVESGKMQLEELEFSMADVLEESVDMVNVVGVTKGGIEVIWDPCDFSVLKCGSVVGDGKRFRQILDNLLGNAMKFTREGHVILRAWANKPIARGSMGAPSRFAYRSLESSLLSFLFGGKEGGHGGLQSSSDPSQNDPDLVEFYFEVVDTGVGIPREKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKDKEPGERGTCFGFNVLLKISGRQATEEDIEEGPSAVGESDIRASVFREAKCFKGWHCILFVHGDETRRVLQAWMESIGMKVWMVPEAEFISSTLEKVQSSCEDCDADRCFSSKEMVSQVLPTTLRNNNIMARNLGEHHPLGLLVIVDVSNGQVEDIQRQAADCSKLKRQVPCKVVCLTDLRTSYEDLRRFEEARCDLVLRKPVHGSRLYSLLMTLRDVQSSATRRSSLVGHENSVTRQQDVYGIGMKDFANTVASAEVEHLDQGLKAEDDRPLDGMHVLLVEDTLVLQTIQRKMLSQLGATVELAGDGAKAVDMFKDAIERASVSEEHIVPLPYDVIFMDCQMPQMDGYEATKHIREEESRYGIHTPIIALTAHSMEEDLQKAIHAGMDLHMTKPIERRRIVEAVHGICKGNN >OB06G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3022134:3022370:-1 gene:OB06G14950 transcript:OB06G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTVYSMPPEEKKTSPGNNSQGNKQTQCLSSSELTKNVLLKLLRLESYPGSHSHKAVSTATLTRCRRCRRAILVYPCR >OB06G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3040592:3044204:-1 gene:OB06G14960 transcript:OB06G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKFLEKIKSRRIHLTTYSAFAFLIILFWVKMESIAKAYEVKFVLDVAQLGEEDPLWQNGSLYFQALKIPWYSTTSSHGQIIGNFLKKVKMPYDQNLEIISMDTGPLQEPIHDGKISASSREQIKWLEQSIAVSSSNWKIVVGYDPLVVCTEAYTPKTAKFYEPLQHIFEKYAVSAYVSMGGFCGCFRRDNSMLYIGNPSPDDQTGPDGFLLHTVNPLEMESQLINLEGKVVERSVVHHHGLEAL >OB06G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3044589:3061995:-1 gene:OB06G14970 transcript:OB06G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTSRRAAGAGRGGKGRDRHSDGPTERIEREDTKEDACQKCGENDNLVSCSTCTYSFHRKCLVPRLNITSDKWSCPECVSPLTEMEKILDCETQTDGPEETSSSESGSKKNPGKRYLIKWKGLSHLHCTWVSESEYLETAKIHPRLKTRLNNFHRQMDATDKSDDDYSAIRPEWTTVDRILATRKSSTGEREYYVKWKELTYDECTWENESDISVFQPQIEQFNEIQSRRKKSTDKSKSVNREIRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILADEMGLGKTIQSIAFLGSLFVDKLGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASREIIRKYEFYYPKEKPKKLKKKKSSPSNEEKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYRTKHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTTKQKEYYKAILTKNYEVLTRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANSEEALRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQEELDDIIRHGSKELFDDENDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEDDEFLKGFKVANFEYIDEAKALAAKEEARKKAEAEAANADRQNYWDKLLKDRYDVQKVEENTSMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQAGISGRRGPYSKKKQRNVDSLPFMEGEGRALRVYGFNQIQRTQFLQTLMRYGFQNYDWKEYTPRLKGKSVEEIQRYAELVMIHLLEDINDSAYYADGVPKEMRADETLVRLANISLVEEKVAAMEHGKITKLFPSYLLYEFPSLAGGRIWKAEHDLLLLKALIKHGYARWQYISDDRDNGLFEAARQELKLPTANELISAHSNNEANGNLENTQEGQSNPTSMTHYRDTQRKTVEFIRKRFHLLERCLNLEYAVIKTKTPVPDDLAEQDFTGGHRLAVPDFSEMLRELPVLEPISKEVAPDGTTDQSQVSHLYNKMCFVLEDSAVPALGSHFGDKSASSSLAHSLHKFEAACEDVNRILRSQENGTTPKTKEEVMVDTSSKETTSPKCPGTEAVKEELATGPSSSKEATPPQQDPVAETVKEEPPTVQVEDKMEVDG >OB06G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3067275:3068249:-1 gene:OB06G14980 transcript:OB06G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGERATTRDVVLRLDDLSLPARRLTVPSRLRVSELLRELPLPVSSSSFYLTADGRPLPLTAPVASLPPSGSIQLRLRALRGGGGDGGAPGSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDADAAGEEVRFQCPITGLEFNGKYQFLALRKCGHVLSVKALKEVKSSACLVCHKEFDEADKMPLNGTDEEVTALRQRMEEERGKVKEKKEKKVGNGLSGSKHATAAAAMAGADKLENGKKGEAPSAKRFKASDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >OB06G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3069425:3072694:-1 gene:OB06G14990 transcript:OB06G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGRDTAGGGDGPQDDFFDQMLSTLPSAWAELGGGTAGKAPWEVVAGAGVDPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPGGGGGEEDGSGAFSPLPLFTDRTNVPPREEMEGGFKSPNAAGGEHALFNGFGVHGGAAGQPPFGQGGSMSGQSFGGPAASGGTAPVSSSGGGGGTAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNASSNGGGKASKGSTGGESGVGGGGGGGGGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATGKTCSRGSHIKMCRLPWQHVVGEDKIVVNS >OB06G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3083412:3084344:-1 gene:OB06G15000 transcript:OB06G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKSVDWKTVGGAVTNDSSQPVVKKRLPKKIRQVPDCYFLPRRSWPSALAIYGAACAAGVGAGMLLEVWINKKIKEDSAIIWEMGK >OB06G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3086486:3088743:-1 gene:OB06G15010 transcript:OB06G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTRGGGGGGGGGGPGALPLPPPPRLAAVSSPSPAASIRAHLARAAGAGGGLESCQSPRSLLSRLLQRGDGGSGGKFGCRVRLPRRYSSTSAAGGGRDDAKDSASEQDVPARVKVVGRAPELSLETPRSSCTLDEGRNGKKKPEEEIMSMNLGLGASLVLLLSKGAVELNKMVELRVQMEALVSEIRKETQSKKQDSASAAAAAAVAAGSSSQESDGRSITAVKDPIARAAVSDDAMSNCSGGGGGGGRAAVVMHRMEAELQVELNRLQCAAGHGENRAAPMHGLEVKTTKSNVSDSPPRSCVADEDEDDDVAEGGNAGEAVEEDDEEEEEYDEEEEEEYDAGGGGDKSPPHGGVSARALERRGGGGGGAVRAAAEAAAGAHRGAGGRAGQHPAPPPREGARARGRVLNSGRETLIRDQMNRTLSAAVTAAVCRRHQYTAAALTQQAILLLLAAIEEGKLAL >OB06G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3095558:3101391:-1 gene:OB06G15020 transcript:OB06G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVEVSVAAAAAEGVLHRRIEFHLARRPHAAVAGGGGGFRMETLNPDAADRAAAGGEGEVRRAEKGEAVGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRGFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIARATTLVRALQNFTEDELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGTDYKYSLYGVLVHAGWNTQSGHYFCFVRTSSGMWHNLDDNQVRQVREADVLKQKAYMLFYVRDTVGNSMPRKDSSTANMPAKKTMPERISGPNGLIQSGVMEAKLNDSSSTYRDKRLHSISNGNSSIMNKTSADYCSKNDGKTEAPGAPENKDLASRQKALAPQIDDATLSAQPKHIASTGPRGTSLSDQSASLIHVIGKQAMALVPSQEVQPKSDGLSTDSGHKTVTSSVANGDATLSKQDNQTSPHHKTFSKLASHVNGTDTGLAAQIFPTKDAIVSNSVVLPSIRGPIYNEKVCGLQKSIKQDDETVKEIPLNKNNIVSELEQDNSRKQASSEVSMKVVADDSCNVCIMKRVDLKSKKLVRYPVMNMWLRPRQLLLHSAKVQKKRKHNRTSRRWPAVCEDMANVASSGPSGNTSEQQPSTSATVPSETVQCTLRGWKRSYDSATPKNYDQLQTSEQQVVGTVARSDKLNLDKRNSISESVTTAELLKLGPSSSANQKYSRNNADATLGAPQHFSVTRELTEVTVPRWDDVAVPNTEARESKCSESKSIGYVLDEWDEEYDRGKAKKIRQSKEDYGGPNPFQEEANFISQRTMKQRSYQARSLNKHANARR >OB06G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3108268:3111652:1 gene:OB06G15030 transcript:OB06G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGLDGRFRFASVDRDSGVRDWYWWVRIDIALGVTFIMLTTPYWLFLYFPVFDHCFSTDFFEEDELKPYIGGILKQLLGRYSIDSFMVFNFEGGKKDNQIASIFSDFEMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQENFLLIHSEQGGWPVLAFALAALLVYLRRSNNEGKALEMVYRQAPPGLVEHFSPLDPAPSQLRYLKYVSRRHISPDLWPPADRMMNLNCVIIRGVPNFDGKGGCRPIFQIYGPDPFVPGDRSTKVLFSTPKTSDFVELYTQEDSEIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNTAFIEDNLLLLGRDQIDILWDTKHRFPVDFRVEVIFSEMDTITSLRTSQLSSEDKESFYRVEDAFSHVEWSTKSNHVTTDATEQNRSNSKHDGFDVIPLQETESSNTTSENNLLGTRSVQVIQIETEHNHYSAPKFEGVKDAVADEHSLPEPNSLASKSQERELFEDSSAGELPKWDTTKNNLDPELPSTNSRDPEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPPKFDEDSMEAGTVEIQTQPAEPQRC >OB06G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3115957:3119556:-1 gene:OB06G15040 transcript:OB06G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGDSRYVLSELPSDIVIHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKTFEICAKFCYGMVVTLNAYNVVAARCAAEYLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQPVPKDWWVEDLCELDVELYKRVMVAVKSRGRITPDVVGEALRAYASRWLPECFDDDDGAGAYSMAYKHLLETIVWLLPSDKGSSCCSCRFLLKLLKVSVLIGAGELLREELMDRLVLTLVGRYMRRAGVGEDGIFLSNLDQEMFETGIVDDESLLALSKIVDGYLAEVASDPNLSVSSFVALATSMPDAARATHDGLYTAIDVFLKLRPSLPKAEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAGGGPAAAPNMARVVEEEDDDGGDWKSRALLVPGQQPAPSPLKKQLGSLKLAGGGDEGDDGRRLARVSSVANQSSRLSLSSRSRSRRIFDKLWAGGKAPGGEVVSKSSDTSGSSQSPRSSAKPPESKSSSSSSRNRRYSVS >OB06G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3139638:3152325:1 gene:OB06G15050 transcript:OB06G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MVVGLVSAYGPWFVFYNPIMCFCWILKILLQIPHLQYKLTGLKAVVYIKEIMSFSMAIVFGLFVIVSTVVDRTHNKREVNSIEAPLVPDDEKAEAEAKNLENSQSIWELMTFKFVNPMMDIGITRQLDFTDLLELPVELRASSCYEKLLSSWNVEHQHHHADSSLLRAMYYAYGWTYLRLGLLKMINDSMGFVSPLLLNKFIKFLQQGSSSADGYILAIFLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKCLCLSLSERSRFSEGEIQTFMSVDSDRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIAHATEKMMKHKDERISCAGELLAHIRTVKMYSWEKLFTQRLVERRELEVKHLATRKYLDAWCVYFWATTPTLFSLSTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSKYLSSPEKYSSVITAPADLLKHQNTDTNVDAMAVILCDVCCSWSSSTVESSMILRDICLELQKGIFVAIIGEVGSGKSSLLNSIIGEISVTSGSVTSYGSIAYVPQVPWILSGSLQDNILLGKEFDPRRYEEVIHACTLDIDISAMVRGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPQMKQKTRLLSTHNLQAIRAADMIVVMANGLVKWSGTLESFLATPYSTIAKPESSKAVSSTFSEKNKGVSITYEFQSNALIDDDSVVDHEEQRDQNSLEARKEGMVELSVYKKYAAFMGWLIAFVICLSAFLMQASRNGNDLWLTYWVDTSTGTSHTVFYLIILGTFGIFNSFFTLGRAFSFAYGGLSAAIQIHADIIDNLIGAPVSFFDQNPSGRILNRLSSDLYAVDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLEKFMQHVTLYQKTSYCELIAGLWLSLRLQLLAGFIILFIAMMAVVGFNSKSVINFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHNSWPTEGKIEFKHVTLRYKEDLPPALNDVSFVISSGMQVGIIGRTGAGKSSILNALFRLVPICNGHILVDGFDVAKLAVRDLRGHFAVVPQSPFLFNGSLRENLDPFNRTTDTRIWEALDKCHMKAEIELIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTICAECQGMTVLTIAHRISTVMKMDNILVLDQGTLVEEGNPEVLLNDKFSRFSRFAKASNM >OB06G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3152289:3152621:-1 gene:OB06G15060 transcript:OB06G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCCCRCLEILCAILLPPLGVCLRHGCCTVRLSSSALPLDDPFPRVCFPLISRLRSPQMEFWISVLLTLLGYLPGVLYAVYVIVSVDPDRDRDRRRRVDPDDYIYVA >OB06G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3155815:3156501:-1 gene:OB06G15070 transcript:OB06G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPASSACRPDVETYTLLLTSVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEIDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALALEWRFEESKKVLIDMLDCKRRPDMITYRTLMEEMCRAGHTEEAFKLLEELKERKRVPLDQRMYSELLDGLHWISQPHQDRLPPCDRRSDD >OB06G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3158288:3159626:-1 gene:OB06G15080 transcript:OB06G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEIVDSSMVTPGEATPGHAIWLSNLDLLVARSHTPPVYVYRPTASDDPASFFSPDVLKAALSKALVLFYPLAGRLAQDSAVAKVDDIIATGGPLDTVAEKVSGATARLDDDYVRSLLDYLEQPASGGGGGGRAHTFRCPNLGLTSWVRLPIHDADFGWGRPAFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPESLAKFKKVFYDELSSSVGTLRA >OB06G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3158784:3159734:1 gene:OB06G15090 transcript:OB06G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRVSSVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAAAARVISHGDKHPPELVRWGEVAEVVDGRATPSCDEQHTLAGAADLRPTGTVLSEPASEGVEEDERLGQGGLEDVRREEGGRVVGGSWPVDVDGGGVAPRHQQVEVGEPYRVPRCGLAGCHHGGVDDLHCRHFSVKITAAVRPRRSRLKNGRADYIGCHVFLTLQNK >OB06G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3170931:3171182:1 gene:OB06G15100 transcript:OB06G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVVAVAGECPMGRGGEPMDVAPVVGFLCSDAAGWINGQVIRVNGGYI >OB06G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3179477:3180770:-1 gene:OB06G15110 transcript:OB06G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVDVLTSEVVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRANGEPGFFAADAMRDSLARALVTFYPIAGRLGLDGRLGLDGDGRVQIDCTGEGVVFVTARSGYVLDDLMEEFVPCDEMRDLFMPPAPPASKSSPPGVLLLVQGVDTANLPRSGVSRADLRAISWLGMSLYDADFGWGAPAFMGPAIMYYSGFVYVMNAPWKDGAVALALSLEPESMPEFRKVFADEVARLTE >OB06G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3198081:3199352:-1 gene:OB06G15120 transcript:OB06G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDVLTSEVVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRPNGGEDGFFAADAVRDSLARALVAFYPLAGRLGLDGDGRVQVDCTGEGAVFVTARSGYALDDLMSEFVPCGEMRALFVPPAPAPAPNPPCVLLMAQVTYLRCGGVVLGLALHHLVVDARSAAHFVETWASIAAGGGDGDAPVPPCFEHRLLAARPAPARPGVDDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGDEPGRRLRAGAGGLPGGRRRDEPAEERHLAGAPPRHQLAGHVALRRRLRVGLAGVHGAGAHVLQRLRVRDERAGEGRRRRAGAVAGAGEHAGVQEGVRRRGGTSRFV >OB06G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3207892:3209640:1 gene:OB06G15130 transcript:OB06G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03380) TAIR;Acc:AT2G03380] MLGWLIHAGSHADAVALHGDMQRRGPAAAQADVVLSLALKACVRSADFGYGRRLHCTVVTAGGADSFVMNCLVDMYAKAGDLETARKVFDRVPDRNVVSWTSMLSGSIQNGFAEEGLVLFNEMRKDSVDPSEYTMASVLSACAMLAGLHQGRWIHGSVIKHGFSANSFVSASLLDMYAKCEKVEDARRVFDELESVDLVLWTAMIVRYTQNKCPLDALQLFLHKKFASIVPGSFTIPAVISASAQLRNLSLGRSIHGIGVKLGTMESAMVRNALVDMYAKCQALPDANSIFERILIKDVVAWNSMMAGYSENGMANESLVLFNQMRMQGLSPDAISVVNAISACVCLADLHVGKSFHTYTVKYAFMSDIYVNTALLNLYNKCADLLSAQRIFNDMTDRNSVTWSAMIGGYGMQGDLAGSIGIFNEMLKDNVHPNEVVFTSILSACSHTGMVTAGKEYFDSMARHFNITPSMKHYACMVDVLARAGNLEEALEFIQNMPMKASISVWGSFLHGCKLHSRLEFGEEAIKKLAGLHPETPDFCVLMSNLYNSYGRWDKSQNIRRWMQEQGLVKLPGCSSIGHDNG >OB06G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3208130:3211084:1 gene:OB06G15140 transcript:OB06G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIVGQREWFISKKKRKFSRSLEVISCQEGSLTVAAGRMRSCVLGKMTPICTVFPHVHAPSQPSNMPQD >OB06G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3211370:3213214:-1 gene:OB06G15150 transcript:OB06G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30700) TAIR;Acc:AT4G30700] MVLSPDTVLWNTLLAGFSGFEAVDLFVRMVTAGSVRPDATTLASVLPAVAEVADVAMGRCVHAFTEKCGLAEHEHVLTGLISLYAKCGHVESARCLFDMMEKPDLVAYNALISGYSVNGMVGSSLDLFTELVTLGLRPNSSTLVALIPVYSPFGHELLAQCLHGFILKSGFNASSPVSTAITTLYCRLNDMESARKAFDAMAEKTMESWNAMLSGYAQNGLTEMAVGLFEQMLALNVRPNPITISSTLSACAQLGALSLGKWVHRIIAEEDLEPNVYVMTALIDMYVKCGSISEARSIFNSMDNKNVVSWNAMIAGYGLHGQGSEALKLYKGMLDANLLPTSATFLSVLYACSHGGLVEEGREVFRSMTDDYAIGPGIEHCTCMVDLLGRAGKLKEAFELISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENSGYYVLLSNLHTSKKQYSEAAVVRQEAKSRKLIKTPGYTLIEIGEKPHVFMSGDRAHSQSEAIYSYLEKLTAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >OB06G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3225062:3228975:1 gene:OB06G15160 transcript:OB06G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MBX2] MPPHRQSNNAPSTHTPWGFRNSKVFHVAICIVEDIDGKDVSLSKFKGRPLLIVNVASQCGLTTANYTELSHLYEKYKAQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIENPDSLRLSMDFALAILPVCLNGVPETQMAVANDG >OB06G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3231458:3234602:1 gene:OB06G15170 transcript:OB06G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPPLPLPWARTTASPATARRCSPSAPAPRHPPRHRRGCYLRPCAVRRFHCRGLGRRRHRRPRGAALLQLRRPRQPLRLGLPGGVRCDPSGRVIGLFLSNSNLTGVISPAVGNLSMLRWLDLDGNHLSGGIPPELGALSQLSELNLLGNLLDGPVPETLGRLVDITFLVLDGNNLTGDIPEAVFCNCSGLTVLSMSFNSLTGDIPLRPRCRLPALTQLNLFGNRLTGVIPPALSNSTKLQWLHLHNNSLSGELPPEVFRSIPDLVFLYLSHNRFSSSDGNTNLTPFFSSLLNCTALLELGVASNGIGGEIPAIIGNVSSANLSLLLLSDNELTGATPPTIGNLLSLTRLNLFDNMLEGPIPPEILRPPQLGRLDLSNNLISGEIPRSIGESRHLNTINLSQNRLHGRIPETLSNLTQLDHLVLDHNMLSGSIPPGLRCSLRLDLSYNNLTGQIPSEIATLSSFKMCLNLSHNLLDGPLPLGIGRMQMIGALDLSVNHLSGAIPAAIAGCVALEYVNLSRNSLRGGLPSSIGGLPNLQVLDVSFNGLTGAIPPSLQASTALRYADFSCNEFSGEVSGDGAFVNLTYDSFVGNPGLWRWRWRFLYGIVVVVVVAVVAGVSAMALARLKLMTTTPIGCQHLSDATMDEKNGEHPRISYRELADATDGFSEANLVGKGVYGHVYRGVLHGGVVVAVHLRPRDGGCAGSFERECRALRSIRHRNLIRVITACSTPDFKAVVLPFMPNGSLDGLIHPPPAGDTGGKADARRRLDLGLLLSIAGNVADGMAYLHHHAPFKIVHCDLKPSNVLLDDDMTAIVSDFGVSKVVARQEAKDSDTIGDDASSTPPISSSITRLLQGSVGYIAPEYGLGGNPSTRGDVYSFGVVLMEMVTGKRPTEVIAEEGHSLHEWVKSRLQSYNDAGGVGGGAVELSTVRRERRVVVELLELGVACLQVVPAMRPTMDDVAQEIACLKDGAWRKWRKEEDDDDHCML >OB06G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3236873:3242148:-1 gene:OB06G15180 transcript:OB06G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPISAAAFFFSVVVIVFFIFLDVMIPAHASSDRSALMIFKSGVSGDPNGALADWGSPDVCNWTGVSCDTPRRRVVRLMLRDLRLSGEVSGALGNLSHLGILNLSGNLFAGRVPPELGNLFRLTLLDISANAFAGRVPAELGNLSSLISLDLSGNHFTGEVPPELGGLSKLQQLSLGNNLLEGAIPVELTRMSSLSYLNLGENNFSGHIPAAIFCNFSSLQYIDLSSNSLDGEIPIDCPLPNLTFLVLWSNNLVGGIPRSLSNSTKLKWLLLESNYLSGELPADVFGNMRSLELLYMSFNYLRSPGNNTDLEPFFASLANCTSLRELGVAGNELAGVIPPLVGRLSSGLTQLHLEYNSILGAIPANLSNLTNLTALNLSHNLLNGSIPPAIAGMRRLERLYLSDNLLSGEIPPSLGVIPRLGLVDLSRNRLTGGIPATLSNLTQLRLLVLHHNHLAGVIPPSLAQCVNLQNFDLSHNMLRGKIPEDLSELSGLLYLNLSSNLLEGTIPATIGKMVMLQVLNLSSNRLSGDIPPQLGGCVALEYVNLSGNALDGGLPDTVAALPFLQVLDVSYNGLTGALPPALGTAASLRRVNFSYNGFSGEVPTDGAFASFPADAFLGDGGLCGVRHGMAPCGGGGGEKRRGVPDRRVVLPIVITVVGFVLAILGVVACRAAVREEMRRDARRSMLLAGGVGDEPGERDHPRISHRELAEATGGFEQSSLIGVGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECEVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRNGSLESRLYPRDGRPGRGLDLAQLVAVAGDIISMINSIAKLLKNVDTTNSGSIAAASSDPCNSITGLLQGSVGYIAPEYGLGGHPSPQGDVYSFGVMMLELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAIDDFDRSAVGALCKYQLMQ >OB06G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3245276:3248602:-1 gene:OB06G15190 transcript:OB06G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLVPIIIIITTILVPVPIAVVAASPPVVVVAADDRSALLAFLSNVSADGSGGGPLADWGRSPEFCNWTGVACGRPAEAGGERRVTQLVLAGRGLRGVVSPVLARLGVVTFFNGFAGEIPAELAALSRLTQLSLANNLLEGVIPAGIGLLQRLYFLDLSGNRLAGDIPETLFCNCTALQYMDLANNSLAGDIPYSGKCRLPSLRYLLLWSNDLSGSIPPALSNSSMLEWIDFESNYLAGELPSQVFDRLPRLQYLYLSYNNLSSHGGNNDLNPFFRSLRNCTRLQELELAGNNLGGELPAFVGELSRGFRQIHLEDNAITGSIPPSIAGLVNLTYLNLSNNLLNGSIPPEISRMRRLERLYLSNNLLTREIPGSIGEMPHLGLVDLSGNRLAGTIPDTFSNLTQLRRLMLHHNQLSGAIPASLGDCLNLEILDLSYNGLQGRIPPYVAAMSSLKLYLNLSNNHLEGPLPLELSKMDMILALDLSENALAGAIPAQLGGCVALEYLNLSGNTLSGALPAPVAALPFLQVLDVSRNQLAGDLPASLQVSTSLRDANFSYNNFSGVVPHAGVLANLSAAAFRGNPALCGYVPGIAVCASARRARHRRVVLPAVVGIVAAVCLMLCAVGCRSMAAERARRSGRQSIRLVDVEEQAEREHPRISYRELSEATGGFVQSSLIGAGRFGRVYEGTLRDGTRVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTATFHALVLPLMPHGSLEGHLYPPRGGDDNPAGGGLDLGRLMSIVSDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMRAVISDFGIAKIISGAGAGAAAGDGASSTSDESAPCNSITGLLQGSVGYIAPEYGLGGHPSPQGDVYSFGVMTLELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVXCARSTRRRCGRTWWTSATRSHCSWKIATGTPPPPPPLTKTTTAGRCPRPRTRCSPIN >OB06G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3252761:3260473:-1 gene:OB06G15200 transcript:OB06G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) TAIR;Acc:AT4G30810] MATTTTTRRSAVVPLVLLLLVAVGAAEGGREGDGEAAATWRAEQEWDRVARVPGQDFDVGFAQYAGYVTVSEERGAALFYWFFEAAEDPASKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGQGVHLNPYSWNQVANILFLDSPVGVGYSYSNVSDDILNNGDARTANDSLTFLTKWIERFPQYKGREFYVTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALFDDFHDHLGVFQFMWTNGLISDQTYRLLNVFCDYESFVHTSSQCNKILDIASTEAGNIDSYSIFTPTCHSSFAASRNKVMKRLHSVGKMGERYDPCTEKHSTVYFNLAEVQKALHASPIINKSKWETCSDIVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSIDALKLLTVTPWSAWYDEDGEVGGWTQGYKGLNFVSVRGAGHEVPLHRPKQALILIKSFLAGRAMPIVSDSHSDM >OB06G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3264725:3272821:1 gene:OB06G15210 transcript:OB06G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLVRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFISHLVNQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHYTSLIQAATEVREQGAIDDRDTFLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESALPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >OB06G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3273495:3277080:-1 gene:OB06G15220 transcript:OB06G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSSAGQSSVDNCNSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGEGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQPDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSLSPCAGSSMSAKIEINDSITTQAEFPAQPGNPGPSAVNVQGVSRNVNGAQELNIPGWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPSLPSNEVPTKETLEEIAQYLLGDAQGPPASTSDERSLMARVDSLCCLIQKDTPPVAKPKPEPNDSDSIGGEDTEGSDEEFSSAASSRKTTDPAQPPSMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >OB06G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3278227:3279225:1 gene:OB06G15230 transcript:OB06G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSTQTPFLYGIHLGSLDMAVFWIKNTHAREEKGSRSSLVPILNLPQYGTGLGSGSRPNTTNPTRGHSSQTQSNAPIGIRNHGTRQIYLLRRRRPRQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGGLGCGAVSG >OB06G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3279372:3282964:-1 gene:OB06G15240 transcript:OB06G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQLEPPSGLPEEEKGSSQEEEEEANVLLVEEAATGKKAAAAEEGGASWRPSPVGWFRMLARELHWSFVFGVVSTYGISQGLGGGIMRVASDYYWKDVQRVYQGVTSIPWMVKPLWGLLTDVLPVAGYRRRPYFIFAGFMGVVAMLVVALHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIMHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQGALGMLAIPSALVILAGVMIKDVHLPDFPYELAHMKFVEATRKMLATLKCPEVWRPCFYMYMSLALSVDIQEGMFYWYTDQSAGLSFSEGFIGFIYAIGSVGSLIGVILYQNILKDHSFRNLLCLSQLLLSMSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPPGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSSLLPVELLTEDDNAQSQVENVELTSLTVDGKSSTAFLHEECKIQDDVEQDDDEASLLANRS >OB06G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3288504:3295204:1 gene:OB06G15250 transcript:OB06G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSRHRSTPSKPAAAPAVAQMDLSTPSKPTPRRQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGGESAAGNDVYVKRREGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELFRTNDLADIEMETILRHCYVMNPKEFKDANDGGDDVFYCEYEYDIHWHKFKRLADIDDEPEVKEDPGDETYNAGNDYVSDTDEDSEYDEEEEPTKCSSARRNQSHELAANLRKGRIYGLQKIGIQKIPQHVRCHQKTILEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNKGKNIVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYL >OB06G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3299015:3301145:1 gene:OB06G15260 transcript:OB06G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARAVSRTPRGRARAAAFLTRSCHRLLRFLATRCLRRRRASTGGSSPSVQPPLARAYSISTPRGRGAEVARALRRASTGDGDDPSPSASGQQPPLTRRVPGAEEAGGSRAAVAAQPRHEEQQGGEDAAVAGKYWAQRRSLFSLYDRGVRMDAEGWYSATPEAIAATQAARAPPGSLVLDAFAGVGGNSIQGCYVVAVEIDPRKVELAAHNARIYGVEDMIEFVVGDFFHLAPFLKADLVFLSPPWGGPSYSQAQVYTLDMLKPKDGYATFQAAQEISPNIIMFLPRNVDISQVEQLSWLSSPLLDFVSEESYVGHKYFKGITAYFGGVAQEVHKQQQISSQDLDTYLPLNPCLRKEK >OB06G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3301377:3302655:-1 gene:OB06G15270 transcript:OB06G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEVEEEEEMYPSTPGKVKVERSGAAAMSRQVHRCFASTGTMFLWALLLVAMTATYLSFRSLGDTSSSRSGRRRRRGAHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRALLGSHGVFVVDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVHSNVAGLVSLLEASKDADPQPAVVWASSSSVYGLNDRVPFSEAHRTDKPASLYAATKKAGEEITHSYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHADLARDFTYIDDIVRGCLAALDTAGPSTGTGGKKRGPAPYRVFNLGNTSPVTVQALVAILERCLMAKAKKHVVEMPGNGDVPFTHANISLAREELGYKPTTSLDMGLKKFVRWYLSYYGYNRGTHAFRNHLS >OB06G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3306896:3308079:1 gene:OB06G15280 transcript:OB06G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVLLIIAILAVVFFLFGLLNLLVQNILRMRRARRRRRVGDGGGSESIQETLGNGGESPRGRDNEGSPKAEEEVVEVKLGKLKCIDGNGNAGDLAVQGASSNSGDLDGRGNLGQRRCLSMGSYEYVMDEHAALRVAVRTPKRRPASSRSRRRFALSECDFGGSKKGAWEAAVTEAASADTAAAAAARLNKDSFSVSKIWMLSAKKEDGRSAAELAGGRRTASFRWPAMADASRKQGGITEEHRDVEAGGNGDPSLAVSGELRPSLARTALQYIVGGGGGRPQSSRVGSHS >OB06G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3311463:3312924:-1 gene:OB06G15290 transcript:OB06G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVANILWNVVVGLRRELSAQLREESSLPEGYLNRPSDNTSPSYAGSSQEGALCLPLQLQLLEYRGCCQSSGMSDREWLHDPCRPKKVVSEAFWDLVEG >OB06G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3314254:3317941:-1 gene:OB06G15300 transcript:OB06G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANAAAEVVGSGDGALLAGRDHVVVFPFMAKGHTIPLLHFAAALAAHHGGQLRVTLVTTPANLPFVRRRLPESSSSSVRVVSIPFPAHPELPAGVESTDALPSHSLFPAFLRATALLREPFAAFLASLPSPPLVLVSDFFLGFTQRVAEDAGVRRLTFNGMSAFSLALCFTLASRRPHVDVEDGAAVHVPGFPENVRITVDEVPDAVIQGGNPDDPVTQFLDDEVRIWEHRSAGVLVNSFAALDGDYAAILEALYPPGVRAWLVGPLFLATGESPEDDDDDPEGCLPWLDERAGRPGSVVYVSFGTQVHLPAAQLDELTHGLVESGHSFLWAFGRSKLLELPLELPPAWWCGCHTACICSVQSILGHREAGLRPYNSKETQAVLVEEEINMIF >OB06G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3323584:3326758:1 gene:OB06G15310 transcript:OB06G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDSIRDLDGKNGWRVELSRNASSGRGGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSASPARARSYSRSPQYNRGRDESPAYDNGYRRSRS >OB06G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3329918:3330718:1 gene:OB06G15320 transcript:OB06G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAASASSAAMQETKAMPSNSIVHWECVTGDVLVIVAGHIQSLPCRPTRRWPAAPPLGLLHARWEPPLAVRHAPNLQLAALASAAPRVALPQPQAWDPNLLLRRLPRRSGCRAWWVLHQDVLRVRAGFAGAAAVHVPIVGDAARLHRNHRFTAMFVFLFVGLRFTPFSANAVVLLTIGSTVLGSVLGLSFMKLAGHSSKAYWTGFCEAIAAAAHAGLVLPFVEVTKAKYDHRTSPAARVPLPSANMMQMQTVMGTTGTVVC >OB06G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3332860:3337431:1 gene:OB06G15330 transcript:OB06G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTKQRTVRFYEERAKPTIPTHQKQAGLAASKLGLEISEKNKIFVAGQDLWYKKIIDPSSDFILTWNYVLRIACFIALFMDPLYFYVPKIYYGSPDSCIGRDTRLAIIVTVFRSITDLFYVVQIIIKFRTAYINPSSTLGVFSRGDLVIDPDKIAKQYLRSGFVVDLVASLPLPQIIIWSVIPSVKYSLSQHDDDILLLIAIFQYVLRLYLVFSLNSKIVEVTGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSDESDCHKGYLACDVQSDSNWKTNTAIFNKCDASSKSIDFDYGMFAPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPNKLRERVRRFIHYKWLATRGVNEESILNALPTDLRRDIKRHLCLDLVRRVPFFSQMDDGQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRYKRRKLAKDLSMRESFSSRRSYEDDGSPEHSLELNAARKGAHIIKELPKFRKPSEPDFSAEHDD >OB06G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3337915:3341074:-1 gene:OB06G15340 transcript:OB06G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family [Source:Projected from Arabidopsis thaliana (AT4G31600) TAIR;Acc:AT4G31600] MGADTGEPSSFLSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQQIATAIFIHFGQILGMSKRKDFSIATGRKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLSFSVILFVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPRRTAPDVEAHPHK >OB06G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3346251:3350141:-1 gene:OB06G15350 transcript:OB06G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MBZ1] MAAAMTHTTFTSWLMITLLLIICPTSSSSSSPSPAAAAVRVGVVLDLRSDAGRKSRTCIEMALEDFYMKHPSSATRVQLHVRDSHGDDDDPTVAAAHAAEDLIKNVQVEAIIVAPQTLTEADFLAHLGNHKHIPILSLSGISPASSGEQPHKIMPYFVQAAANDLLQAKPIASIAMSFSWRQVILVCEDSPHGAAIFPKLAHELDGMETQISDVVFVPVDATEDRVHEVMRRLKRMETRVFIVHMRSSMAARFFAMANDLGMMSQGYAWIVTSTIGNAVDSLRSDHAINSMEGVLTLRPSVNETDHVKRFFARFQRKMISSYSDHSHLHDEPSMQLLWAYDTAWAIATAAETARLASFATGLAQDELPITGKTLLDSVLKTTFDGLAGKFSLDYNNGYQQQQLRYDILNVIGRGTRTVGTWMQDEHPISYYDRRADAKWLKIIIWPGDTTNVPKGWEVSPSGKSLVIAVPRKNGFRQFVNVAGNTTASSANITGYCIDLFHRVMQELKYEGGYEYVTDNRSEDYNHLVERVHRKEVDVLVGDITITAKRMENVTFTVPFTELGWTMMVMAKKDTRKSMWIFPKPFTGTLWLVTIALFLFIGFVVWVLEHRINPRFRGTPSEQLGTTFYFIFSTMVFSHREKLQSNMSRIVVIVWCFFVLVLTTNYTASLTSMSTVQQLRPAVTDVEELIRGGDPVGYHESSFVKDSLIKMGFKQSNLRHLGTVEQYNQALSDGSVKAIFDEIPYLKLFRSHFPNKYTMAGPVYKSGGFAFVFPQGSLLGRRVSEALMAMMESPSTPRNTLIPFPVNTTDDVGSPRLDTSDFSGLIIIVMTVSSLMLLTWFATFFYKEFSKVRAACSSWRSWMGARFLSTILSSRDLNSHNFEVQQQNEIMMNDIEQANQVVEGD >OB06G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3356039:3362613:-1 gene:OB06G15360 transcript:OB06G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRRRRLLVLVAASAALLSLLALRDAAAAPTVRVGVVVDLASDEGRRSLAGISMAVDGFHRRRRHPVELRVRDSRGEDDAAAAHAVEDLVNNDQAQAIVVVGNQPPTAADSAARHRVPVLTFLISGAAPPSSRPPHRPPAPFSHSHASSSPALAGILAAILTSAAGGSPPHGVVLRRNTTGVGGARPRTTCSRPFERRSAGGRRRLAGRGSLPEVMRIAVPLKTGFQAFVNVKSDPATKRLNITGYCIDVFDAAMARLRPLRRYEFHVFDGSYDDLVRSVSSGKFSAAGGGGAITGEREGLVEFTMPYTPSGVSLLVLEESDAKPIQWIFVKPLTNDLWLAAVGFFFYTGFVVWVIERPRNPEYQGSGVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVTSLDQLLSNGDYVGYQNGSFVGSLLKKRGFMPSMLRPYGTPKEYAEALRNGSKNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVFPLGSPIVHDLSTAILNLTGESEGSKIEEKWFGSSEHSTGGDANPSSSSMGLHHHSNPLTLQSFSGLFIISGCISALMLLISIVNRFVCARCDKEARVHDVQDEGHSLTDNSNAPDQAVQDDGNDGSQPAQPLQGSVGDEQPHPVHNGTVPEHHVQIEPNTG >OB06G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3365778:3367430:-1 gene:OB06G15370 transcript:OB06G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYTESGVSMLVLAESESESTIEWVFLKPLTKQLWFATVIFFLFTAFIIWIIERPRNMEYQGSSTRQCSTALYFSFSTLTFSHGQIIKSHLSKIVVVIWCFVVLVLVQSYTASLSSILTAKRLRPSETDLYQILYDGDYVGYQQGSFVESFLIKQGFSKRMLRPYGKKEDYAQALRNGSSNGGVSAIVDEIPFLNAIVSDPHYQKEFQMLNRIYKTPGFGFVFPPGFPLVHNLSTAILDVTSGDEGSRMEAKWFGTEALSPSNAIPSTDSAPLTLRSFSGLFIITGCISALMLLISISKSVLAKYYTKIRDPGVDDGDSAQEEAANPSQDVMGSFSVADDQTNHEIIIEDPQDIHGYVERAVHGDESGPIQNGSMRADSTQVSTNLL >OB06G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3372980:3376913:-1 gene:OB06G15380 transcript:OB06G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRRRLAMAAAILLVVWSSAVTAAAAAARGDVSMAAEAYSLDANGSGWLTDAITAAGDNETKGINAGVRRNLGALPRGYEKTLKIAVPWKPGFKAFLNVTDKTFTGYCVDVFEAAVHKLPHNLSYEYVVFNGSYDQLVQRVSSGSYDAAVGDVTITAERTSYADFTMPYTESGVSMLVPMENGSKSAIQWVFLKPLTKQLWLATVIFFLFTGIVIWMIEHPRNLEYQGSSSRQFSTALYFSFSTLTFSHGQIIESPLSKIVVVIWCFVVLVLVQSYTASLSSILTAKRLRPSETDLFQILYDGDYVGYQQGSFVESFLMKQGFSKRMLRPYRNKQEYAEALRKGSKNGGVSAIVDEIPYLTSFLSDPRYEKEFQMLSRIYKTPGFGFVFPPGFPVVHNLSTAILEVTGGDEGSRIEAKWFGTEAVSPSYAVPSTDSTPLTLQSFSGLFIITGCISALMLMISISKSVLAKYTKIRDSDMQNPDEDGGNGAHEECNPEQNVMANVPLHEIRTDSSQDVHGSFERADGEEPGQIQSGSVPANSAQTR >OB06G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3378466:3383466:-1 gene:OB06G15390 transcript:OB06G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MBZ5] MSICQAYSPTTGLATTVTAHKCRTHMDQEPSGLPGSSTGAAATAATRSSTLSVGGVVVVFFLLVTVAAAGDGTTAAGVVAVEVGVILDLATELGKKSLLSMEMALEDVYAARPELGTRVRLRARDSDGDVVTAASAAIDLISKENVAVVIGPQSTLQAEFVAYLANKTKVPVITFSATGDAVTRFHVPYFIRACVKDSFQVASIAAFVKAYDWRNVVLVYEDSNYGVGILPSITDALQEVGANVINRSALPASSSNNRIDVELYKLMTMQTRVFIVHMLPARAARLFARAKALGMMAEGYVWIVTDSIGIVLDVLPENTIETMQGIVSFRPYITESTRILDFISLFTTLFVSKYHPNTDIRMAKPTAFQYWAYDVVWAVATAIEKVHRSTSLNPSFHIGGNIGKNLVDDLPAFPTGPELLNSILQGEFDGLAGKFRLVDRHMQVPIYEVVNVIGEKARVIGFWSSDSGLAMSMNSTIIHGESKFSTSSVGLKNIIWPGDSTTVPKGWDFPVNAKILRIGVPTRHDFKTFVKVETNPNTNRSSVSGYSIDVFEAAVKRLPYALRYEYIPYDCANSYDQLVSQVFFKNFDAAVGDVTITADRTRYADFTMPYTESGVSMLVLAKDGNEPTIWIFLKPLTKDLWIAIIVFIFFIGLVVWAIERSTTNGAFRGPSSRQCSAAFYFAFSTMTFSHGQVKSLLSKIVVVIWCFVMIVLVQSYTASLSSMLTAERLQPSVTDLRQLLLNGDFVGYQNGSFVHSMLKQLGFDERKIKVYSKQEEYANALRTGSKHGGVSAIFDEIPYLNSFLSQYGKEFQIVGPIDRTGGFGFVLPKGSPLVPDLSRAILSLSEGPEGLRIEKKWSVDPTPYFNYGSHESDSRLSFQSFKGLFIITGCVLIAMLLLNFGKVRYAKCRNEEGVCSHEPQPVQIGMVNNSVPSDTL >OB06G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3390919:3391116:-1 gene:OB06G15400 transcript:OB06G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGFAIHQELEILELVSRFFGYWICFKIRMLFGPGKDAHFLATGLDSSVSFFLFLFCEVTQHF >OB06G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3392332:3393594:-1 gene:OB06G15410 transcript:OB06G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRRIVSLLLQLESHVPHAVVISPLISLRRAVSATAPASPKPFAVEEKPVSHVPDAVVISPLIYLRRAVSTTAPASPKPFAVEEYLVATCGLTRAQAAKASGKLSHLRSPSRPDAVLAFLSDLGVSRPDVAAAVAADPGLLCAGVEKNLARRAAELGDLGISRSQIARLVPLANSTFRSRALATNLGFWLPVLGSFEKILKCLKMNSSILGSDLEKVVKPNLALLEECGMTACDIASCPSVYSRRLLTLNPSYLRDAVARAEELGLGRGSRMFRYALMAVALTSKESVAAKLRVLDELGFSRDDVLLIVRKSPQFLALSEKKIRRAAEFFKRDIGLEERYIAQRPALFTYSLERRLLPRHYLLKVLRAKGLLNCELDYYRTAAMGEEKFVQRFVDPYKDHIPGLAEAYASRCFEKVN >OB06G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3399130:3401479:1 gene:OB06G15420 transcript:OB06G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G55915) TAIR;Acc:AT1G55915] MEVGDLHKVWEIRALKRKPDEPAARALLDRVAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGGGVEVELRLRPAGRDHDFIPYEEVLDTMLHELCHIVRGPHDAQFYKLWDELRKECEELVSKGITGSGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNNDIMSALSPVQAAAMAAERRMHDDLWCGSHDQSGIDDSEDVVILEGPPNLPTQLGESTKNGFSSSSVQPSTSSGFPTEAQSGSSSCRITTDAGDSSLWECVACTLLNKALAPICEICGTAKPKIAKAKYATWCCKFCTLENSTKIDKCSACDHWRYSYGPPVATSVRYD >OB06G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3407513:3408220:1 gene:OB06G15430 transcript:OB06G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGIWMRPEGNRRKGNKGRHGRELRSKRWEKDWRTGWAACHPKQRAPFGDPKFDMAFSKATGRCVPQRLERVGSSCSQSRGSESIKLLQPRSAEPVHRNGWSGLGQTLTVFQGIRSPAHLSRSTRNSYVIQ >OB06G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3409838:3411483:-1 gene:OB06G15440 transcript:OB06G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDASTGPAVAVAVAVVVVLVSTWLWSTVAQLVWRPGSAARRTGCSSAATARRRRCCCHDIVPRVMPHYRAWMSRYGKVLVSWGGATPALCVGEYGMVRQILADRTGLYGKPDPGPALLALIGKGLVFIDGDDWARHRRVVHPAFTMDKLKMMTKTMAECAREVIRAGGTESPAGERRVQVEVGQQFQELTADVISHTAFGSSYQDGKEVFLAQRELQAIAISTFNSVRFPGFQYIPTKRNMRRRQLEKKVRGMLMAMIRERQVAAGKEARDLLGLMLEANAACGNGGATTSMTLDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQERLREEVLRECGGGGGGDTEALPNGDALSKLKLMTMVLYETLRLYGPVSQIARKVTADADLGGVRVPKGTTAIIPVAILHRDVDVWGADAGEFNPLRFRDGVNRAATHAGALLTFSLGHRSCIGQDFAMMEAKATLAMVLRRFAFEVAPEYVHAPLDFLTLQPKCGLPVVLQLLD >OB06G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3415864:3421223:-1 gene:OB06G15450 transcript:OB06G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMRCLRLSSRRGSGGGLWGDTMTAKTWTHRATRSSPCASTRTRTARTPSSGPSTPSSRRARSSCSSMSTPKAPQARGVEDASGFKQPTDPHLKELFLPFRCFCTRKDIQCKDVLLDDHDVAKSITEFAALAAVEKLVVGATARGGFRFKADIPTTISKGAPDFCTVYVINKGKVASVRNSTRQAPRVSPLRSQIQSMAAAAAKPEQAMAPTPQKWSSSSRAHDHAETPRVDNYIRSPFARGPTGGATRKSYADLSHLSMPDSADISFVSTGRRSIDHHPIPARLSAASADSYDHSFEMSRTPSKWGGDSFGGNDYSSFSQSSASSFCSLGMDDVETEMKRLRLELKQTMDMYSTACKEALSAKQKAMELQRWKAEEEQKTQDARLTEESAMAMIEREKAKAKAAMDAAEASQRIAELEVQKRISAEKKLLKEAEDRKNRGGGGMSHETRSRRYSIEEIEHATERFNDARKIGEGGYGPVYKGHLDHTAVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLGPPKGAGKGAQTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGVMLLQIITAKPPMGLTHHVGRAMERGALGDMLDPAVHDWPVEEAQCLAEMALRCCELRRKDRPDLGTAVLPELNRLRMLGEDNMQFCGGAIRGGGGGGMFSSSLLSAASRSQADLMSDSQYPRSVFSSRASESPMPPRRSNV >OB06G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3425149:3425472:1 gene:OB06G15460 transcript:OB06G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLRRPADERAVGVPRVGLRRGVRRGDDARRRGQGGRVARRRGGRAADEGEGAGAAGQGGEGVRNRRRMREEFQQVRRDRLCAVIPSSVRDMSRRLELNEPLGCY >OB06G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3427403:3429321:-1 gene:OB06G15470 transcript:OB06G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYVYKAKTKSSGTHYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMVGAQGQGVHVPEQHLRSGK >OB06G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3432191:3434141:-1 gene:OB06G15480 transcript:OB06G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MC04] MSYLQKPSYYTISLVVVLLLPFTIICASFLLPFSAYLRNPVVAAGGPAAPAGGGGAAGGGGGGGGGGGRPERISVLVGVHTMAKKHSRRHLVRMAYALQQTPALRPXXXXXXXXXXXXXXGDVLLFDCEERPDQGKTYDYFAGLPAMLGPRDPAGGRPPYDXXXXXXXXRLDALVETLRRSPREDMYYGAGLPFLDKRSPPFMLGMGYVLSWDLVEWIAGSDMVKALAIGAEDVTTGTWLNMGNKAKNRVNIFPRMYDFKGANPEDFLEGTIGVHQLKQDLRWAQALEHFNVTWLDPSRKTTNLIFS >OB06G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3438847:3439413:1 gene:OB06G15490 transcript:OB06G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRRVRGSIGACGCISGGSGRWRSVCPTVGSGYGWDTTLEKAVREFEIAFICLHGAHAEARINFPDLPPVVLAHTADLQEVYAAAVSHANRPSSARPPAAALLLPPRKSSTGGHA >OB06G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3440111:3446381:1 gene:OB06G15500 transcript:OB06G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G26370) TAIR;Acc:AT1G26370] MARRRRVARRRDPSSGERATAVVTKMPPFSSSGASLQKQQHNARRRQQIRQQRKSLPIASVEKRLVEEVRKNDALIIVGETGSGKTTQLPQFLYDAGFCQDGKVIAITQPRRVAAFTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQRSRSIYANKNGKILPDIQDQSQYFIPKACQGTKIDPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLENGPGDILAFLTGQEEIESLDRLIQERVRQLPPQSSKIWTTPIYSSLPSEQQMNAFKSAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFIEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVSSQFKCLEEMLIVVSMLSVESIFFTPRDKLEEARAARKSFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALQRWCRENFINYRSLRHARDVHSQIQGHVQQMGFNLSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCVIFNELVRTSQNYVKNLTRIDPLWLAELAPQYYATED >OB06G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3458453:3459315:1 gene:OB06G15510 transcript:OB06G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPMLAPVSPSSRMSEDMRSPVSARLRSLRRLLSRGKQAVVGPSFSPRGGGSGDIEQGLAGADAACPPKTPKTPPAAN >OB06G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3467906:3470296:1 gene:OB06G15520 transcript:OB06G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLARGAARSLLDRLRPPPRRLVGRRAPAAMPPLAAAAPRACFCSHSCSNLRGESGGFQRKGFLDLGIGRRFAPGGALSSRGCLNWQDRGRSKRVDGGEALRIKAQVLAPQRQLLHDSEVLPLEEVGAKSLNGNGACRRGKPLGFPEHGAPAKMVVAVDVDEVLGSFLAALNRFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIEKYYPGLFEQIHFGNHFALQGQSRPKSEICRSFGAQVLIDDNPRYALECAEEGMRVLLFDYHNSYPWCKTGVDESHPLVTKVQNWQEVEEKLHSWVLPEC >OB06G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3471226:3472902:-1 gene:OB06G15530 transcript:OB06G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQPARRGSRPTKSASSLPQSGATQQGAGQVRLSAATVTMAAGRGRPPTPPSPMVSNMAGMGDYMNLVNEDINHYDLGGIGSQPEDEQPPAVDCTSVKPKQKRSKNFSDEEDELLVSAWLNVSLDPVSGSDQPKSTYWNRIYDYYHSNKTFISERNENSLMHRWSTIQEAVNKYCGYVSKIQERNESGVRLDQEMQARIWYKKDDEHQRTFNCMNCYHLLKNQQKWMV >OB06G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3475410:3480115:-1 gene:OB06G15540 transcript:OB06G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGCLKRLQKEYHSLCKEPPPQIVARPLPNDILEWHFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTEGEKRRLAKASLAYNCESKNCPHFKKMFPEYVEKYNQQKQMEQTVAEPETQENPAPAPSPAVQQLAATIANKAKPVVEAAGERKQKKRVPIWMMLVMFSVFGAVMALPLMQL >OB06G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3483722:3484942:1 gene:OB06G15550 transcript:OB06G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MC11] MATLSPPPVLVVFLLGVLLLATATTTECHRHGHGRRPRHESKAGAAAKATPAGAVDVDAICRTTPHPDSCLASTAAHLDAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFAAAVAKIIHHNRRLLDDDADYSDNGGDDDNNSGDDSTVVITVAKDGSGNYRTVGEAVAAAPNNSAARTVIRVKAGTYEENVEVPPYKTNIALIGDGRDTTVITGSRSAADGWTTFRSATFGKQHAIIHIHPHLVNSKRIP >OB06G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3485829:3487816:1 gene:OB06G15560 transcript:OB06G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDVMEENVATARERREEERLKDRNRRKHDQTTPTKISSKQQKLLNRTFQMLHLAMGSRPSPATSFQAWGLHHTLRRPAPPSHLCSTQSLSTGPRTPAISDKKSSEQKLINWEKITHPHTHTTTFLDHGQDRLAFLLAVAAKESCGGELLLLLQPWEEAALNLRAAAFLVPNLGLLASMEEGWSW >OB06G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3486819:3487979:-1 gene:OB06G15570 transcript:OB06G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSCSFLHHQLHPSSMEASSPRFGTRKAAALRFRAASSHGCSSSSSSPPQLSFAATASKKVFEDQLRGIVCYRDEKGELVCEGYDEGPRLGMRLPEKACFPWPVGVQITDFIELATFRVFEDADVLQLENDQKWQI >OB06G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3492866:3496522:-1 gene:OB06G15580 transcript:OB06G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNGYMWSDNSASENYSFVDGSAEPYAEEGSLQPSGYFMGTGSDRSLKITEHERIPTMLANGCLPYNTQAHALSSHVLSKDELPNNLLELRQLQNNSNLQGNSIPPRVLQCNSTPGLFDAKLDTPGLAELPHALSSSIDSNGSEISTFLADVHTVSSAPTLCSTFQNVSSFMEPVNLEAFGFQGTHNTAMLNKTSHPNGSPSLFDNAAMTSLHDSKEFINGGSISSFGTVLQALGAGGLKAQQQEQNVRNIPLPTFTSGSRLAVTDAQGPPLPSSKIPPLIHDHKSEYPINHSSDVEPQSNSAPGNSASVKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKSSMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHNNPSLSASTISQGLPEMTDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAIPPEK >OB06G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3515289:3517216:1 gene:OB06G15590 transcript:OB06G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDILPPPPRRVTAGDLWLEKQQKKKKTQKARRLPRRDEEEEEEEEDFEADFEEFEGESGESEVEVEVESDVDEAKPRGQRRRGLNTTVAGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQSQHTEPSSVNMPTFSVEEKPAVMSAGNNTMYNTNAYAYRAVEYTLEEPFVQTQNVSFVPTMNVIGDSFLNLSSDQGSNSFGCSDFSQENDTKTPDITSMLAPTLTEVDESAFLQNNASGAMVPPVMGNASVDLADLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >OB06G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3541361:3542882:1 gene:OB06G15600 transcript:OB06G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPYEEQRRRQVEENKRKLEELRLHHLSAAVREAAAKPSPTKQRKRKARATPGAGEDVPLRRSGRVASLPERPKYQDEFQDFGKKIRRSYGGKRRYLSNRVYATDEEREHAITAAQELEEELGSDHPIFVKPMLQSHVTGGFWLGLPTHFSRKYLPKRDERIVLVDEADDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTKFKVYIIRASSYYETDS >OB06G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3558534:3558854:1 gene:OB06G15610 transcript:OB06G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIWFNWMICSLYKPNTTAPERGIRKQGIHPPQRSGDRRGIQPTTKKGHSELLSGRPSQFQRFTSRLGNDHEHMRCRISKYLPFQALQTLCNASPPASHCYMIDG >OB06G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3561534:3566531:-1 gene:OB06G15620 transcript:OB06G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:J3MC18] MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGQANSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLVGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTETDKRLTAFHPEIEELLYSDVENDEHKFVLKDRNKPVIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVAGDHGNQSKDREEQAEFKRMYDLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDATYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSK >OB06G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3577494:3589514:-1 gene:OB06G15630 transcript:OB06G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAGGCLLQSFELYEAESKYYILGSNTDKTSWKLLTIDRMKPSELNIDEGSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQKKIGAIFGHPVYQVTRTAMIELSNSQSRAKFLNSRDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPQEGWDLYDTMFVWNEFLTRGIRNILKSTIWTVALVYGFFKQDKLAISGKDILLTLVARRSRHYAGTRYLKRGVNDEGKVANDVETEQIVFEDMLGPRQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDNTYEATRLHFENLRRRYGNPIIILNLIKKRERRPRESILRREFDKAINIINNDLPGENHLRFLHWDLHKNSQRKSTNALQVLLKVAFEALNLTEFFYYQVPPARRAESSFNLHASLKNGFGPHECDDSNNDDATDCIDNIDDMSHEDTCGSSDTSGNGTAEDIAADNGSIPVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSVESSELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELDSVGEGVLGENASKLMKRARSDGSILRKSNTSMSSNGRNGMLKSSFTDAKSELQSPNSSSDSVNEISSVTVSKSRYTPTGPHVKHVSCELDYYNGSGDSNFLDIDWLSSSDNERSTAISTPDVNVSTDNVAAGVSSRRTEDHAAEIQAQGFSEHFVQWIDQGETFWF >OB06G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3600462:3600665:-1 gene:OB06G15640 transcript:OB06G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLCKDALEAECFALLEGIRHAIEWTMLPLIIVTDCANVIQMFKSKDTNRSQLAFVVMETKRILTRDR >OB06G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3607575:3609158:1 gene:OB06G15650 transcript:OB06G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVGGGGGGGGADADADLYAVLGLSRECTDAELRVAYRKLAMIWHPDRCSAAGGSSTGIDQAKERFQEIQGAYSVLSDSNKRFLYDVGVYDGGDGGDDDDSDLSGMGDFLGEMAQMMSQATPTESFEELQQLFVDMFQDDIDAGICGIPAATCTFQTPAAAAAAWSFPSSPPPASRKGATNKRCSPSPAMDSSFGLGISGFCFKAPWTSQDASTGGGGGGGKRRKQRPPAASRNV >OB06G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3609501:3609656:1 gene:OB06G15660 transcript:OB06G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSADRVAVHQSSNRREIAAFVAVLSVKTPWRNDSNVDARWYCLLVSGTPA >OB06G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3610881:3612911:-1 gene:OB06G15670 transcript:OB06G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:J3MC23] MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNFYEKLSTVIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLMPLEKLSEEDMKLVMEMKAEAMKTLAQGGEPNEEGVLLTMSTLTEEGVIAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPPCIPQAVLEARANAAAAKEKKKLERKLEKDLENENGGAGVYSVSLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTDRMGRQLASMGFDPSAAVDRARSRSRGRKRDRSLSRAGTDGDDMDIDGQQSNKKLRARSRSRSRSKSRPPEEVVPGEGFRDSDQKKKAIKKAKDSVKKRNKEARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >OB06G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3615237:3617917:1 gene:OB06G15680 transcript:OB06G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRISQLGARIMREGRAAAKLPSSTTSYYRGQPSQVLPPAKSILFSTATTSSDHDQGSQYKEKISVTFVNKDGTEQTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLQDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLALPAATRNFAVDGFVPKPH >OB06G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3620887:3621533:-1 gene:OB06G15690 transcript:OB06G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEQPLEAVAFRLYSLPEAAAAGAAAWTCLAAVLAAAAAAGIWRLRAATPAAMISGDTSFGEDRLGRDTSSSAAAGAATAGRGGGGGRGGEPLASSSPSPKERYTAYYRDSCCVGCCDLDDEEVVEEEEEEEEDDDDCGMDGPPSETMPFEWEIVRSLPLSPTASAEVCRYRDTAPLGGSVVRLWDQAAGGSLTAASPRRRGRPGGVVPAF >OB06G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3627477:3631843:-1 gene:OB06G15700 transcript:OB06G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEAEMKALWAHLAAGHDKETCEFYANSLLHSNKKHKQTKAREFGGETILFFDAKKQLGDEGEPLTCQDSQGQHAVAGRRGGQPKAVFHPRRATASAAPPSDTGKMISRDLVIESNNFNINDDDTAQSSVELGGFLKITGDSDTEPSYVYIKKDSELEEEAMTMNSSQAMAGSGSNAEEAEHQSEKETGDPEEKTTAPSDTTAKESPATDQEDSSPQSSDQSFYSNVDSSFSHRSSELAASPTDSPLHGSPSSTGPSTEQLLEADAAMLRKRREEEEDDDATAAEIKNLLIIPGTTTSSSSVASPVVAVQSPTEAVAGLKRFLTFGKKNGNIKAGDEVTAAAVADDDSVGERWRSGDSGVIPRICSSDAASDDSDNNYVIPAHVRSLQSCVPCSPARPVLLKELISSAKSPRAHRSFFSFSSFKSRGY >OB06G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3632989:3636149:-1 gene:OB06G15710 transcript:OB06G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:J3MC27] MGLVSVFGNEVDAYYDRLLAGESGVGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESADLALGSKSMDKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQARDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLVDAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >OB06G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3651763:3658062:1 gene:OB06G15720 transcript:OB06G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3MC28] MKDQGSSGVSPGPAEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMNKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYERDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELSAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDQARWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALLPGLSLVQWMAMQQNPQMLTAASPTVQSPYLTPNVLAMQDVMGNSNEDPTKRLNAQSQNIVLPNLQVGSKVDHPVMSQHQQQPHQLSQQQVQPSQQSSVILQQHQAQLLQQNTIQLQQHQEHLQRQQSQPAQQFKAAASLHSVEQHKLKEQTSGGQVASQAQLLNQIFPPSSSQLQQLGLPKSPTQRQGLTGLPSSGSLQQSTLTQTSQVQQATEYQQALLQSQQQQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDAMGQLKQSPSQQAPLNHITGSLTPQQLVRSHSALAESGDPSSSTAPSASRISPLNSLSRAHQGSRNLTDMVATPQIDNLFQEIQSKPDNRLKNDIQSKEPVSVPSRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDNFLIAENVDALMPDALLSRGMASGKGMCTLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVTDAGLPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPSQTQACSASDDANAWRGS >OB06G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3659773:3663963:1 gene:OB06G15730 transcript:OB06G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGTGVAAVAFTKKGAASSFDGLRLAPPSARVCSTRRAFRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTIGGILLPSTAQSKPQGGEVVAVGEGKTIGDKKVEVSVPVGAEVVYSKYAGTEVEFNDAKHLILKEDDIIGILESDDAKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVTAGSNVLYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >OB06G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3667284:3667919:1 gene:OB06G15740 transcript:OB06G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVSSSSAPAPATAAAAERKYRGVRMRKWGRWVSEIRRPNSRERIWLGSYDTPEAAARAFDAAFVCLRGGAEAGINFPDSPPAVAAARTSDPQEVYAAAVSHANRPPASARAAPPAAGVVPAEEAHVVVDKPDVAGGNVAPAPPPPPAVQVPGAGSFDWSQNPLYSPTCSYGLPVWMTAEAAAEESKEEEDDEGTSDYLWSFQYSPTHPS >OB06G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3670015:3672040:-1 gene:OB06G15750 transcript:OB06G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSAEKKTAAEIVAALDLQRHPDGGFYLETFRDPSVSLPKSALPPRYKVDRAISSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTHDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQFEDNEMATRESMKALAPKAEAFINYLVPS >OB06G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3672553:3674618:1 gene:OB06G15760 transcript:OB06G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CHD5-like protein (InterPro:IPR007 /.../Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G16444) TAIR;Acc:AT4G16444] MLPAMLVLQRGSITDQQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQEQDIKGKQSLYNQYGRVLLFTKVLIYGLLILWFWSVPVTTVPKHLLQPFGRMFSWRGVDAATGHVMVGILPWLFLTSRVSKLLCQKLAPIFLHP >OB06G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3682837:3684838:1 gene:OB06G15770 transcript:OB06G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAEALMQLKKSFTNSSSLSSWLITSKDGDKSPCAPGSHEWHGVVCTRGTVTGLRLSGLHLGGTIDVDALVSFRRLRSVSLASNNFSGDLPGVDRLTALKSMFLSDNQFTGALPDDFFSKLNHLKKLWLDGNQLSGPIPASIAQATSLIELRLEHNAFSGELPPLPPPALKVFDISWNDLDGVVPEAFRKFDAGKFGSNQYLCYVPTSDRPCRRAPTEASSSRRLTTADDLGAGGAGDLVIVNNCKGAFGLTDLMKAAAEVIGSGGLGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMKRLGAVRHANLLPPLAYHYRKDEKLLVYEYIPKGSLLYVLHGDRGVDYAALDWPMRLKVAVGVARGTAFLHTALAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHTQSANSMIAHRAPECVAGHLVGAKADVYCLGVVLLEILTGKFPSQYLHNAKGGTDLVMWATSAIADGYERDLFDPAMMAAWKFALPDMTRLMHVAVDCVEMDVDKRPDMKQAAARVEEVVAGAMATVRERQQAAGERPGDAAGSSRSSHAQYVRDGSMQRITSVGERSSRRGSNDYSYGIS >OB06G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3685997:3686836:-1 gene:OB06G15780 transcript:OB06G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGLVQNGLGVEAVSFYKEMVRAGEKENGYSFASVLSACCAIARLQHGKMVHCRIFKSGFCMDTIVGNTLLDMYFKCGSSMDAQLVFNTMCSYDVVSWTAMIVGYGRHNDAGRALESFRTMIDRGFKPDNITFLTILSACSQGGLVDEGLKIFHSMVEFYNVKPQREHYACLVDLLGHAGRLNEAETLIRQMGLELDSFAWESLLSACGLHGEVDLGKKSAGKVMELEPQKHGPYVLLSNMYAEQCRWHDKEMLRERLNCSNIRKGASWSCFPASEAN >OB06G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3690807:3691631:1 gene:OB06G15790 transcript:OB06G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFVVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGSGSGWGSGSGSGYGQASGSSGAYAGGGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGQGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGQGGGGGGGQNGGSGYGSGSGSGYGQAGGYWPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAGGHP >OB06G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3692172:3693656:-1 gene:OB06G15800 transcript:OB06G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIAHSRQCDQSLFFKAQKNACDPSKVCLAAHMLFDAMPKRDIVWYKAVILIARLISSSALGTRS >OB06G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3693608:3698758:-1 gene:OB06G15810 transcript:OB06G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18110) TAIR;Acc:AT3G18110] MMGVYARSGRFDDVRLLLDAMRDQDIEPDLVSFNTLINARAKSGSLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAHEAELMFKELLEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFKKDGITYNTMIHMYGKMGRLDLALGLYDEMRALGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRRDDAERTFDRMVESGVQPDRLAYLVMLDIFARSGETRKLMVLYRNMIKDGYKPDDGLYQVLLAALIKENEHNEIEGIIQDMEVVLGMNPLQISSILIKAECISQGASLLKRACLKGYEPEGKSLLSILDAYEKMGKHEEVLSLLDCIREHVPSSHNLISQCSIMLMCKNQKIVDAIQEYSRIQMLKHGYFGQDHEFYEYFITYLEEAELLREACQVFCDMQFLGIVPSQKIYQSLIYTYCKLGFPETAYQLMDDAVRSGISLNLLSCRVAIIEAYGKLKLWQQAESFVQGLKQESGVDRRIWNALIHAYADSGLYEHARAVFDIMIKKGPVPTVESVNGIMRALVSDGRLDELYVVVQELQDLDMKISRSTILLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRTMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDKTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLNEMGKRSLTPKLESYKVLLAVSGKAKLWEQADLLFEDMRSKGYRLNRSIYHMMMKIYRNAGNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVIDAYLRNHDYNLGITKLLEMKRDGVEPDHQVWTCFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEELGALEDSAPLNFVNALEDLLWAFERRATASWIFQLAVNRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQDASLQGAPDSPKSIVLVTGEGEYNMVSLHKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVSVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIQGRKEKLEKMKRQGLAIAKRSKKGHRRGKFIKQQSTQEVLK >OB06G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3699650:3703292:-1 gene:OB06G15820 transcript:OB06G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFETTTVSDVSKTDLRSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGHTSLLYEDNSQAPFPTMGSSNWEQSFVGDLHFSNGNQGLHYDSESAAGFSDSFSSEYAAATDLDPLEYLASQFPGFSAESLAELYYANGCDFNHTIEILAQLEMQVDPTSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFSGRSSPSVSSGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSATKTSSGNKFQSVSSARSAPWLETGDAVANMYSESREEARDFARIRNACFEQAKQAYLIGNKALAKELSMKGQTYNAQMKAAHEKAREAIYRQRNPASSNRGSDRLIDLHGLHVNEAIHILKVELGALKSTARATGERMHVMVCVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >OB06G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3707479:3707904:-1 gene:OB06G15830 transcript:OB06G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPRYIAAVREEYLGRWICGLCAEAVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPLPRLAAGVPRRAAAGPQGCRRVRTGRLITSRRRRSFSKQLLWFAAGANDWGVDQAR >OB06G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3718659:3718823:-1 gene:OB06G15840 transcript:OB06G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRAEGGVSWSVIICTPKDRVMIKFISQLEDDCSKPTPLDNSANMVWMVKFSE >OB06G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3718445:3720301:-1 gene:OB06G15850 transcript:OB06G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSETVQENLALDFCQPIRPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFVDGKSLPSDIILYTDDDEWSTWKKIGDEVLHIELRKWADMMVIAPLSANSLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTNRHLETINQLGISLVPPITKRLACGDYGNGAMAEPSEINSTVRLACKRQPLNTNSSLVVPVSSIPPSS >OB06G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3722623:3722988:-1 gene:OB06G15860 transcript:OB06G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVEVARRAMLFRMPKRRRAAASAAAAAAGRRRRKVAVVRLGGGGGGTGRRLFGAMRRLRVRWLAAMYRRALRRLRVCCANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >OB06G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3724365:3728932:1 gene:OB06G15870 transcript:OB06G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) TAIR;Acc:AT1G48270] MAPAVAASAAVNQALRDRDILDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCWIQQGSMAKVLHLITFYLPLWGAILYNGYTYFQVNRMINNATRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRLHDFVDPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPEKFKRSLPTLTRFKSQQENELTSLIVDASNNT >OB06G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3731464:3731658:1 gene:OB06G15880 transcript:OB06G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSEVAFLLLCVAVGGAGMVGPITEALEEGAAVTGSRAAAAGAPPCRPPGHLFPRRDPRRPL >OB06G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3733663:3733977:-1 gene:OB06G15890 transcript:OB06G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQVGVFYYSTVTSSCHCSFSHWANVTVVVLRVPFPRLAEKKEKKKRSQLLHLFSVSFDTLLIFCNTTTVLLCVACLNFARNSDAKIGRKKRGEKMGEEKTQG >OB06G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3737295:3737640:1 gene:OB06G15900 transcript:OB06G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCVPPIVLVAFVLESTLAAPRKASPPLNPVARLVADDLLLAILATFELTCLLLFAHVGSLGAGGGATRHLAITTLAAAAAAALLAATLLSHVSTTGAAAWAQSSS >OB06G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3747005:3747301:1 gene:OB06G15910 transcript:OB06G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVVVAVTFSQILGILTGGFTAGAAGYDDGNPVVRMAVSVLTFTVPASFYLGVALLYARVTPVAPPALRHLLAVLASAMAWTTLLLGLPPLAVLLLR >OB06G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3745195:3745518:-1 gene:OB06G15920 transcript:OB06G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQKSRTTNYATIFCSKHAFDLSFDLFKQIKTQLQFRVSFQKKKTSVQRGRINFIHFVWYLPGKASPGIIWHSMLGHIQCGLELDRLRDGDNKKTLFLAYRCFFTL >OB06G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3746854:3747114:-1 gene:OB06G15930 transcript:OB06G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGLPSSYPAAPAVKPPVRIPSIWEKVTATTTENMASSARMICEEKRRKLIQRDHAIVWRIGAIMHGDGLTSLPHTATGWRRGG >OB06G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3762470:3763312:1 gene:OB06G15940 transcript:OB06G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVAIGGGAVGGPEALRLLLTVAGRSPLLDIGIVLFVVAAVTAPALGTMLLARFFRKPPGARRASGRGAVAADGFAMVTLVISLAVVFTVTACLLVEQFVVAGGFGPFPLVAVALAAVVVVAGAVPGPVRDAVQLSRFFRGVSGAAPAPAPATPATNRVADAAMLVSCAVVSFAAACLLLVPHVAAGGLDAQGLLFAFTGQSRPVHANVLVGFATVIVSALLALLSHRGHNATAAQPPAMGRFPSMISVTITTHAVVLFLSACLLAIPWTNRAGAGAA >OB06G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3766429:3766755:1 gene:OB06G15950 transcript:OB06G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLVTAIVFALAATALGGPEALRLLRDLAGRNPVADVAMLVGVMCAATAPVLGAMLLVRYIRVAGNAPDPFTRLFARVTLTVAAAAIFLVAVWLVAVPDAKFACSA >OB06G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3779701:3780084:1 gene:OB06G15960 transcript:OB06G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYKNYSKLLLICKNVVSLFLQKCKTMRANRVSAGVMFCSWTRKKRRGGGGGAGEHDYMSRPDLVNKYYKNPVQRNSSLDGFQTGPAHMLNHKIWTKNLKTHKCVPKDAEEKLPLNLCTSTFVETPA >OB06G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3790116:3790688:1 gene:OB06G15970 transcript:OB06G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFFGLLVATGATVFVSFCVDQLGAVSSARALRPLDHVAKVVMAGAAMGALNTAMAFIHVRVYNGRAAASSRRTMEAVSLILCASTGVLFHLIFFVQPVAGDGAHDLLPLAVVVVRALLPASAAATFFASVVLIYARLRAGLARGAASAGSMATTTSVKLLTMMIHAVALVTVVLSPIAAIIVLCYSE >OB06G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3797415:3797708:-1 gene:OB06G15980 transcript:OB06G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSWVHGRRGNSRDGIARDGKFPSTSRVTHHYSYRHTGPTYNGTHTSATIMALPPWWRVIFLALWWAWPIDLKPGKGCAKAGGAGKQVYLCSYISSK >OB06G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3797842:3798435:1 gene:OB06G15990 transcript:OB06G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSGAAFFGLLASTYATGFFPNLDVQAGAAKNIVVQAPPLDAAVQTMLLVAAALGAFNAAVRLIYARLYNAAAATALDRRIPQVVYLTLFASSGLLVVVPQPGAIDGGHDLLPLAVPVVGALRPAAAAATFFLSVTLIYAHVRAVGEGGADAVAAGNVPILPIIVSLLTKLVLAAALLTVVLTLTSTVLASYAG >OB06G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3802465:3803211:1 gene:OB06G16000 transcript:OB06G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSAAVRRLRLSDHAGGALRPLHSARVPAVAQRALRVHRRRDVLLSPAAHRRRRRLVVPGAAPRRRRIVSGGAGDREHERAHGHVVVDGEQRLERVHDVAHAGPRLGHGLQALVRHLRRRVRGAHRVLRVQARVHDAVQLVGSPKVGPRPVHQVLLAAAPPLVDGPPPGEQLQQHHAEAVHVAPRREVARQDVLRRRVPVRAHHPRRHVRRVALGPLLRQPEVRQLRRIILKKKTQTRSANYDRQRF >OB06G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3802501:3804744:-1 gene:OB06G16010 transcript:OB06G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMFACFGRGGEEEAEARKEQRQKPVLRRRRTVNLRSLSLEDLSRTLAKTNLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFIDGSLRPGLEAQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVNLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHESETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTARSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADKLHRIMDPGLDAQYSVRAAHAAAKVAHQCLQAVPKSRPCMRDVVDALEPLLAVDDDVPMGPFVFTVAGAAADDAAAAGSSAGDDEPATAPVSRRGKKHVTSPVHAESPLRDGRYASRVKRPESPPSVI >OB06G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3815111:3815419:-1 gene:OB06G16020 transcript:OB06G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGYTESLTSIYTYEMMAKWVAHLLAGAFRRMEASASEWGEHAVVKKRGGGRVEAPCFGAVSTWYNDELCRDMGYEPRRKQAILAEWLKPHGSADYAAIR >OB06G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3815111:3818141:-1 gene:OB06G16030 transcript:OB06G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MC59] MDATKKTVAIVGAGASGLTACNQPSTRWIAFAAKHALAKGFRPVVFEADDGIGGVWRHTLASTSLQTPAFSYRFSDFPWPPEVAEVFPRHDQVVEYLAAYARRYGVLECVRFGRKVIAVEYAGAPAEQAAAWELWPANGETFGDGSGQWLLTVQHRGSEATQICRFDFLILSALGDSVEFPTPRHFHPIEVPRCSMARCSTPWTTPTWTTRPPPGRGKRVAVVGSGKSAFDTAAECAAANGARYPCAMICRSGRWMVNGGFVWGVSLGHLFTNRLAELMVRKPGEGLALTLLAMLLTPLVATVEADRDVLQDADPDGEARDGAGGELRGINVGLPARSSPRQVLRQGGGGQHLDQEDQILRLLNGRLGARRHRRAVRRGRRRARHRLPWRREAEEHVRLGNVQADGRRQIIHPRIPQMAVIGYTESLTSIYTYEMMAKWVAHLLAGAFRRMEASASEWGEHAVVKKRGGGRVEAPCFGAVSTWYNDELCRDMGYEPRRKQAILAEWLKPHGSADYAAIR >OB06G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3821635:3822410:-1 gene:OB06G16040 transcript:OB06G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPAHGATLYLLASILACRLSILTMRFYDRVDNGSVVLVLKRCDPSFSFCAAGLVLDDTDDRVDADVVILSTGFQANRQCVYPRIPQIPQMAIIGYTEKTTNIYPYEMMAKWVAYLLDSTFYLPAVTRTERSVAEWTSWGQDMRRCSGNYFHKSCVGTITTWYKRPLCQDMGYVPRTKKELLVE >OB06G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3825713:3829694:1 gene:OB06G16050 transcript:OB06G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDRLILSNMDHDLGYLHKFPSDFPMSHDLGLSLFTHVGSLVGSSLRQHRQMCSSGNLMVQEAFGRLNKFARAFCYWLYRAYNTKNLRRLISTEGPSSRACQSHINQVNSRLQNLAVLQFGSLVREEHAVQLLLANFASTTLGRFWNDFQQQHACNFLTLAGTMAIVPPLENISLKMLAESMALGNIKDYLSRPMDQPYLEGKCLKSRSVAVPSTIFQEDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMRVKNLDLYAFGLYLQPNTISEKLGPKYASVPTIRLKDHPEFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYRCLKTFGSYFKEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLEAKKDIAQNVAGLMGK >OB06G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3837259:3840026:1 gene:OB06G16060 transcript:OB06G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAMESGRGHGDLFGGGRGVGGALWPAARGGGGSSSSAGWGSDSRAGMALCEDAAEESSDGEVQSSYRGPLDAMDALQQALPRTRGREGTKFSLVGAEDAVFSSQHTKELASPDDPSPRKRKGFLACSVDQNNSHSKELSLVDDATSRPSSCRKLLYPVVTGSSPVKNRGYAEQARTECCKNLPGCCLQKSLDATDALASPPAAVLPELTSVQTKFVAISLSDVAELTDVISPSEKRRKN >OB06G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3842068:3849956:-1 gene:OB06G16070 transcript:OB06G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAALAAASRALVVLVLVAVVVADDGWWFLAFSFSFLAGEGGCGLCTGEQRFRGVWFDGISILLVLQVLPFLEPFHLCANVGALHSGSTLLEIKKSFRNVDNVLYDWAGADYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGRLKNLVSMDLELNGLSGQIPDEIGDCSSLKTVDLSFNSLDGDIPFSVSKLKHLESLILKNNELIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSLSPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLDLSYNKFSGSIPFNIGFLQVATLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGSIPSILGNLTYTEKLYMQGNNLTGPIPPELGNMSTLHYLELNDNQLSGFIPPELGKLTGLFDLNLANNQLEGPIPENISSCVNLNSFNAYGNRLNGTIPPSLHKLESMTYLNLSSNFLRGTIPIELSRINNLDTLDLSCNMITGPIPSAIGSLEHLLRLNLSKNGLVGFIPAEVGNLRSIMEIDMSRNHLGGLIPQELGMLQNLILLKLENNNITGDVSSLMNCFSLNILNVSYNSLAGVVPTENNFSRFLPDSFLGNPELCGYWIGSSCRSSGHQQKPLISKAAILGIAVGGLVILLMILAAVCRPHSPPVFKDVSISKPVSNAPPKLVILHMNLSLMVYEDIMTMTENLSEKYIIGYGASSTVYKCISKNRKPVAIKKLYAHYPHSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWATRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDMDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVHPDPPLKSAQQLAMPQAPTVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTE >OB06G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3873770:3882283:-1 gene:OB06G16080 transcript:OB06G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLPALDAITLTGFMFLVVSALLGYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHLFTFLTGAEWWAQDFRKSIPLLNWVPLPFISDIPLYIIVIILMILFAVIPTIGSNVSNVQKVVDARKGSMVLALAMLLPFIALLIGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCMSLVFLPFAIANALTAKINAGIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >OB06G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3890157:3891621:1 gene:OB06G16090 transcript:OB06G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAGRHGGGSGRCLFTATQWQELEHQALIYKYMAAGAPVPPDLLLHLRHHRDVDTAPSLAFPPHHPSHASEDYCHICPIHSYSY >OB06G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3900249:3901974:1 gene:OB06G16100 transcript:OB06G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:J3MC66] MAAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSMAWG >OB06G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3906858:3907793:1 gene:OB06G16110 transcript:OB06G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGMKRGAWTSKEDNMLASYIKAHGEGKWREVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNISDDEEELIVRLHTLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSRKVGSAAAGVGGSPRGSGAADSAGARATDAASSSSVVPGQQQAAASGADTDNATATTTTTTVWAPKAVRCTRGFFFHRETLTAPTPPLAEAAPTGEGDGDGDGDGDDCSGSSSVATTTSSTFPAVEPCSIYAGDDWMDDVRALASFLDSDDAWNLCA >OB06G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3916751:3919743:-1 gene:OB06G16120 transcript:OB06G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAEVVVLVLFLALLIVSLVVRPLKKSSFWGLEIWKWCVMVICVFSGHLVSHWVVTFVVFLVERNFLLRNKVLYFVFGLKKSVQVCLWIGLVLIAWSQLFDRDVGRSAKTARILNYVSRFLASVLIGSIIWLVKTFLMKVVASTFHRKAFFDRILENVFDQYILQTLSGPPVMELAENVGREGSGRVSFTKTKEEKSSPGVIDVMKLRKMSQEKVSAWTMKGLMAAIGSSRLSTISNTIESFDDVDGMEQKDKEISNEWEAKAAASAIFKNVARPGYKHIEEVDLLRFFNKEEVDLVLQRFEGAFETRKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLITVLVIVIIIIITLLLMGIATTKILLVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQVHREQTNTLVPYSHCKLKGHP >OB06G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3932102:3932359:1 gene:OB06G16130 transcript:OB06G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLFQEIWSCPYSMETAPGYGEDIDGGASPSISMLSEAASRRKITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKVSIFE >OB06G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3935265:3942875:1 gene:OB06G16140 transcript:OB06G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNETTQRLLNQDPFHEVKRKRDKKRESAGQKNIADASAQVEHSSQWTKPRTQRVENDQRTYSHGHASGPNREFRVVRDNRHGVVENRPELGYKGSPNVKVSDRSVPVVQSGRNHPPATTSDGQITQGAKHSYNSDMHQVKRPAQSTAQKYVKPHLKNSQDDQQPPSSDAAKTRPGLKASEGAVGSNRHHVGVVDVGRQPSACSGSHFHVSSGGSHINNQRGNFVPGGPRHFMSKNMQPIHRTALDSIHRGRSGGRSFVASNTIKYQQGPTSNQKALQPAKEWKPKSTKKSSNTDIDNNGTDAITPSASNAENSNALDENVLCSKVSQACIHEMEHVIIPEHLRVPEYEQTGLRFGSFPAGSGTDQVPISESPSESEEQEHVQEPVELVQEDSLHAGHDEMDEQARSSQLNLSTSTAEISLPPSEDSIEMNGEEVENDDGLGLVQSDTPIGPVDGQNMQSASNLTVFSTYGHENPNRHPNNEAQLYNLVEPPQAMASPSQGYPSENPEADNAVQVFRIPESNVHSQVLPSTSEALNSQIVSSSPVAISQQLQHMSQPQQAAQLYPPLHMQHYPNFMPYRHHIYSPFYAPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGYPISSPGIIGGNVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMQPMQCPPYFNLSGQTTSGAFVPNPGNAPFNATAQSSHAQFPGLYHPQQPSSIVSPHAMVHQQVPSAIGPSVGVGVATPAPQVGAYQQPQLGHWRPGF >OB06G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3956509:3957274:1 gene:OB06G16150 transcript:OB06G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHGSMHGGVTASATPVRPADATIRLFGRDVSNDDDQVPKEEGAAEAAGGGAAAGVQARRVDSPWGCRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAHYPDPGRHVYGALFGYGAAHHHHHAAAVLPQYPAVWASSAVPGLYASMARPAYGSGVDVAAGATTGGGGSPGGGGGSAFGTAAGRHGEAAAAALAGCREVSGKDEKVVMSVVTSSLPSLPSSCLSGLPAPEKMGRSELGQEGVVSLELRL >OB06G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3960435:3973642:1 gene:OB06G16160 transcript:OB06G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQRAFPPRPCAGKEAAKESVAVAVRFRPLSPWEVRRGEQIAWYADGVTIVRSEQNSSIAYAYGTIFAYGVTSSGKTHTMHGDQRSPGVIPLAVKDIFNIIQEVVNDLLNPAGQNLRIREDIQGTFVEGIKEEVVLSPVHVLSLIAAGEEHRHVGSTNSNLLSSRSHTIFTLNLIDLAGSESSRVETTGEGVGGGLICTVTPTSSNSEETHNTLKFAHRAKHIEIQATQNKITDARSLIKKYQNEIRRLKEELEQLRRSILTGSPSEYTMQKDMICCKQKLAYLPYERRDIVLHNENNTLFVPPEEFGETFKSSPKEETKIQKGLLRLKLRKCDTGSTNLTSSGGENPSLIKSLTSPSTSPGIGVFNAPSEQRTLDYMLDENVPANLLCVGHGEFPSDIHPVCETPMVTTKSDHVDILREQFNILSGEVAVHQNVLKRLSEEAGKSATNEQIEMEMKVVNDEIKLNKQQIASLERRISHSISDSRGKHDNLELPMPYIEIPEQLNEKAFKLKASECQEFQVAIADLKGQPSHASEANVPLSERITFQQNTDIAHESGSQAHKRKPLPRDVADEFLGKTLQEEIEELKQRVSELNEAKAQLDSRNQKLLEESTHSKDLALATGVELKSLSVKVTKLMKQNERLTSELSPGRHSAQRRVTHGSRGARREGHIKRYEPAKKGDMDACSGREQRALEAVLMEKDQRQAELHAKIEESRQKEAFLEGELANMCAVLANLKKSARMDQEDFDAKYNGSWA >OB06G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3978157:3978672:1 gene:OB06G16170 transcript:OB06G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSGGYYSYYSQPTPYYYYGGYAPPARVGGGGTSQRPSAHVFLLLATVLLVAMSALYSRCEEAVESLLDQLRVFLILSPLLLIVGVQVWAATTAADRRGGGGGLMHLLAQLMGDGGGDQYYYGRWRGGGAASSSSPPWGVALVLVLVLFLVSYQSSFQSRWFPLLGRR >OB06G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3980589:3980828:1 gene:OB06G16180 transcript:OB06G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYCNKKYQTSYVMKRREYMPKGLKNEREISREKKFCIIYNRLLLETTRHFLHPKRQNTQISSCRHLNCLFNFFSAVI >OB06G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:3995953:4002252:1 gene:OB06G16190 transcript:OB06G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNELRGWWVVAGTLIKLVYTASCGGGAGAELRFAKFERRRLQECFDFIRAQGLVRCNGSAMGSSKENMTLKASGGGAYKFTEDFREKLGVFLDKVDEMDSVVSGANFLLQNIPGAAFTHMNGKRSSIDISPNNLFPYLLVNIGSGVSILKVTGNKKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQISFLVASILGLRRVFFGGSYIRGHKSTMENISYAIDFWSQGQMQAVFLQHEGYLGALGALMSYGDPGDKITNFEETKEEENIHESAVPVDGTSTGEHNDGNIFPYLLVNIGSGVSMIEVIGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLAEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHDVIREVLLGAPYTGQLPSLPLTKQEDNGEGMTFEGEVERLRHDNAMLKSELERLQRENAELKAKLVKSGKPNTL >OB06G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4003966:4004244:1 gene:OB06G16200 transcript:OB06G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAEAYVSPELAAALGKVAVFALVQALVYLILRKSSGVFSPERAARSLSFRPMRSMSVRRFLAALSDDPVGVPEDGGSSIGAGTATARA >OB06G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4015873:4019312:1 gene:OB06G16210 transcript:OB06G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMDVGGLGTAAAGRSSRRRARLVVYGIAVAFAAFTAYVAFSSSSPSSPPAGEGAAGGASWFGGVYASTAPYRAQVSGFFSSIFPTKSSTPSPEEPPRRDGGSSGGGGHGIGEHAQVGSGAVDSNASPAASAKQSGSGGDAPSNNASGGSAPPPANLAGTGPPPAKASGSDGSGAPANNSASAGTLASSAVDRSSPAGDGSGSPSTTSSSTGKSSSAKTSEESVHKSNKQSGGGSEARSNGDAVSDQKGSTAQAGSKPAAGAPSGNSTGTGSSAKGDSNSRSNSSARSGSGASSVSSSVNSTAAKADEKHAVVATSTDSAGSVLDTKADLNNRSDAQPASGSGGANHASDATASPAKGSAKDSRAGTNKASGNVASTSNPTASPAMVEKKEGGSPNKKQAPVASTNSKNQNQTSDGVASGVSGGTTSKQKETTSQGSVSSSKDHPAQAINSKSGNYSEVLVKGNGSSTNQVSTKQPDKKVDWIKEMSSCDLFHGNWVQDDSYPLYPEGSCPHIDEPFDCYLNSRQDRAYQKLRWKPSGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEEERRSPERYQDCSHWCLPGVPDSWNELLYAELLIKQHQMRQQ >OB06G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4020696:4021232:-1 gene:OB06G16220 transcript:OB06G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVASCGGWGGGWRICLGSEAQLGRRMEAGWWPETVWTRRQSGQRGQLVVAAVVGDRSTVGDGDVQQRPEAWHVAAAVTAAVAANGCSARLRGRMRDEADGLFVWWSPRRLFSPFGPK >OB06G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4025972:4027434:1 gene:OB06G16230 transcript:OB06G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELFLSLSLSLTSLSFSLLHADQEETTVVFSLVVAKLRSIHIHRTPDAAECSREKPGVDAGREGGGGRRCCREEAAASCDCGSWQEIRGGQAPGIAAGQWSGLQQQQETGCSLVNGHRCGLDEKESSPCTGGEVSKQPEPATEVAAGEPPVSNGAAAPVAPAVTVAAKEGKSVGNGNGGVAKKRRGGPAVLMEGSRCSRVNGRGWRCSQPTLVGYALCEHHLGKGRMRSVTGAAAAGGRGGASQLGRTEHTRLPTTTPTPRIPATAPPTSRAP >OB06G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4030869:4043468:-1 gene:OB06G16240 transcript:OB06G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHERDDVPMLELQRFSTRSVSLCIPVRDDIDEDSIISHSGPIFTRTPTECTSVAIPSGNRNMLHSLPRPKVKSKPQVVTPEEVGITNWPDNQHVRKNEYPIMYSEPLGCDNPDCVHCPRACKNKRYFQRSSAPFDNKFHNILYGYGDRWKKKSRHYLSYIPIMKPHDKAVHLWNKFFVISCLLAIFIDPLFFFLLSINKDRKCIVFNWNFAIALAVGRSVTDAIYLLHMLLQFRLAYVAPETRVVGTGDLVDEPKKIAMRYLRRFFVFDLFIVLPVPQVMILLVIPKYVGLSSANYANNLLRVTALLQYVPRIVRFVPLLGGQSTNGFIFESAWSHFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLQETCAASNISKAFCKKLTDCGISGKMRTQLRISDSIGCFDTKNGNFSYGIYQQAVLLTAEPVLKRYIYSLFWGFQQISTLAGNLIPSYFAWEVLFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEHWMSHRRLPEDLRRRVRCAERFSWVATSGVNEEELLSNLPEDVQRDIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSANRDMVRR >OB06G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4073240:4076306:-1 gene:OB06G16250 transcript:OB06G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPMSVSSLDLSVGGMSGPGLGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMADMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDSRETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVSFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVTWVEHMEVEEKNPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGGAPTPEGKRSMMKLSQRMVNSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRVITLINLLHNGLNASQNSMLILQESCTDASGSLVVYSPIDIPAANVVMSGEDPSSIPLLPSGFTILPDXXXXXXXXXXXXXXXXVGSVVTVAFQILVSSLPSSKLNAESVATVNGLITTTVEQIKAALNCSAHGHP >OB06G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4076486:4076770:1 gene:OB06G16260 transcript:OB06G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTHSDPKQEGIRRPTRPILLPILLALITLSPPSCMQKRSVLYPLSLLTSPSVSGLVVPISLRRCCSSRRGRERTRTPRRRRERVVVVEKQRRQ >OB06G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4091805:4094308:1 gene:OB06G16270 transcript:OB06G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVYLAASSGVRVERTSRRPHKDKERQEGTTTTFDGVLSRWGLATCRWVVIQMGFEDKVEAAATAEEDEEDGDVAGEEAGPWPVEALPEPPDDAGPVGWPMPEFCPLTIDGAVKESFLEALRKDAEEQALRDAEARSPESRPPEAWPSSSKRQRAGTASPPQPSSSRSPYRNILQVFQQCKQDVA >OB06G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4094929:4101735:-1 gene:OB06G16280 transcript:OB06G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT5 [Source:UniProtKB/TrEMBL;Acc:J3MC84] MARRGRDDDDDEVEEEEDEEEAYDLDEEEDDEDDYEEEARRGGKASRSRGGGGGGGGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDDEDGGGRPKKKGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSMPMRDEEEDIEEIERRVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREVVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLILLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRVSAKDRSNNMISAKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRGNGMDTSDPRLGALRSPASILQSPGRLPPRGPHMNHGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYSLGGETPIHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVGYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGDIVTVLPNELEVVRPKKSDKIKIMNGNFRGYNGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >OB06G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4103672:4104412:-1 gene:OB06G16290 transcript:OB06G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLRCVMCGRFNEDAGHLISKCKTVKKVWQELNLKVLRAKLEQQLSGKNALQTIYRSPEAEQMKAILCLWHWWRERNGVREGGKPRNPADLSYLIMSQAGELIRLNKEDPPVKIRGRVHWKRPAHDNLKINIDGAYRSITQGGWGFVIRDRSGAVIQARAGAAVHLMDAFHAEVLACAEAIRSASERGMSRIELETNSMMLRQALQDNSFNLSALGGVILEIKHEISMCFHSFCVNYCRRDCNK >OB06G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4125068:4129362:1 gene:OB06G16300 transcript:OB06G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVVPKQRAMEAEQREEAMEMSGLELWKHEKPVMFYLPPPPPPQLAYLCPEPYPETNTEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDVSSLKHLTASQC >OB06G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4130205:4133634:1 gene:OB06G16310 transcript:OB06G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETGEAPKGRSLSSRAPEAQTLNPLSSNGGVAVGGGCGDGGGGGGSRRGGAWCGGEDDDRAVRRGGHVRRAARVLALRGHEGVRGGRAVRRRPEGAARGERPRLRLAGRRQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVRYSAPPADTLASVADVVFGGLASADQIRTANALSAEDPDAPLDPGVTLVVPLPCVCFNSTDNNLPAVYLSYVVRVGDTVQSIAASHATTVTDISNVNAMGSPIVAPGDILAIPLPACASMFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTASCSSMQCPNSNLMLGNVTAQSTTGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLRATPIAENQGSSLAPAPSPGPGEAGGEIPGFPGSNIPPSNGPSGSASQAAWVNRPHQIVALILSVALCF >OB06G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4130690:4130995:-1 gene:OB06G16320 transcript:OB06G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPMAFTLLMSVTVVAWLAAMDCTTQGSGTTSVTPGSSGASGSSAESALAVRIWSAEASPPKTTSATEASVSAGGAE >OB06G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4142174:4142770:1 gene:OB06G16330 transcript:OB06G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSKSSLVMLGAPAELFSRAHIGDVRTTRLIKNPSHPSLYFVALRGITVGTTRIPVPESRLRSSTIIDSGASITTLPEDVYEAVKAEFVAQVGLPAGGVEGSALDLCFALPVTALWRRPAVPALTLHLDGADWELPRANYVFEDYGARVMCVVLDAAPGDQTVIGNYQQQNTHVVYDLDNDVLSFAPAHCDKLAASL >OB06G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4144411:4145198:-1 gene:OB06G16340 transcript:OB06G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVQKTKKARTGALWPNHTNMSTLRVCLVVLHFGDYISFRVVLVLHLGDRVPFCVIPCLSPLFPPAVIAGVSWTIAMTWTLLTGVVEDDLL >OB06G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4147561:4156165:-1 gene:OB06G16350 transcript:OB06G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYFREIHWDDIESIMQIPFLGFNGRLDPCFLVPFVGSAKDSVENYDPSCVLVEDESSHLNSNLGKDNDELESNIVHSKQDLLESSDFVGANMELVINNGSNDEHGDQDMQEVVLQEEQPMEVEQGHGKSDAIALPTCREEPEASLHWLLGARDRFVLTSERPNKKRKLLGADAGLERLVQLPLLEGETGTTCDVCCLRESGTSSNKMLHCSSCEVSVHQKCYGVHVVPDQSWLCAWCKSIRSARRHTRSDAGRTALMPCVLCPKEKGALKPVKRESDQITDGGNQKFVHLFCSLWTPEVVVENMDSMEPVTNVEDIQENRTKLVCSLCKVMHGACIRCSHGACRACFHPICARESKHQMEIWGKTGNANVEMRAFCLKHSSVQETSSIQNGKISAEEDTSQIELDDAALVTQKKQQLRFTRNNKDKCTNSTIVSSSSSSLNKQATELATSPSTARSMESQDTHITDMAVDRPREDSNLSNSGDVSTALRKLIDEGKVNVGEIESELGMSSECLEAALVPETATFSPGLKLKIIKLLENSAHVPSFQVKYSKDGSLAPQGTLFTGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNCGDCGEDFISGQCFLSQDGYRCYVHPFIEKKLRNLWDHIFKSNKHAIFCHEQSTGAPHEQCVGSSLTEPEQLADISASDQVSKAKSSGILEHSPHDEIEGEIVYLQSRLLNNFGDSKQRNEDLVLKIVQSLSHDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPCMLPTSQNAPVRKVAECDVPSAKQESVPDVVTAKQDVHSPKQESIPKSNTGSSRVSQMISVQQANDSSPNSKMSAEANIGIFDLAKFSKKNALPCDICMRCETVLNRIFVCSSCKAAVHLDCYWSVTNPAGPWKCELCQEMPSDAVAGSQSDCNGAKPCLAQCDLCNGTSGAFRKTIKGRWVHSFCAEWLLESMFTRGQCNAVDGMESLPKDKDTCSICHHNVGVCLKCSNVDCQITFHPACARDAGFYMDTKKVGSMLRHKAYCGKHGIEQRKADLQLHGPEEVKSMKKMRVHLEILRLICERIVKREKLKKDLVVCGHDILAAKRNSIASSMRTSYCGSGPGASSESATTSVNNSYSGIMQRSDDVTVDSIISRKPTVRFSLNNRDADRNTADSSTSSISYKQKLDDRESLADMNLPKKPATAMQKSEEGEMKSLDKKSQRPPKSIVYTRRSALSKKKQLSQNVEGPGG >OB06G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4159497:4159769:-1 gene:OB06G16360 transcript:OB06G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFEQLRALADDTAADGGGXXXXXXXXXXXXXXXXXXXVEAARGHLDAVMGAAVGKYRGSSGEADALSAAAAAMEMAFETTSSISRMQ >OB06G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4161717:4162166:1 gene:OB06G16370 transcript:OB06G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHWIIQYCYVCSQVLLQLPSPADQLPNAGGGARWPQPLASGDTRAYQASTPSPQPTRLVGGLALSLRLFVTVTVAAAGAGVPCAPVPMPSWQKRWRKRRIRRSLHVVSMKCQIKPYKMSLARYFAAPNYLYHFIYNFIVFFSSSHTK >OB06G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4172522:4174884:1 gene:OB06G16380 transcript:OB06G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MC94] MGVGRALSDAKPYAAMVLLQVGFAGMYIVSVASLKRGMNHFVLVVYRNLVATVLMAPFALLFERGVRPKMTVRIFIKIMGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLLAVLLRMERVRLRSLHSQAKIVGTVFTVAGAVLMILYHGPVVPLPWSRGSHHDAAAAAGTASSWLNGTVMLVGSCVCWSGFFILQSNTLQSYPAELSLTALICVLGSAMSGAVALVAERRDMSVWVIGFDTRLFTAVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMMITAVLGSTILKEEITLGSVIGALIIVVGLYALIWGKGGDHAGDGKLQAAVPEKGLPLTNGDGGDGKHVLAVAGGVLVADVEMPAMKDVY >OB06G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4179736:4182656:1 gene:OB06G16390 transcript:OB06G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTAWMLAVVVALLLLGGAEAVWLDLPPTGTKCVSEEIQPNVVVLADYALMYESLPNAQPTVAVKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWLDSPEKASGTSLNLDWKIGIAAKDWDTVAKKEKIEGVELELRKLEAAVEAIHHNLLYLKAREAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFQKKKLI >OB06G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4182876:4183703:1 gene:OB06G16400 transcript:OB06G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRTMPPLRRRRRPPRCRLLAAVVVIALSRPACAFTELESAQIGRFQDYLRIRTTHPSPDYAGAAALLLPYAASLGLRTATLHFTPCKSKPLLLLTWPGTDPSLPSVLLNSHLDSVPAEPEHWLHPPFAAHRDPATGRVYARGAQDDKCLPIQYLEAIRGLQAAGFSPTRTLHISLVPDEEIGGAHGAAKVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRRSAAPTASRSSPSRRSSAPSKSGSCSTKGRRR >OB06G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4192756:4193374:1 gene:OB06G16410 transcript:OB06G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAARHGGGKRAYKGVRMRSWGSWVSEIRAPNKARRGLGPPPPAEAAARAYDAALLCLKGSAAADDLNFPVRLPFDLPAAAMSPKSIQRVAAAAANAGSSSAADGFSGVNDSGSASASEASTPACSSSDASPLSSPETVISDDVDYSLLADIEAFFQSPKCMEYAMMDPCSAFFTPAAPLATEEECCWEEEGDIALWSFSSLD >OB06G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4192768:4193167:-1 gene:OB06G16420 transcript:OB06G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAQRRGVAGAAGRGAGLAGASAAAVVDTGEAVGGAAAAGIGGGGGDALDRLGRHGGGGEVEREAHGEVEVVGGGGALEAEQRGVVGARRRLGGGGGPQAPRALVRRPDLRHPRAPAPHPHALVRPLAPAMPRR >OB06G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4194815:4196422:-1 gene:OB06G16430 transcript:OB06G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELNDTNGNHVGVDINSLVSNLSEPAAYFTGDNTKVPVTLESAQPIQAWIDYDGGSGILNVTVAPVTVTERPLRPLISTKLDLLPIFKENMYVGFSSATGKLASSHYILAWSFRANGVAQSIDLRRLPRVPKTSTPPPKILIIKFAAVACAGTLTLIAAAIATVLWLRRRAALADTLEEWELEHPHRLTYRELYKATKGFKESELLGAGGFGQVYKGVLRRRSGETVAIKRISNGTKQGMREFVAEIASLGRMRHRNLVELRGWCKHDQDLLLVYEFMPGGSLDARLFGTAAEGVKAPPLLTWAQRFVILKGVAHGLLYLHEEWEHVVVHRDVKANNVLLGADDTGGARLGDFGLARLYEHGATPATTRVAGTLGYMAPELTVTSRATTATDVFSFGALLLEVACGRRPIEAAAGADTDVLLLRWVRDRAFDDHGGGLLRAVDPRLEGCYDEEEARLVLWLGLMCSQARPEARPSMRQVCRYLDGEEALQESASPAVIFSGADSCDLFGSVFVSMTSSSAGGTMSTSSLQGGR >OB06G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4205509:4205967:-1 gene:OB06G16440 transcript:OB06G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSHRPADDGSECPVCKEEFELGEAARELPCKHAYHSDCIVPWLRLHNSCPVCRQEVPVPDGEAPDSGGGDEGGRRRAPAEPQRPVMAGWDPIALLAIALLPDLNGGQEDSHGRSGSEADDDDVAGGSVNITAMVHSFFLVAACFFLISFIV >OB06G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4214151:4214315:1 gene:OB06G16450 transcript:OB06G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPPHRRRLRRRRRRHLLVILRLDSTHYMHACRCYKRRCKLTKVSILISDITQL >OB06G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4218267:4218584:-1 gene:OB06G16460 transcript:OB06G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSDIMNNIMRFHRRHAHLLQTRQGPFRPDDPLELSMVYFLLSQSIFQSDYQPPCLITASPHSSVRVAAAPSALAPSKRSSSVAGSDVVTGMLLRRHDEARRC >OB06G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4223080:4225720:1 gene:OB06G16470 transcript:OB06G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRRQRDVTFGDDFMALRPGEAGVRSLLHLLCSCKVAGNAAVRCPDGTEVPRRWHRWIIFVSLLAQALLRSSRGLMARVGLAVEYWMNLVNDNGGGVLSLVRNALRGKVITPDRNSPKYRSFIGLLDSRMNLDKKIKAGDSDYHAALCIMAAKMAYEKELVIKDAVENDWQMKFLEFFNCWNEFQEDNTTQAFMFADKPEDAELAVVAFRGTQPFDMEQWCTDVDISWYEIPGVGKVHGGFMKALGLQRNAGGQKPGWPAEIAQTTPPAGDEKEKKKSFAYYAVRASLRRFLADNPRARFVVTGHSLGGALAVLFPVILALHGESAMLDRLEAVYTYGQPRVGDAQLGAFAASHLRRRYFRFVYCNDLVPRVPYDDAAFLFKHFGRCVYFDSLYRATAMEEEPNKNYFSPAFAVPKYANAAWELARGFAIGYVEGPEYAEGWAMTAARAVGMVIPGLPPHAPQDYVNATRLAGASLRKLLL >OB06G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4230544:4232046:1 gene:OB06G16480 transcript:OB06G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MCA4] MPSREEASDGRGDSDDGGAKQAHFVFVPLMFGGHLIPSVDTALMVATHGAVATIVVTPSYAARVRRTVELTTSGRFGASAGADVRVVALPLDCAAAGLADGSDDIDRIGMELELEYFRALSLLREPLERHLRAAGAPYPTCVVSDFCLPWTQELAASLGVPRVCFFNLGAFSVLCQHNVNRYGAFDGVVGDDVAVVVPGLGDRRFEVTRAQAQAFLTGWDDYDDAVDRALDAADGFVMNTFTGMEPEFVAAYAAARGKKVWTIGPVSLYHRHTMSLAARGRTADIDADECIQWLDTKEPNSVVYVSFGSITHMDPKHVVELGLGLEASGHQFIWVVSNTELYGETVREFLHELAARVAGRGLVIRGWAPQVLILSHVAVGGFVTHCGWNSILEAAVAGLPVVTWPHFSDQFLNEKMAVEVLGIGVSVGITEQVDSQEEGKGNVAGREMVEKAIRSILDGGEEAEERRRRARDLAEKARTTVQEGGSSRDNLFDFVQSFGG >OB06G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4234598:4236097:1 gene:OB06G16490 transcript:OB06G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MCA5] MPASQEAWAALGRANSGDVGARAHFVFVPLMFQGHFIPSVDTALMVATHGAVATVVVTASYAARVRRTVDLAAPGQPGASPVDVRVVALPLDCAAAGLLADGSDDIDRIPLGIGPNYFRALSRLREPLERRLRAAGAPYPTCIVSDFCLPWTQELAASLGVPRVCFFSMCAFYLLCQHYVDRDNALDGVVGDDVEVIVPGMGDKRLVVTRAQAPGFFRLTGWDGYGDAVERALDTADGIVMNTFTEMEPEFVAAYAAARGKKVWTIGPVSLYHRHTMSLAARGRTADIDADECIQWLDSKEPNSVVYVSFGSIAHTADPEQVVELALGLEASGRPFIWMVKSAELYGETVREFLRELEARVAGRGLLIRGWAPQVLILSHVAVGGFVTHCGWNSILEAIAAGLPVVTWPRFSDQYLNQKMAVEVLGIGVSVGIVEEGKDIVVGRTVVEKAIGSILDGGEEGEKRRKRARALAEKARTTVQEGGSSRDNLFDFVQSFRGE >OB06G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4241544:4242404:-1 gene:OB06G16500 transcript:OB06G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALGFIVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGGSGWGSGSGSGYGQASGSGGSAYASGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGAYAQGGGGGGGGGSGQNGGSGYGSGSGSGYGQAGGYGPYGGNTYAQGGGQGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYSGYSPYGPYGGNAYAQGGGQGGGGGSGQNGGSGQGSGSGSGYGQAGGYWPNNGGYWPYSGGYAQAGGQGGGGGGGQSNPGGSGSGSGTGSGSGSAGWHP >OB06G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4252980:4257656:1 gene:OB06G16510 transcript:OB06G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPPEESALARQGSIYSLTFDEFQSALGTAGKDFGSMNMDELLRNIWTAEESQALFSQSNVVAPAMQLGNGMVPGVVGQGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPC >OB06G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4260012:4260906:1 gene:OB06G16520 transcript:OB06G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSVQLLEQMRLHLATDAGKEIVKKVGLVYQFNISPKKIGVDEEIFTVDLKKGEVTKGPYDGKPDATFSFTDSDFLALATGKMNPQIAFISFSRCLMNCECYVLQRRDKDQGEHKRGTEVHPRYLPQACQTVEG >OB06G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4267511:4270848:1 gene:OB06G16530 transcript:OB06G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESRTPAREELPTSWLGIEEAAAPFAGKRTKVDVGRRWPKLVKATVVAFIVMMAPLLVLIGGQPAGAPAVWIKSTVASLAARGEAQKDALLGGLLVPGFDEPSCASRYQSVHYRKNMTRPPSPHLLRRLRRQEALQRRCGPGTEPYRRASERLSSRQSAGDGDGFVATVDGCGYLVLISYRGLGNRILAMASAFLYAMLTDRVLLVDPGKTMADLFCEPFLGASWVLPQDFPLEGFRDLGEDAPESYGNVAVNRSGSVSGLRFVYAHLDHAASPANRLLYCDDHRQFLHRVQWVVLRTDSYIAPGIFLNPAYREELDMMFPRKDSVFYLLSRYLFHPTNDVWGMVTRFYNAYLKNADERLGIQIRVFDGDEPFQHILDQILECTSEQHLLPGVVTTGVGGGGAPPLVVRSKAVLTTGLNSWYHDSIREMYWRSPSSTGEVVSVHQPSHEEHQHFFRSIHDMKALAEMYLLSLSDKIVTSGWSTFGYVGSGLGGLTPYIMIKPENRTVPDPPCKKAMSMEPCSHGPPFFECTKKEIDKIIDTGNLLPHVRSCEDMPWGRKLADPIT >OB06G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4271268:4275013:-1 gene:OB06G16540 transcript:OB06G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPLLLVLVLGGWAGASTVWQSATWLTSMTSGFTNASHHSATDAANGADELFGGLLAAGGFDRGACLSRHESPRYYKHSPFAPSPYLLQKLRDYEARRARRCGPGTPLYAKSVEQLRSGHSSEVMECNYVVFIPYNGLGNRMLTLLSSFLYALLTERVLLVDFPGDFTDLFCEPFPGDATTTWLLPSDFPVVDLLRLGVHSNQSYGNLLGAKKITGDPAKDTPVSVPPYVYLHLAHNLQRADERFYCNDDQLVLRKVNWLLVQSDLYFVPSLYAIPEFQDELRWLFPAKESVTHLLGRYLLHPSNTVWGMVTRYYHSYLAPAAERIGVQIRMFSWASIPPSHEERQDTGKRGHNQKALAEIYLLSFSDVLLTTGVSTFGYMSSALAGLRPAILLSAANHKVPETPCARIVSMEPCFHKPPTVQCQGKAAVNENVTRHIKPCEDFPQGTKLFD >OB06G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4278738:4279115:1 gene:OB06G16550 transcript:OB06G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQWRTCGTILPASSHRLQSYSGATWKHGSMDMSRRHGGAVPFTSLRGSTHGVSPPSPCATYPNVDVPVVTSMSVRLSRYTSAHAFRPCAFTPYIWCSSWLGWSTTTTSPVAVACSQYMPFIFS >OB06G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4278741:4281548:-1 gene:OB06G16560 transcript:OB06G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVLQAAGVKRWSPAMMRTVLVAVLMTMPPLLVLFGGRIGEQAMWIKTAVVGIQEGQDDVSFVQQPATSHDKLLGGLLVDGFDQESCRSRYQSAMYRRNAGRQPSRHLVSKLRFQEDLQRRCGPGTAAYSAALEQLKSGKSPAASPECRYLVSISYRGLGNRILAAASAFLYALLTDRVLLIDPSNEMDDLFCEPFPRTTWLLPPGFPLAGYQGFYLHTAERYGKMRENRVLRPHGSGGEAAAAPAAGVRVHPPRLQPDRLRPALLLRRGPAAAFEHPVAGDEDGQLHRAGAVPRQVVPRRARRALPGARRRVPPPRPVPVPPDQPSLGPRHALLPRPPRVGAPPCGHPGARLPVGAGVAGAAEDDHGVHAGGGDAPAGAGHGSPRASGRRRDGGERAPCQDQRRDGHLPQAVVLREDEGHVLGAGDGDRRGGGRRPAEPRGAPDVRREGARPEGVGGGVPAQPDGHAGHHRDVDVRVRGAGARRADAVGAAAQGGERHRAAVPPGHVHGAVLPRRAAVRLQAVGGRRQDRAARAPLR >OB06G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4284413:4287273:-1 gene:OB06G16570 transcript:OB06G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGQRTVQRDKSWREIEEAAPQFMAAAPAPAPASKKRQLTTDGSSKRWRGQMNAVVVALVMAMLPVVVFITGRRPAVWIQTAADGLRRGSDAFFLHPRLLGGLLLDGFDQETCHSRYQSAMYRRNSGRQPSSYLISKLRRQEALQRRCGPGTAAYRNAVEQLRSGESVASPECKYIVSISYCGLGNRILAAASAFLYAVLTDRVVLIDPSNDMDELFCEPFPGTTWLMPRDFPVAGYTNFSVDSAESYGNMVKNRVVRNDGAGVSTAPPPALVYVHLNNDYTDHDRLFYCDEDQRLLRRVQWLVMRTNSYIVPGLFLLAGFREELGKLFPEPDAVFHHLGRYLFHPNNLVWGLVTRYYEAYLSTAQKRVGIQVRVFGADSNSPELVEQITTCTQKNSLLPEVLGAGSSEPMTLPASGRRNSTAGPVTSLKSWYYERIRSMYWENAAAAGGGGGAGGGQQPSHEEYQHFGAKSHDAKAWAEIYLLSLTDELVTTGKSTFGYVAQGLAGVRPWVMQKPWNRLADQPCWRDVSMEPCFHRPPYYDCQLKRWADPGKVVPHVQHCGDVSWGLKTVNR >OB06G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4291738:4294452:-1 gene:OB06G16580 transcript:OB06G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGAAAAAVAVPRKEKQRSAAGHWPQVEDDGVAPASSTKRHARRAWCCGGVNFVLAAFVMVVPPMVILLDARASSPAVWISSVNVLRRGDGSILRWPAVDARDKLLGSLLADGSEEGSCHSRYLVSISYRGLGNRILAAASAFLYALLTDRVLLVDPSNEMDELFCEPFPGTTWLLPPGFPLTNYTSFGVSTAESYGNMLKNKVITTGDDGDIPPARLPPFAYVHLDHTATVEDKFFFCDEDQRVIRNIPWLVMRTDNYIVPGLFLVTGFQQELDSLFPETDAVFHHLGRYLFHPTNHVWGLVTRYYDAYLATAQQRVGIQVRVFGRQPESPELLEQITACTQKANLLPEVIAAGEPLVAPASARRKSTAVLVTSLKSWYYERMKSMYWEHAAAGAGEAVSVHQPSHEEFQQFGAGSHDAKAWAEMYLLSLTDALVTSGWSTFGYVAQGLAGVRPWVMQKPWNRLADQPCWRDVSMEPCFHAPPFYDCRLKRGADTGKIVPHVRHCQDVQWGLKLVRTSW >OB06G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4303599:4305222:1 gene:OB06G16590 transcript:OB06G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSPAVWISSASIGRGSSGDVSVLHRPSAAHDKLLGGLLPDGHDERSCRSRYQSAMYRRNAGKEPSPHLVARLRQHEALQRRCGPGTAAYSNAVESLRSGATSGGSGSPECRYLVSISYRGLGNRMLATASAFLYALLTDRVLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAPAGDAAGGDTPTTPHQRQPPAFAYVHLDHDYTAHDKYFFCDEDQSVLRDIPWLVMRTDCYIVPGLFMLTGFQQELDSLFPETDAVFHHLGRYLFHPTNHVWGLVTRYYDAYLATAQQRVGIQVRVFGAREPPKVLEQITACTHDENLLPEVITAGDPVDVAPPSHRRRRKSRAVLVTSLQSWYYEQLKSMYWEHAAATGEAVSVHQPSHEEYQRFGSRSHDAKACAEIYLLSLSDVLVTSGWSTFGYVAQGLAGLTPWVMYRPANESDVPSPPCRRDMSMEPCFLSPPYNNCRNKRSAHSGKVLSHVQNCHDVSWGVKLVRRVE >OB06G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4309778:4311150:1 gene:OB06G16600 transcript:OB06G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERGIGVGITKRPSQQCSGAVETAAAPRAGAAEEDDEGPCPWVSGKKTITCLAICLIVSPLLILLVSRRGSPFPSKSGWASPTTKGTSQESKKDVLMGGLLVPGFDDRTCISRHGSALYRKNTARSPCPHLVRRLREQEALQRRCGPGTAAFRRAAERLDSRRNGTGAGEEGCSYLVLVPYRGLGNRMLAMVSAFLYAMLTGRALLVDRGESLGDLFCEPFHQTSWLLPPEFPIKNLEGLSGEVPESYRNLVQEDRPETSVSDLSYVFVDLDHACTYHDKLFYCDDHRRFLHRAPWLLMRTDGYFPPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGLITRFYDSYLRDSDERLGIQVRVFDGDSPFKHILDQITACTSRERLGRRGSRRSQLRRRPAPGRRPS >OB06G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4311150:4311593:1 gene:OB06G16610 transcript:OB06G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLNSWYYENIRWRYWQSATATGEVVSVHQPSHEEHQLSGNTTHDMKALAEMYLLSMTDAVVTSGWSTFGYVGHGLGGLSPWVMFKPVNLTTPDPPCRRAMSMEPCLHGPPFYDCRAKRGANTGKLVPHVRHCEDMSWGLKLVHPE >OB06G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4312075:4313725:-1 gene:OB06G16620 transcript:OB06G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDKLEEAAAGHPPEAEKRRGVAGPGAATVLVLVALPLVLVSFFFGDQTASIAADSLARLHRFKESSPAAADRLLGGLLSPDFDEAACRSRYEASRRWKPSPFPASPYLVERLRRYEANHRRCGPGTARYREAVAQLRSGRNADADADNHGECRYVVWLPIQGLGNRMLSLASTFLYALLTARVVLVHEPPEMEGLFCEPFPGTSWLLPPDFPYKAGFSAGSNESYLNMLKNGVVRYDDDGGGGGGGALPPYVYFHLEQIHLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLVPAYRAELDRMFPAKGSLFHHLGRYLFHPGNRAWGIVERFYDGYLAGADERLGLQVRIVPEMAVPFDVMYEQILRCTWEHDLLPQVTNTSEPGGARPPSTKVKAVLVVSLKRRYYEKLHGVYYTNATATGEVVADADPVAHVPFVRHCEDVHAGLKLFD >OB06G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4316187:4316426:1 gene:OB06G16630 transcript:OB06G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTRKYSFIINTSRFHWVLGICYWLLFELEGCLYLGHGWNIQGVPITAFIVPGSQIYRKLIGSIESTKERYDTVTLNA >OB06G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4316616:4320017:-1 gene:OB06G16640 transcript:OB06G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDPATGLRSLGLLCFRSEDADALLTHMRTRQPVVGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTRASKSSRGSAFSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKNLNQHINEVSA >OB06G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4321155:4321334:-1 gene:OB06G16650 transcript:OB06G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASRTPPAAGGATQAMACLRRRAPSCSSLVSKTLHAAVDGRILFFFYSYECLSQIYH >OB06G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4328236:4333538:-1 gene:OB06G16660 transcript:OB06G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPPSSPAAAEDVRGIVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPIVLASFSGGSKGCMYKVIQLLDGNCEGDATMKDYRLVRNCICGQIYDSGPVDFYSDVGTQFLQNTITGNSSRPSMLLSWMTKALASGIDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNGTLGKALVTFCHRSQRNATSDQEYKIAHSVCSLHKVAANSNESLRRVANSPSDHFFLPSSKDQNESRDPDSLIDEQRRQLSCPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >OB06G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4338922:4339633:-1 gene:OB06G16670 transcript:OB06G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGLQTGRRGSRRGELRRRLRLRPREEGDDAVEPPAGRLERRGHTPRAPGAKVQPRRPGPEHDVLSGNSRAREDEEHQDSTGGGRDRGVPRRRRDGQCRALRRQVQLRRRARRAARRRRAVRVDGGGQRLRSARLRRVAKRRLATHGK >OB06G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4345543:4345908:1 gene:OB06G16680 transcript:OB06G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGGGAAAGGGGGAPRRRPLTFLENAAKRKDGFVQLLLMAGVLMMSLRSLGQKHRLRDLAYDNADLRREQSDLSLRMRDLQDTLHREADADESGVLASHLRRIFAAHPAASATTDDTK >OB06G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4348242:4352377:1 gene:OB06G16690 transcript:OB06G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAHIGAVALGVAVKYSEEQIRLVEESIRIRRAAVPVELVEAVKKLDKVFAREEKRRKDLPLELKQKVSYEILNRLLDLGENSSTTEQREALESWRAEKLKDIRSASAQNLSISGLSIEESRMLKRALEFNWRMLLEDNGLWIPFIVWHTEHNDKPENEPEEEEIIAGPPLPPECNAQLHTDYGGAAVRWGLTHHKESAADCCQACLDQARRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDAHPSAPVVVPWMAGVIAV >OB06G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4363498:4366625:-1 gene:OB06G16700 transcript:OB06G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKMVSRPSSVASSARRVKKPTTLLDAYEVDCVRRELESLILKHNATSVGGAGAGAKNANPKQAFPCRCPCCPSPAGGDGSEEATGRLEPLRDEAGEEEGGEGHFRPTGGCLITDESLAFEQETTSPASFVFLVEVKTMSCLGRVVAAESVFPC >OB06G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4370635:4371540:1 gene:OB06G16710 transcript:OB06G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADADAELEFEFFPIIRRYKSGRVERFMNVDPLPAGTDPATGVISKDVVIDPAVGLWARLFLPPGARQGKLPVVVYYHGGAYVVGSAADPFTHHYLNGLAAEAGDSLRDRGVWYYEKLKASGYAGEVDLLESMGEGHVFFCMDPHGEKAREMQARILSFLRK >OB06G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4372499:4373455:-1 gene:OB06G16720 transcript:OB06G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEVAFDFQPYLCQYKSGRIFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGARRRRRDPVRARAGVRGREGLLPQGAGAVVPPGAQGERLWRRAGAVRVQGRRPRVPLRHARLRPGRRAAGDQRCIHQEMKLAAGGDSDLKTV >OB06G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4374762:4379480:-1 gene:OB06G16730 transcript:OB06G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MSFRAAPWGRXXLPGGRHPARPHGPFGGMNAWRNSILKNSWRFGGTPINFGLPSALFRCMASSTSGGGTFSRPTSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPNDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFMELLKNTSVQFVKDSVKLLRPSDHFRRESGGSCTGGVVHLESGTVVEYDWLVLALGAEAKIDVVPGSAEYAIPFTTLDDALKVESQLKMLERRRFGRSIPDIQVAIVGLGYSGVELAATISERLKNTGTVQAINVQTTICPSAPPGNRDAALKVLESRNIQLFLGYFVKCIREASASEDSVTTDTDAKEVNGDHKKLLLELQPAQRGLQSQVLEADMVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAAITASFIEGLTLEGPIGHAARKIVYCLRMPTDEHRVKVGISWLTKTAVDSLASLQNAVSNMLTSP >OB06G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4384672:4385246:-1 gene:OB06G16740 transcript:OB06G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPWYHELPLSPLASRCSGLSLTDPRMPSAAAAAFLSPACFLGLLKVSALPLVLPVVVGCALAFFTGESGCFTGELLKIGSLFTTRPCSDELRNRRKSLLHPAFLEAGRVAKGSAFLGGEPLASLPPSGDGDGDGALVASRRAAANGSKSTPEPTLLRRRGDRMPPLAIGDRGDDATLPPSSSPGGGISG >OB06G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4384679:4385271:1 gene:OB06G16750 transcript:OB06G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGLLDGGSVASSPRSPMARGGMRSPRRRSRVGSGVDFDPFAAALLEATKAPSPSPSPLGGKEANGSPPKKADPFATRPASKNAGWRSDFLLFRSSSEHGRVVNKDPIFKSSPVKQPDSPVKKASAHPTTTGKTNGKADTFSKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGLRGSSWYHGHGMAKLGAKG >OB06G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4391655:4394725:-1 gene:OB06G16760 transcript:OB06G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49590) TAIR;Acc:AT1G49590] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSEAPGDGWEFDSTSGYYYDKSTGLYYDSNSGFYYSDGLGKWVAQEEAYEWTKTSQANAGQSSSSQAKPPAAVTTAPTIKGGQAPGLVVKKQLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAAIKAREAARKRMEDREKPLMGLYRSY >OB06G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4395541:4402668:-1 gene:OB06G16770 transcript:OB06G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKEDDKPMDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQTTETGMPVPLTPDYGGPDVSYADGVFDPHFSHYVTVIEDRRKSSLNPTTTIAAISLSDGDVQEPKVLISGNDFYAFPRIDQNKKRMAWIEWSHPNMPWDKSELWVGYFSESGELTKQVCVAGGNPLLVESPTEPKWSPKGELFFITDRGSGFWNIYKWVEHTNEVISVYRLDAEFTRPLWVFGISSYDFLGESNHIVFSYRQHGRSFVGVLDSDIGSVSLLDIPFTDLSNVVAGNDYFYIEGASATVPMSIAKVALNEDRTKVTSFSIIWSSSPDVVQYSSFFSTPEFVEFPASSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARFLVESGQVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYMDNLVGDERAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >OB06G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4404589:4411124:-1 gene:OB06G16780 transcript:OB06G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASGPEKVAAPYGSWESPISAAAVSAAGKTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGNALDVTPQGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDTSAQPLTPDYTGSVVRYVDGVFDPHFCRFVTIMEDHRRDSSNPMTTIAAVTISDGDINEPTVLVSGNDFYAFPRIDTIEKRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVRNKICIAGGDPTLVESPTEPKWSSKGELFFITDRQSGFWNIYKWDEQSNMVVQLYSLDAEFSKPMWIFGVSSYGFLGKDDACHKIICCYRQNGRSCAGVLDHDSGSFSELDIPFSSVTNIVCGDGSFYVEGASATLPVSIAKVTLNEKGKTATDFSIVWSSSEDVMQYASYFSLPEFMEFPTLVPGQQAYAYFYAPHNHIFHSSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKYRERLLGKWGVVDVNDCCSCATFLVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGSSLYGIADLASLRAGMHKFEAYYIDNLVGNKQDYYERSPINFVDRFACPIILFQGLEDTVVSPDQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADDTTPIKIDNFDDSL >OB06G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4413988:4417855:1 gene:OB06G16790 transcript:OB06G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKKRVVHVPDNGVLRVEGVAIILVQWRVELEPPRQVRVRQEEPPEGDEVGVATLHRPVPFLPVIPAGDDEGAPERLPEGQQAVLDSPAAVDDAHVGLHHVAVEGAAVPVELRHHVHAERLQVGVDVVHEVQERRQPDADAARADLADDGIDQLDXXXXXXAAAVLVGAVVGAVLHELLQEVAVGAVDLHTVEPGLDGVARGEPEVVDDPGDLVGAQPPGLGVHDA >OB06G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4413988:4414272:-1 gene:OB06G16800 transcript:OB06G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDGRRRIQHGLLPFRKAFRGTFIVAGGYDREEGNRAVESGYADLISFGRLFLANPDLPRRFELDAPLNKYDCNTFYTQDPVVRYMDYPFLE >OB06G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4418181:4419007:-1 gene:OB06G16810 transcript:OB06G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHAAIRPANGLDGNGGAIPLLTPYRQQAGDEQLLLELSHRVVLSPMTRCRSYGNVPQPQHAALYYSQRATSGGLLITEATGVSATAQGYP >OB06G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4426625:4427837:-1 gene:OB06G16820 transcript:OB06G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEEEAAALLTPYKMGRFELSHRVVLAPLTRCRSYGNVPQPHAALYYSQRATRGGLLVAEATCVSATARGYPETPGVWTREQVEAWRPIVDAVHRKGALFFCQLWHVGRVSTNEYQPNGQAPVSSTDRQITPDDSGIVYSKPRRLGAEEIPRVVDDFRRAARNAVEAGFDGVEIHGAHGYLLEQFMKDGANARADRYGGSLENRCRFAVEVVDAVVGEVGAGRVGIRLSPFLDYMDCSDSDPVALGAYMVQQLNKHPGFLYCHMVEPRMAIVEGRRRITHGLLPFRKLFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFALGAPLNKYDRSTFYTQDPVVGYTDYPFLDEKDEDSAASA >OB06G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4429802:4431076:-1 gene:OB06G16830 transcript:OB06G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSRQARKWTGIFGSWISAAHLSNLFVHYCLVAMPGVHAVEVRRVISHPMAHAHYSRTLAQLGVDREPIEDTVDTVEMLRLRSNRMLDTTAITSPRASDLDVLAHGLQDESWNVTHFRLLSKPPSPVTLPMDADTGGRAPLGHSSTDGAEERKIEIVSFKGVCDLMTQSEDFTELKVIEGTRQSDPARTIRWQDGPIHDPAHADQAWLSADRIFFP >OB06G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4432356:4436405:1 gene:OB06G16840 transcript:OB06G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFIDPYEEAEAEAAAEPAGLTEPAADESGDESDEEDDSEAESDYEEKSYGLLKSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGVSSKHRRHGRERAFHRAFARFVRTDPSFAQELAIINAKPGATVTAIADASANSNASAKEEADANGDTDGSTSVTAAMGVKQEEKFAWPWSGVLAAGAGFNSENFADRVAMFNLDDVVPLVVDDVEGIESFAIVRFGNGWGGFGDALTLENHFNKNKLGKKEWEAWISAGDSMMGENGETDVKVYGWVAREADYNAGNVVGRYLRKHTNLTTIDEVSKSESEKSGKMVAILATQIEAKNRYLQDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRKARDYALRVFQDNENLKLELDSRRRELNSRAKQLEKITAENASDRKKLDDQKQKAKDDNSELELASIEQQRADEDVLKLVEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDISEKMEKLSERLEHEKKRLEELSGELVKKERESNDELQEARKELITGLEDMLTGRTAIGIKRMGELDEKPFQNACRRKYGNDDYETKAAELVSSWQEEIKKPSWHPYKIVTINGEDKEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWDFRKGRKATMKEVLKYIFGQMETTGKRRRG >OB06G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4439001:4440271:-1 gene:OB06G16850 transcript:OB06G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVQAAADEAGPAIPLLKPYKMGRLELSHRVVLAPLTRCRSYGNVPQPHAALYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTRQQVEAWKPIVDAVHRKGALFFLQIWHVGRVSNTGFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRADQYGGSLENRCRFAVEVIDAVVAEVGARRVGIRLSPFVDFMDCFDSDPVALGTYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGSFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYTQDPVVGYTDYPFLEEEDENPTTTDA >OB06G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4439283:4439705:1 gene:OB06G16860 transcript:OB06G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPATLDDGHSRLHHVAVEEPRVLVELLHHVRAERHRVGVEAVHEVHERRQPDPHAARPHLGDDGVDHLDGEAAPVLEAAAVLVGAVVGAVLHELLQEVPVRAVDLHAVEAGLDGVPRGSPEVVDDLGDLVRPKPPGL >OB06G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4445874:4447148:-1 gene:OB06G16870 transcript:OB06G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNARAVTDAPGRREEQALHCLACKLGLAADVVLATALLTRYARRGLLAPAQRLFDEMLRRDAVARNAMLAALGVSGRTADARGLLERMPDRTPASWNTMVACYCRAGDRASAREVCEASLRATADNVVSWNTMIDGYCKAGEMDAAQNLFDRMTSSSSLSPDVVTWNTMMAGYLRQGDAATTIAMFHQLMHQMKQQPEQPTLMMPTAVTMLTVVHAGRGLRLGPTSPSLPPAARDKDRRHAKQRAHRHVLQAQERGTRARRLRHHAGRPQPLLLEHGVLRARHERPRRGRRQGVPRHGREEPDEARGQARRGDVRGAPVGVQPLRAGGGGPEALRRDGAGPRRGAPGGALRLHGRPPLPRRPPRRGPAPRAGDARQAERQDSRVPPAPCLPLLLLVGGGRRHGGRVGGGQDRGAGPRRRRRL >OB06G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4449542:4450371:-1 gene:OB06G16880 transcript:OB06G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNIKDADTVTFLVSLLLMAMVYSCAAQDDFCHAVVPCDSVTCPEYCQKRGYVYPYTTYCKPGQYYPICCCRQLS >OB06G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4451711:4452346:-1 gene:OB06G16890 transcript:OB06G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNVKDTKRAMLLAVLVIAVMVYSCCAEEEYCEPTVTVPCDNITCPRLCEKMGVRNARAYCKPGELVPSCCCRKQSTNVRRLLLSE >OB06G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4454642:4455432:-1 gene:OB06G16900 transcript:OB06G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSAKLVAAAAAVAVAMLVLVPEATRAERFIVGDAARWTWGYNYTDWVIKKGPFFQNDSLVFMYDPPNATVHAHSVYMMRSAAAYQSCNLKAARLVANVMQGAGQGYEFVLKKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >OB06G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4457492:4463649:-1 gene:OB06G16910 transcript:OB06G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGEAAEPAGAGGGGGTEVKNPRCFMDVGIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGPVTGKPLHFKGSCFHRVIKGFMIQGGDITAGDGTGGESIYGLKFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSVEHAPVGEADRPTSDVEIVDCGELPEGADDGVVNFFKDGDTYPDWPNDLDEKPMEVSWWMDAVESAKAFGNNNFKKQDYKAALRKYRKALRYLDVCWEKEDIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKVADRRDQERKAFARMFQPSGKSDKNNEESK >OB06G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4481738:4491657:-1 gene:OB06G16920 transcript:OB06G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIESAAARQVTFSKRRRGLFKKAEELAVLCDADVALVVFSSTGKLSQFASSSMNEIIDKYTTHSKNLGKPDKQPSIDLNLEHSKCSSLNEQLAEASLQLRQMRGEELDGLSVEELQRMEKNLEAGLQRVLCTKDRQFMEEIGELQQKGIQLAEENMRLRNQMPQVPSAGMLAVPDTENVLAEDGQSSESVMTALNSGSSQDNDDGSDISLKLGLP >OB06G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4506835:4507983:1 gene:OB06G16930 transcript:OB06G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSKRKLSSELISSNDQGEPSARKKLNFTEVPLDCDHAVVPIPVSDMHNEDLSRALITVPLVEDDVDAAPVDFGIVGVEGTLQLLPFIDNYVDYGPRAENPSHSDAVLASEEISSMDAIIERAFLETANKVLAEVLNGLDVTTLRDTMPALYLADAQALDNANRELAVQKGALHATVQEMASMDKLQADTLAEIAKLNTVLQDVEQKKDQLSQAWSAQDMKVKKLDDEVCDAEGKASKRIADLRAKTTDLTQEAERLFSSLDECHSDPN >OB06G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4510222:4514889:-1 gene:OB06G16940 transcript:OB06G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSFLERFYSTQRTVGITELTQTEQRGNEKATDFINRWRNLSLHCPQPITEQEAVRMCMNNLNPDMAVYLQGVRPLTFEELASKDTDIENYMQFVTRRSKPYSKPIEKSNPRDKPTFKPKQAHAMEATATIPSLHVGGAATRNNEHNNTMAGRRPTLIERQNREYSFPAEEVHDLFAGLRELNLIELPKSKRPEESAKVNEPNFCHYHRILGHTLKDCFVVKNIIQKLIDEGTVDADLLKSFKKSKKMAANIATIEVNSVSSASSNMALPYSQQAMMPKPEFSPMIFSGCGRYGEEQQTYQHRLRTEAYNRWLHSTRPPCWTRQRSQEREQQRRRRSALQRLTINGETLLFPPAIYQNDERRDDDFQEDFDVHAIEIFEEDGEPLYFPGHESPEVDQVQLRSGRQLVDVRPPAPKNPRSKDVASDEVADLPPNVSVKYDVISHLKKIPAMLSVYDALCLSSDLRKAFITVLSFPEDYRVEVSQTEVESTEVLDVTFTDEDLLLGSKKHNRPLLMYGQIDDLSINRIMVDGGSAINLLPLRTLERIGYSRGDLSRSNVVIHGFNQAGQEAMGTISLVLKLASLSTYETFHVIDAATSYNALLGRLWLHENQVVPSTLHQCIKYKDKSGETIRIFADERPFTVAESFYADAKFYIEPVEKIEKTKPVATLEPGIMKGNPSECSSDRKIYQYIPSEQRREDDPIFRIISKSSSNQGIEFPIPLSHLIQRKINEAHNKLRKSSTNTSKNKNKNVTHITLTDERDIFLPISLYDAKVLYMMQKMGYDTLTGPSLCNGWGQLAPFEKILSQAQLKALREGKELKDKKYELGYEVCMTSSMLIDTTAASPQMDDGNQPTVDELEEINIGYNQIKMALEDEELTAFRTPKGIYCYRVMPFGLKNAGATYQRAMTVVLDGLLYEIVECYIDDIVVKSKHREDHLQHLAKVFERLRKHKLKVNPMKCAFGVLSGKFLGFIVTKKGIQIDPTKIEAIVKMPAPVNLHKLKSLQACQNAFNDIKEYLINPHVLSAPVKGRPHILYTAAMPASLGALLAQTNDEGKETALYYLSRTLVGVEYNYPDMENICLALVFAAQKLRHYMLEHTIYLVSRADPLRYILNKMILSGRLAKWAMFLSQFDITFIPQKSIKGQALANFLAAHPIPDNFPIDDDLPGEEVFTTSIADSSWKMYFDGACRRSGAGAGVVFVTPTEDIIPYSFTLTTTISNNAAEYEALIIGLEIALNMGLDTLYVYGDSQLIINQLTGTYTIKKEGLMPYLSRAKQLVAMFTDWNIQHIVRSQNERADALASLAASMALNDDKTLVVQVEERRVLPILTENENKIPSTTMVTNVYEIETGDWRTPFLDYFLHGYLPLEAGERSRF >OB06G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4514968:4516020:-1 gene:OB06G16950 transcript:OB06G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMLPGASTSGNDVGGAIMRRADILASWLEYQELVDQEPGRQTYVKQAKRVRARRAEYKQGELAARINSACSRTRGECASSSSQGRTGQVESSVAHAPKEATTTPSPWPTRRRSSSGAFEVLASMPVGVTVPANSTGDESSTSTHFKRKKARKNVVPGHRPMTRSFRNSDASYSASHNPLYDATTSSENEVVESNPPALQQKEDELAALRTQLANGTGRENEGRASTSQASHQASPSSISLEAIQRMINEGVKAQYMQTHYSMRLGYVKPYPPDVDLVPFPNNYRQPQFSKFNGTGSLHEHVAHFLAACQDTANNGALLLR >OB06G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4516520:4517307:1 gene:OB06G16960 transcript:OB06G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSSGCRGQVRFNTPSVGADEGSTIIGSESATPNMLREFSEDVTPSFLGATLSGTATGVDGDPALHTGDIWVPEELFFVEATISFVEVAGVRVTESCGRVFGVVLVLIDMALVNPGGTESEEVGSRTLMMACCEAATLALAAALLLLLLVDFDTTGMNPSCFIELISCSWSAGFDDAAVVWRELSLWAAWKGPTGTSNHSEPKRSVMNGWTRSAILHVSFLMDIHMNII >OB06G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4516869:4517261:-1 gene:OB06G16970 transcript:OB06G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVQPFITLRFGSLWFDVPVGPFQAAHSDSSLQTTAASSNPADHEQEMSSIKHDGFIPVVSKSTRRRRRRAAARARVAASQQAIISVREPTSSDSVPPGFTRAISIRTKTTPINIKNKETSPRFRRTTL >OB06G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4520490:4520923:-1 gene:OB06G16980 transcript:OB06G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKIFMAWKKIFTTWIKNICDVEKNIHDMDKNIHDVDKNIRGVDKNIHGVHKTIHG >OB06G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4522242:4526340:-1 gene:OB06G16990 transcript:OB06G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase transcriptional regulation mediator-related [Source:Projected from Arabidopsis thaliana (AT3G21350) TAIR;Acc:AT3G21350] MTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDLTCNNETLRSRQIHPLDMSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSHAMLAYYILDGSIYQAPQLCGVFASRISRAMHHISKAFTTACSKLEKIGHVEAEPDTTASESKTQKETIDLKELKRVDHILMSLQRKLQPAPPPPPFPEGYVPSEQEKAQDDLLASEALPPQIDPIIDQGPAKRPRFQ >OB06G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4528780:4540814:-1 gene:OB06G17000 transcript:OB06G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQESFVELVQEKVENPMEFSKSLKTALENRSVNSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHEKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSALQADLKSENIMLTEKHKIEKEQNNQLRDQISHLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEAINSSDARSTIGSESASVISTPKMMESTADSSTVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKPTNAQGRDIGRSDSMKSQSSDVLPLSVSQDKAGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSNTSRSRSSSRGSSPGRSPAYHHDHGSRNSIIDEHVHGFKVNIKPERKSKFSSIVLKIRGIEEETWRQHVTGGKLREITEEAKAFAMGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGATGQLELLSTAIMDGWMAGLGTAQPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRRDTVLLTKDEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSTRPLRKSKKKALLESLDDLLAQLPSLLDVDHPCAQKQIMEARKVVESLEEDPDDPATDSNSNTLGDSEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMNFEEIKGVFEGLPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >OB06G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4551560:4552429:-1 gene:OB06G17010 transcript:OB06G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFNCAHVRPDLVTYSTLIGGLCRGGKMKEAFELFEELIEKDHIVPDQLTYNVLIGGFCRLGQVEKAQAIFGFMRKNDCEPNAFNYATLINGHCKKGEVNEARRVFEEMISSGVQPDAVSYTALIGCLCRHGNVDEGIALVLEMREKGCKADVVTYNLVLEGLCKDGRTVEAMGLLGRLPLEGVKLNVASHRIVMNCLCSHGEVEKAAGLLGMMLGRGFLPHYAASNMLLIGLCDVGRVADATVALYGLVETGFMPEASCWARLIESVFRERKLRRSIELLDVLIAEG >OB06G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4552500:4552865:1 gene:OB06G17020 transcript:OB06G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGLGRYLFWGSRRSARSSVRTSGQREETRRSRQTARALGETRHRRRSAGSRRRSVREWSAGRSRRSGRNCGSMNWQGAEAARRRTAAVAEGRRARARRMRSAEKVAGVCENPRCAAAAL >OB06G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4555161:4557489:-1 gene:OB06G17030 transcript:OB06G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLKPSAAAAAAAIISRIAEIRCGGRSRAPWPFSAPTPPAAAGFPSRAXXXXXXXXXXXXXXXXXXXXPRWAGGAGGGGGGWGVATTCASGFCRRFGLKPDDRLARRCVCPGLRCAGADWPEQSFVAVAEKPDAAEARMALASAGDGGGGEEEEDGPFEAINGAGGNNVEESVILPPFEQSLVAADSVGEDALGRKLDFKETSTYVMYGSSAFIAGWILSAVVSAIDSIPLFPKIMQIVGLGYTIWFSTRYLLFKENRDEMFVKVDDLKRRITGYGDE >OB06G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4572933:4575092:1 gene:OB06G17040 transcript:OB06G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFAASILLCAEDSTSVLDLGGESEEISWVLGVDASLGASSVDFPLQSDDCIEVLLGREEEQHLPMEGYFQRLMLQPDGLDLVAARSDAIDWIWKVHEHYKFGPLTAVLSVNYLDRFLSVYDLPQGKAWMTQLLAVACLSLSAKMEETVVPHPLDLQVGDAKYVFETRTIKRMELLVLNALKWRMQAVTACSFIDYYLHKFNDGDVLSMFALSRSVDLILSTCKVAELLVFRPSEIAASVALVALEEHETSTFDRVATCYKNLKKERILGCYEMIQDKIIMRNIIRQSVGSVFTMPQSPAVYYETSMSSKRRRICR >OB06G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4584373:4584579:1 gene:OB06G17050 transcript:OB06G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFLSGAFTIDFEHHCSHQSDTPMFKVNFFSPFRTARLILFFFETFVVRLIQLCNLYQHQKFSEPGE >OB06G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4592460:4592657:-1 gene:OB06G17060 transcript:OB06G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTCCPFALLFYLHLPAICMQTTIVLPYQTLSLLPPLIGISSRHGCTNTLNTPALAARGWQHVPCFL >OB06G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4592460:4595753:-1 gene:OB06G17070 transcript:OB06G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDDDLGLIALSFPQFKELSMVCCDGFSTLGLAVIAERCRHLRVLDLIEDYVEDEEDELVDWISKFPQSNTSLESLVFDCVGVPFNFEALEALVARSPSLRRLCVNHHVSVEQLRRLMARAPQLTHLGTGAFRPETAAGGGGISVSELSASFAASRSIASLSGFREVNPEYLPGIYPVCANLTSLNFSFANVTAEELLPVIRQCHKLRTFWVLDTVGDEGLHAVAETCSDLRELRVFPLDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCTKLTRLSVSGLLTDKAFEYIGKYGKLIKTLSLAFSGDSDLSLKYLFEGCTSLNKLEVRDSPFSDKGLLSGLDYFYNMRFLWMNSCRLTMRGCREVAQQMPNLVVEVMGDQSDDGGETEVIDKLYLYRSLAGPRNDAPPFVKIL >OB06G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4603077:4605936:1 gene:OB06G17080 transcript:OB06G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLSRWGKSMGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFRQTFEVLPDEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVSSLQEAMDSARDMQP >OB06G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4607222:4617263:-1 gene:OB06G17090 transcript:OB06G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) TAIR;Acc:AT1G28210] MDRLGWLRLASRSLTLHSGEVPAHGSKWIRPSTPCSSSGIYIGDKCYGRFLLTSFASSRSFHATGQHSSPEKDYYKILGVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKREQYDMLFYRGSETNSTKGGMEFDGAYQDPFSGFHKQGHNPFAEFYRQNTGPFSSKFYKIFSEVFENDVDVHANDIEVEVDLSFREAVKGCTKKVSFSAKNLCGSCVGRGYLANAKTYVCPSCKGAGRVTMYPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYVNVTIPAGVDSGDTIHVPEAGHSGGRGALPGSLYIKLQVASDPLFVRDGADIHVEKKIRFTQAMLGGKVEVPTLDGMAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQYVRFRIQFPSMVNERQRALLEEFAVEEATKEQNSFAAGNWWELVENLKGQTFLLGLGFLVLMHLLLTKVVS >OB06G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4622315:4624030:-1 gene:OB06G17100 transcript:OB06G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVGNSTRDSRYKVCDTRKNTHVDPTGSTCRHQRHRVSSHLVLSSAKGSVRFDCLGGGGGPGTRVVAFANDDVEDDDEKPIARQV >OB06G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4635849:4638712:1 gene:OB06G17110 transcript:OB06G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALVALLLASCAAAASATKYTVGDTSGWAMGADYTTWASDKKLKVGDILVFNYAGGSHTVDEVSAADYASCSASNALASDGSGATTVTLKTAGKHYFICGVAGHCSNGMKLVVDVAAASPAPEAPSTTPTTPSTTPATPASPGSSSGVTPQTPATVLAPPVKQSAGAAGLRAGAWAILGLAGLAAVQLGLF >OB06G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4640484:4648071:-1 gene:OB06G17120 transcript:OB06G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MPPPMEFAVDDLEELESRIDNFLKRFHSDDLRRILLPDPDGKLHFPLVIDFAELLDFDPEVAHILYDYPKDVLELFDAAAQRALDKFDAAARRDKSKPGDEPIEKKFVHVRVNTSGSPLECPEACPSIGKVRVKHRGTLLTLKGTVIRSGSVKMIEGERTYECRKCKYRFTVHPELEAGNRITLPASCKSKSSKGCGGGTFQFIQDTIICHDYQEIKIQENIQLLGVGSIPRSMPVILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLIANFVRRTNELKSDLDIPVEIINTFEEFWAASRATPLKGRNSILKGICPQIFGLFTVKLAVALTLIGGVQRVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDKKDRDWDEIVSSHILAENTEEKKGKTGDAEVMWTLSMLRRYIHYVKQQFKPVLTKEAEIVISSYYQHQRQSGARNAARTTVRMLESLIRLAQAHARLMFRNEVTKLDAIAAILCIESSMTTSAIVDTAGNALQSNFTENPDQEYIKQEKKILTQLGVIENSPLL >OB06G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4656302:4657225:-1 gene:OB06G17130 transcript:OB06G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLGRPFRHQRAPPATTKKRAPKGNETCQPGDHPRTNSARGSSYQPNNDHAAPLAHAARYCMAVYWSRPARARRAGASRQRRRPLGGRRAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGRGGGSVGDLHGEAREAVAQAEGAHPRRVPVLRMPPAPRRVRLLRLAHGARHGHGLLVVARRAERNGRACMRAACARPCGCYVQCIYIARGRLCSGARRARARWKFRGCCRDRVRASD >OB06G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4658936:4666653:-1 gene:OB06G17140 transcript:OB06G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLVSLPLTVGMVVATLRYFAGPAVPLYVLATVGYAWLCSLSFIILVPADISTTITGSQEGDVGFFWSWTYWSTFFLSWAIVPTLQGYEDAGDFTVKERLKTSIHKNLVYYRIMGSIGLVGIILIIIMRHDWAGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKDLWKTSDWTHRQKFLSHRIANTAGKFDNAHQEYCHAIAVVQATSKQMSKRDPLRPFMDIIDNMLAQMLRDDPLFKPSGGKLGEDDMDYDTDENTMATLRRQLRRANEEYYRCKRKYTSYVMEALELEDTIKNYEQRDENEWKYASGLRENRSGTLGSFLDFIEFIWRCILRKQLLKVLAIILGCISAAILLAEATLLPSDVDLSLFSVLANAVGKQEVLVQVVAFIPLMYMCICTYYSLFKIGMMVVYSLTPRQTSSVSLLMICSMVARYAAPISYNLLNLIHLGGNSKTTFEKRMGNIDDIVPFFGRSFNRIYPLIMVVYTLLVAGNFFGYVLDFLGSWKRFKFWTEQEDTDGFDPSGVIILQKERSWIEQGHEVGELVAPLARNFSGISNDLEYGDVKQDEETAGVKAKTYTSKTERAFQSEYASKVAHKYSSIREQNISNQTVKQAQKETQSTSVLLETVNSEIPSSVSKEQDSSAGVASTWTSVKTGFQNFKANLGSKKFLPLSLSCTQSSSSGSLDEIFQGLKRHSSNASVDYLDDDDSVQ >OB06G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4670375:4670780:1 gene:OB06G17150 transcript:OB06G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRCSSTLGGRDSRGRQKKHPERERASKCVPGDIEPIFVVGGGVGVVERAINVEVDLSGAAAMASFTDAETERGKIRKDTPHVGVVHQEKIRGDGTTANLRELEAGRG >OB06G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4670459:4670641:-1 gene:OB06G17160 transcript:OB06G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLRSTSTLIALSTTPTPPPTTKIGSMSPGTHFDALSQPRSPPELSYYLSLSARVKD >OB06G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4680124:4683683:1 gene:OB06G17170 transcript:OB06G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22460) TAIR;Acc:AT1G22460] MRAGISDMVAVARMLNATLIIPELDKKSFWHDKSNFSDVFDEEYFIHSLVNDVKVEKKLPKELVKAPKFVRYFKSWSGIDYYQDEIYPLWEHRQVIRVAKSDSRLANNYLPPDIQKLRCRAFFQSLRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLEQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLLSRFPIIMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSQRILDIHRKRQGSPRKRKGPVPGTKGSDRFRSEEAFYENPLPDCLCQPKSPAGDASLVSI >OB06G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4685551:4685934:-1 gene:OB06G17180 transcript:OB06G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLAPLMEDKAAPGTTGFSTTAPRRGWWVTKEDDGAVHIKVSMPGLGKEHVKVCAEQNILVVKGEGEKDPEEDAAPLRYICRINLPADAFKMDKIKAEMKNGVLRVTVPKLKEEERKDVFQIKVE >OB06G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4691454:4696651:1 gene:OB06G17190 transcript:OB06G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMGAPGDEAAKMQELDEMKRRLKEMEEEANALREMQAKVAKEMQGLDPNATPSESKEEMDARSVYVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEAVKLNESELHGRQIKVAPKRTNVPGMKQPRGGRGFGGHPYMRPYGAPFYNPYGYGYPRFRRPRRPYF >OB06G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4707154:4709451:-1 gene:OB06G17200 transcript:OB06G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRNLSLKDVLTVVPRVCKSWGRVVAGPYCWQDIDIQEWSQQQSKPDHLRRMVHMLIARSGGSFHRISVSGLPGDSLFAFIGDQARSLKTLELPRSEISDSLVENVAPRLSNVTFLDVSSCTKIGARALEAFGKHCRSLVGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASHCHDLKFLDLRGCWNVDDKFLQERYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDGQGLEGLEVRFYGGGFSESHAGFDWPPSP >OB06G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4709679:4709993:1 gene:OB06G17210 transcript:OB06G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMAIRNIHGTKCQERKRQRIRIRKKNNKKDSEITSIPSPKFFSSEAHAAANTNYTTHQELDGLIEGKDPSPDGSSSWREGGGRGKTNTFSSIPGEVEAGGRRS >OB06G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4715565:4721007:-1 gene:OB06G17220 transcript:OB06G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphotyrosyl phosphatase activator (PTPA) family protein [Source:Projected from Arabidopsis thaliana (AT4G08960) TAIR;Acc:AT4G08960] MSNPESNPQPTTSCPPPGSAAHAGHAPLCRSCAVFALLDLISALCAIVESTPPLPHNSRYGNPAFRLWHEKLSDSANQLIAPITATAGSPDLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLISEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >OB06G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4722047:4723048:-1 gene:OB06G17230 transcript:OB06G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLAMEERVTKASMRPLACCLLVVVAFVVAAPGCAAFNPRLLFLVKPDPIVLKDHHGVVLTGNVTVNVLYYGRFTPAQRAVVADFVRSAASAPPPDQRVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAARATQRRRRRGRHGHQPRRVARRHGDQPLRRRVLPGRRRRRAGGRHGVRRRLRKRSLPRLPREATQGPGHRRQLQRRRARRPEVPPAGGVGSHDVAVQDTCVDLAGTALAGAACDRGEKK >OB06G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4724238:4725215:-1 gene:OB06G17240 transcript:OB06G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQSFLLLMVLVAGVAVSAMAERKLMSLYKPQPDQLKYHNGAVLSGDIPVSILWYGRFTPAQKAVVTDFVLSLAAPLQAAPAPSVSQWWGSIHRLYLSKAVAVGKNGGAHGGGGASKNARVVLSGQVSDEGCSLGKSLKLSQLPALAAKARPAKGGVALVLTAQDVAVEGFCMSRMVAGAVTNPFGDGFYQGERGAALEAATACTGVYGKGAYPGYAGELLVDRATGASYNAHGARGRKYLLPALFDPDTSACSTLV >OB06G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4735343:4736291:1 gene:OB06G17250 transcript:OB06G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTLAGRKTLPMVVLAILSMAVNALGERRQLQLMQDPAGDVLSYHGGAVLSGDIPVSIVWYGKFTPSQKDVVVDFVRSLTPTSRQAATPSAAQWWSTLATFYLSNAATGGGGGGGAKSVTTRVVLSSQVSDEAYSLGKTLTLVQIFQLAAGTTPKRGAVVLDPPLGAPNGDAGVDGMMVTLASMLAGAVTNPFGGAYYQGDRDAALEACTACAGVYGSGSYPGYAGKVLVDTASGGSYNAIGGGKRFLLPAIYNPATAGCSTTV >OB06G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4740421:4746697:-1 gene:OB06G17260 transcript:OB06G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MCI2] MAAAPTSSAAARRPHALLVPFPCSGHINPTLHLARLLHSAGFVVTFVSTEHNHALMRARARGGGEGFRYEAIPGGLSPSARRAHDYGFGVLRAVRAHWPGHLRELIARLNSVADDSSSSSSSPPPPVTCVVASELMSFALDVAASLGVAAYVLWGTSACGLSCGLAVRELRRRAYVPLKDASYLTNGYLDTPIDWIAGMPTVRLGDVSSFVRTLDPTSFALRVEEDEANSCARAQGLILNTFDDLESDVLEALKDEFPRVYTIGPLAAAMHGRVVDRANGHGGAAAGLSLWEEDAACMTWLDAQPSGAVLYVSFGSLTVMSPDNIAELAWGLAESRRPFLWVVRPGLVAGGINALPDGFVSETKDRCFIAEWCAQEQVLRHRAVGAFLTHSGWNSSTESICSGVPMICWPGFADQYINSRYVCDEWGIGLRLDEELRREQVAAHVEELMGGGGGRGEEMRRSAAKWKAMAESATANGGSSYVSLDKLVEQLRLETE >OB06G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4740506:4741351:1 gene:OB06G17270 transcript:OB06G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLAALRLISSPLPPPPPMSSSTWAATCSRRSSSSRRSPMPHSSHTYRELMYWSANPGQQIIGTPEQMLSVEEFHPLCVRNAPTARCRSTCSCAHHSAMKHRSLVSETKPSGNALMPPATRPGRTTQRNGRRLSARPHASSAILSGDITVRLPKLTYSTAPDGCASSHVMHAASSSQRLSPAAAPPCPFARSTTRPCMAAARGPMVYTRGNSSLSASSTSDSRSSNVLRMSPCALAQLFASSSSTRSAKLVGSNVRTKLETSPSRTVGMPAIQSIGVSR >OB06G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4747597:4748598:-1 gene:OB06G17280 transcript:OB06G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNLVDGKVAAIVVALAVLSLAWPSLGARRLPALLIRSHVGDQVSYHGGAVLHGDIPVTVVWYGKFKPAQKAIVVDFLLSLTPPSSSSSSSSPPNTTTPTAAKWWSTIATGYLSSNATAGGGGGGGTNVTSRVVLANQTSDEEYSLGKSLTLVEVFQLAAGVVPGKGDLVVVLTDQDVVVEGFCSVRCGVHGSDAGAGYAYAWVGNAETECPGQCAWPFAKPAYGPKDPALVPPNGDVGADGMVATLAGMVAGAVTNPFGDGYYLGDKDAALEACSACAGAYGSGSYPGYAGKVLVDDTTGGSYNAVGAHGRKYLLPAVYDPATSRCTTLV >OB06G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4752095:4756673:-1 gene:OB06G17290 transcript:OB06G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRRANCNIASLGPPRPAHQPPRGRASPGAHLQAPPPALQGPPYIARGTLPPAQMLPQHGPAAIYPSQPWYWYPPDYQYQQALMNPQLLQNYYAALQAQLYGLASPTAPSPYHQYVGYMPGPAPAPAPTPNAVLPPAQQVTGPPFVQQPAQQLTGSPFVQHPTAAAQIQGSFVPLPSLPQNFRLQLPPNAMSILPSTPTALQPADLQAAASAATGAATNPNNTPTGV >OB06G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4765463:4767455:1 gene:OB06G17300 transcript:OB06G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWWWAALPLLLSVAGTVVASSTAPACPRPSAAVVIVGSHDEACWPLPLRRPLGDRLGVIEGDDATLARAVKLLNMNKEDFAAVLFYASWCPFSQECRLRFEKLAFIFPTIRHFAFEESTVRSSTRFRYGIHAYPTFFLINSTVRVRYHGPRTVKSLAAFYSDVSGINPSTDLTIGDDIPSLDNIELKKDSDPESCPLWSERTSDNIPQQDNYLALAISFVILRLLFLLYPTTIAFVKRTWSGPTLFTYLEQGRQKINRVYPSKQGSLHHGAKNATAWASKSLASVSIEEKQATAT >OB06G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4776950:4777129:-1 gene:OB06G17310 transcript:OB06G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCHAIIEARDKVTIHPSSFKEWLYLSTAAQLLQTQLITKRGYDNYTHVLDVYTSLAN >OB06G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4782098:4783367:1 gene:OB06G17320 transcript:OB06G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDEKLMGYIQKHGHGSWRVLPKLAGLNRCGKSCRLRWTNYLKPDIKRGSFSREEEQTILQLHSILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDLIQSLPQLIALASLRQIIEQRPWDGHIEGLQTVAVQAAKLEYMQSLLHSAVSIVTSPTNSSNRLNTCTTELEQTNLLSPPQVPSSSVHELAGQVPHSHMPSTSFDQQIGKTNLFSNNIMNGNEWCSIEAENSSQKSLLVPENSLPPLTDMPVPNFCNTISTPNCDGSNSILLPSWSEILLDEELMDEFA >OB06G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4784224:4786096:1 gene:OB06G17330 transcript:OB06G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQDSGCSAPITAIFRRHTAIHHGIFSNGTGKPKSRSHRLRLPRCPMIDSTESWMRTFLIEAFMCHTHNAAWHTLHNILCGSAGKIDSYLDVV >OB06G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4788279:4792133:-1 gene:OB06G17340 transcript:OB06G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENMYDDDDDDSVKNSEIPYGPDTSSFRAFLMSFMSSSNSSNDSMEIIPEHNMDMEYPTLTPVGKGSNGRKGLLSRGKHSIGKIINKAGRIGGFRQKTSYNIDDGTVQTESDAPGFELKGSKESASHEKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNSCFVFTNIAGRPVIYRPTGANNYFTHCSTDYLAMGGGGHFALYLDGDLMNGSSSTSDTFNNPCLSRSQEFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >OB06G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4797262:4800380:1 gene:OB06G17350 transcript:OB06G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:J3MCJ1] MATLTVPSAVPPVADDCEQLRKAFQGWGTNEALIISILAHREAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGNRVLVEIACTRGSAQLFAVRQAYHERFKRSLEEDVAAHVTGDFRKLLVPLVSAYRFEGPEVNTKLAQSEAKILHEKIQHKAYADDEIIRILTTRSKAQLLATFNRYNDEYGHPINKDLKADPKDEFLATLRAVIRCFSCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQE >OB06G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4800349:4801143:-1 gene:OB06G17360 transcript:OB06G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPAPPKILLFFLLLALAVAVQCSTSSELDVGGGGNVDYDCVYTVFVRTGSAWKGGTDSAIGVEFAGADGRGQLFTVEQWLATDASPYRLTAVRDRCRSAGNSAAA >OB06G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4814601:4817082:-1 gene:OB06G17370 transcript:OB06G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MCJ3] MTNQDVRTNLPSALGSFEQVAAAAKGKRVIVFLDYDGTLSPIVADPDMAFMSDEMRAAVRDVAEHFPAAIVTGRRVDKVHGFVGLSELYYAGSHGMDIKGPSSNEEEDTKILLQPAREFLPVINKAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWNPLAEQVKAVLRDYPELKLTQGRKVLEIRPAIMWDKGKAVEFLLKSLGFDDRGDVLPVYIGDDRTDEDAFKVLRTRGQGFGILVSKCPKETDASYSLHDPSEVMEFLVRLVQWKRRRSSSAMRPRV >OB06G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4841694:4842719:-1 gene:OB06G17380 transcript:OB06G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYKYQLSSSSSSSSDQELMKALEPFIRSASPTSTSTSAPFSYTSASTFTSTPFSYSPLPQESYYLPASSSYAAIPPPTIATTTSFSELPPLPPSSSSYTSPAAYPQANTASGLAQLGPEQIQQIQVQFLMQQQQQRGMLASAAAYLGPRAQPMKQAGAAASAAGKMYRGVRQRHWGKWVAEIRLPKNRTRLWLGTXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPLHSSIDAKLTAICQTLAAAPPASKKSTAAAARPDSPKGSASTTTTTSEGDESAISACSPPLPPLPPSQPAPPPPPEMTNLDFTEAPWDESDAFHLYKFPSWEIDWDSILS >OB06G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4858444:4862243:-1 gene:OB06G17390 transcript:OB06G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATCMALAVLLHVATVVFLVAVRLGRRTPRDGSLPLLGHFHLLRKPYHVTLAALGTAPLVTLRLGARRTLLVSTYAAAEECFTTHDVALAGRPQLLAGKHVGFFEMVFNVLMRSLTAHRHGAGGDVARFEHLVEETFAVSGTLGLSDFFPGLWWVDRLRGVEAKTANLAAAHDAFITDIIDGHKRMRDAGVGDGGKRSTIDVLLRLQESDPKNYTDTVIKGLVLIILAAGTDTTTVTTEWLMAALLKHPEVFRRVRDEIDATVGTGRLVEEADITNLPYLQCVVKETLRLYPPAPIVPAHEATEDCPVGGVHVRRGTMVVANLYAIHRDAECFDWDAAGAIDMAEGDGLTVPMATPLAAACRPREFVHGMLSAASLDEMECSKIRYDVFWKVNMASEEPALAGNLLAV >OB06G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4867393:4869602:-1 gene:OB06G17400 transcript:OB06G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWPSPWLPLLLLAAMLAFEDWLATPTCSGGLTTPAPTPAPAPGDLRVMMVSDLMLPGSDATYADRLFRNHVMSKLFAKSIGTLKPDMIVVLGDISAKGFELAESKWIDVLEQFEGILGEYSILPLHITLGDKDVGGCANLDDSFVHHVTKHLPGLDSSGCGTFEIGNVSFVSLNAVSLLCGNNPLRFSVEKVIERENNHFQQKMVNEAGHFSLGSTKREDCNWRPNNMESGSGPVVLLHFPLYKSQEPDNPDGEAIGVVKFSESYFYSGADGKRSYNQLHALPANSTQYILQALKPRIVFSAHDGSFSDYTHDDGTREVIVPAVTWKITGIPGFVIATFGREGIMTVRHCLIVPEWYVM >OB06G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4871591:4874256:-1 gene:OB06G17410 transcript:OB06G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMFGKKGRDLDGMDTSGAVCRSSSDKNYSKPRARLKSASLNCVGSPPRLHSNVCQYRMFVATWNVGGKTPNKLLNLEDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNMPKEQDDGDDPSPSTPPPTSSSSAAAAASESSNGARHRRRDAVSRSSSGNLFFQTPSLKVLSNSYRVDSALVKTCNCSPEHSSMRRRAAEMRESVYRADAAPDAASTSAGGDATTSGVVVDDGIAASSTEPQCEPGDGGGGGMSYCLIASKQMVGLFLSVWVKKELVEHIGHLRVDCVGRGIMGWLGNKGCIAMSMTLHHTSFCFVCSHLASGEKEGDELRRNADVAEIVKSAHFPRACRPSPAAGRRVPERILDHDRMIWFGDLNYRIALSYDETRTLLEENDWDALLEKDQLMIEREAGRVFRGWKEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWQGDGIEQLQYLRGESRFSDHRPVCGVFAVEVATDDDDSGGGGKIRKGYFSLNARIGGDVKAALPQCHHGDVS >OB06G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4876158:4878775:1 gene:OB06G17420 transcript:OB06G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGGRVGGYGTEREGVNGRVKYMTGGSSDRGRRDELPGPAPRHGGRRAEAGAATGAGGGARLVPASDQGGGAPVYGRRARHAQPKDTPSLRGRLRGTRAGIKRLSRSTSQALRQAAAAAARADESVATFSKKAMDLEAVMSEYHKIEQRIAATERQESAAAAAARRSPSSSPPPSSNPYSTSSQRNNDDERLAYQKQQQQIAVPPTQQDLVLLDSDVEFHEAVIAEREQAMQEVQQDIADIHEIFKDLAMLVHDQGECIEIVTSNVDRTAAATSQAEAQLSRAAGIREEEKEQILNHSGAVDNTSKKCLLLTVLGLFLLIMGLVIIS >OB06G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4880936:4883735:-1 gene:OB06G17430 transcript:OB06G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSHHAIVCTQSHQWSNRNSRFEKTTGNLNMVSVGNNSANRQKFGLVCASSLQGSSVMEPVQLPSNGNNGHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHMWSQIYSEETEKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDVPPPNGESLEMCAVRAVAYFKEQVVPQLTAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRKLAQYRQKLDSMVM >OB06G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4884975:4889141:1 gene:OB06G17440 transcript:OB06G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIDNSTNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPETDRGGTIQNKEYLINMLTQLKSESDVAEELTKTSSKAPVDSNIEELQQEIRTCQHQIQLNEERLRMLEPDPAMFASMDEVEATEKFIADMLSRVQERKRYLLCSHLGSFDVTGSTSAMQHVRITQHGDIAGGGFGSDEVASWVSEGMPEAATSSVASMFAGPSDSIMSSFRDHQAMYDAMGREAGVETVGPGMEMCHVGQQGQSDDWQQAYTSAEFLSSLIPSTPFPLDEQHGDIAGGGFGSDEVASWVSEGMPEAATSSVASMFAGPSDSIMSSFRDHQAMYDAMGREAGVETVGPGMEMCHVGQQGQSDDWQQAYTSAEFLSSLIPSTPFPLDEDVMAPVLASPPAMIPGVHDDQRPPVEDTEAGCSQAPTDDLAPVNIG >OB06G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4900375:4901689:-1 gene:OB06G17450 transcript:OB06G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRNSSSSMRRTTSMSGFAVADEEEQPPPPQQGICRQPARAARSGGRGGPGGEVAFCSHECRQQQMNIDELKEKKCFQQESGGGGGSGGSDKSGNSGAVAAA >OB06G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4906355:4916794:-1 gene:OB06G17460 transcript:OB06G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G22125) TAIR;Acc:AT2G22125] MAAALAWRFNGTNGGADLERHADKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQSSSSTQEKESSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLGLLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISATDPLVIEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSIMKLIDAQSDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLKEGTIDGRTHAAAAIARLLQCRSINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPTLQDKAIEVLSRLCSDQHDIVGGLISETPGCTSSVARRVIGSNVLKVKVGGCALLVCAAKEHCQKQIKILSESSLYIQLIHSLVSMIHMTNLPSENGCGENLSEIKISRHSKENSNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLTEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNSALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDEIRIGATSRKSIPILVDLLKPIPERPGAPFLALGLLTQLAVDCPPNMQLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSSSEIRQNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFFADHVRNSESARQSIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALAVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASVAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >OB06G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4920890:4921063:-1 gene:OB06G17470 transcript:OB06G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLCVYLILKTTCVDYHKDNRTLTYTTASTVGTKTTENVVGVFSEIHCRLVKIFHVY >OB06G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4930113:4930328:1 gene:OB06G17480 transcript:OB06G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASRRKKRGGGEESSKGKPKQSPRVVRSARARTFAGDPRPAPARPPLPGAAFIVLLRRRAVLWLVGGILVGP >OB06G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4930113:4933630:1 gene:OB06G17490 transcript:OB06G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:J3MCK5] MSTGEGETAGGGGDGIEVRFRLFDGSDIGPSRHDPATAVTALKEFILARWPQDKEIIPKTVNEITIINSGRILENNRTLGDSRVPAAEAPGGVITMHVVVRPQRADKSEKRPSKAPSPTGQNSNRCGCTIL >OB06G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4934492:4939914:-1 gene:OB06G17500 transcript:OB06G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron carriers;protein disulfide oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G08550) TAIR;Acc:AT4G08550] MENGEKDEKNVSVTEESTNPEEKDQDEDLLRGTEMLNVKEAINSSNEKSGNDSEAQIHVTDDPEKELNEKKNKEGSSVSMKPTDSNQIEEEILAEDKSQEPVFDGTEVPEMEDLRRSSNQSAELDSEAYGSILNERATAIKNFVKEKSNIAVSTFIRRLSGKKDENESSVEDFKNEGSESINSSSIVSDAEPKSKEAQHKFEERTTWNPLNLIKIGRDFDTFMTGEHEHENVPDLIEQPTGKGRVIVYTKLGCEDCKMIRLFMRQKKLKYVEINIDIFPSRKMELENSTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESSSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSESVDFISEDQYLERDEAVEFGRKLANKHFFRHVLDENVFEDGNHLYRFLDNDPIIMNQCYNIPKGIIDVEPKPIVEVASRLRKLSQAIFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVEIHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIENGILRGNQRPPYNLAKPFGQKDQRSKVALPYPEPLVHFALVCGAKSGPALRCYSPGNIDKELVEAARDFLRHGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLEILANTQLKVLYQPYDWSLNI >OB06G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4946654:4947796:-1 gene:OB06G17510 transcript:OB06G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQKQLLSLPRGGGCACPLPSPHRLLYSTASTTSASTFSVDDYLVTACGLTRAQVPRASRLLFRLNSPSKADAVLAFFSGLGLSRSDIAGIIASDPLLLRAKVDVTLAPRVDALRGLGLSDSEMVSLVLAAATMLRRSDVASKLKFWISVFGSFDELLPSIKSCNRILLPNLDTMLKPNIAYLKQCGLSAIDIAKLHFNAYWVLGSNPERLKELVMRADKLGVPRNSGQFKYALATVACVSQEKIDSRRETLKRALGCTDEQLRIAVVHHPSILRASVDKLRTTAEFLTTKVGLDPEYIVHRPAFFGYSLKRRLLPRYLVMKALQESGIVRVDYCSMVVVTETQFRSSYIDRHKESIPGLAEIYAACCSGEMPSELQS >OB06G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4951086:4952282:-1 gene:OB06G17520 transcript:OB06G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRGVLSRLLHPPCAAAAPADHANPLLSLHRLLLSSAAVPVSPKPFAVEDYLVESCGLTRDRAGKVSRGLSHLKSPSNPDAVLAFLSGLGLSSADIAEVVVNDPRVLCARVEKTLDARVAELSSLGLSRSQIARLVPLVRSQFRCKSLGRKLTYLLTVFGSFDRCLDVIRSNYGVISASIEKVIKPNLGILQQYGITTSNWRAFAFMSLSRPTKHLEDAVTHAIEFGIKQGTRTFSTAVVTFSILRQEKFTKNLRLFKKLGWSQEDLSIAVKSMPNIVAMKEERLRQSMKFLTKDVGLEIPYIARRPALIMYSIEHRLLPRHCLINVLKGNGLLKTNYDFFNIAVISNNKFMVKFVDPYVESIPGLGDAYASSCTGCGVQQLKVLSKGKRDKRVC >OB06G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4956247:4956444:-1 gene:OB06G17530 transcript:OB06G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFAPRWVILGLGVFTSHRSAWFVLIGGVEICFALSESVPIFFYFLAICFLFLSLNYQSPILAQ >OB06G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4959635:4961282:-1 gene:OB06G17540 transcript:OB06G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCERFSESPSRRERVMTRSKSSTSYLAFILNEYLVATCGLTRAQAAKASGKLSHLRSPSRPDAVLAFLSGLGVSRPDIAATVAADPGLLCASVEKNLARRVAELGDLGISRSQIARLLPLATNTFRSCSLATNLGFWLPVLGSFEKILNCLKINSSILSASLEKVVKPNLALLKECGMDASDIASSSNLYSRRLFTLNPSYLRDAVARAEELGLDRRSRMFRYALLAVAFTSKESVATKLRILDGLGFSRDDFLLIVRRAPKILSLSEKKIRRTVEFLKRDIGLEERYIAQRPALFTYSLERRLLPRHHLLKVLRAKGLLNCELDYYRTATVGEEEFVQRFVDPYKDHIPGLAEAYASRCFAKLNGVASLLGL >OB06G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4977476:4979094:1 gene:OB06G17550 transcript:OB06G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVECLSWLIIVLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGKQHRTFQCLAARYGGLMCLRFGMVPHVVVCTADALRAVMFAGGGGGKKVDAIAGIPSLDVLNAVGHSAHTIFSLPSQDDKWRAIRKFAVAEMLAPRRISGSAQLQARIVEALHREVSGHAARGDAVVFKHVVLDSILSLLLGLLYTTDLEPKELAMFRDLTEEIVGMLGTTNVSDVFPAIAALDLQGLRRRTGSLLTVLYRQFDEQFALRLRSREAGEPRKNDVLDTVLDMDRERSRESSLLSHDVMRVLLCDLYGAGGSSTAALVEWGMADLIQHPEVMSKVKEELARVLGDKPVMDESDIARLPYLHAVVKEILRLRTVLPLVPRKAEADIEVNGYRIPRGTNVILNAWAINRDAAAWPEPDRFMPERFVGGGETRSYLGQDFDMVPFGVGRRICPGMQLALKLIPLIFGTLLHRFEWELPAEVKEAGIDMTEKCGVVLSLVNPLKAIPKQI >OB06G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4983034:4987213:1 gene:OB06G17560 transcript:OB06G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3MCL2] MGSGSFLKLLANNFDVLAGPLVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKEKLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRGSNSKRKTKQSILEEVESEHITRAETESWGENPFYDKNYRY >OB06G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:4989982:4990344:-1 gene:OB06G17570 transcript:OB06G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSARSSVSEEEINELISKLQSLLPSSRRRGGNQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMAGMDHNSPGAEIIRSLLR >OB06G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5012057:5012284:1 gene:OB06G17580 transcript:OB06G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFRVYMYKLRYSMSKYLSQNSKLLEKKAHKKEKKCPKKEKKCAEMKPIKSISERFTSRRGISQGRSRCTRGTS >OB06G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5012246:5013400:-1 gene:OB06G17590 transcript:OB06G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALHGVPPRPAEKRRRSATVSATLAALSLDRASVSFAGVGEGMMAVKGRRNDGKGGVGGGGGRCNASGAVGQERRCRRVPPRTPTKTPLRAPEEINVWELMAGLGDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGALAVQPGHRGRLLPQVFVDGCHLGGAEDVRRMHESGELTNTLLKSCDMAAPAAAAGGKGGDAISSRRSRAAAAAGGGSCPATRVPAAARCSSSRTRTAVAAAPVPERSGGAPSATRTAL >OB06G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5021623:5022873:1 gene:OB06G17600 transcript:OB06G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34160) TAIR;Acc:AT1G34160] MTVRDVATWNALLAGLAQGTEPKLALALFHRLAESFQELPPREEPNELTIVAALSACAQLGSLQDGLYVHEFAKRIGLDRNVRVCNTLIDMYSKCGSLARALDVFHFIKQEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPKCIEPDEVTYLAVLCGCNHAGLVDDGLRVFKSMRVPPSVKHYGTIVDLLGRSGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVEFAELATSKLAELGSLVDGDYVLLSNVYASKARWTDVGRIRDTMRSNDVRKIPGFSYTEIDGVMHKFINGDKEHLRWQEIYRALEDIVSKISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHQFEDGECSCKDYW >OB06G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5026241:5035770:1 gene:OB06G17610 transcript:OB06G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRCLLRPPLWCRPEAGVVGLGVPGGSAVARRPPRPFGGGLPSSRFYCSKGGVGSAEAAVGSGGGGCGGSGRSSEQEHARLGERDQQEWLSGERFVTGWKRQESPFLTKRERFRNEFLRRVVPWEKASLSWRSFPYYVDENARQLLSECVASHLRHKDVALEYGSRLQSSGGRILLQSLPGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEDDEHAESEDDGSVSEVEDEDDDDEEKTGESDDGDTIKSVEDLKKLVPCTLEEFAKRVASAQESLSTSEASGTAESPEDGRRPLQKGDRVKYVGASVLVEADRRINLGQIPSQEGGTNAYTVLNGRTLSNGQRGEVYEINGDQVAVIFDPPEDKLSGDKKDEPSKEQLAKPAVYWVDTQDIEHDHDTQVEDWHIAIEALCEVLPSLQPAVVYFPDSSQWLSRAVPRSNRREFVEKVEEMFDQLTGPLVLICGQNIIDTAPKEKEPKTLVFHNLARLSPLTSSLKRLVGELKGRKPSKSNDISKLFRNKFYIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKSEGTILTKQRAEKVVGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKEQEGSIRKSPEKMKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKGLFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGSVSDTRTSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >OB06G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5042197:5048505:1 gene:OB06G17620 transcript:OB06G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGVRRQIADDQARKCGIAGLLRVGDGLLDQIGKLDEGNFKKGHDSLHNSVDEALLLKRKSEEVLFYLNERCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGEAYANADARVSLEEIAAKQGHDDVSKLTPTDIAIEALLKIENFVTEHSKPNSTVDDLLPSLHALYSFQQFAPSWNVRGRQSLLRSPSIQQERSFLKQWLQ >OB06G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5052408:5057482:1 gene:OB06G17630 transcript:OB06G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERRRRRLLLLLLLLLLCRLAFVLPNAEVDALQAFVGGFAAGNPAFQSWDATMPTPCTWFHVTCGQGSQVIRLDLGNQSLSGELKPDIWQLQALQSLELYGNNISGKIPSELGRLSRLQTLDLYLNKFTGEIPNELGNLSKLSNLRLNNNSLSGPIPMSLTTVQTLDVLDLSHNNLSGVIPTSGSFSRFTPISFTDNPFTLKNSSDSPSNNSATAPSSGSSASNIAKIAGGAGAGAAMLFAAPIVVLAWWWRRKPHDQFFDLLDEETPEVHLGQLRRFPLRELQVATDNFSPNNMLGRGGFGKVYKGRLLDGSLIAIKRLNGDRIGTGERQFLMEVEIISMAVHQNLLRLHGYCMTPTERLLVYPYMENKSLETRLRGSLSVL >OB06G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5059638:5059946:-1 gene:OB06G17640 transcript:OB06G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLGRRILCLLLEPASSPRLGGAPFSLRRFLSIDALPVSPKPFSCSRFSGQKEMDFNYTVVMGERKFVDHFKGRIPGLADAYTSGCSGEASNGAASLLGV >OB06G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5060399:5061757:1 gene:OB06G17650 transcript:OB06G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPGGREIISLSLSGSPPFHFHPHPRRRHAIPGRRRRGPAMLHLQKHLILLSLPPRATTSTTTLLSFRHQCLFSFARFAASTPAVAAAAAGKSAPFAVEDYLVASCHLTPDQATKASKAISHLKSPSRPDAVVAFLDGLGLSTADIAAAVSYDPRLLCTEVDRTLAPRLAELTDLGFSPSQIVRLFLVDPTRFRRPTVISKLQYYAQMFGSFESLLHALKKNTYLLSSDLEKVVKPNVAILRECGLGACDIAKLCIPLPRLLTTNPERVRGMVAQAEHIGVHRGSKMFRHAVFAVAFISEEKIAAKMQFLKKTFRWSDAEVRIAVSKLPVVLRNSEDRLSRVSEFLMSEAGLEPAYIAYRPAMLTYSLERRLMPRYCVLKYLKDNGLVESDRGYYSAFQVSEEVFMDKYISPYKDTVLRLAEDYAAAYRGNIPARFRLKGPKTGHASTPTV >OB06G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5063697:5064170:-1 gene:OB06G17660 transcript:OB06G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYDVLAASIGLWRKEAKILFLGLDNAGKTTLFHMLSQEAGAHHPNPALTVHQPTQHPTSNELRIGRIRFKAFDLGGHWIARRVWRDYYAQVLLAFFNLAPLPKKFHAPLFNIGAESYL >OB06G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5076792:5077955:1 gene:OB06G17670 transcript:OB06G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGDAGGGRRPNFPLQLLGKKEEQPCSTSPAAGGVGTNGPAAPGELQGRKVAAPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPSHLRLAGLAGSRFGGGARVDAWDRVVGLGFGGAEGPSSATSSSSSPLLLSFHSGSVGLDVSPSASTSATAANTELSRKRRWEQEMQQQQQYQQQMAGYTQSQIPGTVWMVPSSNAQAGGTAPPGGGGGAGEPIWTFPQSGTGGAPTVYRGVPSGLHFMNLPAAPMALLPGGQQLGLGQVTVAGAGGGGGDGHSGILAALNAYRAQAAQPDGAGGAGQNGAQGSRQHQEHGGGGDERHESMSASDS >OB06G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5091193:5093021:-1 gene:OB06G17680 transcript:OB06G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQAFQIAVSLLLFTVIGDESGTVRKQPPALVIALQFIIAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHILVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPRTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPIKLNLAEQSKTD >OB06G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5099905:5105438:-1 gene:OB06G17690 transcript:OB06G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNNNAGKAKAAAAGGAAGSSKGGPSTPASLKPTKLNKGKVKAKAIREKAAAAAEEATSLGAAGGNAEASPAGPTLPPATVADVAGTSKGSSSTPASLKTSKLNRGKVKAKVKAAALRAAGGNNEASPAAPAPRLATVADGSATRLISTPPAATGEGSAPKRKPKPKQKLAEANANANAAVATKNGVCADSNGGDAMMKRKRESAGERISNVKEKGKEEGRKKEEGLGNKGGGLIFMCNAQTKPECFQSRLFGYPKGKIETVEKVRPGMRLFLYDFDLKLLYGVYRAVSKGGLDLVRDAFSGKFPAQVKFKIDKDCLPLPESRFKDAIKENYNSKSKFNPELNSRQVHRLIALFESVSVPQSAPEKPLEERHRYEGKAQPHQYEERRSSLPVVHIPPPEDLYRATRFDPHPVDRIGHTLSNAQDDPQIHYQQTLFAPESRHIPLATEPHHGPSIPEIQHAPHAYYRHLAPSSDVPYYRSQVDLLPDRVAARTVADPLLPRDYTAVPAARSDRVEDLRRIGDIAPRGARVEELYHPGEIAARGSRMEELYRPVRTAAPSAHVEQLYCPGEIAARGYRVEELYRPGEIAAHGARVEHLYRPGEIALHSTRVEDLYRPGEISSPAVRVEDLYRSDQLTRAVDLPLRSSYPTAHYEVPNPSYSDISQRYASTRLNAPGASVSSLYTFPGAPAYR >OB06G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5104843:5105448:1 gene:OB06G17700 transcript:OB06G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLLSAHTPFLVATAALALALASASFCFGFGFRLGADPSPVAAGGVDIRRVADPSATVANRGAGAAGDASALPPAAPRDVASSAAAAAFSRIAFAFTFPLFNLVGLSDAGVEGPPLDDPAAPPAAAALALPALLLGFFIGLD >OB06G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5109493:5112704:-1 gene:OB06G17710 transcript:OB06G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MCM7] MSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTVFAVGEQLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFTFLNETFSPVLRQIEAGKRDVTLLDYLRFYLPHMFPALQRVVLLEDDVVVQKDLAGLWHIDLDGRVNGAVEMCFGGFRRYSKYLNFTQDIVQERFKPDACAWAYGVNVYDLEAWRRDGCTELFHQYMEVNEDGALWDPTSVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVITGAAVIHFNGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >OB06G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5143592:5147273:-1 gene:OB06G17720 transcript:OB06G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAPSRTNSRVNYSNEIHDLSTVQSVSAVPSVYYPEKSLADIFPPHLLKKVISEVVATFLLVFVTCGAASIYGEDMKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAG >OB06G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5176429:5178917:1 gene:OB06G17730 transcript:OB06G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPPKSGTSFLKTCFNGVNALSGVGILSIPYALSQGGWLSLIIFITLAAICFYTGILLQRCIDSSSLVRTYPDIGEMAFGRKGRIIVATFMYLELYLVAIDFLILEGDNLEKLFPGASFHVPGLIRVGGRQGFVLLFSLLVLPTTWFRSLSLLAYVSLGGVLASAILIASVLWVGAADGVGFHERGVAVDWAGVPTAMSLYAFCFSGHAVFPMIYTGMRDRRMFPTVLLICFIICTLAYGVMGVIGYLMYGGSLRSQVTLNLPARSLSSSVAIYTTLINPFTKFALLVTPIAEAIEGVLGLADAGKPAHVSVRTALVVSTTVVALAVPFFAYVVALTGSFLSATATMLLPCACYLRISSRVSGKLGLMETAACVGIIVLGLAVIAVGTYTSLKQIVQSF >OB06G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5184070:5187529:-1 gene:OB06G17740 transcript:OB06G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTGMDTHGGGAGPDSGTATAGRSPSSSAEKTAREKSGTLWTVTAHIVALLVGSSVLAVVWTFAQLGWVAGPAVVVAISVVTYYSSALLADCYRDPDHLGGAVHGEYIDAVRSYLGPKSVAFCGIIQYSVLWAAMVGYTITSSASMCAVQSVNRFHRKWVGNDVGGGTSGVTYTVVFGAFQLLLSQLPSLENVAWLSVIAVATSFGYSSICLGLCAARWASHGDVRGTLAGAGAAVEDSWSEKVFNVLLAVGNIAISYIYAPVLFEIQDTLKTPPSESSTMKKASLYGLAMSAVFYLLLGISGYAAFGDDAPTNILTGAAFHEPFWLVDIANVCVVVHFLGAYQVIAQPVFARLEACVGGRWPESKFVTASYELRLLRRAPVAPAAVITMSPVKIVLRAAVIVATTLVAALMPFFNAVLSFIAALGFWPLAVYLPVRMHIARVKVRRGDARWWALQGASMALLVVAVGMGVASVRDMARRLKEAAPFKTVD >OB06G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5189837:5194244:1 gene:OB06G17750 transcript:OB06G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 9 [Source:Projected from Arabidopsis thaliana (AT1G62260) TAIR;Acc:AT1G62260] MPVRDAVSWNTLLVAYSSSAHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEAQRLFDEMPQRNTASWNTMVTGFFAAGQVRKALDAFDVMPAKDSASLSTMVSGFTKNGLLCEAEELLTKRLRVTDMDKAVDAYNTLIAAYGQAGRVSDARRLFDIIPKGQHQCNMLKRNVFERNVVSWNSMMACYIKVGDVCSARALFDEMTDKDLVSWNTMISGYSQVADMKEAEKLFWEMPDPDSVSWNLIIRGFMQKGEVVHARGFFDGMPERGTISWNTMISGYEKNGDYVSSVKLFSEMLEAGKIPDRHTFSSVLAACASLPMLHLGAQIHQLVEKSFVPDTAISNALITMYSRCGALTDAEAIFKQMHTKKDLVSWNALIGGYEHHGHATKALKLFKEMRSAKVMPTHITFISLLNACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKQLSKINPDSSAPYVLIHNLYAYEGRWGSAAVVREEMERQGVYKQPGLAMAQPVNLETSQLTQVSFFERPVNWWPYCTSLWPDSYLLEEEALFSSLSFQSFHPQPVCTTVMESNGLQGELDVIFDDDVLMYWDEMEQSENKGQEREKGLPLLCYGNEKGADSKIMRVDDVRSEDKSLTFELVSQYFYMPITQAARELNVGLTLLKKKCRELGIPRWPHRKMKSLQTLIKNVQVLQEASKANNEEQLRMLVEMLQEERKLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALEAGEP >OB06G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5197811:5199463:-1 gene:OB06G17760 transcript:OB06G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 6 [Source:Projected from Arabidopsis thaliana (AT5G15250) TAIR;Acc:AT5G15250] MLLDLLVNFGFPLLFIASLLWRSATMNNPGGGGPNLPFGLGKSKAKFQVEPNTGITFDDVAGVDEAKQDFQEIVQFLRFPEKFTAIGARIPKGVLLVGPPGTGKTLLARAIAGEAGVPFFSLSGSEFIEMFIGVGASRVRDLFNKAKANAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFGGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVALPDVRGREEILRVHSANKRLDPDVSLGVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVNEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTPGHDEVQKVTLIPRGQARGLTWFLPGEDPALVSRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTAFGMSEIGPWALAEPAAQSGDVVLRMLARNSMSEKLAADVDRAVKAIVDEAYEVAKAHVRRNRAAIDQLVDVLMEKETLGGGEFRAILSEHVDIGKAQRETAARTELATA >OB06G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5209654:5209854:1 gene:OB06G17770 transcript:OB06G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCFLNLGTSCNWSSVTLWFPKYYCFLLISPVKNDAILFFLLLFFCCDFALTCSFEAISLLSAALLG >OB06G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5208938:5214233:1 gene:OB06G17780 transcript:OB06G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILILRYVILDSPLAEKSLQYVFQQNSTAPLNWLDVPNPPAVQNPQNISQVISTELLASNLSITRNLSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRKLNAALEYDDSVVSANGSTQQKSKEKQCPYSIRRMNATRLGDRFVLKIPCGLIQGSSITMIGTPGGLLGNFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPHTDSDAKDTAKVDDLEKCGSMVGKDIKETWAAKLNSNVSIQPAWKKNTKSGKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDINLLSVIASGLPTTEDFEHVTDLEILKAPPVPVDKPLDLFIGIFSTANNFKHRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSESQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIISQDIAKEVYRKHRRGELKMFKLEDVAMGIWINEMKKDGLDVKYESDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >OB06G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5216995:5217905:1 gene:OB06G17790 transcript:OB06G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74460) TAIR;Acc:AT1G74460] MGLPRPAAFLDPSLDENVILKSGVNYASGGGGILNETSSLFIQRFSLYKQIELFMGTQEFMRRKVGKEAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYSGEGFVRYMVATLEAQLRLLHSLGARRLTFFGLGPMGCIPLQRYLTSSGACQASTNALARSFNEQAGAAVARLSASLANATFRFGEAYDYFQDVIDRPAAYGFNNSRAPCCSLGRVRPTLTCTPLSTLCRDRSQYVFWDEYHPTDRANELIALETLRKLNITVVANSTST >OB06G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5218731:5224167:1 gene:OB06G17800 transcript:OB06G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVVASSTTFLVALASASPRGGPSGRGRVAAPAPLALRDGSGRALALRCAQRAPRRRDAFVARADGGENEEPEREDDDDVAVGGWQQPRSRRGGVGVGKVAKRRGSVPPVGRYGSGEAARGRGTAAPAPRQDAASSQNRSILSGDDKPASASSQNGSLVSGDGKPAAATPSITTLKVPAPDSGVIPSVDKSLEIAIPDKMGPTPPSPSVSGLGSSAPFPRLDSAENSELTEEMSERVVQSAPKREAPSSPIPAVQEEAWDFKKYIDFNEPIAEKDYDWVDEDAADSSDNHKDYDSGSLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYEEAQDVGIRKYYKAAGQDLEVKYFHAYIDGVDFVFIDAPLFRHRQDDIYGGSRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDHGTMQYTRSVLVIHNIAHQGRGPVDEFPYMDLPEHYVEHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRQNDWKMNGIVNGIDYREWNPEVDVHLQSDGYTNYTLSSLDAGKRQCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAVPWIVGQDVQLVMLGSGRRDLEVMLQRLEAQHGSKVRGWVGFSVPMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVTAFDPFGDSGLGWTFDRAEPHKLIEALGHCLDTYRSYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >OB06G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5228494:5236054:1 gene:OB06G17810 transcript:OB06G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQIPMYNEREVYRLSIGAACGLSWPSDRLIVQVLDDSTDPTVKGLVELECKCWGNKGKIVKYEVRNNRKGYKAGALKEGMLRDYVQQCDYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFVNTNECLMTRIQKMTLHYHFKVEQEGGSSTFAFFGFNGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYVSDVKVKSELPSNLKTYRYQQHRWTCGAANLFRKVGAEILLTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKALFIGLLELGGVNEWVVTEKLGNGSNTKPVPQILERPPCRFWDRWTMSEILFSIFLFFCATYNLVYGDDYYFIYIYLQAIAYLVVGTGFCGTQSANS >OB06G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5240215:5251605:1 gene:OB06G17820 transcript:OB06G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVNIIVGSHVWAEDPEIAWVDGEVVKIKGEEAEIQATNGKKITANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGRSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCSAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDPGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDANSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFISLRKASVCVQAIWRGRLACKLYDQMRREAAAIKIQKSQRRHQARRSYKHLNASVLVVQTALRAMAARNTFRYKKRSKAAVKIQARYRCHTAHVYHKKLKCAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQEISKLQSSMEALQAKLDGTSAMLVKEREVARAIEEAPPVVQQTEVLVQDTEKVDSLTAEVEELKTSLQSEKQRADDIERKRSEEEQANEEKQKKLEETEVKMRQFQEYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSILQRNTESGNVSTGDSKTAPESNNVASPKKEFDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAVNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNMLKLNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >OB06G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5257240:5257579:-1 gene:OB06G17830 transcript:OB06G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIAIAEAHGGGLSNSVHGAPCWPASMTKLQSTNRKVPENPLYVLIHHSHHVHGTTSNSVQWTSVGAPFNENKQEKVVVVECRGPDAARLQNIEHLHG >OB06G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5261060:5261884:1 gene:OB06G17840 transcript:OB06G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3MCP0] MEGSAEHGETSKAPLSKGISKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSIVHVIRSRAKYSRLILIFFDAVMLALVAAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLVLLIFLSAIALARR >OB06G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5261136:5261360:-1 gene:OB06G17850 transcript:OB06G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTIQEASASTVRESWEVAVLRFEPDELSEERQRLVGGAHGNGACKGADDRDEPKYDIEYGHSFTDPLAQGCL >OB06G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5262770:5264329:-1 gene:OB06G17860 transcript:OB06G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGSARLSPRALRQIHGNLVVGGGVTAAARLQPLRELLLACVVSFRGSMDYARKLFDGIPRPDLFMHNAMVRGYAHAGAPAAAFAVYRRMLEAAPLRPDGFTFCYLLRACAGFPGSCFAGCQVHGAVVKLGFLKDAYVRNALINMLAKCGDLRAACVLLDEAGEEDVVAWSAVIAGHAAKGDIDAARKMFDECQHKDIVCWNVMLGVYAKNGEMEKARELFDCAPEKDVVSWNTIINGYTVQGMLNHALEVFDEMRAAGWEPDEATIVSLLSCCADTGSLDAGSMIHQMHLEWRPWISIVVGNALVSMYAKCGDLHTAIEVFNTMKERDVWTWNSVIGGLTFHGQAEKSVQFFKKMLEERIYPNEISFLCVLGACSHAGLVEDGRKIFYLMKDKYGIEPNARHYSCIADMLGRAGLLNEAFTIVTNMRCKPSAVIWRTLLGACRTNGNMILGKFAREKLLNMNEDASGDYVLLSGIYASSGEWLGVETERRTMDRRGIRKVAGYAQIDRKSAGLSTP >OB06G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5265930:5268626:1 gene:OB06G17870 transcript:OB06G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEDEAPRQQQQQPAAAGGDEEVLAAVLERKGGAIPFLQAAIDVARRRSDLFRDPSAVSRVSALASAARAQVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEVKPESSVAAEPESSAGKDGMEVEKEEGNVRKPNAGNGLDLEKYSWTQQLPEVTITVPVPQGTKSRFVVCDIKKEHLKVGLKGQPPIIEGELYKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGEPEVDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEMLKKFMAQHPEMDFSRAKLA >OB06G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5268485:5270392:-1 gene:OB06G17880 transcript:OB06G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06540) TAIR;Acc:AT5G06540] MLSLPLAPDHFTFPRLLPAAPLPLAAQLHALLLKLNFHSHTQSLNALLAAYLAGARPDLASLLFRTSPYATLDVTSWTTMVNGLCRLGLVEEAREVFDGMPERNLVSWNSMISGYVKAERFLDALEVFDEMRALGVEGNGFVATTALVACTGAGALARGREVHRWVQQSGIEMDAKLATAVVDMYCKCGCVDEAWRVFDSLPARGLTTWNCMIGGFAVHGRCDDALELFRRMEAAGVAPDDVTLLNILTACAHAGEVSEGRRYFNHIVERHGIEPKMEHYGCMVDLFGRAGQLDEAKKVIDGMPMEPDLAVLGALLGACKIHGDVDLGEAIGRGGQVRRLMDERNVSKEAGRSVIEIDGEAREFRCGNLCHPQGEEIYAMAVDMVRRIRAEGYVPDTTEALHDVAEEDKEAALLHHSEKLAIAFGLLRARPRETLRITKNLRVCRDCHEATKIVSRVFDREIVVRDRNRFHHFKDGTCSCKDYW >OB06G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5274047:5276691:-1 gene:OB06G17890 transcript:OB06G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARGEEERRAARCLPTLLCGVVSGVLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGAFAGAVLSIEILEASRAYWCQDRSSSPSTLSMGDFVKQLIHARFVQEQYGASGHATHRWQVGIADVVNNAVHEVLGDVPSRGGLSKYSLMKLPYHVVTDNQGSNGENLSCSICLQDVVAGETARRLPNCSHTFHQPCVDKWLVGHGSCPVCRQDV >OB06G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5276210:5276908:1 gene:OB06G17900 transcript:OB06G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAPANAPSPAPRRTPPSEALPANAPVTAPVKPPASAIPKLINRHKETIIRAQIKPKEQLAETLRSTLSSLSGFQESTGQEAQEINLTPTNHGKLAIDPWNAPIATSEQERTLIRKRRERRVPTAKIPVSTPETTPHRSVGRHLAARRSSSPLAAAASIHEGSSNHGWMIASGRLWNASASLARSRKRRARRERRGGRKEGVDVVPPRAPPPPPSPPPPPFTKAAPITAG >OB06G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5282096:5285063:-1 gene:OB06G17910 transcript:OB06G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYRGGARAHGDEPDDFDEYDPTPYGGGYDLFVTFGRPLPPSDETCHPCSAPSTSYDAPHYSADEPSPYARHAKPQPAYGFRPQQEQSSYGSRPQQEQQQQSSYGSSGYGSKPQPAYGFRPQAEEPTYGSGYGRKPQAEESYGSGYGSGYGGGRKQQEEETYGSGYGRKPQVEESYGSGYGSGYGTKPQQEESYGSGYGRKPQQEESYGSGYGRKPQQEESYGSGYGRKPQQEESYGSGYGRKPQQEESYGSGYGRKPQVEESHGSEYGSGYGRKPQAESYGSGYGSRPQGGEEYGSGGYGRKTHEESYGSSGYGYGRKTEEESHGGSGYGYGKKAQEEGEGAYGSGGYPKPKPYGQEETQGSYGYGYGEKPAYESGGYNRPSYGGGDEYQGGHGRKKHDDNDSDDEKKKRYQKHHRRQEYDD >OB06G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5283939:5284768:1 gene:OB06G17920 transcript:OB06G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGNHQSHMPLHPLPGPSFHIHNQNHHGSLPQSSCHSRTPRNHSSPHESSSHTHHYHTPHHPVACSHTPIHSSPPVASSHSRNRTPIHGSPLPAVSSHSRSHSSPPVVASSRSRSHSSPPVVASSRSRSHSSPPVVASSRSRSHSSPPVVASSRSRSHSSLPAAAWSRIQIHTLIHSSPRPAACGHTQNRKFPPPAASFLHHTQIHTRSRSSPPPAASCRSQTRRWAPPPGGGSHCSCWGRKP >OB06G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5287718:5297122:-1 gene:OB06G17930 transcript:OB06G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKQEAELEEYHMQLALEMSAREDPEAAQIEVAKQISLGSCPLQSSPAEGGAFRYWSFSALSYDDKILDGFYDICVIGDGPTLATIPSLTELRQQPFSHTSKTEAVLVNRAQDTKLVQLEQKAFIMAVEFRSKTPEFVDHSLVQRLATLVSDYMGGPVVDPESILSDYQNVSSSLRTSIRSAVMPLGEVAIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDTLFVDNHHVSKDDSNQMGSSFSEASSSVYGSFENELLEKGSTPSNFGHPDPYGTTTGQTGSQGSAVSGSFGELSISTSASENLPVIHESRNTDHTMSTKSKEKSSAANNSSSTSPSSSEVGGTPAVRRMKVKDVSEYMISAAKENPQIAEKIHAVLFENGVVPPPDLFSEESREQPKDLIVYDTSVFQTKDEIIKRMNELESARNAEFLHGPSMTHHPEHELQTKAVPYRVPPDLKPVHGLGIYHQSDPRNNTGNPHMYEPSAPPQEDTLQLIKQMPVAAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLEPGCQLLSLPSSSGANELIAKGRHDFWGKDQLEIDHGQNSAPEQEKDLVGVHQEAERVSDKSIGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEIQIMKRLRHPNVVLFMGAVTRVPNLSIVSEFLPRGSLFRLIHRPNNQLDERRRIRMALDVARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWETDPKMRPSFSEIMSSLKPLLKSTPANQPQRQRVQQVDG >OB06G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5309347:5313666:1 gene:OB06G17940 transcript:OB06G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G66430) TAIR;Acc:AT1G66430] MGSLLTCKTMVKLRIADGVCTKAVSNSDGTPGTSSSPHVVCFGELLIDFVPTINGVSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIKKAKIFHHGSISLITEPCKTAHIAASKAAKDAGALISYDPNLRLPLWSSAEDARDGILSIWETADLIKISEEEVSFLTNGEDPYDDSVIKKLMHPNLKLLLVTEGPEGCRYYSKEFSGRVGGLKVGAVDTTGAGDAFVAGMLSQLAVDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVVDALTKFVT >OB06G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5329244:5333246:1 gene:OB06G17950 transcript:OB06G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3MCQ1] MITGTDFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSSRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILIPEQFPDPAGAIASIVVDSDVVSLDGRRDLLETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAPNKGNKYGQSPAPTPPMAAPPKPKKAAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAAEYNDAAAVKEVRMAVASPRKADGVERDDFSFGNRGAAERDAEAGDEXXXXXXXXXXXXXRRA >OB06G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5355824:5356540:-1 gene:OB06G17960 transcript:OB06G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHKLTAHRKPNRAGPKRPDFSVYAFPMVLSCILRCSIVCSFHASQKPSRPRTPSFGFCIYQCSVVYSVDVSQKPSNPRKPRMPSPSSIFSSGLQQSISGTLNPLHSHHTTVLHPPTKAPQAAAMSLTSTTISSASAFASTVPPCHSVLHHRRRPRFSPPLPALSALPSPPVQVLPALPSSTTGGLQFPLPPPLSIITCTVPLFSLLPPSISLGVIEEFSQLKTESKSIGLFSLV >OB06G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5359461:5366023:1 gene:OB06G17970 transcript:OB06G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61690) TAIR;Acc:AT1G61690] MLEKIGLPPKPSMRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRASKANAKAASNPEDDVLSEILGGDGVQTKFSRRESLDPELPGASSSSSSSRRTSGAFSIDGNGDGNLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKNKRMATKAPNVSAAVSVKNLDGSDEAESKKSLPGKRVRKEKNDLASELKDLGWSDADLHDETKTIAMSVEGELSQILREVAPKSSEGNKTSSIDKSEVNALKRQALLLKRQGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIHNMDGRNQDDILLDNPRFPVFNFEQLLGTSDDLPIDGNFDVTDDDMNDPDMAAALKSFGWNEEDEIEMESYGPISSLNHEALKEQVLALKRDAVAHKKAGNVAEAMSLLRKAKLLEKDLEIEQSDSKVPSPQGQRSTEDITVTEMNARPLSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELKKGIVLEKQLEDLENSSTRPMVQENRGFVSTPPYKVEPPSLDLTDEGYEPDITDNDMQDPALLSVLKNMGWEDDDADSVSTINKPLNSSHIVSQKPMKSKGQIQKELLAIKRKALGFRREGKNTEAEEELEKAKALEQQLSEMEESSNLTASQQSASTTGQQNRENKSSALQDPAPSPELAASMDAQASSQSIPPIEPIIPKPDHASKVHSEGTRSTMAQPSFTDPLVTAERLHSPSDIHDHKEPQNLHGHDTLKDEILLHKRKAVAFKREGKLAEAREELKQAKLLEKRLEVSQENSANSKDESTKPIVQETNLIQQSAGANTRTDDIPSAPPAQEIKAVQPPKVLSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESESQVSGGKSSDTNDAAVEDLLDPQIMSALKSIGWSDADLSTQSSNARPSKKAEAKPTAAATTKPQNEKTQLEEQIKAEKLKALSLKREGKQTEALEALRSAKRLEKRLASLG >OB06G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5362042:5368854:-1 gene:OB06G17980 transcript:OB06G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G16710) TAIR;Acc:AT5G16710] MAVLLRTATSATATGSGCSSSALLATTLRRGGDRRLLLLRPASLTVPGRLGDCELSLSLSLSPSRALDEFRSSNVGGICPFTQRVLLTIEEKHLPYDIKLVDLANKPDWFLKISPEGKVPIVKLEEKWVADSDVITQALEEKYPEPSLATPPEKASIGSKIFSTFIGFLKSKDPNDGTEQALLSELTSFDSYLKDNGPFINGTTISAADLSLAPKLFHMETALGHYKNWSVPDSLSHVKNYMKTIFSMDSFVKTRALQEDVIAGWRPKVMG >OB06G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5374464:5375454:1 gene:OB06G17990 transcript:OB06G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGARPRHHHHGGGGQKLNYDPLSYALNFDEGHGGPCSPERDYAGYRDFSTRFVAPPAASAKTSIDLGARDAPPLFHNPPPQQPHPHPPSPTAARG >OB06G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5381053:5382852:-1 gene:OB06G18000 transcript:OB06G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQHKAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTAENNPMFDFDEGLEEGRLSEDCDIRFGLPGQKATEGLQTPATEKRVFPVRLGKFKNIGTQGCVEGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGGGGVTRARPAGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKKGGIGDTSNI >OB06G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5391056:5394870:-1 gene:OB06G18010 transcript:OB06G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Defective-in-cullin neddylation protein (InterPro:IPR014764), Protein of unknown function DUF298 (InterPro:IPR005176), UBA-like (InterPro:IPR009060); BEST Arabidopsis thaliana protein match is: Domain of unknown function /.../8) (TAIR:AT1G15860.2); Has 857 Blast hits to 855 proteins in 202 species: Archae - 0; Bacteria - 0; Metazoa - 482; Fungi - 154; Plants - 139; Viruses - 0; Other Eukaryotes - 82 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12760) TAIR;Acc:AT3G12760] MHKLARGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYNHPQISVTNTRHLEELYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKLHEKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLSLETALGMWQLLFAERHWPLIDHWCEFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQIRK >OB06G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5398058:5402647:-1 gene:OB06G18020 transcript:OB06G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSPVAVTHLLQHTLRSLCTSGADDSQWVYAVFWRILPRNYPPPKWDLPGGAYDRTRGNRRNWILAWEDGFCNFAATSAACCGPGXXXXXXXXXXXXXXXXXXXXXXXQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSLSSSNSKTGYEDSHNYQYWINSPPSVSLVTFATIRDVGEEVAVGFKLGTSYRQ >OB06G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5424313:5430352:1 gene:OB06G18030 transcript:OB06G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILAIEWQKMENPDLRKAMGMKSDQKGVRIRRVEPTAPEYEFLQSSDIVLSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKAHVKVLRNSKVLEFNIKLATHKRLIPAHIKGKPPSYYIVAGFVFMAVSVPYLRSEYGKEYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLAFNGKTIKNLKHLATMVEACSEEFLKFDMDYEQLVVLETKTAKAATQDILTTHCIPSAISEDLKT >OB06G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5436369:5439710:1 gene:OB06G18040 transcript:OB06G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWSLALAAVRTVRETGCREEEACDSLSLSLSSLQSSPHQEGKKPLPLALWFSALFPLLCHCLECLLLRFLGSIRAAMASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATFYIECSSKTQQNVKNVFDAAIKVVIKPPTKQRDRKKKKARRGCSIFCKGVLSKRRLVCFK >OB06G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5440853:5442268:-1 gene:OB06G18050 transcript:OB06G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMEQREKSIGGISKPTPRQRAHASDDAAARRADAAEVDKKVRPWVASRKAPLIATCLVALPALVILVAGDVSSAVSVLTGWGATTARRGAGEVGMAVAEECDVSRGRWVREPRGPIYTNLTCSTVADYVNCQKFGKDPGYLYWRWRPEGCELPRFSPARFLDVVRGKRLAFIGDSLARNQMESLLCLLSQAETPTDMHAGAFVDAFRKWHFPEHDFTLIAVWTEFFVYADPVVAGKRTGPFDVHLDRLNTNWTRRLPELDYAVISGGNWFFRPNYLWEGGRRVGCVNCPEPELAHFPRAYAVGRVVAAALEALAWCRDCKKELVTFLRTYTPDHFEHGWWFSGGNCNRTRPLEEAEVSSEAAGWELRAAQLEEVRKVREKTATSGRGSGGFGVLDVTPAMMMRADGHPGEHHQRWRGRNANDCLHLCLPGPIDMWNDVLLRRLVELSPRSEARLGGGTREHEDAAVAGL >OB06G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5445480:5448222:-1 gene:OB06G18060 transcript:OB06G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEQELRAVRVLRRRAAGADDPGRRQPHARDGDGAQLGDAGHFTAKGAVHKRRDGGSFCDASAGRWVRDPTGPAYTSLTCPTLPDSKNCHKYGKDPGHFQWRWQPDGCDLPRSSPELFLAAVRGRQLAFIGDSLARNQMESLLCLLSQAETPTKVLAGDADGGGVLEWRFPAHGFTFMAITTRFLARGEAVVGVDGKPTASFDVHLDEPDPAWASRLRGLDYAVFSGGNWLFRVNYFSEGGRRVGCSGCDDRGRGHGRKEQRRRAGTRPSGGS >OB06G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5450333:5452060:-1 gene:OB06G18070 transcript:OB06G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAAGMAPLPPSPTKSKAGTGSGSPRLLHSVSGEWSVVVRRNVKSSLLLLLVLSTFFVVSVLRSSRSFAPPPPAAASEAQALTPQGETVPGDDGRGDDQEQISDAAGNNVAAERERSSSADISLPSTNSSTEAAAAAAPSHAGSVDMEEKCDMSMGKWVREPKGAVYTHMCATLAEYKNCQKHGKDPGHLYWRWQPDGCDLPRFSPERFLAAVRGKRLAFIGDSLARNQMDSLLCLLSQAETPMEVYADGHDKFRTWRFPEHDFTLMVMWTEFFVHAEPVVGAEGEPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFFRPNYLWEGGRRVGCVKCSEANLSDVGVPYAVRREARAAVEGIARCRGCRSGLVAFLRTYSPDHFEHGSWFNGGYCNRTRPLEEAELRPDSAAWELRRVQLEEVRRARKTAAAASGGGRRFELLDVTKAMMMRADGHPGAHIDPRWQRAISDCLHWCMPGPVDMWNELLLRRITEISPPAAAR >OB06G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5460404:5461522:-1 gene:OB06G18080 transcript:OB06G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDWFSWLAVWKQCDYSDGRWVWDDGHGGGGGGRPRELRHEDDVQVRRQRQARRRVPALAVAAGGGNLSALDPAAFLRLVRGKRLAFVGDSTARNQAEALVCHLATVARPVTVRRDEERLGRKFWRWSFPAPHDVNISTYWSPFLVRSEGHSEDYAMEHEVVFLDALTEPWAADLDAMDVMVISAGHWFPHGAIYYDDGRIVGVHNRPDMNRTEMSVVDVYRKVMQRTLERVNSMSSGDKLVVVATIPPAHFDSKYSWNHRDACSRQTPYDAGEAKVEDTEAALRKVVLDEVAIAAARRRRRRRGLRFEVLDVTRLASMRPDAHPGVYIFKHAYAGGPVPETAPNDCLHYCAPGPVDTFNDILMQMIAGGG >OB06G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5463656:5466490:-1 gene:OB06G18090 transcript:OB06G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYQRLLKQHQEAKPSLLPSSIEMGAYQPLQQQQHHGQKHSSSTAAGGGCGYFLPRTVVTWLAAACLSLALLHLLCCSPPGGHEAVFAPLLQYFNGTYSYISSGVGGGGGGGGGGVESSSCDYSEGRWVVGVHAHPELNHTEIGFTSPYREAFRMALERLASDGRRRTVVLGTFAPPHFEGKPIFDPTACTKTEPYKEGEKELGSIETEMRTIVFEEAAAAAERDAGGGTTTMRIAVQDVTRLASMRPDGHPGPYMHRDPFARGVPERMQTDCLHSCLPGPVDTFNEILLQILERMR >OB06G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5473486:5474990:-1 gene:OB06G18100 transcript:OB06G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSQHARMDAVAMAACEPSRRHGATKLQSCPTPTATTAATRRSGSGNLSIFLNRAGSTWLLCATLSLLLFNLFWFCSTGAAFNRVIRSRLCCGMVAVGDGRRGEATCDYSEGRWVAAAGYGRRYNGTECNAKEGERCIGNGRPDTGYLDWRWQPASCELPAFDAAAFIAAVRGKHVAFVGDSMARNQGESLVCLLSTALPHRLVYRDRNPWARKFWRWAFPTHNVTLSIYWAPFLVRATGKPDDYKQPRNLVYLDELADRWSADADTMDVVVISQGHWYWIPSIYHNAGKVIGMHNVTGLNQTDDIGLFSPYRRTLRMALDRLVGLGAGDRNRTRTVVVATFSPSHFEKAWDDPTTCARTRPYEEGEREVGGIERELGSIAREEAASAAAQARSREGGGGSRVEVLDVTKLASMRPDGHPGVYMHRDPFARGVPKRLQSDCLHFCVPGPVDTFNEILLQILTSKRQSQK >OB06G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5480000:5481662:-1 gene:OB06G18110 transcript:OB06G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFLQNHQLQNNQFLLPKRQFVTYALYALIPLALLHYLLFNPVATAKKPPVAVAQARDDAAVIISSHHEHVKVNAKQLPPDQGDHEVLKKKDAKAAREVAAPPCEYSDGEWVPDARPPLYNGTSCATIKDGQNCMAHGRPDTGYLYWRWKPRRCDLPAFSPEAFLGWLRNKHLAFVGDSLARNQAESLLCLLASRSPPELVYRDGEENKFRRWAFREHNATVSIFWSPFLVKVAEKAEHAGVRHNNVFLDSFDERWLSQLGALDAVVLSIGHWFLIPGIYHDAGEVVGCHDCAEFNHTEVPFFGMFEQAPRRGADKVVAFTTFSPAHFEGEWDKAGACNKTRPYKNGDKEAGYTEAEMRKTVVEEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAGPRVQNDCVHWCLPGAIDTFNEILLQTITSS >OB06G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5484532:5494103:1 gene:OB06G18120 transcript:OB06G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDCPDLDDVGSGWFEVKKKHRSSSKFTLQRSSGGSNNKISTLSSQSQTNFGSDTARWCDRSQCPPETTKTNACVEPGGLKAGEVQAEECTDVGASNLKSELSASDLEHTIKSPKELLVAEEISESPNIGNIDCADSPTPHQPSNCASGVAKSADLYGHVKSPPMTDTAGVLSNTSVRFGDFDEVPGFALPADACGSNNPSQTLTHTGDATGFINECKDESELKTEMSSCKTIYETSPVMIQGAETPAEDKSKALDLCEITESPLDVSGSPALADTVSLSCANTDLEVPVTSSSVASTESQTVLHASTSADFGGETSGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESMLVLEEAISDFQELKSRAEHFDNTKKSTALPKEGMPMTVKSDHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGIVAESFTSSSSGEVSGSSSKLTTASATVGSISLKVESQVKVSDTNEKKIAGERQSRDTFKSGRSLPQNMPLSSAKSRKGSLEPISEVEKHNFRKDREFPENKFDKLRSTDTAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPIRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEADEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREIMNARHQRGESRHEAHLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEVRRAEKLQVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIVRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDSQNQNRSSSANSGEDSQVIGSANTAESGVKSFNSTQMKRKIKKIRQRLMALKHDFVEPLICENTGIVHRAALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNLQPSKTSTEYMESVGEVLDGFFWTVTVIVGHVYLNDQQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWDSCKCRTLAGNLVQEFEYLCSQDIGVGNQLITSDQSGDVKFKCDECGPSELMKENKSSEHHEFNIPGDKISVYEASKDSAAMPQMQSSDLRVTLEVHSAILCQGDTVDGTLEGKRGNAACLHDSPGKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATGVLKILNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISAEMLRSLIKSCNTPGQPASDSILLDGWGTNSSNDNTQILLDTRSSQGDISIRSNRKSARPVLGKGVSGVIRLSRNKGQRDSRGARIGDDGPLKQRAGEASSNFMLHRKIPASFLDRAEEFFCSENIISADITN >OB06G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5494600:5496763:-1 gene:OB06G18130 transcript:OB06G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVISPEDVPYEEDVLRQPSRLRGWTRYLSAISGAPLAKRSAVYERALRALPGSYKLWHAYLTELAGAAPAPALNSAFERALAAGMSRMPRVWEIASSPAHTEDFVAFLVSAGRWREAADHLAAAVNDGGFVSVKGRTERQLLLDLCDLIAQHPEEVAGLAVDAVIRGSIRMFPDEAGSLWTSLAGHYARVGLHGKARDVFEEGVSTATTVKNFRLVFEAYLHFEHAMADLELGEHAHAEKSSLGQGCWLADRDDGDMALARLERLLKRRPELLSRVQLRQNPHDVQAWHARAKLFDEDPGRKAATYVEAVKTVEPAKATGKPPHTLWLALARMYEECGLLESAREVLRRSTQASFTAEDHLAAVWCEWAEMELRQHNASKAIELIQQATSEPPLDVRRRVAAASEGGDGEPVQTKLRRSLRLWCFYADLMETHGSPESTCAAVYERMHELGLITPPLVLRHAALLEQLGRFEDAFRVYERGVRSFKHPHGEAILAAYLTKSVERLGASKPERVRELFDDVVRQAPAEKKKAVYMQYAEFEEGFGLAKRALKVYGEAAAAVPGRDKLAVYEAYIARATAASGVLAAREIYRHAIVNGGLPDADSRALCLRLADLEIGLGEVHRARALYVYAGGFADPAAHPDFWRRWNDFEARHGDECTFREMLRVKRTMAAATAAVPQMDAAATPKRPLQFACAADYAGGFAEQCKRRRLV >OB06G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5499928:5504258:1 gene:OB06G18140 transcript:OB06G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVIVIMDAKGQFQAYRLITVDEVTRALQAKKEEKSRRAAAAQQQMDPKPAGAGARINPGGGDGHTQLDENLDQHDRDSQRSSSATHSRHRQWRPSLHSIAEVSS >OB06G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5508981:5513006:-1 gene:OB06G18150 transcript:OB06G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDARRDSGSAHGVDRRRRTPCFMDDNGDDALLYCGEDAGELERDDREPGTAARRPDDGGHGECFCYDDDDDAPVDTEFVVPAFSPPGRRPGAVVHRPGSWSESVSWILKVRSFHGFQPATAYLAVSYMDRFLSSRTLPDYGWASQLLCVACLSLAAKMEETSSPPLLNLQIEGTRFIFEPRTIQRMELIVLVELDWRLRSLTPFAFVDFFAFKAGSSGRNSRILALRTCQIILDAIHETEFLNHCASSMAAAAVLLAVNESPAAMACRSVSPESVASWCVGLTEEGISSCYQLLQQQQALNTARERRRHLMILLAPQLRPMNCSSVASSSSRGKRRKLGGHFGEE >OB06G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5523761:5525316:1 gene:OB06G18160 transcript:OB06G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G24840) TAIR;Acc:AT5G24840] MKRGDGASGGGGGAGGQQKGAGKLPRKRFYRARAHSNPLSDSHFPVPISPDEVDLSQHYPRYFPSDEGEGRQGDAAASRIRFADVGCGFGGLLVGLSTLFPDTLMIGMELRDKVTEYVKERILALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEEIKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRIPLQEE >OB06G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5528061:5529973:-1 gene:OB06G18170 transcript:OB06G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G40160) TAIR;Acc:AT5G40160] MEAARRRRSFSSFPSPSLFSRLDAARRQERRQEQELRGEIELLLTPEEMAILDQNETPDVTKISSPKWHPLHSYALALQIPLMDRLLDSGVDINLLDKDGFTPLHKAVIGKKEAVISHLLRRGANPHVRDRDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDITKILLVNGADKTRRTKDGRTALDLSLCFGRDFKSYDLAKFVKLVPANRKM >OB06G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5532591:5534176:1 gene:OB06G18180 transcript:OB06G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSGGLAFAGVKWVIKRDKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSSVMSDFSTLMSHAALKVASYLPLPYSALSSLIIIPAPLRDTIYDYIAKNRYDWFGKDDECIVTKDKELLERFIDREEMLGGGPGNSF >OB06G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5534402:5540077:-1 gene:OB06G18190 transcript:OB06G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) [Source:Projected from Arabidopsis thaliana (AT1G10520) TAIR;Acc:AT1G10520] MFRGVSALVVPHAVQSRRLEVWKQRLAQMGGRVQEKLGKGAAATHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFTINYEEEFKPKKEGGAADSGASQPVKRIKISSDDPENHKETAGGDQAQDANAHVDKDSVVVKEPSSYTSSQSATGDSKDTIASQNAFNTEEASSGESTTYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIESSDQVKNLPAIGKSLKDHINEIVNTGKLAKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQKDDSLTSAQRIGLKFFDDINQRIPRHEVSEMEKLLQEVGTDILPGVIIICGGSYRRGKASCGDMDIIITHPDGESHVGFLPKFVQRLKDMNFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKVYPRNRYAFGLLAWTGNDVLNRRLRILADSKGYILDDTGLYLATQGSGGKRGGRSEAIVNCDTEKDVFDTLGFPWLEPHERNL >OB06G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5541040:5547505:-1 gene:OB06G18200 transcript:OB06G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWGGTKQKCASCGRTVYPVEELTADGRVFHRPCFRCSHCKSTLQFSNYCSIEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGQKGQQNRFSSMFVGTQDKCVVCNKTVYPLEKVDLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSKFEDNTANAKVDIEKQPEHEDATENVGHPDQGDGLTEKPLESKPVPDKPSQDNIVAEKQSQSCVDIPKQSESTTVERSEEGGSVTESESKSHEVSNKPPESSVEKSLESSAVNVQPSRSSSALRKSWQRNLPTDKPLLSSTATEKPSPSSAVIEDPLPSNGVDAKQPESSTTSTVVKKPWQRRIASENLPESTSPLDKPSSTSADDVKPSENSKLIRKPWQRVVAAETQIQNSGPTEKSSSTNDSKPLESTTSIKRPWERTVVNEKPPKKITDTEKTLQNNTDAEKPSSSAIDVKLEETSTAPTVPEEHSETVKKPSQTSADDVKPSESTAAVVKKQWERNIGFQKQAQSSVTDAKTSESGGMGKRLWQRNVPTEKQSSPSVVTPSQVSVADSNPLQSSVGVKKPWQRSMSREKQPEKDTSSNKPLQSSVLAEEAQKINVTADNKSDIIQDKKNNGATAENLSAISETTEKLPLTANILQTDISREKSSETDMFSVASSQSTEQSKKPSENTVENEKPAQNDVAAEKLPQTQNSIGKKLLGSLEAMSKPSPSDAGHQEIMEREMLTEKLPESTMDVEKLSQTAKLTEKPSKEDAAEKLPQTNEPSEKPHESEDVEKPLQNEANAESTIIDSDHCLEKAPQDDANVENPTEPESDAASVKSSKVSFEYEGAATGVNSSGSQISPTAEQLVEPQGTISAEKTSAQILEANADSAADQSSESQDAAPAIVAMEQPLEPQHKADEENAEEHNSDATAQESSETESRTAAKMPSEIEGDPASDQSAEQPSESQTAGEKATLSQSDAFPEDQAEPQIDAASDRSTEQLSESQTEVETPVLHQSDATNVVPSEPRIDAASDKSTEQPLELENDTSVEDEKSPNTESDTVHEKTSESSSATETLPESTPNSSISSNEAAQGNVSEEAPPQSSAPIETPSQSAIAVEEPKHDDEASIKPSEASSVLVEPSQEDSASVNPPENTVTLEKSSEEDEVSVKPSEDNAAPEKALEEDEASAKLSEDSMVLEKPLEEDDTSTKQSDDTVTLEKEPEEVKGNVEPSQDNAGLEKPLEEDEASAKPSEDSTALEQPSVEDDDSAKPSDDSMDLEKPGAQKSSEEEEDSSAGNKSSPEDTVTEAPLQDDTDTSTDKPSLAADTIEAV >OB06G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5553302:5554999:-1 gene:OB06G18210 transcript:OB06G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVRPPWLLLLHFIAVIFILPAMASLPAPPSPPATVFDDNYVVAYGGDGYHMINQGTEIRLTMDRSSGAGFRSKLAYGSGFFHMRIKVPAGYTAGVVTAYYVASEPERDVQDEVDFEFLGDTDGNPTTLQTNVFVNGHGDREQRLRLWFDPAADFHDYAILWNPSHLVMFVDGTPVRVLKNLTSRGPEYEFPAKPMRA >OB06G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5556908:5564367:-1 gene:OB06G18220 transcript:OB06G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) TAIR;Acc:AT1G10510] MWSFAAGSNLSTSTSFNAEKESRKNLNKFYKEIRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLYLNGNYGGPLGASSLAKGVLGNKTLRELHLHGNGFGNEGVRALMSALSSHKGKITVLDIGNNNITSEGSLHVAEFIKRTRSLLWLSLYMNDIGDEGAEKVADALKQNKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPDGVKALCDVLKFDGKIQTLKLGWCQVIFITGWNCRCRYFIYNLHFDLIPMPSDSLFLKGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKSNEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIYF >OB06G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5566678:5570683:-1 gene:OB06G18230 transcript:OB06G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAATAAQRRDPYEGLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDLFQEVTFSYNILSDPDKRRQYDTSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTERSKFKLLYFELEENGGLSLAFQEDSVKNGKVTSAGMYFLGFPVYRFEQNNPAAATKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFKSANYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTGRYTQEMQAIDELLKERNEIHASYTNNSPLKRSSSKSKAKSPSKWSKGEEEKNQRKEKKVKDQPTGGCRSADEDSNEKKTKERFPRKKWLNIPFKVDRKKPC >OB06G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5571990:5572208:-1 gene:OB06G18240 transcript:OB06G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLFLFFISVVQRSPCSLIFLCVQGTSEGIQQWFLLQVLSMSLTELRRLVTSWLYQYGHVYFAVKANHTKI >OB06G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5573647:5573847:1 gene:OB06G18250 transcript:OB06G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKINSTVIKNGASNYVYYGGFILLCSQSITPLVYLLEFREVKTGKKSLYFLLQMLPLRQAYDIKT >OB06G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5573695:5577682:-1 gene:OB06G18260 transcript:OB06G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47830) TAIR;Acc:AT3G47830] MTRKPGRKLPPPPSPEPYPEHPSPSPAQCLAVRDVLLAFHGFPEEFAPFRRLRGSPEDTDDATPSPGAPAVLDGLVTTLLSQNTTDAISRRAFTSLKAAFPTWDQVVDEEGKRLEDAIRCGGLAATKAARIRAMLRGVRERRGRICLEYLRELSVEEVKTELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAIGWIPATASREKAYLHLNSKIPDDLKFDLNCLFVTHGKLCQSCAKKLGGKKAMGPNSVCPLTSYCCTEEKMTQ >OB06G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5577359:5577718:1 gene:OB06G18270 transcript:OB06G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTNLVPGGERGLEGREGATRDGVGGVLREEGRDEPVEDGGRPRRRRRIIRVLRGAAEAAERRELLGEAVEREEDVADGEALRGGRGGVFRVRLRRGGRRKLPPGLPGHPAGPARLAGGGL >OB06G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5591103:5592506:1 gene:OB06G18280 transcript:OB06G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MCT4] MAGATPPEFVCPISGELMGDPVIVPTGETFERGCVEACIALGFTPAALSACMDLAASPPPVLIPNANLKRAISSYCDRAGLPHPFAVAPEEARSIVRRLMAAQEPAPATRVDGGRFESSPSSSREFSPLGLTQEEVVLVRLLDDEPSRQEGALEALKQTLRGGENGQRRALCTPRLLDGLRRLMGSVHEGVRVSAAACVVNLSLEPANRVQLVRAELVPVLVKLLVAASPELRDHAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGEDAASPWLDDVSVMSEAMAMPQFPRRLVEQAHGAPPRSNTTALDSLRQPPVG >OB06G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5592493:5596127:-1 gene:OB06G18290 transcript:OB06G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G04690) TAIR;Acc:AT2G04690] MSILFIGSMFPTGDVLPLESHSPPSEETNRRCFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAKPAPADAAATARWLAAHNTWGVLSTISSDLSGAPFGNVVSYSDGVTGEGHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLVDLQSSEADLAKAALFSKHPEMKDWPKNHHFQIFKLEIENIFLIDWFGGPKPISPTEYLEYGKDKALLKSF >OB06G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5599640:5600848:-1 gene:OB06G18300 transcript:OB06G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSEEIISQTISFSSQPSLPSFEQSCNSSSSSCHYQCVATLGGSNSYISGLAVDGDSLYVASSDGHIRLWPLDMAMRQESQSEVSGSTVAVTGSSVKCLLAIGNGLVSSHQDGTIRVWRHAGVRRLALRAVLPTTADCLRTFLIPGSYVEVRRHKKRTWVHHVDAVTALALSPDGARMYSVSWDRSLKAWRLPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRGVQRVSGQDGEGVEAGSGGGGRGVLLPGRAGRPWRSRQELGIGERSSGMRSLLLVRHGRELELRLRPRCSRLQWLVGL >OB06G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5608766:5609170:-1 gene:OB06G18310 transcript:OB06G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMGFSFFTSAVAQGCALHAQSRVDYTIKCRTHDDYHRARSAATLQFNGHLALLVLAGAAAYAAALSKTNQPPSGYSMLSKEVQMEGTPLRSQFTLDSDEEKEDDERITNSATPVANGSASHHEIAVQASDSK >OB06G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5612412:5614579:1 gene:OB06G18320 transcript:OB06G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50110) TAIR;Acc:AT5G50110] MSLCSPPPPPPPPPRRFLLPRLFLGRPHNQVRTSILHPRTHTTAAARANPAASSSAANLSPSQQRQVALYVDALLDWNQRMNLTAVTGEDEVMTRHVADSLAVLPPLERAYRARCASGGSDADGMRLIDVGSGAGLPGLILAVARPSWKFTLLESMRKRCTFLEHAVEVMGLSNVDVVCDRAENVGQSPDFREAFDVAVARAVAELKVLAAKGHNPHEEIKGAKSAVHKLGASMLEVCDVESVGPHGQRTAVVYLKERITPKKYPRHPGTPSKMPL >OB06G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5615954:5617018:1 gene:OB06G18330 transcript:OB06G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSPLVVLLAVAAATTVLVVVSPVSALPAGLPDIKAPFNNPWSAFKNLSGCHFGDERAGLGKLKNYLWHFGYLSYPNSSSSPSPSARFNDLFDADMEMAIKVYQGNFGLDVTGELDAPTVAQMMAPRCGVADVVNGVSTMGNSSGAVRGRGLYSYFQGSPRWPRSKTTLRYAITATSQTTIDRATLSRVFASAFAQWSAATMLNFTETASATDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVAIGFSLALL >OB06G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5621694:5621981:1 gene:OB06G18340 transcript:OB06G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGTSWADQWDYGSDPAPAPSSSSGKRSGGGKKSGGGVEKTKAAAASGLRKVKEGTAHGFQWIKDKYQHKKAGGGGGKKAQGEQRSSEIAGY >OB06G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5623817:5626212:-1 gene:OB06G18350 transcript:OB06G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKKTPTTEATAVAARLSRPCVLIIVVASVERFAYKGVASNLVTYLTDVVEMSTSAAARSVSTWSGVTSMLPLASAVVADSYWDRYSTITASSLLYVVGLIGLTLWALLHTRMPCSTLFFPLYLISVGQGGYNPSLQAFGADQLDIGDDDDDGDGGTTAAAATEEQRSKVKSLFFQWWYFGICSGSLLGNSTMSYVQDTVGWGLGFALPPAAMAVSVAAFFCCAPLYKKQRQPTRVAHKPCRGGGSGVFGALRSLLANVAARKITLPSRDEDDTDDIVLSELELQEKPLTKVELADEKREEEVAMGEAAPSVAKIILRLLPIWAMMLMFAVIFQQPMTFFTRQGMAMDHRVAGGAFVIPPAMLQSSITVSIILLMPLYDRMVVPLAGLVTGDGKGVTVLQRIGVGMVLSIVAMAVAALVEARRLRGASLSIAWLLPQYVLLGVSDVFAVVGMQEFFYTQVPGAMRTVGIALYLSVFGVGSFLGAFLITAIEMATATAAGGHGWFSDDPREAHLDRYYWFLALLSCVSFVVFVHLCKYY >OB06G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5633674:5638304:1 gene:OB06G18360 transcript:OB06G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWMFSRLQDPAARPHFRLRRHPPRAPAAANASSLSTLEEVGGAGKGKRPHQVMLTRLLALAAHALAEAETRPEPKDLWKEPINATMWLPCSDKRTWEASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKELPVELQSLDLEAIGSLVNDTDVMKEAKPNLYVKKILPILLKNRVVHFVGFGNRLSFDPIPFDLQRLRCRCNFHALRFVHKIQQTGALLVERLHGHRPHPSPLEDNLLGHFTRKSVLKGYKNDPTKYLAVHLRFEIDMVAYSLCYFGGGKDEEEELEIYRQIHFPALTELRKTTKLPSAASLRSEGKCPLAPEEAVLMLAAIGFKRNTNVYIAGAEIYGGRHRMAAISRLYPALVSKETLLSPAELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTVRPNKRRLASILLKNATMEWNEFESRVRKLIQQTKQVHERPVARSIFRHPRCLDCMCRTEN >OB06G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5640318:5644168:1 gene:OB06G18370 transcript:OB06G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAKEGAYFLQESKHAAGRLAGKLPSPSPAPGPAAAQPSASPDVLPEILRHSVPIRATPPPGEPSLSASSRWALPRGGAEAVGLSPDALNPLRSYVSLPQATFGPKRWELPSEQPNYSASTANERRRDRHPPPMDPEKLKAVVAGYSQIGKAFVAATILVFGGATAVLLYTADKLQLHSVNDVRTKGRDAVQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKDAKEKSIIRELSRALGSRTPPN >OB06G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5654821:5656564:-1 gene:OB06G18380 transcript:OB06G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQDELNTEDLLHGSAQVLHHFMSSLKSQALRCACGLAIPAALHRLGGAATAAALLDETGLHPSRLPYLRRLMRALAVSGIFVAVSGATAGNDDAGSAETVYSLTPASRLLVGDRPSSAMSAMLNPRPEAGFAMFFKLEEWFRDKSGAGGTLFKMAHGVTSPWELAREDGAYNTVLNKASAADTTLVMDVALRGRGGDVFRGLTSLVDVGGGYGTAAVAIARAFPHVKCTVMDLQQVISEAPDDGSVQFVAGDAFESVPPAQAALLKNVLDCWSDEDCVKMLRQCKKAIATRDAGGKVVIINVVVGQGANDVAIRETQVLYDMYVMSVDGIERDEHEWRKIFLEAGFSDYRIMPILGYQSIIEVFP >OB06G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5665728:5670020:1 gene:OB06G18390 transcript:OB06G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLPLISFLLIQVVVVAGGDGSGGYSLEGAIANPAEVKAYWEATLPNTPMPQAILDILAPLQDLVYYRHNSVGLKLGDVGLNSCVDACVYMNQIYFFLDFNDGNDQKFSKLLFYNQGKSNDRDDNKLEKLLFYNKNQANVGDNHKLGRLLFYNQGQSNDGDDRKLEKLLVYNKDEANAGDDEKLGKLLFYNQGQSNDGDDRKLEKLLVYNKDQANVGDDHKLDKLLFYNQGQGNDGDDRKLEKLLVYNKDPANAGNDQKLGKFLVYNQGQSNDGDDRKLDKLLVYNKDEANAGDGQKLGKFLFYNQGQSNDGDDRKLEKRLVYNKDEANAGDDEKLGKLLFYNQGQSNDGDDRKLEKLLVYNKDEANAGDDHKLGKLLFYNQGQSNDGDDRKLEKLLVYNKDQANAGDDHKLGKLLFYNRGQSNDGDDQKLEKFLVYNNDEANAGDDHKLGKLLFYNQRQRNNGDNRKLEKLLVYNKDQPNAGDARKLGSFFFKNKGQDNSGDVRKMGEEHKHTHSRTHGHGHADFPKGAEDVFFFEDSIAPGIVLTTRILSTRSSPIFLHRDTNDHIPFSMKNLTDILAMFPRASRTMADDIVATLQMCEHPEMVHGEKAGCAASIESFLELVVSLLGTHDVRALSPEAPMEGVPSVRYTVASATPLTTSSSETVLVCHDMPYPYKVFFCHAATPVRAYRMSLVVAGDGRRRPAAMDALAVCHLNTSHWSPDHPFFRLKHVKPGQTTACHFLARGNIMWLPAEKEAAQ >OB06G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5671565:5674326:-1 gene:OB06G18400 transcript:OB06G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIERDFHMASGEGEGSYAKYSRRQTIVIHETKPVVEKATIEVYRALLPKTMVIADLGCSSSGPNTMLFMSHIIGIIADLCSKLDEHDAVELQFLNDLPGNDFNQLFRSLENIKNSATADHKGGRLPSYYISGLPKSYYSRLFPRHSVHLFHSSYCLHWRSQVPEGLVTSGKSSLDEENIYIRSKSNTTPLVVKLFQEQFRKNLSLFLELRHEELVEGGQMVLALLGRKDEDVYNGNLNHLFGFVADSLQSLVVKVL >OB06G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5678120:5681369:1 gene:OB06G18410 transcript:OB06G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTVFLADVRYRLERAQVVHKRDYDKQLQPISYEVDYWALLCLCQRAPSSLPQMTGKLKACFLRTYRVVDLINDVAMRLELPPYTILCYAYNAMLGMASFVGTQGDECSSGIHERYTCSGQLDHDVQRGLVEEEKVNSFNLPLYGPSIDEVKAVITCHKLFGINHIELFKSSWDPYDDMEHDGMRTSPQSGLNVSKCIRAVFETLLESHFGKYILDELF >OB06G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5681955:5682374:-1 gene:OB06G18420 transcript:OB06G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSYQTCTRIFSQNETNKCIFFSLPTCTPRSSASPTATATATTTTVTATGIPAGRRSGYSTRKYATPSPAGSLHGGTSSHPLGLPPPPPAGPRASVAPRGRSLDLLLARRRGPVCGGSPPHDRGLLSPPNEICVAAS >OB06G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5686221:5686845:-1 gene:OB06G18430 transcript:OB06G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSVVEKESAVHLNLKKEVLLRKLGTPSIKAAPYRYIFDSVRVRLVRVS >OB06G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5686945:5689587:1 gene:OB06G18440 transcript:OB06G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERDFHMATGEAEANYANNSRLQRKALLKTKPLLDKAVRQVYTALQPPTMVVADLGCSVGTNTLLFVSEVISTVAYAQYQNELGRHPMELQFFLNDLPGNDFNQVFQSLQQFTKSIAEDHPKEIALSPFYISGLPGSFYTRIFPCQSVHLFHSSYCLHWQSQVRISMMIKDMDESMANLNGGNIYITKSTPPSVVKLYQDQFQKDMALFLKLRHQELMPGGKMLLTFLGRKKQDVLDGDMSHLFGLLAEALQSLVTEGVVEKGKLESFNIPMYGASIDEVKAVVALNELFDMDHIELFESNWDPYDDLEHDDMCSSPQRGVNVAKCIRAVLEPLLVSHFGEYILDELFKRYAHLMDRHLAKENTTKFSVIVLALNRRDFADIFVSLTILPLFNQLTVAITVESQ >OB06G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5697736:5700617:1 gene:OB06G18450 transcript:OB06G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQSEANYANNSRLQQKALLKTKPVLDKAVRQVCSTLLPGAMVVADLGCSVGANTLLFVSGVISTVADGRHGNERIRRYPMELQFFLNDLPGNDFNQVFQSLQHFTKSIEASHPKGVTLPPFYISGLPGSYYTRLFPCQSVHLFHSSYCLQWRSQLIKDIDEKTAYLNGCNIYIAKSTPPSVVKLYQDQFQKDMSLFLKLRHQELVPGGNMLLTFLGRKNPDVRDGDLSHLFALLAQALKSLLTEGLVEKGKLESFNLPLYGPSMDEVKAAVEQSELFGIEHMELFESSWDPYDDLQEHDSTHSSPARGGMNVARCIRAVYEPLLAGHFGEHMLDELFRRYAQLVGRHLAREKAKFSVIVLSLNRIRG >OB06G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5699359:5699732:-1 gene:OB06G18460 transcript:OB06G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPVPDIRVLPSEECEQHVPSGNEFLMAQLEEEGHVLLELILVQLHHRWRCAFGDVDVTPVQTGLRGTDITRGVQSWCLGELLTEYSDYCIFLGS >OB06G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5705587:5708283:1 gene:OB06G18470 transcript:OB06G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKAIQEVYSAILPKTMLVADMGCSSGPNTLMFISEVIKAISEYCQRIGHRPADIQFFLNDLPGNDFNYLFKSLERVDKLLANDQNREETILPQYYVAGLPRSYYTRIFPDNSVHLFHSSYSLHWRSQMFQQSSTGEFLNEGNIYIAKTTPEPVIKQYQELFYNDFSKFLALRHQELVPGGQMVLTFLGRKNGDVFDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNVPNYAPSVAEVKTVLEWSRLFTVNKIQILEPSWDPYVEDDDSQPRGQQVRPIDTARSGVNVAKCLRAVLEHLIATNFGASVLDVLFSRFARNVAEFLEKREGKHSVIVLSLTARNN >OB06G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5716385:5716519:1 gene:OB06G18480 transcript:OB06G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEGNHQRVGSNSGESWVRRSALGFGSNIGDWECRASWREERK >OB06G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5717498:5718254:-1 gene:OB06G18490 transcript:OB06G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPVLNAIAGAYSENLPVICVIGGANSNDYATLAFLVKPALVAGPKLCVVQGCWGLRRPRCRAASGYAVGRWPPCRPPRPGLVLETLPTATTDLGGGGARGAVWPARPGKRSVYLTECVPLWGCACRDAEMEGACAVVPRFADVLDFDATVLRLPAH >OB06G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5718419:5724980:1 gene:OB06G18500 transcript:OB06G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTFSPSPSPVVPVKRELVDEDHTPLPSSRKRRRRDHLSPAATPTPAHLLVTPQTVPSGSSRRDFFEGKSSVLPGLTPPSLPTAVKGEAGAAADADAGGDRDIRREVVRVDDNFQPSRPARAEPPTLWANRRRLSRILHELVRAHRWRDAAGPFSALVAGTRYPESFEELRSVFAVGMEIHRRHFENGGMKLGARSRYYLRTLKLYDVWVRRLGWLLTCANKHLVKLELALFYLSQGNIDNAYNTTRILIAMDGLQTEPTVNLIHGLISYDKWYSGLPKDMQLEDFDVYGQSCTVSTATHGCDENGQQDSSDDNCSIDSDSSFDGCSSQSSINNGNIDTQRKIPKKPGFVHSTEETGSLGSQVNEKNVDTDFQSVFFNASNSPTCGLEKSLLPLRLKHSDGASNACFDSYWKYKSTYNAFYEDAEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTQLPFRLRGRLLEYFDQNQVSTISSCYDEALRRDPTCSYSMQRLIRLHRKGYYNTIQLLEAIALHLDSVNGKLCIWEELVACFLRLFSDQTTDCGDCMSCNVQGDGTFTASSNFACVFFEQHTRETWKVRCRWWMNHHFSQNICTSETVTGDCKLLVSKAACACHLYGPEFRYVKAVESYLSGQEANDEINFLSRNMQNSVRLLQTLEKLTS >OB06G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5726174:5727432:-1 gene:OB06G18510 transcript:OB06G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLNTKIRQFQKNVSVELKGEKCSELPSGEGQHFIDRSDIMESEDTLKGLIDKVNNANAEVHTLEEEYQKDLRDHDKVRQELADIQAKRALMEAVMGEMKQLQELGEYPFSQFSQ >OB06G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5763878:5767207:1 gene:OB06G18520 transcript:OB06G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLSSKSHPALPSSRPRDSIRSISCSKVGILATPRHHPSTPHGSACFFRFFNSFLPMQVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPHSQSRSCITECASDGRYPADSLGSNSVQSVTRRNLSPTNKSPPREALHNAGNRRTSNSSIGNKKNSPPSRHNSGQTKNYECKVNMTDAPDATPIKIVTEEKLLKDGNVRARLEARRVLFQKNSEERYSKVPGLKSGSRIVPYNGDEDLEEIADTEDGHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRVASSEPDMNCCILSPKFWRRHDGSRYSSKYSISDAANYSDDSRTSYKWERQKFGVQGVVTNPLAEPNATFAGNAVVAQEARRQNSAQYRSRMG >OB06G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5771379:5778636:-1 gene:OB06G18530 transcript:OB06G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi alpha-mannosidase II [Source:Projected from Arabidopsis thaliana (AT5G14950) TAIR;Acc:AT5G14950] MAFCLFGSDVARVQFDHLFLDVDGGAWKQGWEVTYKGNEWDGEKLKVFVAPHSHNDPGWRLTVEEYYEKQSRHILDTIIESLSKDSRRKFLWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVVPKNSWSIDPFGYSATMAYLLRRMGFHNMLIQRTHYELKKELAMNKNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRFDPVETNPDNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFTTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASETLSSFVLGYCQRLPCAKLSISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDLHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPREGKSHSVVLFNPLEQTRDEIVMVVVSNPDVSVLNSNGSCLKSQISPEWQYVSGDKVSTGQHRLYWRASIPALGLETYYVATGYEDCEKATPAVVKAFTTAGQFPCPEPYGCSKLEEKTVEMKNSYHTLSFDVRHGLLQTVTRSKDEKHTEIGEEIGMYSSHGSGAYLFKPIGEAQPVVEEGGYFILSQGPLVQEAHSLPKTEWQKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVRFKTDIDNQGVFYSDQNGFQMSRRQMYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVMDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFVSKKSQEKLFKLPQQTFAPLAAPLPCDVHVVNLKAPQPLKFHHSEAVEARFAILLQRRGWDASFCRKGGLNCTTIGEEPVNLFYMFKDLAVLDVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPQSSQEE >OB06G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5782887:5789099:1 gene:OB06G18540 transcript:OB06G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALSPTAASRPLPLLSTAPARRLQALLPPLPLFPRRRLRPSARPRVESATHEVGTASSGEWSGGAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTTRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFEDDDEAFSIWHNEVGVPKERIKRLGEEDNFWTSGATGPCGPCSEIYYDFYPEKGSSDADLGDDSRFIEFYNLVFMQFNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMAIVSYSTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAIGLSMEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALLSAGNNGSKPCLSGKDVFLLYDTYGFPLEITDEIAGERGVSVDIKGFDIEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNPVSAVSEGSDVEIVLDRTPFYAESGGQVGDNGFLYVYGEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKVHHTATHLLQSALKSVIGAETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNEWVGSGTHLETKVMNLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYICARDNYMRRLCSSLKVKAEDVNSRVETILEELRSARNEVSSLRSKIAVLKAASLASKATNADNVRVVVENMGEVDADGLKSAAEYLVDALEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >OB06G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5794694:5797906:1 gene:OB06G18550 transcript:OB06G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRAKNRYTWIGFGGVPAALAELKERALRGVSSAASLSLDETSAANVSDDEEDDKLDDADGDADSEKLSQSIDTHSDKPPCKIRSDHRKEKSLGLLTQNFVKLFLTMEIETITLDESAKRLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKTQQLDSRKPAFRWLGRPKRNEGTGVTVALPPTKIMLPNKRAFGTDLTNIDNKRGKLESTTENKGKLAQDGGNIFKNFQTQVTQPGQGNRSDFVYGPFHPAGARKQEHDNRNVQERERKSIQDWENLASSFRPQYQNQALNDLFGHYVEAWKSWYSDLTRSRAS >OB06G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5801043:5803030:-1 gene:OB06G18560 transcript:OB06G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein [Source:Projected from Arabidopsis thaliana (AT3G48140) TAIR;Acc:AT3G48140] MAASRWVRPEVYPLFAAMGVAVAICGMQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNFVRDKTPEIMPTINKFFTEPK >OB06G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5814290:5817199:1 gene:OB06G18570 transcript:OB06G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3MCW3] MAAHGDSDATEALTIETSVPYKSHIVDPPGRDVPTTPRELVSFFRDMSVMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRADSIITAYRDHCIYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKESTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKQHALENGPIILEMDTYRYHGHSMSDPGSSYRTRDEIAGIRQERDPIERVRKLLLTHDLATTQELKDMEKEIRKQVDTAIAKAKESPMPDPSELFTNVYVNDCGLESFGVDRKVVRTVLP >OB06G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5829290:5830432:1 gene:OB06G18580 transcript:OB06G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MCW4] MASSPAMRAGAAAVAVVMLVSVCGAAGALPRFAEAPEYRNGEGCPAAAAAGVCDPGLVHISMTLDAHYLRGSMAAVYSLLKHASCPESLFFHFLAAAEEGGEGELRRAVAASFPSLRFEIYVFRAEAVAGLISASVRAALESPLNYARNHLADLLPRCVPRAIYLDSXXXXXXXXXXXXAPRRRRRRGAGVLPRQLLPLLHPGLLVRPRPRPARLRRPPPAALLLQHRRHGHRSPPLARRQLPPAHRAVDGDPEGEAHLRAGVPAPVLAGLRRRGGGRRPPLEPARPRRRQRARQLPPAPRRARQPDALVRQGQAMGPTRRRQAMPARPHMEVLRPLHLRGRWRRRLAGVRTGIVVDVARISVLMVEMGLLAVVAHYWTQ >OB06G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5836231:5836750:1 gene:OB06G18590 transcript:OB06G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSTSLKLPKLKATVDSSNQTNNESNIDDAKETPMEPLIEHSTIHGVLQVPLRGSISNFLKTVITKRRLKGYTRCPRVFYASAPSSNIKVWFASLLPGPLNWMGPMS >OB06G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5836342:5842051:-1 gene:OB06G18600 transcript:OB06G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3MCW6] MAAAAVTTNGGAAQASNAPAPGRLASVYSEVQTSRLKHALPLPSVLRSPFALADGPASSAAGNPGEIAKLFPNLFGQPSVSLVPSPEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSIMYGFKGGPAGVMKCKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNKLDLDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKSVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRLLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQSGKTGLITSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEA >OB06G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5849447:5857093:1 gene:OB06G18610 transcript:OB06G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSESMRQAASLLADDDPSDDSAPRRPSPFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSTKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLIDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWHAEAETLKSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGYKIVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDIFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQEADQPMGKRASSPQTGSDKDTKDKAAKDKSGQQDKDTKEGATVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWVNKIRGVIQSKGGSFKGPNNEGGTMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSVHDNRAASYANDISEAGKLTSPRTPNRPGEDWRSAFDSAANGPSSSSEPRSRSADGRSRRYENGDVNAGANSGSRRTPNRLPPAPPKY >OB06G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5858166:5861383:-1 gene:OB06G18620 transcript:OB06G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3MCW8] MRWRRVGEFVAVVVVVTALVDAVAAAAARHDYEEALRKSLLYCEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVAAAGELPHALEAIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASIVFRDSNPHYAHLLLHHAQQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLPRATGRAAYLDYVVDNAGEFGGTGWALTQVNRGGKDAGVQILAARLLMRGEHEERHRETLERYREKAEHYVCACMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSDYLAGVGGVASCAGGGGADAGEVFALARSQVDYVLGSNPRGISYLVGYGERFPARVHHRAASIVPYKDSKEFIGCAQGFDDWFGRRGANPNVVVGAIVGGPDRRDRLRDDRENAVQGQQGVHRLRAGVRRLVRPEGCQPQRRRRRHRRRARPPRQVPRRPRELHADGGVHVQHGAHGRHVRHAQPPVPARVAVDGEQPGDGPKCK >OB06G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5879992:5880300:1 gene:OB06G18630 transcript:OB06G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSVTCYLAARSRQEVRQMVAELGSHGAEAAELMKQTVPGLATAMEQAQHLLDMYAAEEEELARKKMKRASDSPPAGGDQHAKLSKVVGADGGARASPIVPCF >OB06G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5880006:5880365:-1 gene:OB06G18640 transcript:OB06G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDCKFTGLQTDDNWSSNSAQRDQKQGTMGEALAPPSAPTTLLSFACWSPPAGGESLARFIFFLASSSSSAAYMSSRCCACSMAVASPGTVCFISSAASAPWLPSSATICRTSCLDRAAR >OB06G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5881066:5882681:-1 gene:OB06G18650 transcript:OB06G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQPERRRGPPIDLNDDVLGEIFLCIPPDDPAALARLSAVCSQWRRLLTDPDFLRSYRVLHGSPPILGFFCESLDQERVDYKWMVRSGFVPTTAFRPIVPSHFVDWLPCDCRHGRALFITRDSQSSELLLSFQSTTGRMPKQILVSDPMTGAEKLLDVPEMWSYMHWSAAVFCAVGGCNHLNCHGGPFRVALVCSDSRKRKPTIAAVYSSETDAWSDPTYIGLPNFFVMLRRRSVLVGNALYFHCGWNSVLEFDMARMRLAAITLPPFDDYVHWCSTCLVTAEGGGLGFAAMDMEGQSRVHLWSKEESTDRWSLSAVKDLETLLPRDAISPMIKLIGFAEGVRVIVVRTCAGIFTVELGSSERAKVVTMRGEIHAAFPFMSFCTPDHSRDRLPPAE >OB06G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5884444:5884611:1 gene:OB06G18660 transcript:OB06G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDINMFNAGKRVGDGNGGGNVRGGNDNGGAVNGGGCDGGNLGGSTRSTTFFA >OB06G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5885136:5886653:-1 gene:OB06G18670 transcript:OB06G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAFVPTTAFRPIFPRRLVDWLPCDCRHGRALFITRDSQSSQLFITGRVPKQIVVLDPMTGADKLLDVPEMWSYMPWSAAVFCAVGGCNHLNCHGGPFRVALVCSDSRKRKPTIAAVYSSETDAWSDPTYIGPPYCFVMLRRRSVLLGNALYFLCGRNSILEFDMARMRLAAIPSPPFVDHVYSCGTCLVTVEGGGLGFAAIVGQSRLHLWSMEEATGRWNLCAVKDLETLLPRYAISPMIALIGFAEGVRVIVVRKRTGIFTIELGSSERAMEVTTRSEINVAFPFMSFCTPVLLREGLSNELMMVI >OB06G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5889152:5892247:-1 gene:OB06G18680 transcript:OB06G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAERLLRVPEIWSYMSWSASVFCAVDGCNHLNCHGGPFRVALVGTDFWKPTIAAVYSSETDAWSDPTYIGPPNFIFMPRTPSVLVGNTLYVLCGRNSILEFDMARMRLARIPTPFMHNMDWGETCLVTADGGGLGFAAIEGQSRLHLWSKEEATDRWNHSAVKDLETLLPRHAISSSIELIGFANSVRVIVVKTLAGVFTVELGSSERAKMVTTRSEIVAAFPFMSFCTPGGMILQFAVNRQAQPDNSGAEEFSLDDLEGEKCSDLRRKEPLTFSAVQRSERFSTGAESVPAACCCVVTYHILFFFFPNLNEIDRLLQPTRQKAAGSVFFSIWMHEILSL >OB06G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5893787:5895460:-1 gene:OB06G18690 transcript:OB06G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQPERRRGGPPSDPNDDVLGEIFLRIPPDDPTVLVRLSAVCSRWRRLLTDPDFLRSYRILHGPPPILGFFCEPKVCSGFIPTTAFRPIVPSRSVRWLPCDSRHGRALFIRDPESSQLLALDPMTGAERLLRVPEIWSYMSWTWSASVFCAVGGCNHLNCHGGPLRAALVGTDFWKPTIAAVYSSETDAWSDPTYIGPPNFISLPRAASVLVGNALYVLCCGNNILEFDMATIRLAEISPPFVDYVYWCHACLVTAEGGGLGFAAIKGQTRLHLWSKEEATDRWNLCAVKDLETLLPRYAISPMIALIGFAEGVRVIVVRTCAGIFTIELGSSERVKVVTMRGEINAAFPFMSFCTPAQIPNLKYPVNSQNFQIDRLTEKRAK >OB06G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5896554:5898212:1 gene:OB06G18700 transcript:OB06G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTIKRSESVSFYPRPPSEWYKSLAEFDCYPLSIEVDPVSNSTTNMNSASARASHDSQAPSVQPQKLGPVDSRGEGSTVLSMTLKGQQFSIPTSQDSVVRMRPDDTLIIRDSVNGCDSVGPSIESHCHALLPQSFDSEEHASRVSMFRNSAAYENSSSSLRAEGNDAATLNVAPQVHSTQQVCPLKIVHGRVHHLASVYQEVWRPASVY >OB06G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5906557:5907866:1 gene:OB06G18710 transcript:OB06G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMLRRSRKEAARGGEVVGVEEEVAVPAHFRCPISLELMRDPVTAATGITYDRECLERWLERGHGSCPVTGRPVRMGDLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEADAEEAIAEGTSEKALAVLDAALCTDAGVESARAHALTVPVLVKKMFRVSDMATDFAVSALWRLCRTSGSGAGSCRAEALRVGAFQKLLLLLQVGCAGVTKERASELLKMLNGSRGSVECIETVDFKGLKRPF >OB06G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5919722:5923884:-1 gene:OB06G18720 transcript:OB06G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSKFVVATMLVLLLLSVSSWWPPAAAARPLQVDEDEAAGVVGVLVLPSSSLWRLRRWLPVLEMKQGSSASCGTWDPNNVNCPPKPPGNDCSPLREVIVLSAWVDVYIVLVYFCCPIKSTQLFLLVCVNSGDRKYNLWGALDYEKDGAHRSVYSDSDPLHMEADERSFIFAFQFSPNEDCLGWSLSSSGTFLSLALSNLSGDEVGSTSSLRELASSQMAWLPSVASCLYAISQLSWSYANDFLMSECLGEENVEGVVVIDEHQLQSNTLDHEIQDKRVLSQVRHSVKLIRVIQVCLQQQAYWTCAKPQQVVVLCQVRVIVMTESPTPAQAEPNPVQPKNSTAPNSNRTDLADVVYIFQPPA >OB06G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5929594:5929872:-1 gene:OB06G18730 transcript:OB06G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRQQPRRRLVVLVALVATMALLVASSSARPLSSGGGRLRRVHPAASPAGVPAAAGVRVGGVVRDKQLQRRMPTSLRELRWDPRCQAHI >OB06G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5929805:5929957:1 gene:OB06G18740 transcript:OB06G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRATSTTSRRLGCCLLLVVIATIDTPSNLTRIQKRLNSGSYLVQLLL >OB06G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5935182:5935427:1 gene:OB06G18750 transcript:OB06G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVATRRRSLAVVVAVLVVGMAFLAVSGAAARPLGEPAREAVSAGGGVVQLLLRQVYLQRLAAGPSCGTNSSNGGCPHRP >OB06G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5939274:5939537:-1 gene:OB06G18760 transcript:OB06G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPAVLAVAAALVVLSVVCTTAAAARPLDGEVDGWVQQVAGGGAGPLSGGAGASIVETLRRLYLQQLGGPGPSCGTNSPNNGCPP >OB06G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5941374:5941589:-1 gene:OB06G18770 transcript:OB06G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMHDLLLPCVSAITTKSSATNHQLPSVYGRQQKPNWHDFGILFPSSVLKQSHGQGGVHVLSLRIMKSHK >OB06G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5944591:5945943:-1 gene:OB06G18780 transcript:OB06G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVYASMQTSSVRPDVVTYSIIVNGLCKAGRVGDALRMLDGMSRQDSDIRPDVVTLNTVVDGLCKSGQVQEAVAFVEQRMRSVHGCPPNTVTYNCLIDAFCRVGNVSMAYELVGRMENKGVAQNIVTLNTIVGGLCRAGRAGAALEFFREKRTVWTEAKGNAVTYSTLVGAFLHSNNIGMAMALFHEMMTEGHSPDAIMYFTMISGLTQAGRLEDACSMASSLKKAGFKLDAKAYNILIAGFCRKKRLHEAYELLQEMKEVGLQPDVCTYNTLLSGSCKAGDFTAVDELLGKMIDDGCQPSVVTFGTLVHGYCKAGKIDEALRILRSMDESGIHPSTVIYNTLIDFLGKSGDADLAIQLFDEMKEKHVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETERLKRFMQQSEHTAAKRGVRDRISAQ >OB06G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5958902:5965968:-1 gene:OB06G18790 transcript:OB06G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSGSKRRVVVEDDGEVAGSRRMGEEKDEEEYEVDMVRDRIGSSRGSRLALFGSDVRLGRFRPRRRRVAAADGDVGIFQDLVIDPDNKWYRLWERFILVWAVYSSFFTPFEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVRNPTSIALRYCKSSFIFDVLGCFPWDAIYKACGSKEEVRYLLWIRLTRTMKITEFFRTLEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTNRYMTSLYFAIVTMATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGSLEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLEKQSFTNILEIYFVDGRRILSNLSESSEHGGRIKQLESDITFHIGKQEAELTLRVNNSAFYGDLHQLKSLIRAGADPKNTDYDGRAPLHLAAGKGYEDVVQFLLHEGVDIDVADKFGNTPLLEAVKQGHDRVATLLFSRGAKLSLKNAGSHLCTAVARGDTDFVRRALAYGADPNSRDYDHRSPLHIAAADGLYLMAKMLIDAGASVFATDRWGTTPLDEGRKCGSRTMMQLLEAAKADELSRFPERGEEVRDKMHPRRCSVFPYHPWDGDGKRREGVVVWIPHTIEGLIRSAQEKLGLAVSGEGAGPRLLGEDGARVQDVDMVHDGQKLFLVGGAGPSE >OB06G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:5992723:5996239:1 gene:OB06G18800 transcript:OB06G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37530) TAIR;Acc:AT5G37530] MLLRSGVGKLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEEEILSGKPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLDFQTEPVVNLDLDHYRILHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWRGRSARDQNLKDIGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHESTTLDRVKEEEPEFYTMVSHVLKRAEAEFAL >OB06G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6000319:6006310:1 gene:OB06G18810 transcript:OB06G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREEMAVSGGGVAAAVERAVTCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVVLPGVAVAVDVPADVKCGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNACFDLASDSWAGDADNTKCLALDGYFISLLDLRLDCRPLALAGHVVADVPAAWDPSAIASFIKKYGTHIVVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDRLGDQLFTGTCTLPPSHCKSRDHKVKVPEAFNVFDAQMTQQKLEAMTAPVSCKEGVTVIYSKRGGDTASSNHSEWLPTVPAKPDAINFKLVPITSLLKGVAGVSFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGANHALHFSLVGSKLHVNSSQVIVPKLPVTGMRLHLEGKKINRLGIHLQHLATTPTFIDGQSSGRPPEWRGSEAIADERYYEPVQRRMFAHVCTVPVKYDPRWRDAGGGHRPAAYVVSGAQLHVSAHDSTSVLHLRLLYAELPGHSVVQSRWAHGGATGRLSGKGSFLSMSFASAAAAEKEHQLQLQQAATRFNVDSGVFAGGPPVPVGTPRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVDKGKISLHVKFSLIAPVS >OB06G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6007616:6008662:-1 gene:OB06G18820 transcript:OB06G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTNITDTTSAVHLLKINGYSVTKALSCSEYISSRRLAAGGFDWEVLYYPRYYEHGVYWVALRLMFMSKKCKHEVKAALKCQLVDEAQMYLPSSGSKSVSSKYTGQRDCGPALLLVKQDDLPESIYFRGDSFVVECTITVLREPQEAVANTASPSVANPCCHLHLQLGELLLSEKGADVTFAVAGESFLAHKIILAARSPVFMAEFFGPMRESSSRCVEIKDMEAPVFRAMLRFIYTGTSPELDQQQDITTTMAQHLLVAADRYGLDRLKLICQERLHSDINVDTAAATLAFAEQHSCSQLKDRCVEFVISSRANLDAVMATEGYRLVIASCPSVLDTLLRAAVGR >OB06G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6015147:6020526:-1 gene:OB06G18830 transcript:OB06G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEEGNAVAPRGAARRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKIARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSQTVKDLEEAVLQGGATANVVRDYQRQVQEVNEQKKTLECELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLTRRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRSKINGNGAHLLNRSTDAVRDCETNDNWKGNAEEGITENTNSNTDGSNKGTANNKSAELVSGFLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEIKARRLGSSKGTGSSQMLSGSRSSSRSGHTRNYQ >OB06G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6034233:6035335:1 gene:OB06G18840 transcript:OB06G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEKRPSAVVAKTTLKTLLEAFDAGEDEPPTSEGKKVSRASSVASSARRVKKPTTLLDAYEVDCIRRELESLIVKHNAAGVGGGGAKNANPKQAQAEAPPTNPAKKKVPSGEGVRWLGRHAVAVCGVSVPVSAVAKKETAEPDDAKSDQRRRQHHHHHHHHQRSSSKTTGVKNANHAQASPPSTPAKKLPPGGGVRLLGRHAVAVCGVSVPVPVSSVAGGRRRRRGGHREVEKV >OB06G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6038944:6041214:-1 gene:OB06G18850 transcript:OB06G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGNLSEKDGVFSCSEACDHMLACGNHACRDVCHPGPCGECDLMPGKVTACHCGKTRLLEKRESCLDPIPTCEKVCDKKLPCGVHRCKVTCHDGECPPCVVRVEQRCRCGSSGQMVECYKVLEEEFHCNKPCGRKKNCGRHRCSECCCPLSKPFAQLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCPHQCLVPQPCGHPASHQCHFGDCPPCVVPVMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGIHACTRACHPAPCDAPPANGDASSSTGSRASCGQVCGAARRECKHTCTAPCHPSSPCPDLRCEFPVTITCSCGHITATVPCGAGGASNGDNMFEISIIQKLPVPLQPVESDGRRVPLGQRKLSCDEDCAKMERKRALAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVLAIEERCKFLVLGKTRGNSSGNLKVHVFCHMMKDKRDAIRLIADRWKLSVQAAGWEPKRFITIHVTPKSKPPARILGSKPGVPVTASHPFFDPLVDMDPRLVVAMLDLPRDADVSALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFSPSGSAQPGNIWVGGQKDGALATKSSANPWKKATASEPDLSSGDWTGVPGHAPPASVWRRGGDTVAQVMGTSNRWNVLESDAATSSRDEENKPAPRADAGSSAGPSAAPPVSKMQPEIEVDDWEEACE >OB06G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6045973:6047213:-1 gene:OB06G18860 transcript:OB06G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSVPSGRLVLAMELLLVDLPFSPQLVSYFVMICVIVAVFYCFLKQLAEFSDTDQQTIRDQDARTNETEPILPRKRVVFCYGTTEEQPESSMISEDMCSEKVCKICYDAPRSCFFIPCGHGFACFTCAKRIAEDKNQTCPICRRLIHRVRRLVKSP >OB06G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6049111:6049521:1 gene:OB06G18870 transcript:OB06G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADVDGILSEGSDRAETSYGEVSPTKGVKLTSTSGDDDAVGGGDHLSSLPDDVLLAVLLSLPNSSAAARTSLLSRRWRSLWEHALFTFADDDHDPARGRAASRHPARLPSPPPPRSRHVVGRRSRRQVHLPPPR >OB06G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6050858:6051880:1 gene:OB06G18880 transcript:OB06G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MCZ4] MATSGGEPSAPVGPVPFADVDGEQLVPERRAQPEAGLGDDLADDMVSSLPSKMEVSLPMRLRLYRGFWLAEIHIATMARRASPPASPDHPLRRLNPHDCVPRAHPPDAGAGAGHPLRRLNPHQCVPFLEGLFARGQQAKLDALPSPRLMNTHMPLAMLPSAGGGGGGCRVVYICREPKDMAVSMCHYTRRVMPRVSFAETFESYCDGAKIYGPFWDHILGYWRASSATPDTVLFLRYEELLRDPAGNVRKLAQFVGLPFSEAEEEAGMVGAIVALCSLDNMRGFEANRTGYVDAQRRIPRETLFRKGVAGDWVHHMTPEMARRLDDIVADKFGGTGLAFK >OB06G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6052303:6052722:-1 gene:OB06G18890 transcript:OB06G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37670) TAIR;Acc:AT5G37670] MADLFFGGPFRRLLYGRPFPAEWASAAMDWVETPTSHVLRINVPGLGKDDVKIQVEEGNVLSVRGAAPQEREGGSEKEVVWHVAERGRPEFAREVALPAGVRVEQIRASVDNGVLTVVVPKEPAPARPRPRPIAVSSKL >OB06G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6057553:6058492:1 gene:OB06G18900 transcript:OB06G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MCZ6] MVASLPSKMSAERPVMMRFYQGAGVWLPEWPPAAVAMQRGFTPRPDDVIVASLLKCGTTWLNALAFATMARRTHPPSAADHPLRRLNPHQCLPFLEGLFLSNREAMLDALPSPRLVNTHMPLSMLPSTTTAGGGGCRVIYICREPKDMVVSWWHFSRRREEKYSIATFAEVVASFCSGVRLYGPFWEHILGYWHASAARPDNVLFLRYEELLRDPAGNVRKLARFVGLPFSAAEEDAGVVESIVELCSLDYMKNIEANKTGFMDPVFKIPRAALFRKGMTGDWANHMTPEMARRLDEIVADKLTTAGLTFQ >OB06G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6065023:6066627:-1 gene:OB06G18910 transcript:OB06G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MCZ7] MVVSWWHYSRRREEKSTATFAEVVESCSGVRLYGPFWEHILGYWHASAARPDNCLPFLEGLFISNREAMLDALPSPRLINTHMPFSMLPSTTTTAGGGCRVIYICREPKDMVVSWWHYSRRREEKSTATFAEVVESCSGVRLYGPFWEHILGYWHASAARPDNELLRDPAGNVGKLARFVGLPFSAAEEEAGVVESIVELCSLDYMKSIEANKTGFMDPVFKIPRAALFRKGMTGDWANHMTRRWHAASTKSSPTNLPLQGSRLVEHHAKLL >OB06G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6082250:6084372:-1 gene:OB06G18920 transcript:OB06G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKPNSIVSFLVLLQLFHVLVRSHEAAAAATGGGGDSEQFMYEGFAGARLDLDGMALVEPDGKLMLSNVTSQLKGHAFHPAPLRFHALSARAPRNGTASAARSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNMSAALPSQFLGLFNSGNIGNASNHIFAVELDTIINPEFHDINSNHVGVDVNGLVSVDSKPAGYYADDTGEFKNLTLFSGAAMQVWVDYDGGAMGINVTLAPAEVPKPRQPLLSVPVALSSVVTDEAYVGFSSSTGPHKTRHYVLGWSFALDGPAPPLDYTKLPKLPRANAKRRSMLLKVVVPVATSLIVLAVVIGASLHIWHRRRRHAEVREDWEVEFGPHRFAYKDLVRATRGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKIVSHDAKQGMRQFIAEVVSIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLLYDRGADPKTTRVVGTMGYLAPELAHTRRATPATDVFAFGSFVLEVVCGRRPIEHGGTGDGGDDGQLVLADWVLDRWHKGDIAGAADARLCGDYDREKAALVLKLGLLCSQPAPGARPSMRQVVQFLDGDAALPPELAPTYRSFTTLAITPSADGFDSSAVSCPSSTVTSVDGGGSSVHSGDRAQETETRSLIETL >OB06G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6088698:6088971:-1 gene:OB06G18930 transcript:OB06G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNTLVSKEVEELNNSSFYENDKAAIMTLLSATLFASLVLLYLKNHNWIR >OB06G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6088914:6092574:-1 gene:OB06G18940 transcript:OB06G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLATAAVRVLLPLLALCACATRARGADDYTAFVYAGCSQARYDAGTQYAADVDTALSALTNSAGYTAYANYTSPPAAAPGLCYARFWSNAGTGDNNGGGVGGSIGGGGNGINGGGGAVGGGNGYAYGFVPHTYSDHDESGKTLAIIIGLVAAVALVIVFLSFIRRAGGVGGKS >OB06G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6095787:6098398:-1 gene:OB06G18950 transcript:OB06G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRVAFLLAVTVLLCALLLGGVDVRDGAGGDADVYRSEMTPLQKHVAFFDRNKDGVIYPSETYEGFRAIGAGVVLSAVSAVFINGGLGPKTIPENTKTGLKLPIYVKNIHKGKHGSDSGVYDESGRFIPEKFEEIFKKHAHTRPDALTDKELKELLQSNREPKDFKGWLGGFTEWKVLYYLCKDKDGFLHKDTVRAVYDGSLFVKMEQEKQSAKKK >OB06G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6107673:6112837:-1 gene:OB06G18960 transcript:OB06G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASHYYPVCPEPERTMGIVPHTDPGVLTILAQDDIGGLQVKHTDEDGASYWVDAKPLPGALVINVGDLLQIMSNDKYKSVEHRVVMNLREEPRVSSAIFYNPGRRGDSVFYGPLPELISSENPPKLAAGVSTKEIMSSSCYSGGDGDVNGGDELTPLRKH >OB06G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6125847:6150520:1 gene:OB06G18970 transcript:OB06G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGESSGAMPKDKEIIGSQPPMVGTDASQSSAHTGLWNFPSGSADMTRHGASISGRVPTGPNRSDVAGTDIHQGSMSQKSVRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKASGDLHSEENSKSDAMSTGHNIRKGKQPGKAVTQSQPSRTVEHDQSHTLQVGNAQVPPLPSGATFFRAHQEGPSASSGRTIDKNKPSSPFTMAQISNFAEGLSSGNIPAELQKSMLGGANLLSASFGWNQNAQGSVMKNSQGSVPNLIRPGVNVEGKVNVGSQGTFNPMSASQMDFPTVPPYISSSFGGGSSNTGSELNSSKVGAQMGIMHGNPMQERHGIVRAPQRAASSQMSQTSPGVPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGVAPPAEGGNAVQRGSESRTTDGSGKENGNSQENPATFGRQSDISRLQSTSTGSAADVDSASKDPEIVKKKIKIAEHEKSLEAENIQLVQGTDSEMHSQETISPMPSGQPQYFQGDTRKNTPDIYKADAEHLNRNLGWGGGQGSSPLGGNRHPSMETGLLAKGEVSKESFASLRPHHMPTDGSHHNLSGKDQTPETAGNEFENGSHMGEMIFERSADEGDEGLSEQDDLPSSPPKYTMTDKWIVDHQKRRYEENKRKALDLQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLLDFFKPNTADLDRIKSVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHRERLEDSFKAKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGAKSMDGRVLYSDSTANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNDQPTYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKVRSVHNTVMELRNICNHPYLSQLHVEELEGYLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNNPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDVFESIDKQRREEEMATWLTVVQDSSTSGLDPSVMPSRLVTDDDLKSFYHAMKIYESSNIKSPKVNVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPESPQPGVISRNLDVSKVVKLEVPPECSKEPVQAKQEPASAAAAAGDSPPAKRRRGRPRRSDASLSPVTAPPNIGKQEAGTTVDGSSSAPITTIHSISPDVTIDSTALSAASKPEVGTEIKGTVDIATPPDGTIKSVITTDNKGTASTAVLEGSIAKEVVMPAQSIHEPVSSAAPHPPTPITSRGRKAQTGETPRRRGRKPKALASGSAGDVILSPVVAVGSGEAYASSVVSSYPQGNMSSSHANATAGLQNDMIIVKPTALLPEEVKGTPTPSGGDKDETVKTPLAEDIYAGTVTTSGSGSSHLPKIAHIENAGFVQGSIDQNVSASTPIIPMVSEGLLKVSEVLIADKPAEKQGASRRRRKRTSGSEDTGVSTRQRSASKRLYGTPETADNAGVSMSTGEKIGAVKEIDGTSLQDASKGLPNIISPPYEKSGHDSQPSTPIAVPINEATLPSEFSEARAAHSDIPTAKESTNSVGHDKVVGAHMQAPPSVSFQAQVQYETQKDYVGAHSGVRTTHTETITTQSSVNPVIDNKLANVQFEAHASLLTSSRDITTVPSEVHSAAPSKAPGRRKGSAREPCTRSTFATVAPERRGRLAGPKQPDDTEKVEISGNLSSAVCALPTQQQEDTTLKAATAVGEEQNNADNRVCEVSSSVVCALPTQQQEDTTLKAAHATASVGEEQNNADNRVCEVSSSVVCALPTQQQEDTTLKAAHATASVAEEQNNADNRVCKVSVPAGILEARSELPNQTASQAGAACTEEKGADLSTRIPALDEASGERELPGGFQVHNSEQEPQMVSAAKPESANDEERKVHEVHQIVADHNVLPSSAQDGLQDNIGSGVDVYLDSCDKITSYEAEQDDSTMVIAADDQTPCNVSDKDTLASTEDDGNGLQSECVPVDLIGAKQDNTMQESNQPKEQGECLEIIGSKFALETKLEKTEEAVDKSGGDNLPCIEKIDDSHIERSSPSPDKNENSPAQVADGGQAGTETTMVEAVSAMSSDGSQDAHNATHDLSTNDTVYCEEQKDPEIHLSGEVSISGGLLELKLESLNQSESACQSCEVTVQDINATLNNQISALTESEEKRSSGHVLDTEHIRHEVLRDTVDDTSSPSSGEQDKLQVHIDTNTDVDMPCSQRSADSEGEKEKDHPTDIVLVGCEGPCDVSGKDKLSPCDTSGKDTAAPTEGELNCLQSEDTVIHVAGAKHETLQVEAIRNDISMGSSHALPAMIQSTDSNLLEEEGESLEITDSKFPCAMEQEKMEESLDKSVTDDQTCSQINDDSNNMDSQKVDSSFQAADGGDFSVSKGTNAETATAINTDVSDEGINVPSTHSVKEASTVETTTAINTDVSDEAINVPSTHSVKEASTVETTTAINTDVSDEAINVPSTHSVKEASTVEIGASTNDIAPACELSKDFESHVSGEVSKPEPRLEELNQSKSVSQSVAANAEETSTQLNIETPVLHESESKSPECDARGNENQKLVQTSAAETSIEGHQEDTCEVVHTIDCSIVSPFGDQEIQDIPRERIDSDTDFGISACKRSAAFDCDKDLPAEINLTGSQATCDVPDKATPVPTIDDCNQETEDTVMDVIDAEEGTMEVEAMQLDDISKSSSSNSQAALQSSHSNQHVNGDSKFEPSKKQDKTDETSNESRGDNPTHICTNDDSHDKNLVGYSPSEDLNEGNPAHLADGGNLVGGNDTTTACADGLNELTSGSYVALSSSLVVQDITSISKMESVQAGGEEIHHDCPDENHSAAMIPVVGTDIMENVSAASTRTITIQPDTETEAATSLTVLEGSIAEKVGTQVQSGHDLMTSIAPSPAPLPGDIHACTDVSYPVGVSESTLESPNHTTGHLDEACTEAQNASLSTQVPVLPELEQRKFSGSDTDGKIIIAELASASEHDKVHEVDNETGNDNILPSSVTEDALQGEIGGCADMDGHIITESSEAENNDSTVATIANKPIAFDTSDKDTPPAEDGNVLQHESTAVDVTGSNENNLEAEERQIDDISRISSSHLAAALQSTESNHPTEHAAPVEDDGNGLQSEATAVDVADSKEDIMEVEDKQIADISGSSSSYLPAALHLTESNLPAEHAAPTEDGGKGLQSEDTAVDVSDSKEDNMEVEDKLIDDIHIGSSSFLPGTAESAELNQPVERSEGAAVDVAGSKGDDMEVKGEKIYDISRGSCNFLPGALVSAELNQPAGHAAPTEDDGNDLQSEGTAVDVVGSKEDNMEIKEKMDDISRSPSSHLPGNSQSAESNQPAVQENSENSGAVDAAVPSSHTTLSSSKFACVQELEKVDETLRKSGDDNSTCLQTNDDAQNKASGNYCTLEDKKENSSAQVANHGDLLLSKGTSVDDLDGCEEGHSGLSTHSNEVASLVEIDKRTNDTTSGSELHVDPESHVSHEVTTGSDLHVDPESHVSHEVSMPVVPSELTVELPNQSEPACQFGTVIVEESNAILSVQIPALAESEDTTSPGGVMHGTEVHVSEQVNIGPAAEPTSTKDHDMHEVDKETVHCTISPPIGDQDNLQDNVDGKTDVLSAHQPHSDFVSGNDHSTETDLAGSQTPYDTSDKEDTTADLVGAKQTAIEVEEMQIDGIPECPSSNLPAVLQSTDSNQPTEEERLEDSDSKFASTNEQGTSDMSGGDNAKCSLTNDDSRTMNLVGYSPSEDSNDDDSAQVGDGDDGDGVLGNKEGTDDVISAACTNDVSALKTESMDPHGSVEVNHDYPDDTIQSAATAAAVKQESGTEECAASVPASESCISKETVTPPDCGDDQVAMKAPHPPTPLSDGTDVSADVQIQAGVSEAKLEQPNEMTSPASGAAAEENNPTVSTQIPTETESEDRTPAGSTIQGTEVDSAEQETKVASDQPAPASDSVLPSTEPQDTVADDSVLPSTERKDTVDDKIDSSADDSVLQSTEPQVTVDDKIDSSADDSVLQSTEPQVTVDDKIDSSGDVSVLQATEQQVPVDDKIDSSGDVSEK >OB06G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6160798:6160965:1 gene:OB06G18980 transcript:OB06G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDEERGLLIFWWARSSVEYGQTLLYCCYLLFSLLYCCSLTARHGLQKSVFLRD >OB06G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6161579:6162091:-1 gene:OB06G18990 transcript:OB06G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVGEGGAGVEVLVISSRKKGAAGEEEAVMLPKGGWELDESMDEAARREALEEAGVLGETGPTLGRWCYRSRRYDATYEGFVFPLRVTDELERWPEMAARRRCWVSPQEAMDRCPHWWMREALQLFADRFRLPSTPSSHL >OB06G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6189410:6193772:1 gene:OB06G19000 transcript:OB06G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLKAETCPYGTVLKRRKWRCSPREPAQSPVAGVVGSSGSAAELGRRMLEAAEEAVSRWASQEAAGDGGYGVSDLAGLVPAVRDLISLASNGGAYSQRARFALEFAMEHLEDDFRQVLISSTYFHPPDNLPASLYDSIALPVRSFSFSSITNLEAARLSSFTTSSGDDSPTYSTGHSRHSLSLEKVHLYLIDPEASIVLKDIAELMMLAGYASNLSRVYGEIRNRTLMQCLCLLGIQIELKSYNPTSAPVESECNMQLYLDQQNMQMWIQALRVIVGIVFPEERQACTQIFGSDNKVEVDCFASATTRVIQQLLAFGSLITNVKEQYEKLPLLIQMHDEFVRLKPSMEAWYGNAKDVISQEAGVLLDKLREEALRLLFKLSDAQTNHESYERIVLDGSVLPFPQYTMGVIKQLASYSDTLNLILPVEVGGDGTVTMNPWKTYVLTLLTQMQLNTDDKSKSYKDERLQHIFLMNNAMYVLEKSRSPDLKILFEDRWITEQLTQVERHATAYLRASWAGALFHLSDADFRQRNDPAGRLKSFNSTFREISRVQTTWKIPNPQLRQHLRLVILQQIILAYRTFLKRFGNLLKDPSKSIKYTPEDIENHVLDLFEG >OB06G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6197940:6203670:1 gene:OB06G19010 transcript:OB06G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) TAIR;Acc:AT5G37630] MRLPDDAEVSDEIWDEVIDGMKARVQDKIPGIRVFAVRALSRFASDGEDSDIVDLFLETLEKEQNAEVRKAIILSLPPSNATLDIVIESSLDVSESVRRAAYCVLSTKFPLQSLSIKQRTSLLHRGLSDRSASVNSECLKMLKDEWLMKYCSGDVITLLRFLDVETYEEVGETVMGVLMKDGSVRVQDGQTIRQYFTANTEDEEQVPKIQLMDAEVALYWKIMCKHLQAEAQIKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTISDYVALVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKVASSFLHELLTRPLEHEVDEDGNKMAIGDGVSLGGDREWAKAVAELAKRVHSAVGEFEMVVATVVEELARPCRERTADFMHWMHCLAVTGLLLENASSLRNLQGKAIEPPELLQSLLLPATKQNHVDVQRVALRCLCLYGMLENRPNADLVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDQAIGIDSCDATSEKAQFTIVDISNMNDDHLNVGVLDILFSGFLKDDWEFTLEGDNHDNVPTILGEGFAKILLLSENYAKISADLHPVVLARLVSLYFMEETKELERLKQCLSVFFQHYPALSEKHKRCVSSAFVPVIRTMWPSLYGNVGGSAHVVSKRRKYAVQAARFMVQMVQTPLFSTEATEEASTSPESQSTKPDMSNNFDISEEGLAIRIAVEVANCPEKKTAAGKAYCLALCKVVVLLRFRQSEQKAIKCMRGLVNALAASAASDKELLKELTQMASRLRSLDEHPEEELPQEEAEEIFKKLGLDGGFKLETTSVVPPTPAPRSVRPPPSRRARRPSSSSDDSDIDGFERKLHATSVSRVAATPVMTGARSQRASKTAAMCRMTAKPAVASSDDNQSDDQSGVTSDEDSSDVESS >OB06G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6203415:6207444:-1 gene:OB06G19020 transcript:OB06G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMIKNRSSRGRGRGRSQGGVRGRGDGQRFSYGSGRGRGAGTFRGRGVGVPSRRPLGVNTRSSSYAIAKSFNKSKDIVWRQDLFEDSMVAAGLSGTESSTKLYISNLHYGVTKEDIQELFSEMGHLKHCAVHYDNNRRPTGSAEVIFTRRSEAVAALKRYNNVRLDGKAMKIEVIGADLGLATPSAPRISVVPGARGRGQREVVMMPGGSGFGRGAAGSSNFIPGWKQNNFAQRGWGQIRGRGRGRTSFGQGRGRGRGHGYGRKGPVEKSVDQLDKELDNYHSGAMNVD >OB06G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6208644:6208832:1 gene:OB06G19030 transcript:OB06G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGAVREREKKKKREEEEERMRGGCAAARIWNAVAAAASLANREKLSESPEGKNLDVITA >OB06G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6230827:6234943:1 gene:OB06G19040 transcript:OB06G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKLGMQMFDGGYVHHGLLKAAQFILERETDTLRELLEKSGPDYKLIFAGHSLGSGIAALVTVLVVNNRKMFGNIPRSQIRCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFIMCLRDTFKQDRRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCSTTSDHALAWIERESEKALELMREKEKPTTPPVQQKMERLQSFEEEHKDALQRAKTLDVPHAVDLSEVEIQEEGANSTPPSDTHSETTSEAKSAGRTSWDELMDKLFTRDKGGKLVVKKDIKERDIVIE >OB06G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6250209:6251000:1 gene:OB06G19050 transcript:OB06G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPSSPSADYSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGQRRAARTPAGAERERAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRWRLQRAAEGSSSASASSSLARLPVLLTGICSGGAGERGLFLQLSSFRGVDCVKVE >OB06G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6256513:6261360:-1 gene:OB06G19060 transcript:OB06G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3MD12] MLTLVAAATSVSGQHDYSDALRKSILFFEGQRSGRLPPDQRLRWRRDSALNDGATAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHKADARKAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTIYKVDPAHPGSDVAAETAAALAAGSIVFRDADPAYSKSLLDRAIEVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYREYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHASVRVPRGCGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGARYPLRIHHRASSLPSVSAHPARIGCKAGASYYASASPNPNLLVGAVGGGPPDQHQRRLPRRAGRVPAVRAHHVHQRAPARPPRLLLRPPQPGPVRPRQRLKSRGYPFCPGPNSTDSS >OB06G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6262462:6274936:-1 gene:OB06G19070 transcript:OB06G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:UniProtKB/TrEMBL;Acc:J3MD13] MVYFDSWDEFVSKSVELFRSHPDTTRYVVKYRHCEGKLVLKVTDNHECLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQPKKGRGRRQ >OB06G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6278444:6279415:-1 gene:OB06G19080 transcript:OB06G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEWTDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSSDARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEVRVREIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGGAGNHVFSIDEDF >OB06G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6284048:6285655:1 gene:OB06G19090 transcript:OB06G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATVVAVAVLAAAAAAAAAPATSKKPVIYIFGDSMSDVGNNNYLLLSLAKSDYPWYGIDYETGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEQIKDAMIAKIGKKAAEEVVNGAIFQVGLGNRITSLLPVAKSDVIDLTLGGHGVTAGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYDLGARNIWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVQFNAAAASLLERLNAKLPGARMSLADCYSVVMELINHPQKYGFKTSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGIKGNATTTASSPAPRVVVVGGASPSTHAAPPPKP >OB06G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6287450:6288415:-1 gene:OB06G19100 transcript:OB06G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRWILPLCWLNIFRPREYVLWNPFTRACTVVSPPEYDDDDGGHGVIIGAYAHPDTMRFHLLHAVGKAATLGLFVPAVFRVWRVGDDDAAGGWREIPMLDDADQVQGGEATRTISIKMHSARSVSLHGNLHWLVRRASDRRLQVLVFEPARERFRLMEAPPAFQGKQEDLARSRIVALSNGKLCAVVIAPATSTMEIWALYDYHCSSLRSWRLTDRVSLVMPDRHDVSAAFTSATQVEVAHGDAEGEEVMVRQDGRIDAYSLRRRVWSRLDVSRSDPYPMDVSLLAHRGSVVPHDVSFGEKSRLLQHTINIHGHRCYCL >OB06G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6299949:6300116:1 gene:OB06G19110 transcript:OB06G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLEQLRRGEPCSEVPACRHVFHGDCVALWMKRSNACPLCRAKISSWTARPPPIAAM >OB06G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6304842:6307437:1 gene:OB06G19120 transcript:OB06G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQTLEEVPAVETAEDVAVAVPEDLATAVVPATSSALDDAEDGEKDGNVTTERLAGGNGATCCHRTRTAAGYKLTYAHLTERGLIKSWGKGRRMGAAHAITSLWRIEDRIDEQLGMLLHVMC >OB06G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6308181:6309210:1 gene:OB06G19130 transcript:OB06G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVGFLLTHGHCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEERLVIELHKQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLRKIGIDPVTHQVLEPPRPPPCEQDATPPPPEPPEQQGPPPPQEQQGGDIMREADGNEEEEEPSPLIELHEMTAPPRPRPLGAAEGATSTCSVSPASVLSPSCSSSAVSGVDVTEWPEPMYLFGMDGIMDVGWDGLISDAGVDVDPFAHYYHDAGFDDQDVWII >OB06G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6333538:6348720:1 gene:OB06G19140 transcript:OB06G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ketose-bisphosphate aldolase class-II family protein [Source:Projected from Arabidopsis thaliana (AT1G18270) TAIR;Acc:AT1G18270] MMDRRRRRGRSRSWGAARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVIFLIRSNSNSSPPPPPPPLPLCFRLSPPPPPVSAGNRTGAMSSAKVVSFVGADELGVSLAGSFIRSGAVVRCFVAPEDDGSATTARALAELGGVPCASPAEAARDAELVIVLSDTDGVDELFFGPEGIVKGLCSGSVILIRSTLLPSHLDKLKQKLADEKKNAPLDGYIFPGLSDELKQKIVVVASGRHDVTERTRQFFSGLDTAVYFVEGEFGSSSKIKLVNDLLESIHFIASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEIVPKLLRGDYLLIDPLKSSKTNAGYVMDMAKAVTFPLPLLAVAYQQLIHGCSSANGDALVSPLKVWEQSFGVNIIDAASQQIYDASKLADQLVMACKTAKTIGFIGLGAMGFGMASHLLKSGFSVIAYDVYKPTLARFTDLGGLTKHSPEEVSKDVEILVIMVANEIQAESVLYGNAGAVSVMAAGASIILSSTVSPGFVIKLKERLEAECRDIKLVDAPVSGGVKRAAEGTLTIIASGTDEALHCTGSVLSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSHESSNARIPLHVSSIAHQLFLSGSASGWGRLDDAAVVKVYETLTGVEVEGRPPMLNKEDLLSSLPAEWPEDPIDDLVSSSSHNSKKVLVVLDDDPTGTQTVHDIEVLTEWPIEALAEQFQKLPACFFILTNSRSMTADKATLLVKEICRNLEAAAKSVPGVSFTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSNRLIPAGETEFAKDAVFGYKSSNLRQWVEEKTKGRISENQVSTISINLLRKEGPNAVFQHLCSLEKGSVCIVNAASERDMAVFSAGMIQAELKGKRFLCRTAASFVSARIGIKPKPPICPADLGVKRALTGGLIVVGSYVPKTTKQVDELRSQCEESLRIIEVSVEMISMKSAEDRDHEITRVIELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINYKVSSALVEIMRGIDSRPRYILAKGGITSSDLATKALEAQRAKVIGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWVCPSRSSAKELLINAENGGYAIGAFNVYNLEGIDAVVSAAEAEKSPAILQVHPSALKQGGVPLVSCCIAAAEHASVPITVHYDHGTSKSDLLQALEMGFDSVMVDGSHLPLGKNILYTRSISSLAHSKGMLVEAELGRLSGTEDGLTVEEYKARFTDVAQAGEFIDETGIDSLAVCIGNVHGKYPPSGPNLRFDLLEDLRALTKKKGVSLVLHGASGLPHELVKECIALGVRKFNVNTEVRNSYLESLKKPEKDLIHVMASAKEAMKAVVAEKMRLFGSSGKA >OB06G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6350094:6358213:-1 gene:OB06G19150 transcript:OB06G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASPSPSSTSTRRKPSSASASASASASASASASASASASASASASASASASGSSSSAASRLARLLDWGAVVVAAFMGVPVVQKYDSWTADLPMTDCGGGERCDLGLRPINGVRGGAMEQRGDGMDGPSVSPPERVPTPSSARYAGWRRLSSPGPLRCSTRSVGYEDGDDSERYFSPHSEFSQDTSDTDSVSTSISRMYTFRLGTSSPIESPVRRLGLEDTSPSSRRSCHSPVYPLNSGHVSEDVDYSSFVDSPVCDDEQHSNASIPIDFESNRLIWYPPPPQDEGDDFENGFFEYNDDDDDGNDVGDANTFTRVNDDHGDYDDLLGIKGKNNISHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSDMVSSLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVSNKLASINSILEQEKEYLKNAVAKIEAQRPHVVLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSVRLGQCQTFWIERVSESSSPKNANKKSAKTLMFFDGCPRRLGCTILLRGPSYEELRKVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDLSVLQLENHVDGGNCSSGYCLQDFNDFQISGERTSENGCNKPANCLNDSVKPLSTDKSFLEPNLNQEECIGGTNGLYPRSPRSSLDNGCIPPPDITVQTSKSSPTQVRKRTQMGPCFHRVESDLDNGWHNISDEEHAGLAIRDYNENHGEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGKYFREDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATQRVVMSDAARGLSFGKFLELSFSNHTTANRIACCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFTYPVAQDWIVKDVADVTSRKEHLYKEIFDKLDSIENIVLAQNMTMKTGLHKHVVDLKDLIKVEWKKYDVLSRFSSIENLHTLEPAIDILELNCLRRELVIDAHIWDRRLYMMQALTKENCHTEPTDMQCLDKLPECSVEKSKVEIPDTQENLENSLKLTQSSSTVATNSVKPLLRGERIDTTVTHFGLKTDITGEVPLQSAEGCSSSVVPGPSERPNDGILTNELEKTLERTRSSASNLSDRIDLAWTGSSQFANDPSKCSMEALPVIPAALVDHPSYQKVMAPIRINSFDSAINFKNRLSPSDDSDGIIRRAYSQKPPKALERTGQVLCPTFKNELSVPEIMHGEGRFLLPQNATDVVVPIYDDEPSSMIAHAMTVPDYHKFLSPLLDQHNDLAKFSAGNSLDQDSSSRSSLDVSTWNYSSDQPRTGNNDSKDIHLTLSFEDDDSISVDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTESVSSGSPTCLAKVLGLYQVVARNLRDGKELKLDVMVMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSRDVLPTIISPDQYKKRFRKAMSRYFLTVPDQWSS >OB06G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6362608:6363891:-1 gene:OB06G19160 transcript:OB06G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGQATSAAGGGVAAASGGSEVRWGWRLVRRRPRSGGWELGRDRGCGHAPAACGSRFFHRVKWGGGVTRRGDAGHASAVRLSRSASSGGVQAAALVLNRLNPWSVNGSFNWIANG >OB06G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6385665:6385931:-1 gene:OB06G19170 transcript:OB06G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALEYMWIIRGLVSFLVLAANSAYKYWSSRRSTVGILVLPGVFRKSFLYVFHCCQQIIHTVVAFLFVLINTTLDRSVACFVFVSYTQ >OB06G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6387657:6389245:-1 gene:OB06G19180 transcript:OB06G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAPLRPGRLYFLVERLMGESRDSAEAAAKIMQLCAAANGGAVTPERGILRTPERSPRFIPTPDWGSAVGFAHTPEVSPRFAATPEWGTGFMMPTPDRSGLLKTPERWPALPRTPEYSSRDVKAARKEMSKNSM >OB06G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6413979:6415257:-1 gene:OB06G19190 transcript:OB06G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRPVYLVDYACFRTKPHCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRAEVEVGVFNAIDDLLAKTGISASAIDILIVNCSLFAPVPSFTDMIINRYKMRADVRNVHLSGMGCSAGLISVGLARNFLQGRRRRAAVHLARQGPLPPLPRRADADRRPGQRVPVRVPGGGRRGPPRHQPLQGPHDHRRRLAQGQHTPPAIGPLVLPASEQLLFALSFIARRGLNRRGEPGPPALRKAVEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >OB06G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6438113:6439579:-1 gene:OB06G19200 transcript:OB06G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3MD26] MDKATSYHHRRAVGNVVSAAAVAAAVPAAAAALVAAAALLAPEETVMIGRVRELRPVHLFLAVFLPAAAATVYLMMRPRAVYLVDYACFRTAPNCRVPFATFLEHAKQVPVLTERSVRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLDAARAEVELVVFSAVDEVFAKTGISPDDVDILVVNCSLFCPTPSFVDMIVHKYKLRGDIRSMHLSGMGCSASLVSVGLARNLLQVAPHGAVALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGGQDSAYRCVFQEEDEHGNIGINLSKDLMTIAGNALKSNITAIAPLVLPASEQLKFALSFIARKALSGRVKPYIPDFCAAFEHFCIHAGGRAVIDELQRSLGLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRAGDRVWMIGFGSGFKCNSAAWECISPARDADGPWVTSIHRYPVDIPDVLKH >OB06G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6446194:6451074:-1 gene:OB06G19210 transcript:OB06G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68570) TAIR;Acc:AT1G68570] MADHEETKKGKSRKKGGFRTMPFIFANEVAEKLAVLGFSTNMLMYLTKQLHMPLAKAATTLTNFGGVSAMTPLIGAFLADAFVGRFWTIAAASLVYQLDNVGWGLGLGVPTFCMAVSVVAFVAGYPLYRRLEPAGSPFTRLAQGGGAAVRKRRVPRAAVEAGTLYENDDMDAPISLYGKLVHTEQLSFFDRAAIVIDGDLKTDASDGKPPSPPVPDAWRLSTVHRVEELKSVLRMGPIWAAGILVITAASQQHTFALQQASTMDRRLAPGLSSFQIPAGSMTVFHMLAMLATLLAYDRYALHGVAEAFNSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTMLITAVHRWSAGADGSNWIPDNINHGRLEYLYWIVTLLQLLNLVYYVICAKCYTFKPLQLHEDDDDDHRKPQVELQEKLSCKKRLHH >OB06G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6463427:6469771:-1 gene:OB06G19220 transcript:OB06G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTNFEIEASPEASVAEVKKIIETTQGQNVYPADQQMLIHQGKILKDDTTLEGNKVAENSFLVIMLSKAKASSSGASTASKAPVSQAQPATPVVPVTPVARTPPPQAPAAAPEPTPPSTQPAVASPAPATAVTASSDADVYSQAASNLVSGSNLEETIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPPVARAPAAGQQANPQVPSQAQAPAQAQALAVPPPVQPSGGTTGPNANPLNLFPQGVPSAGANPGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGTGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEDQE >OB06G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6479441:6480744:-1 gene:OB06G19230 transcript:OB06G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPAPAGEVVLRGGRRVPVPVVRHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVPEVAVGVGVDSPEERKDEGEIVEEQLLYRVPVFDPALAEFCSPPPLEDAAAAGSCCNEDGAVENPTKMAVTTTTTTTPPPPLQFFPDSHANFGPTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPGGDDGGRVKVEADGDAGLTLPWCNDLDPETSSGEMLDIDFDCGSPQAATPDEKVASSGAADALQSSLALSLNYEAIIESWGSSPWTDGERPHVKLNDSWPHDYSVRARARHRHAHAHTSIIQFRRTNLLRRAAAQGVWMAAAGVFGHGEEQQALTPRLQGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRATAAIATALVA >OB06G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6479987:6480811:1 gene:OB06G19240 transcript:OB06G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISPDDVSGSRSLHHGSVSPASPSASTLTRPPSSPPGSMSPSVSMQNESSSLPSSRPRPRRASMSAANSLSSASVGPKLAWLSGKNCSGGGGVVVVVVVTAIFVGFSTAPSSLQHDPAAAASSRGGGEQNSARAGSKTGTRYSSCSSTISPSSFRSSGESTPTPTATSGTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCRTTGTGTRRPPRSTTSPAGAGTRRMPAPPWHPSRRPPPSPSCPSLPF >OB06G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6492089:6492256:1 gene:OB06G19250 transcript:OB06G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSWSCHYAHVPMYRRMILLMCHGLMADQQRDYPPRAKLLAKSRKRMTCHGLFLNH >OB06G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6495447:6496580:1 gene:OB06G19260 transcript:OB06G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMLERSGLGDRTYVPHSKLYLPPRSGLEEAREEAEQVVFAAVGDLLARTRIRPDAIDIVVTNCSGFCPTPSFADMVVNRFKLRGDVRAVHVSGMGCSAGLIAVEVARNLLQAAPRGAHALVVSTETTSFFHYNGTSRSMLLPTALFRMGGAAALLTTSSTRSTPSRSRYRLSHVVRTLTAADDRAYRCAAQEEDGEGNLGVNLSADLVAVAGQTLKANIATTGSRVLPTSEKIRFALSLAARRLLPGTGSRLYVPDFRTAFEHFCIHAGGRAVIDAVQTSLGLRDEDVEPSRMTLHRFGNTSSSSVWYELAYIEAKGRAREGDRVWMVGFGSGFKCNSVVWECVGPPPRGDAASGPWADSIHEYPVAISNGKNMA >OB06G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6496364:6496573:-1 gene:OB06G19270 transcript:OB06G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLLMATGYSWMESAHGPLAASPRGGGPTHSHTTLLHLNPDPNPTIHTRSPSRARPFASMYASSYHTE >OB06G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6502239:6502700:1 gene:OB06G19280 transcript:OB06G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVHPKRLKTTILMVITTATMALATATILPNLTVQPRLVSAGEHRLYLIGAPIGPTKLLLGTALSCAATAVVVYLLPVTEQLKFLLANTAALIRFRFLGKKATPPPPLPPYAPNFCKAFEHICIHPGGPAVISSVQRGLGLPERHAEASRT >OB06G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6505917:6507565:1 gene:OB06G19290 transcript:OB06G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQTILTQDLADEIILIDAVADKLKQADGDGALPRRRLIKISLIGAGNVGMAIAQTILTQDLADEIVLIDAVADKVRGEMLDLQHAAAFLPRVNNHVPH >OB06G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6520034:6520474:-1 gene:OB06G19300 transcript:OB06G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTSAAAPRYGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWVRNRRDGSVEALLSGDPAKVDEMVSRRLPVGPPAAAVTAVVPAPTEPVDPAEGFNRKPTA >OB06G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6523709:6529288:-1 gene:OB06G19310 transcript:OB06G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18500) TAIR;Acc:AT3G18500] MSYNILADNNARNHPDLYLDVPWDALRWDSRRRLIIHEIRHWDPDLVCLQEVDRFWDISTEMKNRGYESSFKGRTGDAKDGCATFWKSKGLRLLEEDSIDFSEYNLRNNVAQIFVFELNGAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANVLAEKWGGIPIVLAGDFNSTPDSAIYKFLSTMKLDISLHDRRQLSGLDSSEFALYDLCSLLKYQWTCEEVRNATGRSNVMVAKHPLNLCSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESVDDDSNQEDESDQEEETAQETTRAQHVYFSSDIDSSDEWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >OB06G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6532714:6538706:-1 gene:OB06G19320 transcript:OB06G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVFGVAEVSLAKRARIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEEKSVVVTVNGEIYNHEELKANLKSHNFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFITFPPGHLYSSKTGSLRRWYNPPWFSESIPSTPYNPLLLRQSFEKAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAAQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETFDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPATKEAYYYRTIFEKFFPKNAARLTVPGGPSVACSTAKAVEWDATWSKNLDPSGRAALGVHDAAYEDTLEKSPASAKPVSDNGFSPALGESIVKTVASATAV >OB06G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6541616:6545774:-1 gene:OB06G19330 transcript:OB06G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLCGGSDGDSVGPSPSDGSIEGMARNRRVILGLMYGYYEEALNALPLGRMPALARRLLHAGVCFGLADPVTNIIANTLRFVPDDESGDPATRPESDGARKRKRKRGARGARGQVLSKIVAGDGPYPPEAPTIAERSLEGLTTFLTSYYRYLPTWDGLRYLCLARADLLAAVRLIEADRCHRRRDGFHIRSHAVHAALRCAALSARLPNVDAFLTASAVLASPLTLIHTGNAPRHRRLSIQDVTRLSGLLEEPPNLNSSSNPMDAAATRCHHYDMKKKAAPTLRPSLWGLLLDRIHAAYLKAISRMPMRDFRSCYHHGLLRAGYCYGPFDPISNIIVNTIWYDTAFPAPEAYELDMICTPVLVRIESRSLVGLISLMLVCVSGLSEHEAMVYLLKSDLELPRAIQMAGHNGCDTSSWDATAYKAAADASYHPEVEAYVQFAMESLPMVRSAVTELLSTRTLSSSKIRNLCKLLSSSMNYPYKSLEPADELTEDALKMASSYKENYFSEQNFVRKKVEATLQSYEQAKEQYELRFICTVNKCVGRKSFRDSKHPYSHVNFWASAKHGANLTLFFAQVSNDDEDKQDDWSFCQPVLSLSTNARCCYCEFQGTRILHPIQSYCGGAMDFEKMALGTHTMDNEGIISHGKLIACGVGICGEDYIYFDPARDVKFIQAFNRSAWAAKLNWGDEIRRIKDSEKKKKLGEPDEVKKDHTANVSILMSW >OB06G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6561439:6561603:1 gene:OB06G19340 transcript:OB06G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRARREQEKIYSKLSCITMELHEFSWLYMFIYINCTNFACEDHHLLRAMDME >OB06G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6592466:6593902:1 gene:OB06G19350 transcript:OB06G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPRVLPPPPSPSARLTPPPPRGKAAQKGKRAVTGEDSKHRGGNGEDEKPTYLHVSARRGQATDNKSLAERELNLGMSSASSWPSDHCVNLGRAIINAKTSELEETKKKINRNRNMPEKPPPASEVAQQQQGSDRAAQIKDGYIANPETASDTLKSMRTPIPQPSEHREDLFEIEDTQLAICSGSKPRTATASDLPERYFQ >OB06G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6596275:6599323:-1 gene:OB06G19360 transcript:OB06G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARWQFCILSLAALYKDGKWQSAKLPYAPSTDTRSMDIPRFQSTKKGLRNEPKALKPVACKACDAEPPEGSVDFGFCYGNLALNGFCPIGNVKDQDGSTCLANALASAVEITQRISRIIRGEPLSEEGPVVDVNDLLMKYKILCEERGLKYDNFDVRSLINMLRVLEVDGVRAKGDDNLYKIHDWDYIDKDDFATLTSALADGYPLIAGFQTGKSVCFLQPGEIYMPPKQGS >OB06G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6606323:6608542:-1 gene:OB06G19370 transcript:OB06G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAPGMATADQFCLPRMAAAAASQLENWGDSGVVVGSPFTDDTSTDLDDSADKQRHHALMGCGGGGGDAGEQRGADSSAVSKERTGDQKMQRRLAQNREAARKSRMRKKAYIQQLESSRSKLMHLEQELQRARQQGIFIATGGSGDHSHSMGGNGSLAFDLEYARWLDEHQRHINDVRVALNAQMSDDELRVLVDGVMAHYDQVFRLKSFATKSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLADTLASGGPPRGPPRWAAPTTSPTTWARWPSPWPSSPRSRTSSARLIS >OB06G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6626074:6626901:1 gene:OB06G19380 transcript:OB06G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGRRLQVSKLAALRGPLGLPDDYLLRILPGRTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAASASNSAPQFTCSLPPSWTKSHAKMEEFNSTPYISPYSEKWAVIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLAEDMARMLLRHPCLFYVSNRYKIHTVVLREGYEGSELKEKDPVVAAKDRLGELMQEGLHEYNQRRRAANLEKKRRRGEIEIKEEEEEEEEEDDEAAARLDSAEKREERRKFYKVLFNDDNH >OB06G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6628487:6629309:-1 gene:OB06G19390 transcript:OB06G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPCHINNSRIEDTIPKKAHRLHILCITFESQISGMSAEWSVGLFGCFGDCGTSCCMHGTLYVLLGTIGCQCLYSCTKRSSMRAQYNLQQSPCFDCCVHFFCESCALCQEYRELEKRGFNMAKGWEGSNKMVGCVQGMKPPGKQRMCF >OB06G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6631049:6631535:-1 gene:OB06G19400 transcript:OB06G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRSSASGVVLLSCLVVALLTESFPAAEAAGTTYYVGDAGGWGRNLDWWLAGKTFYAGDVLVFKYNREYHDVAVVGGKGYRRCKVPRSTVVLRSGYDQVTLRRGNNYFICGMPAHCDAGMKLAVKAF >OB06G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6637474:6637896:-1 gene:OB06G19410 transcript:OB06G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST1 protein homolog 5 [Source:Projected from Arabidopsis thaliana (AT3G02885) TAIR;Acc:AT3G02885] MASSKIHVLLLAVLLLIAIAFPMEVAGHGRGGGGGGVAGGGNLKPWECSSKCAGRCSNTQYKKACLTFCNKCCAACLCVPPGTYGNKGACPCYNNWKTKEGGPKCP >OB06G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6643321:6643629:1 gene:OB06G19420 transcript:OB06G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDRYDEKNIVSRGGHGTVYKGSLKDGQPIAIKRCVSMADEQHKKEFGKEIDVHPLPDQPQEHRQAPRLLPRSGPPMALCFTSSMSTMAPATTSHCVPDP >OB06G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6646985:6651346:1 gene:OB06G19430 transcript:OB06G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25580) TAIR;Acc:AT1G25580] MAGTSWIIDSRRIATKIKNASVSVDPSKQKWVSNPTKACPKCNHIIDNSDVVHQWPGLPRGVKFDPTDQELLWHLLAKHGKVGAKPHPFIEEFIPTVEEDDGICYTHPQKLPGVKRDGSVSHFFHRTFKAYNTGTRKRRKINTDDLGDVRWHKTGKTKPVVVDGKHLGCKKIMVLYISTVKGGKPEKTNWVMHQYHLGTGEDEVEGQYVVSKLFYQQQFKPGEKNAQDITSAYALESIVTEDLPYIPPLPLEEHVYTNQEVPEKSETITDQGKETSEINNDDNAAEDVVHMSTEKPEDGDNPSSQDPKWWEGESQFLFDSQQLADNLAICDEFLQSQSQTSCGGDEPDKIKPRLAVYAQLPKEDLKKDLEECQKLDPSDSANVDLDNASEFRLSQIEFSQDSFTTAWPGGKLID >OB06G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6658487:6669136:1 gene:OB06G19440 transcript:OB06G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MALLLSGSAIVMKEGSIKSLLVRLPLQFNFLKCCEIVVEDLELVLAASESSEVPSVDTECSVSGSNGDTEKSVQKKRNESDGNQCSTSASRDVDEGVKRIANAVTCFLTSFNIKLKNAYVVFDPRNILDNKVSEFNRSLVFRIKETEFGTNLSTDGLIKLNNFVTFQEAVIEFLKMDDVEAHALLQDDLDKGPADFSSGHSTTTVLTGPIGGFSGKLNLSIPWSNGCLNFKKLDADISVNSLQLQLQASSIQWLMDVWDSVQRKPVHEQNYDSNTADISRSNLCSYVSSSLKSGSDSVLTSREHLTEDTISQARQDKTQESSLTIPYVIPNWIPELVIHEDQGDPDSDCDESIEQFFECFEELRNSQTNMGNSGIWDWTCSVFNAITFASTLASGSDQIPKEPPIEKTLQASIAEISVVILFSDEMDAGNLSVPISLFDDMRNSEMFSSCLSSAHFEQSMISPAPASSLSMHHVEAKCQNIHLSLETYPENLSLKASIAAIKLDEYYGCKNNDSDHPYLGAAFLNNNFCREVQAALPQSTFANQDYYEETSGRRANNSNDLTKVELLKTFGDCKFHYDFSSTGQDGNRVSSTSLSVSLAPFVFWVHFHTIHKLLNFISKIGSDVLHGEDNIHRHGDGKIINLAAKANVSLGGSQKVQIALSPARIIFCFPSESWDLSCPSMLDKFLVIDHTSSLKSGEDSSPHRNEMPNYVNATTPSTLVHLATGNFDIYLVRPVNDALDARTCYLSRQTFSSLKIFSVTGSNHDTGIMILWKKYPIKDPEMVNKTWSLPDLHEQKITQNKNAKWVGVSSSTTLQDLEESSSDIRRELLQSTEFLLHIQLSCVSVHISKKDCGLLNNFLKNILDGLSDGAIGSSENSRDNCVPIHDIASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNYLKLSISKFSLFSFSNVGGVNDTGFLSVNHGEGELWGSITGADDKNYEENKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIRNPKLQKNYTSINIRSATAVAPGGRMDWISVICLLFSSASDGTEQSSNSSTMNNSQGGEPFSSLFFLELADVAVSYEPYFRSSALSAEAPDCKYFSGLLAASSFKLHSKSASNSKATDFDIELRDLGVLICRSSSFRNVTCGYGTDYLRQMGYAKIVQNTFIEAVLRIDTSIWKLELSDSQFDIGTCHDTTHGLIQLCSQLQQIYAPDMRDALDHLQSRWNNVQQANKQNIPSDVSENSESSIDNLTDSEECKSDGLLDDIIENAFYTDQDSPTYNFWDRNCHSSSSGSEMDEEFDLSTAIPEANEANASDDQIIESYYMPPSSSSALYNEYQSNYAPRSIECDDGEWYNNFPTIVENHVQRNKPQEEQVFQQEAKAAISILNSDESCNLKGKVLIHDIDVKWRMYGGNDWGLAQKDMSSRPCSNGRDKRSSLEFIMSGLNIQFNMYPDGDISVSKLSISAKDINICDQSTHAPWKMVLGCYNSKNYPRESCSSAFILELESVRPDPQAPLEDYRLHLEILPLQLHLDQGQLNFFISFFKNDSCNNPHLPSENENIDAQSTIYRSDTISDEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNILPWKGINLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFLRSVSIEAVGLGVHLVAGAHDMLIKTESALTAIPPPLTSREANRTKENIRANQPESTQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPVSASVRAVHYTLLGIRNSLDPERKKESMYKYQGPPQP >OB06G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6670101:6673584:1 gene:OB06G19450 transcript:OB06G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGADASAERSRSHRVIACGGTKRGVTTALLTRKSQVTKPIGHHTMTFLQVVQLLPQACCLYMSEPQTKMEVQSGSL >OB06G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6673320:6673583:-1 gene:OB06G19460 transcript:OB06G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding FALCLRPSSCINTLITFIQITSGIQVHLSYYLLYTNDQWSDTHLINDHYKLRKFHIIHAYGLAGLANFHKTNWAASFIFHHTLISRG >OB06G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6690203:6695066:1 gene:OB06G19470 transcript:OB06G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGNPPRPYFLDVDTGSDLTWIQCDAPCTSCAKGPHPLYKPAKQNIVHPRDSYCQELQGNQNYCDTCKQCDYEIAYADRSSSMGVLARDNMQLVTADGESENLDLVFGCGYDQRGNLLSSPANTDGIIGLSNAAMSLPAQLASRGIISNVFGHCIAADPSNGGYMFLGDDYVPRWGMTWVPIRNGPENLYSTEVQKVNYGEQQLNLRGKAGKLTQVIFDSGSSYTYLPHEEYTNLIGSLIRLSPSLLQDETDRALPFCMKPDFPVRSLDDVKHLFKPLSLEFKKRWFLLPRTFTIPPEDYLIISDKNNICLGVLDGREIGHDSEIVIGDVSLRGKLVVYNNDEKHVGWVQSDCTKPQKQSGFPFLLKRVLQNQLF >OB06G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6693485:6696262:-1 gene:OB06G19480 transcript:OB06G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFIVAFCTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFVLIAVGAAVSLGINIGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYKMMNYPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIHRVGIEGAKVLRELGDKVKTMTKLSSSDILAEVHLAAERLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTSNVGKENKNEVREPTIVEQTSGHHSKSFAVNSFLSRYDSESTVDSFKLLSWPARKSFHPNLPLEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSNNANFKEPVQEPVAVSNSDDGFLHKICKFVGIKS >OB06G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6700843:6701055:-1 gene:OB06G19490 transcript:OB06G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPFYLLFITLWLPYIRQSRVHGRWCIFFFSKRNCRRLILTRYYALCSGVHFVSLDIKTHLTKLACHES >OB06G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6717492:6720136:1 gene:OB06G19500 transcript:OB06G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESAVPGPDEPPPAPPLPWTARRRARAAWRKVASLVPRKARSVVLLNLVTLVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLSKALSDVQVFIKGVELGIWVAIGYLAQAIGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWFGAFLSLTGVGILELSGSPPCVGDLLNLLSAFSFAIHMLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCIIQNADHWTLQLQSPMMLFGTIIQFPWMAILYAGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGITGFVGALFIIAGSFMVQILGSFPDVSGGDSYHMST >OB06G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6721079:6724692:-1 gene:OB06G19510 transcript:OB06G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYEGGYAVETVFDGSKLGIEPHDVVVTPAGELLVLDSMNSNIYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRMREAKMNHPKGFTVDDRGNVYVADAMNMAIRKISDTGVTTIAGGKSTRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADEPHTHPRASIASIPPYQKSLKPSLRPPLIPTEDQVGKQEAEEGFFTSVGKLIGGAKSSVVDIFSRKKRPTHQYHHHLQQQRANPWPVQESYAIPHDETPPPLDMRAPTPRKNYAFMTKEPEKVHHVRHGRPYFNGWDVQHAPQQQPEQQMYQQQHLQQHRQYSAGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYGQYGMRYRNNYIGYNNNNGNNNNNYY >OB06G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6741238:6741887:1 gene:OB06G19520 transcript:OB06G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKRRQAKHRIELDLELEPIHKSQGGVATQPVERRLAYDSSPCLNIRCDMGLKSLAPSKQPLKFLNLTFFFAGKVERATIQFH >OB06G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6744343:6749737:-1 gene:OB06G19530 transcript:OB06G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MD59] MDEESGRGAARAARSRSWREETVLAYQSLGVVYGELAAAPLYVYRSAFAGGDIQHSAGNEEIYGVLSLVFDADPGPARQVRARRAPRRRRRRGRHLRALLAAGAPRQVQPDAQPGGRRRGADVLLPPRLRPAGDAHPHRVLTPAVSVFSAVSGLELSMAKNQHQYILLPITCVILVCLFALQHYGTHRVGFLFAPIVCLWLLCISIIGVYNIIHWNPHVYQALSPYYMYKFLQKTQTGGWMSLGGILLCVTGSEAMYADLGHFTQYSIKMAFTLLVYPALVLAYMGQAAYISRHHNFEEGSHIGFYVSVPEKIRWPVLGIAILAAVVGSQAIITATFSIIKQCSSLNCFPRVKIVHTSSTVHGQIYIPEINWMLMILCLAVTIGFRDTKHLMNAQGLAVITVMLVTTCLMSLVILLCWNKSIVYALSFLLFFGAIEVLYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSISWLLNIGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVSAPHVRPEERFLVGRIGPKKYRLYRVVIRYGYRDVQKDDMEFEKELVGSIAEFIRCAHSNQNGFPDGTSHSHEGLSSISKGLPLQEDGEFDRSDSSGSSAHKEVNPNATAPKPKRVRFALPKDAKIDREVRDELRELMEAREAGMSFIMGRSHMKAKSGSGLVKQLVINFGYEFLRRNSRGPAFTANLPHVSTVEVGMICLV >OB06G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6761321:6761572:-1 gene:OB06G19540 transcript:OB06G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEQRRLLPKRLNVTMDDAKNTITISLAFRSFIAKQWFIPLLSLFPIFSPPTLSLPHPPPSLTSACPMGAMAAAPPPPTPPA >OB06G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6771521:6778062:1 gene:OB06G19550 transcript:OB06G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATAARRALLSRCLLSSRPAPAAASSVPSALRRADGARGLLPAILQRFSTAAAAEEPISPPFQVQDKQLLIGGKFVDSASGKTFSTLDPRTGEVIAHVSEGDAEDINRAVSAARKAFDEGPWPRMTAYERSRILLRFADLIEKHNDELAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYISKLLHEAGLPDGVINVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKIVLELAARSNLKSVTLELGGKSPFIIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARAMKRVVGDPFKNGVEQGPQIDDDQFNKILRYIKYGVESGANLVTGGDRLGDKGYYIQPTIFSDVKDNMKIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPLKNAAWL >OB06G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6780315:6780810:1 gene:OB06G19560 transcript:OB06G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLTRADLGPPFDEPPAGPLWDFVCETNASTQSSKGIVVNSFVELEPLCVAAWSRLSSIKLWPVGPLCLASATARVDVPGCFDSRLAMDRPVLYVAFGSQAELSRIQLEEIAVGLDRSGLDFLWVVRSKWFNGEDRFDDRFGEKGKVVQGFIDQVGVLSHKSI >OB06G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6782081:6782287:-1 gene:OB06G19570 transcript:OB06G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESSKAACHGHWEEGETLEEVYLLLRDAGGARRLHELAGDGEVCDNPNGGVKNWREKSSGAFFPS >OB06G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6796747:6796950:1 gene:OB06G19580 transcript:OB06G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSETIALFFTCLINCLEHVKKTRTYFITLYDPSLKPFTFTQAFPSFSKACTAHHSSYSYYSYIEQAS >OB06G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6801630:6801839:-1 gene:OB06G19590 transcript:OB06G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSVISIFLLVAGVALMLVVHIIVVLWALRRGLGGRGSRGEEEGNGGGGGGGGGKGLSADELAAL >OB06G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6807429:6808691:-1 gene:OB06G19600 transcript:OB06G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYIQIVVMKFHEVKLPSVAQHSLRYVLPAAAAATVACVLVLAAVGMPGRVPPLRLLMPPEATNTTVCYVFKGEWVPDPAARELPYTSESCPVIHGHYDCMRYGRPDVGYVGWRWRPDGGCELQRLDAAWFLAAMRGRSVAFVGDSLARNQMQSLVCLLSRAERPAPWTNGSYVYRFERHGFTVAAFWSPFLVRAVETDPDGPTGNGAGLWSLHLDEPDADWAAHVGTFDYVVVSGGSWFYRPSMFYDRGGRLVGCNNCQVPNVTDLTLRYSLRMAFRSALRAAAAAAPDRRATRTVILRTISPSHYENGTWNEHGDCLRTRPARRGEWEINALEKDMRRIQVEELAAAAAAEAKRGEAAARMLLMDATEAMAQRPDAHPSKYRLWQPDKFKVSRDCVHWCMPGAMDACNDMLSHMLIE >OB06G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6811128:6814693:-1 gene:OB06G19610 transcript:OB06G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIAWCPNHSQTDSQFAPNSMALLGNLSSLSVKKQYCNTRCLIPTISLLLLVTVLAISNAYFPFPMSKPRAPPTWLSSSSSFSDVPDADGEACDIFRGKWVPNPHAPYYTNNSCTIHEHYDCMKYGKPDLGFVRWRWRPDGCDLPRLDPASFLSAMRGKTIAFIGDSLARNHMNSLICLLTRVAEPTVSWPSSEHMVYHYGGGNGGGYNFTVVSFWAPFLVRNELVDPDGPAHTGLWNLYLDEPDAVWAAHVGELDYAVVSASSWATRAALRGVGGGAGEGRFRGTAVLRTVSPSQYEGGEWNKDGNCLRTRPYRRGEKRLQGIEFDFHTLQVEEFEVAETAASGGGGAVRMMLMDTTEAMILRADAHPSKYRGWTRRKGWMKEYFTIANDCVHWCLPGAIDAWNDMLAHMLLTSPS >OB06G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6816317:6820877:-1 gene:OB06G19620 transcript:OB06G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLVVRRPRAAASLPALAVIAVLLLLLTAGRPSFLERYEPSIAPARTTTTTLKPSGSSSSSSSWKTVVRVPRDCDIFRGDWVPSGGGGDDDGAAPYYTNVTCGKIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLELIRGRSLAFVGDSLARNHMQSLMCLLSKVEYPKDVSKTTNPEFRTMHYESHNFTVAVFWSPYLVTANQSGRAGGLWELYLDEPDAAWVTGVAGFDYVVVSAANWKYLSKKNLTRVEKKPGDSHFWSGLMMVKNTFLSCGSLKVHNGTYVRFWEDQWNGNTPFAARYPTLYNLVINKNESVAGVMSKRPLKVSFRRAIVGHNLKAWLEVVSKIIPIKLTEQNDTFWWEAQKNGCFSVNSMYKAIMYREVVPKKDMIWKLRIPLKIKIFMWYLKSGVIFRGTFWARQWSLLLKEEEGQKMREGCLMLEKRVSGFFAMKGWNLRKRLGD >OB06G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6832696:6834448:-1 gene:OB06G19630 transcript:OB06G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHQTESTPSYHTGSARAPGNQVPTPVPTFLGGGSTIPGNRGQAAWWPGSLPQATFSADTSTNCGMDYYPPGGFMNFLQTGQPFIPHFSSPWPPMGNESQLAPPKNGSGKLSIAERFFVMNPSGMQFWKNLENQIKEVWMMKVKQLTSQWHAILEELGKPNKRSLDDEGEAIDISGVGEKERPIGTKKAKKQHNGKGRVKDDDVSLDEDLKKFINIEAATKKRQKDFLEAQESITDKKFETTRIRRESALLESYQKLLCMDTREMTEDIRGEHVPVLKMLREKLAGNSN >OB06G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6835506:6835826:-1 gene:OB06G19640 transcript:OB06G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLGTRTAAAAGRALLIQEEEEEVEEEEEPGGCCSVAAMLVGSYPPRRKEGPRAVARRRRRRTATTASARSGTALALSIVGSAQRCKSTASGVSNGDCVLRSRR >OB06G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6836814:6837011:1 gene:OB06G19650 transcript:OB06G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMDTTPAMVLRADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDLWNEMLLQMLLRHQSPEFSS >OB06G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6842492:6843565:1 gene:OB06G19660 transcript:OB06G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGRPSMEFLQWRWRPSDGGEGCEPEPLGRFDAERFLRIVRGRSMLFVGDSLASSHVTSLMCVLSQVEAPARSRDADGFEHWRFPAHGFAVAYFWTPFQVRWRLTRGPPEAVGPPREGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGADALRERRVVRRRGVHRDAPARRERRRGRGGDGGDGGGVSPGAGRGVRRGGGRGEDQERRRAAEADGRDADDAAPAGRSPRPVRARRRRARRLRHRLPALVLARSDRRVERAAAPHPQRHASLVADATLLQ >OB06G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6847065:6849853:-1 gene:OB06G19670 transcript:OB06G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:J3MD73] MGFIGDTIESVRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKEPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >OB06G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6852666:6853705:1 gene:OB06G19680 transcript:OB06G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIISKIDEMGARIDELEQSINDLKVEMGTESITPAKPKDEEAKPADSSAA >OB06G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6854061:6867850:-1 gene:OB06G19690 transcript:OB06G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAAAGGAGDGDQRWLVECLTATLDTARDVRAYAEESLRQASLLPGYGAALTKVTTNTEIPFGLRQLAAVLLKQFIKQHWQEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLIADQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLFSIISSSHLYENSLCAKALAIVHSCISMLGSMSGVYKRETICLMNSMLDPLMEQFSIILNSPMQSHNPDGWSMQMEVLKCLLQLVQNFPKLPEAKISAVLVPLWQTFVSSFKVYQLSMIQFSEDVDSVGYDSDGSERSLESFGIQLFELWTSLVGNSRLAKVIAGNIKELAYYTIAYQQITEEQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIEAVLEASQMRFRESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTETVGTEVHQYPFLHARAFSILSKFSSVISKGICEQYLCSAAHAIASDVPPPVKVGACRALAQLLPESNQSLDVPNIMGILSCLVDLLGKASDETLHLVLETIQSAIKSCGEQSTLIEPVISPIILDIWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKSKIQQEGLVAGSLDLLTMILKNAPSAVVKAVFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGHTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSELSPHFPELTAAIVRRMQSSSITGLKSSLVVIIARLVHLSAPNVDQFINLLLAIPAQGYNNSFAYIMSEWSQIQGEIQGAYQIKVTTTALALLISTRHPELSRIEVQGNIIKTSAGITTRSKARVAPDQWTKIPLPAKIFSLLADTLAEIQEQVVGDEDNDYEEDSDWEEIQNGDPSIAHDMIYSASVPSNANPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFIKLWESDRPLFEYLCQALTDSQRTAVDKILRK >OB06G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6868292:6870564:1 gene:OB06G19700 transcript:OB06G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:Projected from Arabidopsis thaliana (AT5G08180) TAIR;Acc:AT5G08180] MGSDTEAEKKKAPVALAPIAKPLAGKKLCKRTLKLVRRASDAKCLKRGVKEVVKSIRRGQKGLCVIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVLTKPAKGELEEEIKEKLKTDYDQVLAEVAEVTSSMF >OB06G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6874503:6876219:1 gene:OB06G19710 transcript:OB06G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFVFFFLLLVFVSSSLSTALLSTNGFSTEVQSPIEIKNLLEDPHGVLKSWDKNSVDPCSWAMITCSPDSLVTSLEAPGQHLSGRLAPSIGDLTNLETVFLQNNNITGPIPAQIGKLANLRTLDLSSNKLCGEIPSSVGHLGRLHYLRLNNNTLSGPIPCESANLPHLFFLDLSYNNLSGPIPGSLARRYNLVGNPLICDANREENCYGTAPMPMPMFFF >OB06G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6880555:6881293:-1 gene:OB06G19720 transcript:OB06G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:D4N3V5] MENSEFVCSCKFSLQTSKHRQHHRYTVPESRHRFAQTKPSENSEAIAAEGQATEDKIMDEISPGPLLSPNMPMKNIRQEVDAMLLT >OB06G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6881131:6881370:1 gene:OB06G19730 transcript:OB06G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALPQQQLLLNFLMVWSGQICASILGLYIGGAACAWKFAVKICNYIQILNSPFCLITNLWFSIGWFDLQTVRPGSQL >OB06G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6887414:6890182:1 gene:OB06G19740 transcript:OB06G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFFFLLLLLLLLLSSPSAALLSAKGVNTEVQALIVIKNLLRDPHGVLKSWDQNSVDPCSWAMITCSPESLVTGLEAPSQHLSGLLAPSIGNLTNLETVLLQNNNITGPIPAEIGRLASLKTLDLSSNQFYGEIPNSVGHLESLQYLRLNNNTLSGPFPSASANLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANREQDCYGTAPMPISYSLNGSQAGALPPARTKGRKFAVAFGSTAGVMGFLLLAAGFLFWWRHRRNRQILFDVDDQHLENVNLGNVKRFHFRELQAATDSFSSKNILGKGGFGNVYRGQLPDGTRVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKGTKNSIFFFSLSCERKLQFIFHPSVQRRTRFRQRPHRDRLQWKSSIPQLESHHVTSHHSAQSSFLLAKSEWGRICSLLDFFFVHIIHQTQKERFRIKRRKLSGRLNCNWSMMIDRARNPVLSIVFHSLQKED >OB06G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6892975:6893400:1 gene:OB06G19750 transcript:OB06G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGEEDARGEEAGGAGGQGAPARLRPGGAGGDGAGGAALHPVPPRPPPPHVRRRPHARGRRPCRPLGGLSLALAARPQVLLVFLLAPPAAAAAAGLRRLLLRPLLLRPHRRLLPARPGRRALRSQMTPRPPCHTGPRRRR >OB06G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6897862:6900317:-1 gene:OB06G19760 transcript:OB06G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68850) TAIR;Acc:AT1G68850] MAVATGVLCSRAFALCMACVLLAVPLLVAQDHSNLSLEHYSKTCPNYEHVVRTEMECAVRADPRNAALMLRLHFHDCFVQGCDGSVLLDDTATLIGEKKAEQNVNSLKGFELADKIKQKLEAECPGTVSCADMLAIAARDAVVLVGGPYWDVPVGRLDSKKASLELANRDIPTAQQGLVTLISKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDYEMTTKYSPASQPYLSKLKDVCPQDGGDDNISAMDSHTAAAFDNAYFETLVNGEGLLNSDQEMWSSVLGYSTADTVSKYWADSAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >OB06G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6903839:6904856:1 gene:OB06G19770 transcript:OB06G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGEVDEYFDLVGYNSYYDSRQDQYEMHEQQEQQQEIQKEFVEKEGSECVVPSQVTMVSEQQHSAYGVVGADQAASMTAGVSAYTDSISNSISFSSMEVGIVPDSAAIDMPPSFSVHLTPAGAIGLFPAPGPSFQVPLGFSAMDREARVLRYREKKKARRFEKTIRYATRKAYADARPRIKGRFAKRSDVEVEVEQMFFSSSAAAAALSDGGSCGTVPWF >OB06G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6907600:6908062:-1 gene:OB06G19780 transcript:OB06G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIKIAAAQERRDQGSKPSSISSTQMSSNFSFLEMALAKIAEELHTYGLILANLAPLPVAGRCLGAPLSHAWPATLRKPKPMPPRPPQQPAADPSHHRLVLPWPGRGRRGGGLVLPWPG >OB06G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6910574:6911051:1 gene:OB06G19790 transcript:OB06G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIPANARFEDVIIAIFSVEATSLFSILFELSTADYFVDIDSRDPSCREAGVCTGSAPNNTIFVGYMRVNTT >OB06G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6915334:6923578:1 gene:OB06G19800 transcript:OB06G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G23380) TAIR;Acc:AT2G23380] MAGDSRNEPMQSEEGSNESSYVLCVIDALKKKITSDRFLYIKKRIEDNSIKLSPIIQHSHSLSKNRQTSTSNSTDLVLNLLTKRQEDALCAVNSRESSPDEDEGGNSQDECSSTVIVGGNLSTKNAIRPIRLPEVSTLPPYTTWIFLDRNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVDDEEEKKEFKDSEDRIIRMTIQECGMSDAVLETLARDIERAPDDIKARYEILEGEKPEGSFKKVSELNVKMEDMYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQLPLCSSDDGTPCGIHCYKVASKPDVVMMMLVDIEEPTHSPENARNQIGSNKKKLGSSGQKAKSQQSESSSTARVSSESSESEVQLLSNKSPQHSPGLSKNKIGTKGGIKKSTNRRIAERILMSVKKGQQEMAADSNSIINGCLWPRDMKLRSDTRSGIKDSITSSQYTSPSTRSSRKKGVLQMENSSSFVDAQSDSMEDTNNEHSATDGDSSRIEEFVDENVRSQEAHARSWKLIEQGLLLKGLEIFGRNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYIKGNESRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEAAGAKDDAQPSTGRAKKLAH >OB06G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6919921:6923474:-1 gene:OB06G19810 transcript:OB06G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVACYHDDLGVAVWVRGMVGKLQLVAHSVRVKNVLHPSIKRAGTICETRTLLPA >OB06G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6925495:6929776:1 gene:OB06G19820 transcript:OB06G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDTTDFEKSEASEHTQTLSFHGAMFLPEAQIASSANALTTMANPFPIHPGLWNPPAQSLGLGETSFSSLLGMLSAGVPPSAATSGFLDSATGFSSYNGGNLGAMINHSFPSIQHLGDLGNGVEIEAIASEGCKNVSQTSEKQQGDAEMTHDVDSPSKELSKPECTGGTVHDEGTRVSCSKKRKRSGQDHGVKHVEGGEEPQKNENDEKDEPKRSSVASGKSSGKQAKDNAGSPKEEYIHVRARRGQATNSHSLAERVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIDRILSKDIFQSQGAIASSVFGFLPGIVYPQLHQPKYMQVKMPSIVNSTDAFRRVTHAPLGTNSALKETKHQMPNNLNGEFQDVIEIPFTHDHHGLSDRP >OB06G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6929671:6935355:-1 gene:OB06G19830 transcript:OB06G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MD89] MAVRSGAQARKASLVESIQAAISELMPPEAAADAEQENFMDVGSHLYHAPLATMDLQRAQNSTIHAMEYLAANVDLAKDLIMRCSTVARKLKNDDLLSMTEDLDSVIKNIGHELSRIPASTFGSTRFAETRADANLQIAGNRPRYCDQNSCDGYSEADMSIITANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTFDRKAIEEYFEKFTDGSEPVICPVTKMSMQSKTLRSNVPLKSTIAEWIMRNESTRVRIARTALSMASTEAMVLEAIQELKLLAKLRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLVGDETGKEIIANTRAITRTIKLLSSSSPDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTMIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDISLTVIEFMGSQTEALGIGATRLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVSATLLARLPYQNITLNMALLEQGAVATLLAKIEEMQRGEMARASRHARPYMEGLVGALVRMTTTLYDPDVLLVAMDHNFTAVLTDLLVRSAGSDEVQRLAAVGLENLSHQSVNLSQPLSEERRPKKKKNILXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRRCFWAVERFLAHGGERCVRDVTADRALPNALVSAFHKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >OB06G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6948885:6949650:-1 gene:OB06G19840 transcript:OB06G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVHGKKRAWVADLERGLAGGAAARAEFALWVRHSVHCVPVIVKELHPHAYWPQDDGVPDALVVATDNADGESVATTPAYWPPPLLKATAHHPRPAAALAFPPPAIRASPSPRLCHHPRPATAPAHWPLPRSRCT >OB06G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6953711:6956895:1 gene:OB06G19850 transcript:OB06G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative Na+ dependent neutral amino acid transporter [Source:UniProtKB/TrEMBL;Acc:D4N3W2] MGGGVTERLPEGSEPLLPAKREGEDEFSGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRAVGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGTHWWNGRFFVLLVTTIVVFSPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFPSSGPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPNSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSIFQKKSSPSIA >OB06G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6958063:6958347:-1 gene:OB06G19860 transcript:OB06G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCRRGRQCRLLTRGKPTAAQHVAPWHLDQTFEKFTATHGPPHRGGTHRPAPALPLLTELAVASSPVAPTHTFHRLLAMSAYRSLPLRIVFRV >OB06G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6959293:6969818:1 gene:OB06G19870 transcript:OB06G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G38840) TAIR;Acc:AT5G38840] MNGLDSPLGTRQRRLGFAVAASFFPSPSPPPTSSSHPPSLSSLRPPLPPHRRIPLHPGPPMDPSMPPPPPRNPNPSSMPPPPPPKFSPPPEVKPAPTPSMPPPPPPPEEKGAGASASSSMPPPPPPPPAPQPEAEAEGATGPDASAEGSASASDSSADEAGSSGRDSGDAEMADAAQRPQPRPRAPYAIPDWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRSDGEVFLYDLGSTHGSFINKTQVKKKTYVEIHVGDVIRFGQSSRLYIFQGPTELMPPEKDMQKLRDARIKQDMLDREASLLRAKNQAALAEGISWGMSEDAVEDSAEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLMEELENLEETLNDSIRESLGARTGNTIRGSHKASLEEEDDILSDDDDFYDRTKKKSSSHKSSEQQSVETADSLLEKKDSITSNIESKKKLFEEEKNKLAKSDNADVGDDLDAYMSGLSSQLVHDNVAKIQKELSDLETELDRVIYLLKIADPMGEAARKRDLKPRETKSPASNDSPRLESEKKNKVAQNKTSTEEKLKESCAEKTQVDKPAEEEMHISTNQENGSKPAFSMPKPQWLGDKRTVEHEENCINEENGNEEDIDNFVDYKDRKTVLSGSASGKDLEEAAPGLILRKRKTSDQSVASEVESSSVESEASVADAVALLLKHKRGLQTSEDMEDENEPQTSKRKSKKSKQKRVLGPARPDFLDKGPDYESWVPPEGQTGDGRTSLNDRLGY >OB06G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6991833:6996437:-1 gene:OB06G19880 transcript:OB06G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMKVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYDELVAALPTDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRVLDGVHYEVQATDSSEMGYDVIRGRAQ >OB06G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:6996968:6997204:-1 gene:OB06G19890 transcript:OB06G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTTILPSRVSQQHDASAATESFPDLTNPHDPFASLETEQIPSERLTNKATLRRDGRFLFFLRCFLPTGQTMTIRH >OB06G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7028548:7029013:1 gene:OB06G19900 transcript:OB06G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSTLRSRDILIQSQNSGVFVKGDQVSGGRVVKEDKHRFTLSELITTASNSITDHDGDDHIILSKNGAECESAEAKIATRSEDDIFEDEEEEQSESDGAEYIDTDNETDIQSDEDDS >OB06G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7050835:7051086:-1 gene:OB06G19910 transcript:OB06G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSLLRKLFLQAPKTSLYRWGSEPNEVDCQRRGNISEGGLAATCENTLWQAAHPMSYLQGSFSHEAHFSPTCGECYFVDKS >OB06G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7062370:7063046:-1 gene:OB06G19920 transcript:OB06G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYRLPFARAVLLSAAGPHFCIGLDLGSLGDPVTTGPSRADLPATEGLRRTILEMQAALTAIEQCRKLVVTAGHDACVGGGVEVVAACDIQCCSKDAMFVLKKVDMAIIADLGALQQLPRIVGYGNTADIALTSRMINAMEAKEMGLVNRVFDSKQVLCWIQIGQKWIGKWIEPIRKKWIGLVWSEVGNGLDSNIWLVDWSGSGYNNSLIWFGLVTGWISNH >OB06G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7078228:7095247:-1 gene:OB06G19930 transcript:OB06G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYASRAAALAVPPPPPSPAPAMLPFGVSQGYSYNFMPYSHLHPCEMCGVSLSNSSSRKLSSVSSCCPLQLKSGVFFTTGLTGNPNFVSLQAAYGHGTCLRANNIRNSRSFLTVCNKKLSFLSRNKSSLGNPNMRREDGSVAHSLFHRSEKNKSTLAACSTIADEALTSTSNQSKSITGTKKGTTRRKSSTNRKEVSEEMKEEKVSTKKQRKSVKTSTVAKSRKVDANQEEKRSDISKLKKGADSSKEKKASNRSKKSSKAKESAAAKATVKAEICTKTSVDSSGSEQKPLFPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPSAAWTHLKSIRMALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSNVTVARVVFHEITEDAVKKALMSPRYIEMNLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFNPQEYWTVDSDFKTQRSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVIGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGISLSSEEATGLITYMRTDGFHISDGAAQDILSLVKQRYGEEYASESIRKFFKKVKNAQEAHEAIRPTSIRRLPSSLVGKLDDDSLKLYSLIWKRTMACQMEASRTEMIQVDIGNSEGDMIFHSSASRLEFKGYQAVYDDTEASPSSHSSEVDAVHPDNIEALSKLEVKDLVSPVSVHLAQHFTKPPLRYSESALIKKLEELGIGRPSTYASIMKVLQDRNYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDTRKVERMLEEKFSSILFADLDKDNRICPSCSQGTLRFKVSRYGEGYFIGCDGHPKCKYIARTLTDEDDETEASEETHKSSKPRLLGVLPNSGDKVFLKQGPYGYYVQVGEDRKGLSPKRAPLSEVKDIDSITLEDAIEILQYPITLGKHPDDDHPVLIAHSKAGFSIRHRRTLAPLPKSADPKKITLERAVKLLKGKNVTKFGRPKGRSRKAEEPLEWH >OB06G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7105630:7106649:1 gene:OB06G19940 transcript:OB06G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINGKPRESHVARREARRENRVPTSSSRAPAARAPARPPPATSSPLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQLPPWIRRRARDIGELDLALVVVLVLALGHQTEKRVEHGGGVHSGRREHDTRDAELVRERL >OB06G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7113110:7113400:-1 gene:OB06G19950 transcript:OB06G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHPPHVEALSTALQRTRALFVWAAGLHTALPEGFEERASAGGGRGTVVRRWAPQVAALWHRAVGWFIKHCGQNSELEAVAAGVTMLTWPMVGE >OB06G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7113386:7114422:1 gene:OB06G19960 transcript:OB06G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRHASEADLHDGSVGELVKPGAEVCRCHGGLAAAVDGRVGLRCQRPDSSDAPGAHVSERPLEVPPLEMPEGVRHEQRALEVQEEVAHDIVGDLVAFLVRSVHPKHLPPRIRQRSRDIGEVDWALVVVLVLALRHHAEQRVHQGGAVHARRREHDAGDAEVCREQLRPPAKEVGKHDDDAVGAVCPERRREPPGALDAGGGRRGXXXXXXXGREQQGERGNGGAEEGREERGRGRRRDDGEAEAATGGEEAHQVEDRDQVALRRERDDEDV >OB06G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7130837:7132157:-1 gene:OB06G19970 transcript:OB06G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTSGSAAAPAPAPHVLLVPYPARGHMQPLLHLASRLAAAGLRLTVVAAYVEGHGGEHAEAINSNFLWNLESSAFVCNTSRALEGSYLEAQPLEDLAGKRVWAVGPVAPESADDESAGEVTRWLDTFPDAAVAYVSFGTMMTLPPPHAASFAAALERSSTPFVWAASTTMLPEGFEERAASSGNGLVIRGWAPQTSVLRHRAVGCFVTHCGWNSVMEAAAAGVPMLAWPMAADQFFNARLVVDEARVGVPVSLGGFVHVPDADELAAVLGEVVGNGEAGSELRARTMELAARMAEAVREDGSSRRDLDGMVRELWMLGS >OB06G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7138009:7141232:1 gene:OB06G19980 transcript:OB06G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWARVESRCPFCKARFRAIRRPPVPGRFPSERLVAVAERNQVCNSSGNTVDADLYANTSCTMCNLSNDDDLMLLCELCDSAVHTYCVGLGTDIPDGDWFCKDCTTAKEEHLRCQIDDDNSSDQGEFKITIEAPIADPVAAPSISDIVDEGYPPSLVQRTSVQNTTPSISYPIPSIYDIVDDDYSTILIGRINARSSRLDRRAEDMPSQSIPVESQCPESCKDRDNGRVSSHDHSRLEPEGARTLRNSRKLSSRIMELRENWSALRAGSIGFTTHIHNRRGNVTRTISNTEHRRCATTINNSQNSVGTSDMEERHLSSTAFTEVATSSSRCANKIPHKDGSDVRKAWKMLEIARLPGGKKKPNKPSSLNCSVPFSMGNKSTSYSPIDTILGHKNNKLYDDITQKNNAEYNRSTNMENRLPTVNFGKGHKMQKEFHASAHGRIPSTSMNDKVASSSNSDNADQMLESSCDISRPEKSKSGISCPFTFSSLSDQSMVTSSLQLRPGPRSQSTEMISPQEPSGTVTSINIGTAGAKGEVRNSGPDRHKLKRKLGSETHDDQGSKRSRSSWKIRKCDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSSSVALAVPRPVCKHTCRTEPHQSPALTSFCRECLCNFVKEVIGLLLSVRKMDQTASSC >OB06G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7141060:7141230:-1 gene:OB06G19990 transcript:OB06G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSHSTSEITSSLSCFIPSLRDFSPAITADLYKSNHVRLILCSQVHRAPTIPERLK >OB06G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7143910:7144478:1 gene:OB06G20000 transcript:OB06G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPPPPPPPTPPQGRKDRRSQARKVDNLCCSIPFCFRCMRFESNRCGWGSKSSRRTPVRSGDLKCIGGRRGGEKPG >OB06G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7175974:7180037:-1 gene:OB06G20010 transcript:OB06G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITAPEEEAAAAAAASRPEPIVEMAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSEIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNGSLRWDEFSLMIKAAHANRMGSASKRTVIPDRPKEEDYFYANPQECLQDSSLLRTS >OB06G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7196335:7197144:1 gene:OB06G20020 transcript:OB06G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHPVPGLAGRLFGGAAAAPQEEVRCPRCDSANTKFCYYNNYNLSQPRHFCKACRRYWTKGGLLRNVPIGGGSPQTPPSSSSSSSVVADVDTGHRGAKSARSAIAGDSSTAACAVPASNASSAAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADWAPSPTALDAAGVFDLAGAIAGDPSYWNPASWTDHDGTIYLP >OB06G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7209747:7213591:-1 gene:OB06G20030 transcript:OB06G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G26300) TAIR;Acc:AT2G26300] MSVLTCVLDNMGSSCSRSHLLSEAETAENAKSADIDRRILQETKAEQHIYKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKVLYDGAKELYQVESDSSKYVICPDNQEIGEKLSEIDGRSGYPLLSEELVHDVKKLWQDPAIQETYSRGSILQLPDCAQYFMENLDRLAEADYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >OB06G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7214867:7215199:-1 gene:OB06G20040 transcript:OB06G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAMKIIFRIPLFFFCFSSLFADLVMEISSETHKFLKMTLVYKFLMRASINLVGNPHIARAFNGLPFTNKLNWVAIVRSSPAKCRLLSSLSFDIMNFVALVFGLICKA >OB06G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7232894:7235980:1 gene:OB06G20050 transcript:OB06G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQSTRPPETAPGGDGGGGDGRSVDRVLFKNLVEMVPLVESLMDRRSNPSYSRRASMVYTPAPAKKNADLKGAKSPQSVSVKKRRDPGETGKKSTTDSNGENGTVAPISLLGGENKPKDKDEIVLLRDQIEELQKTLLEKEEALKSAESSVSEMNALYSTVDELRRQVAEKEALIKSTNSQLHNAKIMLADKQASLEKLEWEVRTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGDLQDGSLPSSYELESLQSASEIDKIEVEKIEQERVTYAGALAAARENPNEEHLNLAAEARLKLQVLVL >OB06G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7243002:7245387:1 gene:OB06G20060 transcript:OB06G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFANGGPPPEQQPAPAMVVREQDCLMPIANVIRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVPPLGVYLRRMRESEGGGPWDNGKAMSDRDRQRQVTWGLVATMTTAGSDHGDRGKVISGEGDDDSDDGIDGDDEPVADARMED >OB06G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7248300:7250488:1 gene:OB06G20070 transcript:OB06G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLLAVLLLPLVLTGSAAASSSSSSNNVSFDSAALAFSDLTLLGDSFLRNGSVGLTRDTGVPSSSAGSVLCSRAVAFGTGAAAAAAAEFGDPSGNHVGLDLGSPMSINAVDLAAFGVVLNSGNLTTAWIDYHGGVPQLQVSLSYSGAKPTRPVLSVAVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSSFSNATSNASVPGEATAGGAASRKKRFGLAVSILGPVALAVSFVFFAWVSIKKLIELTSRKHAGILPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPAGTAAASSAGATAYYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLVGWCDDKGELLLVYEYMPNGSLDKALYGEPCTLSWPERYTVAAGIASVLSYLHQECEQRVIHRDIKTSNVLLDGNLSARLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVLLEVCCGRRPIDKDDAGGKNVNLVDWVWRLHGEDRLIDAADPRLSGGFDRDEMLRLLLVGLSCANPNCDERPAMRRVVQILNREAEPVPVPRKKPLLVFSSTASMKLQEIAFACGDEVRGGLPAAAATSPKSDGGGDIER >OB06G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7251450:7252226:-1 gene:OB06G20080 transcript:OB06G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHPLNMEEAAPERANSSSPASTHHDQRPQSKGLLERMHKCLLLLAILAATVTYNAGLSPPGGVWADDADGHVAGDPVLQAHYPVRYNMFFFCNATAFVASLMITMLLLSSTFSFHGYRLRALQAAMALDLLGLLGAFAAGSCRNVRTSAFVFAVVAVIAAYLVAHLLLHFFIHSNRCPSDRHEVVDLLNLHRLCPSCCVGAAKDGAPAAAQASTEVPVV >OB06G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7255932:7256931:-1 gene:OB06G20090 transcript:OB06G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRQASPSSSECRPRAVPVTDVAPGGLAGLELTPTVKRGMPVLITPSPHGGTSAAAEAAPFQGIIIFKESEDPAAARNRWFREMRGWLMVVATVAASMSYQAGLNPPGGFWQSNDADGHLAGNPVLRDVSPGRYKTFYYFNATTFVTSLVISVLLMSERFYRSETKVVTLMLATFVDLASLVSAYIAGSTRFMSSCIYVIVITGVAFLCVIAMGEVLEKMCEFILKTSPCMLSLATRNWCPVPREVVDKAAQQAQGDLDRANQRKKKAAAGSNQRCCCCAAAPPTDDDV >OB06G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7261029:7262155:-1 gene:OB06G20100 transcript:OB06G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLMSERFYRTETKVVALMVTTFVDLASLVGAYVAGSTRFMSSCAYVIIIAGVAFLCVIAMGEVMDKVCSFFKRRLPCMHGWFPVR >OB06G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7275736:7279926:1 gene:OB06G20110 transcript:OB06G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT2G22260) TAIR;Acc:AT2G22260] MAGVALLFPAAAVAMVACVAAGGAGASASTPAGRVFIVGGGPRGWSQPTPSDETYNHWAAKNRFHVGDFLDFNYVKNDSVLLVSRDDYKLCNASRPEQRFDAGGALRFRLDRNGDFYFISGAPGHCDAGQRMTLRVMSQHDGDNKAGGDAPAAAPAPGDDEDDSGGSFSSRTPGSGCNGPSRLRLAGESPSDPHLCANQSADGGDGAGEGEGGAAKKPEARREVTDLGGGSEVVHVPRFVPREAAWGWFDYLDTRIPWKRPTIRVFGRSAVQPRDTCYVADQGLTDLKYSGHQPHAHSWDEFPVLKDILKAVHEALPESNFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTFGCEREFLLRKKPSKSQASLGSGESARKRLKVSAPQQQHSFLLKHGSLLVMRGYTQRDWHHSVPKRAKASSPRINLTFRRVL >OB06G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7278509:7294873:-1 gene:OB06G20120 transcript:OB06G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT5G47690) TAIR;Acc:AT5G47690] MGAAEQLKELGEKLEAAPPDPADALAKLLEQAAECLHGVEQSPDSSVMETIQPCLKAVARDEFLKHHNEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVGTFSGLNDVSGQSFARRVAILETVARYRACVVMLDLECNDLIADMFQSFLEIISDTHEPNIVNSMQSIMALIIDESEDIEESLLRVLLSALGRKKTGVSMPPRKLARHVIEHSAGKLEPYLRKFLTSSLDGDGNSVNHNIDHHEVIFDLYQCAPKVLKVVVPYITGELLADQVETRSKAVEVLGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAPEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKHVAERVRDKSVSVKCYTMERLADIYKFYCLSGSDSSINSDDFEWVPGKILRCLYDKDFRPELIESILCASLFPPEFPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQISQEDTPDMKKKVLGCFRSMSRLFSDPTKSEEYLTMLHQIKDANIWNIFTSLLDSSTTFNNAWSLRDDLLTKLGEKHALHDFASTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDPGDVSAHKSEWSDSTQSCSLKIHGIKTLVKSCLPCKDAQAHPGIEKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQVRKLFLSKVHQYIKERALDAKYACAFLLAMDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYVVHVLSHDPSCPNIEEYEDIEAFGPIYWRLHMLLSILLGEEGLQHSVPGMKKESLTTIISIFRSIKCSQDAVDVNKTKTLHAICDLGTLIGKKLFQEQINISEAQTVPLPAQLYAPVQKDQNENSVESDGQVWPGCENVLAHFEALMTAKSAEVESPEDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGKKQKTTSVSVNMGKDDDVLGLVREINLDNQENLGESEKSKPKKRRMDMKESNEKPVDFSSPKRKRSVSKSRPHSAKGNKKSDELLLQSVNPDETINSSENKLDGGKSRDDMVDTELVTSPASVKTPVSKGKKGAKKSHAEVLTSSPKKSDEAGSSKRMVELGSLNGSLKKQKPKLVSGLAKCTTQDTGSADFIGKRIKVWWPLDKKFYEGVVESYDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKGRKKDQGRNQGRALDKSVTSSKQTPPDQHKSKKRPSPPKTKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDEDKKYDGRNEKEAAVTKKAKPEKVSAKGDELKEEQPDDHNLNSKEESDNETLSVWKKRTAKAT >OB06G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7308408:7310560:1 gene:OB06G20130 transcript:OB06G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRWVFGTKSIKWAFYHVQNIDPPLEREEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKETRPERVESYAPKTNSKKWFCCVTSSPTQS >OB06G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7315439:7320003:1 gene:OB06G20140 transcript:OB06G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFQFGDVIVEDAISKPYKSSCVTSEGACSRRADKDSKDKGPTATCSCECAKVFGISWANSHIWHEVTVGSARDRIVRCQELPTLLGERDRSASPLVLLLVVLGCCEIESKGGRAEWSGSMAETVLSMARSLVGVSKAASAAADETSLLLGVQKDIWYIKDELKTMQAFLRAAEVMKKKDELLKVWAEQIRDLSYDIEDSLDEFKVHIESQTLLRQLLKLKERHRIAIRIHNLKSRVEEVSSRNTRYTLVKPISSSTEDDTDSFAEDIRNQSAGNVDETELVGFSDSKRRLLEMINANDNNGPTKVISVVGMGGLGKTALSRKIFESKEDITKNFTCNAWITVSQSFNRIELLKDMIRQLLGQDSLKKLLQQLQGKVVVQVHLSKYMTEELKDKRYFVVLDDLWSLDAWNWINDIAFPKNNKKGSRIVITTPDAGLAETCTIASLVYRLEFLQMNDAITLLLKKTNKKHEDMESNQDMQKIVERIVNKCGRLPLAILTIGAVLATKHVKDWDKFYEQLPSELENNPSLDALRRMVTLGYNHLPSHLKPCFLYLSIFPDDFEIKRNRLVDRWIAEGFVRAKVGMTAKDVGESYLHELINRSMIQRSRVGIEGKVLSCRVHDIIRDITVSISREENFVLLPMDDGFDLVQENTRHIAFGGSISFRTGLDWSIIRSLSIFGDRPKNLVHMVCSDQLRMLRVLDLENVRFLITQKDINDIALLRHLKYLSIGDSCIYTVPRSIGKLQGL >OB06G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7324933:7325109:-1 gene:OB06G20150 transcript:OB06G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLCSMLVPPTFHIGFVWRALDSKKQLYPFFSYKQVAHLLMICSIISVPCLSRLNL >OB06G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7326818:7328251:-1 gene:OB06G20160 transcript:OB06G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G31880) TAIR;Acc:AT2G31880] MAPPGKSPLLPLLVSSVALLLVASSVECYSGGHDVTRSAVARRSGESVRRRRTAVPVPQHRYVLAEKSNSTRAANHTAPAASNSTPPSTAEPAAEAGKRHRSHKHRVRNWIIGFVVGSLAGVISGLATSVLFRTALNCVRGRYRSKSDTVIFTPKLIKNKEHLAFLEKEDGLASLAVIGRGGVYQARPPPEREGEAPRFIAIKKIKKRSGDGSGQNNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHCLVYEFMKNGSLHNALKAEPSTTTDDGGPALLWPARLRIAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHMTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDPFFTQVDDVGIVKWLRRVMQDGDHAGLIDGAIAGAGYDEQILLVLRIAVFCTADDPKARPTAKDVRCMLSQIKN >OB06G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7337974:7338201:-1 gene:OB06G20170 transcript:OB06G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKGGARKEEEKLKKRTRYMKLSETSDRLAAELRLSCGYRENHNKFGFKNFNFKNSKNRGLTTKTETVQFRVV >OB06G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7339301:7340698:1 gene:OB06G20180 transcript:OB06G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSAAESKHLEALFAAFPSVRRLDFQLAPFDESEFPGGDPFFLRLEAMRRAAPLLGPLLAGASASALVTDIALTSVVLPVGNELRLPCHILFTASAAMLSLCAYFPTYLDANVGGVVGDVDIPGVYRIPKASIPQALHNPNHLFTRQFVANGRSLTNAAGILVNTFEALEPDAVSALRQGTVAACFPPVFAVGPLLPARSPAGNQPKDPAGYMDWLDAQPARSVVYVRFGRRKAVSGGQLRGLAAGLEASGHRFLWVVKSTVVDREDAAELGELLGEGFLERVEKRGLVTKAWVEQEDVLNHESVGLFVSHCGHGGGGRRRPGAGAGEVRRPAGERRRGGARRARSVGGQLELGGRGGGDQRGGDIREGEGGDGRRRGVAEEGGEPRRGGGEGRRRRWIQPPSPGRVCATLLRGN >OB06G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7343045:7349372:1 gene:OB06G20190 transcript:OB06G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPTNSGDRTTRGRPRPHVVLLPSAGMGHLVPFTRLATALCSGHGCDVSIVTALPTVSSAEARHLAALFAAFPTVGRLALHLSAFDLPEFPGADPFYVRYEAIRRSAAHLLAPLLAGASPSALVADIAVASVVIPVAKELRLPCYVFFTASATMFALLAYLPTYVDANGGGGHAIGDVDVPGVCRVPMSSVPQALHDPDDIFTRQFITNGRSLAGADGLLVNAFDALEPEAVAALRQGTVVAGLPPVFAVGPLSPASFPAKDSGNYFPWLDAQPERSVVYVSFGSRKALPRNQLSELAAGLEASGRRFLWVVKGTAVDRDDAGELSDLVGEGFLQRVHGRGLVTMAWVRQEEILKHPAVGLFVQNYYTQVPNSSSTTQDGDLTVLPRVITTLMHHAMVRGGKFDDTTTEDAFGFQDSMVTTTNRTQEYSAHDVIGEEEKMDEYEEVQNKY >OB06G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7352529:7353959:-1 gene:OB06G20200 transcript:OB06G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPALSSIQHDGRRPHVVFVPSAGMSHLTQFVRFIVALSSHDVDISVVTVFPTVSDAEANHFAALFKEFPSIRRLDFDLLPFDASEFPGGDPFFLRWEALRRSMHLLGPIIAGVTPRVTAIVTDVTLVSHVNPIAKNLQLQCYVLFVASATMMSLNSYFPIYLDNKDAEADVGDVDIPGVRRIARSWLPQPLLDLNKIFTKQFIENGREIVKTDGVLINTFDALEPVALAALRDGKVVPGFPSVFAVGPYTSLANEKKDAGAEGEDWILSWLRQQPARSVVYVAFGSRSTVSHEQLREIAAGLEASGCRFLWVLKTTVGGRHDRDSLRDVLGDGFLERVQGRGVVTKAWVDQEAVLSHPAVGLFLSHSGWSSVTEVAAAGMPLLAWPRLGDNRVAAAVVASSGAGVWMEHWSWDGEEWLVSGEEIGAKLKEMMADGAAREKAAKVREEAAKAVAEGGSSHTSMLEFVAKLKAT >OB06G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7377370:7379342:1 gene:OB06G20210 transcript:OB06G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHNCVKVLFTDIFYETRMWAVINYHKKILKQDITREEAGRTYLTKQEYMKVKPWWFLKTPLAWKLLIELKWCDPDWQAYSRACRERKAKMTRHHRQGSASMARFHKNLEKERGTAVPILEAYAYANRSERNGTFCNVPTTEVLEAYAEAFTEIHGPESNWRTEPIDGVAVHKAEGGKKHGRFCLLNGYLHTPSVLADVGMSRSLDDERPRRRSRPNLDDIARIEELERQLEHEREERERAREEMERAREEREQAREEMERARKEIEKEREQALQSKLNIDFLPPCPPSLTHLATSGSDEASTGNPTAGSERMVHEPNITEQVCLFLVEILAVAMVLYAVRTNAEGKMVMGMEFDSQENVYFDSEIVAV >OB06G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7380826:7381344:-1 gene:OB06G20220 transcript:OB06G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLQTRDSRRRRRHPHKHRLRHAVAGSRAGPEKAPSSGGEGGGQQQLWRPHPSVVKQLLHAAVTCAQPLAPPARRAAKHRLPPARPPASCGCLRQRLLPTASQPRRPPPGPPLRSVARDREWDAAGSGGRRRRAQFFEGSRLRNRTKLGKVRFAGSVFLAGGFLTRWVTM >OB06G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7392756:7392944:1 gene:OB06G20230 transcript:OB06G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVQSTGQADARGHVVFVPSAGMGHLLPFSRFIGALSRHDVDISVITIVPTVSAAEVDQ >OB06G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7393842:7395266:1 gene:OB06G20240 transcript:OB06G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MDD0] MAATAAQRTGEAGARGHVVFVPSAGMGHLLPFSRFIGALSRHDVDISVVTVVPTVSAAEADQLAALFNDFPRLRRIDFHLLPLDASEFPGADPFVLRWEALRRSMHLLGPLIAGVTPRVTAVVSDVTLVSQVNPIAKDLHLQCYVLFVSSATMMSLNSYFPIYLDNKDAEADVGDVDIPGVGRIARSWLPQPLLDMNKLFTKQFIENGRENAKTDGILINTFDALEPVALAALRDSKVVRGFPSVFAVGPYSSLASEMKDAGAEVEDSTLSWLHQQPARSVVYVAFGNRSAVSHEQLREIAAGLEASGCRFLGVLKTTGGGRAARDSLRDVLGDGFLERVQGRGMVTKAWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGMPLLAWPRGGDHRVAATVVASSGVGVWMEHWSWDGEEWLVSGEEIGAKVKEMMADGAAREKAARGGEEAAKAVAVGGSSHTSMLEFVAKLKAT >OB06G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7393859:7394245:-1 gene:OB06G20250 transcript:OB06G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTWDTKVTSETTAVTRGVTPAMSGPRRCMERRRASQRRTNGSAPGNSEASSGSRWKSMRRRRGKSLKSAANWSASAADTVGTTVTTEMSTSCLDSAPMKRENGSRWPMPALGTKTTWPRAPASPVL >OB06G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7401921:7405604:1 gene:OB06G20260 transcript:OB06G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREGGGSGVVDAVEDDEITGGGGDDRPGKSRGGKVDDDKINNGSTWEIEEMEDDQHRQPAGPPPPPPAADVYVAGGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTALGMMPKSQARPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVHLIESDHVADAILELIPVFHVKQLVLGVSKSNLRRFKRGSTIAGQVQKNAPIYCEVKIVCDDKEVTTATTADPTPPLSPAPVNNKNNSVSPTPMSPATNHNIGAAADDKNETNLNERNKITKYLKCFSF >OB06G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7406711:7407297:-1 gene:OB06G20270 transcript:OB06G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGNRATLFNVIGQLLRFPEYPVVSFLARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNSALIRCASPPEVPRPVEIERPPKQRVSTSESAAATSDAA >OB06G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7410109:7416000:-1 gene:OB06G20280 transcript:OB06G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIASGDDAEVSLTVVPFDGQSHVSTGEVGVQPPLNFVDWDALQIIESIDNEVRLEVASDEQLYALLGLRGEDEREKMIGKLVVSAYNGGHTCTSSMRRKTTTPSAKWVTSKAVSILKMTPHMGRGKERAMAELYGSWEESFGLLYNWRAEVMKKMSNSVIEIDVVVEDGIPHFRRFFCALGPCIEGFLEGYRPYLSIDSTALNGRWNGHLATACSVDGHNWMYPMAYGFIDSETSDNWIWFMTQLHKVIGDLPLLAVCTNACKGLELAVKYAFPMAEQRECFRHLMDNYVKKFSGAEHMYPAGRAYRREVYEYHMSKVLENPDVKYYLDTYHSLKWMRSGFNPAIKCDYVTNNIAEVFNNWIKDIKDLPVCELADRLREMIMVLWYKRRKIGQRLEGKILPAVLHVLNAQTRGLGHLSVVHGDHYAAQVVDISTTSARHVVKAYLHECSCEEWQHTGKPCQHALALITAQPLRDVKMEDFVHEYYSVERFKNAYKRWNLPLPDKSQWPKVDLSHDLGAPLGKRSAGRQRKNRIKGALEGGGATNKANNEGKETEKEKKRIKGPTKCQKCGGLGYRQSSYKCPYNGIKKSSAFYPAILQRIIHAFHREKEESLRFDVTVSIQLRVSLGWNCGRLMDLSVQTTSPSKQSALVPGRSAESSKSNSDVVSKEQKSTQQQNESVDLTDGKVPAELIEGKLAPESLADEGKDVVESGITNGSLKSSSALGKGYGLASGSGSARLVGRSETGERGFSSSRCRPSTSSDVSDESSCSSISSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCPDHPFRPTLYTHFETDKFSCLVIEFCPGGDLHTL >OB06G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7423703:7427089:-1 gene:OB06G20290 transcript:OB06G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSNMEPHLGSESIAAVDDRQPETEIVEINSFPTPELGSDRQMAEEAASIESQEDGEMVDVEAQDSCKGSSGSASLNSHEARIKIDFEFLWRLRKYLLLLAVLAVSVTYNAGLNPPGGFWTDDSPGHHASDPLLPSKFFQRYESFFYCNATAFAASLVLIILLLSRSVANQHLWLRAMQLTMILDLFSLMGAYAAGSCRALNSSVYIFVLVFAVFFYVWIHILVFIKVVPKWLKEVIQTFIHRIVEKLQTLSICHVPAEQRSKQNEKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENVHGYRPATFVLRRHNLLRFNIFTCCNATSFVASLVTIILLLSTKLSRHGIRTRALFACVIAELFGLIVAYATGSCRDVATSVSVILIIAVVLICALILVIFFQSRAVTMWINNAVKPGFDNVLKKLSWPRENQLSHGNQGPLSSPPQDADHGNLGEEDAKSAPTSDLDSTKDNINTMANQFHDQKDNMIITAVHSPSADVPSRKATMPEQSLSDPISALGDVTLSANMLETEHNIGKSQMDRDEQNQLMVGQHDSSEVDGEVRQSENGTMNNNQGTRDQDRSSDDTEENPDDVCLKKSRTYLLLLAVLAVSLTYQAGLNPPGGFWTSNALNHLAGDPILEDNYHKRYLAFFYFNATAFLASLVMLIMLLDKKMSKKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFAVAYVPLHCWALIYIVPERWTQWFTQRLHHGMEESPRVGVDNDAKAASEKDLERRRNLLLILAVLVATVTYQAGLNPPGGIWPDGNSNGGKPGNPVLQDSHPERYDVFYYSNAVSFVSSVAVIILLINRESCEHGIKSYALRFCLIAGLLGLLIAYSAGSFRKVKSIGYLIIITSAVLICLLTQVLVLSSTKDALQWPAWSRRWLQNIFGLGDSKKSLESPSQSKNESNVVQLVPFVDEEKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSDDSPDGYKAGNPVLKDIHSRRYMVFYVSNSFSFMASVAVIMLLLIKSVRKNKVPLQALFLIMILDLLALMIGYAAGSCRKVRTSIFVFMVVCCVVLYLVIVIILSSGIAKWLKKRKGRWPLCWRCPQHDNATE >OB06G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7432294:7436699:-1 gene:OB06G20300 transcript:OB06G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSQHECAIALTNTTITPGPGSNEIEDCSYHAEGNGMVSIVAGSSWQYAMDEFKLLWGLRKYLVLLGILAVSVTYNAGLTPPGGFWTLNEYGHIAGDPVLRVTFYQRHELFFYFNATALAASLLLLVLLLSKRVTRHKLWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYVLILVIAVFVYVGIHILVSIRVIPEALKEKVRAKLIQILPKWCIHDEERSSHQEKEVEEARKFILMLVTFVATVTYQAGLSPPGGFWSDNDNDAPRYKHPPATSVLRSNYLGRYNIFVVFNSTSFVASLVTVILLLSKELSTHGIRSKAVVLCVVVDLLCLVGAYAAGCCRAVATSIYVTLIIIVVLIFFALLAVTFTYKPVADWLVNIKSKYWDCMDAVGRALSSTYGSIREQDNSSSRHQASIRESVAITEDKASETEHQSDDNQGHRLSQGEQENSASRHQASVHETSPAEYEASEREHQSNDNQGHILSKGEQENSSSCHQASVHETSLAEDKASETEHQSDDNQGYRLNKGEQESSASRHQDSVHETLPAEDKASETEHQSDDNQGHRLSKGEQENSASRCQDPVHETSPAEDKASKTEHQFDGNQQISDTIKMESSEELLAADKQQVVTRSVFNSQYISNTKEIESPDEQPPLDKQNTVDTKETVSNSIHPAGDNQQSENTEDVVCNLYNQSTISLFTNMNESMSSTDHLSAVNCQQTTYTNDGISAEDQQEASKKGQCSTNYIKSMFSPMEGFSKQNMSANRCNRATGNITSSEVPSVPAQACEHADGSEQHMTVEIGRIIRDPEPAANVHVYSGRGAPGQIVDDNPTEKHLNKTRTYLLLLSILAVSLTYQSGLNPPGGFWSRSENEHSAGDRILEDTYHPRFIAFFYVNAIAFVASIIMIILLLNKLMSEKVTKRRALPIAMIVNLLSLTVAFVLGSSREAKKSTYSSVLVGLVLAYVAVHVLLAIHVIPKKWRTWDHESKSSSGPALPKNRTEDDLKEFGRRRNLLLILAILAATVTYQAGMNPPGGVWSDDKDVSGKPGNPVLQDTHSKRYDVFYYSNSISFVSSLVITILLVNKESCEHGIKSYALRVCLVVGLLGLLIAYTAGCCRNRKQSIYLIAIAVAVLVSLAIQVLLSSIYGELLSQFMDCLQSCLFGAKKVQQDTPVLPEASEHVEKEVKILKKKHKYLMLLAILAASITYQAGLNPPGGFWSGNAGHAPGNPILHDVHRLRYDLFFCFNSFSFMASIVVIMLLLINSVRKKDVPLEVLRVIMILDLLALMTAFAVGSCRRFWTSLYVYALVIGVVIWLLLLIVLSSKVAKFLLRRRFSSHTDPKPASRTNTLAPGQYLVICDMIPFKSDFCYQLDPACVKCLCNVSSVFNFAKSCFKKVIVAQNEFALVTLSVERPRFFKYLC >OB06G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7444184:7447825:-1 gene:OB06G20310 transcript:OB06G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTQPKLTTSTTNNTGAPVAVTCQSEENNTMEAGSDRQDTMNGLSSRYLLLNSHEPSSITIDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTDTLTHDAGEPLLRVYFFQRYEIFFYFNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILICIRIFPKWLKERAKTLLQKILQKWGVHEEQRSSDEKRNIEEARKFILMLSTFSATITYQAGLNPPGGFWTQDNTHPATSILRSNYLARYNFFMSCNATSFVASLVTIILLLSPELSKHGIRSKAVIVCVIADLLGLIGAYAAGSCRDVAVSFYVMSVSIIVLICFVVLAGILVYKPVADWLQKIKPDTLLCIHKIGRVFSLEFGSNKSIDREQENSQAKHEQKAVLVLDAQEEVAIEQSSYHPQSANTGEGESNAKHEPSGERQSANSEKAVPDSDHPLARYQQSIGSNDVVYNLQDQSINNLHQSAKEPVSTTQHPSADSQHATNCKDGMHQSADSNQDANSMEQSSTIDDFKTAKDGISNPEHQSADKHHVADLKEQSSITDDLKTTDTKGSMPNPDNRSADSQHVMTKMEQYSSTDEPGSIVTPESKVSSDVLVGTSEIETAEDNKIARHVENGCIDKNEGSPNEDGNNDQTAKHLEKCRTYLLLLAILAVSLTYQSGLNPPGGFWTEKEDDHSSGDPILEDSHHHRYIAFFYLNAIAFVASVVLIIMLLNRRMANKAIKRCALQIAMIVILLSLTGAYVMGSCRETKNSIYISVLVLLVLAYVGVHVLVAIHIIPEGWKKRVAEKLKHLSCKNFWLPVHGSTQTDHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGGVWSDDKDINRNLSGNPILQDNNLKRYDVFYYSNSVSFVSSVVITILLVNKESCEHGIKFYALRACLAVGLVGLLIAYAAGSCRKAKQSIYLVIIAVAVLISLMIQVFIISSARGGKFCKYMESLLACFSQAEETSQDTPSGLQGSPDRCEKSERKRHKYLMLLAILAASITYQAGLNPPGGFWSDESSDVHKAGTPVLHDIHPDRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVVCVTIYLLFVTILASGIAKCLRSRKVSNFSYQKHSEHPSRANTPPPEQEV >OB06G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7459935:7462663:1 gene:OB06G20320 transcript:OB06G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDYTFLWKWRKYLLLLATLVASVTYDAGLNPPGGVWPDDGDGHVTGDPVLPVTYGSRYLAFFYCNATAFVASLVVIMMLLDRRVSGNRVGVTVLRSAMALDLSALMGAYAAGVSRDVLAVAYVSALFGMVFAYVAAHVVVATRALPPVEWLRVSAKRLAGRLEELLRKGDDGEQLGAASRAEEDRQERRKFLLLLATFATPLTYAAGLEPPGGFWDKTEGGHTAGVPILRDGRPRRRYLAFFYCNATSFVASLAIVMLLMSRTLSDRVVRSYALQVCVLVELLGLMGAYAAGSCRRRETTVYVLSLAAAVLLYLALQVAVGMFAMGTIKKWLVGLCRILQCRGRRNLHQEHPRTDTAITQDDHLIREMKPCTAKPNAPCPDEGVAGGDEDEGDADDTVEESRSLLLLLATLAATVTYDAGLNPPGGFWPDGEHAGELILLERHRRRYKAFFHCNTAAFVASLVVIVIVQSKQLSSGAVVKRRALQAAMTLDLLGLMGAYAAGSGRDPATTIYVSALAVAVFIYSLGNVVAFTTMGQRRETRLMRWVDGMVQKVLEKLHLSDGQSGEEDDDLEKKRKFLLQLAILAATVTYKTGLTPPGGFWSEGDGAGDPVLLDHYRRRYMVFFYCNSTGFMASVAVILMLVNRRLYKQGIRCNALHACVVVGLLGLMLAYAAGSCRKLRTSVYVIALVAAVVGFLLLQILLFLLARHRTVRRHSAPLWAMQSAVVLDLLGLLGAYATGSCREWETSVYVVALVAAVVVYITLHVLLSFDAVAARAHRLKVWKYLGGGSSDQHGAGGNGAAV >OB06G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7467083:7471402:-1 gene:OB06G20330 transcript:OB06G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDEGKPAVEAAAAQAPAASHPWEYQLREYLLLLSSVVAISTYAAGLAPPGGLREEDGAGRKAGDPVLEDTGSARYLAFYYCNATAFAASLVVNLLLLVLEEASTVGLAILRTVMVLDVLALMGAYAAGSCRDLPSPVYVSTLAVALSAYLGIRIIVVYSQTGPPNPPATSPAGAGEQDDDHGQLRKVLMLLATFATEITYTAGLSPPGGFGDDGGPALQSSARLMAFFCCNTGAFVASLCIIVPLLSSRLQRVSLELYPPVLVALLGLMGAYTAGSTRDLKTIAYVLALVAAVLAYILLAMVIALNNDYKKKKNDQPGGERDSEAASARNANSAQPDGEKGSKDKKEALRDKDFVLLLATLAASITYQAGLDPPGGVWQDDHDGGGHRAGDPILSSPHASRYRAFFYCNSTAFAASLVVVLMILSDRLVRSKALVRSKALVVAMVLDLFGLIGAYAAGSCRDANTSVHVAAVAGAVLVYVVIHVVFWPDRLYREEEEAELERRRERLLLLAILVATIAYQAGLIPPGGFWDKDGGGHLAGSPVLLDTYPRRYQAFFYCNATAFMASVALVILLVNPRLYRLGIRCYALYVCMVVGMFGLMAAYAAGSAREVRTSVYVFVLVGVVVAFLLVQLLAYIDIREAWKKLRVRRSSGKLAKISDSDSVGNTANGSSVPEQNSAGNGGDGGNTVTGDDEPSQRKEEYLMTLAILAASVTYQAGLNPPGSVWKAGKAGAGNPVMHDRSHGRYSAFFYCNSTSFVASVVVIVLLLQHQRRRQRGGGGSLLLLYAMNTVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIVTHFAMLLYRHAKR >OB06G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7479374:7483655:-1 gene:OB06G20340 transcript:OB06G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISKIMKTKFVLGQTFKFGGIRFLADQDVSKLKKRDKDPTKQNKDPEKDIVSEDRSLDERKHEELHEVLLLLATFAVVITYMAGLNPLGGFWGSTQDGHRVSNPVLQDVNSRRYKAFFVCNTTAFVASLLIIMLLLDKKVNSIKMSFQFRELYGCIVVALFGLVGAYAAGSCREADDTVNKRHNVAMEKACSLVMLLATLAASITYQAGLDPPGGLWPDDQEGHKGGYPILLTTHPTRYRVFFYSNSVAFVTSLVAIIMVQSSYVLRNHTLEAAMLLDLFALICVYAAGSCREHGQQHHDPHEEDNREVLLLLAILVATLTYQAGLTPPGGFRTEDDSHGHQPGNPVLLENYPSRYEAFFYCNATSFMASISLIILLVNPNLYRPGTKCYALSRLSPIFYDYS >OB06G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7490252:7493581:-1 gene:OB06G20350 transcript:OB06G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDSGAADEQQPWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQDSVAGEHTAGDPILRSLHYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKKDMWITVMPLRMVMVLDLLSLMGAYAAGTCRDAVTARYTLALVATVFVYLVVQMVLASLPVGEHDYDHEIKERPRKVLLLLATFATSLTHVAGLSTPGGFWPDSTGGHRAGEAVMGERHPARLTAFLLFNTTAFVASLLIIVLLLDRKLRDWSRQSWELYGCVVVSLIGLVGAYAAGSSRVEHTTVYVVALAGAVLVYIAFQATVVPRAMLALRQTKLARIYSSMSKRRHRPKQQYPDLTQATIDREAELERAMEKARSLVLLLATLAATVTYQAALDPPGGYWQDNGQGHKAGDPILLTTNPRRYKTFFYCNSTAFLASLLAIILVQSRSLLERHALEAAMILDLFGLMGAYAAGSCRDASTSIYVLAIAGAVLVYVVIHVVFFTLDHTVAGHSEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQAGDLAGMPVLFSNNPHRYRAFFYCNTTSFMSSVALIILLVNPNLYRPAIRSYALSVCMVAGMLGLMGAYAAGSSQHLRTSVYVFVLVVTFVVLLLVVFVVRQKRNPDEEDVSQRSQGNLQQQQNLPRTDEMQVLDDGAAAAATLRDAEREQHTKRKYLMLLGILAASITYQAGLHPPGGVWQSDGDGGDHGYAAGDPVMRYNRIRRYRAFFYSNSTSFMASVVVVVLLLVPLPDRAPVLRQVVGGGGWLLQAMNATVVLDMVGLLVAYGAGSSREWETSGYVIAMAIVVLGYFAIHATLSTFSQGDRRSSAASQRVVPRSGESPEAGNGWREAQGSSV >OB06G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7502806:7504508:1 gene:OB06G20360 transcript:OB06G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSSSVKSKYIANEGTPLEYELRKCLLLLAIEVATMTYTAGFNPPGGVWQDTEAGHLAGDSIIRDTHYHRYLVFFYCNAAAFALSIVVIILIFTLAILHEWMPPIRTSVFPLRVAMGLDLIGLVGAYAAGTNRDVLKARNVCIRFCLYVGTGCAYFIAREIKKEHRCSKEDDILKRAQSLVVLLSTLVSTVTYQAGLVPPGGVWEENWNGHEAGDPVLLSMQPERYKVFFYCSSIAFAMSLVIIILVQHKPMLKHEILQFIMILDMFGLIGAYSAGSCRDVTTSIYVIALAGAVLVYVVIHVLFFTLEDEDTWRKDGGNNNKDGGDMDSSKNNEVLYKRRKRLASGGVWQGNRNGHAAGNPVMHDNGRLRDLIFFYSNSVSFVASIVVISMHVVTRETGKRALVVKGDEHNDCVQHAEPPASLYGWCQNEVHYLVLQFTRFGQKIENLIKRA >OB06G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7528393:7528791:1 gene:OB06G20370 transcript:OB06G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCYPTVSEEYMAVVAKAKRKLRGLIAEKNCALSCSASRKPRFDSIRYIHLLSIPHLTPAAYSWHSVGTARTGGPFGTMKNPTEQAHGTNAGLDIIIRLLEPIKEQLPLLSYADFYQDNLSTPIHFQRTNK >OB06G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7530831:7531647:-1 gene:OB06G20380 transcript:OB06G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPVATGCAQPSQHFPAGPAGVGPHEAKQIQHHGHPQREHGDPYPRLLVHDGEDEDEDDDHNGQGECRRVAVEEDEVPGVVGIPDDGVTGEVPGLSILPDTPRRVEPCRICHCGHHDCQQQEVLPQAILQWSVSSIFGFLIFIGHFLAGDRAVKGRSELPQGVS >OB06G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7530891:7533798:1 gene:OB06G20390 transcript:OB06G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDEKSKDGGDRPLEYCLRKYLLLLAIMVATVTYSAGFNPPGGVWQDTEAGHLAGDSIIRDTDYTRHLVFFYCNAAAFALSIVVIILIFILAIVHEKTGVWIAMLPLRVAMVLDLLGLVGAYAGGTSREVLKPRNACVLVIVLAYMAIQVVLTSFHDKLKLFIRCIGCCKDEETNGQPRPVEQPQPNKVEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSTKEGHNAGDPVMWEHHSVRLKVFFAFNAVAFVMSLLIIMLLLDKQLVIPLLARDKVQEDQSTRRTSPVRTLALKVYIFIALVGLAGAYATGSSRGRNTTICVSSLVFAVLACIVVLKAIISHRSTHRSSIGGAKTSTESGEKNTRNGEGQTNTKRNGAGVQSNKSNADILEKAQSLVVLLSTLVATVTYQAGLVPPGGVWQDNSNGHEAGDPILLSIQPERYKVFFYCNSIALAASLVTIILVQYKPMLRRHILECAMILDLFGLIGAYSAGSCRDVTTSVYVIALAGGVLVYVVIHVVFFTLEDANMGKKDIDKDRNSEDKRRKRLLLFAVLCTTLSYQAGLTPPGGFRLKDDEFGHHAGDPVLFYNYPRRYKAFFYCNSVSFMSSIAFIILLVNPNLYRPAIRSYALSVCTGVGMFALICAYAAGSTQHLKTSIYIFGLVALVLFIMMLVIIYLYWRDVNKGKKDEEKGEKSRVTQDGDVRKQETKTLKPIETRSDVGMEGDNITANSIENTEQEEITTESAKEEHKSKKKHATLKYLMLLGVLAASVTYQAGLNPPGGVWQGNSNGHAAGNPVMHDNRRLRYLIFFYSNSISFLASIVVIILLLPEKLQENWSLKVMNITIVLDLFGLLLAYMAGSRMRLESSGYVIVFVIAALGVAAIHKMWPLVRKYWEKEKRNNGQH >OB06G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7536801:7537948:1 gene:OB06G20400 transcript:OB06G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPIPVKFACLLLLLLHRVPTRQRDAAGVDRISALNATGAGRGDGEAPSRAGPRPGGGGTAPGGYCYNCSNECQRSKGVRSLIHRPRQKKTETKRPKREDQDRDREIRSRSRVEELGPRPRPNKTETKFLGLAFFSRPIGPEF >OB06G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7540881:7545101:1 gene:OB06G20410 transcript:OB06G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPFPWPVVLKLNECLTKCVQGSLCLYNGSVWFSLSQFSQQHCRWEEWWAPASILFLYTTSCQETAGCRCLNSGSPLSHQPVAV >OB06G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7541382:7544289:-1 gene:OB06G20420 transcript:OB06G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQPRPVQTDSPLVTESPLEYDLRKYLLLLATLVATVTYAAGFNPPGGVWQNSDDGHLAGDSIIRDSQYPRYLMFFYCNAATFALSIVVIILIFILAILHEKGKIQFPMLLLRAAMVLDLLGLMGAYAAGTSRGVLTAGNVSALVAVFIYMMAQMVAQIVAASQDDSTGDDKEKKKRHHKVATSQPDKKENSDISAGHYKEKKKRHRKVLMLLATFVASITYIAGLSAPGGYWDNNQEGHHPGDPVLWEHHSRRLRAFFVCNTIAFVTSLLIIMLLLDKEQCIFLPMDKIKTIPVRTYGLYVYITIGLFGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCMGALQAVLSFLPQLSKRSCLPIIAKISCLSQGPSDSSSGKNASNKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPVLLFKQAKRYKVFFYCNSTAFAASLVVIILVRYKPLLRRRILEITMILDLFGLMGAYAAGSCWDIATSLYIIALAGAVLVYVVIHVVFFTLEDDGKENKDSYIDKRRKRLLLFAVLGATLTYQAGLTPPGGFRLEDDESGHQAGHPVLFYNFPRRYKAFFYCNSVSFMSSIALIILLVNPNLYRPAIQSYALSVCTAAGLFALMGAYAAGSTQHLETSIYVFVLVAVVLFIMIILLVCFYQSESKEKGEAKAKKPRKEDKDGAKQHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQSNSEGHGAGHPVMHDNRRYRYLTFFYSNSTSFVASIVVIILLLPEELLQKNRWLRVMNITIVLDLIGLLLAYLAGSSMRWDPSGYVIAFVIVALGCAAIHKLWSFVTRPQKQGEENGQPSQ >OB06G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7552053:7554374:-1 gene:OB06G20430 transcript:OB06G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLLCLMVAYVAGSCRGRLTTIFASLLSAAIFAYTVVYALVARNSPNVAVDEMAPKAVEKNNGKLWPKERGKVLMLLAIFMVTITYTAGLSPPGGTWEHAGEGGDHRAGDPVLLEGHHWRFVAFFVFNKVALVASLAVITMLLSTRLGSNGSGLSALNVAVALALLGLMGAYASGSCRETASTVYNLCLVGAVLVYISCLAVIKNASKRRRRSSPSPAAAAVEGEPQPLAHGCCEGTTTTGGSRPAPASQDSEHNTDPIEKARSLILLLATLTATVTYQAGLDPPGGVWRDGDNGHNGGGLILPATHAKRYKVFFYCNSAAFVASTIVIVMVQSRNLISRHALEAAVILDLFGLMGAYAAGSCRDVHTSIYVFVLPAAIVVLVVVIYVMVSKLQSSGKDNGMLKEERRKLEKKRKLLLLLAILAITITYQAGLTPPGGFWIEHTDEEHHYGDSILADNYPLRYKAFFYCNATSFMASVVAIVFLVSRNLSDIAQSYCKALYVCMAAGLVGLMGAYAAGTTRKLRTFIYVFALVGAALVFAALHIRFLHKLLMGCFSSSKKKISRSADRGHKSMGAAVNEHTINHDEVTDEYKEKYKMRKYLMLLGILAASVAYQAGLVPPGSVWPANGEGHVAGNPILNDIDGRRYRVFFYSNSTSFAASVVVIVLLLQETWILPELNDPDRFGPMHMVVVLNLLGLLVAYAAGSCRDWGTSGYVVAMAVMVLAYVVVYVFLSLRDKKGGQEERGSAMSQPSGSDGGGSSTSRPSGSADNV >OB06G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7572655:7577486:1 gene:OB06G20440 transcript:OB06G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAQALGPAWYTSCWTFQADKGLEHSGRLRCQSLRRKRKSQDFLGVFELNSMSSVEPTNGHADAPGKAADEEAPPPQEQGQTEQNQCADEAELLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDQPGIGYHAGDVVLRYSYPRRYLVFFYCNTTAFGASLIVLILLLVRELSRNAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSIYIWALLVGIFAYITLHVIFLRHLAPGWLRELFDGIRRHWKETLERIFKNPQSIPDEPKNLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDDKPRHLAGDPVLRDHYPRRFKAFLICNATAFAGSLVIIIMLLSNTAVDHVVKSNALRMCVLVSIFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLCIQWIGPIMPKPKCIEDFIKLVRKKKTELLHKLSSFIMRGTRNPEAGARQALADGPKDDVQKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNDGHIAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYVAGSSRKFSTSVYVFILAILVFTYFAIHVLLYFRRTEDDGGQSNLVKQKSEEKVLGQTDLVKKQNEEKGLEKRRKFLMLLAILAASITYQSGLSPPGGFWTDNNNGHRAGDPVLHDEFRRRYRLFFYFNATAFMASLAVIMLLVSKRLCHKGLKSYALRACVLVDLISLMGAFAVGSCRRVSTSLYVILVVAAVFAYVMIQILVLGFAQKRVNDFLKKRHSGFESQQRSMAAPGGTDKKRTAHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDKDDHYAGDPVLKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLTMKTAMVLDLLGLLGAYAAGSCRKSKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKQWMPCLKMWDMIETGQLSEGGPEKQQPSAIQTGDALPDEDTPEKKQPSAMQSGDAHPVVQ >OB06G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7578768:7578944:1 gene:OB06G20450 transcript:OB06G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDIGTLLILAGNVIKNCKVKLIHIYLSIVNAKYPLLSFHRSFYPFYPGIHSLLAAL >OB06G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7582885:7583232:1 gene:OB06G20460 transcript:OB06G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASFVPYETTMASVLLACARSEVFAGKEAVHGYVVKRGMADNQFVQNTLMDMYACLGKMDVACRIFAMVDLPDISSPAVSSRAMSMTRSSSSARCSRKKKTASPAWRRTPSR >OB06G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7583922:7587892:-1 gene:OB06G20470 transcript:OB06G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G55950) TAIR;Acc:AT5G55950] MGVWDSILRGGGGGGRRFIKRKDSDAGEAGQDSPNSFPSRTLTSPWFLLPTGRALEDLRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFVVAVGIIMANKMVMGTVGFNFPVALSLIHYIAAWVLMAVLKALYLLPVAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSIGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSFGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQTGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNFKNSSAIMISALFGFLLQWSGALALG >OB06G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7595993:7598144:1 gene:OB06G20480 transcript:OB06G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMIVGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWDQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAVHAKNREWMEIYRELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSGTSVLGGSANLMLSIALHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKFFKVHFVYTSTVLAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVIHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >OB06G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7601983:7610968:1 gene:OB06G20490 transcript:OB06G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVHVIEARNLPAVDGSGLSDPYAKLQLGRQRAKTKVTKRTLSPAWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVKVPLSAVLDAENRSLGTQWYQLLPRSKKSKIKDYGEICLTISLSQNYPEETTTLAHSVSDDLASHSDKSTELQKGSSLPNIPIEIPASLSGSDEIEINKGDRSNGVPSFVNRLYQIFTAKPKDAEASAPPLTKNDDNSDILEETPSTSSEHPDDQDYETGVTMSFDEQLKAFGSFHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLQRVVSYTKAPTALVKAVKATEDVSYLKADEDIYATLADVSTPEVPFGNSFRVEVLTCITPGPELPDDEKSSRLVISWRVNFIQSTMMKSMIENGAKQGLKDCYNQFSELLARNIRPVDSKDTAAADKVLSSIQPEQESDWKLAFRIFGNFTVVSSMLAFIYVFSHIILASPSIIQGLEFPGLDLPDSIGEVVVCGVLVLQGQRVLNMIARFIHAKRQRGSDHGVKAQGDGWLLTVALIDGTNLAATKPSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVVSLGHAEVNFLKSNFSELADIWIPLKGKLAQPCQSKLHLRIFLNNSRGTEVMKVYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVLPATLSSMGSPSLLIVLHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLSPEQKVQLVEEDSEMEDLQNDESGSFLGIEDAKMSEVFSSTKPFDVLTLMDIFEGGSLERQVMEKIGCMEYSVSAWEPVRADVYQRQVHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHIRYQVEQVASKPRTCNVQASIGISWLKSCKNKKKIAQEVSSNASSRLKKIFNLLEKECSPAK >OB06G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7612939:7618078:-1 gene:OB06G20500 transcript:OB06G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWSFVSDIPNVASEPNCEQGISMMSITDSGISNQDNAAGDSSLLDIASATRMSDLDTADKLKSMIGSSSEAGVNLLPHATDQTAGSVDSTTAKVPYTAEKDMFSKDSIYGDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEMPAGNCDEPTLMHPLTNNAVSADSGMSIPGAKGDSSLCIPVRQVRSSISLSFSGLTGESSAGDYQDCGVSSVLLMGEPPWHPPGPEGPLAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >OB06G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7629789:7632221:-1 gene:OB06G20510 transcript:OB06G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MDF7] MAARRRSFLLPIARAGQVGGGERAFFSSPRVRVSDCYEPSASLSIHGASSQVSLIGLLEVKGTAYTHGDEVKQDEHDTLVSENTITVYHDHYITYHMDLDVDGMNNSFVKSTVTAIRDIDCDTLRRSYWTVREVAEREADGKVDLGASGPPTDLVFVKLGKKTRMGNEVGYQIVPASATAASVLDDDNFPQRRTTYCKKQVQVTPNNRVERIAT >OB06G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7632187:7640150:1 gene:OB06G20520 transcript:OB06G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13225) TAIR;Acc:AT3G13225] MGRRKERRLAAMASAGGRRVKLDLFRDPSPGETPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEDEEAADQPNDEMEGNPADAGAKITHEHGDSAGDEGNAQSDLASSASAQQELTEADETKLTGNISEKNVVALKPTLEDGIATASQAIPDSSGMQIVGDIGGNWKTVMHEQSNRCYYWNTVTGETSWEIPNGLASEIAADSITSASAPTHVDYSMEVQAHALTHNAVEAYPSDMSVLDGSVAYATLGTGQPAHDAYAYAGSVTSHESTDIDPLQLSRYGEDLLQRLKQLERLHGSIYNFELVKREIEIRISDCHALSSYGSSLLPLWLHAEVHLKQLEYSVSKLEASYSTTGPRHPEATDMEQKTPNEAEATLPSKGEDLKSEVCAGAMMDANVKTEEPFPTSCQKSEEIDTAAVPSNIELDDEDMDVEMEVDDENIEEHSSSIPSKEHPPSEQVQSATSLSPEVSAAPPEDSDIPPPPPPPEDEWIPPPPPENEPTPPPPPEEPEPAVQYISTETIPQSYVGQANLGYTVPGMEYYVAAGTEGTNASYYMQTSESHVVQAQQNGYYAPVSASGVSIPVDATSVAPVPVSYYSYPSVTTAATGVAAEPSEYYAASVSATSSSVLDNRTSSSNLAPANNSLQPKETDNIISKEAKIASLSQLVGTTSASGTTSIQGSSTQASTSTTNNSKVIRSKKRAVAASLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEAKSEPIPAPVSVTDLHRGQPDLAELSKGLPSGWQAYMDESTKQVYYGSSLTSETTWNRPTK >OB06G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7643311:7645918:-1 gene:OB06G20530 transcript:OB06G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: SEC-C motif (InterPro:IPR004027); Has 583 Blast hits to 583 proteins in 248 species: Archae - 0; Bacteria - 488; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 61 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G04950) TAIR;Acc:AT3G04950] MASRRSLHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDDEGVDPAAAAANFTLIQFADSMEKARKLGTFKNFVMGRSSEATVVNAFEKHSTVLRYLGAIDPTGEKLQNSDKINATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKSFNEVQNLMGSTPLEVGRSNLAKSGQISRNALCPCGSKKRYKRCCGAS >OB06G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7649408:7649980:-1 gene:OB06G20540 transcript:OB06G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAEFVARPRVSASPWFTVARGGGGGVGEETQAALLLLQDGGGEDAEDDDDQAAVLLLRVQEIEHLLGDVLRTLSRLGCKRGGLQEQIAAVSRGRGRHHRIHGQAAPAAAVAAPGGSSSSSEAPAAAAAYTRKGAGAVRKRLMRAAAGDVKKERERMEAVAGRLEEALADAKERLALQQMLAGRAAPA >OB06G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7654956:7659452:-1 gene:OB06G20550 transcript:OB06G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALREAVEEVDVGVLVNNAGVVKPGAMFLHEADVEAWVRMIRVNDLALTKVTAAVLPGMVERRRGAVVNIGSASSEAMPSFPLCTMYGATKRYVAKFSRSLHVEYASKGIHVQCQAPFFVATRMVAGLDEDMRLSPFTVSPDAYARAAVGWIGHGGALCSPSVRHRLLWCLTAAVPESVLDWFVLRSHLAISKLPKRIEAWE >OB06G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7664020:7666790:-1 gene:OB06G20560 transcript:OB06G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRSGEWAVVTGPTSGIGRSMALELAGLGLNLVLVGRDPGKLQDISDAIARSHGRAGVQTKTAALASTAKGDEALRRLREAVAGLDVGVLVNNAGVARPCAVYLHEADVEAWVKMIRVNLWALTEVTAAVLPGMVERGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVAEFSRSLYVEYKSKGIDVQCQAPLFVATNMTSGVAKSGADGADAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSDEGGGC >OB06G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7673512:7677416:-1 gene:OB06G20570 transcript:OB06G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIWFILLATLGAVHVAAAVFRLIAVLSSGLRRPPPRRSGEWAVVTGPTSGIGRAMALELAARGLDVVLVGRDRAKLREVADAVTRSHGVRTKTVVFDFSLVSTVQGAHDAKLECHPDAFLISEKSDKYLHLILPKGAINDYLLNLAGPEDRYVAEFSRGLSVEYKSKGIDVQCQVPFLVDTKMISAAVRGKYVPLVVTAEEYARAAARSIGDGRRVCVPNTAHRLQQLLLRCTPEFAVDWCLLRLHLHHRAVFRAIRSSSGRRYSSTHRTPDGGQPATN >OB06G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7680430:7684295:-1 gene:OB06G20580 transcript:OB06G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:J3MDG4] MQKNAQAWFPGAPAAPAPSSPESQPSLLADWNSYAASRSDASSSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLASGIFFVFIAFAFFLPVMVLMPQKFAICFTLGCALIIASLFALKGPASQFAHMTSKERLPFTVGFIGCMVGTIYVSMVLHSYFLSVIFSILQVLALGYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >OB06G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7693830:7696553:-1 gene:OB06G20590 transcript:OB06G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIEDTHIFLLLPSHLLVSHFTYRSFPLVLEEDGAGGGAGKCAGGREQGWCNVACGEERCCGRGIKERSSDRHGWSSECHDGHGCSCRERHNSGVGGKEKIRHNCCRRRECGRLVYGCVMHTEENTELRRSTRARRSNVRYNESTWAVNGRPMSELGERPIGILLYKRLDEMEDRIRPDTKQEILGVKSAIELWKPVIESGRIGSGTTSCFGSWGFRPGICSRDIVYASECAGFWFCEWGISGTTVQSPNYFDVCNTFPGLWVRVATIYFRGRASSWLRSSQAHLKFPEWNSFCGAVVQKFDRDQHQQLIRQMDTIKQNGSVTEFYESFDELMNQLLIYDPVDSGSTVSFLSSEIATKIPGVEPLAHPVKVKVANGEIMECQSTIPQCEWSTQGHVFTTNLRVISLGGYDMILGMDWLSQHSPMSIDWAHKTLALSKSDGTLNLQGIVSELTQCKVVTVNQIHQLQTHTAVAHLVQLWKSAQLTVDETIPSEVQDVLKEFEAVFQEPKGLPPPRQYDHTIPLIPGAQPVNICHAQKFLTRISELNCVLNPCPGPARVHKKTMLIT >OB06G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7701342:7705107:-1 gene:OB06G20600 transcript:OB06G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLSKLWSFLSFLPFFFLLLLLGSIKAVLIGPVAAVIIFFGNSAVVIGLWPAHFIWTYYCVLKTERVGMVLKILASVFLPLPLLLLPVLAIAGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTLAGACTVVRDFTDFCFHSYFSFMDELIRKLGDSETPLDIKLSYLPHSVLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLSIILWPLAVIGAVIASFLSSFFFGMRAGLIAYQEASLRMGLAYMVSAVALFDEYTNDMLYLREGSCFPRPKYRKNYRMNNETGQKNEGHTVTSEFGEKKQNGHRKTMKALQRSKTFMETIQRLRPIQIWDWLFRAIELNGRILLSEGLITAEDMEECITKGKCKKLSIKLPAWCILQCLIRSAKYDSHGLLISDDVEVTNFNWPKDKVFDWMLGPLLVIKEQMKKLELTEEEELCLRKLIVTNNNEKPSDWDDCGFPSSDNVKRAQLQAIIRRLQGIVVNMSWVPSFRRRFINLVKALYLEAVEAGAIDGSRSVKRRIEEADAAPVSKFDGKAMEGSSNGAAALGIDAV >OB06G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7707366:7711440:-1 gene:OB06G20610 transcript:OB06G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decoy [Source:Projected from Arabidopsis thaliana (AT1G14620) TAIR;Acc:AT1G14620] MLLRSPARSGGIHLRSLLGARGFSSSSPPSAPAAAEGDDGKIVASVLFERLPIVIPKIHPVVYAFQEFSFRWRQQYRRQYPDDVLGKADARGKGDYQIDYVPAPRITEADKANDRKSLQRALDNKLYLLLYGKSFGAPDGKPVWHFPEKVYENEDTLRLCAESALKSVLGGLNNTYFVGNAPMAHMVVEQNEESSASSFKRFFFKSQVVGATKLNIGKCQDYVWVTKDELLEYFPEQKPFFNKMIIHIR >OB06G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7714597:7719661:1 gene:OB06G20620 transcript:OB06G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein phosphatase 4 core regulatory subunit R2 (InterPro:IPR015267); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../otes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G17070) TAIR;Acc:AT5G17070] MEGPAVENPAAPVVAPERAVEDAAGEDSVVPAVAPEAGSVDADQHIEDAAPEDGKHESTELNVDVTPEEMRSVIEVIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGQMVNQEDGQQQNSFSGATYSELVNQLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLSKLALALEKNLLVTSTITKCTDPYPTADVSNLEGTATTENTSAVDVEPERLLEHPAAVPNGNVGGDGDAEMADAEAEEPSSSYDVEMQEDKPDQISNVKPDASSDAAVTVETVDANEKSSDPQT >OB06G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7732513:7741326:1 gene:OB06G20630 transcript:OB06G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGNTAPTHLESAVSMPPPSEFSAPPAPLPAMPSAPPARMPSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGIGNCVLKIDITKVGRGTDFSREEPLKCVLDKLVDGVHLVGKHDGDITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSETETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQRDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNTGFGRDPAISHVYERPPEVTVVESSTDTSLIDSSVVGPTKPASNNQALEANVPSQVQSSTPPSSIDLGYLEEGALRKGPSRGPSLGDRDVDPSSLDYSSKKRMDSDGTSGQGSFGRKDSLGKEEPRGSQGDGTKTSDPRPMFKLGGNTTHLITPSEIMSGVHSSPETAATGSSQNVEVGDKHVDGRKSEQSVELEAVKETQIVHEKRQRPPKNSEQTVNTISERLVTTDKYSLEDSQSRNADGSVSALLKHPSGAGDENTVSEAPEKTSDSYASRNLQLTSVTREEKVLHPPGQLSPTSTFNSTDSSHEPLSNINPPIGSVPQVGIQETLQQLMAMHNDLQKQLSTIVHAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQQIATLITTSVNKDIPAMLEKSLKKEISSVGPAVARTTTPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIWQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGASLSHNTSVLQPSNGPVANLPEVDAPLDPVKELSRLISEHKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMITVHVRPIFEQVYGVLNHQRSLPTISPSEATNIRLIMHVINSVLLTYK >OB06G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7745424:7749889:-1 gene:OB06G20640 transcript:OB06G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSTSKICFVLLLNTGLVAMCVAWQLVKRVFLGPLREAEVERLNEQAWREVVEILFAVTIFRQDFSVTFLAMVAALLLVKALHWLAHKRVEYIETTPSVPMLSHARIVSFMVFLLVVDCLFLSNSLRSLINKREASVAIFFSFEYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRPAVRPHGIHPGVQPAPGNGIPGSEGAAGENVIRRQAKLEAAASAASLYGRSFAYTPANNLNRHSNSSQSTSSGGPQSGEASTSNQSPKDHATAGPSAPAFYARGAVGSMTTARELENSLQKAYENAIKSQIEMLQIQLQMLQHGATSSATNNENGDHTKSD >OB06G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7750858:7751247:-1 gene:OB06G20650 transcript:OB06G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding APFSLFPTRIESINSSARFSSKKEKKTASEKKKKRKKIPKPSRESRPKNRSEFIHPPCSNQETKKIQSSRHVSFRSLLVEPNRRNPQLLLVSSSDRAAVFCWIWSYLARPDRSLGFGGGSFHFGRLNYL >OB06G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7752883:7757734:-1 gene:OB06G20660 transcript:OB06G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRGPGAGAAAAMARRWPAPSGGVLRFAPLATSRVPASLARGSISAGVASKFMPLQPLTAMCMKAEYTSSPVDPNAVTEHAEDENQQPTTVSPTNTEMDIDQEAVPQHKGAIIHDFCLGIPFGAILFSMGLVGFLFWRSTVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAAVASAVAWKHFQAYTTTKKLLPWGFYIALSAAMICFYSYVVLAGGNPPPKKKAAVVQ >OB06G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7764825:7781407:1 gene:OB06G20670 transcript:OB06G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MEQDASLGVSIVSIVCEFNFYMFVTMRYIWYKVDFIKAKSDIDPEDVHALVELGLEIFHASQNKFVIQIKWGGLLIRLLKKHAKRISLGVQWRPLYDTLMRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFRLLLENPWHNSAFEGIGFLRLFLPANSRNQDHYTTDWIAECLDIWGSVTNCNFWDIQWASIIARCIKGSISVDWEKFLPLLFTRYLNMFEVPISSGSGSYPFPLDVPRNTRFLFSSKTRTPSKAIAKSVVYLLKPKSLALEHFEKLVNFLEQFYHPSNGGRWTYPLERFLRYLVIYFERRLQHEQFEAMDDKHNCLGKEERCAFVKVVLKLLDRGQYSKDDSLAETVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALLLSSLCSSQSDDSTTADTFNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGFSDDVPAFLQTTSLSDWLDEFFCRLFSVLQNLESSSPINEGYQSSIMSGTFLVEDSPYYFCMLEILLGKLSTPLFNQSLKKIAKFVNENILPGATSEVGLLCSACVHSYPEEASLYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKAMLSPALETALDYYLRVLAISMSYAGPALLNYRQELKSIIISSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQEELSFANELLEFHFQSALEDLLTICQTKYHSEAGEEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGRSKVVEPIFFIAGSTGSTVGNSEMREKAAELIHEACRYLLKERTDDSILLALVVRIIDALVNYGSLEYDEWSSHLQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKTHLHNTWRCSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVLTLTAKLRDSKASEHMVLGSCNILGSQTVLRHLTTDSGSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRSFFKNTEHETDKPGFLSLVSHINALGFESNSLHWRYNLMANRVLLLLILASRSESDVYSQFLAKTAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASMQDSQQSLNHPEEGSTLSTGEILNNIIQEDGFMSETLNSLSHVHIISDNDSSSRASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGGTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVSGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKEKSGSRAPVLRHKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEDQYHVKILDELLANMSHPSAQVREAIGVTMCIACSNMRLSRLFGHGNSPDVSGDVSMVEQTGNENWSKRLTDGATELSVSIQNNISKQLETTPDSATENGLDKKEEADAKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQETSNKDLSLLAKSAFELLKWRILRRPFLETAIMTILSSANDPNWRTRSALLSYLRTFTYRHTFILSGSEKSHIWQTIEKLLVDSQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILRARQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPVKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >OB06G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7783096:7783572:1 gene:OB06G20680 transcript:OB06G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRFRYLLDDLDLKEIPLLGRKYTRSNEGLSPTLFCLDRVFCFSDWEDIFLECLLQSLAYVVSDHCPLTAGLKVKVHGKRRFFFESFGPNLDGFKTLVEESWGTHLDSVCPVEQFYLKLLCLNKGLQKWSRKVGNVKLQLDMAKEILHRLEIARDLQ >OB06G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7786118:7794808:1 gene:OB06G20690 transcript:OB06G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFLVSLIISLILLIGGSSEANTAVAAAIPSPTGMEYWQKLFPETPMPPAILDLLTPLPAEELKEVSVAYEKQGKKPMNHFFVGHSSNNQHENSLRSTKDGLKEVSVSYGSRQEDEHEHCLHGTKVGLKEVSVSYGSEGEEEIRKAFPMGRHMLNKEREKPLHTDKVERLKEVSVSYGSETKEVPQEEIPMELSMFEKEPKANLNTNKVGLKEVSVSHGSDGEDKPSKAFFTRGYMLGKKHEKSLHGPKDVLKEVSVTYGPELKLSTVLPVGYENHKYTDTIEGVKEVSVSYGSDSEEEPHNTFPTKYILDKEHENPLHVDREKLKEVSVSYGPKLKASNSLHTGYGHKRNIYVNGGLKEVSVSYGSDGEEEPHNAFPTKYMLDKEHENPLHVDKATGLKEVSVSYGSDGEEEPHNAFPTKDMLDKEHENPLHIDKEELKEVSVSYGPKMKAINSLPIGYGHKKYIYINGGLKEVSVSYGSDGEEISKAFPTRGSMLDKNRENSEHMKKGAGMNHRPHIHAHGNTRRLADVFFFHDALRPGSIITPTIPTTDSLPALLPRRVADSIPFSTDRFADIVAMFAPASLAMAREIRWALDTCQHPRPLPGEKAGCATSLESLAELAAGLLGTRDVQAFSAADLPTDGADTPAQRGRYNVTAVRELSAGAAGSEPALVVACHDLTYPYAVFYCHTTKPTAAYAVTLVAATEVDGSPSPARMEVLAVCHLDTSRWNPANPFFVAHSVKPGEVSVCHFLTKLSIVWVPRTDKGGPRANFTPSIFL >OB06G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7796453:7801104:-1 gene:OB06G20700 transcript:OB06G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLSLLSFLLLMMTGEGRNLLSAETGVVTLPGPIADVAAYWKTMLPNSPIPSAILDLLTPPSAEKNVSFFHYGNKEDGYQYGHKKDSYNYSSKEAGYNYGKKEDGYQYGKKEDGYNYDNKDAGYNYGKKEDVYQYSNKEDGYKYDNKDAGYNYGKKEDGYNYGNQKLRHDHVYLDKKKLSSYNYDKQEEGYNYGHPIHGHGHVHLAKKKMSSYNYGSSTGGHDHIHHDGHNHMVFGEELLTPGSTFTPYIMPASARGPFLRRDVAESVPMSTKNFTDILKLFAPVSSAMAGGIWGTLAMCERPGYPVRGERRACARSVESLVELAMSVLGTRDLRAFSSSEVPEEGIDGTTTGMPRRRYSVVSVKTVTSSQESMTCHGVKFAHAVFYCHAINPTRSYEVTLLQQEEGDGDENREVLIRALAVCHLDTSEFDPKKMPANLRPGEGAACHFISRDSIIWAPADHASAQQQATAAEQ >OB06G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7806453:7811031:1 gene:OB06G20710 transcript:OB06G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSLVSLLLVVGGGRSSWEHPGFAAAAAPDSETTTPAAYWRTALPGVPMPQAISDLLTRSTDPGDKTKLLIKYLKSTQKDKLQSFRDSSSIGGPRMHGPQHGSRHFQNNFLYTNDEKVTFPYKRHINEDRNKVTYSIDNEDDQKKVTFSYKPLTKQDQKKVTYDSYNEDDKIKLPFSYKALNKEYQKKVTYGSYSEYDQKKVTLSYKPPSTEDQKNVTYGSYNEDDQIKLPFSYKALSKEDRKKVTYGSYSEYDQKKVTLSYKPPSTEDQKNVTYGSYNEDDQIKLPFSYKALSKEDRKKVTYGSYSEDDQKKLTLLYKPLSMEDQKKVRYGSFSEADKNKITLLYKPLTKEDQKKITYGSYNKDDQIKLPLSYKALSEEDKKKAHIWAIQ >OB06G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7812443:7812958:1 gene:OB06G20720 transcript:OB06G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPASDAIADEMRWVLEKCDSPWQLTGERRKAGCATSMEDLVELPMSLLGTRRLHALSTAGMLPVEAASGKMVTCHDMTYPYAVFYCHMAGPATRAYSVALASRDAGAELTAMEVLAVCHLNTSRWSSKHPFLLAMRAKPGDVAPCHFLPKSSVIWVPIVEQGDGRAAVQ >OB06G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7824352:7826650:1 gene:OB06G20730 transcript:OB06G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNFVFLFFLLLSPPILSDGMLQVMSGGSMIRRNLLQTKKDCPVNFGTQNYSGITSSCKSPWPPDLCCPPFTEFACNFTQYLNDGSNSCAETMFSYLNLIGGYPPDLFASECRGDKDGLPCNVSGSSDQTGSGGSSGAHGSMPEMCSLVMTLTVSGLGMMMLLLC >OB06G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7830796:7836491:-1 gene:OB06G20740 transcript:OB06G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVGSRLHQEQGGYRRGFRPRGGRSFGTWRGGFAGRSSRSRFGGNNQFGHESRSFAEGHWEDRREERKPGGKEGSKLIASSGKLEADGTQVGQQSSEGKKIEKMEGILVFSEQGRDACLLEDHKSNGEAAKLGSKVLFCSKCTQKGHVVADCVSDVFCDICESREHVNHKCPILKLPKPSVQAVGYAVEGLGFNHIHHPPLQRSKKGTKTALVKVIGGSLSKDRLIDQLQRICPGNWNWDPKISEEGAYVVPFPSRIELQRAINFGGADVKEGGVFTSVRVQFEEWFEKEEGYLLPKVWIRVFGLRKKLREYLTLWAVGSLVGATQLVDMKTTRKSDFGRIFVAVLDPAIIPRKMDVVIGDHYFELMFEMEKKGFDENGEEVEFEQEDKDGDGAKEGEKELEEEGRDLKRARNDDMVIDGKPGEDGEVRGVGSHGGQQEDEVFFADKIADQVIQVAMEKVLGEVYDKVEDEEKLRAAMSKKEKEGNEKVERDGEEKEERLLQLAGVKEVLVTPKRSSGRLAKSGGLHSLEKAEKRKAWKNLELSAVDDLYNIGVNLGSSSVLVSGSVDGLKNLEFQRVGNSKLGTLDLVEGIDERDVFSEDEEEVHSQDLGHLCGGLMEEVMDEGSNHLRCDAEIISKKHRVSRIGSKRRIKTGKTNFSDSWLKNICGGKDFLWHWTRPRGRAGGILLGINLDFFDISSIDEGEFYVKFHLKNKNDAFQWSLVAVYGAAQEEFKEAFLTELVNACRTDSLPLVIGGDFNIIRNPHEKNNDRFNGKWPFLFNAVIDSLNLREIDLSGRKFTWANNLQKPTYEKLDRVLVSTEWECNNLLVTVQALSREFSDHTPLLLNTGQGTNRDKPPLFKFELGWLLREDFFALVEEVWSKSSKGLTVLEKWQNKIRSLCQFLRGWAKNTSGVYKKEKEKLLFMTEELDKIAESRILSSKELEDKISMKDRLANLLREEEIRWYQRAKTRRILEGDHNTKYYHMTANGKHRKTRIFKLEQDKGLIEGEHQLKKYITAYYKGLLGYPEENNFSLIEARREDISQVSVEENELLTRVFLEDEVKNAVFQMEHNKAPGPDGFPAEFYQVFWEVIKEDLMALFREFHMGRLPLHSLNFGIITLLPKQNEARQIQQYRPICLLNVSFKIFTKVMANRIALVAQKVIRPSQTAFLKGRNIMEGAIILHETLHEMHKKKKDGIILKLDFEKAYDKCRWIDQIVRGGSVAVKVNDDVGDFFQTKKGLRQGDPLSSLLFNLVAYMLAILIQRTRDQGKIHGVIPHLVDDGLSILQYADDTILFMEHDLEEASNLKLVLSTYERLSSLKINFHKSELLFFGKAKEVDKEYARIFGCKTGSYPLKYLGLPMHYRKLANKDWKEVEERFQKKLSSWKGKFLSVGGRLVLINSVLSSLAMYMLSFFEVSKGILKKLDFFRSRFLWQSEEHKKKYRLARGSVLCKPKECGGLGIQNLEVQNKCLLSKWLYKLINEEGVWHNLLRRKYLFSKPIMQVQKRREDSHFWKGLMGVKDAFFNLLDGSQVIFRATYWARSWSLLLNEEDNNLTKERYRLLEMRVLEFYSKYGWNFRRRMQV >OB06G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7837139:7837693:-1 gene:OB06G20750 transcript:OB06G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLTLAPGSLSKVCSGEAVHQDGKKVRRERLSSGSEVSAASLRGKSEHEVLFSNSVAASPILGFSSFGSRFWILDEEDNSDEESMDSEQEREPAVAARVEVEREADDSLVQNALRKELRVEEIVKSGELLRRSKLPKALSFSRRTKLRRETSLALKVEHGYGKCKPWKGKGHCRGLGPRNYP >OB06G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7839432:7845442:-1 gene:OB06G20760 transcript:OB06G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPLLLACSLLFTIATPIRDIADACTSQINGFAHLNSSGVHLTLHHPQSPCSPAPLPFNLPFSAVVTHDEARVAHLASRLASNDDASSRRPTSSLPLLHGGHRKNKASVAASLASSVPLTPGASVGVGNYVTRLGLGTPATSYVMVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCGSSECGELQTATLNPSACSRSNVCIYQASYGDSSYSVGYLSKDTVSFGSGYFPDFYYGCGQDNEGLFGRSAGLIGLAKNKLSLFYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMASSDLDASLYFISLSGMSVAGSPLAVSPSEYGSLPTIIDSGTVITRLPPSAYRRGHGRRAPRADVLDPGHVLPGHRRGAARPGRRHDVRRRRDAEAGAPERARRRGRLHDVPGLRADRRHGDHREHAAADVQRGLRRRAVQDRLRRRRLQLRARPALVDSS >OB06G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7847859:7849598:1 gene:OB06G20770 transcript:OB06G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYVVNKAFIYLVNYWKAEDMESVKAEVLKMLPLVQAVFDAIDWNNIKEQSVALDAWLWELRDAIEEAEDSLDELDYHRLKEEVKARDEQEVRGSVLKLKGKLIRKITKHVPKNGMLKRLMESVEGLHKAIGGVKNFMDVVNKVGVVNQFMDYELRMKRNQFETTSRSTAIEVFGLDKEKDIIIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQNCFDICMWVSVSSHFDAPTIAKSIIEAISKEAPPANTLEALHAILEDRLISKRFFLILDNVWNDNDMDGWEKILAPLRIGGTGSIILLTTRMKSVGDMAGYALGLKVQHLKLDGLLEKDILMLFNKHAFSGLNIDCYKNCQLLGEQIVKKISGCPLAAKVIGAHLRDNMSYMYWEKILRENLHNLQLGMVGVMKVLRLSYHHLPANLQLCFRYCSIFPQGYRFGKKELVDMWLGSGIILQTMDETKTLEDIGGQCLDQLARKSFFEFTSEERDGVILEEYYAMHDVLHDLAQVVYSGECLRIEGIRSMKIAKTVRHLSVKIVDSVHLKGFCHLNN >OB06G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7849848:7851680:1 gene:OB06G20780 transcript:OB06G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGKILKLNGMNNLVCLRNLHVPYNTISSIPRIGKLTCLEYLSVFNVQRKIGYTVCELKNLAQLRTLRLRDIQNVDTREVLDANLKDKKHMRTLSLHWSSDEVISENTDDQVLDNFQPHCGLEELNIIGFSGTKFPFWMTNSYLINIVSLKIINCGKIQLLPSLANLCSLKSLYLLHLPLLTSMGCFFHGCSEVPIGCSHPLISSQQSATDISEGTNYINSDSKFFPSHLYTLVIRGCPKLMELPTLPLSLKHLKIVSSGLMFLPKMYQNYNLEGSLASLNESQLTNVLIEYCPNLTSFAECFLQQNICQASLRQLHIYQCEKLERLPPNGFVELVNLQLLEISYCPLLKDSRMEIKLLPSSVEQLTIGSCGKLETMLISSLAGLKALSNISLSKCSHLTSLPSANTFETLTSLRVLGISDCPNLSSLGGLQCLEFLTYLTIDKCCSLTKVFTLQSPSPCQSSQENFMKNLLKIETLCIDDHSLLFVEPLRSMQFTRELIIWDDLVMTSLPEHWLLQNRLLLRKLFIRNAKALKCLPSSLANLYQLRTFILTNAPLVNSVPDLPTSLSNLTLENCPTILTERCRKGGYDWSKIAHIPLVKINVVEKQ >OB06G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7853509:7854251:1 gene:OB06G20790 transcript:OB06G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQQLDLAVEGRWRLLRRLECTMVSCDTASQRMTVWLVLCLLVLCHHWRFGVPLVLCHQRARDEVQGGQSATLYYTNYSGDEEIGCLAFATMEKRRFSVVRNTQQWSIEVIYHLNQGWIGFIRKLLIGNSFGLNA >OB06G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7856553:7860933:1 gene:OB06G20800 transcript:OB06G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAIVPCSVLFVSLLLNLLAPYCLGSSYRTNATYTHGGLHFVVDNWVMPGSTFWHDGQVWHGTEAAAGSNRLPVVHRLSSCSPLGAARSHGKPSADADVDGDDVFRRDAMRLHSLFREEPTTNKYSPAPPSSPSSSDALTIPTTGSPLGSLPGTFEYHVAVGFGTPVQVLTVGFDTATQGATLLQCKPCAAAGDGAVAAPCNDVAFDPSASSTVSQVPCGSPDCPLSSCSGEGCTVAVTKDGAVLANATFVMDTFAFSPSAFALDFRFACLEMGLTMVDHSSGILDLSRDRHSLASRAPTSPDTVAFTYCLPPSNNAMGFLSITAARPELSGRDVRYATLGSSAAHPTRYVVKLPGIGLAGPDITIPPAALAGCDSLLDLHTTFTYLRRDIYAALRDGFRGRMRGYRAAPPVGELDTCYDLTGHESYVVPSVTLRLDGGVNLDLDLDLDQMMYFPDQWSFFSVGCLAFAAAPRGAAVVAVIGTLVKKSTEVVYDVSGGKVGFIPSSC >OB06G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7863241:7864594:1 gene:OB06G20810 transcript:OB06G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSASRCKPCFAGAPCDPAFDPSRSSSFAAVPCGSPECPMDCTGSNCPFTVPFGNTTVANCTLVRDTLALSPSATFAGFTFGCVEFGAAAKTFDAAIGLIDLSRSSHLLASRVISSPPAANGTTTAAFSYCLPSSTSSRDFLSYAPLSSNPNHPNSYFVADVVGISVAGTQLPVPPTVFTAASTMLEVATEFTFLAPAAYAALRDEFRKAMAQYAAAPPFQVLDTCRYNFTGLDRFAVPAVALEFGGGMKLGLAVDQTMYFTEPFSVGCLAFAAAPLPAFPVSVIGTLAQRSTEVVHDVRGGRVGFIPGSC >OB06G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7869900:7870967:1 gene:OB06G20820 transcript:OB06G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPGFHDYTVVVGYGTPAQQLPMGLTTGLGVSLVRCTPCGAGAPCDDLAFDPSRSYTFAPVPCGSPDCRSNCSSSASASWCPLTVPFLEGAVVRDVLTFTPSASVHDFTFGCVEGSSGGPAITPPSGAAGLLDLSRDSRSLASRLAGGTFSYCLPRSTTSHGFLAMGDDDVPQNRRGRVTAVAPLVDCPALRNHYVVELAAVNLGGRDLPIPPAAASATNATVLDTAISYTYLKPSAYALLRDAFRRDMARYPAAPAGGGGDLDTCYNFTGQPEVALPLINLRFGISGEILLLAPEQMLYQTEPNNFFSVACLAFAALPSDDAPVSMVMGTLAQSSMEVVHDVDGGKIAFIPGSC >OB06G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7873474:7876660:1 gene:OB06G20830 transcript:OB06G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRPPPPPSSSVLVCVLVLVLSWCRLLRGAPPPRYLAASLDELRRSSNRGQVHSPPLTSPAAASGSKLTIHAPVTGQPAARDISVRDRARLRTLLQRSTSTSAASSSSFAPYASPPAMPPNPAVALAPAATIPDRSGADLDTLEFLVVVGLGSPAQTSALIFDTGSDLSWVQCQPCSGHCFPQQDPLFDPTKSSTYAAVPCGDPQCAAAGNLCGENATCLYRVQYGDGSSTTGVLSRDTLTLTSSRTLSGFPFGCGQTNLGDFGRVDGLLGLGRGQLSLPSQAAASFGAVFSYCLPSFNTTPGYLTIGATPVDSSKVQYTAMAQRPQFPSFYFVELVSIDIGGFVVPVPPAVFTSTGTLLDSGTVLTYLPPPSYALLRDRFRLAMRQYRPAPPDDILDACYDFTGVNPIVIPAVSFRFSDGAVFDLGFFGVMIFVEESIGCLAFAARDPGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPGSC >OB06G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7881995:7883079:1 gene:OB06G20840 transcript:OB06G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MDJ0] MAIVTKAWCVVVVVVAAVVAAADQLRVDYYSETCPDVEAGGGGGMEEIIGAAPSLAGPLLRLHFHDCFVRGCDASVLLSSSGGNVAERDAKPNKSLRGFGSVERVKARVEAACPGTVSCADVLTLMARDAVVLARGPTWPVALGRRDGRVSSADEASAHLPPADGGVQALATIFASNGLDLKDLAVLSGAHTLGTAHCPSYAARLYNFTGKNDADPSLDSEYADKLRSRCKSAADGAGMISEMDPGSYKTFDTSYYRHVAKRRGLFYSDAALLSDATTREYVQRIATGKFDGEFFADFAVSMAKMGNVGVLTGDEGEIRKKCYVIN >OB06G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7894345:7894716:1 gene:OB06G20850 transcript:OB06G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDSGTVITALPATAYAALRSAFRSAMSEYRLLPPSDGGLDTCYDFTGHSNVTVPRVSLAFAGSATVELAVPSGVLVDGCLAFAGTGTTDGAIGIIGNVNQRTFEVLYDSGKGTVGFRAGAC >OB06G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7901307:7902014:1 gene:OB06G20860 transcript:OB06G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXAHGRTPGGGAGAVVVSVDYRLAPEHRFPAAYDDGEAVLRYLGASGLLPDEVDLSACFLAGDSAGGNIAHHVAQRWTASTAPPSENPVHLAGVILLEPFFGGEERTSAERALDGVAPVVNIRRSDRWWKAFLPEGADRNHPAVHVTGDAGPEPELTEAFPPAMVVVGGHDPLQDWGRRYACMLEQKGKAVRVVELPEAIHAFYLFPELAGDNRKLVDEIRAFVEESIIKGQVVH >OB06G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7911568:7917311:1 gene:OB06G20870 transcript:OB06G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigger factor type chaperone family protein [Source:Projected from Arabidopsis thaliana (AT5G55220) TAIR;Acc:AT5G55220] MELATATRARSCVSSRLAAPRRNCSFLPSSSSSPFAPLSTSASASRAQGRRLPVASAAVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYSKRFKIPGFRPGKVVPENVLINYVGPKHVQDATIEAILRHTLPQALSSVEDRALEDSVRILTKFEDMADSFSLDNVFRYDVSVDVVPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEVELKRRHKALGLLRIVAERGLQVGDLVVLDILAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPESFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTMDQVQERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQKSVQDYLESERENINRIIKQMLAVGEIFKAENLQYSTEQLVKEVENSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCKIEYIRR >OB06G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7930656:7931187:1 gene:OB06G20880 transcript:OB06G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERSRAASSSLGEPTTLLVLHPQSTSLLCTNPDQAYLASPAASVDAMDAQDHQILLMRDLVAVLPTGA >OB06G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7932125:7933564:-1 gene:OB06G20890 transcript:OB06G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSRSAAFVIAFLMKTRGWRLAQCFQWVKERRPQVQLADAAQRQLMEYEQKFFSSTFGMPAQSFAPTDSFPSLGFGFPKPSGDIQVPIFNQQAPASIFERVSPNNIPSNFTFGAEKTTEVKLPDGNNLGVVNSSGGDSMMDSS >OB06G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7943427:7947144:-1 gene:OB06G20900 transcript:OB06G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITCGSLLQKLQFIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLEKLEEFRSQLQELEKEKSNRLEKVLDYVNMIHDLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDEVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDSQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYVEQQLNTDHEGPFGSRVSPNRPASAKKVPGAKSNGSANGTPPSRRLSVSGHQNGSHTARSGGKDGKREAAKTASPGNVAAAAAKEDASSHISGTDPVPSTP >OB06G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7968634:7973341:1 gene:OB06G20910 transcript:OB06G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G26750) TAIR;Acc:AT4G26750] MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSEDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDLEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDETLASTTTVPHDMGHSQSFGTGQHGNESSSQHIDKDFSRRDSFSAVQPGNNAPRQSTEKFNDHFSAQSPYSPPPPQSHDHVSAQSPYSPPPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKLPHNYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTEHPSNESYNPQSNDKPDVSAYSHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYPNFQSYPSFQDSSVPSVPNHQSSFYPASDGTTAASYSPSASNHSVPTQYHPSPDATHQVTPPAPAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >OB06G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7983406:7984075:-1 gene:OB06G20920 transcript:OB06G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAPVSLPEYVQFEGHLLPVAWLEGEVLDEFLRFLHEPAAATAVAEDEEEEEPHEVEFEVEEEEDRQEVEFAAEDDSEDGDLVVGPMGDDEDEDDGTFNLVADADFEDDGDFMDEGDQPEFDDDDQEKVGELTAADEEEPAQSVPHIAATARAAEDERDEHGGVHLADNSSADDEEDSDSFEEEVERELAVLLGRR >OB06G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:7997537:8001516:-1 gene:OB06G20930 transcript:OB06G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLPLLLLLALLSPAAAGAGGEAHVSAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGSIRVAASDITLFHLDVGDDSVIHPGDSALVVVASGVSANLSMAWNYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNYNGSLALSVSQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDGTSKLDSFLQSLPRSVNLDNVSSVNMTFVNDPQYGNSSIEFDINGLFSSAVAKTTNLQKHPQLSLSCGGASKMLLLSLDEDVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDNMQLNISMASSPVIRITSENIGATINADMIIDVLHGRETIPVACISVVVSASGVAEAAGNKVYGKVDLDNFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDVYVLTSAEQLTLCSDIAFANASSLASLLVL >OB06G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8005148:8009472:1 gene:OB06G20940 transcript:OB06G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNNIRLILCLVNNLDQFGGKAQYFQWAQAAGANVTNSTDSFFSHPAIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYIQAWIAEMAAYIKSLDTNHLVTVGTEGFYGPGSAERMDINPGEWAASLCSDFIQNSVVEHIDFASVHAYPDSWLPKASLEEKVRYLSSWVDSHLNDSEHILKKPVIFTEVGYLQHSDANSTVDKDIILRIVYDKIYDSARKLQAGGGALIWQLMVEGTHMYADDFSIVARDRPSTYSLITEQSCRLQRMYGKGDPGWQCSVPP >OB06G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8012265:8014436:-1 gene:OB06G20950 transcript:OB06G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSLSQVPEELSSGAHLNKGNIYVGKTTPPAVVRLFRKKFREDLSLFLALRAQELVSGGRMVLTFLGRKSAEMLSHGDVGSMWELLAQALAILVQKGRVKGRDLTAFNLPFYAPSVEEVTELVEESGLFDVDHTGVFESTWDPHDDSAAGDDDEVADCARSAENVAYCSIRAVVEPLIAGHFGEGIVDELFEVYGTVVAEHLSKGRAMYPVIDVSLKLKGRLSSRIQHSDSRTVSTIPFLLLKKL >OB06G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8018821:8019014:-1 gene:OB06G20960 transcript:OB06G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding APVTVFLSIAPKISISANMSRVSIVASYGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSS >OB06G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8022614:8028971:-1 gene:OB06G20970 transcript:OB06G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKQSLHMNPGQGETSYAQNSVIQKTAQERMKTLIEEAMTGLYNTATSSSPLHQKELVIADLGCSSGPNALTLVSAAVDAVVVHRRHCAGVQQLPPPELCVFLNDLPDNDFNTVAKSLAALKQSARPAAMVTAGMIPGSFYDRLFPRASLHLVCSANSLHWLSTAPEDLKSGGIPMYESDEQTRPSRLQVVLDSYGRQFRKDFMQFLSSRAQELVPGGRMVVSLLVKRSDEPDPELTQPWTTVMMALCDMAMRGVISKEKFDSFYVPMYCPVHSEVSKIIEEEGSFEINKTVMHEPFDDTYKAMVNLKMMSLGTRAVFEPIIAQHFAPTSEQVMDEFMAAVERQLRISRSLEARLSGESPFAFWCLALTRAIR >OB06G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8072597:8075371:-1 gene:OB06G20980 transcript:OB06G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNLEEPDSLISSLSSLKLMQNLVDPELTPGINEDDNSNLLHHETANVEAPVEELLASLIDKKSNLQEISTEPETPICVSATLSSEIYNSGFVSTSDPHGFPVTSRKDDESTEANSDCTTISPSQNTHSTLQTYDSLREMVQLQHTPLHNFQDDASSSDSSKMKEKKPHLMHQFRERQMSLRNIREKAPEPLNRSNSGKCFRTGNTFVDTTTHIEPVKVAASRFGGSINWKTRRSKPELESGHIILELDRLKKEISEFKWQAEAAEAANISLSNDYEKTKSLIEGLEHDLEKAQEEEICAKLDLEFFQLIVHEMEEGAKSDGRIAGREKLHIIKEQYNLVLSNLMLVKDESRKLQENYETLLIERDISISKAQVAVVMSKDAVTKVEELNVELNRLKEELELTHSTCNDAEKHSIDMSLAHKEDSLRWERILRQAEEELNQLVQKISSIEELKSMLNTSTLLLLKLQNELAAYVEHKPIQEAQGNITQKCLDDKVIRLTGESEECLMSIDKMRNEVCSVNAAAASLKTELIKEKVALSAMQHMEATSSITAASLKVEIQLAQRKLGAVQAKERESQNGMLGLQKIMEDTVKEADEAKSITKEAQEKLRKAKEDMELAKSSLNTMESRLQAVLKDMEKAKQSERLALDALRSLESEHAVDIEGQAFQMITLDLVEYQSLVEKSNQAEELVHERSAAALAQVKMAKESESRTLSALSETYKVLEQRKQALSAATERANRATEGKLAMEQELRKWREENEQRRKANGALKSEHKSSSTSMMMVEHSGDTKGTGKEDSYASVHPMLDLSARSSPDDSALPVKKMKTRKLLPSKTRKMFSFRRTIMFFARKKFKAAE >OB06G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8077362:8080290:-1 gene:OB06G20990 transcript:OB06G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHNLPRFFKTSAFPSKSKQTRAKCDASSAPSTAAPRADALARFLPATRSLKCLTKLHALLVVAGAVRDAPVVLAAVEGYLLPPRRRPEVYELNVSVRCFSDHGFHGELLGLYRELCAFGSDNFTFAPVVKACAAVSCLRLGKEVHCRVMRTGHGGNVGVQTALLDMYAKSGQVNLSRTVFDGMASRDLVSWNAMISGYCLNGCLQEASEAFKQLEQDGFRPNAGSLVGIASMCSGLGVSASGGSLHTFALKSGLLGDEPLTPAFISMYAALGHLSSSWLLFHEYSVNSLVYFNSMISACVQHGDWEGAFWVFRLMLCTGLVPNLVTVVSILPCCSNFFGINHGDSMHGMVIKFGLEEQVSVVSALVSMYSKLGDVDSAVFLFSSLTEKNQLLWNSLISGYLVNNKWNMVLDSVCKMQTEGVDPDALTIINVISACRHTKDLRVAKSIHAYAVRSRFEMNDSVMNALLTMYAACGELSTSNQLFQKMEVQTLISWNTIISGFAENGDSMSCLTLFCQMRLSGIQFDLVTLIALINSLSATEDTTIGELLHSLAVKSGCSFDVSVANALITMYTNCGIIQAGKKLFDSLSSANTISYNALMTGYRKNNFSGEILPLLHEMINNDQRPNTVTMLNLLPICHNYLQGKAIHSYAIRNIFKLETSFFTSAICMYSRFNNIEYCHKLFSLVGERNIILWNAILSACVQCKQAAVAFDYFKQMQFLDIKTDTVTILALLSACSQLGKVDLAECLTAIVLRKGFDGTIAVLNALIDMHSRCGSISFARKLFDISMEKDSVSWSSMINAYSMHGDGGSALDLFNMMVASGVQPDDITFVSILSACSHSGFLEQGRALFRSLHTDYGITPRMEHYACMVDLLGRTGHLDEAYDVITTMPLRPSESMLESLLGACRFHGNSEIGESVGKLLTEPEHGNTRSYVMLSNIYALAGKWSAYEQLRSDMEAKGLIKDAGVSLIGGI >OB06G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8088090:8088866:1 gene:OB06G21000 transcript:OB06G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNAARAGRKKKIKIKRIKKTDARDVCFSKRRQGLFNKAGEISLLCNADVAVVTLSRDGKGFSYGHPSVGAIADRLADMAIVAPSNPSSLGGGDTHDSSDTMQQMKLQFVEMQNSMESMENKRTTVLEKIENEKVDLMHLLNTQPNVLGIEESKELYDKLSALQSTVRAQIRQKLSDAGVTSSTMPLPDQPPVEMAAGSSQFHFEGQGVTPANTHLPAEISYGLTTDEIFGANDLLIPGVQDGGGFGDCPSSQFGW >OB06G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8097459:8098598:-1 gene:OB06G21010 transcript:OB06G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVDEEIIATKFLLYCFEELSGIKINYHKSEVFSVGISKLEEERIAMILNCNIGSFPFKYLGLPMSPFKLRVADLEFIPQKLENRLNLWQAGSLYYSGRAVLINACLSSIPSYAMGLFLLPESIHNRLDSIRGRFYWEGVNDKRRYHMVKWCNLAFPKNFGGLGFTETRAMNSSLLAKWIFKLESNDSSLCMMVLRNKYMSNSGFFQGHARGKMFSFFWKGLHSIKAWVLRGATEVGIGNHIYFWRDVWLNSVSLKTLYPFLYEICNQKEILVKDVLEEGLQILTFRRAFSITDFAQWNELCALIDNLNILSQERAEDNLVWALDNKGVFSSNSLYNLITFRGVIDVNMQNLWSSPIPLKLKHFLWLAWRDKIQST >OB06G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8102901:8103575:1 gene:OB06G21020 transcript:OB06G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKTEIKRIEKEDRRDVCFSKRRQSLFNKAGELSLLCNADTAVVVFSRAGKAFSYGHPSVGAMAIVTPNNPSLGGGTHDSEQSSARDWMMQDYLQYCHCHIQTCKWHSHLNSSLKGMQGVTPADTHLQESSYGPTDEIVCANHKLPGV >OB06G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8107333:8108009:1 gene:OB06G21030 transcript:OB06G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSTMVVMLFVVAASLLLLSQDVVVAARELADTTAEASKGGEKKDDISISIGVTIGTKPKHGGKKTPSYGHSPP >OB06G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8108777:8114867:1 gene:OB06G21040 transcript:OB06G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCKNMVCSEKLQKCMPIERAMSTEVLLASSRLTWILSLFRCSHSMVSHVGPTLAFEQDIYLRWIKNGRCTSSATDYRNGYNASALVFDIVNVLCADDAATSIKAFRKQNRPRPRLDKSSRPTKANKDKAKVKVKKRRRSERAADADGERSGERSGRTEVTVIDTSTDGWKAAKLLLRRGAVWKVRDKTSQVSEPEDPTKVKRRAGLVSKIQRDREKQKQKEKEANSSGNVHAISGDVMKELDGPFQALKRSRCSEPEPEGQIIVFPH >OB06G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8120990:8122301:1 gene:OB06G21050 transcript:OB06G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLLLLGVVLACLLVSQDVVAARELTEEAHESEEKNVKPESGHDTWGGGYMRGGGYGHGGGYGQPSYGGGYGQPGYGGYGPGYGYGGYGPGYGGGGYGPGKGGGYGSPGYGGGYGRPGYGGGGSYGGGYGGGYGGGYDGGYGSGSGSGYGSGGGYGGGYGGGGQHGGWH >OB06G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8125452:8126213:-1 gene:OB06G21060 transcript:OB06G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNFLLLGVFLAALLVLSLDVAHARELTEANESDGKNVKPTGGPGVEDQKWGGGYYHGGGYGYGGGYGAGYGRPRYGGGYGGGYGYPGYGGGYGGGYGRGYGGGYGGYGGGYGGGYGGGYGSGYGGGYGSGGGYGGGYGGGYGGGYGSGYGGGYGSGGGYGGGYGGGGGWH >OB06G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8127348:8129541:-1 gene:OB06G21070 transcript:OB06G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTYDRLGRGDWAPVKKGYCNRGLLLGIKVDDEYPPWLKAERIHGVRHRPPPLGQAAIEWELSSVMVDAYEMYCNILASSMNSYKKLGGPVNDLNAMCSVKTKWLCFKQPTGYGICGYYVCEMFLAIPKVVQCMDIKTLSYLCVDICDYIMFKI >OB06G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8134444:8135106:1 gene:OB06G21080 transcript:OB06G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLGVFLTAILFFFLDVAHARELAEANESEGKNVKPTGGPGVDDQKWGGGYHHGGGYGYGGGYGGGYGHPGYGGGYGRPGYGGGYGGGYGGGYGRPGYGGGYGGGYGGAYGGGYGGGYGGGGGYGGGGGYGGGHGGGWP >OB06G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8141253:8142656:-1 gene:OB06G21090 transcript:OB06G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTTVDRVTTISASIPAMTRFSEAVNRLGQLLTKAASGKNCREGGQKMDWRDVSGSTGLEGPGLKSICPELLGS >OB06G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8142541:8142801:1 gene:OB06G21100 transcript:OB06G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGTVVDLVAAMVGMYLVMAGMEADMVVTLSTVVGMDMVEVLGMLVSMGDTVVVVVVVVVEEEDGGGDRDGGSGDDDGGGGGGGG >OB06G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8149321:8152087:1 gene:OB06G21110 transcript:OB06G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G04740) TAIR;Acc:AT2G04740] MDHRPQETRGEQQLAGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPLAPLPAALRATFLACPANRSAFLEMLQWSAGSDAAALATAAGFGPMDNASSTSLFPPDITFYVDGKPVEAHRAILCSRSSFFRQKFKTDWKNRNEVRFSSQKLSFGALYSLIHFFYSDRLEVDVDDMENLARMCKVCKCEELQKILDNEVAHQKYAEYKSPRVDLDSSQKRFILNWQSLPEEDRLPSALQRILEDCLANSREQDCYNDESNEMSRDSGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLFRNMEYLEVESGEATQNIPFLEEFDLSTEAFEKVLEYMYTDKLEHMDPNQAEELFDIASRYLLFPLKRVVADILLTYLEHVSPAELCHWLMLSDIYDVVKIREYCLDVIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDEGNLLDDLREKWLEAEAAELDERDESAKLFDRRLEMLMLVAEQEVNDDNA >OB06G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8153596:8153829:-1 gene:OB06G21120 transcript:OB06G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFWSTYESLILSYFLVFFYKIVGIKIKSMSKLTCTLFDLTPVCLLFFAHDKLNTTYIISMLHILDILYFYYRSTSF >OB06G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8154098:8157938:-1 gene:OB06G21130 transcript:OB06G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVGEPSCSSSEPPPPSVEREVELPEEHREATSSHCSGEEEEGMKEVMVEEEEEDDDDDSEGKVAEDIDLGPRVSIKEQLEMDKEDESLRRWKEQLLGSVDLNSVGETLEPDVRITSLCILSPGRPDVLLPLPVELSNSKEPWFTLKEGSTYRLRFTFSVSNNIVSGLRYTNTVWKAGIRVDRTKEMLGTFSPQQEPYTYVTPEETTPSGVFARGSYSARTKFVDDDRKCYLEINYTFDIRRDWPCSS >OB06G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8163254:8165989:1 gene:OB06G21140 transcript:OB06G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ (sodium hydrogen) exchanger 3 [Source:Projected from Arabidopsis thaliana (AT5G55470) TAIR;Acc:AT5G55470] MSFGIFGVFISVAIVSSGCYWFFPKIGFGDLDAVDYLALGTIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDVSQLKGGVALKVISDFLYLFFTSTVLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLAEFVDLSGIMTVFFCGIVMSHYAWHNVTESSRIATRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLVIFGIIISLVLLGRAAFVFPLSIMSNYMSRSSERTPITFKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIIIVFFTTLVFGFLTRPLISAMLPRHRPSTTPGAGAGGGINSPKDDLILPFLSPEDQASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDKFMRPIFGGPMDHDRANYS >OB06G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8170402:8178400:1 gene:OB06G21150 transcript:OB06G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT3G57680) TAIR;Acc:AT3G57680] MNNSVDPIDGNDKKDKYYWRGVAAEYNNNTHSNDHKRTAIQCKTHWLGLKKEIGKFCGIYSQVKSTWSSGQYDDMIIEKARRWFKSKNNGFQGCSVNIKVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKIRGMLSTLGDPFTKIISPKEYQSFRIGSDGNVQGVGVFINREPSSGRLLVMDCIEGGPADRAGIHGGDELIEIDGKSVFGLDGEAAAQRLRGRVGTTVKVKVLDGTENERNGSIRQKEVQLSREVINLSPLSATVISHRSNDGRECKTGYVRLAAFSQTAAAEMESAIKKMENEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTVDREGNVLPINMVQGHSLTHDPLVILVNEGSASASEILAGALHDNGRAILVGHRTFGKGKIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPPLKENNEATSLEMDSCIMVAEQALEIEKSNGSAS >OB06G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8183287:8187385:1 gene:OB06G21160 transcript:OB06G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type/C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G08505) TAIR;Acc:AT3G08505] MSSKRVLCKFFMHGACLKGEYCEFSHDWNDQPNNVCTFYQKGACSYGSRCRYDHVKVSRNPTVPPPQSSSTTTRASSSVQPLSFARPHHVGYQVDSSNPRQQMSMDVLAHSGSKPIWRNDFQHESILEDGIDWSSNRIVQNQTTLSAADLPICSFAAAGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHIKLCEKNHKRLDSLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIENYKVKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGRLEEVVLRHLDADDGSTVISKNIRLSDFLSRLRL >OB06G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8190536:8190769:1 gene:OB06G21170 transcript:OB06G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKIRMSISKDDEEHKNHSPSDYSRSIISLISQRRRKVKEEGRRKKLCMHGLIGVGGRRLLHERGGEEGEERGGGD >OB06G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8199944:8200150:-1 gene:OB06G21180 transcript:OB06G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPFFTYKLNLQKNTGKEEKASKLNEWTNQHCQLNPNKTHIGANYNMSDNQHTHETKVSSPPLPST >OB06G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8212595:8214669:1 gene:OB06G21190 transcript:OB06G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKAEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKLVEDEE >OB06G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8216918:8217607:1 gene:OB06G21200 transcript:OB06G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKRSVGKLSPARGRRAAAAEGVPEDGPVAARLAPAEQGALPGGVPARGDDAVADAEQRGAEEDEGDAEGDPRRDVHLRHRLEVVERREEPHPDGQRRPHRHPHPPHHPVRHHLRDRRVPLVLLVVVVFIFLLVLLLRGPRGLPLPPAAAAPPALPPGTADGDDLGLRVVTRGALVPAGAGEERGARGGVGGDRRKVQAEGRRGSAGERRWSERQLHGESHVTRERRASYL >OB06G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8216939:8217565:-1 gene:OB06G21210 transcript:OB06G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3464) [Source:Projected from Arabidopsis thaliana (AT4G19100) TAIR;Acc:AT4G19100] MELPLAPPPLSCASSSAFRLHLSPVAPNTPARAPLLARARRNKGSPSDDAEPKVITIGRPGRKSRRRRGGGGKRQTPRAPEQQDEEEDENDDDEEDERDAAIPEVVTNRMMRRVGVSVGAPLAVGVGFFPAFYYLKTVAKVDVPTWIPFGVSFVFFGAALLGVSYGIVSASWDPSREGSLLGWSEARRNWPVFWDSLRGGGPAPPRRR >OB06G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8229386:8238838:-1 gene:OB06G21220 transcript:OB06G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTSPATLQPCKNNATGVVLIGRSSPCSWRKTLLRHHVVKAAASSSSRWRKAAPTGAGGGELKTSTSGTAREEAAGDVIRRLQNGPDVRGVALEGEKGRAVDLTPLAVEVIAESFGEWLRDQDLQPLESRTTDGGEMRVSVGRDPRLSGAALGAALFAGLARAGCAVFDMGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFTKRGGLTSGDVEDICDLAARKYVARKMGLGGGRGMPPVVMRVNAMTAYAQHLRDIIKERVAHPTHYDTPLKGFKVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGEFPPPPPRGPPPAMSLTRAAVLGRGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSSVVLGEHPGTTVVTDARAGEGLTRFIEARGGRHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENYFLDDGAYMVVKIIIEMVRMRLQGLEGSIGSLIKDLEEPAESVLLRMNILGEAKYAKQRGAQAVQTFYNYIQERKLNGWVLDDCGDCSVSQGCLVDTNDNPIAVDAYMYRAKFFDDYNRQLGWVHIRQSVHNPNIAINMQSSVPGGCKSMAKNLLERYLLTSGINDFVDISQVQKFVN >OB06G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8241996:8242160:-1 gene:OB06G21230 transcript:OB06G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPTKRVPISTSNRKLARTCSTSKYELTHRSWSSEHVTRNPSQMRNYMEVASS >OB06G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8245456:8245986:-1 gene:OB06G21240 transcript:OB06G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLSLCCGEADDHGARHWLTDQVPDKKKPPPLDDGAAKGGSTTTPPAEPAGTADGTSGGPGEKKTAGTSPPAKATPEKHQQQDPAEVVQQHAKEKNSAAGQGAGARRNGSASTTSPPVPIAMATHAATVQDAPPRAAAAAGAGAGGVVHGDDEQRRAGHGDQGGGEQDRKPSRRK >OB06G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8254426:8260289:1 gene:OB06G21250 transcript:OB06G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLADEVHPFSGPYAPTGHANPQAAQLPLRGARFERPDFPQLFSGASPLVGSSPYAQCYGGHQFGVWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVCQLADYTIRHHYPHLQNIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKDEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLSNLAVDKVDYTNFFRLLSNVKADRNIPEKDLLVPLKAALLDIGQERKEAWISWVQTYIEELVSSGVPDEERKAAMNSVNPKYILRNYLCQSAIDAAEQGDHDEVRRLLKVMEHPYDDQPGMEKYARLPPAWAYRPGVCMLSCSS >OB06G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8260860:8262429:-1 gene:OB06G21260 transcript:OB06G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 1 [Source:Projected from Arabidopsis thaliana (AT3G54890) TAIR;Acc:AT3G54890] MSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILAIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPAKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDVIIPRTIYP >OB06G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8276923:8277357:1 gene:OB06G21270 transcript:OB06G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKVTNGRSHEEKGSSGEEVVPARKRRGRPQKRITDKVDQAEAKNVAEGDDGDADYQQGEGEDGGAKPKASRAEKSSAGKGSKRNRLPKEEESSNLDPEENSSGTRSSNDESTRSNGFRQNGSRRKSTPRRAAEAGI >OB06G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8281666:8287501:1 gene:OB06G21280 transcript:OB06G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWLMLLMLLMASSTSRRSEAKVGEIKRSQFPKGFFFGAASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDVAIDSYHRYKEDVRTMKDLGMSAYRFSLSWSRILPNGKLSGGVNMEGIRYYNNLIDDLISKGVEPFVTLFHWDSPQALEQQYGGFLSDLIVQDFQGYADICFREFGDRVKYWITFNEPWSFSVGGYGSGILAPGRCSSRGKPGCSQGDSGREPYIVAHNQLLAHAAAVQMYRDKYQGEQKGKIGITIVSNWMIPYEDSKEDRHATKRALDFMYGWYMDPLTKGDYPVSMRTLVGNRLPIFTKEQSKVINGSFDFIGLNYYTARYIQGTKQESNNNKSYNTDSLTNQRVQRNGTDIGPKAGSSWLYIYPKGIEELLLYTKRTYNNPTIYITENGVDEINNENLSLKEALIDNTRIEFYRQHLFHVQRALRQGVDVQGYFAWSLFDNFEWMDGYSVRFGINYIDYKDGLKRYPKRSSQWFQNFLHS >OB06G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8288571:8291789:-1 gene:OB06G21290 transcript:OB06G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPAEIRNLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGVRARVAAAFASVPRELDPSLLALEPDEIGYLEAKKIYGILLESNTESRNIFGRLTGSAGEWEAIVKAYEKDHLFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSASRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSKATEYYTTFVRDCHAEDKENCKSVLQNLKQLQANPPSLHISVCNEVETSLGETSKAHGSNVTGEENIASNMSADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDDNPEENATMQNAPESGQPQSSAEERSQLLEKEYRNNILDDLLEVKAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSVFDGRPVHIIGEINTLLSSSVSQLAG >OB06G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8292224:8293344:1 gene:OB06G21300 transcript:OB06G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42850) TAIR;Acc:AT5G42850] MAVEKVDTTVADFDAHFDKLFAAGEDGGGKVKLLLFLADRDASSDLTWCPDCNVAEPVIYERVEALGKDVVLLRAYVGDKPTWRDPAHPWRVDPRFRLTGVPTLIRWENGAAAARLGDDEAHLADKIDAVLNDASSAN >OB06G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8293754:8295146:-1 gene:OB06G21310 transcript:OB06G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMALLLAKTKFVTNDVVRDEPSVMGSFIDDLAAGKSAWPELVGKKGGEAKAVIERERPDITGAIFVPQGAFVTDDYCCNRVRIILDGSAGADLANAIVAAVPVIG >OB06G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8295466:8295823:-1 gene:OB06G21320 transcript:OB06G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKAKAEDAASSAKAAAHKAKATADEKVAKATTRDPAKKREAEERKEDRKLEAESDERVEKAGHAADSSGQRTFTTGTTG >OB06G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8299445:8301083:1 gene:OB06G21330 transcript:OB06G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSSDTPYEVPEQLKNQIMIPSPNPRFYFLRPMGSPDPTDIICSEANRITFKNAQLSISDWTQSFRSFLTPLTGWRDWYNRLHKTYGTQWEELDISHCIELSLSDMEKDETLLAAASYFWSDALNAFTFGHGILTPTLMDVLMLTGLNIMTPANPLILKTTFQHQLQTKGVGGWLGYISSHRKDSESADHREHNAFLNLWLESHKIQKELVSLLASNITQTIIKMVKEAKYFSIILDCTLDVSHQEQMTLLIRWVNMSCGKIKLEGYFMGFLKVDDAFGSGLFDVLVDSIKLFGFSIDDIRSQGYDNGSNMKGKHKGVQRCLLDINPRALYMPCACHSLNLTLYDRAKFCAKAISFFGIVQ >OB06G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8304998:8307730:1 gene:OB06G21340 transcript:OB06G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAARLLRRASSALSRRCAHSSSAAVPSRPPVPLPRFRPAAASSSSSSSGATARRFLSTHSPASSASSKASADENLVRVIDSEIECVVQSEESSAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTEQDLNEDGSGDEDNEESFKPAIQMVVTVEKSEAPILEFECHFNDDELAIENMRMLDQNNRDSESFYGGPPFQDLDESLQKALHRYLEVRGIKHSLYDWLHEYMMSKDEKEYVVWLKNMKEFIQN >OB06G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8313093:8316344:1 gene:OB06G21350 transcript:OB06G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLNDKDEWGRKIARFICRYAMGYFPISLHVEDYKCFDPNRAYVFGYEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLGAGYSCIIVPGGVQEILHMDHDSEVAFVKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPSGKLFVKIARAVKFTPIIFWGRYGTPIPFPTPMHVVVGIPIEVEKNPQPTIDEINEVHEQFIVALQDLFEKYKTRTGYPGLHLRVL >OB06G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8354706:8355761:-1 gene:OB06G21360 transcript:OB06G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAAGDAAAAAHGACAGSAPPRPHHRRGPSRPWRPHRRAPTTCSPPAFSTPRSPSPVTKITSCPRRFTSPHLTCTQITNQRSALGTPWEEANTHIDGGIEHARIHRHH >OB06G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8355776:8355955:1 gene:OB06G21370 transcript:OB06G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPTNSISFLDPEIATSGAGVAASGRHHFDPHLKLSPHNACMSNPSSKIHSRKTKLNE >OB06G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8361766:8362080:1 gene:OB06G21380 transcript:OB06G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAGQKVGSISKTLEVVVVAAKDAHHLLLPLCSSSSPSPRRTRVVQSWKRFCCSCMIYRSTTNCSISLAVLDERKMEEKTPPLVLHGLLGWLALELVQALQLF >OB06G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8369267:8375837:-1 gene:OB06G21390 transcript:OB06G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDCEVVEAYGGANGGPLAGVKVKNVVNGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEDKTD >OB06G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8383011:8383652:-1 gene:OB06G21400 transcript:OB06G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQEMRYYSLYARLGRRWWRRRPAAARGFRLSPTRRGPVGPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGGRRVLAVLGGKDTGAAKTTAGRPAQALAAGGASKPARRPPCMRSNSFYARAVAECLEFIKGSNTGAGAATPSRDGRAK >OB06G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8401049:8402285:1 gene:OB06G21410 transcript:OB06G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSVITMPSKPTLRSQLAGKPGLMDLGEDMGHRRPPYGVAEAMCWCGDECKFTDQPGYTKRQC >OB06G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8416199:8417162:1 gene:OB06G21420 transcript:OB06G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAMNGAGVRSVWDDNFKVVSDIVRSVARRARHVALTVQYPGCPFVVQNAGERKPYEKLTAEERYQEVRANVDALRPIQVGLAIRAGDGDGEPLVFEFNLKGFNVNNPADLRDPNSIEYLQARGVDFGRLPRDGIESCRLRWLLWDSGLIRARPSWATFAGGYHVAYAVSILTGRELPASLDSFTESLDGFTKRVASVFGRGVYDVKKISREHHPGHTGALTKLAERLGIVPAEQVHGVVAGAGAALTLRVFETLKETVEASCLQRQSLQLCGLYFR >OB06G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8419710:8420705:1 gene:OB06G21430 transcript:OB06G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARGLVCGGGGCSRLKKRCRSEMEAVQALVRKAEALVARKVARGGAVLSPAAARPKARPFHRRGRNESPAGVTKPTVIMTMAQNDAEGASAAVSRSSPCELEDGEITDGSCPAEMEIDIFGVGATLPAYNPVVLSPVAEQEEELPAITGGVSPLPVPPVEPEADECIDIVGDSSPATRPSSCNTSSTGEYSPRSSRSQPSSSSGSGSGSDSDEEDEGNSGSSRPRTADHPPVEAVALVAEQEEELIKTSGGVSPLPVHEDPLDLDASPLIKPPANECITKPSEPQVAEEDKIKEESLTERDSLPLAGSKMSELIAKARQAKLRVCQQGR >OB06G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8428188:8429354:1 gene:OB06G21440 transcript:OB06G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRVGGRRGARWADSLLLLGLHLCIASRISVGWIFCGHEICYIRLKTCIKCLVRSRIYRITLDASPPAEPEADECIDIVGDSPATRPSSCNTSSTGDSSGSSRNQTSSSSGSGSGSESGEEDDGNSGSSTPKTADHPPVEEQEEELLDITGGVSPAIRPSSSNTSSSGNSYGSSRRQASSSSGSGSESDSDEEDEGNSGSSSPRTADRPSVEVVAKPPETHIAEHEEELIDTSGGVCRLPVHEDPLDASPVSPIVEPQADECIDIIGDSSAAVASFPATPSRRSTSSTGNSSGSSHSQTSSSSGNASRNPEPENHPPIEAIVKPLEPQVAQEDKIKEKESLTELIAKARQAKLRVCQQRKTAREELEETKRTATVSLNNHIDRRYC >OB06G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8442223:8442645:1 gene:OB06G21450 transcript:OB06G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGAADSGGAEWRVTVTTEVPEAAAAAVEHDMNVKGAGRAAWVLAWLAAPCRWAAGLGRTAWKVGADDPRRVVHGFKVALALTLCSAFYYVRPLYVFTGQTAMWAVLTVVVVFEYTVGECSSSPKMRGSAIGGGRKD >OB06G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8452307:8454090:1 gene:OB06G21460 transcript:OB06G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGCPASCLTGLAYRAVWESDGFGGSSGARERERHGVDVLLRSDRRMRLGMADRVVEMGGPPFVLTASLFVLAAAASFSRFIPTLKARFDYGVTIFILTYSLVAVSGYRVDTLGTMAHQRLTTIAIGAFICFAVCTLIFPVWAGQELHVLVARNMDKLAAAVEACVDDYFSSGEHPTKLSEKVRRYMAVLNAKASEDSLANLARWEPGHGKFGFRHPYGQYQKVGAAMRCCAYCVDALAACVGAAGQAPPHVKNHLAAVCTALSQHCSAVLREASGSITTMTRSGRLALVVGDMNTAAQDLRDELRCLAEILDEEEAAPSETEHEHNTTTPPPPLDKKETAAAATSGQQEARDQVRQLVKLLMRRRSTKKWGRGEPKVSPRPRPPLDVPPVHAPSPRSLSTELAAHPPVHAPSPRHRSMDFPSHGPVLPSPRNRSMDFTAHAPSPRNRSILGMA >OB06G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8456772:8466506:1 gene:OB06G21470 transcript:OB06G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILILIDTWQEAFGGARARYPQYYAAYQELLRAGAVFPQKPESSVPIYTPPQTQPLRNLPPALRNTDRQHEAPESSSAPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDNSPVTKPEGVKQADQRPTESASTATPFEQLALPAPASSSSTKPPGEPVTPNIDLLSGDDYFKPEPVDSQALVSVGNPPVPPGSANNTLDLVDMFAQSNVGNNQNPALTPMLNSNPSLSAPQLYPSQQTLPSQQVVQPQQTSPYSNGLTSNTMAPYDQRPDLNSTNSWNGQFAHGMLPQEQPPNYGQGQDQSGDLPPPPWETQPAESDQFQPGQPGGLAMPPGQIGAIQPQPVQVQPGQVAPSQPMLTGQPAGMQFQQGFGDQLGPHQTQPMHTQYGGMYPPMQSNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQPAGYYAPNAAYGYAGANELSQRMNGLAVQDNNMYGVSASSSFQQPMRPSRPEDSLFGDLVNIAKTKPSKTAANKAGGL >OB06G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8461539:8464495:-1 gene:OB06G21480 transcript:OB06G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LENCPLGPGLILAFLHELLCLQQLHHVLQEHAVNHHSMKDPGLAAPHPCHIDKLHHSLLVRTALIHKVHNNLLS >OB06G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8467596:8470914:-1 gene:OB06G21490 transcript:OB06G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVLPRSRVLARAISASLRRGLSGASPSTPLLAAPSLRRASSLHRLPSVCGGLLSVMPLHSAVASARLRSAISPESQSWGVVPQGNSMPL >OB06G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8472363:8472763:1 gene:OB06G21500 transcript:OB06G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYVNLLGSNIDVESWDPSQNLPLAEQQQQHSPIVSEQPSAKVGHPLDPTIGDQEISTLWMIKSDS >OB06G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8479600:8483241:1 gene:OB06G21510 transcript:OB06G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITMQFPLPQPVVASAAIVLSTEKCNKLAMPCPQILRNKVNSLSFSGKVSTKPTMHSFRCHATQTQSAQRKSATATIQRSEPKGKLKGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYLGGFLLFTCVLLMDYLKEFEKNLLLQRHRMGDEATVAGLS >OB06G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8482574:8490060:-1 gene:OB06G21520 transcript:OB06G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQQKKMQQQQQQPEAAPVPEAAEVKALPPQQPQLKPAAPVQPVAHTPRPWPVAFTPLKPVTEMKSAPPTKKKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNKVENESARQEAINSTKQRNPKAFQPKIENCSTALNLPKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDPLCQKLNGEGSMMQPQFQEANHVDSSEITSSTGLEGCYSNYQSRSKIVYRSPLANTISPTDATGLAKHLVIVCRKATEAFLTTDENKVDMKVEREIHTNSDSTTNMDQQNEGDFGSCCNNLEDSRPASPGTQALMCDEQGSTFGTDYRSSFPVALHDQYTSELNALQEKAVLTGFRDYLRTLITRGKINETNWSSEAAMQLDTRRHDGSATILPPLKAVEENEVPDGPESPNASPSASNYADQKTQEE >OB06G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8490106:8491266:-1 gene:OB06G21530 transcript:OB06G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKECKNLIYLCYLVPSGGKKTPSSGRQFVQVKKVLKIGLHGAPTLASFLVYPNNFTRSEEELWLLLSCGRSKIGSLGLPELSMWLNR >OB06G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8494825:8497054:-1 gene:OB06G21540 transcript:OB06G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47650) TAIR;Acc:AT3G47650] MAATSSLTAGAAAPPPPPRAPAAAASPPLLLPRPTGAAPLAPSAAAFLRRPVSRCSSRFQSVKTKCTENDQTAKKPQKVSSIVCKDCEGNGAILCTQCKGTAVNSVDHFGGRFKAGALCWLCRGKKEILCGSCNGAGFLGGFLSTFDSTAE >OB06G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8498728:8498922:1 gene:OB06G21550 transcript:OB06G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFKTCHFRFFFSNLYYQIGNRSPISFFLSFTYVLLLSATLQFEDFKYAGSGSSYKLDGVSSQYK >OB06G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8500332:8502279:-1 gene:OB06G21560 transcript:OB06G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGLTCSYKGISFSELILRNACLIKRPFGVWIVAMLKVVLLKGLPTSIQCGWCKNFFALYYSSMSTNTYFEIASFSGYGMHAGLSPPRQRRPENRSVDGAFGPRYAHGYQGGGRGVTRFRDGSPPYGRGDRSYGRGSSAPGKDFINIDGEYVHRNDPNLSPREGDWICQNPSCGNLNFARRTHCNNCNKYRYSREVRPSHSPHRDYSNPPRGPPRNLGPSDRAPPREVARYRSPPRGWGVSDPKGYAARSPPDHAGRYADPLQRERTCFRSDRQLRDHGNWSSAEDYNPREHPHDDMYLERSRRRSVSPRDNWEHNMRDRSRSPAGSRLFKGSFTGRGRPDLEYADRYVGRGRPNNLDVGHGRGRGRGRGRGYIPGGGTYLGEGRGDRRAAPNGRNDGSY >OB06G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8504773:8505945:1 gene:OB06G21570 transcript:OB06G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRVSTDLEARRRGAGAAAGNQAASNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCGEGRERGEAAARAPRRAPSRAPARSGRSSTPPPPPPPRRRRLLYRGAASSVWPPPLRMVDVAPFHFLGFGSRKAKPTRNRPTANFVLRASSVVRKSPLVVPQTSALFKQRLRTAIPDIGRPPVTKSRLNLVLRQYSSRFWPTWQVDRVMWQVDRLTGPGRWGINAECVCGAHGQKHLSGSHLKP >OB06G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8535947:8536670:1 gene:OB06G21580 transcript:OB06G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIDRIKNDEARQVCFSKRRAGAFKKASELYALCGAEVAMLVKSPAGNFYSFGAPSAGSVLTRFHAATTAGEHYSTLLGVGMHCDNSVTIALHELNQQHVELQKQLQAQNEKMKLLQEAAKMESGGRVMCLLDSKVGDMSQEDLEEFSIVLGSVKGMIRGAINRLYQNYAMLSNAMHVQHSVTTTPNQQFLLSGEDVKPMTHHVPSSSYGWNTMIDGKPNSCDAQDVGIMRHFPK >OB06G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8557398:8557676:-1 gene:OB06G21590 transcript:OB06G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRGVTAWATAAGVRRRATGELAWGHDAGRQASMRQGAAASGGREWGSGTGWPSPPGDGTATPGLHLKQKLDFSKQRREKNPASYFLDAK >OB06G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8561451:8561633:1 gene:OB06G21600 transcript:OB06G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLKVVANLSPSSLTPRLSLSLCPVCLLPKARALSLELVQEEEEEKKASTFSSFPSVLSAV >OB06G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8561756:8565283:1 gene:OB06G21610 transcript:OB06G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRSGGRTEVNMMGALSGRRRLQAATMVALCFWSSICVCRAQFKPADSYLVDCGSSKSTTLGLRTFAADGAAPVKVDTPLEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFPFVYQSYDLSTAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITQDKLIISFKPSNGLAFINAIEVVSVPDNLIVDSAPMVNPMQQYSGLSTQPLETVYRVNMGGPKVTADNDTLSRTWVSDKKYLLNPSVTREVNGGKINYMGGGATKLTAPDIVYSTATELAASNTTNALFNMTWQFDVDSGFSYLIRFHFCDIVSKALNQLYFNAYVGGFYAQHDIDLSMQSMNQLATAIYLDVVLSSNDATNKLSISIGPSTLNNALPDGILNGLEVMKMSTGSGSAFTVGSSGSNKNLGVIIGSVLAGVGILIIVLVIVLFCRRKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGR >OB06G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8567140:8570251:-1 gene:OB06G21620 transcript:OB06G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK-activating kinase 1AT [Source:Projected from Arabidopsis thaliana (AT4G28980) TAIR;Acc:AT4G28980] MLQVLEGVAACHRAGVVHRDLKPGNLLISEDGVLKVADLGQARILQETGTYQGMHPYEQSSGVEPWVSQQRAVLHGAKEEHPSHEAEIQTGQEPERLTASDYLHEMDQLRAKSTHGDVDKMSLQDGNTSCLATCSTADIDDDPFRASYSYDAEDGMLEQESGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELFSSEPMFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPTGLEACLPDRSASEVSIIKRLLCYDPTKRASAAELLNHPYFAEEPLPSPIEGLQVPASKDEDDDSTEEWGNFNGGDSDSDFDEFGSMDVTKTDKGFSIRFS >OB06G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8574149:8575617:1 gene:OB06G21630 transcript:OB06G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRLAEARPDNWEELRAEYSRVFRLAEVVSTAEERDGGCFFSAGGRGGAEVPDPGAADVEMMKRIRQKSISHGRIERLRELRGIWRKWRRGRERGEAQLMGEEESETAQKAKAEADSCRNITTRVVSSRRNLMIEFEQLVQMIYTIVISLHPLEKTRWTKASYQRSCKLRTLGSRVELNGQILFSRTLQI >OB06G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8580502:8580717:-1 gene:OB06G21640 transcript:OB06G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNTVQTMYSKSDDIYSHFIHDGTKTCYCQSRIRMNTASMYRTKIKRNRKNGLAWSSTKVATRQNYILE >OB06G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8587842:8592326:1 gene:OB06G21650 transcript:OB06G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3MDS1] MAPPQERDYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXREAAGGKAAAAEADEEEEEEKKKAQAPAAKAQVVGWPPIRSYRKNTMAMTQPALKGKDDGEVKQQPPASGCLYVKVSMDGAPYLRKVDLKMYKNYKELSLALEKMFSCFTVGHNESNAKSGKDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWKMFTDSCRRLRIMKGSDAVGLAPRATDKSKSRN >OB06G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8595506:8596381:-1 gene:OB06G21660 transcript:OB06G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGTSAAIALLVLFALAASAGINATVIPRCCRDFHAWAEEVGCPPNLDGQCNAWCQNRCSGGACKIMGGKHYCHCNCH >OB06G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8599589:8599987:-1 gene:OB06G21670 transcript:OB06G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGTCAVIDRPSRPTRACCLSRYAKSIQQIQVLAAEAPRCCEAWGDYTGCAAGQSDGCNGWCQSQCRGRRVQVPRRAKPEQNREGSHGHLNLDRKNEYMVKNHKL >OB06G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8605788:8607913:1 gene:OB06G21680 transcript:OB06G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MDS4] MAKAVVTAAVVAVAVAAVLELGLVGANFQDQCDITWEPQNAKMTEGGNHLTLSLVSNSSGCMLRTKKQFIYGSVSTRIQLVKGNSAGTVTTYYTSSIGEKHDEIDFEFLGNETGKPYTLHTNVFADGVGSREMQFRPWFDPTDGFHNYTIFWNPCMIVWFVDSIPIRVFRNHSEAGVAFPTQRPMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDIALSVCECSGSGSAAGAAGADAASPTCARGCATPDHWYAAPELCQLSEKQLRQMKAVQLGYTIYDYCADARAKGRPVPPECALKQY >OB06G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8623249:8624704:1 gene:OB06G21690 transcript:OB06G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta tonoplast integral protein [Source:Projected from Arabidopsis thaliana (AT3G16240) TAIR;Acc:AT3G16240] MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWVAQLLGAIVGAVLVQFCTGVATPTHGLSGVGAFEGVVMEIVVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGNYTNIWIYWVGPLVGGGLAGIVYRYLYMCGDHAPVATSDF >OB06G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8627574:8630962:1 gene:OB06G21700 transcript:OB06G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) TAIR;Acc:AT2G33100] MEDLVEKPWKPLSRKVPIPPGILSPYRLLVMVRFVALFFFLVWRVTNPNMDALWLWGMSIVCELWFAFSWLLDQMPKLNPINRAADLVALREKFESPSPTNPTGRSDLPGLDVFISTADPYKEPPLVTANTLLSILATEYPVEKLFVYISDDGGALLNFEAMAEASAFAKVWVPFCRKHGIEPRNPEAYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRVNGLADLIRRRANAMNARERKLARDKQAAGEADALAAVKAATWMADGTHWPGTWLDPAPDHGKGDHGSIVQVMIKNPHHDVVYGEAGEHPYLDMTDVDVRIPMFAYLSREKRAGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCMAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITVTLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAADDDDDPFAELYLIKTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDRITQGGIDV >OB06G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8629380:8630923:-1 gene:OB06G21710 transcript:OB06G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding RADGDPEEGDGDGDEARPDVDDGGGPAAAAHEALGEGVVVRQHPEAEEPAAEQLAVLRDLRVHRPRHADDERDDVDPDHGQRRDEERRPLDQVQLRERVVVVVRRRLGRQREGDLDACHHLEQALQHRRQVRRRAADHPELLVPPPLLQPDPGPLHLEQAQQHERDGDEQQIAEEGRVQRRDDELAGEEGQRRQEAVHDEEEGGEGVDADVEVRHALQELEPPRRQQRVVLGEEDLHRPRR >OB06G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8677990:8678394:-1 gene:OB06G21720 transcript:OB06G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:J3MDS8] MVKPGKAVIVLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPEALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >OB06G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8683467:8683637:1 gene:OB06G21730 transcript:OB06G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRGCWDFPTWGNRSEHSNCEPHCHNNACNEWCRSACRGGECKLRRHRQCCHCYC >OB06G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8699009:8699692:1 gene:OB06G21740 transcript:OB06G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHRMVLDVGICRRLYRTEVQPSSKIRKSKHATKGRHGALMWRLALACHGGERKRKFAFCSACQALRLLVRVRASLPRARRGAPRGMHCDGSASAWFPGERERCSTWSLLPTPLQTHPRLSPTPLAPPVAATAPRSARRPPPSHAALAANSATISRSAHGQRRHRHPALPPRASVDRERKRAAGRERREKKRWGERGGKKEQRGRGRRLMEREGRGAHLKLLWVPF >OB06G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8701865:8702187:1 gene:OB06G21750 transcript:OB06G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVGTCAAIALLVLFALAASAAAEAPRSCEAWGDNTGCAAGQSDGCNGWCQSQCRGGECKPRGAHHYCHGFC >OB06G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8715846:8716367:-1 gene:OB06G21760 transcript:OB06G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDSTRRLGSLLSSSRVVSRAQTGLPEKFMSMVQGQDVVRCGEAHMAPEFPNKAIFVVSFALVGLVPPFSPFFYDVLHFYGIQMLHLGPNSIFILAIFAHLCEMFVRVMSMLPLFRHFFMLCQLKAPATSGGCALQLRSQRSADFIPLSLRKKWDNWKADWFYTRLPNYPRL >OB06G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8721141:8727961:1 gene:OB06G21770 transcript:OB06G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MDT3] MYAHSTQLHASSLMARPTACRARNMARHVLLLAVLLFVSAAIAMAHPLDPLTAAEIAATRAAVLASPLGLVREQRAGVRRRQGGEDEVPGGGLQRDGEHLRAAAGGRGHGGGPRPDAIIEYRDRAVYTMPKAEGTDYRADMVGPPFTGPAAPPGVVVQPEDRGFRIHGHYVKWANWEFHVGFDMRAGMIISLASVNDTDGADAGLRRRVLYRGFVSEVFVPYMDPEEEWYFHTYLDAGEYGLGDSASTLQRGADCPANAAYLDGHYADSDGKPVKADDVICVFERYAAGDVAWRHTGIGPDEPITEVRPEVTLVARMVVTVGNYDYTLDWQFMTVGSIKTVVSLSGILEMKATNYTHVDQMKEDAHGTLVAENTVGVNHDHFITYHLDLDIDGTNNSFVKNTIVPKRNTGVRATGGAPTPRRSYWTVLSEVAETEAQGQVDVNGAPPAELLFVNPSKKTKIGNVVGYRLIPAGATAASLLADDDYPQRRAAYTKKQVWVTPYSKSEKWASGLYAEQSTGDDNLAVWSKRSRRIKDEDIVLWYTVGLHHAPYQEDFPVMPTLSGAFELRPSNFFERNPLIKTRPPGKSPDCSCSAGSRVK >OB06G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8742376:8748873:-1 gene:OB06G21780 transcript:OB06G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT5G19485) TAIR;Acc:AT5G19485] MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWATSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQHVLEEKDAYRSIRLEVLPYLVRNQLRSSPSGGEGTVIDETGDAAVPSNNHLQCLSQHRILAPSAFKKDLLSAGGTYRCCVYIASKNKYCHRLDSIQAYCDINRDVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAILKDCQVGAGYIVTAGSEYKAESLSKKVERF >OB06G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8758970:8762347:1 gene:OB06G21790 transcript:OB06G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDIKTRITFLRNHLQINMRIDTFHPLVSLLISSCVFLVASAQSVPTNKMDQEALLGLKSLISDPSGMLLSWGNGSACTWSRVRCNPRRRISELDLQGLNLVGKISPSIGNLSALRFLYLQNNQFAGEIPEQIGWLGQLQTLNASANILTGNIPAALTNCTNLKTIDLSGNAIFGTLPASLNLLQKLRVLKIARNQLNGSVPPSIGNLSLLSTLDLSTNNLTGTIPYELGHLRQLEYLQLSINNLTGTIPETLYNLSSLSFFAIAKNDLHGKIPSDVGFRLPRLLVFHNCFNRFTGPIPPSLHNVTDIQSIRMSNNHFSGSVPPGLSALRNLVMYNIGFNQIVDNTSILVDLMNCTKLEFIAFDENLIEGILPDSIGNLSSSLTKLYVGGNEISGYIPASIGRLSALTLLNMSYNQLSGGIPLEVGLLKELTMLGLAGNKLSGVIPAEIGDLVKLTKLEMNHNELVAGIPVEFGRLQRVLSLDISSNNLNGNIPASIFLLNSLSSLLNLSHNSLTGALAENIGQLEKIAAIDLSYNFLNGSIPASIGKCQSLQSLSLSRNSLSGVIPDTIGNLKALQTLDLSSNQLSGVIPATLVKMQALRLLNLSMNDLDGLVPNDGIFKEHSIVYLDGNPELCYSNMTCYYIHSSRHRKMSIAIAVGAAAMATITILVGISLLFLPSKWLRNRKAKILDSFMKRSHPLVSYEELSQVTGSFDNINLIGTGGFGSVYKAMLRDGTAVAIKVFDLHKIGALKSWVAECEALRNVRHRNLVKLVTMCASMDFSGNEFRALVYELMSNGSVEDLIHNGRQGENVPRVNADMILSIAIDVATALDYLHNDCGEQIVHCDIKPSNVLLDTDMTAKVGDFGLARLLSPTPAGQDVSSTHGLKGSIGYIPPEYGYGSKPSSKGDVYSYGVMLLAMITGKRPTDPQFGGDMNLEKWVRDGFPHRAHELIDERLRGTTQQQQQQQQQQLTRNNIILPVMEIALSCAMESPDERSTMHDVLCRLKRIREVFLKNHSF >OB06G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8767758:8768102:1 gene:OB06G21800 transcript:OB06G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L30 family protein [Source:Projected from Arabidopsis thaliana (AT5G55140) TAIR;Acc:AT5G55140] MSGSAFNAFKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYAARRQAEEERRAPRPPLVVSHHPPPRDAPAAAPAQ >OB06G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8769762:8769941:1 gene:OB06G21810 transcript:OB06G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPLQSVKLINHFDILLLLPNLDSYCFPIIQFSAAKLTLNRYRWSTVFLYGCAAHVRTF >OB06G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8821043:8822580:1 gene:OB06G21820 transcript:OB06G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPKLEAVVVPDPDLEIEPSLRLRQACMCAVSFYYYSMIDDRYKVQQAKEIQKAREKDTWGKRIEEGYSSNLVHEKNPQYSHKKKFKLNVKPREAITFKNKVQEKGKGDCFLCRKPSHWVKYFP >OB06G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8854065:8855920:-1 gene:OB06G21830 transcript:OB06G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAHEVQMEGRRDGACPLLVMPSCSRSGNDHQELDSADAFREAPADPRKPSSGMTSSLLPARSMRSTGAGDDGQVAAAVAAAGTRSLSFSKLFSFRITSAHSPSLSAVDFDHHPDSADAASSDHHSSSANDETMKTKTTKQTSHRMNICRSQSVPMSTLSRFSSKGGGGSSRVADSSSLRVADGGSVRFRVSVIGAATPDGNNGDAAEAAAEEDAGSVVADEDALVCRICMVALSEEAGGGAGSGTLKLECRCKGELALAHGDCAVKWFSIKGNATCDVCNHEVLNLPVTLRRVHDRQQLIQEAVAAASAAGDDITGNQRGGYRYGRVWRGTTILVIVSMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSRKYVWIYSAVQYLFIVLFTHLFYRYVRLQAVIAIILSTFAGFGVAICANAVLLQIIRWRARRASTSAAREEEEASRRARAPAQADLENGLPPR >OB06G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8858941:8859129:-1 gene:OB06G21840 transcript:OB06G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFDLAFVLRGWNIPFHTLGGQVGQPESSGGQVDVFLIGGSITGIFFFCLCLKVENLNLKC >OB06G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8879218:8880603:1 gene:OB06G21850 transcript:OB06G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSGNLVLAIIGVIMTGVVIVVAIFSIYLCTKVALLGSDVRSQRRTPPLPNGSRSSRSGADADIEMGGMSYFIEGLQNERPIRFSAQQLRGFTNNYSRKVGSGGFGVVYRGRFPGGEPVAVKVLNSTLGKQAEEQFMAEVGTIGRTYHINLVRLYGFCFDAEVKALVYEYMEKGSLDRYLFDSPPERISFDKLYEIAVGTARAVRYLHEECAQRIIHYDIKPENVLLGAGLAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEILGRRRNLKIGAQHGQESQDWYPRWVWHRFEAGETEAVLARARAADGKEREKAGRVCKVALWCVQYRPEDRPSMGNVVRMLEGEDDIDAPHNPFPQLAPYSACHMPSVLTTAATTESDAGAARTG >OB06G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8893119:8894383:-1 gene:OB06G21860 transcript:OB06G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3MDU2] AGIYVSTQAREFLSKLSHLTQIQIFVKIENVEGVNHFDEILKETDDIILLRGNLGIDLPTEKVFLFQKSALHKSNMAGKPAVCLPTKHHLTCFVDNMTDKLRPTRAEAMDVANGVLDGSDAILLGADTLRALYPAETVSIVDKILQRLRRSSTRIYTSRRL >OB06G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8898561:8905211:1 gene:OB06G21870 transcript:OB06G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASAMQWSIDLDRALRSRHAATRLHALDDVPSRLRELGASPSLPPAVASALGVLPSEPRLFAQTMVLRLATEFRSSDNAVRACIVRALLLEYRDLATKGRGYDGALARSSVAEPHQVLRRIKPVYDAGCPRARALALRMFGCLAHLAEDSLHVRSLILSSLSSSIAVEVGKQIVLGTLEDNFKAGMLLSLSRLAAKSVILFGDQVELLLLFLEHESSHCVKTVSLKCLCFMFNRSIYHFPVLRTVFRKLLQLIDHDDFPLDCRRYAFMVLQKIVCGKAPIVHCVDTSELSKLVLAAESSLHSSSWEMQGISLKVIAGTLCLLQKTSSDQNMITQEGSSLAYSGCQEITNNLPPTHIENVEDKFVRNIVISMVNHNISLVNPARSTGNKEEARRHTYVSSELKMHRSMLVSMLKLVACYPSAAAVALDKLRCLIKELVRIDDSGCSNSVTNDIIKPVAANIKASLMETDFDKVNTDSSKFSGNKEVSIVHNIILLTLNFANACHNMLCKRSGINCNLYDSIKELIECVQQNASQYFSTYESFHLIMCACISWNGSKIRDDNQESGDSKEQPRIFFTPSVWITQELSAIRMTKMLFRKQKYWDAYKSAMYCCHEGLWFAASFIFRKLADAFGSGSFSFWFKSLLYFSAGEIEMKLLIFPSATVKLVRELKTECDLHDNFYCVETSVDVTLGGSSELHGSEAKISAICSKTFLPIEALLSNNSSSHKLFFQRWFICLRASFVEILTDFLGILTSHFSTQKNVSDHQAAGDHSSVPREHCNSQILALANCSLGLSDLAQSYDLLAVSHGDMDHQSFSRIARLAFICSLLSFCIAFSVDFSKVHMSVSVESCRLPERFSHAKVIRDLHERVDRTDSQIVSQLQQLMPISCDEGHSIQIVTRMDCSGILEKDSYLLCKYAVAYLHGTHEDHKGVTIGEDSFSPLHGGMQFLSSILQRVMELPFVVPRYLFRVRPCFGAELNIYDTNPANREGISVLTGFQLSLTLCLHWKCVLERSSIEISKLYCVLATSSASCLHATTGTRSKKEYEMHKSGGMVELNTLLQQYIMKDENLTKSWDSKKKMKKNVEMVTAFARFEASSSGMGFSSCVLDVSAFRHGSYRINWHACCIDKDGSYYSLLPLNDGAVFAIRNSSQT >OB06G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8905128:8905862:1 gene:OB06G21880 transcript:OB06G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRPALLSSQRSLSPWRGARWSRARSARPAARPRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRRPGAIPGLRRRRRPHSTAASLCLLWERDRKRKKGGEKEIKGVEENETYEALVVVDLKWRGRTVVIDPGRIESLVGEKTNLSGQLKWRV >OB06G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8910992:8913117:-1 gene:OB06G21890 transcript:OB06G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIALGQIPEDLAILPMCLEGSRSPHCLSGSQLKDSIFIFVAVPGAPPMPMSVLGSESIASVKLRIQRFRGFVVNKQRLVLDGHELARNNSHVKDYGLADGNILHLVIRLADLRLINIETTSGKKFQFQVDQSRNVKYLKSKLAVEGDEDLSEDHKLECDGKELEDHQLIADISKKDGAVIHLFIRKPAKLQTQQVDIDTVVTVVTPQEKENLQNEARAVNPVEPAGVTPALIEPIIVNQKVKLSPEVMRMISSAIAGLENGYLPVMSAEGSGGVYFMQNASGEKNIAVFKPIDEEPMAENNPRGLPVSTDGEGMKRGTLVGEGAFREVAAYILDHPIGDHESEKHDGFSGVPPTALVQSLHRGKSFKIGSLQMFIENNGSCEDMGPGAFPVKEVHKIAVLDLRLANADRHAGNILVNKEEGGKYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFSDETIAYIKTLDAEEDIKLLKFHGWELSPRCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIVQEAEDHVLPGTTEVIFLETVSEIIDRHLDMKFA >OB06G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8911356:8912047:1 gene:OB06G21900 transcript:OB06G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDTVSKKMTSVVPGRTWSSASWTMSSISESLFTVSLHSIRPMSYGVRPRAAPFFKSMVLMRRTRAHLGDSSHPWNLRSLISSSASRVLMYAMVSSLNGSRAWGQYSHSNVQSSFSGKQ >OB06G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8934539:8935577:1 gene:OB06G21910 transcript:OB06G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPPRMGDQLHAQSQEQGQTEAIKYGHVFAVTGELADQPIAPRDAAAMRSAEDSVPGVLVQQEIGGGYSAGVAMETAAAYNQAVGAVRPGQASDAATNQGIAVTQTAVPGGRIITEFVAGQVVGQYSVADQPVEQDASKITVGEALEATALAADNRPVDRTDVEAIRAAEMMAQESDVTMPGGLTDQAQAAARANAVAERDDDKITVGELLTDATAKLAGDKVAGMEDAARVAQAEKYNDAAARTRAGGVAAAVATAARLNQADDDDDLE >OB06G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8936619:8937429:1 gene:OB06G21920 transcript:OB06G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMITFPVKLPQRHRFRGRLRAAAALLHLLALGAATARAHAIPTSCCGMFEGLDMVPCLQDAAGGNISGACCSSLNRGGVRVLAGLAAALPALPLALPLPGCLLYAPPLASCQVPVQEQTDAAPAAAAEAATGGVTTVDSPPPQAAVMSPSTKSKKRSADGKAAADNGDGGAEEHTSRSDAHRRDRRTNAGEGIRTNFPTVVVAMAAFWFNYMIN >OB06G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8942154:8946887:-1 gene:OB06G21930 transcript:OB06G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSRLFASLHTSSSQITGRPAAAWRDPLGLPAPAVRVPKSGSLDAKRLPDLDRETGISGGLDAVRSGIFVSEWNLEGGTDVVRVTETGSGIVGNAEVEGHDGNVEDVMISGARALAGEFDTGECEVSKDSSLSQFLTFETKEPLAVQIDKPQLVGIALSGFATLCAACIVLVVSKLIWGDDKKYLPRNMYEILRPGMNEGELDKGGINVVPKNVKSPADLLGRPQLDRRKLMNNINRAKRSRELCTLSNVFGYCSVANCCDAIITEIRRMVTNVHMLFTEILKESKTRRQNYVLLPHPVSTNGQVVSASHGQFSACLSDMLGSTKLPDISISNNIIEESVESSVDFKNGSHDMDSSVKDQNNVGDIELPKTTPTSHMPIDAKNSKPMIDMAEIEEYSDSPYEYIPDLIPSFEFEGKRKFPDIGMRNADDFFGIKSSSEISSDTEVIDTNDNSHQFSINVVSKVADNLSSGYSNITAFESESKKIPMDINGNDLNNFRDIEPPSTFANDDVQAVQYEQFCHSISMIGKEAHINPATADVLTTKSPQRISEEPLDLMRENVQSIQEPSGSIMDDKHIVHANQINNIASSEADSTGTIDNASTSSVYALPEESIWQSAKKLTKNTSYNEEPEESIIKRKIKMHQEVCKDNDAQTKNNVGGVPEIGTKVDPSNGVCKTERVAKKRLKKMPCDKGVKVPTQDIVQSNSMAGKKSCSQNVKRTRNNLKSAPRNQGAQTRQEISETAPIVNLPDDAPRAENMKPFHGSGSSGEIRSPMYSDTFNEAQPNGFSIGTMRKEKPKHNFQALESVDAAAVKIETNKHADNVRNERAIDFDISNLGVTTPKKMTKRNTGGGMFRIKNMLSVIKTEVVMVGITYEHRRRTKEQAEHLAR >OB06G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8947484:8948835:1 gene:OB06G21940 transcript:OB06G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNLELPSGTVEGGDGSLDADGTCKEFLGDICQKYPWGINETPSEHYVHAIRKELNPTGKDQDLTSMFECSSHTKRGDKTDSTSSESGDCTAKTDNVLEEEERDDLSIVDSDDEEWVAQDAKADNEIPQVAYDKDNPPMIVHTIYPSMHEFRLTISQYSIN >OB06G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:8997329:8998265:1 gene:OB06G21950 transcript:OB06G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGWLATVRKVFKPGPSKDLRLAKKQRGGDEQVVGDAVEILSVDHFPGAETSPEVTTNEGGGSVVFGRDIERLHVDRDEAEGAGRGRRAMAASRAARNAAARERAAGREERAAVRIQAFYRGYLVR >OB06G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9001187:9002135:1 gene:OB06G21960 transcript:OB06G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMQALVRAQARVRAHRLTSHVALRTHEDDHGEPAEVGRARRNSHHVAGGGAGGYAYACPPPPSEGAWDAVPLPRAEGRRWHDDAALRRELAPPYAYGYQEQVPREVRDERRVGWQWLEHCMAGVQRPRHVPEDHQSPAAARRRRGDVLRDXXGVAEKTVEMDAGRKQSPAKDLYPVRPPAAVPGYMAATQSARAKARMAPASAPVVVAPRAQAHSRSRSSSLALAGASTATSGWSTNNNGSGGGGRTAQHRAGYSPESSCSGDRTPPPPPLGSRGRAAYA >OB06G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9005173:9007023:-1 gene:OB06G21970 transcript:OB06G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESPTSPAKPSPSPPGSPPTNAAAPSPVSALLRATVLFVAFLVLQLVLFKSLLRFPSSRFLPAPRRSNSTWANGAVDDADECRAGLIYVYDLPPEFNHDLVAHCERLWPWYSFCPYLASAGRPPRVQRVRRMRERVVEMIPRLVYGSSSDGRGLGDGMDDALDVALSGVLRRSRRRRRSAAHEVSVASSCEPCNRASTRARQQQTDGTSIFQREKRTGGWPASTAGQTNAAGLGVHQNRPLRSIGINKQKLATILTVA >OB06G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9014653:9018665:-1 gene:OB06G21980 transcript:OB06G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGDLRSSIKKWNVIYPVYINSKKTVAEGRRIASGKACPDPTCVEIADCCHHLKIPHAIELDKAYPRDFFQVGRVRVQLKKEDGSPVNPSIKTKKQLLIQIAELVPKHHGRTKKQEPASSSAAGTSKPGGKGGKKKK >OB06G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9044234:9047597:1 gene:OB06G21990 transcript:OB06G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNAKLDNSMCANNSTTEEGQSVAPLRGPITRSRAKKLQQESRIVVLRSFGDRVKVLKVSVSFSPSLGRIQPWSASYMCSLQLPKTVILAIDSARRNCLWRGSDLTQKKKPLASWKKITFWEDILNGQIKCQQFGELYTLPVNKSDSVQNWLSVEQQCRGTPDVDIV >OB06G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9055852:9056286:1 gene:OB06G22000 transcript:OB06G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICGDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >OB06G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9059324:9065844:-1 gene:OB06G22010 transcript:OB06G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G53060) TAIR;Acc:AT5G53060] MVHRRILETEPDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRVETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIITDRLKESLHRDRGPFRGRMNSPEHRFPQDDEYFGAQQMPAYEEPYGRPDQIRNNTSMEPPGYEFDSNGSKINDHPEIPYDDIIFRILCPNDKVNSLVGTRDGVIDMLQEDVGVDVRLTDSIDGSDERIIIITSREGPDHELFPAQEALLHIQTHIVDLGPDKDNIITTRLLVPSSEISCFEGRDGSLSDIQRQTNANVQILPRGALPSCALESDELIQIVGEIRAARNALVQVTSKLRSYLYREIPGPNQLSMPVHGSISPAKGSPQGLYQGNDISMPPYQQAHVPASWNSKDSGGGANMSFEQGSNINDDMRQSSAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPEVTEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG >OB06G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9069538:9070146:1 gene:OB06G22020 transcript:OB06G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTCPCFSLSLNTCQRPHSLHATGFAWSGFTVVPGSCGGDDDDYDNNDGSRLEQLAAVDDSYGVGDDYDSCCHAQKFLRRISKLNCVLKFLSRTSQGKASTVDQLTPESLLHQTQLLTLKGKRGKAEYKPPYSTSSTEKRGINDA >OB06G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9090028:9096288:1 gene:OB06G22030 transcript:OB06G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G03687) TAIR;Acc:AT1G03687] MLVSPSSIRALAFHRRPHPLTRFPQPRPPPRPSPAPPARPPPRPSPAPPACSAMAFSAEPAREEADEEALPAVTGSGGGDEVAPEEWRRWGTSSPLPAMVANVVRELLEMEAEAGEKMRFGGVGSKIKGDFKDMEDKKHRAVYETLADSDKKLQYFSARQIACRLLGSRGYLCQKCWLPMEDCMCAKLGSCNLWHGIRFWLYMHPKDFLRQNNTGKLLWQIFGIQAAPLCLFGIQEHEDIMWDSFQRSGKGKVSFLYPNKSTTPMSVKDLKFNGLTLSCDHQEEDVKDGPFNFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLRASVMHKLRPQPAWDRTCTAAAAAGLLWELDMRSELSAFELGNQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCI >OB06G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9097190:9100420:-1 gene:OB06G22040 transcript:OB06G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTAGRAVSDGLRRLTQLSLVSKVCSELETHLGVGDRVLAEFIVDLGRASPSVADFDASLRAHGAELPDYLARTLHTVIHAIPPNAADGPAPRNPTSRGSDARSCEEAKEVAKAGEPGLYQVCRGRVTGVADAGCFVRLDDARGREGLVHVSEMPGRRIAVKRGQEVFVKIVTVQGHKLGLSMRDVDQDTGRDLLPLQCAREDDAPRPTANPSARRAATTGNRIGVSGIVIAQDNQSDTASRRPIKRMSSPERWEMKQLIASGVLDAKDYPVFDDEDGEGMNYQEEGVEEELEIELNEDEPAFLRGQDRSSIDMSPVRISKNPDGSLSQAAALQSALVKERRDIRSQEQRAMVDSIPKDLNRPWEDPVPEVGGRYLAQELRGVGLSAESMPEWKKESYGKNVRFGQMSRLSIQEQRQSLPIYRLKNELIKAVGDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDSDLSSYSVVMLDEAHERTIFTDILFGLLKKLIRRRTDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTYPVEILYAKQPESDYMDAALLTVLQIHLTEPEGDILLFLTGQEEIDHACQCLYGRMKALGKDVPELLIYAVYSAQPTEMQSRIFEPTPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGWMVLNMKAMGINDLMSFDFMDPPAPQALITAMQQLYNLGALDEEGLLTTVGRRMAEFPQEPPLSKMLLASVDLRCSDEILTIIAMIQTGNIFYRPKEKQAQADRKKGNFFQPEGDHITLLTVYEAWKAKQFSGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLDVVSAGNDLTKVRKAITAGFFFQAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEIVMTTKEYMRELTAIDPRWLVELAPSFYRSVDPTNISKRKRQERIEPLYDRYHEPNSWRLSKRRG >OB06G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9110716:9112575:-1 gene:OB06G22050 transcript:OB06G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVVLFPSLGVGHLTPMLELAAVCIRHGLAVTVAVPDPAITAPAFSATLREYASRLPSLSVHFLPPPPAHHLLSPDAHPLVRMLAVLRALASAALRDLLRGPHAAQALVADMFSVYAIDVAAEVGVPGYLLFCTGAANLAVFLRLPHLCSGSGGSLRELGDKPVSFPGLRPLPASHFPDEVLDRGTDISAAMLDAFDRMADARGILVNTFEALESTGVAALRSGRCLPNRATPPVYCVGPLIANGDAEEERHQCLAWLDAQPERSVVFLCFGSRGALSPEQVREMATAIERSGQRFLWVLRAPAGASATEPDAALALLPDGFLARTADRVFVVTASWAPQVAVLQHASTGAFSTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKVGIEVRGYKPGVLVRADIIDATVRRIMEPEERQGVLEKVMAAKESAAAAWKEGGSSCVAFGEFLKNMEEDNVAMAHSSQVHVLKQTSTPSV >OB06G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9110954:9111859:1 gene:OB06G22060 transcript:OB06G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYLGPYNFLLNLKAYHTHTTLPYAVGFRNINARLTTIFCGSILIHGKTNAKAKNHAEEELQVRTWLECAMATLSSSMFLRNSPNATHDEPPSFHAAAALSFAAMTFSRTPCRSSGSMIRLTVASMMSARTSTPGLYPLTSMPTFISSTMNTLFIHCSASSGQHTIGTPAATASSVEFHPQCVLNAPVDACCSTATCGAQDAVTTNTLSAVRAKNPSGRRASAASGSVALAPAGARSTHKNLCPDLSIAVAISLTCSGESAPRLPKQRNTTLRSGCASSHARHWCLSSSASPLAISGPTQ >OB06G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9119873:9121356:-1 gene:OB06G22070 transcript:OB06G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHEQGGLFSACYWRTQLDLIINVARESFYMMEMYELKPLSTQNPTLSDFQLALLKALLASYLSSPLVSPYLEPGLELFNRGRLETGTELAKFCSRALLALDVLVHPREHCVWFYPKIALKGAIRGDLGPLSIASENKLIDSGRSKDLHAACTHRATENSGEEVNDWLLSTEDAPTDAFVEDNTSKKHAANEMSRDHSVQKATAIREHQEIVFNQFYGELQEVSVSSITDVSVAIAGTKVGSFNVASFPNNSDNVAIFSGALLGSHNVAGHTTPTSGASGVSASERDSLDPFLGIGNFGTETAFSFDMAKLDPDS >OB06G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9128807:9129001:1 gene:OB06G22080 transcript:OB06G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSFATSTTLPNLDQVGLIAALNQLSVHNPNPWVLDTSATSHMFCTNDILVTRLSNSHLYYCR >OB06G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9136594:9146625:-1 gene:OB06G22090 transcript:OB06G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDGDAGHSRGGVYPRRAGMHDIHLRPRLLRRVLMECLPLPDPDADLEPTRRPADLARALGAVREQGLLAERAIDPVLLEEWSAAVDAWVDRLLALLVSDREHSCWVGTSFLGLTFEECSDDRFAKSYSDWSEKILKTIKAPSCNKMVNMATCTAMATLFVRLAKFSNLKDEATFFAQKVVQPLLRLFDEDDSVAEKAADLLGIIIKLFPSSVYRNFNKVESILAAKIMSGQYNLQHSKKLASTLALLPCVRVSHNSLSLMIQKILIMVNNLLNGYTGHEIMMPIISPQLGGQENYRDWNFHSSKYFCTFVIPTISALVHCCSVMLTSSYPIQ >OB06G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9190196:9192317:1 gene:OB06G22100 transcript:OB06G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCGELGLAGGGPRLDGGELPPGFRFHPTDEELITYYLLRKVVDGSFNGRAIAEIDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSGRTGALVGMKKTLVFYRGRAPKGQKTQWVMHEYRLDGSYAYHFLSSSTRDEWVIARIFTKPGVFPVVRKGRLGLSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPARRRRWPLAPASSTAPLPGASRHDRRRSL >OB06G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9225203:9227213:1 gene:OB06G22110 transcript:OB06G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPGFANRLPHVIEKMSENAVDVGSPIFGCTPACAAVGAVQPEFVRQKLYQLSPEEDFTLSQSLLRLSSYYVADMHRRTPFSEA >OB06G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9225791:9229532:-1 gene:OB06G22120 transcript:OB06G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTCKELHGYYMEKTNARRKNRETSMTGQHEHDIQPFLGSPGFIVVDFRDLWDLYRLRSIDTNLLKCYSLGHWILLVIMPKWSLVYYLNSNIKKNIYDWTAIEAALNDTWVFKKRYWYHVNALECRGHAHLAHTGVSSLAERRPAVHVGHIVGAQPEQALRQREVLFRAQLVELLPHELRLNRTDGSACSASVMQSIQSVNGSFFFFLGEEEDHVSLVELSMISRISARRFIDDGIDRVINDGQSPHQHGITMVVTYTTYGVQPKIGDPTSTAFSDICKMTRRFQRHPLTSAKCLIYDRNSISNFFRLRLYAAASTTMSTTTTTTT >OB06G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9270130:9277665:1 gene:OB06G22130 transcript:OB06G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGKRWRFATPNPAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSQGDPSSSPCFRTAPEAEEAVAAAVRSGDYNGYTSPATSLPARRAVAEYLSCDLPYKLCTDDILLTSGCTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRRYDLVPERGWEVDLEAVEALADENTVAILITNPNNPCGNVYTYEHLSKIADTASKLSLLVIADEVYGRLVYGSTPFVPMGLFGETVPVLTLGAISKRWVVPGWRFGWIAICDPKGILKETKVVDSLRSFRMLTTDPATFIQGAIPHIMNNTNDEFFSKTIELLKETAEICYGEIKEIKCITCPHKPEGSFFMMVKLDLSQLSDIGDDIDFCKKLAKEESVILMPGRGLGMENWLRITFALDPPKLKQGLERVKSFCRRHQSHSN >OB06G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9273034:9273426:-1 gene:OB06G22140 transcript:OB06G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDHDLVSSGSNLLGLEKANFTNRTNMAVGAEEDGYVAACSGELPWKPNDRDNRDLPTVKLRLGEDAGKQSWQRHCGNLI >OB06G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9278905:9280175:-1 gene:OB06G22150 transcript:OB06G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKYTGILSDLLRIFRTVFLARFISAADKFKSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKVFTGLSGS >OB06G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9299169:9299441:-1 gene:OB06G22160 transcript:OB06G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDYFRGIANCIATSGTSTLFWDDLWNGKIRSVSYPNLCSHAFDRDIFLANIHSQPFEDCFKLPLPYEAYVEFMQMKGELDSLSLRNQKGDD >OB06G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9320927:9327087:1 gene:OB06G22170 transcript:OB06G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKTIAGCQIPAFGSWNYCDDLPITQCFDQAIKARLTKGRRGGGGERRLLVPFSASVPAPRRAAHVKVIRRDAAEKQWINGFEKMMIQAGRAAGAVYGTAKRKAAGNKPVDEDLYKVPPQEQDDPRKTRKVVWSLWIGCLGLDCIA >OB06G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9340265:9342215:1 gene:OB06G22180 transcript:OB06G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLRSIGGAQYLQYQQGINNCVPDDPHAKVKFQIPSFLGSYGAEKYHDWEMILDQKFSAYLMPKLHRVQYASSAFKDFAIIWWTGLAAEGALPTTWKELKIAMRDRFVPPSYHRDLHKNLMCLDQGDKSVEDYDVDYKEFNIVNELYQFAMLVEKKLQRRDQQGVAKVGKGGGRKAINPGRIGAGLVAATSEDGGGSGGAKSDMGEVGLMGGQTWSEGRDLGWRPSGALALVQEE >OB06G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9372916:9373164:-1 gene:OB06G22190 transcript:OB06G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAFLNSPLLLLEKVTCRLVVSSILLITVFPRTITATRSAQHQRRLNADQPEIDRFACPWTHFRHQYHDRPDTTEQGIR >OB06G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9373055:9374385:1 gene:OB06G22200 transcript:OB06G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVIRRIEDTTSRQVTFSKRRSGLFKKAKELAILCDAQVGVLVFSSTGRLYDYSSSSPSINIVTKYMGSTSFSYDIN >OB06G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9385341:9388669:-1 gene:OB06G22210 transcript:OB06G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTVGSTAALTVGSMLTWTAASMTTPAAALSLEPDMHLRLRRLHPDRGTDYVDDTDNLLGHPDWKKFKADLPVYLPKMDRMFQGVAVNGSTSYVATATEPVDYENSDDDDEFENEDEDLTPRSHGHKWGNKTSTTGSSLRKSLSQENSKVKCAWPGAILGWVTDREVISRVRMSEDKVRRKDMGVGCHVHSNAVEEDVEHDLNDNDTEEADDVFVTTFNAHVAWLSVLWPKIKCKVNVHRSTWFFPFDGRGTTVCQSMTKLASDVIKPRVPHFTEMHPRLRNRRFYPYFKDCIGAIYGTHVPCVVPGNKVEQYMSRKGMTTQNVMAVCDFDMRFTFVLASWPESVQDMRVFEDTMSTYGHLFPHPPAGKYYLVDSRYADRPNILLHIRERSIRERSVSVHHKDPNYVADEADANQVGLEEVVDECATMDQFRN >OB06G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9389519:9392397:1 gene:OB06G22220 transcript:OB06G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAVAAAAWLSLVRFWQAEAERLREELHNLQANHRQLLGQNLSGLDVNDLKDLENQLGTSLLDIRVKKDQILVDQIEELKRKESIMHNENVELKHELNTIRQEKVNLHRKVYGKQELNAGQSSVTMNSTDDEKEIRLELSQPQVAGNKQPKATEFRGFDEIHDLPKMSEKSDL >OB06G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9392450:9395278:-1 gene:OB06G22230 transcript:OB06G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHQQWRNGDGEARKMTPSLSTDVIPDSSDEEQQLISMDVEHNTHEPVTYTKVVVSSDREKWISAMQDKMHLSRRMTHGSLCGCQNRRSLSVANGSSKERDLSRSEPPRFKASRYMANPGKEYWKAVQWIFSGMTDFNEAKRRKTVARRLGPERSRFRSFANIDHVYLRHDALPRCFAHGHLWHRMR >OB06G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9403776:9403952:1 gene:OB06G22240 transcript:OB06G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLEAAHKEEVEVECGCGLVEGGGSWGGDGKRQMGVRPGRKKRKEKEKREKGRKVEGKKK >OB06G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9418353:9423202:1 gene:OB06G22250 transcript:OB06G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPAMCPDVDERAGLAGAEVVAAGMEVVGSVGMPSDMEFDFSVDDIDFGDFFLRLEDGDVLPDLEVDPAEIFTDFEAAAASGGEGDGVQDQKVPTVELLATQDVLDPCGDVAVEENAAISEAGPGHEKAGCNQDDDAAEVNADVGGDAAAVAEAKASSPSSTTSSSQEAESRHKSSSKSSQGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLVREAEAASWTQRRQIYAAGGGTVAKRPESNVWAVPTIGFPPAPPPPPPPPPMQHFSRPLHVWGHPTIDSPRVPMWPPRPHLVPRGPAPPWVPPPPPQSDPAFWHHAYMRGPAHMPTQGTPCMAMPMTAARFPAAPVPGVVPCPMYRPLTPQPLASKTQQDAQLQLQAQPSSESIDAAIGDVLSKPWLPLPLGLKPPSLDSVMGELQRQGVANVPPACG >OB06G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9426837:9427548:-1 gene:OB06G22260 transcript:OB06G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQDELAGVVGLHRRVNEGDLGNLPHLRCITKLVLRLHPPLPLLLQESLRDCTIGGYTVPRGSRVWINNWAMCRDTALWRADAAAFRPSRFAGEGVDFRGADFQYLPFGSGRRACPRRSCPGMQLGMFAVELALAELLHCFDWSLPAGTGPNEIDMDDVFGLTALKAQRLCAVPSPWLSCPLL >OB06G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9519525:9519967:-1 gene:OB06G22270 transcript:OB06G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRRNNSSLLESSTIITANLIMASSSYLLSKITPRPAPPLPPPPVPPAAAPTWRPPAAVHTRSVEPTIVTRTVFVAPVDGEDGKVDERAGKYIRRFRERTLSDIARMEAEAAAAVVAARPPPPPAPRAVNFAGTTAYGYYR >OB06G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9535101:9535451:1 gene:OB06G22280 transcript:OB06G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVAHSAILVALFLSFTQPSETQNSRHDFLQPHNAARPAVGVGNLSWDRTLAAYARSYGGRRSQECALRHSGGPYGENIFRGSAGGGRGRRRTRWRGGSXXXXXACNGGETFVV >OB06G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9557599:9566773:1 gene:OB06G22290 transcript:OB06G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWPRQQLAVVVVVTALVAGLAPPCGAQAQVKSRFKAIFMFGDSIVDPGNNNGKLTEARADFPPYGQDFPGGVASGRFSNGKVPGDMLASKLGIKELLPAYKNQNLELNDLLTGVSFASGGSGYDPLTSIPSTAISSSGQLDLFSDYKQKLASLIGEEAMTRVISEAVFFTVMGANDLLNNYFILPVRRHQYDVPGYVDFLASNAVNFLLRMNEMGAKMIGFVGVPPLGCCPSQRTGPSRECEPMRNQASELFNARMKQEIDRLNAERNIIDGSRFLYIDIYYNLLDIIQRPAYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPSEKAYNIVVDKLIHQNQQYLM >OB06G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9571826:9572865:1 gene:OB06G22300 transcript:OB06G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQQLQLFEAYKEKLVKTIGQEAAAQVITDSIYFTSMGGNDLANNYFLIPFKQHQYDLGSYVDFLVSSAVNFILKMNQIGAKKIGFFGMPPVGCSPSQIILGGHPSKECDPIRNQASELFNSRMKMEIDRLNAELKIHGLRLAYIDFYRYLLEPAQQPAFVWFQGGNRGVL >OB06G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9580214:9580966:-1 gene:OB06G22310 transcript:OB06G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQIDVESQKPAASSSPAATVPPASVHSVEPPAVPVSVGITNGHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCRGVNRVMTAWLVGLCAAACCFLCFTDSFHDRGGTVRYVVATRSGLWVIDGTAPPPPDLAATYRLRFIDYFHAVLSLVVFMSVAMFDHNVGACFYPVMSYDTRQGGPARPPARLPGGRGAGRDDAVRDVPVDAARDRVPSARSLDVN >OB06G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9601348:9608072:1 gene:OB06G22320 transcript:OB06G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLGFLTNIPLASIAIARERKSYSGELFGDVAAACGAGGGLGSLDRGRCCPVLAAWLFAXHARTALSVPAPAPTLASEGLDDGEDEPMVPYDNQRCVDALSAALPCPQRDVRHGALLLRHPAPPDRLTPLPRRIRHRRRRCQETPRPTPR >OB06G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9623312:9627949:-1 gene:OB06G22330 transcript:OB06G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKYGLLDPPFSSVHVKTGLLDPVGSYNGQIRFCNILFLAEVEIKEEEDDEVGKGAAVVPAEDVKASEVGLVMQGWDVEEEDNTLVDNVEDDDDEEQEEEEDEGDAETDKECEEQVRDSSVSMKEAECHECVDPEDDDSQAGEVDPVETEAVGISECGDLGEEVNEGGCGGDGQKIDPEEAPILRKDSALEVALSGEAVEREIQLPDMMKDSSEAKEEVSVPRPEKEEEMKGRRSTSCCSPSTALKEDRKLRRLSSRRRVGSSSRASSGSDRVGRRHSFSAEIEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGSRKGQKISSEPESEKDCVVHAAPNSAEEAQESHDDGKEEATVDGEEEGTTQETEVDEKGEKVEVGGVEAQDVVEEQKLRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYKGHNRRNRCQQKTGCNAAASEELKDTDNAEGTSDAKNMAESEAPASVNLASMPPPVVQKPPPKEAAEQKLKIELPLVTSAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >OB06G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9641215:9648827:-1 gene:OB06G22340 transcript:OB06G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MRKWVRVESYSRYKGICMKQKKPYLLAEHPLLHSEVEARHTWSQVATASPGSMALARVSPTASSRSLLCPFSPSVLRPRISPHHQRGFPVAASLSQASPLPSADGDGAVMEATSRRPWRPTCLYFTQGKCTMLNDTMHLDKFNHNLSMDLPVNYSAADKVKPQKFDYFLILDLEGKVEILEFPVVMIDAQTREFVDSFHRFVHPSVMSEQRIREYIEGKYGKFGVDRVWHDTAIPFKEVLQDFEDWIGDHKLWKKEQGGALNSAAFVTCGNWDLKTKVPEQCRVSKIKPPPYFLEWINLKDVYLNFYNSRATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQHMLADGAVMQITAKRQTPIGEVKFLFKNRIR >OB06G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9651723:9653073:-1 gene:OB06G22350 transcript:OB06G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVLSTDVLTHLFNDNKINGTRKREESQRSRMRKYRSQLEQEVKKLKRQLEEEIDLHVGLVDAVTQNAAPEVFCEDSTYDCIYIKYLHMPICRIGFAHSKVHIRNLHSNLIRILISWIYLHFSLNN >OB06G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9654377:9663991:-1 gene:OB06G22360 transcript:OB06G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:J3MDZ2] MEESPLFCPRRCGGRVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPEAELRKLALLECLGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDSKTREFMINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDENENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSMIDDPDQRFAAFLSPLTLGRVNIAVNAVYVSKVGLAIAVRYALSRRAFSVTPDGPEMLLLDYPSHQRRLLPLLAKACLMSSSGNFMKRMYVKRTPELNKAIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGILRSSNFQMDLLCLRERDLLKQFTTEVSNHLAQGKSREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSKENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSFGNE >OB06G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9667299:9678791:-1 gene:OB06G22370 transcript:OB06G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MDZ3] MQNNSLRKKNATEVDFFTGYGDVNRYEILEVIGKGSYGLVCSANDTHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVVIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAEEALADPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFREILEYHPQLLKEHTSGTERPNFHHLSAVDQFRKQFTQVEENVNGSGATVSLQRKHSSLPRSTIVLSTAIPSKDYKHATSSSTKHAVDGWNAQIQGVHANIAGKPSTIGRSAVFSGSVVSQDMNYPRSLAPSLQWLPNITHFPNHASSDHNTVFKGSLLDATGPVQAIHGTTYVDSRSGNLYLNRHHDAEVDIQSDRTAAQAHAASHGPVSVVPYSLPGMYRIT >OB06G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9678541:9679005:-1 gene:OB06G22380 transcript:OB06G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRIKPRISEREREPPRTQSSPRHTQKISIPPPPSTCPRISVARASPPAAAHNSTPSPTRRPLLPSSSSSRPILHLISCFRNPYPRFGATAAASPVVVLSATAKTPGRGGGRGSDGLRRRHAVHEEEEEKGRARLRYCDPGQEPMGQVRLTRA >OB06G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9688441:9689652:-1 gene:OB06G22390 transcript:OB06G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQETKGPKDPAAKLPSRSPFAAPAPPPYHHHHYGTFSPPPPPQQQPVGAASSLPFPAGCAAQGVVAFPCTVQQQVLVERLPFREPPLPFCGAGVGWTFKHDLGRRRPRERMALAELSRTGLVGTTLGGHPLVRIRLMTLTSEVLFSRTLAMS >OB06G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9705339:9707351:1 gene:OB06G22400 transcript:OB06G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPEGLTRTNHKPEWATRFGSVATWFNVGQRVDTIDHKPEWATSKRQSRCQKKVVPKVEVRCLVSYRRTGAFVAEVVINSFRSSVKAEESSSARGEESDLRFFKRRSQVEAGVVQESSFISSAVAAAFEP >OB06G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9715261:9718639:-1 gene:OB06G22410 transcript:OB06G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34460) TAIR;Acc:AT2G34460] MATAFHAIAARPRLPPAAFARLSPGRAAGKLRLTLTAACSMDTASASSSDATKKTATVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARGSLPQDPNLRLVSADVTEGADKLVEAIRGVDAVVCATGFRRSLDPFAPWKVDNFGTVNLVEACRKAGVTRFVLISSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKHIRSSGINYTIIRPGGLTEQPPTGNIVMEPEDTLYEGSISRQQVADVAVEALLCPEESSYKVIEILTRVDAPNRPLKDLFASIKQN >OB06G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9719500:9720180:1 gene:OB06G22420 transcript:OB06G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAAHSTLSPPLSLPPHRSLSSLSQTTRMTSAPGAPSSATGVDPAAGALLLLRRRPLLLRRRRGSGSRRSPCPPPPAPDAHSSTAAGADTTAWRSPPRLRVADRPVLRERATPPPLQISRRRRHRWANSGHVGERRMAVGRSLRQGASAGGGRGVSECGGACPGYSRRNQAPAVHALGVSSPCPRRRCEHPRKDAQSLAGEQKVRFSFLSPPWHARAKRHARAL >OB06G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9723545:9724051:-1 gene:OB06G22430 transcript:OB06G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAALRQPQHHRSASPPSYGAEPPPPPPGFIAPPQPGLTRMEVHTSTPTICSTNSTKSFSVPLKMVDGWAIHYHIGDENGDIGVDQEGHSFKFNGTSLEELLERLQEETGLGDVIICSCSPINGRLMPLRLQLPPNNSRDAHRVCA >OB06G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9729738:9730508:-1 gene:OB06G22440 transcript:OB06G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGFGYGGFGYGYGYGYGGAGYDMAGYGSGGGYYMSDPYPAAYEDPLAGRRQHDFPAPLTGLEFQPSETCPKNYVIFDQTYDRSRVMFHPSLANKLGNSGGYDHHCYGFDQDYAGKSAYYGVEDGDRGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGYGVSTGASRSDCGGGRKPEAGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >OB06G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9732412:9732693:-1 gene:OB06G22450 transcript:OB06G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGFDRRILDAVVMTSGAVAYYYRLMQVCQVRENTRPNRASSSYPATFLCVAFHQFRRHSLLPAKACPFNLFGRPSINVLLVGIIIIMTFRG >OB06G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9749976:9753290:-1 gene:OB06G22460 transcript:OB06G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQENRVLPRITIQVWWWRTSRTIATRPMRAITALTTGIDQRDGAMSVATHRNGARLSRMLEKMASKEPETTGELFELVDRVARREEIWAWNAFRCSHIYPYRNGIRRRHQEKVWIWTDEASNRRRDRRKVMIKGLSSPWTALHVHSARGRPRAAMASLPTQSEPAVATIDGGACAHASRRSFKAMKSELLATVPSHEAEWRSRRAEVSLTFDQTDHPVSVDGSGHLALLTSPTIYAALNLLCPKTIDTIKVWPLVQISLPITFKGPSNFSTERVDFEVVDLSLPYNVMLGRLPLVKFIVATHYAYRQLKMPGPSGPITVHSNLKMALACTEMRGEALAMATTVMSADQGP >OB06G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9836774:9837480:-1 gene:OB06G22470 transcript:OB06G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGFGYGGYGYGGAGYDMAGYGSSGGYYTSDPYPAAYEDPLAGRRQHDFPAPLTGLEFQPSETCPKTPPRANKWGNSGGYDHHCYGFDQDYAGKSAYYGVEDGDRGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGYGVSTGASRSDCGGGRKPEAGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >OB06G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9837493:9837693:-1 gene:OB06G22480 transcript:OB06G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDCTLHPLLSSCPAPARFSVSSAQNECSEQKKKGGTPIRASNASSSTVYKTPIAGSIHPSVSLPLFL >OB06G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9887203:9889150:-1 gene:OB06G22490 transcript:OB06G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINDHSRSSTQTMPVPYTACGGIIPLSGSMKIHSTTTGDSKIIYRFYKVKQQEGRSSIQQNECHACFARGNLELVEFSQGSPWFELRDSSHGWLGLGLAGEKPSSDLGRHLKENGVPRRYRSNEGGRDEKDGCMHEVFTDPGRLTVQLKRVREVQEAVSALVMPGNTTNAVATQERLDLAIKTPNQCLNELEHCMQSHLVKGNNLELTG >OB06G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9913084:9914635:-1 gene:OB06G22500 transcript:OB06G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNVGLTPLPEIETMQPRVRFREPDPVMAEVKNRIICTDENISKNPVGPWGKFLEILRIRRWKDYEEGHVRQIGRVD >OB06G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9923010:9928842:-1 gene:OB06G22510 transcript:OB06G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERAKKGWTGVAGGGGLLPLFVLIVLKTDFLPQVARLGDTGFTKVADEMVMKVSSFDSVVGAPVPLTSSSDEMRDHVDASKDSNQPSQFLAMNGSKDSRLINSDVAAPRSNLTCNFSSGTMDTCTMEGDIRIHGRTAMVYVVASSDYRAENATTVIRPYPRKWEQATMERVRKITIRSTAPGATDIIPLRCTVTRDMPAVVFSTGGYSVNFFHTMNDIIIPLYIMAREFGGHVQLLAANYDHKWTAKYQSMLAVLSMYPVVDLDTDAAVRCFPVALVGVQSHKVLGINPALTRNGYTMPGFLDFLRSAYSLQRHEVTPVSRSTGQRPRLVLVLRRKSRALTNEAEVVAAVTELGFEVVVAGPEDVSDVGRFAAVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVTANETTLKDRYPRDHPVFTDPISIHRQGFNHLWSTFLNGQNVTLDIHRFKGVMQQVYLSVTAA >OB06G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9952794:9953656:1 gene:OB06G22520 transcript:OB06G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKYALPRIDGMFDQLKGVAASSNIDLRLGYHRLRIREEDISKMTFTALYALYECTDMSFGREYVFRYFVRKRIPKLFQSLNPPAPFSPQIADLTRAIPGFAYAASRCWQPIPDHCTLLLPTPTVPPCLSLCCPAPRRPYCSLHCYTASQDNRCRTRAPTMHLAEPREVIVSRTA >OB06G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9971188:9973906:1 gene:OB06G22530 transcript:OB06G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEAKGSRGANRSSLWSWKSSYIGYGLVVGFVLMLLYLMVSAQFSSSPNAYLGGPAKISQTESISSARHQENHAGQEDGNRENEEPYNEEVARTHTEPSTGNERNSDITEERHQSKRKDNLGIIPAECVIAGLQYLLPVVKITRGGTGDFHNVANTKPICDTSFGKYDICVLDGDARAQGGTAATVTLVSPHAAPQEWKIKPYSRKYLDGLKAVTVKSVTNPEDAPQCTTRLNVPAIVIELGGLTGNYWHDFTDVLIPLFIGASRFSGEVQLVVVNLLPFWVDKYRKIFSQISRYEIIDLDKDHGVVRCYPHVVVGYGSRKEFTIDPSLDDTGGGYTMLNFTEFLRHSYSLPRDRPIKLNAIGPPGLARPRPRMMILERTNSRKFMNLPEVVAAAGAVGGGIVPYGRLENIAQTDFGDPAQDMGLRYIQYSIAADESSLMDVFGKDHPMIKDPIAVHMSGWGNVAEWYLGKQDVRVNIDRFRPAVTQALEYLQ >OB06G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9978862:9979041:1 gene:OB06G22540 transcript:OB06G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRGWMWWWKKWRAATACLHNLGRPFLMGRSVVSNGFVGVEDRSHVEIGRTEKIGYIQ >OB06G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9984897:9986920:1 gene:OB06G22550 transcript:OB06G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSLVMKNAPPGSTQQNPVMPKQQNKLEDEKDIGEDETGRKEPSFPEATEKKETVTKSSGDETEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSGAGPFDANGEKKIRPYARKDDFLLPGVVEVTMKSVSSPAVAPACTRVHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLITNFKPWWVHKFTPLLKKLSNYEVINFDEDNEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGEKTGVKPKMLLIERKGTRKLLNLRDVVALCEELGFAVTVAEAGMDVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKNPMAIHAQGWPALAEIVMKQDVMVNVTRFKPFLLKALDELQE >OB06G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:9989374:9991895:1 gene:OB06G22560 transcript:OB06G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYARHWLMRGPCSPLRQKWWEKNAGPNMIDIHSTQLTQEFLDALRDAGDRLVIVEFYGTWCGSCPALFPRVDVKEYKRLAFPMEWMLFRASIGRSPEIPL >OB06G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10025617:10026795:1 gene:OB06G22570 transcript:OB06G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAPNIITPDAGHHSPPSRLLSKHRPRRRAAAPRATLPPPSPAPGQPDINLCHCCGVRFPPPPPGAKRRPVRPLRSLWRIVLLCTECLYLVRSAAVCSYCLSLDNLPPEDCSVTCRFCNRCVHHYCISGEHRTSLVQPIDVENFVCVDCCPTVKPGGKQGGVAPVHMLQAVAREPRKGEIVAEAKDNAVRKAMEVKLASNRVKEALAPAAAGGGSQRTAGCNPDLPDEELALQLHLAMNGSHRISRAGNTSGGDSAVQGKCHKTMVCGKKVYGDQELCVTNMMDQLDDVETGVEPLCRIGRPARRRLDPSVTIVLALECVVGKHVKESMKVKRKGHLGTKQENELVDRYMRKYSKRNSSKQTKNGNPQFKDTAGGKDKDGDGGNDLAPVK >OB06G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10031164:10036704:-1 gene:OB06G22580 transcript:OB06G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G13380) TAIR;Acc:AT1G13380] MGDTERSSILVHILVVALCLTAFGFAIAAEHRRSTGSIVTDSFNSTFCVYDSDIATGYGVGAFLFLLSGQSLLVVVTKCMCFGKPLAPGGSRAWSIIYFASSWVSFIIAESCLIAGATKNAYHTKYRHMVYAGSWTCESLRKGVFIAGAVFVVFTMTLNVYFYIYYTKSTSQAAKKINKTTPNVVMTGHP >OB06G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10074461:10077919:-1 gene:OB06G22590 transcript:OB06G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNDQTNIMNLFNHFLLQVWYPVTAAIGKTSFDGPECMKEVQMRPNENPISTFFARKPVKLEKIDQDFAETKALRAAKEECYETAENQLEKTYQAQMEEKQDASTIVDQPITLEHDVEKAETMKHDDLIFKATRKQDALCLKRKIEDAEVHSDRVIGNSARSPVNHVKKKEKSSKSVLVGQASLLSYFTK >OB06G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10081170:10087076:1 gene:OB06G22600 transcript:OB06G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVLINNGTRLVPCPHSANVVFGKWIFKHKFHYDGSHSRHKARWVVCGYSQQHGIDYNETFSPVVKPATTRVVLNLSTSSSWSIHQLNVKNAFLHGTLNETVYCQQPSGFVDPSAPHAMCLLQRSLYGLKPTPRAWYHRFTTYICQLGFTPSASDTLLFVFRDRNRLAYLLLHIDDILKASTTDLLQHITSQPHFEFAMADLGDLRFFLNIFIRHLPDGPFLRTVSSCMHLASLTLRWSNTFYVMSRALYHWTWRPITLGVRAPRRIGVAREPRSFVDRPGSSLRRLVPKLRKEIGLMTNMPKSSVTPIRYNMENLEVISNTLPCEVKDFPCSYLGLLLNMKKPTKAELQVLVARVSDYLPMWKASLMNKAGHLILVQAVLLAIPSNLMIAMDLPKWVTKAIDKRMGFLWSGQEKANSGNCLVAWDRVCRPIGGLGILNMEDMNWALRIDGYGFRKQILTVLGMVYRFRFLVCHAISSIRILNLEWEMGYLCLFGLTNGCKEELLLIWPLVYVCWSLREWSSSTKWLKLSITGNGSLTSGAP >OB06G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10088167:10092974:-1 gene:OB06G22610 transcript:OB06G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRVRCTLSPSQAARAFGFPTRTCAAGSTIARGGGGGDVIPTVPTLQMDRYRPSYNVSPGAYLPVGTMRPLPAGCDGGGGVDREVPVIQCMKWGLVPSFTGKNEKPDHFRIFNARSESIKEKASFRRLISYNRCLVAVEGFYEWKKDGSKKVPYYIHFQDQKPLVFAALFDTSTNSEGETINTFTILTTRASTSLKWLHDRMPVILGDEYSINTWLNSASLKLEEITVPYEGADL >OB06G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10132515:10132844:-1 gene:OB06G22620 transcript:OB06G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVGRTGHWPKGQEADSGPREGREREHDRANPRGSGTKQGRGADGSASAGGKEGEGDRAVVVGGVTTGGSAQPVKAATTAQAGERSRATQRPTGRRRHRAVADGDDT >OB06G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10137485:10137733:-1 gene:OB06G22630 transcript:OB06G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEVGRTCHWPKGPEGDSRPREGREREHDRASPRGSGTKHGRGADGSASARGKQGEGDRAAEVGGVTAGGSARTVKAAMTP >OB06G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10140523:10143644:1 gene:OB06G22640 transcript:OB06G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESCGDGDGATTTRRWAIGAGSAVGKEEGKGRGLYSPVVEDGDDDRHDVGWRPMAMIGDGDGVERRRRSGAVARGGRRRGEEGQRRLEQANGSEQQQGGFMGGGQRVGRGWLAVATCGSWTAVVGLLAAGCACGSGEIGDGSCAGGYTARHGRGDEPRQGSNQSLENLPNLQDMVDLAVHHALINLSGVLEWFERVPLPHRYIVSDFSKFSGQDRISTIEHVSGFLAYCGEASAEDAFTMHFFPMPLSGSTFTWFSSLPPNLVRNWADLEKQFHKYFFARVQQMTLTDLTTVRQRLDELEKHIQLPTGHVISSAEEMKKKRYCKWHNFVSHHTNDCHIFQQQIQSAVEQGRIKLDEAKKLIKIDGHPFLVNMVHANIGYRQSRSSRKHEGTIGAEKEAKNAMSGPATMTTGIVPSSSTAGRRIRLPSREDCPDCNLEEWHETSRCSRLRRPRTPPREPRHRILAHDRLGL >OB06G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10191327:10217110:1 gene:OB06G22650 transcript:OB06G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MASCPFLFTPRSPPLIATHRRPTLASRAHLPVSSRSRLSRREGCPCRCASSSSSGRDGPQERPPRSRQRKQRTQRPSRDDAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRMDFMEWAPGARYCSLVGDFNQWSITENCAREGHLGHDDFGYWTIILEDNLKEGQEPDEYYFQEYNYVDDYDKGDNGVDVEELIRRMNEEYWEPGEVRSQKSRLEVVAKLYEQMFGPNGPQTDEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVVTDRVSFEKFQGKSPPLAYWVEMRKGRKAWMEKYVPAISHKDKYRVYFNTPDGALERIPAWATYVLPDAVGKQSYAVHWEPPPEEIYKWRFGRPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLTHIKDAGYNAIQLIGILEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVILLDIVHSYASSDELVGLSLFDGSNDCYFHSGKRGHHKYWGTRIFKYDDVDVLHFLLSNLNWWVTEYRIDGFQFHSLPSMLYTHNGFSTFTGAMEEYYNQYVDKDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSVPEMWLWHLENVSEHEWSMTKIMEVLVSINSNMLSYVENHNQSISGRKSFAEIILQKEKHSNSSVDDGLVFRTSSLLNLIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFRLANRQWELLDKGIHKHIFNFDKDIMSLDEKEKLISRGSPNVHHCDDTSMVISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGVLKSNQYMTRTSDNRVGGCRNSLELTLPSRSAQVYKLVRILRI >OB06G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10218295:10218504:1 gene:OB06G22660 transcript:OB06G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEDFVVETKYHYSENILLHILSPNCNIYLLRCGILLTQKIGKISLWIRIRKYAIINENFIGRSSNLT >OB06G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10241118:10241312:1 gene:OB06G22670 transcript:OB06G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSYLLSTLFFCLFSAAVLTPDKLEHIDSVRSCPSLQAIVLLNYFYTPLMMQPLTLVGKLLLD >OB06G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10285779:10286090:1 gene:OB06G22680 transcript:OB06G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGCSRLGGAEEIAQRGEGREGVRPGGGGSAARVGDGEIAHQEWDGGVRPEEIGVGFGQEGGKRKREKEKGKRKKGERKKGKKEKERKNGHLGISKSFQLN >OB06G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10378864:10386398:-1 gene:OB06G22690 transcript:OB06G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQQKQKNMWTQSFSSSKRDHSLRKAAPSPVGSAARAAVASRAGYLCRNLPGCRGALEENNMSCCAYRPRAAESLRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPATRDFVCICDDGRNSTTDCAGGPVSTYHASAGVVAASVVFSVSAAIGITGLLWYIRKIKSTTVVTCGVQSNENRFF >OB06G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10409169:10410157:1 gene:OB06G22700 transcript:OB06G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQPEKDLPLNTWHTSANMEQNLAMMNKVRLIPGKELFVCRCGLHEMTQVNELLNLIDNLKSVGLTVVFASWNLIWRRIQPLKDRVRYAFEYLGGDDLTQESNEVLRKDVITSRTLRLFQPGTFIPTSHRISRCPITHGITTPGQAFSNTILCFYIPKKVLQWSIGKHRQTVTIDYVTIVYSIVTH >OB06G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10410573:10411095:-1 gene:OB06G22710 transcript:OB06G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTIILITAKYPNKETAAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEELVRPVKHCKTIGDAHYEGMSIAWPSFCVQKINS >OB06G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10411477:10415607:1 gene:OB06G22720 transcript:OB06G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQRMVCCVIQLTQRLGSPLTLGIRSLHRILKTSASSIILALIIPGPSYPGKEFHVFMEPVYEELFDLFEVGTPTYDASRNEMFQLRGTVLFTISDYPQIGIFAGYSVNGEFACISCREETCSKCLKHGHKYCFMGNRRFLPSDHEMRYNENSFDGTEEHRLEPLAYSETSVLQKIETINNFEKSKTWKCLSGLFSLPYWDLNLLHHNLDVMHIEKNVCDNIYGTLLGLEGKSKDNLQARLDLQEMNIRPDLHPIRKANNKYYLPPASYTMSKREKQQFCKVLHDIKVPDGYAGNISKCINVTQGKISGLKSHDCHILMQELLPVALRATEAKLGGPVCYRSMYFVERYLCVLKSYVRNKAHPEGCIAEAYLADECMTFCSRYLEGFETKHNQPTRNDDSDESVAFSDDEYTPYLFPHVGKPLGKPRSYVIRGLTKMQAHRYVLFNCPDINPYLRTHANEIRRTYRQGRVAPKIIGRIQKFHEWFRAYIMDLERKNGICSVNNDHRWLARGPIGPAKRYCAFNTRGFRFRPKHLDGVTQNSGAILTAKTSSYTKSNDTNPILGDLTYYGRVIDIIELNYSRQFSVILFKCEWVDVVSGKGVKKDKYGYTLVNFSHLIHTGEKVKHEPFILPNQADQVYYVDDPINHGWPVVRKNKPRDIYDIGEDECADDIEIEPFHVSHLGGMSSNANSYKQWDNRGKLLLFHDLFHYFKVSVENDILNYLILLLTRNYPNSIKKQACLIVSNIVAGRKNNIQSSEQDIKEEAAWAISNVASGGANDQIQYLVSQGCLEPLCSILTNQDADLFQVHYFDADAVTLARTIGRWHWDIVFFRQAKVNK >OB06G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10427680:10429082:1 gene:OB06G22730 transcript:OB06G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLANPAQPHPSASLARPFRLHRASAPLQLLRCLPLNPLLPQQSSHQVRPSSSSTPSMQITWLKEGRTDRIMLDATKDQRDIVRLEDFISRSVQIMKAIDDRSAAKFDALRSLGPQLENLAAITCDCDEVIERAKAMKIAALRVEQVSYLERIEDQATALEKTKKAHTGLQAKCTRLLSDKAKIEAESSRLIASNSVLKFESNKLKKLKDTTTAELVAKPSWYRSFISSSHPPLTTLGVPAEVPTRAQVAVEAVEARAKVAEHVLDQLIMATQAILGSMESLAQPTLTTSYAGSRRCLLTTGQMSGRRPRSE >OB06G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10476298:10477832:-1 gene:OB06G22740 transcript:OB06G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGEWTRGPAIGRGSSAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVSLAVACHTGEFFAVKSVGADRAGELLREQSIMCDLSSPYVLRCLGSGVSAAEDGGTSYDMFLEYAPGGSLADEIKRCGGGYEDSLIRSRARDILCGLAHVHAAGVAHCDVKGRNILIGSDGHAMIADFGCARRVAGRHPYVHGAGGCAARGEEQGPAVDIWALGCTVIEMATGGAPWPEFTDPVAALHHVAFSGEVPEFPRWLSDDGKDFLARCLQRDPRERWTAEQLLDHRFVVTVASSSSNSMPRISDKDIFVSPKSILDQVLWDDSTADTNDTAAPTDRVRSLAAGAPVVPDWTWDANWITVHSGSIVEDDDTAALPEGDDGDSDRLAGSSTPAGSPTSRGSHASHGDSCGDVLRCNGDCYGDMSSCSADCYGDVSSCYDGCSERFCGGNHVMSDCTPAITSKGFFPRCCVTTTFGVVDVVTFFLSE >OB06G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10500775:10504469:-1 gene:OB06G22750 transcript:OB06G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLTAEAAVAVALLFKTPLRKLAMLGLDSLKRGRGPVMVRTVAATVLVVLASSLHGMAKIRGRAAGDLDGAGAGVLTPTDQVLLARHLLEASLMGYSLFLALVIDRLHNYIREIRGLKRNLEAVSKQNKSFEEAKVLESSDESKPYQKDIASLNEEIKKLKRQLKEKSNEAKDAEAKAQAAQKQSEGLMLEYNRLVEDNKNLHDQLQ >OB06G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10515551:10515796:1 gene:OB06G22760 transcript:OB06G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCCLNCFVATRTRSNKWKVTCKESNGRRENMIEFFLRYWRSIITTNPCLSTLHKCFAPSFGHDVQKTLSSAEILFHFGS >OB06G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10516678:10517076:1 gene:OB06G22770 transcript:OB06G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGMSGGAVSSPHGGADEDEAQEASLHGGRLMGLMADRKERGDDRGMGSGARSCPDHERELRLDRRPWRGRDVVSAFGAHGSLHWPSSRAPMDWSPPAALEAGLPQSQQSRSAAKHRSRDPALARKAQP >OB06G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10519263:10521768:1 gene:OB06G22780 transcript:OB06G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3ME34] MAKFTFDDADEDPPVASGAEKRKRDAGDDPVDGEAPRPRNSAGGGGGGGRRAAQAVPGEGLADGEVEGAGLNVQIDPDVLDCSICFDSLRPPLYQCQNGHVACSACWSKLNNKCHVCSRDANFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDTHEESCPFAPSVCPIYGCGYNGFTGHWSQHFLSRHRSEVLRFIYSQPFNVNLEVSVPFLALLGEDDHLFLLLNNSMMPFGHALSVVCLRTGNLNWKFSYEIEATSRKKPENRLQLKASVSNTKHWTGLYPAEAFLLVPCDFCNSSNIVLNISIERCDVV >OB06G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10544803:10545045:-1 gene:OB06G22790 transcript:OB06G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLSAFLPLFFFFFFFFCLVAFLSASLLSLPPRRKKRSLPSPAAVLVGPRPCHALSHSVFRFRSPFVGNGRFSVSLKR >OB06G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10544996:10548409:1 gene:OB06G22800 transcript:OB06G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGLRQESRRFRLLVIVLGFFLVSLTFVFVSKPDAILFGLNGKLPVNQAPTSILIQQKVNDEAVGEPRKTSTDALRGDPKVVDDEADVKPKGTGGGGGEEEEAGGRVLSEPDPTSGMMEPTHNKDGNGHKSDEETLGGGGDGKMKGKEEEEEEGEGEHVDQKHKVTLPTVSNYTIHDAAEDTENAKQEDNVQQGSKPLCDFSNFRANVCEMRGDVRIHPAATSVLFMEPDGSQRDEVWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTKYHDVPVIIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVEFLITDMALWWTIKYQTVLQKLTKYPVIDFSKDDQVHCVKHAIVGLHAYMEFTIDSTKAPHNYSMVDFNRFMRGAYSLDRDSVTVLGQYPKIKPRLLIIKRHRTRMFLNLDEIIAMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGIHGAGLTNCVFLPQNGTLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSISVDESSLTDQYPRDHEIFKNPISFHKRGFDFIRQTFMENQNVRLDCKRFRPILLEALDNLHP >OB06G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10551877:10552065:1 gene:OB06G22810 transcript:OB06G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYGRFSVVRSKYNRVVLPIYDNITLSTTWRRDYLKASHEGRASNQELDKPIHIESSNRDDIA >OB06G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10554814:10565120:1 gene:OB06G22820 transcript:OB06G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATRRRWGRVRDDETGVTASGGTTVAAEARKGTVAAPASVPTGREGKRGRGRTMMAYQRQERRQQGGDDVGVATRPRGEEQPLVPTVDEAPTYEQDTPPIYDVNRIEGDPRLSIPITSYPINEQDVVRRAYILKGLWQQYSHDFPTRVIYGLAFRGHDESDESSNSGNFLELLKWLAENNEEVDKVVLKNAPGNCILTSATIQRQIIECCADKTTGPLLADGCSDVSHKEQLALCLHYVDKIRMERQRYCECNALVKRAKERMQKLRSNGWEDFYKKKVISFCTKHNIMIPRKDGKFGPHGGSARFYPNQTNDDHFRRKVYLGVIDKISQELDNRFDEVNMELLVCMSAFNPCNSFASYDAQKIIRLAKFYPKDFTNMDFTRLEFQLDTFIDDIRKDDRFENLKTLGELSIKLVETNKACTGGRMIMDSYGHLVDDAVSTVETKQEIFSDGELSAICKENFVWAYKSPNGAFGGILIGVNGNKFDVISNHVRAFFSSVVIRNREGFKEMVTEKMVTRNGDILNFWNKKLVGLRRFLKGWSANNKKVQNENEELDLKRLDFGIISLIPKIKEASCLVREVIENGIGFLTFRRGFGQNEMSQWQQLDDMISSITLKDYDDPLRFGNWKVTKASLQDLCIDLLLLEWRLLAKEDEQDQLKTMKTKMLDCVKNLRPR >OB06G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10566799:10566996:1 gene:OB06G22830 transcript:OB06G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAAGGGRAGAVDDVGGDERRGEDAGAAPPDRRRVPVWLLPGPAALHRPLRPGRLGGRHRLVPSNP >OB06G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10568351:10573213:1 gene:OB06G22840 transcript:OB06G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERLSSDSLHLQLDKYDDTVKIERGGKENTSDIVYDNSSDLTHNVFIKEKLSKIFILISNPLIASAVHGNGHKKDASEKTGEDTKDSGHGTDKNNQEGSKFDNLEGKNNTEQSSEPAVNDASDRIEEELIQHDIDQAGTRNKTNHKLGTPRKPICDLSDPRYDVCEITGDARAMGTNRTVLYVPPVGERAGGDGHEWSIRDQSRKYLEYISKVTVRSLNASASRAAPACTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITARSFEGEVQFLVTDLQPWFVDKYRLILANLSRYDVVDFNQDGGVRCYPHVVVGLRSHRDLGIDPSRAPRNYTMLDFRLYVREIYSLPPAGVDIPFKAAAAAGAGVATGEQRIRPRAMLINRGRTRKFVNFPEIAAAVEAAGFEVIPIEPHRGLSIEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMVFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDHPVIRDPESIHRQGWQFGMRYYWLEQDIKLNVTRFAPTLHQVLQMLRE >OB06G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10590321:10590710:-1 gene:OB06G22850 transcript:OB06G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVFFPLASPSWALTPLGSPSPPLPFLFLPAGPTYLGLFMRATAQLACRGVRARASLSFSRHSRPHVSLSLPISPPPPPPPPRESPSHHHRFTSFRCSLSKLIREMESPSLPLCFPHNFSLNHSLNR >OB06G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10594550:10594750:1 gene:OB06G22860 transcript:OB06G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHQFILNLQAKVRNFSEASMHYIFQCNYPQILPPVKREIRLSEYLSSGKRCMITIKQWKPSYR >OB06G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10596386:10597078:-1 gene:OB06G22870 transcript:OB06G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSFTPNLLVVVEVEGHTKLCSNENYWLDEDGTHLFFKRKDVKRVWWALNMENVQVALIECRSAYEMVDTLLQLEKKELCMASTMLWLWWQERNRIREGERRRDAGVLGSGIIAFTMKMLKLSDKEQCRQRKPVHRWEKPVGDAENKL >OB06G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10599669:10600436:1 gene:OB06G22880 transcript:OB06G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELSRHPPSASFDAAGPAASAGDRRDPSSGGSSGSGASGAKKKPSSYELKWCPADEISILEAASSHRQRHGQMPAPDDLLAALRGRLLTETRIDARAISRLLKSLHIRYGATWNRISSRGVVPVKDHDLKIYRLSKSLWGADRGRSKMKMMMMMMKKKKKKKKKAIAHHEPRELWELEALYPCLAAEVEVVEASGLCPAAEGMFRRSFARIGDEKAAVLEAKARKVRLAEVKVRMQREELTKEVAETFLDLFE >OB06G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10619472:10620839:-1 gene:OB06G22890 transcript:OB06G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWGHRLAWDKHHRVPSLIPEDFAVVDFEEEIIVSREENSTRRHALKEESQKMPLKEESYDIHNQEKHQRHKELINEENDSVKGESSGKKMMILKLDAPRMDTHDLHGMITMPRSPVIPTHRLFASITQSVVIWMGGITKGKICYMTSKKRVISRWTSVKNFKNDEIALGDRVVTALTVAGSLPLPPTQSPCRLGCDTETDWV >OB06G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10635087:10645405:1 gene:OB06G22900 transcript:OB06G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDYVDLSDDEIIVEEPGAHGHAHGAGVQVELIDLATEEDGVEDQNIHGKDDAVRWTTLHRPNFVAAHGQGNTARHRLTLCKQRSIAVGDDAEEAMQSGKQKHSAVNDGIGDPTNSADQEIVAAGDCTEEVILSVDQDFAAEAADTEETMKSGTRKFVSEGYNSGDVMQSGNAAQATTSSSMTEQGAITCSSMTGHAATTSSSRSEQWSGETAAFLCSQPMSNVSPFPRQFWKAGEYSVAAQPTINSAQNHLRIHPKFLHSNATSHKWAFGAVAELLDNAVDEVNNGATFVKIDKIKCSPIGEYSLVIQDDGGGMNPESLRRCMSFGFSTKSGDSFIGQYGNGFKTSTMRLGTDVIVFSCTEDNSRLTRSIGLLSYTFLTRTGCDDIFVPVVDYEFDASSHTFKTRMDHGEKQFFSNLSTLLRWSPFTSEDDLLNQFEDMGCHGTKIIVFNLWFNDAWEMELDFTSDEEDIMISGAPAIPDRLKTIRRLNHMHVANRFRYSLRVYASILYLQLPKHFKIILCGRVVEPHHVVNDLIYRECIKYRPQVGINIEIDIITTIGYLRGAPKLDIHGFNVYHKNRLILPFWSVYSDRSRSKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRLKDMTLEYWRYHAHLVGYARVTQALPPAHYTSTVALRDGSLVAQASTKTYDGNSRARESVLHDHCSNGEPSKRTSSSTIHWRAQKRQNANDYANQPADINAVQIKDERIRHLISVKKVLKAECSKFEAAEQQLLCKAESLRNELLKWQRLFRDLTDQLKFYDGQCPLQRNLHCNHSSFLGYRVSEGGRLTRP >OB06G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10653275:10662777:1 gene:OB06G22910 transcript:OB06G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MQLLNKHKPTIMEVQVEAPKQPTVLDLFTKSLQEQDSCEVLNRKLFKFGDKEILGITTNALGKTKVHLATNYMDPLILHWALSKEDGEWKAPPSSILPSGSSLLDKACETSFSEYELEGLRCQVVVIELDGGGYQRMPFVLRSGETWMKNNGSDFYLDFGTKVAKITKGTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDAGLFGIIGIFVWVRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLNKNGITKERLLSYDRPIHSEPNFRSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLQFVLEHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNAAPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQAFEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYMVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGAVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLCELQGHEGKVFSFKPTSADITYREIPESELLHSGSQNGQAGQALPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSIAIPFGTFEKVLSDGINKEVAQNIQMLKGKLAQDDFSALGEIRKTVLNLKAPTELIKELKEKMLGSGMPWPGDEGDQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSYEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKPVGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKIYVVQTRPQM >OB06G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10663045:10664547:1 gene:OB06G22920 transcript:OB06G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCCSTARGKVREDYYQALGVTVNSTPQEIKEAYRKLQKQHHPDIAGYKGHDYTLLLNEAYKVLMSSSRNANANASGRGFGSGFTGNGYSSWNGPVRSQALFVDENKCIGCRECVHHARETFAMDDVLGSAHVEVQFGDLEQQIQVAVDSCPVNCIHWVGSQELAVLEFLARPQQKEAHGVFGGGWERPRDVFAAARNFTRRLERQEQQEMAPEQRYNDDAREEAETVAQAEGRRRAGQELRWRRLLDVLNGLRDRRKPGADR >OB06G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10684227:10688977:1 gene:OB06G22930 transcript:OB06G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSCGSKRRMARSFRFVPLLLLITLLLLISFASGTAALASMHSSSEEDTTASNATAAARLRPGKELLKYKRIRALLKKLNKPSLKDIQSPDGDIIDCVPSHLQPAFDHPKLKGQKLLDPPERPKNHNFTIAGSSASGVGEVVVVQAWHATGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARRTSRRDSTSSGHEHAVGYVNSENYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITVMLWKDPKHGHWWLEVGPGVVVGYWPSYLFTHLAHHGNMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPAANLKLLADHPACYDIQGGSNAYWGSYFYYGGPGRNVRCP >OB06G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10705636:10706484:-1 gene:OB06G22940 transcript:OB06G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELDEYMSYDVGALCPDDWDLGQRLMLGGCDPLPRRRCLARASKLFRRPLPTNESLWAIPDDGNVRWSRYHCRSYRCLSARNPRRGYDRCVGCFDMDRERRRWVSGGNGNGSATTLADFRIDEVLAVKPGEVRVGLDVTVGTGSFAARMRESGVTVVTTAVNLGAPFAETVELRGLVALYATLGQRLPPFDNSMDMVHTAGVFDGWVDLQLLDFVLFDWDRVLRPGGLLWVDKFACARKDLDDYMYMFLQFRYKKHRWVVSFKSRDEVYLSALLEKPPRS >OB06G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10710659:10720250:1 gene:OB06G22950 transcript:OB06G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MADPPSPRLDDEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRESLQNCKDNLASCQVELEAAKSEVQKWNSTFQNIPAVPAGTNPDPVSVVSYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTQNNELRNQFNELYKHMDGLTNDVERSNEMVAILQDELEGKDIELRKLRKMLAQKELNDEDKIPEEMEVAGDDINANSDNQSIKVET >OB06G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10722770:10722958:-1 gene:OB06G22960 transcript:OB06G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMLQLKAFEPIMLAGRNNFKDIDTRICVRGGGKTSQIGRCLSPIPMDGLAGGELPTACRS >OB06G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10735185:10743511:1 gene:OB06G22970 transcript:OB06G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGSVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVIAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPSPPKDAYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKKYENTSVDLVAYVKSVVDPTERLKQIAEMLAVHYYLLFNGTDGTWHCMKTFYMEESAFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRASGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMEPGSSMGCYYILRQDLYIIQA >OB06G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10763415:10765254:1 gene:OB06G22980 transcript:OB06G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPSGSDYLCEDSSASEYIASSGIHQSFEKPEQPTHRTKTPALHYHEEPDVPIHRTEAPELKQHETAALGHHEAPEEPLLQPLLATNVDTIFSGFPPSFTDMLTQFSCKTEKDAEPYPVTATDHALQEVSVLDTSHNVAIATTSANEIDVSKETRDIVTRIKTYMADGGFHDMLSKIEKVIDELGGDLSL >OB06G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10766000:10767222:-1 gene:OB06G22990 transcript:OB06G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3ME55] MATTSMPRLTSTFLAVAAAALLAGAAAPAVDAAIVDGLQVGFYNRSCPAAEEAVRDVISSEIAMDRTIAAGLIRIFFHDCFITGCDASILLDETPSGDVPEKESSANGFTLHGLRSIDVAKSTVESMCPRTVSCADILAFAARDAAVAAGIPFYEVAAGRRDGTRSNMDDLPGNMPTPSHQVPRMTELFTKRGLTQEDLVVLSGAHSIGGAHCFMFSNRIYGFSQGADIDPALDPAFAANLRRVCPPPKQGDDPQQSPKVSFDGRTSEKLDSTYYTEVLAARGLMSSDDALIKDPQTKPTVDLFAGDDALWQQKFAEAMQKLGAVDVLVGEQQGQIRRECRLVNKQSRQPAPSVPRLKKPRFPRFMPGSGFHGFF >OB06G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10797817:10804435:1 gene:OB06G23000 transcript:OB06G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQHGMDADKHNIFAGRDNMIQLNTRMSDGRPVVVQRCQRLGCNMVVEGQSFFCKSHNIGQRCQMLGCPHIVPEGSVLCMSHGGGRPLGEPGSSTVACSKSEVPIKYEGDSGFRVTRNAGNDLGSAGIYNVDGDAVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVSGCPNAACGSSQGLTDRCVRHGGGRRCKFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCGTSAKWGMDFCSMHRKSLMSGSNSSHEMLPAPPPKRRAKKTKSTVDPSAGLSSEPKMGIIHVVDKSSDHLMIKHASNAVQQQPIQLMKSSPSSGLTASTEGDVAARSHALFGL >OB06G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10806018:10807171:1 gene:OB06G23010 transcript:OB06G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLKKNARTVFVDMAEQGGEDADEEGQTIEPQPEPSSGTKVKQAKKKIAQSAITLVVSAAVKPQTQKYLKAVNSMLCKTPEEVVAERHKSKTSQPTLEHCTKKDKEVKQIVDDHVTDFLESDIPLNVINSRSWEIMLESIGQYGPGYRSPSYHAMRNPLLERGVDRTTLRKKHEEAWKEYGCTIMSNGWTDTSHRHLINFLANSPTGTFFLCSVDASSEVASAQMLADLFEKQIDKVGKEHDIGKINEFKSCINSTMKVFRFIYKHGRLLSLMKEKIGGDLVRLPVTRFATSFLTLASMLNELRNLFVSEECISYSTSSEGKKALDTILSMPFWKMVEN >OB06G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10811587:10812973:1 gene:OB06G23020 transcript:OB06G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASAWWDNFLVTRTATTEVTWAKFCRNFRKAHIPDGIVTQNKREFRVLQQGTKTVTEYLHKFNRLAQYAPKDVYTDAKRQEKFLGGLDDELKNQLLSGDYADFERNFNTQLSSEIRIHTKKRNKLEHKRLNKLVYVSYNRKMENRFQKIRVPSSQGKRSNPLLLEEFEWENEWVDESCEPIHEVDGVDITWDHVDEAIGATQGLRGRNLRRDAVARVRAATSAQRTYVITMKRPRKIAVTAAQDLAEENDSDHDDRDEPDQEEELDSAEEEMDDEESGSVGTEQAALGFSLDDNLLN >OB06G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10826076:10826840:1 gene:OB06G23030 transcript:OB06G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAFKAKELAEKKFMNNDFVEARRFALKARSLYPELEGIEKMISTIDIHIASGQEPHKILQVSKSADSATLRKRYISLVLKFHPDKNRSVSASRAFQIVYEAYNAMCQQSQQSSQVQNTTDSTMFQQETTKPKPSTTTPGNVFNSSGAKSAPETTRMNQQNGGAPNPAPASVPRGRKQKSGPSPSNSDARIKKTKPTARPKLEPITFWTSCDQCRMMFEYRRTYLNLHLVCRNCRQPYVAKEIKTASME >OB06G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10827477:10827683:-1 gene:OB06G23040 transcript:OB06G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGNLLHTSFWRHTTQPPTNEPKLQITVYSPQILPNHAVLIQNHRQHTSLPQGLSHTVWQLVQAIQV >OB06G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10828733:10834952:-1 gene:OB06G23050 transcript:OB06G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein UCP033271 (InterPro:IPR008322), TIM-barrel signal transduction protein, predicted (InterPro:IPR009215); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396 /.../zoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66420) TAIR;Acc:AT5G66420] MELLCIGTADTKLEELLFLAARLRSILSAAPSSQQVQVSILDVSTTKKVTSQDFKGITFISRDAVLSCHSGVDRHEIPNDRGEAIALMSKALESFLKRRYESGTLLGAVGLGGSGGTSLIAPALRSLPLGVPKLIVSTIASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAVAGMVHGILMESNESDETATKPTIGITMFGVTTPCVNAVKERLIKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDITTTEVADHIVGGVMACDETRFDSIIENKIPLVLSVGALDMVNFGARDTIPPDFVGRKIHVHNEQVSLMRTTVEENRKFAQFIADKINKSSSKVIVCLPQKGISAIDAPEMPFYDPEATSTLLEELYSRIEKADNREVKMLPYHINDPEFANVLVDSFLSMDVKASNAMGPEKNTVQHNQDANTKEYYSRQRTSDSSVIGRSPVDFPDARPETLERTKSVLHKLRQQIVQGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVVEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLEAIGFCGVQNFPTVGLYDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLNDSVIRVQAIADAALGINPDIIVLCHGGPISGPREAEFILKHTNSVHGFYGASSMERLPVEQAITNTMREYKRISIK >OB06G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10858051:10859970:-1 gene:OB06G23060 transcript:OB06G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03880) TAIR;Acc:AT2G03880] MAASVACHVLGRSAHGPSRRCLHFVPHHAHPLLVAFSRLCAHGPLCHALALLENLAAAGLRADPVAVSRLIKLCVRHGTPSDGRLIHRYVHGGGSMGAHFASSLFVSNSLVSMYAKFGLLDDALRLFDGMPQKNVVSWTTVVAALANASGRKEEALAFFVAMLRDGVAPNMYTFSSVLGACSTPRMLTALHGSIVKVGLDSDVFVRSSLIDVYMKLGDLDGGRRVFDEMVTHDLIVWNSVIAGFAQSGDGAGAIELFVRMKEAGFLSNQGTLTSVLRACTGMVTLEVGRQVHAHVLKYDRDLTLHNALLDMYCKCGSLQDADALFSRMPQRDVISWSTMISGLAQNGRSVEALKVFHTMKSEGPRPNHVTMVGVLFACSHAGLVEDGWCYFRSMEKLFGIQPEREHCNCMVDLLGRAGKLDEAVKFIHEMNFQPDTVIWRTLLGACRMHKNADLAAYAAKEILKLEPDDQGSLILLSNTYAGLRQWEDAEKSWKVMRDRGVKKDPGRSWIELGKQVHIFIAGDLSHPCSEGIIQELRRLFSRVRNLGYTPQTEFVLQDLANEQKEDLLKYHSEKLAIAFGTMNVMEGKPIRIMKNLRICGDCHAFVKLVSKSEGKVIIIRDPVRFHHFQDGVCSCNDYW >OB06G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10881603:10884268:-1 gene:OB06G23070 transcript:OB06G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNNERSRVLVIGGAGYIGRHIVAASAREGHPTAVLVRDPAPDDPAKAALLQGFRESGVALVKGDLYDHESLVGAIKSSDVVISAVGYAHLADQIRIISAIKEAGNVKRFFPSEYGNDVDRTHSVEPAKSVYATKARIRRAIEDEGIPYTYVSSNFFAGRFLPNLAQVGVKGLPIDKVIILGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPPSNILSHNELISLWEKKVGKTFERVYIPEDNVLKKIQEFPAPMNFVASVSHSVWVKGDHTNFEIEPSFGVEAIELYPDVKYTTVDEFLNSFL >OB06G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10885457:10891655:1 gene:OB06G23080 transcript:OB06G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family [Source:Projected from Arabidopsis thaliana (AT1G04620) TAIR;Acc:AT1G04620] MVRCIFFLSAFSSSPCATKPPCFSVSSVFPSPPSSRRCQGRKTSCRSIRALREDWKERSKAIPPGGVYPAKDHCSRCGLCDTYYIAHVKSACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVICVQSDPDDRLAPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASNEPETVMHYEFMQDYKVHLKHLDGHIEEVPYFCLPAKDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPRFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKEGRIESML >OB06G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10895001:10897265:-1 gene:OB06G23090 transcript:OB06G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFINLFISFLSLLAAAVPACCYINPGARISQDGGVARPVSEYRTYVVLVNPSPHGAGFGAGDEGRRRWHESFLPSSTEPRLVHSYSEVFDGFAARLTDAELHEVVSRRPGFVRAFPGRRWNLTTTHTPAFLGLTHGAGLWRDADYGKGVIVGLVDTGLHSAHPSFDDDGIPPPQARWKGSCEGSASRCNNKFIGGKFFVEDDFEDLYGHGTHTASTAAGNFVVGASAAGGGGGTAAGIAPGAPIAMYKVCTLYVDCEDTTILAGIDAAMKDGVDVLSISMGSDEGKPISEDPVIIGAFRAVSRGITVVCSAGNAGPSPSTVTNNAPTSRKEAPANGSMMHDLFAIEGIKQQGGAVGVVLINGERDGYTTNLWSVYGPGVVQMTVADGREIASYVAAAGESTASVAPIDTVLGVRPAPTVASFSSRGPSKACPGVLKPDILAPGLHILGAWLPDSVMGPWPFNVMPGTSMAAPHVGGVAALVKSRHPDWSPAAIKSAILTTSNATDVTTGGPILDEQRRRATVFMTGAGHVNPTKAADPGLVYDLGVAYYAGYICTLLGDHGLALIVRNSSLTCAKLPRIVEAQLNYPTIMVPLQQAPFMVNRTVTNVGPPASTYTLKLEIPESVTVHVSPETLVFSEAGEKKTFTVTVSGGGGEQKVVEGSLSWVSEKHVVRSPVVAIVGLGDSHVSSASVVPML >OB06G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10898953:10902293:-1 gene:OB06G23100 transcript:OB06G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDGQKSRILVIGGTGHLSKFVVAASARAGHPTSALVRATAPPPSPSSSSSSSRARLLQSFRDAGVTILQGDIGDHDLLVKAGRAADGVTPAAAYHDVGEQDKIIAAIKEAGNIKRFIPSDFGNDADHAHIVEPRAVEAEGIPYTFISCNFFAGYYLPTLVQPGASGLPAHRVVVVGDGNTQAIFVDEEDIATFTIRAVDDPRTLNKVLHIRPPENALSMNDLVSLWEKKVGSNFERVYLTEEEVLRQIRECPELSIDLAILHSVYINGDHINFEIEPSVGVEATEIYPDVSYTTVDEYLNRLM >OB06G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10910313:10910852:1 gene:OB06G23110 transcript:OB06G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRESEEQLAKFLVLGLYEALSSGDARRAQELLAPDLGWWFHGPPAHQHMMRLLTGADQQGEAGFAFSPRSVDAFGDTVIAEGTDGSRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLATSAAAMDTAAISSNLAAAASSSAFPARAPAKLKCLWKSRRADREHKSLPGLVLAI >OB06G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10917136:10917306:1 gene:OB06G23120 transcript:OB06G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNQLEIYNSSATISNHGYVMSLNKKTFETQNCLTGLVQDDCLFIKKSNNMTPEL >OB06G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10924121:10928366:-1 gene:OB06G23130 transcript:OB06G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3ME69] MENNNDGAIRISQHNSNPKVHSSMCSELIMMLDKVSSILPSIEAAQPGCKAGIEELCNLYNIVDKGKLIIQNCIECSSLYLAITGEATTMRCERIRDQLRRSLFLIQNMVPSSLANQVADVHNDLADVKFVVDPEEEEAGKSILEMLRQTDATQEHELQTFLFAASKLNLTSPKAILIERRAIKKLLEKISGNDPKKEGILKFFQYLVRKYGKTIKSESNAKNEAVYVANGTPSTDLITSGTNTPHKCFSPTNSWTARCEDQNNFSRLSTPPELCCPLSMKLMYDPVIIASGETYERENIERWFSEGYDICPRTQIKLENFTITPNTCMKAVICNWCKDNELEFTALPEQFNSYSLSSLHNISAPLIAGTTREYMSDHSASSFALSGASYVSSPIRETEDSRTNSTQFFSNAYYQLYLSFSNFNKEMFLIFFYELSELPRELQAKAIRDFKSVLNGEYQIWRSMISNGFLEAFLEFLKNDSGRCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVRLIASFLNSEIKSEALQILHEVLQQPTCQKSGLMSSVVAPSVFLAWDSADTGCLELVLKIICELSSKKDVKSFLISSGIIPKLSPILSEGRFSECCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSMEREHASGILLALCSRSIDDSVLVMKEGVIPALVDLSVNGTEAAKYSSIKLLQLLRDSRHSDQFGNSCSSEVAVNAAAENSSNGSICTQPISKSARYISRKLNIFSKPRSLTLV >OB06G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10961105:10961377:1 gene:OB06G23140 transcript:OB06G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTKSQNKLFEQALARYDKDTPDRWQNVARAVGGGKSADDVKKHYEELIKDVDEIESGDHQGSRYRGGGDGGSSSKSKGRGSNGEQR >OB06G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:10986846:10990302:1 gene:OB06G23150 transcript:OB06G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTQAFVDAWSAACAVGSSTVVVPGGYRFVVGPVTFTGDSCQPNTVFQLLSFHSSEIESPLTYAMPIGPVDGTIMANTDSATWCSGNPVQQWLEFRGFTGLTIQGSGTIDGQGSHWWSGAAPATATDIDADRVGTNNRPTAVRVYQSRNVAVTGITIQNSARFHLTFDSCRAVEGGSGSVRGVRFSGVRVSAVRTPIVIDQYYCDHAACANQTAAVAVSGVAFSGVAGTYTQRPVYLACSDASPCAGLRLEDIQLAPVKKDGYGHLYGPFCWKAYGEEVSPVVPPVDCLMAGPP >OB06G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11019967:11021918:-1 gene:OB06G23160 transcript:OB06G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGGSLPVTIPKNILIKMPRDQHSSTLEYILKTAGIVVSEKVIRQGVLQGYKRLYPHPVKLKVNEIYRAEIFLCVEVIRNAEIRKTYWQGHITPNIMECTQNEKFHEWFKAHLIYLERKHGDLTYYGRIIDITELNCFGQFLVVVHGKEEQTKGYDIGKEEWKGDTIFELFYVSHLGAMFNDANNYEQWARTDVEEMTVDAHSNALNN >OB06G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11039876:11040872:1 gene:OB06G23170 transcript:OB06G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSWLCPENIQKDRWETLVNYWKSKKGKIINEKNKRSCTMLKNAHSIGTKSCSLVYGLDEFIMLYSIPYGCLDEDNLVEEQLELVQNNDERVASICTYQNACIIYFHAKLDNLVEEQLELVQNNDERVA >OB06G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11043379:11043768:-1 gene:OB06G23180 transcript:OB06G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQLEKEEKEQAVKMKGKEEEGVFSATFSPEVVAWPMVCFGAKESPKVPFFKVSQINSKYF >OB06G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11064158:11064391:-1 gene:OB06G23190 transcript:OB06G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSSGSRGASSFANGSASANTRGLRLNGSSASQQLTNLDQEEEQDGEFWYRGPTRVPTRPTREEDKPRLTPKRDK >OB06G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11071282:11102559:1 gene:OB06G23200 transcript:OB06G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:J3ME76] MWRLKIGGGGGPWMQTTSNFHGRQVWEFDPDAGTPEERAVVERLRHHFTSNRFLRREPQDLLMRMQKRISTSDHADTATSAAQKLDDGEEVTESVVVASLRRALGRMTVLQAEDGHWPGDCSGIMYLLPFWIFALQITATIDAALSPEHQREICRHIYNHQNEDGGWGFQIVGPSTMFGTCLNYTTLRLLGEVNKTGDGDPLARGRAWILSNGTATAAPQWAKILLSVIGVYDWSGNNPMIPELWLLPRLLPIHPGRFWNFTRTVYMSISYLYAKKFVGPATPTILSLRDELYDTPYAEIDWNKARGSCAKADIRYPPSVMYKIISTCLNKFVDPVLNFWPVCKLRQRALQHIMEHIHYEDEHTQFVGLCPVTKALNMICCWVENPKSDTIKRHLPRIHDYLWMAEDGMKTKIEDGTHNWEIALVVQSLCSTDLINEYGPTIERAHSYIKRAQIRRNHPGDQSYWFRHTAKGSWTLSTADQGWGSSDCSAEALKVILMLSKFSPSVVGQPMEKERIYDTIDFLLSLKNNDGSFSTFERQRSYSWIEILNPSESFRNIVADYPTVECTASVIMTLILFRELYHEYRTEEINKCINQASRYIESNQNRDGSWYGTWAICYIYGTMFAVKGLVSSGRTYDNSICIRKACEFLLSKQLETGGWGESYQSCENEVNNIKGSCTHAVHTAWAMLALISAGQMERDRTPLHRAAKVLINMQLETGDFPQQEHIGSFNSSVYFNYPNYRNLFPIWALGEYRRTLI >OB06G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11123081:11128409:1 gene:OB06G23210 transcript:OB06G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEGVAIRIDYTLCPHEMNKDQKLHTLSSAGSYSADAIPQHDGQNQMTSEDGLAQHDEDNLMANEADVTNHPIEQMQMTSEGIDEGKTRPTVPLIAAKFATECNIIVRNHILVLTHWKEYKKQPTIFTQFMMRIQTKFDVDANDLAIKKGCLEMMTYAVRQQCHKLKKKYFDPFQLHLCWDQISKVGAYTCGFQGDKFKDQGPNAFDLFKLCHYSKKNKGYTPTLHMAIVEDQY >OB06G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11133625:11135619:-1 gene:OB06G23220 transcript:OB06G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQDHATSSTTAGTSSRSRQSQNKLPDSKFTITKVDRTGTPIATEAAARRFSNDEARLKKRAFSTIGTAWKNFKHELHTEYMLDPNESIPLEDYPHITPEICEQFKRLKRTPEFQAISEAHRQLQARNEHPHMLRTGGYIRRMEQWRKEDEVARQSNILAPFADIPDERARNWDRAQGEETAMCILQVRMAPSFVCDAAKGQAYKPTTTTRVHDANLVAGHAKAYFVVGFDDIYDLFRLRAVDTGYVWKETRRTNNQVGFIDPYKINGTQLNNALEDVLEYIRNILWAHQDKEFIMFPQNQQ >OB06G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11148112:11192233:-1 gene:OB06G23230 transcript:OB06G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:J3ME79] MWRLKVGEGSTAAGLRSVNGFVGRAVWEFDPEAGTPAERAHVERLRREFTERRFQKREAEDLLMRLQFAKQNRPQPDLRASKLVDSDEVTEETILASLRRALAQHSSLQAHDGHWPCDFSGISFNIPILIFSLYVTESLNAVLSSEHRREICRYIYNHQNEDGGWSSYVIGESTMFGSCLNYVTLRLLGEDKKNDALTKGVAWITSHGTAAAMPQWGKIWLSVIGLYDWSGNNPIIPELWLVPQFLPIHPGRFWCFCRMVYMPMSYLYGKKFVGKITPTILAIRDEIYTAPYKEINWKMARNMCAKEDLRYPRSFIQNIVWTCLNKVVEPVLNVWPVNKLRGAALETLMKHIRYEDESTNYINLCPIIKGLNMICCWVDNPNSDAFKLHLPRIYDFLWVAEDGMTAQVYDGSQTWQTSFIVQAYCSTDLVNEFHETLRKAHEFIKKSQVLENHPESGDYYRHRSKGSWTLSTADNGWSVSDCTAEALKALFLLSKISPDLVGDPIEGERLYDALDCLLSFMNKDGTFSTYECKRTTPLLEVLNPSESFLNIVVDYPSVECTSSVLQALIMIRELYPVYRSGEIEKCIKSASKFIEREQRKDGSWFGTWGICFTYGTFFAIKGLVSAGRTYENSSSIRKACNFLLSNQLSTGGWGESYLSNETWVILFLNYLTVYVNANRPHAVNTAWAMLALIYAGQAERDLTPLYDAAKELINMQLESGEFPQQEHVGCFNSSFCYNYGNYRNLFPIWALGEFRRRLVARKI >OB06G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11241576:11241950:-1 gene:OB06G23240 transcript:OB06G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQSESPLPCGSSPPHDSVDEVSSIDPMDLYTMEEFLLEQEILKDFSDHLVDETMGDVEALQDQISNTIPGTRRYVVRPREEAKQQLMDDYFSLNPIYDDKFFRRRFRMRRSLFLRIVDALSG >OB06G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11242836:11244426:-1 gene:OB06G23250 transcript:OB06G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATPCSKRIPSPCNTALNEQMCLQEKEVISIDDDDTLQPPRAEVRLTWLHEEHIRLVSAWLQNSIDPIDGNDKKLEQYWGDVTVAYNSTTESNRKRNHNQLKLRWERIKKPVTDFNGSWVRTTKVYQSGRSDDQIMDQALELYASEHDGKAFTMHHIWRVLRHEKKWSAYVKKQTNEKGKSATVNNPVHAVNVEDIAGQRPIGQKKAKDEHKGKRKASLPLSEMNEKRDKFVEASTMVRKEREKMSEVQQNLGNKKVEAARLTHKAAQEHTKCKMLDTYKELFLAPTSHLSEEALVERSKTMESLRLSDLMPRESIADDGSGVNLEIRRRPAVEILCGILRLEFNTRGW >OB06G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11246339:11247337:1 gene:OB06G23260 transcript:OB06G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVERELRCIDMARCPLFHHPPLPTAATVANTEKTVVSVQDSTTRKPFVMENDLLLVERLQLPDPTFSFGAEADDECDGCGIDCFPLADRKVACVDQSGRAFLFDADTRQLVTMPSLHRPKRWPFSLFVPSTDHGDGGGGGGXXRAPDRRTAAAAARSLAISSRPSSTASPRRRHLASRGTASCSRRRRSSGTTRTARHTARSRPTPWLATTSSYLLRTPAATAWTRRRTRGVGWASGRCPSSARSSTFLSSSSGSASPRRTGGWLLPTCRPWIPSQS >OB06G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11250142:11251663:-1 gene:OB06G23270 transcript:OB06G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEPKSTTSVVGAHNKTAHAQKYLSMSPSVESTIGFSRRFLNLIMSSSCISGVKSLYCFDLMYQELFYPSTPPPPTRFNKLTVNLMDSVGLPLSSFDLRASAAGDQCKIDCFPLAGSEVVCADQSGRAFRFDADRRRAGTMPGLHKPKSMPLALFVPNPRADDDLDHVGYGSSLFVMERFPRPEAGFSGAEAEHGSDQFEAFVYRNTRMSTYVKAWHCQQLPPPPFVREPKHWHSCSSSRSRPEISSYAVLGGGSHICLSVNGVGTYCLDTARHTWSEAGEWTLPFHGRAEYVPELKLWFGLSADTHHLAAADLSSMDCRPQLVVGPWKEHRMPEEWKESQDPQLVSLGSGRFCVARFFRTGANNGDFGDEPIAVFTGVEVVPPVCHVCGATNGRVQLRMIPHMSKCLTSNGSTIDEVF >OB06G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11255782:11256006:1 gene:OB06G23280 transcript:OB06G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGGGGYPYSGTVGRAGRRPAAGEDVDGRGSFGPVLVVLAVISFLAVSACIAGRLCGRRPSSGERKRESGRGX >OB06G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11259083:11259268:1 gene:OB06G23290 transcript:OB06G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSHYNFENQPCVQFYNLPLIYKYSQQIQEKNEGIGQLTLRFNQNKRCKTTLFRVDLRDW >OB06G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11259700:11263038:-1 gene:OB06G23300 transcript:OB06G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57230) TAIR;Acc:AT5G57230] MADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTRHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASQGKGVDPNVTKYSVKVQPFNYDQSVYGFREYFKKHGFKYFETK >OB06G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11274893:11275591:1 gene:OB06G23310 transcript:OB06G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPDLGRGPPAYSERLNGALAAVGLAALLLVELGSGRALVKYHQPATLFLQVYTVAAAGAVFIKYEKERISVWPGPPATKPPATGE >OB06G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11284139:11287471:1 gene:OB06G23320 transcript:OB06G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17560) TAIR;Acc:AT5G17560] MQQMRSADAAAPFSLAVMLLRRYASTPSSCSAIGRRTAALALSSSSPSFSSTTARFAAWSAPPHRPSRGAGSRGLFSAWASAPGPVGSADSPAMQALEAKIKEQLEADTVTVVDTSGDGRHVCIDVVSKVFEGKSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPAEVAANK >OB06G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11285679:11287251:-1 gene:OB06G23330 transcript:OB06G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPYKQFTGTPTLSQAHVWLLVGSNLSRRFGSHLVHSMHGALELLPYSLVNHSLPIYGRFSLEYLRNNIYAEAKKGQIQLQKYVKKKNIGVLRNITDDTIEKMCQKPYRSNYTSKVNACNLSAALDLCEFAQINHKATKEMVTLSVPCSSFAAVTRKVHEKVIWVGHSVHQSQILRFMFVNTELTVFSFV >OB06G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11290660:11296585:-1 gene:OB06G23340 transcript:OB06G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;sequence-specific DNA binding [Source:Projected from Arabidopsis thaliana (AT3G18380) TAIR;Acc:AT3G18380] MQTKMLPTGSDEHKSAAFRAMPSASAHSGSPSGKGSLESGQVEFEAKSARDGAWYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCESTECVAVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQILHAARAAGVAKEVVVDLVSHNDKSAAEQKPSKQHKMMDVNTDEVAMVSNPDQEEPTGKPAATMPAAPIKTPNDSASASDVQMDEAQEPRKVETSDEAEENKMKEG >OB06G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11301979:11305739:-1 gene:OB06G23350 transcript:OB06G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQAPSPSSPPSSSGNGSDKAAPPPQESASNGNGSSSSSTPPSPGSDGGGSRSPSQSSSSPGSPPPSGSSSQPRSSPPPPSRSSPSPPWSGGGSGSSPTPPSESSGNGGGGRLVAPPNWSSPPSPHQQSGGGATPSPPPPSSPTSTQPPPAPSGGSPPSSSSEASQPRSSPSPSPPQPINSNGQPSLQSQSPPGGQPSPPQSPPAPANQSVVFIPIPVATNSPPGKPGVIPVTPSGAITSTSFPASVQGGSNTTGGGVQPGSSTSSSQQHASLSSTQGSTGHIAAAIAGAAVTGFLCAMVAIYLIVSSRRKKKMDGLVYHYDGNSYFVASSQFGGSSHPPSGMVLNSGGASSDGSGYNFQSGPFAGGGAGEAAAGSKARFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLGDGRCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCVAEHHRMLIYEFVPNGTLEHHLHGRGVPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKSANILLDYSWEAQVADFGLAKLANDAHTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQSRPLGEESLAEWARPVLADAVELGDPSELVDPRLEGAYHPGEMMTMVEAAAACVRHSAPKRPRMAQVMRALDEGSMTDLSNGIKVGQSQVFSGAAVTPPPSSSSAARLLLPRSSPASLCTAAAPPPPALAPSRGR >OB06G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11313112:11316539:1 gene:OB06G23360 transcript:OB06G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3ME92] MEHGLHKLTHWFRRRQKKAMGDALEKIKAELMLMGFISLLITVAQTPISKICIPKSAANILLPCKAGHEAVEKESTVRRSLAGDAGGSGSDGGDYCSRHHNKVALMSTSSMNQLHIFIFVLAVFHVTYCVITMGLGRLKMKKWKKWESETNSLEYQFENDPLRFRFTHQTSFVKRHLGSFSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIRGLGTLIWISFIPLIIVLLVGTKLEMVIMEMAQEIQDRATVIQGAPVVEPSNKYFWFNRPDWVLFFIHLTLFHNAFQMAHFVWTMATPGLRKCFHEKIALSMVEVVVGVSLQVLCSYITFPLYALVTQMGSNMKKTIFKEQTMRALMKWRDTARERTKLRDADALFLARMSVDTTPTPSRGASPVHLLHGRHRAAPRSDDPPSTTGAASPEEAEGDLYPGPGGXXXXXRRLSKSASPILTFPSVQNGDGTTGKTL >OB06G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11316464:11328777:-1 gene:OB06G23370 transcript:OB06G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14720) TAIR;Acc:AT5G14720] MAHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNTEVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVVMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTTEFLARSILDGLPPLGERFRELKGKEADLLLSNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSTNGTCHLDGVNSKVKDGLQEANEPENIYQGQANQVASARHEDEIQEVEDLDGALASSFPSRPLEALKSCFDVCGDDDPCPTATDSREQPSRESASQIDHHRSANCNGEILERSASVPSNLVNRGSHKFLSGSLIPEHVLSPYRNDPARNECHQKNTCNRNRSGPLSRQMKDSRSHLPVEPEEQPEGKVIQRRGRFQVTSDSIAQKVASSASSSRCSNLPIGVTRPSFHPSTILPTLEFLIQQSTMQKEVLSRLISSIEEISAAADAGTTGSSQSSGAHFREKELQSYIANLQQSVTELAEEVQRLKLKNSKLEEQINAVPKKDEKLRTEDTRQQ >OB06G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11334415:11338692:1 gene:OB06G23380 transcript:OB06G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:formate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G14780) TAIR;Acc:AT5G14780] MAMWRAAAGHLLGRALASRAAHTSAGSKKIVGVFYKAGEYADMNPNFVGCVEGALGIREWLESKGHRYIVTDDKEGLNCELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKINPELEKEIGAKYEEDLDVMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGHVAGYAGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >OB06G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11366799:11368869:1 gene:OB06G23390 transcript:OB06G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISTVVTLLFLSLLSLAILAPLLSRRKPTPFRAKTRRPPGPWRLPFVGSLHHLARDGGAPLRDLARRHGPVMYLRLGEVDTVVVSSPAAAEEVLRGGNDVRFASRPSLVATEIICYGNLDVAFAPYGAYWRALRKLCTVELLSARKVRELAPGRDAETRRLVGELRRHAGSGRPVNLGRMLVSCANAITGKAAFGERCGAELQGQFLAAIEVALRNSSGFCVGDLFPSLRFVDAAPGMRRRLWRARHQLDAVLEKIIADCERRRNDATRDDNLLSILLRIRDEGDHLEYPINTVNIKAIVVDMFTAGTETTSAAAEWVMTELMRHPSMLAKAQAEVRQELDGTSPHDHETHMGALVYTRMVIKESLRLHPPLPLLLPRVCRETCYVGGFEVPVGTRVTVNAWAMARSPEYWADAEEFRPERFERSVTDYKGTHLEYLPFGSGRRMCPGVGFGLAALELMVARLLYYFDWSLPARMRLEEIDMDVTIGATARRSNQLHLVASPYISFVFNQEHGEVN >OB06G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11373939:11379515:1 gene:OB06G23400 transcript:OB06G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGGHGGRTMVFDMEDEETKTKEMEDLCSKLASLIPQDYLPMDTTSQGSSDDLPNQLTHAASYIKDLTEREITVKSDEASHFDVDLTMSSENRVELYMLIHAIEEDGRIEIVQASSCLLEDGNLVHMIKCRARSSAVNIDASMVESRIKRLLITPHAKP >OB06G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11380498:11394411:-1 gene:OB06G23410 transcript:OB06G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSDGADGAGDDAELRRATDEALLEKARRMQRALDGGIARSLPDNGAKYRRLIAAILSEIDRRSAARATDDGKQNRIVQSRCAESSVKPQAVNISDFRSSFGMDEEAGIDASHLDTSTNIGDPKTSIDNEGVSCEEEHSCKPSTSQKVSYVDSSTEMKIIDDDDDDDKDNNGYSMIHKDVHTSQKRKGEFSPAFSMRLRSRKVVEEVVLLDGDTCMSDSAEKTSSAWDAMKIRYPSWDNPNSIELSRADIKCLEPESLLSSPILNFYIMYLLGQMPSTSRHGGKYHIFNTYFFSKLEALASKVDKDAYFLNLRRWWKGVDIFQMAYIIFPVHADAHWSLVIISMPAKEDQSGPTILHLDSLKFHSSRSILSTAERFLKEEWNYLNKTGSLEDEDCHLHESVWKNLPRKIRRKAVTVPQQGNEYDCGVFVLYYMRRFIEEAPERLNSKDLSNMFGEGWFQPEEASALRKEMRALLLQLFEEAKQNKDMRDPTTPVPEHPVEVLSADSAAPERPLNTVNVATS >OB06G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11401042:11402755:1 gene:OB06G23420 transcript:OB06G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGNYDEVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRSSLSSRRDF >OB06G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11434424:11435143:1 gene:OB06G23430 transcript:OB06G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIAARQAFAIIKSLCPWVVFLATVKDFIANCYSKKVLALVEEAEAGADSIVWTFDLQIGNVVSSNLSLFSPPTSLLRMKTTRREQSKDREKENSACVGNRRVTQPYHGLTPTTSEHQLMLLQPPIALAYSPSDPDDTALREPLG >OB06G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11441735:11448756:-1 gene:OB06G23440 transcript:OB06G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3MEA0] MAGRRRRSRKLKLSELYAFALCSKGSTEDHSSRIGTAGFSRVVYVNEPERHEEEGLRYHPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCIVIAATMGKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEETKWKDIKVGDVIKVEKDNFFPADLILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLHLEEDSSFINFRQTIKCEDPNANLYSFIGTMEWRDKQYNLSPHQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSKIEKKMDEIIYLLMSSLLLIALLGSVLFGIWTKEDLMNGEMKRWYLRPDRSSVFFDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINSDIEMYHEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNTMEFIKCSIAGIAYGKGVTEVEKAMALRKGAMLGDDIQNDDIKAENIEKITDKSEITHVKGFNFKDPRIMDGNWIREPNSDMIRDFFRLLAICHTCIPEVDEETNKVSYEAESPDEAAFVIAARELGFEFYRRTQSSITVREHDLITNTVKDRKYELLNVLEFTSSRKRMSVIVKEPEGRILLLSKGADSVMFKRLAPSGRKFEEETKRHINEYSDSGLRTLVLAYRVLDENEYMQFSDKFNTARTSVSADRDEKVEEAADSIEQNLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQTIVTLEAPDIIALEKTGDKYSIAKESKQRVMDQIEDGIKQIPPPSQLSTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKRTDKVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWCRILGWMLNGIINAILIFYFCTTAYGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQMAPALSYWLVTLFAVMATLIPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQITKETELQVQG >OB06G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11458458:11458682:-1 gene:OB06G23450 transcript:OB06G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVELLIVSTEMGTIGGRLKHHLESSWLLFVIRGTSKVPVYIETNAYNSSHRYLLCGLGWKIISTYLIMLDSQQ >OB06G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11464892:11465644:1 gene:OB06G23460 transcript:OB06G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLKESSCLRVWQGNRQIILGCFLSAMRLTLHLRGKAMAVSHHRGGEEDDVDGPPLLALPCHGQFAIDKRDASSFSRSRTQLWWFISDQVIFDEYKLYQAEKTWAFSRLFNMIALILTAQCGTSIYIRSFSFYSLP >OB06G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11465160:11475753:-1 gene:OB06G23470 transcript:OB06G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23080) TAIR;Acc:AT5G23080] MGFDDNDDDDLVVYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEVKKQSVYSFLDEEDIKDMGGNALETSQQYDTFGLTATEYARKQASKEQKERPSAIPGPIPDELVVPATTSIGVKLLMKMGWRQGRSIRDAHTDSLYESRREARKAFLALSGINTDGEKLQIDSHKSDKDEKATESLEGLHSTGNTPVYVLHPKQDLHGLGFDPFKHAPEFKDRKRLQKSARDCNRSGVSMRGSLLISNSGQYAPGFGIGALEDLGVEDEDIYASGFAYEQMEVDIEPPKTANDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPDIPANFDGRHKFLTPLQAVNNFSDLAPPEVPPPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYSRKLWEYQQKYIDQRRPDTVKSKTSSDKLTAENRGKILGEKPLDRSTKPSSSSLPAKETIQLQSNLGDTFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRAANLIPTSTMSDADRARERLDFEAAAETIEKGKEKKALDPLSLLGLTGINEQRFVSSTESEKLIPAQDEKSIYPRREEFEWRPSPILCKRFDIVDPFMGKPMYVQRPRSKMDSLIFMSESSTRTNDKEESSSIASQHTSEPGTTEIEAKGSATDPDIGSSSMQKPVDLYKAIFSDDSDDDMDEPLTNQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRPEMPSTANASSISRNGKATTSQEIKENKSSLHKAVVANASADAPSDNAERLDLKYEKQEHRAEKGSLHYSHRQTQSVGLDSDSTSDQHRSRKRRSRHQIRSGSPDSDSSVERRRSKKRKSHSSHRTRRSKSPYADSSSDSQHTKRKRREKKRHQTRIPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >OB06G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11478761:11479063:1 gene:OB06G23480 transcript:OB06G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMFSRAHVVSYDLQGTDPHCATSFPDPHRRSSPTARGKSASRRRRARTTNLRRLSLTGPSGREKGRRKRVGPGALRSLRPGPPASRRSSRKTNSSSLY >OB06G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11487653:11487850:1 gene:OB06G23490 transcript:OB06G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRVYHGLDEEIVISTVGQLIYVFCLMSNSLSDFWICKPLSMFCFILSSTRRLFRHAVPCRSV >OB06G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11489542:11490561:1 gene:OB06G23500 transcript:OB06G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPSASHRRRSLPPSPAASSVGSSPAFPPQKRHRSGRPPPLLGLVCDDGFYPALDHHPSYKPAVAVARKADFEFHFVPDVPDRSWGWLPLDTRDGRVLLRSKFSELDDDIDDDVVPNPRFHNYAVCDPLFKRYVLLPSIPDNLTANEEGSLVDFGHCLAASHEDEEDTSFRVICVARYTTKLVAFVFSSVTRQWRIAASSSWSALGAEEPPNRYGLDCFDCVDGCFYWTVPWVDKILVLDGLKMEFSIINYAHRVEDGLRACVAVDREGKPGMLTVGEYMGNGKFRLSRIAKQSDSESPNERLSENIIELPSYYNEYFTLGAAEGFIFLRGIPGD >OB06G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11492839:11500588:1 gene:OB06G23510 transcript:OB06G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMVFAVTAGARRCDFLELHDEEEDCGLVRWEAPRRFHSSRRRWEEDGGDRCRDCHRRKDAESDHYGEDEYSGRRGERRNTNERHGRFRDSDQRRRDQRDYHDDDDYLEFRRWKERMERRDAEFNDTVTRRRVEDGRYTEDDRGYDQRRERRDFGYEGPVDVRRQGAGRYTENNQRSDGRTENRDYEVDVRREGRHRRNDDQRFVTQHQQRTDDTEEEDASLLESRRWHDEEYDYDDHRDIAERRYYSGNRSQKSARTSALHNDESNRASSSRNTFDTWVTRNKTNSASRVRWHDNEDSRAARTSEERDQRYSSSVGRSYDEKHDFNQDDAQLVRVRESRTGTRDVRVVTEDDTNLASSSKNTLVSKHRSTVDHKSTVRKDDSRKSTELSEVRGTNTEHDSRTQNYHQEDSQRYIENMGSDTRTQLDQHDEVDQQMVALTDSRRRSEKLTDIKMDNTCDVSRTSLTQRNYDEVNQMDIDDRSTSVHNITHITRDKKRYVNEQVIHETDIDMQNVRHVDVSKVQASDISVSRNSQNALETRSDIANSQLEQIHASNSPMVGDPQSYLEAALHNQVQSTSATNIVNTTAEKHGQVEFTKGSTNNAVIVSMSESHMQTRIKDELQSTSPVNTISSVQEQIDLTKIHTSDSTVIRSSHGLDTTSGQVSRTSATNFVDRTSKSQDKRDQQRTQVSSLDRNDQLRSKFYESSKDTRESLARLKETGRLMEQNVGLNWHQGGSSRISDDTDIATLEIQNTEDGDRSSMVTVDVEKIPMMIGGSEQEVTSDTTAGSSIPSGSSARQPVNDSVLESAARLEKSSTFHVGQFVGEVRKGVSDAGTTLTRKSDKSIMEGTRSSSRSRMRGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSQTPMNEIALARKVHKSLWAYVADIVRLGWIQRGESHDSSSNRSVKKSSSSNSQNTDGWVSSQERDNEGIQKKNENPKSKDQYLMKSRTGESLKRESLPTGSQSFQISEAGNVPQIDTSEGNFISRTSKDDVHMTAEREKQSDVAASTKQNLVGGFPEDSTTTLDVMREKILEHEAASSSVIMTKGSTDNDTGKGAIAGSTSSMPISAERVGWTAGSDDWRYYPSGAMAPYRHPHTQVMKPHEDTSAFTLESPELPTGGPTRFEEKIIVEENPEIIRTEGKDAEFKRRKFQRNKQVMKETFDEWEEAYQRDAERRKTDELFMREALHEAQRAADMWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDVQTSTLDSANPNQDSGPVHPFHPKISIRRGVLSTECSEIMQQFFHLRRKKKPKPESPPHAHQPGRNHPVKFFSKMHHMFGTIFCI >OB06G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11504319:11505417:-1 gene:OB06G23520 transcript:OB06G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSLLTVAALGAVLLPSCCSPTRPEPPERPASLDLSGHPIAGVGDDIKHCQYIGVPVSLSIGGFGSGYSLPSNRSALDLFDYLWDAYLGGSRAGGHRPFGDAWLDGVDLFLEHGTAADRGYLGRAVATGIFERIHLRIYDDGDCEAYWHLAWGKWTAAYPATRFYVGMTASETTNGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKLTNYTSIVKYYA >OB06G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11541485:11548192:-1 gene:OB06G23530 transcript:OB06G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELLNDVAHGLDTGDLGENNDSFVDPSEGENDLHESFCDASKELYPGSKNFSKLCFVVKLLNIKFLGGWSDKSFDMLLELLREAFPEGSTIPKNFNKAKKMIRCFGLGYVNIHACENDCILFRKQYENANICPKCNTSRWKTVKKSPDGKRLYNVPRKVLRYFPLKKRLQKLYMSPKSATDARWHDEARTTDGLLRHPADSPAWKHFDCKHPKFSEDSRNIRLALATDGFNPFRSMNCSYSIWPVILIPLNFPPWICMKQPNFILSLLIPGPYSPGKDMDVYFEPLVDDLLEIFEGGVITYDASRSENFQLRAAIIYTITDFPGLGYAAGCVTSGKLACPHCNSQTCSIRLRNGGKTCYMAHRRFLDANHKFRFYEASFDGNVELRSAPKPLTGEEILDQTKDLPINFGKDPNKKRPRTTDKGKGTKKEIIWKRKSIWFRLPYWKDLLVPHNFDAMHIEKNVCDNIINTLMGIDGKSKDNLNARLDLKLLNIRKDLHPIKVGDSFFLPPAPFTLSSEEKKLFCQVLKGVRFPDGHASDLRHHVHVNEKKIIGLKSHDNHILLQYLLPLAVRKVLPENVSATLIRVSNFFKKIYSPVIRISDMEKLDAEIAETLSLLETMFLPSFFDIMVHLMVHLPTQARLAGPVHYRNMYPVERFLMRLKGYVRTKSHPEGSIAESYVFDESLTFCSRYLEGCETRFSRKRRNGSTEPCTSTHTMPFFCKNMGRELSGKCIVTLDYKTWCQAHRYVLFNYDHIEPYLRKHLDYLSSLVHENNREISRIHFEKFHEWSYDEGRSVQCSGVALVAQISCLSSGTNNIPLVGHKTYYGVITEIIELDYNHKRSIVLFKCDWVDNRVQDKWVKVDKFGITDVNFRHLFNTGDKLSDEPFILASQATQVYYVDDPACNGWSAVIQTKPRDFYDMSNVLLEDLECENEHVDPCLDINGQTDISVDPRDVPPTRLDIDGTLVGSSEKRKKKMAGGEGNKYEEERQERIRRNNEALQKVIAIRRELDNTQEGNPGSGSSDKSKQKRKRTNTTNNMSVQNETGHPNLRPRVTRVSVRSDAEVYDDTEGTDTNRGDICQRHDDQMNNCSIANDELEVDENIGDCSLNGGQAKKKKKEGVTQKSATSIREPVGENAKELSNFIATLVKSKNFSFAHKDWRLVHPSQKLKLWRDLKVMGTAAKIWKDFKADLKAAHFDETKTDKQLIAICDERVNPTIWKWLINHWRSPLAQERSQRGKANRARMSGLHTTGSKSHARVSHEMHIENGYKPRRDEVYIATHTRKNGIPSNNSQDNIKALQDAVKEHPELTEKSITDGDIMSRVFGPERNGYVRSIGNGPTPGDLKMPGRNKYRSTKVQMAMEGQRQAEVDKEHLIQHFGAIQSENDRKIAALTEQVAKLTELMLANSQDGRQVHVTSNSPIHENDQDESDNGETQSEYMQHAKGGKEVILYSFIGPHDVPVAKATVLSTNRMTVVGGTPLGAQYCEVVVNLVLKRNAALLRPYGSMNVIADALGRSIAWSLQHMKEDRKSALQRTNHLQGHTHGGR >OB06G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11609876:11611793:-1 gene:OB06G23540 transcript:OB06G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSVVDPKTIKPGETVIDGLVPFGFMGWAGFAQTRVQGLWPGAGAAVVRRATGRGKEEVLSDVLFQPFEDLKALVP >OB06G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11631001:11636204:1 gene:OB06G23550 transcript:OB06G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTATTICCSHARISRPLPMATIEAHYHRCYQFSPAARRRKQEGEEEEDEGSRAAPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEKVTAVSSSPPPPAAAPVTAAQWVMRLPFLHPHGHHHKEHGAIQVHRKLASGGGGGAVAGEVYETELAGGGAGFPDGEAAAAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILADLKAAVVAEQRRGSRSPPGSEDPSVSCSILHGDQSLRGACDPEQEGQEKLTRDITARSPDAAGDDDQLLSIPQELGDSGRLGRTRESEADGGGSKLRTALSGGRAITCQGRSLRINIPVTTPTRTVTAIRELLFDDKLSQSRRSGSGGGTNGGKCGDKLSINKRKVHQAEKMIRGALVELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFADGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFLALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGAKVAYENDNSAGWLSLVVIVSSVATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILRQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >OB06G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11637181:11637393:1 gene:OB06G23560 transcript:OB06G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTTPYKSEKTCSLQYLQEEATQYKEAKEIYKVMSALSLQKSDSSSINLYSTNKLYYMFYSPPWQLGLP >OB06G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11639188:11641017:-1 gene:OB06G23570 transcript:OB06G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNVVSWSVLISASSRLGILGEALSLFAGMLRGGEHDRPNSFAVAALLAGSARARGAVAGEQVHASAVKLGVADDESVAGALVDMYAKCCRVGSSWQAFLLTPHRSVMTWTSMMACLVNHGAPGSRDTATFLFKKMLSLKVWPTNATFSCILKVFDVPELLPSGKQVHGCLVKMGTEVDPALGTALMTMYSRCGGMDEITRLACRIRHDVCSRTSLLVAYARNGCNMEAAGVFRDMLMSNMPIDQSAITSLLQICSSLGQLRVVKEVHCYALKNFCKLDTLLLNAIITVYGRCGDIMSAEIAFNTLENKDTISWTALLTCYVQNDFSQDALFFFREMVRKGLESSVFCITSVLRACSATASLSCGWQIHSMVIKLGVDDDNSVENALVAMYAKCGVVRVALKIFNSMRNRGIISWNSLITSFSQHGNEVAAIQLFGMMQEEMVCPDDYTFVGLLSSCSRMGLVAEGCEYFTQMKTKYNLEPKMEHYTCMVDLFARAGRFSDAIKFIDAMPCQPDQLVWKALLASCRVHGNLDLGRLAAKKILEIRPEDPSPYIILSSIHASIDMWDEKARNRNLLDFQQLRKDMGSSQLDAQEFSNDIFDALQVGVT >OB06G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11642339:11646312:1 gene:OB06G23580 transcript:OB06G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKEAAAAATRHPPPEVGKKRHWLGAPAASEQARGDRGRRRRLKETGDEVKKLRGSNVTPGVSS >OB06G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11650708:11654579:1 gene:OB06G23590 transcript:OB06G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNERIPLEAYSELSLLFQDHDDLLEELAKFRPLPAPSTVYSHSSIWLLLFLMPFLVLSLLWKCFLLQQPVES >OB06G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11655079:11659515:-1 gene:OB06G23600 transcript:OB06G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MEB6] MPLRCNSFTSHVSEDNLSKTVEKLSNVSRGAQETNRKLYQGVFPIQIMQMSGWLLKIVHWENLKCVCMEVHGNRRSSPTCLVLWTILISCLLTTCYGSIQKQVLLPGFAAAQMDYIDNDGIFLLSNNSVFGFGFVTSNVSDNTFYLLAVVHLDTTTTVWSANSNSPVSHSDNFVFDKGGNASLQSGGSNVWATNISGKGTATSMQLLDSGNLVVLGEDPSSPLWQSFSHPTDTLLSGQNFIDGMTLVSKSNTQNVTYTLQINSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGNNIYSANLSSASWSFYDQSGSLLSQLVIAQGSANATLSAVLGRDGLISFYMLQGGNGKSTLPITAPADSCDMPAHCNPYTICSSGTCQCPSALGSFANCNPGVISACKSNEEFRLVQLDSGVGYVGTNFFPPVAKTNLTGCKNACMGNCSCLAVFFDQPSGNCFLFNQIGSLQQKGGNTTRFASFVKVSSRGNGGSDSGSGRRDIVIAVIILGTLAIIGILVYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFLNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCVEGSHRLLAYEYMAKGSLDRWIFHAQEGDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDENFVAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPGEDSEKAHFPSFAFKKLEEGELRDIFDAKLRYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCDVPQPPVSSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >OB06G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11702661:11706964:1 gene:OB06G23610 transcript:OB06G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MEB7] MVKQAAAEEEPLLVVAAGGGDGQLEPAPLAGGEEVKRQLRLAGPLVAGALLQNVVQMISVMYVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGAQQFHLLGVYKQRAMLLLTVVSVPLAVVWFYTGDILLALGQDADIAAEAGAYARWMIPALFAYGLLQCHVRFLQTQNIVLPVMASAGAAALCHLLVCWLLVYAAGMGSKGAALSNAVSYWINVAILAVYVRVSTSCKKTWTGFSAEAFRDALSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRAALLAVRVVVFMAVSEGLVVGLVLVCVRYVWGHAYSDEEDVVRYVAKMMLVIAVSNFFDGLQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFVLHVGGTGLWLGIICGLLVQVLLLMAITLCTNWDKEAANAKDRVFSSSLPSDLATYEDGGDS >OB06G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11735650:11735811:1 gene:OB06G23620 transcript:OB06G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQVLGILSLALLSIGSQISTLSLPVSVHSFNTDGLGVTPLRRSIRPQARR >OB06G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11757940:11758203:1 gene:OB06G23630 transcript:OB06G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAPAVAGDDDGYGQAASSVPVLLREEAKRQLRLAGPIVAGALLRYVIQMISIMYVGHLGELPLAGASMANSFATVTGLSLLVRTCVR >OB06G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11762128:11762436:1 gene:OB06G23640 transcript:OB06G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCGKKAIGNPANSLLCFCSTCLLLLPRDGRLLMGSASATAYGDLWRERGEREEEGRAPVSGMRSRGHRLLTGRCPAFQVLTTVTAALLLAAVSGCSSLLV >OB06G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11762979:11764872:-1 gene:OB06G23650 transcript:OB06G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVNLPPPTPSMTYGPSYVLKSRSSRRVVAAASHVKLSHKDLSDAGSQTVQLYNSTGHETIPSHAFSVYNKLLNFSVNQSYFLEIFISQFK >OB06G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11763202:11768359:1 gene:OB06G23660 transcript:OB06G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLKFFFFNSRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNLKRNISNVNRGQNRYYVKTPGKFDDLRPGVLGPETRECLGIRENDPPPWLHRMRELGYPPGYLEVVDEEDKPSGITIFGDEDITEEYEEGELPDQGEAFSPRKRETVEFPGINGPVPENGDHWLWDSTPPQYSGRLHSSDLRKRDRDPPGADRYSSRYHSYDYGPASPSHGRSYPDRGRRSSSGYENLPTDDGAWTPTAYSSRQYSSHYSPSSETSSQHSRDRPCIYDDDDGNHDRL >OB06G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11773226:11773429:1 gene:OB06G23670 transcript:OB06G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRRGGHDVHEGQVRAGGGVEGLGGVLHGQPRRQRRPRAQHLPPQSLTSIHPSIHPSMASRARGR >OB06G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11790322:11790516:1 gene:OB06G23680 transcript:OB06G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAVDHIMIASIVSAQPSNNNYSAVACGNWKPSLSASYVCHYNYSIIYTLLWTYLTFRIHIHK >OB06G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11802066:11811656:1 gene:OB06G23690 transcript:OB06G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MEC5] MTISREEVKRQLRLAGPIVAGALLRYVIQMISIMYVGHLGELPLAGASMANSFATVTGLSLLLGMSSALDTLCGQAFGAEQHRLVGIYAQRAVLLLTVVSVPLAVVWFYTGDILLALGQDADIAAEAGAYARCMIPALFAYGPLQCHVRFLQAQNVALPVMASSGAAALCHLLACWLLVYVAGMGSRGAALSNGVSGWINVAILAVYVRVSTSCKKTWTGFSAEALRDALAFFRLAIPSALMVSLEMWSFEVIVLLSGRLPNPKLETSAISISFNTAALVWMIPFGLSCVISTRVSNELGAGRPHAARQAVRVAVFMAVSEGLVVGLVLVCVRYVWGRAYSNEEEVVRYVAKIMLLISVASFFDGIQCVLSGVARGCGWQKFGACVNLGAYYAVGIPLAYVIAFVLHVGGMGLWLGILCGILVQVVLLMAITLCTNWDKEVANAKDRVSSSPPPPSDAT >OB06G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11823168:11823446:1 gene:OB06G23700 transcript:OB06G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSQSKEERLGHSHTIISEILPWYSIAFFQFLSFVLHVHTFCKCAGTKPSILIQRHILVCFRKQNDLTLGEEVNHCNFVNWLADGCWCSK >OB06G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11830276:11830566:1 gene:OB06G23710 transcript:OB06G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSLHGLPLLLHATLVLALPLASAQPNGSYTADNTYQANLRQLADELRRNVSSNLFVVGAVGVGSVPDAPPAVTPTPSPAPTPGSDDP >OB06G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11837896:11843049:1 gene:OB06G23720 transcript:OB06G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCETDTKDEEGTSLQNMPLNVNGGVPSVSECQLEGEPSTLDNTKASERIIDLEEERDQVDGNPITMGSTKASDVIIDLEEGQVEDMDLSDDDIVVVKHQHLNASIQSGTSLADVQGLHGVSVELDKANGLENGSHASKNILVDESPIRGVKRARIESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLMKFGEEDLEYGEETYYPALHVGSEKSCAV >OB06G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11844593:11852259:-1 gene:OB06G23730 transcript:OB06G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACEMQRKESEIDGRKEWKVSLGWCRAMQEGYDRFGDIDGWKGKEGIKQEIWSAHGLGLSLDKEIKGSHEFATHMGRGVPKEAKAIGGRRPTGAAQLIDTMDDRTTLEHISQFIAQCGEASTSDALKLRLFPLSLSGTAITWFTALPPNSVHTWSQLEEKFHEYFYTGDTELRLAHLTSVKQKYNEPFLDYIRRFRDTRNRCFSLIISDKDLAELAHAGLLPYLKEKLEGKVQSAINEGRLKFAEDPKMQLDSNPFPVNVIDFENSKVLIRPSQAESTKDKAVIVGQNSMHTVKASSSGASQGSRPRMIKLKSPEIGRWKINESRSRTTKRVENPKPTFKELLAKFEGKAGGTFTGQPSNFKKPRAPPMQDFDGPDHRWEEFHPTPPYPPFGAPMLVPWCPAGYPPYPPWGWYDPWMPPAPMPYESFHPILYAPLHPIPYTLFPPMPFEPFRLGWEEPRRPVFDRLTWLKDDRFNPRKRAHDGRSDKPVEKVYRVKKDGNANKGPDLGLAASNSQPVTNILKVNSTSATLPTTQVNSTANTLLSSAQMDSTSMAGPAANCGGSSVKQNASSLPVTSKQPQWCPPDIGHTRKRKLQRLRAKELRESKAEKLSEEIFNDINPAPALPTKKEWRVKQVEHSVQANSDDEYDLLDCGSHVIKDGSPVRECMDINMVFTPPDIGHTRKRRLQRLRAQELRESKAEKLRERIFNDINPAPALPTKKEWRVKQVEHSVQANSDDEYDLLDCGSPVIKDGSPVWECMDINMVFTLHSEFQVVDEEVAQLCLGPKEAIFEKPDESSRHLRPLYVKGHINGRPVIRMLVDGGATVNLMPYSVFKRMGKEDSELLKTNLTLNGFTGEPTEAKGIISMELTIGSKTLPTTFFVTEVQESSLDWLQKRTEQYRSNKNDICEAIDDFDEVEKLGQGFSSADPLEEVDIGDGTVSKPTFINKNMTADCKIKVIRLLREYVDCFAWEYNEMPGLSRELVEHRLPIKSGFRPYKQPPRRFSSNIYDQVKEEIGRLLKAGFIRPCRYAEWISNIIPVEKKEDSSKTGFRCPGLVGLFEWVVMTFGEETEFTWGTEQQQAFDELKKYLSTPPVLRAPKARVPFRLYIAAEDSVIGAVLTQESEGKECVITYLSRQLLEAEMSTCVVTCQTDVIKYMLQKPILSGRIGKWAYALVEYDLAYEPLKSMKGQIVADFIVDHRINDDMEMVGYLSVCPWKLYFDGLACKQGQGVGIVLVSPRGAIFETSVRMEYFLTNNQAEYEALLLGLQILESMGVRNVEAYGDSLLVVQQISGNYQCFDGLLNVYLERCLKIIENLDYFIIKHICREENVRANDLAQQASGYQMSRDKVLAIERPMLEYFEVNMVESDDWRKPLISYLENPSQTVDRKLRRQALKYTLLDGDLYHRTIDGFRPV >OB06G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11852258:11852525:1 gene:OB06G23740 transcript:OB06G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTNECPYTIHLLAHLYLIDLYAIRCPLPSCLPPSLPGFGAMCCGVVGCEQDQCEVRFSWKSFLQGVKDTPPKLADIWRIG >OB06G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11899117:11906443:1 gene:OB06G23750 transcript:OB06G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTTVLPPASTTTADPASHNGQSGFQMPPPLPQYQPGSSLPSWNSSPMPSSANGTGLTMPAMYWPGFYTPPSGFPHLQPPPFLRPTHGLTVPQVLQQPMQYPGLNASLPPFPRMPEFASLPQPGSGNNLSQNPGVSTSMPIPVLSIAQATDSSTNQLPNKLSSVPASVFSVGLTPPSVNPSVSTIESTMSQSQGISPLVNNMPVSLPDSSIPSASSNKAMNIPVPTYLPSSQSPSANIAASAATVAELVTLITPGQLLPPISSTALTTAALETASTMIPSPKADSMISSSQAAPSMDASSQLTSSVVSPSQDQFASSTVPSHQQLEQNNEDRDTKKPEWKAKQHPAAPSNKEPLLPAPKPTLHKPVGASSYTQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKNKGQSNDDPNEYEDDVLEDDISPGKPEAKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHQPMGMRGGGRGPRGGPRGRGYYGRGYGYTGRERGYYPNYQSQ >OB06G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11920132:11921563:1 gene:OB06G23760 transcript:OB06G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGGSSNVPEKRRKKRQDPVSTSTKSDGDNSPPVQYPRGRVGKKKVDEVGKNKVDEVSPSRRRGKKLAHRGCQSGGIHIEEPSSSPPPIGSARGPCDAQRPNHEAVVGAIRLFTKPVLTAAFGHETPRKAVDYLKNMARARTDRGRAPEQQLENRLSVLLTKHNWSEKLIGQFYASAHFEDSDDGSEEKVRWLSEGIEYSITISQFASVLGLDDSDLSKLDLHLQPALSQETLRRLYVDDSSKVRLGTVNGLLPHFDLLLKMIKTTVSPKSGDKSALTARHAALLWSMRASASPSNMMKYIWNKIQAIVLDPSKGLAYAPFLHIMIRRVTGFYFEGECVHYPYRPQIPQAPKVAGSKGCPVGSSSQPPRASSPSLPIKRALSAIFGIFKKNAVKIKSNERKINQILRNSGHEIPLESEDE >OB06G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11941828:11945883:-1 gene:OB06G23770 transcript:OB06G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57180) TAIR;Acc:AT3G57180] MAKPLLLPSSGAAAGRLSSRLAITTAPPLRVLPFLCPQVTPRGRNLSFSPVSAASTGKRRKPPPPGPSPVISEGRDDEDAVVGRPVCPGCGVFMQDADPNLPGFFKSPSRRSQDGMGGDGSPLAAEPDGFLGDLEAVEDDAPPESDLAAEWDEFLEEEEDEDEDGAKTKADIDAEIDGFSSGWDSDWDDEMEGEEEKWRKELDGFTLPGVGYGKITEETLERLKKERLSKSERKRRAREAKKAEAEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVFVGAKVDLLPWQLMGVRLEKWVRGRAKAFGAPRLDAVFLVSVHKDLAVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMAMRLSSEERKMIEIRKELRPRSFRVKAGQSVHIGGLTRLDVLKASVQTIYITVWASPSVSLHLGKTENAEELREKHIGIRLQPPIGPERVAELGHWTERQFDVSGVSWDVNSMDIAISGLGWYSLGLKGNATIAVWTFDGIDVTKRDAMILHRAQFLERPGFWLPIAIANAIGEETRNKNERRKKAEQRDDFLLEESTEDGVEVLT >OB06G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11953213:11955283:1 gene:OB06G23780 transcript:OB06G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVDPLVVGRVIGDVVDMFVPATAMSVRFGTKDLTNGCELKPSVAAAPPAVQIAGRASELFTLVMTDPDAPSPSEPSMREWLHWLVVNIPGGTDPSQGEVVVPYMGPKPPVGIHRYVLVLFRRGAGVAAPPPDADAARARFSTRAFADRHGLGLPVAATYFNAQKEPANRRRHY >OB06G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11967118:11969119:-1 gene:OB06G23790 transcript:OB06G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNAAIAGPRLDGVVVGRRHRLDSISVYLFRSPEEQFVLPLSAQAFDEYQQLQQDIQSLHLTVGNDNWSHIWKLDKYSSQKFYKLNFLSITPPTLKWIWDSQLNMKIKVFGWLFFIDILNTKDLLDRKKMIPPAGYNYEPLRK >OB06G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11970533:11970835:-1 gene:OB06G23800 transcript:OB06G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAVADEHGSRSERHVSGXXXXXXXXXXXXXXXXXXXXXXXXPPDLPPRRNPAARRRLFGDQRCLHRRRRRARAPPTRRACCRFRSRVAAAVAVLESDQ >OB06G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:11986612:11987358:-1 gene:OB06G23810 transcript:OB06G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRVEELTLQFEELAWFLPKPAVVLGPAFFSCDALDIFRGIAAVNTLWFDADATMILQCDQDMPLFPICKSLVVSPLAGVEHYGAPFHVSRMPEHVTITPEEIPPTVANFTDPDNVIGRFMIEHVELTDIRS >OB06G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12014423:12022836:1 gene:OB06G23820 transcript:OB06G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWHLPSSRKCLQQFVHAPLHYVQEKLSTSESKETAKEDAGDTEQDIIDVSRTTLDEKIDSKNDDSRHIHMPTSGVCVSFRASVDPVHEEAFSILKKLQIIEKDASSSDFCTRREFARWFIKLYSKIERKRMHRIIPNILTSGSVRNAFDDIDADDPDFLYIQSLGESGIVSSKLSNFLGTSTNGSYSENENSNFLPDSYLSRFDLVNWKALVEHPRATEVDLKMLSKNVHILDLSAWPDVSLSILIDLMDGEQSIISKVFGNTRRLQPHKPVTKAQAAAALTTGRMEEVIRDELSRLEALNQSQLSVMSEIMDELINRGDMKQIWEDKMEKEQNREFAVEKHLQHVLQELANEKTDREKELAVLLKERTALEHQNQELVNLRSEIDDMYDRLAMERLEVMAEEKTLEKLSFDVSRKHQAISESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLEKAVRRWRVPAD >OB06G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12025661:12026293:-1 gene:OB06G23830 transcript:OB06G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQSNRRLQTEAKPRERKPSKLESPPREAASDKRPGAMAMAGATLLHAMAELMTTCTRRLQRAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKLCSGCSVLVFSCRVGGRQSVCSCVYVGRVHVADH >OB06G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12038571:12039797:-1 gene:OB06G23840 transcript:OB06G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGLVAAEAFNPVLKAMLRGGDAKGAAKMFGFMQLKGCVPTAATYNVLVHGLLVCGRAGAAMRVVRRMEREGVLPGVMTYGAVVDGLVRCGRVKDAWKIAKEMEKSGLAPNEFVYSAVITGFCKSGEIGSALKVWEAVAAGPVRPNFVLYSAMIRCLAHFGKMMEAELLFREMIDAKCEPNIITYGSMIQGYFKVGETPRALSVWEEMVGVGCVPNAISYSILINGLCNVGKLKDAMMVWKHMLGRGCAPDTIAYTSMIKGLCASGMVDGGLRLFYDMLARGDAEPDAISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDMVTCNIFLREFGAGEMKGREFLEGLVVRLCNRGRNMAAGEVLLVMLTKYIVPEAPLWEMVVRDVCRTKRVWRVIDKCWDEIWGR >OB06G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12044537:12045328:-1 gene:OB06G23850 transcript:OB06G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFLTCAWSIVCCMCMLLQVSVWLNNSIDPFESVSRKGETYWTQVAKTYNKTIPDGRKRDVDSLKGHYHKITNKVTLFNVCHGASDKRLEVANIQLKVVVERKEAKLIKARNTAMDTFPQMLQVDTFNTESWADSHLLDQL >OB06G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12048750:12049790:-1 gene:OB06G23860 transcript:OB06G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQMMYAGERSAAREMETEMMARDQKQMGCSPLGRFISRVFMRRGRQGRMRGDRMDYGAMAYPTAQTCYVRPAAAFSTATANAHAMRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQFRVGGVAAGAVGDAGRVVGGHAAAVRVLLRRVPVVLPDAGARGHLRPRHGRQPAHRHLQRGESQRLRHRLITICMMHMHGQRIILVKI >OB06G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12053263:12053466:1 gene:OB06G23870 transcript:OB06G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVNKTFLSGYNYLNRSDRQCPDQGDIHIRNILPSCDHSFLYKIMHCRTTINQSYGFRDHDASTVE >OB06G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12054185:12055767:1 gene:OB06G23880 transcript:OB06G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIFFCILALLPFLYLVKSYILSSVNSTIQLPPGPWQIPIIGSLHHLRGALPHRAIRELSRRYGPVMFLKFGEVPVIVASSREAAMEVMKTHDIIFATRPVSPTMRVFNEKGQGLIFRPYGSHWRQLRKLCIVELLSARRVELFRPVREEEAARLVQSISSSVGSLVDLNEQLAAYVTDTTVRTVMGDRFMDQDAFLHILDEGVQLMAGFSLANLFPSSRLAHALCPMVRRALAHREAVHKFMIGIINEHIDRRSLEGGCDHEAIIDVLLRIHREGDLHNPLSMDTVKGVVLDLFSAGSETAATTLQWAMAELIKNPTVLSKAQAEVCAVFSGPGKVAEEGIEKLQYLHSVVDETLRLHPPVPLLVPRECQEQCRLLGYDVPKGATVIVNAWAISTDPEYWDEPEEFMPERFQGGKIDFKGNNFEFIPFGAGRRICPGMSFGIASIELALANLLFYFDWSLPEGVNCSELDMTEATGITVRRKSRLWLKATPRVPLYC >OB06G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12057656:12059569:-1 gene:OB06G23890 transcript:OB06G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAFYCLLALLPLLYCFVALFHGSRDSGLRLPPGPWRLPLVGSLHHLLGALPHRALRDLARRHGPVMLLRFGEAPVVIASSADAAREVLKTHDGVFSTRPLSAVVRAFTKHGAGITFAPYGEHWRQMRKICRLELLSPARILTFRAVREEEAARLVKTIASSSSSASSSPAVAPALVNLSELLGNYVTDTTVHIIMGERFRERDALLRYVDEAVRLAGSLTLADLFPSSRLARALSSATLRATDKFIDSLMEFMDCVIREHLEKRSCQGEREDDIIDVLLRLQAEGTLHFELTTGIIKAVIFDLFSGGSETATTTLQWAMAELMRNPNVMSRAQAEVREAYREKMKVTEEGLNNLAYLHCIVKETLRLHIPGPLGLPRECQEQCQILAYDIPKGATVFINIWAICRDSEYWDESEKFIPERFEGSTIEYKGNNFEFLPFGAGRRICPGMQFGLANIELALANLLFYFDWSLPEGVLLEDMDMTETMGITARRKEDLSVQATPCAQIP >OB06G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12071877:12074366:1 gene:OB06G23900 transcript:OB06G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSESRFGSDISCHPEPLLTASSLQGNSTAARRAVMVDGICLVNNKRRLELSPHVEVDNSKKITSRWVDFQSLPEDIVSRIRSQLTLKQAAQMSMVSSMFRSSWAFHPNLFFCASTFCRSSDQRKTVLGPKRFTDKVNFILSKHSGLGVSKLLVKFEQRKRHAPDIDGWVSFAIASKARVFILNFSPYTGSHKNSYSFPCHLFSDRNGSHIKVLRLDTVTLGPAPDISGFPNLKMLTLEHVLIVDNFQNFLPKCPALEWLKIRMCSQLHILHASEPLPRLKFLFVQDCEINKIELHAPNLTTFKYRGRFKVIIALHECLKLKTASIASPIEDNLEYVFTGLPAGLPHVDRLHVDVSVNTQIPGFTRPAIKFINLRLLIMKISFGFAKRFGKNAVLQLAYLLEAAPYLEGLHLDMLCIDICEEPPARDVIIHRHH >OB06G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12079257:12079999:1 gene:OB06G23910 transcript:OB06G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMALGGRSMDRFRLHSREEEEAKNLVSKLDVVKTVLFQQLVQAAVAATTLTLAGERRTTSTAASYLTVAVQFAVAMVVLDGWQYAWHPVEGLLLDTVGGAVAFLAYGMSPRASVVFFSLCAAKGVDDHCGLWLPAANPLQRAFRNNTAYHDVHHQRRGGRYNYSQPFFVTWDKVFGTHMPFVVEARPGGGLQARPAATPGAGAGGPK >OB06G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12089759:12090292:1 gene:OB06G23920 transcript:OB06G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGESFWKSSSVRRISHFYPHLARAMAGVQSRVLADPVYICVECSAVQKNQQAMAAHCRIHINSDGMEKGTVRHIKYNPDHTFSLMCHPSPDQVLLIPNRSPNPNLSSASATASDILQKCVDLGYIRRPTSVNAIRNVFASAATTTAVLDLTLRLGQGATAADNSNQQIIKSLFS >OB06G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12103962:12106521:1 gene:OB06G23930 transcript:OB06G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGNGNHIGGGQKVKQLLSMSYAQKLWDEWELQCSVLASFSLQAFFLFAAGIRRRNKSAVVRILLWLAYLSADYVAVFVLGHLTLQTGNPRHQLVLLWAPVLLLHLGGQETITAFSMEDNELWKRHLLGLVTQVALAVYVVAKSWRTNNGLLLAPVALMFVSGTVKYGERTWALKTATSDTIKGSRMTNLYKTMRARSSNQAVDSYDRDIVGRRKWWVEEKYASLVEAAGDSIPNCMNALMDIPVAPWLLPRIWSMVSEINTLRFRAHLERPEGHLDSHEDRFPSRAYKMAEIQLSLIYDHLYTKFGLRYAQDRPIIGLSLALLTLLTTSSALALFAVAKKEGMYSPVDIIVSYILLVGAVALELLSIFTMTLSFRSYCFLREKLGERSRFTRVIFWLVKIVRPYQKPLWSNKWEQYNLLAGCIKEKQAGIFMRMFRYLGLAGDTKLCSISNKTKELICNELNDSGRLEQFSHVRGTGILSKRGHHEKTPLHKSIAKVDFATSIVTWHILTDICFLHDKGGDDQHKLLAREVSNYLMDLVMRRRVLISSEGHVAHRKARDEVKEILARHKERVDEGDAGVMRRILEDGVHMIADPVESGEGQEGVDVTFASNSYETMRPVLPRAWRLARMLLNSQVEEGSGGGGSSAPWELIASVWIEMLFHLAPRCEAGFHVKNLSTGGEFITHVRFLLLNRGIGWNWVRGHA >OB06G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12121929:12122366:-1 gene:OB06G23940 transcript:OB06G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLDRKITRDDEEEAEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWSPAPEEKQPPAGGGGGGGGGEAEGELPVRGLQHQEGVLAELSSAVGLVAGAGGEAAAAQAGQEEAGALPHRLPLRPPPRAPLQARRLRHRRHARLPLI >OB06G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12135004:12135192:1 gene:OB06G23950 transcript:OB06G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAEVLDLELVFQPTRVSSLKTTNTIEFVTLGDVFLLRHCCHFTTVALPPYARSPLYFFC >OB06G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12145940:12149934:-1 gene:OB06G23960 transcript:OB06G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLAAGRNKDGGGPALLGLGGLSCFDVKSFVASLALLTLLMALWQLHPYQPLVLLPAARSSSSSSSSCPLLPRLPTAAVAVSTAAAANSTASSDTGAPTAATVTTRPALLPAPRPRDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIRFVALEEAPGSYDESRFRPPFPYDYLYCGSSLYGNLSAARMREWLAYHARFFGARSHFVLHDAGGVTPEVRAVLDPWVRAGRVTVQDIRAQEEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLEQVLGPLERYTQFTIEQNPMSSKLCVDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTETLIRYYHYHNSINVMGEPCRKFVPKPVNGSKVMFEGIAYVYDDKMKRLAGEIRRFEKQTIGDIHT >OB06G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12155118:12155832:-1 gene:OB06G23970 transcript:OB06G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFEGHPNFLPTNQKHIIMACLALHNFIRDSYLCDKEFARCDANENYTTDTSNDESEDVENDDTMDTLRTRIVDALGVFG >OB06G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12165624:12167968:-1 gene:OB06G23980 transcript:OB06G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHQTLLLFVVSITTSSCCLHRAVAQTTTSTAVRGDGKRFVTYSFPSFANALLHLQANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSSPFTLWSPSSPAGGNGTYVASFSMVFRVNIFRTNNSVPGEGIALVVASVIDPPPPGSYGGFLGLTNASTDGDPANRFVALELDTVKQPYDLDDNHVGLDVNGVRSLRAVPLAPFGIKLGAANASNFFVWVDYDGTSRHLWMYMARADDGGRRAQPQAAVAGARRAAGPLHRRRREGLLRLLRLDGDTVPAQLPAYVEHDGGSARRRHQLRGPAAMEAGADHRRAVRRLGAGRRHSAGLPVHQEGEAQGRRRSGVVVVGVQVQKEQPQPDEPRRDAQGVRLHGAEEGDGGLRREEQARAGRLRRGVQGDGCRRQRRRERGCGRQAVLGGEHQGPGGLPRRAQHHQPAAPPQPRPASRVVPSGRRAAAGVRLHAERQPGQAPLRRRGGGAGAELGAEVQNRHRRGGGAELPPPRVRPARHPPRHQAVEHHARLRLRLSPRRLRPRPRARLRQDLLHRDHGRAGDDGVHRAGVLPHRPRHAGVRRVRPRRRAPRGRMRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARPDAKAVVQVLARAVAAPAVPPSKPAFMWPALSGTDCDDGGETSSRRSARTASTELTISTYHASSYSSHGCTRTQVTSNCDAMADETKYVSIS >OB06G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12181531:12181980:1 gene:OB06G23990 transcript:OB06G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIKRFKTSVDVEPLEINEEDYTEGAEEKQAMDENPEGLYGDVEVDEQ >OB06G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12194741:12195630:-1 gene:OB06G24000 transcript:OB06G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALPATIPAPTMNGTGVRSVWDHNFKAVSDIVRSVARRARHVALTVALTVQYPGCPFVVQNAGERKPYEKLTAEERYQEVRANVDALRPIQVGLAIRAGDGDGEPLVFEFNLRGFDINNPADLRDPKSIQYLEARGVEFSRLPRDGIESCRLRWLLWDSGLIRARPSWATFAGGYHVAYAVVILTGSKLPDSLDGFTKRVASVFGRGVYDVKKISREHHPGHTGALTKLAERLGIVPAEQVHGVVAGAGAALTLRVFETLKETVEASCLQRQSLQLCGLYFR >OB06G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12198948:12200001:-1 gene:OB06G24010 transcript:OB06G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMAEAASGNGGQGGHQVALRWTSYMSSFMLKKIVELIAEGVRTDKGFKEVQLNQVARSLSDHYGLDISGTQVYNHLRKWRQRWVRVTRLKDISGALWDNQNSTIVLEDEHYMGHVKDHPKDAEFLNVPLENYTQMATIFSNGQATGKYAMGSNEALGKPADMAESDLGPLDGTIGYGIAGGMAGPECGTAAQGSGVGPARDGFVPTNDLTGGGSSSDRKRKKTHAVVEGEAALITNMTKSVREVAAAIRATTHTEVHPELSDSVLNLPGFTEDQLELVLTYLTNNKATSLVYI >OB06G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12200108:12200440:-1 gene:OB06G24020 transcript:OB06G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRELFNLRHSSLRVTIERAFGALKNSFKILYSKPFHPYKTQVKLVLACCILHNWILQFGNDQHVPLEAEWRANDRDEDDPGDIEEDNRGMAQIRDDIATDMWNNRESSRI >OB06G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12201915:12202504:1 gene:OB06G24030 transcript:OB06G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGRRDRGWQEELGEGGSSWRTRTVTDAEPTGPRVGGERARGRTATGGSRQAWTGAGSSVDRRELGRRRTDVGSGVDRCRLGWRRTVVDHRRLRRGAPAMADSEGGGWATDLVLGCGEENEREESGRGGGGSASLARAGARLHRRVQPACMPSARPRGYARFHRFCRAWPRDPSCICWAWLSFPSG >OB06G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12205582:12208037:-1 gene:OB06G24040 transcript:OB06G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDFVDYGAFNQVTIKNKYPLPHIDDLFDQLRGAKVLSKFYLQSGYHQLHTREEDIEETTFNTREEGHVTAYASRQLKSHEKNYPTHDLELAAVVFALKIWRHYLYGELCDIFTDHKSLKCVAQQEIQMNIQSSSSECVREAQQHDRLLSGMRKRILEGCSSEFSLDEHGIVLFRGRLCIPQKSEVKADILHEAHRTPYSLHPGETKMYRDLKQIFWCKCTMVDISKYVASCGLLQPLGIPDSWESHLPLTEFAYNNSYQASIKMTPFEALYGRKCVSPLCWDVVGERSLLGLDFIHQIAEMVQEIQQNLLASQSHQKSYADVRRRDLEFAVGDHVLLRVSPTKDIVCFGITGNLSPWYIGPFLVISRVGSLEYRLELPDSMNGVHNVFHVSMLRNMYVTPSIRLIWIQLQWNRTLVWNVNNCAS >OB06G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12255565:12257066:1 gene:OB06G24050 transcript:OB06G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MEG1] MDAGPPSSSPPRLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNIARLPPVSPAAAPRVDLVALPLPRVDGLPDGTECTNDVSPDNFQLLWKAFDGLAEPFAGFLAAADEAGKRPDWIIADTFNHWAPLVALQHKLPCAMLLPSASSMVDWTFRSSDLPSASMSELGAPEERRDGVPRYEWEQKARLYSRHGASGMSVAERCTLTMERCTLAAIRSCPEWEPEALRRVAAGLSKPLVPLGXXXXXXXXXRRPAAAGEEEHPTLRWLDAQLRDSVVYVALGSEVPLRVGLVHELALGLELAGTRFLWALRKPRGVDDAAVLPLGFEERARARGRGVVAMGWVPQVAILAHAAVGAFLTHCGRNSLVEGLLFGNPLVMLPIFGDQGPNARLMERRKVGVQVRRDDEDGSFDRHGVADAVRAVMVDEDSRRVFVANALEMQKIVTDKELHDRYIDEFLHKATSYVDR >OB06G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12262235:12265861:-1 gene:OB06G24060 transcript:OB06G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3MEG2] MADPAEETVPAPPPPTPAVPAEGASDEPEPVQLPASPAEKVTAPEPAPAPAMATSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWILFEVLDYHLLTLLSHVMIGALAILFLWSKATTFIKKSPPDIPVVQIPEDVAVNVSRALRNDINRALHLFREIALGHDLKKFLGVIVALWVLSEVGSCCDFLTLIYVAVLMLHTVPILYDKYQDKVDHFAGRAHSEACKHYEVLDAKVLSKIPRGPSKPKKQN >OB06G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12267099:12270800:-1 gene:OB06G24070 transcript:OB06G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPVKLIALAAAMAAVVALSFFFQLRCSHLPTSFMANVGLQLIVVSNQQEVTDGNVQLLCHGCPHAARLTPKRRRRKLLESDMDRLRLRIGVGIGIVVGGTESGFQS >OB06G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12280423:12280608:1 gene:OB06G24080 transcript:OB06G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCERHICDDDILWLIYSSSNICIRCQMCSSSVRILAMIYIYAYVSLKATPPYHKDGFRDGF >OB06G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12286108:12287979:1 gene:OB06G24090 transcript:OB06G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTTVKEPDNADAPPSPSPSTPPKKVMYELAARNIYYAKPAAAVATTTSLARFLMKPCGAAQPAPDYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNSAPLRSSSFRRLSAHVPQMDVALSLLTVAETFAFAASLLYPASEASAAVTALLADLRLGHATHTRVSATRLSGGERRRVSIGLALLRNPGILLLDEPTSGLDSSSANVVVGCLRAVAAARGTTVVLSIHQPSSRILSSVDSLLLLSRGAVLHHGSVDSLDAALLSNGLAVPAQLNPLEFALEVLDQLPYPSASSPEPKTPEEFATVTSSNRHKDAPSSSSSTSPCSRLHEVVVLYKRAWKVVYRSKQLLLTNFLESVLVGTLLGTIYINAGYGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETASGLYRLSSHATAATLVFLPYLLAVALLYSGCVYFLVGLCASPVAFAAFVLVVWAVVLTANSLVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPSYWVFMHYVSPYKYALDALLANEYTCAASRCFGVSGADGECSETGRDVLAEKGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRAARAKR >OB06G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12298088:12298249:1 gene:OB06G24100 transcript:OB06G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNFIISRHPYNASLNPIGRKMLQPSGDLISKNFRTQTTTKFQITNTKPNG >OB06G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12300762:12302200:-1 gene:OB06G24110 transcript:OB06G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGCR14-related [Source:Projected from Arabidopsis thaliana (AT3G07790) TAIR;Acc:AT3G07790] MLRSPGHSPRHLSPSPAPSTPRPSSPTPSSASASALAAASSSKRRRPEEGAEVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPAEAAKAPLLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTDEERTERIKGMTKEIDRSNTRFHGRSSADDGTTKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNPNNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPSGSGGSDTAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSMRQGSTPPW >OB06G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12320618:12320785:1 gene:OB06G24120 transcript:OB06G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEDGRRVRRRMHGRNPKARQLYMFGPCRGTIRYSCGVCMTIGAILVSPSLGHD >OB06G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12333321:12333665:-1 gene:OB06G24130 transcript:OB06G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGWKFNIKERDMLLQTQNSGVCVNGEDEIGDKDYFGVLTDIVRLSYGKYHVVLFKCDWWDVHTARGIKKDRHGFTMINTTRKLLVDEPYVLASQVEQVYYVKDTIDPRWCWN >OB06G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12335944:12338499:-1 gene:OB06G24140 transcript:OB06G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEPGLLFNASAGQVVIPSVNKNDEDTIDQTAQDYIKPEEKKEDSTKPIQIDLDSLEDVADPDALVDEIYYRGIYVSDPEDEDLETEGNVLSSILRDRDRASSREARSKGYLIGRIMSDCTAMDNSWMEISNRTLPEYEKGVEQFIQFAFKDNSADKFLRCPCRKCKNNHFFAQNTIKEHLFINGVQKDYKLWVYHGEDVPNSEDSGSSDMEENQGDHGMHQMMNDLGETMAMNMGSLGSSAPGLDEGKDSSTVTNEETNKFYRLLEDAEKDLYPGCSTFSTLAFIVQMLNIKCLYDISANAMEALFSMLCKALPASNKVPKSYSDAKKTITDLGLDYTKIDACLNDCILYRGVYEKANSCIFQDGKMIMSLNQEGEE >OB06G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12342839:12343423:-1 gene:OB06G24150 transcript:OB06G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPHGVRTSMGRQRIEIRHIETKGRRQVTFTKRRSGLFKKASELSILTGARLALVVLSEARNAFCFGDPSVDAVLRCYAPVLGVDADAAARPVASSSHVHGAANDGDDRHEELRRAAEDTKSQVAAEKSRLREVAKKIADAKADRQFWWEADVEALGEAELPEFARALEKLMANVRRHADDRLSGPGQPQPQQ >OB06G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12347172:12368569:-1 gene:OB06G24160 transcript:OB06G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLSSPSPRPSSLLCAHAAAITSLCPLPSSHSPPCLLASCAAGVVSLFSASASLPPLPPPXXXXXXXXXXXXXXXXXXXXGQRHVSAIVVVDARTLVVLRTSFHGALSIAPPKAIAVAAGVGDAVSVVLADVQGRTQVVPVAEGVAFEGDSPRRLSVSSASSIASAETVDGKVEAVSLSDDGKVVALVMKNSCLLKCVSEGVVLGEVSLPGDLLCKEGETGVKGCLVGGFFLHGVEWEAHGSEDGIAVRRLVLWSRNGFAIVYRFVIGTGSFGFKAVCEIPCIASEQGDNSLVQFCQSGNQLVRVESRSYRVAGSLLWKPFVSIWSVDQLELNIANNVDKPPLSKVVGEGGLQGEEFRSEPSHLPCQSNSRLDINSMISSSDSNGLGRHGGTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGGGGIYPHITERFFLGHTGAILCLAAHHMHAHSDSRTFNRVLISGSFDCTVRVWDLDAGTVLSVMHHHVSPVKQIILPSALTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHLGYPSMVAWDGVKGYIACLCRNIHSCNDAGSLLYIWDLKTGSRDRIITGTLSQSTFEHFCRGIFKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHENKKGLNISSVSTNNHCANTDSVTISVPAVYDVKGKMSATDEVHEFHRNNPANSSGKIASGQSINKRGKHPIKCSCPYPGIASLRFDLTAIMSTQGMPNNNNDRQLRDYFYRDNVKDPIQPETCDNTSGIHVIDSPSRESLEGRLLRFSLCFLHLWGIDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASPEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKSPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHVQKTKVLTQLKVDGEDVQKEDHDTSEINSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKSKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDITHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMTDIVGFFSVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIQVYDIESVTKIRILDASGPPGLPSLIEGSSDTSATVLITALSFSPDGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQSSENKRRELNEADNLKLLLHNLDLSYRLQWVSGKAIKLTRHSQELGTFQL >OB06G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12367708:12368660:1 gene:OB06G24170 transcript:OB06G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEPCASHSTPWRKNPPTRHPFTPVSPSLQSRSPGRDTSPSTTPSETHFNKQLFFITNATTFPSSDNDTASTFPSTVSADAMLDAELTLSRLGESPSKATPSATGTTCVRPCTSASTTLTASPTPAATAIAFGGAMERAPWKEVRSTTRRREAEAEAEKRETTPAAQEARRQGGEWDEGRGQREVMAAACAQRREEGRGDGEERSGQWRMVPSAAPVKSAAGVAAAAVMWWDGGDGDQSAATEWHFMGGGDGRSGRRREVGVGLDSPVRVARSSLS >OB06G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12383438:12384098:-1 gene:OB06G24180 transcript:OB06G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGTSRGKQKIEIRFIEDKSRREVSFSKRRAGLFKKASELRVLCGASVAVLSVSMAGKEARVRSMVDKVAKAKAKAKAGRQFWWEADVVEALGEAELPDFAKALERFRHSVCLSINKLQLSTTPRQQLHISDYYSF >OB06G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12385938:12386294:-1 gene:OB06G24190 transcript:OB06G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAMSKKMLLNIMSAAAPCSNWTTGATVNVHGSNYNLVEVKKNQTTLRIYIYGQCKVVKHHTCSWKTTFSGLVNRTYTIESGSSALLQTTLFIRLAQTKNTMNSISALWSQCFQVL >OB06G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12393743:12395359:-1 gene:OB06G24200 transcript:OB06G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVEAQSSHSIVLLCPQLHFPVPFHLSQQIESTIPSTWISCVTMTQYAAMSQVVKKMAEAIRRGTGATLQPQMHTLLMLWREELQQGKQHGHHQLVELRTKQSHACHRAGDIGYVCNHGTQLTEKTLDVTTLGSQTKVEPRIDITLLEGSREKLGQAWAKWFHGNKDGNRSGQGWVGQGQAQT >OB06G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12402273:12403546:-1 gene:OB06G24210 transcript:OB06G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAWWYGGAGGGGVGGGNNWDLDAIVRFGCGGGHVTVPPPECEYDDPFSSLLVPPMTHQVMPVWGDDDDVAAWMAPLPGLQTGGWDGADHVVVDDLSGALFGVSGQAPKLPAPPQVAAAEVTPPNLVPAAADAAPVEKITRPAIKQQASGDGAGAGGSRKKQQAKEVVRVPANGPPPDSWAWRKYGQKPIKGSPYPRGYYRCSSNKNCGARKQVERCRVDPSFLVLTYTGAHSGHDVPLHRNSLAGTTRHKLVLPSNSCKPEQGAGAGAAEAGTTDKNALATSSEAASSSHSHSPAQSTSPGLSPTTPLLTSSMEMHGEEEDCDDVDGAAGLQAEDVDMTIVDDDDAADDTIHHVPWGTPISDAIIAASYEWR >OB06G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12404876:12405256:-1 gene:OB06G24220 transcript:OB06G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLMWPSSAKTIVPQTLYIARTRSDVTSLTASFSVVTCQKDTDVRAGGVIGQHVVGHPDGPIDPVPSTDLAQPSRSSLYNHIDVMPLERYIPTTKLVGGNLLALMQ >OB06G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12427178:12427594:-1 gene:OB06G24230 transcript:OB06G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWLMLCHKIYIYXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYIYIYISKNNFLKYT >OB06G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12431222:12431629:-1 gene:OB06G24240 transcript:OB06G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFQIIILPTICPWYCCTVPSYSPSPSSVGSTFVLLSGPCAIHHYFASLLCPCALMRHLPHLPRDMLQSPSPLAEIAAAAALHPLATATGSLVLQHTSAASFPSSCIGAAAGDDDGSGVSPRMKTNPSGEHLG >OB06G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12431998:12465684:-1 gene:OB06G24250 transcript:OB06G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MAKRGAAGEPIPLSRFGALVAQLESVVASARQKLPDALLCFDLLSELSSALDESPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGLLVDGKRMDPMACAGAAQCLGEIYRLFGRKITAGLFETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGVNDKSFIVRVAAARCMKAIANIGGPGLGMAEFDTSMSCCVKGLEDHVSSVRDAFAEALGSLLALAVNPDVKKGVKKQNASGKKFDDSLQKHLILPFVRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAVQATEILQGNASPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTALMRVATLRILSYLLRSLGEVPSDFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGQIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLSNPMVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDTSLGPWIPGRDSFEDELRAFDGGIDGFLPCVWDGEIGNFPQPESVSKMLVNQMLLCYGSIYACQDNTVKIRLLNSLDQCLKSGKKQSWFMSVVTNACVALLSGLKEFLTIRGAQSLPTDILSMVQSIFKGILLESEISTSQRRAACEGLGLLARIGTDAFTARMARSFLGELITPIDLNYTASVTLSLGCIHRAAGGMALSTLVTPTVNSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPGSTFFSRCKSVIAEISSSSETATLIESVRFAQQLVLFAPQAVPVHTHVQSLIPTLYSRQPSLRHLAASTLRHLIERDPAAMINQNIEENLFSMLDEETDSEIATLVRSTIVRLLYTSCPLRPSRWLAVLRNMIVVNSVTSKVLATSVARSTSEAPSSSGHDPVDSNTENDIYYGEDEDTMISSSKQEKTNWSTNKFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVAKGTYLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISNASSPLLLEAGLELATKVMTSSIIGGDKVALNRLFLLICRPLNDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYISIIFGLHSRINHKPFLDGIQSFLVSSKVKEYLDEVWALVLQATVLDAAPLEFEMNGSEDMLGETFISGHDMVKLDLNEFKFLWGLSVLVLCHAQLSMMNSAVKINLDHNNEKKIGGLVCHGSDNPRPCDQILHVLSSLTAQVFFSMNFLTVNTCQELLQALTYADSSSAPVVCLFSQIIRLCPDEFFEVEEFVFVALELYSRYLAIILQSSFEVLLRCIESNGLFYVAAWVETDDTTERAEPGDECANREVALGALVSLVAFFCTECGNRISMLDNKISDSYKLLAKILYFCLGEAIALAKLVDEIGYQGENCTSNELMSGCFRHCTQVVQASLCSTSVQIRGHSLTTCFLQGCSSKESVSVIDECLKLLFLFHTLAQSKKSPQEATILLLDALLMVFYSSSGTGSQELAEVNIISKKLFSHFIQIPSAAVHIKDIMLSAPPTKRQQLQDMIRASVTQGQTIVPANISIHSEQSAQGGFSQQPVLNATIAIEEKNEKEASDDDWDDDWDTFQSLPAHGTNDGADSATAVSSVTEQASVVSPHDEQIPQVNIIQDVSDVDVSCGTTEGLSSFDKDLEKPSTSHFSDTAQQVKSESQELSCKDHEESPKDIKARCTGSSVHIIKEETGDDESQKIHDDQFVSNESKSDDLYLPNEVASSAGEEENGASGEIQRVEGDALDENIPSMDDSKSKLNNISDATEHESDNACDKVLMANERSEMVNRDCGEKVSVSSDEVISDVCPENVDTKPEPTDAETTSGS >OB06G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12475680:12492960:1 gene:OB06G24260 transcript:OB06G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASARPRRAAAAKGWRRPARVSCFRQGQDVPTASDDGAGGFEHISPPEGSRGLDANAEEGEGSSEEGERNSEEGDWFVTAQKIKRNLQERIFRFQTQRWTVPWTGETIAQVHSLLPLSMLSVLLCLLQ >OB06G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12488826:12492960:1 gene:OB06G24270 transcript:OB06G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMLIRRSSTRRICTTTKTSQNVAAGVTKLTVPSRGGWPGDTSRSGCRGGSTTTSPTSPSRSTTRSYGRWSTTGATPPPPPRPRGRSAKEGSGRWGSGRWSSSDESGSGGRGGRAWREVPSDLVEDEVVLYLQKGGEGSPSRKPGPKEVVVAVETPQDMQHQDPVDHWAVEVEVMKGIRHALHPLAVLTNGEVPLHEGAELRVQLKGASLGVAEELTLESQPGLACGAVGSPDDVLEIEGDGRKDPRHDDAVEDVIIEGIAAEGEEDLVSPATVGGGRGVEDDGDRGLDVLDADHLEVELSDHRITRVEASRTIGLGRARGGDGVGDVDDRRRLGGEKQEVLCLGNTVSQGIGLGALALLGEGGRLDLLLGRQGLGLCREESRRKGGIRGSSPVMILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFNLKGRWYLDVALGCLLFPLVNFLSHININLVPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >OB06G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12498739:12500572:1 gene:OB06G24280 transcript:OB06G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAQAPAAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >OB06G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12502613:12503236:-1 gene:OB06G24290 transcript:OB06G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVLARRKKKLLIEDVLTWRKWRWPSRSSSAARTAWRLRAPSMAATETASCSGPHFPPPPSSSCCFLLHSLTAREEQRQGEAPASAAPPRRAQAVREAMAWLSLSWRSQMRSCSLPASASAADDDDGNHVLISCQGGNGLVGDGEREKPHLRTIGGEALFFSSPLLPIITDTNSIYNPTTIRRIELNKALFSSQKFFFKNIISNF >OB06G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12502836:12505073:1 gene:OB06G24300 transcript:OB06G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWLPSSSSAAEAEAGRLQDRIWDLHDKLSHAIASLTACARRGGAAEAGASPCRCSSRAVKEWRRKQQEEEGGGGKCGPEQEAVSVAAMEGARSLHAVRAALEDLEGHLHFLHNVQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDELDKSRFVSPEDVCGKHTHGQSVENEYPNERGSNLLVRVLSCTLAIAKNSLRLERIGGPLGNTTIFVVGMLAFLQLHQVVFSKQAVQCRQDNSFHSHMSIKNTREKHLEVLLSRG >OB06G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12508397:12510682:1 gene:OB06G24310 transcript:OB06G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESISSSPAPLTAATYAALVAACSRLRSLPQGRRVHRHLVASSSSSSSSPGAQLARNTVLNNHIITMYGRCAAPDSARQVFDEMPAKNPVSWAAVIAALVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTQLGDVAAGRQVHAHVLKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIRDKDLISWGSIIAGFAQQGFEMEALKVFREMIVEGLHHPNEFHFGSVFRACGAAGSWEYGEQIHCLSIKYRLDRDLYAGCSLSDMYARCKKLKSARVAFYRIEAPDLVSWNSIINAYSVEGLLSEALVLFSEMRDSGLRPDGISVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYSRCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNQMEEVLKLFGLLNKREPNLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDTMLSNSLVDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVSFIGVLTACSRVGFVHEGCYYYSIMEPEYGIVPTREHCSCIIDLLARAGRLTEAAKFIDQMPFDPDIIMWKTLLAASKTHNDMDMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRSSGVKKSPGKSWVKLKGEVKVFIVEDRSHPESEEIYTMLELVGMEMIKAGYVPKYSCKHSIVDHIDSDLFNDEMLAEYS >OB06G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12511639:12515917:-1 gene:OB06G24320 transcript:OB06G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHMAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMALYSYFSVKESKKKATNDALPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >OB06G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12522427:12525077:-1 gene:OB06G24330 transcript:OB06G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSPPPPPRPPSFLQRLPSPLLHEFLGDAFASRPLFRFYRFPSFGSLWNLGLLMGYGPAAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARGWTQKYAMG >OB06G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12533082:12533561:-1 gene:OB06G24340 transcript:OB06G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIAVLALLALAAGGASAQLFDACSQGGYRQYCQQPSFMQPIMSSNPCREFVRQQCSPMTLPWEQSHRLQLSSCQLMRQQCCQQMRAMAQQYRCRAICTMVYAIVQELQFGNGLFGEAPQTQAQAQVALNLPAMCGVYPTYCSTPCSVGIGSHCGSC >OB06G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12552030:12552509:-1 gene:OB06G24350 transcript:OB06G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVVLALLAVAAGGASAQLFDACSQGGYGQCQQPSFMQPIMSSNPCREFVRQQCSPVMLPWEQSRRLQLSSCQLMRQQCCQQMRAMAQQYRCRDICTMVQAIVQELQFGSGLFGEAAQTQAQAQAQVALNLPVMCGVYPTYCSTPCSVATGSHCGSC >OB06G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12562848:12563674:-1 gene:OB06G24360 transcript:OB06G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINVDWLLAQVQLQYSHCSEILRQPDLSTMPPKKHQSTPTPATAAAATPPDIPPWHPLQVTVPEPSPSPSPRRCVAYMDLTREECGRLFPSGRLRSQPFRLDGRPFFLSARCNMDQRNAFHCFGLFLAMDDDDDEDDEEDDAGSPASVTVEYEFAARTSASSSGDEFVSRYKGYYTFAGGKACGYRDLLGTPWTSLMAAADDDSIFFVDGVLRLRAELSVKELELI >OB06G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12568566:12574027:-1 gene:OB06G24370 transcript:OB06G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARQRKRRREELRRGKGEGQSGKFTDLETCKVEQEECDTYEENNEEPVAMIEESPPDIGQDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESEQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDAAKEFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRALAADVLTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVEYEFAARTKPSSEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIDGVLHLRAVLTINNPR >OB06G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12584383:12584607:-1 gene:OB06G24380 transcript:OB06G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSSRVFPEIRHQLSERGKASDELRRGECSKATRKTHQLSKIKMLEPLANQPLPRTETISSYICTQPSHTPR >OB06G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12589090:12593954:-1 gene:OB06G24390 transcript:OB06G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPPPRPAPAAGVRGLKCRGGGGGAEPAMGTPRAAETPHRGQEPGEEDGSVVGVYTSAYWIVRSSKSGQQPQSSCGGGGEHLSTSLMEHDKLHGERCHARQSLSPASYTSSIGAATINLVSPTRSLDCRTRFREAGCQLKTSTELLKVLNRIWSLEEQHAADVLAMKGLKSELQHAHVKVQELMQERQRHHYEIDSLVRQVSEDKMARKSKDQEKVKAALRSLQEELEDERHLRKHSESLHRKLKKELSEMKSAFLKTVRDLEKQKKTTHLLENLCDEFALGIRNYEEEVRVLKQKHVKQYEHKFDKSVVHISEAWLDERMQMQNADPREALAERISITERLNSEIQTFLNASRSSKGDKLYIRNEKQDASLCRQSLESVHLNGATSAPQLAEDDDDNSIASDLHCFELSMHGNTIQNHDLAGTRHKVTGCMYSPMRRLEFSNGVCIEGSRISITSPCSKKEKIRPNGTREQVIASTPEISPCNDAKNAPRGVQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDHQTKTAQLRNDQCTASGNVYDLRSPVHHLNNQRSSLDHEISEASPAHPLEAKGSTTLKAKLLQARLEGQHARMRASGYTLTSTRRK >OB06G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12625057:12631778:-1 gene:OB06G24400 transcript:OB06G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPKLPAPAPRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFRKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLKDKLVNYINETSVTGMWSQNAIQATNAWLKEGLKSRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITASYTPDWEKWWKDPENVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIIPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGLVYLLACLLEPFMPSFSIEVLRQLNLSPEESLSFCDNKGESAKAERPWEFVPAGHKIGKPSPLFKELKDEEVESFRNRFAGSQAERNSKAQADAEAKKVADKLKGTKLSEGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLSGKSKTWEKLSADLHSNGELVACYKDVAFTTSAGVCKVKTIANGEIR >OB06G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12637168:12642330:1 gene:OB06G24410 transcript:OB06G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILKVFILLLFIMLHVVHAQIKNNGGMAGGFYNVTEYGAEPTNEDNKDSFMAACPPPRRSTGGGDAASWITFSGVSNLLVTGAGTLDGCGGEHQMNNNAKPKTTTTLELDGVANATVRGLTFLNSRGFHLNIHRSXXXXXXXXXXXAPAASRNTDGVHVGQSSHVTVTDSTIGTGDDCVSIGPGSSGVVVAGVACGPGHGISVGSLGREEDEGADADVRGLLVTNCTIAGTTNGLRIKTWPGSPPSRASDITFRDIAMADVSNPIIIDQHYCPHARCSHIDKPSLVQISDVTYERIAGTSSSRVAVQLLCSEDRPCSGVRFDRVSLSCGERQCDARFSNVEGKPAAALVASAAEGPAGAGEQEADDAEPNDAAQMQVFQGANRHGRANFGTSDHPIKSNKRNLEVPVPRGIKLFLIVGSNSTHPTQLDPMWKPLMKAEELKVLESWIEGLQLITDEAPAGSQIRL >OB06G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12644239:12645169:-1 gene:OB06G24420 transcript:OB06G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPDAVHRAGGGRVGEHGDGAELRVRSGPVLQQVGLLRHRQRLLRHGTGCQSGPCDVPATNSVSVASIVTPEFFAALVAQAADGCAAKGFYTRDAFLSAAGGYPSFGRTGSDDDSKRKIAAFFAHANHETITTSRRSTERARTATRRARSGRARRGKGTTGAGLQISWNFNYGPAGQSIGFDGLGDPDAVARSAVLTFQTALCVHDAIVSGQGFGTTINAINGALECDGKNPDAVNSHVAYYRQFYQQLGVDRERAWPMAGQRAAVSPVGSGSALDHE >OB06G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12646188:12647492:-1 gene:OB06G24430 transcript:OB06G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFLGNPGSLTRTNHKPEWATEWFDPAQCGVTLAVVRRKSKCQSFSQKVVPKVEVRFSPPSRMSVQEWGKVETDWTTKLESSGGRDVDDGVGGHEATEAVWPHRCEHSRVDRG >OB06G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12675205:12675588:-1 gene:OB06G24440 transcript:OB06G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEEAAVERAEVVVGGGDRASCSGRHRRVRCGGGGGVGQWGEVAPMAASCSPFAFLGALGPGRPSASLLLPEAADDPEPPVGPPKLRTPRRCRRPLTSSASRSASPRTCSTSCSNSLLVPNRESLM >OB06G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12677110:12684803:1 gene:OB06G24450 transcript:OB06G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAASSDEPRRRAPPSSPGERPSTASCQVCRRDDPPEAEEHPRRPGEPDCSYYVKFGSCKFGMSCVYNHPAPPPPSKHHPLRPGEPDCLHYLMFGRCKYGMDCKFNHPPGLTPLQQYFSGRTCQCHHNEGKSEAEHVKLNNLGLPLRPGAGLCSYYMNRGICKVGTNCKFHHPDQEPDHVKLVPSRHDNQVTFQANIYSVLDLEESNELCEDVKQPAERICYTRDQLIQLSETVDVPTDHILELIHHINVELGGEDESRVPNETNHVQIPSYKRFDKIDNRDWRSRSIQTPVVASQDKFWDNIHEGNEAYALGWKQEQSNKHDQLSTHSDSKEQVGPTSALSNVEVPWSIRRGNPTEKHGVQETVKGVLNILTPENFDHLKDQLIVAGIARADILEDIINLILQKVVAEPNFCPMYAQLFSYINKHLTAFPPDGEQITFKQALSKKFEEAFEIARTARADINKMTGPDQEMERRDKERLLKLQTLGNIRLIRDLLKQKMVTDKIAYHIVKAVMDSGKFVFEPIENIDLLYIIFEGILGSVSAGTEADIAVNAIVTSKKCSIIANDVEITDKGIDRPNEEATLRKSSNEIAENSMDFAHAVCSHLGNENNKPNNSETSVRISHLGNENDKSTNSETSVRFNPVGCGISEIMELVVRCWGSGRDQ >OB06G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12691785:12693789:1 gene:OB06G24460 transcript:OB06G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLPLMSYSGIKICIVNFFLLIFRSCSLNSILNTLTPEKFDLLKGQLLAAGITGPDVLKDVVNLMLEKAVAEPTFCPMFAQLCSFLNEHLTADADGEQITFKQELSNICEEAFGISCNIRNEIFKLTRPDQEMERRNKERLVKLQTLGSICVLRDLLKQNMVADKIVHHIVQAVMDCEKFQFDPLQSVDLLNVIFEGRMGSVPAVNQANTGVNAIIGTKKCSLAANDLTIIDKDVDMRNEEATTLGRQSSEVPDNSMDRQKCFADAEYSHLVQNENGKLTNSEASVRMGSVGFGISEIMEMVVDAGANEGSDEHFIATMLFVKPEYREIFLTLTTPRVRLGWLKRMCKVKQE >OB06G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12708617:12709623:1 gene:OB06G24470 transcript:OB06G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSMDFVVVALLLAAVASAAEGRPPEQLSPNYYEKTCPGVQDAVRAVMARRLDMAPAVLRLFFHDCFVNGCDASVLLDRTDSMESEKDADPANKSLAGDAAEEGLPSPVAGLGDLLRAFEERGLDARDLTALSGAHTVGKAHSCDNYRDRVYGDEGANIDPSFAALRRQSCEQGSGEAPFDEETPTVFDNRYFQDLLARRGLLTSDQELYTHGGPVSDLVELYATNRKAFFKDFAKAMVKMGNMRPPKRMPVEVRLSCRRVNN >OB06G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12712253:12712923:-1 gene:OB06G24480 transcript:OB06G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPASASVPPTPQPSPPTLATVVLLGAAVLVLSLTVFPVRDPATRLVSVRVVGVSPDLSPPSPQLNVTLLLTVAMHNPNRASFSYASGHADLLYRGARVGDAIVEPGRIPSRGDGTVEMEMTLLSSSFTGDVMAELIVDIEAGAVPFDASARIPGKVAVIGALKLRALAYSDCHVVFGVPEMGIRSQECHDHAKL >OB06G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12722355:12724242:-1 gene:OB06G24490 transcript:OB06G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MEK5] MAFSLSMSFLVGSLALLLLASTGEGKLVLLPAKFSPNYYKKTCPDVQNVVRTVMARRVDMAPAILRLFFHDCFVNGCDASVLLDRTDSMESEKDAEPANKSLAGFDVVDEIKAALEHECPATVSCADILALASRDAVALLGGPSWGRGLDARDLNALSGAHTVGKAHSCDNYRDRVYGDEGANIDPSFAALRRHSCEQGSGEAPFDEKTPTVFDNRYFQDLLARRGLLTSDQELHTDGGPVSDLVELYATNRKAFFADFAEAMVKMGDMRPPMLMPVEVRLNCRMVNY >OB06G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12734092:12734718:1 gene:OB06G24500 transcript:OB06G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRAVVVASVAALLLAVVAEGYHGEFTENYYDTTCPDAQNIVRTVMERSVAANPRMAPAILRLFFHDCFVNGCDASVLLNSTDSMPSEKDDLPNASLAGFDVVDKIKEELEKKCPATVSCADVLALASRDAVAMLGGPNWSVFLGRKDSLYVAKNATEELPDPKGDLEQL >OB06G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12738409:12738981:1 gene:OB06G24510 transcript:OB06G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGPNWSVFLGRKDSLYVAKNATEELPDPKGDLEQLRDMFGKHGLDERDLTALSGAHSVGKAHSCDNFRERIDGYGYDDIDPSYAAQLRRSCEPNCDEAAGVPFDQRTPMKFDTLYYQDLLAKRGLLATDQALYAQGSFAGELVLTYSRNQEAFFADFVTAMVKMGNIRPEQWTPAEVRFKCSVANGHF >OB06G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12742275:12742598:1 gene:OB06G24520 transcript:OB06G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALHVPASSFTVHPSPPDHFVFFLSSSGDRDLALARSPIPAASRQLILRPWTRLALATAFPLPFRVSLDLEGIPVHAWNPSTAAALIAPCRLISLDSGAGRPEDY >OB06G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12745767:12748049:1 gene:OB06G24530 transcript:OB06G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSASAALLPPGSEPPPRGGSSDLGAGAAPGRGGTLPRRRDPLRPRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGADAVGPSPPAVASVAAAFGVPVLGAPSSPPAVGTPPVSPAPPESPAPSPPCEAPQAPFHAPPSVAPADGAPSAPPAIASLPSVGWSAAVGQPAAGQATSSGGAGFSPPPAAGQVASAAVAPADDVQGALVSPCSSSGGRVLDPLGKSCALRSGGSVRAPSPLSSSPAAWLLPVSASPLRRHCPMLAVSAGASSTVRLPDTSAGLFFDELDALATGDGEAAGAQEDGPTAEPSPTLAGGALIDVPVLAVVLDGRLSSCSLPSVAQCAALRVFLASCCRPLPPALLPAPPPPPLTLPAEAAKVVPKRSKRIAAKLALAGPGDAITRAQRVLVRKLGLAPEAGPIPPSALSDLDALFTKPLRTAPSRSPSSSPVPRLSSRRPSSLLSASDLPSCFTGGDPSPLDLATRTFWCGMCVG >OB06G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12760903:12762100:-1 gene:OB06G24540 transcript:OB06G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MEL0] MAFSPRAVVVASIAALLVAVVAEGYHGEFTASYYDKTCPDAQNIVRTVMERSVAANPRMAPAILRLFFHDCFVNGCDASVLLNSTDSMPSEKDELPNASLAGFDVVDKIKEELEKKCPATVSCADVLALASRDAVAMLGGPNWSVLLGRKDSLYVAKNATEELPDPKGDLEQLRDMFGKHGLDERDLTALSGAQSVGKAPSYNFRERIDGYGYDDIDPSYAAQLRRSCEPNCDEAAGVPFDQRTPMKFDTLYYQDLLAKRGLLATDQALYAQGSFAGELVLTYSRNQEAFFADFVTAMVKMGNILPEPWTPAEVRLKCSVANGRY >OB06G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12767319:12768431:-1 gene:OB06G24550 transcript:OB06G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MEL1] MESSPRAVGVAASASLALLVAALAAVAGAEGGYPFGFTEGYYDGTCPSVQSVVRSVMERHAAANPRTAPAILRLFFHDCFVNGCDASVLLDATGSMESEKDAEPNASLFGFNVIDDIKSELEKSCPATVSCADVLALAARDAVAMLGGPSWSVPLGRKDSLTATSTDDTKKSLPSTTDSLSELVRMFGENGLDERDLTALSGAHTVGMTHNCKHYEERIYDQRGQGGDSIDPSFAALRRQECAEKNNEPTVPFDERTPAKFDNAYFVNLLARRGLLTSDQVLYSQGCEAGDLVKTYAMNGEVFFADFARAMVKMGNIRPKQWWAPAEVRLKCSVANGHY >OB06G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12769028:12769255:-1 gene:OB06G24560 transcript:OB06G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDRFVDACCKLMYARWDHLPSATNLFTLLGNSGTFSPLFLFRRFALPFLLSCLSRWRPRLVSTSVQLAVQTQD >OB06G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12769607:12769777:-1 gene:OB06G24570 transcript:OB06G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEYSSHGFLRAGLNNQLDHTILGQQELERRAQQLNCKFQIKPVGIVSFFTRNAS >OB06G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12770997:12771167:-1 gene:OB06G24580 transcript:OB06G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNLKRCTVTAKPFNFQFSLCLYFLWPCFSFFFFFLLFLFSCFSSLFCICYFPI >OB06G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12778848:12779150:-1 gene:OB06G24590 transcript:OB06G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTCHRHLSHCRCHHRNPVQDAQAKWEEQARSCRPSSRTSRQSSPTSASLRSQAVPPTSSPRSRWCAPRSHVS >OB06G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12780998:12782973:-1 gene:OB06G24600 transcript:OB06G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPHPYSPADLRLPGFVPLQLSQMEILLPYLIISIVIIVAVWLISGMYGGRLSKTDRWLMCWFVYTGLTHIITEGAFVFSPRFFSNQNPSFFDELWKEFGKGDSRCVARDPAAVTVSGINVLLAGPASLLAVYAIASQKAYSHTIQFTVSLCELYGMLVYYATAYLDGFNFWVSPFYFWAYFVGANSSWVVMPTLVTLRSFKKIYAAFQVEKMKTT >OB06G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12787981:12789791:-1 gene:OB06G24610 transcript:OB06G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGVIHVDVSPPLASISTKTLVCGQSHCGLSTVDRPTDNGGGWLEPTGRDGAIDRNKVDNSPGGKKAGDESRRVEVDGGRQTMGADEGRRQQPCSSTAPFSSTTSPSVAQGGSNTVSNRKSRLLGGIELICTGKINNLRRGVLVAKAQHNNV >OB06G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12800930:12802819:1 gene:OB06G24620 transcript:OB06G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQLGFSEFTGKSFNPRNAEKWTIVRPTDTQPIACPTQLDVLAQDSTQNLMSRGIKRKWVDLSLGLGNSSSSSESSKQSMETCCTMSSAKDRDDGSSFDINFQFNLYNEDTSKLDRPDCNGNRVLEKAVDLELSLTVGPCESAVTNVDFSTATNHQSVFLQSCNMSSVPTVDEGSTSARWKSGGKLLPYLRQSGNNIGLSSSKELLGSSNQSQDLILLPSMMQLPQSPVTSTSGVVSFQQRCNNIKICSQPGCAKGARGSSGRCIAHGGGRRCQREGCRKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGRRCSREGCRKAARGKSGLCIKHGGGKRCQKQNCTKSAEGQSGFCIAHGGGRRCKHEGCTKGAQGSTNFCKAHGGGKRCTYLNCTKGAEGSTALCKAHGGGKRCSADGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCSKSAQGSTDFCKAHGGGKRCLWGQPGSGIGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGQTVGAMSLPGSTDAGAGGVGHGTLPGNSFKFGETCAANAGQSLHHVQAPGPEGRVHGGNIVAMLANGKDYQKQFNFNAEASTSNRNWL >OB06G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12808053:12812434:-1 gene:OB06G24630 transcript:OB06G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVDNYWELPLQVVHQSKYNGGFRAKRKVSLFMPLYFEYSLTIYITNSKECYIVFCRTTSAQTSSQSSGLKIHGQAINKTTHRKKMIKEILAINPHKKKRSICQQASWPQLAQLRRNLVSLFAQCHSHYRPPLTMQRTRSAPPSALAKRLFKGSAAKVRDDRFNTANNLATRDQLRFSASECYSSVSLLTSHNTTPALQMHPANLQRLFISIILFPPHFPHLRNKFARLILTIPHPLEKCDAKATISTCPPVDFSATHFPIHAPTTGSFTKKNKVGSHNNAGTSSKDHIRYYLTDKILRIHHSDKLYLFTMNATTVRHINMEKLSSAGYKPPYSTSNTRKRENNECNRVSRIG >OB06G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12819791:12820081:-1 gene:OB06G24640 transcript:OB06G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAVVASASAAKMACCGGGGGKMAGEMVISGGGAGAGFQMPLHYPRYRKADYEAMPEWRVDCLLREYGLPVAGDVEDKRRFAMGAFLWPDQY >OB06G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12823673:12828280:-1 gene:OB06G24650 transcript:OB06G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G41760) TAIR;Acc:AT5G41760] MQWYLVAALLTVLTSSQGILTTLSQSNGKYKYDYATIPFLAELFKLSVSSFFLWKESQSSSPPRMTKEWRSIRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFNVRATLQLFLGIVICIISLQMYFMPVNMLVELPQTLPVTSK >OB06G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12848248:12853699:1 gene:OB06G24660 transcript:OB06G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGPPPRRRRRRRWGCLGERGRKQPGGNRMEGDERAAAAAAAGIRLVRCPRCDKFLPELPAYSVYVCGGCGAALQAKKKYSGQASDNSDNGNVKYLEVLESVPEAPEAMRGASTDDRLVPNRISSLHSRSVYNHEDNRIPRGPSTSGGEATIRKDGREAKYMRILNGDNADMMKSARGRGISDIYPRSPIDAIPPASYQGEDFVDYQLQSKYKYSNREHANDRELDGPSRVRGLEKDRAEILMMLDELRDQVQQSCEVTDAPSRSATTNRPADSSSSHGTHDRPSKLRHDQSVLHWNGSHHSPSSNMPSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYPAGAAYSCRNFDNFFFGHHDHDPLLSCHHEGLYHQPICSCLNCYHREFLPVQGTPLGFSDQRAPYLMNSYGAYPVEGPLFGQQRYTSRGTNTSLQRNHLRNNVSKKPAQICEPIAGGAPFTICYNCYEVLRIPMKHSSSGKEYKLRCGSCSHVIVVKLDGSRLNVSEPMPGTHLSAEPQNVIGDSMRNTWQANADERLLPQYCFSIGSRGSQEKDLESNSSESDSKHTPIGTDSENTPQSRDLHSEANVVSRVPSLPHHDHCGLSPSEDSVVGSRSTHSEHEKAILLTENCKRNSIKDVCVANETQSPVKEFDDTINFPQNFGHTRSTKPGDSFLTNLIKRSFKMNHGTRNGRARVFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGQPCLGMIPPYIPEFNYPMPKNCGGGNTGIFINGRELHQKDLDLLVSRGLSDSPGKSYIVENSGKVSDEVSGEELYGLGKLAPTVEKMRRGFGMRVPRIIQ >OB06G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12859822:12863368:1 gene:OB06G24670 transcript:OB06G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMGDQTPASQRKAAAAAGGGGLAALKLLLCVLLVGLALRLLDGPSAYLLSDTATATAAPEGEVASDGARVHASTVVSNGTMLITACFWEDEMTNDSRWSCDLSHGEWVPDSLGPSYTNGSCRFIEMPQNCMTNGRPDSGYLYWRWKPYGCDVPRFEGEKFLEAMRGKHWALIGDSILRNHVQSLLCLLAKVEEPTQVYHDRTFKSRRWHFASHNITVSLIWAPFLVEAEIFEDDDGRSTSELQLHLDILDSNWTRQWNSFDYVVISTGQWFPKTAVYWEDGVLTGCHYCKNKNIAELTFEYAFRKSLRKAFQFITSSPHKPVVFYRTWSPSHFENGEWSSGGTCKRTVPFKPGEAGDRESDKKMWRIEREEFAKAAAHDRSNNAGRLKLLDTFELSLLRPDGHSGPYRTYHPFDKGTTSKVQNDCLHWCLPGPIDAWNDIIMEMLEMN >OB06G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12866583:12867023:-1 gene:OB06G24680 transcript:OB06G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSPFRLFSLLSSPALRLISSPLSRRRRRPPPEETAGFRYLRPWHAPRPEPQTSDNHPLKRNGEPGEAHPAAATPTRAGGXXXXXATLREQWRFAVRQYSKWYSHAWGTAILAGAAFFGIGWLVKGSNPLPSRAEPHAPSAKEEG >OB06G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12868954:12869562:-1 gene:OB06G24690 transcript:OB06G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMARDDDDVEAAADGGRHRREHWAVAEDGGGAGALVWDCGSALYDSYELTSFRRQLDAAVLSCGGGRSLSMPHHLPSSAQTQQQQLPAGRRRRRRRRIPEMLRRLFGKVLLRLRFPASRAARGGWYDDGAHGDGRPGSPWSGALTSIPEESASPENGALPSTSPLVDAGGEPSAPRLRKAQSERFVGSKTASSMVQFDVVL >OB06G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12895048:12898670:1 gene:OB06G24700 transcript:OB06G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLHSLEAKKKRKVYTEQVLSPRPSPAASCCSPRPPLSPRLPPQMLKSTPPLRRRPRLLRSRQAPTTSPAMTPTHEPPAAASAYGQLPSLDAIANELSAYGNRQALAPAAAGLLPDVKVEFAGANLVLKTVSQRSPGQAVKIIAALEGRSLEILHAKISTVDDTAVNSFTVKIGIECQLSAEELVQEIQQTFT >OB06G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12908557:12910941:-1 gene:OB06G24710 transcript:OB06G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAARGASAGKFSVTSLPRAQWRLGGGRGGVRGGGGENGGRGPRHEPRSNQCTSFVAKHIRAPLQTVWSVLRRFDQPQLFKPFVKKCVMRGSIEVGSVREVTVQSGLPATRSIERLDLLDDNEHILHVRFIGGDHMLKVIGGQPGALVIETFVVDIPQGNTKDDICYFIENLLKCNLRTLADVSEECHAS >OB06G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12916325:12923474:-1 gene:OB06G24720 transcript:OB06G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGIDDDDEDEDEVGFASPRGRRERGGRSSEFSHRGRRGNDRGGRSSEFSHGGRRGNDFDDDAGFRSPRGQRGRGGRLANAPRRGYDFDNESGFRSPKEQRGRGRGYSDLDDDEGGFGSLRVRQGRGGRMSGMSRRGGRGSDLDDSEDDENDSMEFGASSRRHDRGRRGKDVESFGSRRGGRGDSADFGDRWPKGGKMFDFGSSEDDGELEEVDEDDGPSGFEDDLSDNGSGEKDLVKSPAKTFAPFESIKGESVDQEGVGVVRTKESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVVSKLSPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLTGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDIEFVNTVQEGSEETHSQVKQTHIVSPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTGLVAELLSELKLNVREIHSRKPQSYRTRIAKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKYIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPGLRSK >OB06G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12936115:12936664:-1 gene:OB06G24730 transcript:OB06G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSIMSTTIDGQTVGLFGVFDGHGGSRAAEYLKKHLFENLVKHPKFLKDTKLAISNVLPHHFGCTAVSTARESNRNNEEHRRKQRTAIAEGAAWYYEFKVARDTRSPDPTGSALRRLRRRPVPSRVLLSDRTAIYLRHHTCIASWRSSGYN >OB06G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12938378:12940708:-1 gene:OB06G24740 transcript:OB06G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSIKMTTINEQKVGLFGVFDGHGGPLAAEYLKDHLFENLVKHPKFLQDTKLAISQTFLKSDADFLESVSSNPFRDDGSTAVTAILVGNHLYVANVGDSRAVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVLDDTWRVNGLLAMSRAFGNRALKHCVKAEPEIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKVQDGPKAAAMKLTEVAHSRLTLDNITCIVLQFHHGKSCHQIS >OB06G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12943270:12952441:1 gene:OB06G24750 transcript:OB06G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGREDKYVRFEDWKSEQSVMSPRRQNVMSSLKERAAGVFACLGNLVHSQTLKRSVLHERKSMTSTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSPTTSGRGVLVEDRYAIAKRYLSTYFLIDIFAVLPLPQVVILVVLPNLRGSEVAKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAFLNLLIYMLASHVLGALWYLLSIERKDACWRDKCSNNTANCNSTYLYCGIKENTFLGNVCLPINSNGIDPYFGIYVPALNNVSQSSSFFAKLFYCIWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMTYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIILEEDPVNEMLFIMRGSLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTISEVEAFALRAEDLKFVATQFRRLHSKELQHTFRFYSQHWRTWAACFIQAAWHRFCRKKIEDSLREKEKRLQFTIVNEGTSSLSLRAAIYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFSAEEQ >OB06G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12974233:12978347:1 gene:OB06G24760 transcript:OB06G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAAQTRGSGDDASNKPLPPCCVKAKTAAAESEAKCHATVVSGWFTEPRSRFGKTSKVQYFNNPMWPGEAHSLKVENILFQGKSPYQEVLVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVETIDICEIDQLVIDVCKDFFPQLSIGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICHETLKGAVHYAWASVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREMRFYNSEVHRAAFVLPTFARRELESHITCTEKDKSETKQVAKPMKMKIVPNSAIPAAS >OB06G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12982215:12989128:1 gene:OB06G24770 transcript:OB06G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related [Source:Projected from Arabidopsis thaliana (AT4G23860) TAIR;Acc:AT4G23860] MAAEGSAGAFEDDAEPTITIGEYIEGIEAEELEADLVLGGDDGKECTYGGGYLKRQAVFSCLTCVPAGVGGVCTACSLACHDGHEVVELWTKRKFRCDCGNSKFGSHVCKLCPEKDPENPANSYNHNFKGSYCTCGRPYPDPEAEKQDEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICPKCSPQCYFLKLYPDTIWASNKHNSAPKAGTTDSAAMKGGSIHGDTEKSENGVLINHLNGEKTSDNDNCPTDSAAPEKACLDGSSDGICKLGMNISTNTPSADSEKNVPFFMSKGWREVMCRCENCTNLYAQQGVAYLTDKEDSIEEYEKVAKQKREKKLEQQEGAEENFLNSLDHVQKIEILSGINDMKNEFQSFLESFDSSKPVTSEDIRAVFENLAKKKKQRLS >OB06G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12988689:12989378:-1 gene:OB06G24780 transcript:OB06G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGSWGIAIAGKSCYEQIRGAPKSDPMASSPGPYLGVLPVFRCSNVTMLKQGQSHESVWPSYLQIHAKNASTQQQSFKKMQPFQVSSLAVDTSIKGTECPNWNFIWRNSAPLKVQFFAWLLGEREIPTKQEDGETLPSGDLSKRKIARNAAYDSKCGTKDYKVFG >OB06G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:12997488:13000284:1 gene:OB06G24790 transcript:OB06G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDAAPGPDGFNVAFFRVGWNWLKNDLFRVIQNFYASATLPPGMNETNIVLIPKNKNPLHPPDFRPISLCNVSYKIIAKSLANQIKDKLPHLIRPNQQAFIKGRSPVTNIMIAQEVLHSFSLASFKTSAFLLKLDLSKAFDRLEWNFIAYAMKKKGFDHRFISLVSECISTTSFSVVVDGSGHPIMLAATTKSAAYSFLVDKFRAKFSFIRANKLSHAGRLTLIKSVFASIPIYYMSHILFTKKLVNKLIRKFRWKGSLHDNISTCICLRSWKDICRPIQEGGLGIRELMIFNKSLLTQTAWKILAKPEDLIAQVLKQKYHPNVSFWNCTSNGPKSAFWSSALNIRPFLHSSCTWQIAAGDTSIWNQPWCIYNYIRSDAMSLYLSSKISELWTEQKT >OB06G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13001295:13001489:1 gene:OB06G24800 transcript:OB06G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKGDRINARWILRELNSVANDLAYKGRLAWKHISSVPSFLCHNQTHTLHVSQCPCARDLPQSF >OB06G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13010161:13010322:1 gene:OB06G24810 transcript:OB06G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAPLVFSASVNSCDMATKLQDQMKAAVKLNQASSFLDLVVCGLISYQSVLN >OB06G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13023521:13024477:-1 gene:OB06G24820 transcript:OB06G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAWEVIDQIVNEVEQAITKIQMMNTDSMGSADHSSILAELKAKLNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDLFVSECGESDGAHLKLQFQEMYSILEAMQVRNLQPALSWAAKNHHQLLQNGSTLELNLHQLQFVEILTKGGSRDEALKYARTHLVPFACTFKAEIQKLMACLLWADKLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAISAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDY >OB06G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13028164:13030737:1 gene:OB06G24830 transcript:OB06G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPLIKFPRRNPKLPSPSPSSPGAKSEAPSSSGEIKNYRFRSDVPSPPSYTAVGGSASLLPKRKPLSEEEIEAIMLRLQRKCK >OB06G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13034349:13038105:1 gene:OB06G24840 transcript:OB06G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G12685) TAIR;Acc:AT3G12685] MAQSSSAFPFSSTSALLSSFPSASSSCSPPPSRRRAVAAASSLHLAPEDVAELVRNKVLIAATAASAVGQLSKPFTSGEGWRGLDLRAAVRSGGMPSTHSAAVVAVATSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGNHARVLNKILTLQEKMTQNSEDDCLSSSTSKLHSSKPESVAELVPVPEKMGSLQGSIANPYPRHSSGTKSSRLNALQSSETELSELAELKDAYTEECNRLSESVGHTVLQVGAGALLGFFVTLVVYATL >OB06G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13041414:13043151:-1 gene:OB06G24850 transcript:OB06G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEESKELDMLGPGGIKELGDQMMMHPSGGGTLSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFSSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLSNACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQHHTTNLLDAQEDGWVVCRVFMKKSYHRGLSPPEMAAVDDDEILHHSFPAPLPAAADQKHSHAGGHQQQLMPQHHQHYDGFPTFDPSMQLPQLMSAEQPAPEQAAAPTSFLPGVPPAAMSPLDVECSQNLMKLTSAGTGTNGAGLLHAGDHRFNAAAAAATDWSILDKLLASHQNLDQLFQGRIIAGAATSSPAAARAPSHQHQQHLMDQLGGAAAGSSLQRLPLQYLGCEAADLLRFSK >OB06G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13048262:13049765:1 gene:OB06G24860 transcript:OB06G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGKELLWCFHRAEGPSTSGPYQSVRIFSKSTRLVAIKVTGKMLARVKRIRFIDSQNECEVVLPDTGDQSSSKVPGDNGQKILNGDGPRRRLVLQKLPIIAAIAFEACCMLSPTHHVKLEQIGLDAATSMSLLSLEKLDLIRWLMDRIDPDTMVMSIDEERKIQITPCTVHLVMGTPLGGKEIAIPHRKGIRDVYNSIT >OB06G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13061624:13061947:1 gene:OB06G24870 transcript:OB06G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHGAASRRQQHDGGGVKVKFIETQFISSDAASFKSVQQEAARLEDLHELCDFGDLLYAGGARRVDGAGYGFPY >OB06G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13063899:13065621:-1 gene:OB06G24880 transcript:OB06G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDFPGPRWKKGKESKDFASLAAANPMSTIVSELKASFISSKPVAILSGPDGSSILGVEPEQAMIINRAAFGHAIENTEAQKSWFQLSPEEVFYLYHSLNCIRVESQDKMQMSEVELWDHFRSGSESFPEMYKAYSHLRSKNWVVRSGLQYGADFVAYRHHPALVHSEFTVVVLPEGAEFGSRCGRLQVWSDLLCALRASGSVAKTLLVLTISSSSSCELSSPECLEQLLVHERTITRWIPQQCREQRCEPSRDEANRKVLISKKDEASMEELISKKGSVVFNHWAVILGFTVLSSLVAYKLKFRQ >OB06G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13066978:13069358:-1 gene:OB06G24890 transcript:OB06G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:UniProtKB/TrEMBL;Acc:J3MEP5] METNAQVVHDCDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRVIRVMPNTPSAVGQAASVMCLGDMATDNDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNQTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAFVAATKRCRELSQS >OB06G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13078327:13078588:1 gene:OB06G24900 transcript:OB06G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFMKLEELFILHDMIKGKSNTHVPNAPEGIHKEIVHTKIDNEGHLHIILHFSTNITCLAILSGFFLGQQEIVILNSWVQELFFNL >OB06G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13091924:13092784:1 gene:OB06G24910 transcript:OB06G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRRRRVRAEVGLPRCTAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDVNPQVLAAFAGTGIELIVTVPNDLVQPLAASPGQALQWVTSSVRPYFPATRVSGIAVGNEVLTDDDETLKASLVPAMRNLHAALAQLGMDGYVHVSTANSLAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVRATSYPPSQGVFTAEVAPLMAQFLKFLAETNAPFWINAYPYFAYKDDPTRFPLLPQLSSSFVYNTFPSILFFAFSEFLKYSFCLFGCLDM >OB06G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13095148:13096808:1 gene:OB06G24920 transcript:OB06G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIHTAKDRIRCQEELWWPVSPLIHGNHPTDLPGFLTGIAVPKCKLNIKGESFWHKQNGFDYCETKSLSKTKGKMHHFKKAKGKLKLAAVAQRKSWREATLGCGRHYLGVGTECK >OB06G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13104070:13109533:-1 gene:OB06G24930 transcript:OB06G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPLASTLRLQTPSPSSSAAVPPPHLRRLQTLTRALASSASASPQAAMAPPPAKKVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGSTQVAAAWGVKLAADALLADLADAEFDLISLPGGMPGSSNLRDCKLLENMVKKHAGKGKLYAAICAAPAVALGSWGLLNGLKATCYPSFMDKLPSEVNAVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMVMRPQHGVEFSMKELNSTSWNVGEAPQILVPIANGTEEMEATMIIDILRRAKTNVVVVSLEETLEIVASRKVKMVADVLFDDALKRQYDLVLLPGGLGGAQAYAKSDKLIDLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPAMWNKFSDQSECRNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGREKALELAKTMVFM >OB06G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13109045:13109559:1 gene:OB06G24940 transcript:OB06G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSASARSARSASAASLTPQAAATCVEPGSTEATATSAPARRSTSMVMTASMGSVPFAMGTSTCTTPETRTRRRRARGLGFGGGGDEEEARRRRRRGRGFGGGEWRRVAAWPFFSLLPSRP >OB06G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13127865:13129482:1 gene:OB06G24950 transcript:OB06G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGPVALLARSAACNVLAAVFVVFAAGAAAAADVGGKNGSSAAAAARCDLFQGRWMADKSYPLYDASRCPFVPDVFDCRRNGRPDDDYLKLRWSPAACRLPRFDGAELLRRWRGKTVLFVGDSLSMNQWASLSCMLHAALPPGGAGRVSFASGEPVSSVRFLDYDVSVVLYYSRFLVDVAQRDGLGRVLMLDSMRDASAWLGADVLVFNTWHWWTYRGASQVWEYVQEGNSTYRDMDRLEAFSKGLSTWARWVDANIDTSRTRVFYQGISPSHYSSSSSSEQDNGGAAAAAAGNGGCYRQTRPLQEATDGGGGAGVVLLPEQVVVRGVVGSMSTAVSLLDITWLSQLRIDAHPSVYGGPGRDGMDCTHWCVAGLPDAWNHILYAML >OB06G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13133251:13137501:-1 gene:OB06G24960 transcript:OB06G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRRGAAAAWAAGFDGFVCFCPPSPAQEFGLPLLPPSNAKNASFAQGANFAITGATALDTKFFEKRGLGKTVWNSGSLFTQIQWLRDMKPSFCSSAQDCKDFFAKSLFVVGEFGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGIEQLIAEGAKDLIVPGVMPSGCFPVYLAMYKEPKEGYGSGSGCLKRFNTFSWVHNSMLKLALEKLRAKHPGVRIIYGDYFTPVIQFLLQPQKFGFYKQLPRACCGAPGTGPYNFNLTAKCGEPGAIACTDPKTHWSWDGIHLTEAAYGHIARGWLHGAFADQPIVQSS >OB06G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13145876:13149587:-1 gene:OB06G24970 transcript:OB06G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIAVVASSSCCRHPQHRPHQQRRPPPVAPGRLQLAVAVVAALCLLAAAPARCLAARSKKSYEAIFSFGDSLSDAGNLIADGVPKALTTARPPYGMTFFGRPTGRCSNGRLVVDFLAEHFGLPLPPASKAHGKDFSKGANFAITGATALEYSFFKQHGIDQRIWNTGSINTQIGWLQDMKPSLCKSESECKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGAKDMVVPGVLPIGCFPLYLTLYNTSNKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPETKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGEGNYNFNLKKKCGEDGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >OB06G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13165921:13166833:-1 gene:OB06G24980 transcript:OB06G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHHTYISTSSERSIAFVFMAAAAYSSSAMAVVVMLVFLACTLDRAWTPAGAARMVHGGEVDVNGAFKNVQTTVQSSKGVETYCNFMVIL >OB06G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13166205:13166459:1 gene:OB06G24990 transcript:OB06G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKNSSESFNKTVLPVKRTFFLIRLYYTLTMHQHHSHTARSITYAVNAAHARLDRCLRGSRRRRAAVVYRVGPAGHHPVSGDAI >OB06G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13208544:13208774:-1 gene:OB06G25000 transcript:OB06G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLCFCIWVVLVLAVASSPLPLSGDHRAPLGGRRGLQDAIVVDGSPAGGAPXXXXXXXHDDDDGGVAPAGYAAG >OB06G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13234992:13235339:1 gene:OB06G25010 transcript:OB06G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRAACPLCRGSPGQEKVRLGLASLSPPLPQLRRCAPSPPNVSSAAASRSPSPVRSSMRSDPGAAGVVAHSQMMSPSPARSWTAESGGARLSRSPSTVAPPPPTTTMTDLHVFEL >OB06G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13238740:13238976:1 gene:OB06G25020 transcript:OB06G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAPPPELSTGGGDGGGSVGVPGTASSNFTLLYIIIAVLVSVILYMAIRYGRAVMSEWRQLQHGGGGGRHRHGEPH >OB06G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13241849:13242034:-1 gene:OB06G25030 transcript:OB06G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSWRLFCSLVINVAPATVTATAIGHLPSTAPRPAMPMPMPLPTWFHLHPPLAATRRRRR >OB06G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13245521:13245739:1 gene:OB06G25040 transcript:OB06G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAADITNPLLDLLPVVFSNFLASIVDSSLHLSMASTTSPLHRFAIGAACSLHRFVDAISALPSPACGR >OB06G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13259863:13260003:1 gene:OB06G25050 transcript:OB06G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHTRLECLIFNCLDLGGCGLQSAVSPMNSNWDYTSHVYSVNYS >OB06G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13262264:13268633:1 gene:OB06G25060 transcript:OB06G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:J3MER2] MSKKRKRPVAAPPEPVVDASNSNLDGEILQQEDNAAIRSKGKGGGGGILTDKLFSDLPISDLTARAIADMNYTHLTQIQARSIPHLMLGSDVMASAKTGSGKTLAFLIPAIELLHKLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMKYYHSQTVGYVIGGIDLRGEANQLAKGINVLVATPGRLLDHMQKNKSFKYGCLKCLIIDEADRILEENFEEHVREIFKRLPRKDRQTVLFSATQTKKVEDFAKLTFGEKEERQRKLVYVGVDDHESKATVKGLKQGYCVIPSERRFLVLYSFLKKTLARKKKVMVFFSSCNSVKFHAQLLNLIQICYDIHGQLKQHQRTSTFFKFHKAQHGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGDNGKGSAILFLLPKELQMLIHLKAANISLSEYAFREELVPKLQTYLENIVCGNYILNQSAKEAYRSYLLSYKSHCMKDIFDIHRLDLTGASKSEPGPGEQCIETSKEEEIKRW >OB06G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13270833:13271072:1 gene:OB06G25070 transcript:OB06G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVRCYLITINRVKTWVCDRLLLHIPTKIGRINVYNSRVARLAYGSSKSKNKNMYRFFCCRSAICSTGRTREATPAAPAPA >OB06G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13274064:13277084:-1 gene:OB06G25080 transcript:OB06G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAIKAKALAEKKMREKDFAGAKRMIIKAQHLSKDVDSNISQMLTVCDIHCASATKVNGEIDWYGILQVPVTADDALIKKQYRKLALLLHPDKNNFAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNASVRIGSARVPYQQRRTVPVRPNTTPVNLHNVHQPQQHKPSNPSGSQTFWTICPTCGMRYQYYLSILKKALRCQNCLKPFVALDLNEQTVPSGANHRSAGVWKNSGAPQNFPAPQANVGQAQNSANPGVHANFGSHNAYAGGLKDKRKFTKATGNSSKASVAGLKRGRRAMVESSESSASETSTDSEEEIIEDGTATNNVGPGENPRRSSRQKQEVKYNEESDDDDTDNRGNGDDAFVSSPSLKRLRKGGQFQGGGSNETKLNDDTTGSGHNGPTNSVNNCNNTEEVERGTACGEEIKRETMSAGGNGAEKEKIFHSINSNGLGSNSNDASDEVVCADSEFFDFNQLRHVNRFKANQIWACYDSQSCMPRYYARITKVKTAPQFMLHFVWLEFNPKNKAEMAWSYEDLPVSCGLFKPGTIDTAKETSMFSQAICYEKSKTKNCYEIYPRKGEVWALFKGWYIGWSSDADKHKRYEYEVVEVLSDLTSSTSIIVMPLVKIKGFVSLFMQSKEATPYVISQDDTLRFSHCVPHHLTSGTEKEGIPEGALELDPAALPLNLEEAFASVVPESSSVKVRGFDAEHIGSSSGKNYWKGSVGVGERRQHATSTSTGIPTRTTKAENKEQNARSEVEGTGADEEPDDFAQAEVLYPESEFFEFSEIRSLQKFQPGQIWALYSDVDKFPNYYACIKKVDLMNHELQVRWLDVCPQNEEEKRLVKEELTVACGSFRISSSHGIQTYNGTEYLSHLVQARPTGRRNEYEIIPRLAEIWAVFKNWRAGWTAQDYKKCDYELVEIFGHTESSIQVMLLRKVDGYRSVFVPDKREGAVKTIRKDEYPKFSHQIPCFRLTNERGGKLRGFLELDPLSVPEMFLFTESI >OB06G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13285958:13288089:1 gene:OB06G25090 transcript:OB06G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKSFGDKSFGDKSFVIAFLSRASIDYDTKKLQSFVTEKSFAISEKGALAGRISPGIVKSLVSLTDKPK >OB06G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13291852:13292835:1 gene:OB06G25100 transcript:OB06G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWLSPDAAADSVSAATKSFLRLSDDEDEGSGSGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRTPRPGAAATARTSAASASPGCGRGSRSVTCPAAATASTPSASASGSRRTRPAPSAGPRPRRRRSPPTTTTPSARSSSASVVCAAHSLTNKLG >OB06G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13303243:13303821:1 gene:OB06G25110 transcript:OB06G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRQDVSYDCRCYIFAAIVSLVAGTLTCTVGVIGFRQGHRGVSILVLAGVPAFLYTGGAMLAEALGSWRSRSSRGAGAEVVELQTDAAREASRXXXXXXXXXXXXXQRAVVLLRERRLRLRRGRGRAPAGAAATTGGGGVRGVPRRGGGGSGGGGGDDEAAAGVRARVPRRVHRAVAAGARDVPGLPARRG >OB06G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13305210:13306843:-1 gene:OB06G25120 transcript:OB06G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHRESRGRSSCIDDATVRRQLEAEEDRDRGEEQEHLPGSGEVQHDAEDGGAGGDDGHRPVQIIYQEGEDILCWKHTPDGNCSSKSAYKEMASFVAYLITNNMGHANLEGILILMWQIWKARNEWKFQNLQKEPTSIFFAASAMQKTYSAITSHQGNNEDFHEEDSQDDGTPCHKESDEMLR >OB06G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13318423:13318668:-1 gene:OB06G25130 transcript:OB06G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFSLPITDMPSLIRSACWCFAIRTTIANSEERSASCFFAYSMLSQASYNTLTSLCRLSTLLYQVRGILIPSYPNASS >OB06G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13318881:13319705:1 gene:OB06G25140 transcript:OB06G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCSRKRMRSSFSFSDMAAVRAVWAENFAVESALFHAVAPLAAYAAINVQYPGCVVTAPRYYDLTTAEQRYQVVRANADQLEPLQLGIAIRTSDGRTFAWEFNLNGFDLAAAANNTCNPSSIAYLRRRGIDFNALPWSGVSTASLRSLLLSSGLLLARPFWATFAGAYHVAYFAKLLLGTNLPDDLATFEETVGGLGALLGPNVYDVRVLASEHRGPLLHVARRLGVAVQEYAEAGLAGAGSLMALQAFLALTDQRGGAAMHHRGVLAGLQAI >OB06G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13320433:13320864:-1 gene:OB06G25150 transcript:OB06G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFCCCGRWRERDAVSAYGGEGALSPGDGGGGGSPFPVEALPPAYAYVGGSDVIDGLQHGGAAAAATVECAVCLGAVRDREMVRRLPACGHVYHAECVGRWLAAHRTCPLCRCEVDPGKVASGPPPPATAAEEDPVDQLPV >OB06G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13329309:13329845:1 gene:OB06G25160 transcript:OB06G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFVPSGYQXXXXRRRRHRACRRERGSVPRPSSCPSSSPPSSSPWCSPSTAAACAETASAPTTTSARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHAECIDRWLAAHRTCPLCRSELDPGKVAAGPPAPAD >OB06G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13332428:13333042:1 gene:OB06G25170 transcript:OB06G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPPSPPSSWVLVLGSTTTSACRQCRRGAARKGTAIFSYTCAGLTSVAVVAAVVVFYCSRLVRSHAPVTASVPLWVPATCRHDT >OB06G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13334937:13335560:1 gene:OB06G25180 transcript:OB06G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSRARAVARSLSLLGQSSGHRHRRRHGFSFFWLVDDDEHVAIAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRASTCTTWSASTCGWPRTRRARSAGRRSTRRRRRKATASSPRRRCHRYSLAITILGQSQHVEFFTY >OB06G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13356814:13357227:1 gene:OB06G25190 transcript:OB06G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEMSPDSLLFFCSVTASAAAGFCLFSLYRRLARRCHAATAAAGGEEERPPPITVASSIPEFMYDRLGRHSGKGASWTECAVCLGTIQAGAMVKLLPACAHIYHVDCIDLWLSSHPTCPLCRCRVDHPKQNQLSPA >OB06G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13357217:13360499:-1 gene:OB06G25200 transcript:OB06G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSTSPKNGSFTGTTTYGPAGADLSQSSSRQLNLWPLLLCICLSVTLFDAFPSDKFS >OB06G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13367105:13373122:1 gene:OB06G25210 transcript:OB06G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:J3MES7] MLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALDDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSQVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCGQILKFKPDLVITEKGLSDLAIHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >OB06G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13388311:13391250:1 gene:OB06G25220 transcript:OB06G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSADPFLPPPPPPPPPPRRRRSEARSGHAAAESQAQEAPHREAARRRGAALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHDPAQRRSFVRGVRVGVSRKHFADALCLPCKPAPTAPQPEADPAAVVAASQELLQAYVLPLFQGDDMCILPQEVVAAEQAVKDGSAHRVDWAGLIWGLVEKEMHDLPKRDDGLCYYGAYLQRLIRAHKPELFEQTEEERGEAVLEVSDMEEEEADDDADAKSKSMEELESGDADADERSNCLDKLEIGGADLGSNSLEELESGDANVRGENAEELELAVEDELNKGLDDTDAANVDANHMDLDESEATDEDAKGKSFGESEMGFVSVGAVSVTHEVMLPNDEDAAEAAAEEDGDTAMATVDKDAGSLTETLVMTHEEFVAVPEDDDEEADGDEEKDATGLSLGIGSVNDYDSTDMEEDANVENLCEGDSANEEAEESEEDAFGQYRSEEMNWTMGDEKGHGSDFVNLQFENLNKGDVEIRNEVSYDDEFSGKMGSLHGMTSTNLLQAMSSIPATYNVSENAPDLSSGEFLAMGADAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDHMPGNEQFDQRNQHKRLRNSNNSSISPGSAVFNAHFAEPFQNLMSKASMFYEQKERELQDVLVEKQYLANLLQEKEQIIQSLNSARFEQENKWQAEIRRFEHDLNVMAQLVTGYRRALKQNRASFDEYRKKFPCDKPRYCDVPGGGGLVLSVKELEKKRLEEEQQKLAMANEMIGNFQHEWFSKFDGWARSIHYLRSRTEELVGELTLLREKRKAKVTTPVMEEANDTAPATEEANVITAATEDMNAATEEVSVITPATEEVNVITSATEEREVTTAATEEANITTPAIEEAKVTTPATEEAKVTTPATEEAKVTTPATEEANVTTPATEEANVTTLATEEANITTTATEETNITTSATEEAQVTIQPTEE >OB06G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13397258:13397599:-1 gene:OB06G25230 transcript:OB06G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGSQGGGCDAVLESGGAAGQAGPVVDVDGAFLLQLLEDTPAAAATGQQQMGQEDDDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGWQRRRRAGAG >OB06G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13421640:13426796:-1 gene:OB06G25240 transcript:OB06G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVDVILHCRVVRRVASFTGEYAISGIKSTCNVYKELGKLEKTLSAISAVLKDAERKQSTSNAIKEWLDNLKDVVYDIDDVMDTVATDVLEDDEACNCLSGINKFIIHPIQWSVKIKQVREKLDEISTNGRDYRLTEETNIDRQCSRGNVRETHSFIKESDIFGRETAKKEILDFILGAEFFYHLFAVPFVGPGGIGKTALAKLVFNDSRVDEYFDMKLWVCASDVFDLKRVLHDILESGTGEIHTGLNLEVLQNKVCGLLRERRFLLVLDDMWSENISEWEELKNLLSICKTGSVVIVTTRSPKVAYMVQTLAPYLVTELPHDECMEIFNHYAFRGQEENYPELVEIGNSIVEKCGGFPLAAKTLGCMLFDNRDIREWMHIVEDNMWNLEQHSGDILPALKLSYQVLPSHLKACFSSLSIFPKSYKLNRHFLIRYWMALGLLDTTTGESKEVVAERYFYELVGRNLFQDFRIVYDGTINTCKMHDLVNNLANLVSKRDHAIISCEKDRVTRNARHIVWEHGYFSPELKFPRELRKANKARLFASIGNIGTVSKAFIKDLLSTFTLLRVLIFSEVEFEELPDSIGNLKHLRPIHTELSQVSFFTIQYEEPSNLQKLMIQNCEELDLMEPAETIGGLQKIRVLSLGGLTKLVEFPDSFRTIAHSLEYLAINSCNGIIRLPNFIQDFTILKKLVIHDCPGLIERCAFLWGEDFHIIAHVPQIDLDGIIFLNSREYERYNDIMLLANHTYFLLLCWKRWWSRYNEKCARGFGGDQDFKFWLTSIYGNMVICVVCKFMYLVVFILIRKNASATVVKPKMLIPMVNFYG >OB06G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13441500:13441991:-1 gene:OB06G25250 transcript:OB06G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEDHRSGAEVHTGHELCERKARELLAELGLPDGLLPLPSLQEVGYNRAAGFVWLRQAQSGGVTHTFDTIGKQVWYAGEVTAFVEPGRMHSLAGVKSKELLIWVTISEIVLSPSGTKIVFRTPAGLGRALPVTAFQLNPAPDADKTTGDDAAAAAAAAN >OB06G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13444857:13445108:-1 gene:OB06G25260 transcript:OB06G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGRPDPVVVATTTMGVGCDGGSDDDGGRIRLRGHDVGEPHVDQQKRGRRRRSNLATSLSRRVDLVKVVKMLITAWMVTFS >OB06G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13452377:13453854:-1 gene:OB06G25270 transcript:OB06G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYTTVMADIDEMSPRGTSRSGFLVSSAMVATMSKPVYDQYTTPAARNTPAAPKGKKDGARLPASKWENPAASTKAMMPMPTTDASELSVDVMRAPDTATAEVQINTTTAMGSSRLNPSASAGTWMRSASVSRPRSAGRG >OB06G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13452880:13453377:1 gene:OB06G25280 transcript:OB06G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASGERGRRYWRWSKPDFFPEPSFASWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXR >OB06G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13463451:13463807:-1 gene:OB06G25290 transcript:OB06G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKFRQKRKLMTNETCCSFPRNKIKTTKSSSRKLQAVSCRRRRSRRGDLAVLEPVGGSVVGVREVVGGVHAEEDVVDEHGGRADAEAHVRRGAERAHQEEVGGDRHGRQPRHERHAVH >OB06G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13463469:13463693:1 gene:OB06G25300 transcript:OB06G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLPAMSVATNLFLMGSLGTAAYMRFGICTAAMLVYYVLFGVHATYDLAHSDDAAADRLEHGKIAAPTPPPPA >OB06G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13477195:13477602:1 gene:OB06G25310 transcript:OB06G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRAARLVGALLLLMVVSSSLCVRAEGEAAWAPALSPGIAVGRVPQGSHQAAAPGVGTGRPGPGIGKNGAARWRRTAGRRGWGGGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPATADDAQFSMCGGDGSP >OB06G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13503036:13503272:1 gene:OB06G25320 transcript:OB06G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVAPDADAPREVEEEVVGEEEAAAAAAGKDSGEEVREYKSDARKLEELFKKLNPSAEEFVPLSRRR >OB06G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13503459:13510526:1 gene:OB06G25330 transcript:OB06G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPVRVSPSKTPVRPRSPRVTSH >OB06G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13515466:13518299:1 gene:OB06G25340 transcript:OB06G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSALLRRRTYPNFCAFAPLRPTRQPAASTSRAPVAPPPDHHQDAAAAAGHDPSPEEEERADVAAGALRVGIVGFGNFGQFIARGIQRRGHAVPATSRSDYFGYCARRGIRFFATADALCDAGPDVLLLCSSILSTEAVVRAVPFHKLRPDAIVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAQEGNQATKCEQFLSIFEEEGCRMVEMSCAEHDRYAAGSQFITHTIGRILAQLNLKSTPINTKGYETLLKLTENTVSDSFDLYYGLFMYNINATEQMENLEKAFQKVKEMLYGRLHNVLRKQIVERVPIP >OB06G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13520979:13521894:-1 gene:OB06G25350 transcript:OB06G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29100) TAIR;Acc:AT1G29100] MTIVEMQMNMDCDGCEGNVRKALLRLQGVDYVDVDRVRGKVTVTGSASQKKVLRAARRSGRLAVLWPSAYNTDHHQAYYAQPAGGGPHHNAKPAVAARAHQHHYSSVQHDKISGGGGGGGWMVLQARHQPKVSSYNYHVHGYFDSDLYGYSHHHHHDHADVVPAAARSYFSDENPHACTIM >OB06G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13534199:13534579:1 gene:OB06G25360 transcript:OB06G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEELSDPKTPSTRTVVSRPSSATTTRSGAPKHHHRRPAVGSEDPEHRRHRIRGSEGHYCHMHLKLLATWGERREDIALGGGGGVGVVEEAAWRGGGVGLGSCGRLMRKWPRRTGRRRGGGGGGSE >OB06G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13539568:13542487:-1 gene:OB06G25370 transcript:OB06G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEVVEIFEHDHDKIRSPENSLPPAMVLDLNEGFGEGSEEGEVGEDGDEEDDDDDDDGGSTSEVAGGGGRSSSNNSSTNHNSKDHEMNSSSSSSKADGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSVFSPMEFNMRRGEHRFHHDMFFQRAAAGAAAGAGSSPFSPRLLRENGGGFFASRNPGLPEASRLYGLFQRRQQAPMQTFDFKNCNSFRNQDWAFNQHAAARAAAAAVSDHGPAKGLIHEMIFRKDGKLTSHLFDVREAIISTRVSSATTGATVDHPLDGAGKAGTFDWVGSSSRSLSRTMSAAATMGAAAFAAGDHHLRRRGAVGGGNVTSSDPVVSSEALGSLLERAKTKANDAMRFETILAKTTTAAAAAENGRTPDLQLSLSPNAGDHTHSGGRASKKRKRIAASFLDEQEVDSDKQQLALSLSLSLRGGDNGGRGGGDGGCRGGDAGRLEEEETAGSSSSKKAALGLSTLDLTMSIKALE >OB06G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13577896:13579434:-1 gene:OB06G25380 transcript:OB06G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MEU4] MGKGTRSLPAMLTDRYELGQLLGEGKFAKVYHGTHRATGEEVAVKVMEQEKLAKLGATEHVTREITAMQRLRHPNVVRIHEVMATRTRVYVVMEYARGGELLRYFCRGGSPGPAGFGEHEARRLFQQLVSALAYCHARGVFHRDIKPDNLLLDEKWDLKVADFGLSALPDTERREGYLQTVCGTPMYIAPEVFSRRGYDGAKADVWACGVVLYVLVTGRRPFPDYNVTQLYRMINQFKFHCPASFSIDLVRLFRRLLHPNPERRISIPEIMETRWFRKGGFKEVTYYVDSNDRLRSLDSVDDEPDLYDSDDDTLFGSSSSSSPLSSTPVAGTPRGSGVGIHTSVSAPALSELGSMVDDSIIHNASSLPPRPVMPRPKSLNAFDIIASSPSFDLSGLFEERGEKMRFISGAPVPEIIAKLKEIAGMVSFTARSKDCQVSIEATRNGQKGALAISAKVFELTPELVMVQVCKKAGDTVEYSRFCDNELKTGLRDLVVDSQPVTDSGDGSSAEAE >OB06G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13591130:13594127:1 gene:OB06G25390 transcript:OB06G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAVLHRLDLRWRGKSHIPPAKNYKSSLIHHCDCPYPAAPFGVATATLSLARTLCRATICMPRPPNPMIDTATASRRHLNQVEQIVWSGGWQIGRGCGSVRQRHSQETGG >OB06G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13599797:13602143:1 gene:OB06G25400 transcript:OB06G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSSRLLLVVVVVVLSTSVADSVSSSSCDAAVVAAAFRGVRNFQAPGGARGCRPVRELRLALANLSGLAVLDLSGNALQGAIPGGFWRAPSLRSVDVSGNQLGGALRVEPSSRLESLNVSGNRFTAVAGMDGLAGLRALDVSANRIRTVPRGLTRLVRLSRLDLSRNSMQGRFPGDLPPLGGLGLLNVSYNNFSGVVDGGAVKKFGHSAFAHAGNASLLFSDNSTARRRPPPPPSHPPHRSSRKNDTGTPEKRTPTMSRRKHLSVVTVAVACGVVSVAMLLCLVGCVACGVLRCRKRRGKEEEEEKKAHWGGKGEDEVVAVAAAAAKGGSAAPTACMLDLSASVSPTVGAVRWLGKDKLLLYEYMERGDLHRWLHELPAGRPDMEDDADADAGILEAADQGKRSISDWPTRHRIALGVARGLAFLHQGWAGSSGRRPVVHGHLVPANVLLGEDLEPRISDFGGHPGDATAESDVYSFGVLVLELMTGQAGWDEASVSWARGIIRDQKGLDIVDPRVRDGAPEAEREMVACLRVGYLCTAQSPDKRPTMQQVVGLLKDIRAAPATPSSP >OB06G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13613275:13614633:-1 gene:OB06G25410 transcript:OB06G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVLFLMAVVCAAAHAAKDAKEDKKKDSDAPAAAPEGAESGPGGSLDISKLGGSGDGKTDSTKAFDEAWAKACAGTGKQTILVPKGDYVTGPLNFTGPCKGDVTIQLDGNLLGSTDLAKFKSNWIEILRVDNLAITGKGKIDGQGPSVWSKNSCAKKYDCKILPNSLVLDFVNNGLISGITLLNSKFFHLNLYKCKNVTVKDVTVTAPGDSPNTDGIHMGDSTQINIIDTTIGTGDDCISIGPGSEGINITGVTCGPGHGISVGSLGRYKDEKDVTDVTVKDCTLKKTSNGVRIKSYEDAASTLTASKFTYENIEMDDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSEKLPCSGVTMNDVKVEYKGTNNKTMAVCNNAKGTATGCLKELACF >OB06G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13618955:13622728:-1 gene:OB06G25420 transcript:OB06G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MAAARVGATNIGRLRHGKAAAWASASAARGGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNGAISRGFRGLQELKQNSVRTEESEQIMHPRLLEMATSVIMKNKSDSDSVQFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEEIGSQITDMDISKEAIPTEFIKIALPILQQNGVVHFMGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQQAGSLLVQRLRRAGAMQTEMDKQLFGSNMVDDAALAAGVGAGEPSRYLALHMRFEEDMVAYSLCEFGGGDAERKELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLAALGYDRRTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSGELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRSPTLHPNRKRYAQILGEEEGGGGNGVRWGGFQRRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >OB06G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13622396:13623136:1 gene:OB06G25430 transcript:OB06G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAQCNLSSIDDDQPDFAMILIGAEMAPYLKAPEAPGDGAVPELHLVDGRHHQRGDEQEAPHHADHAGEHPEVPRRHAATTPRRRRRRPRRRLPMAQPPDVGRPDPRRRHLRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAPPPPLAADADAHAAAFPWRSLPMLVAPTLAAAICAGAAAIVRPPSRPVPIKKPSFFHLRLSSGTRQPTQKVARNTATTPPFVFTLAVE >OB06G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13625043:13635250:1 gene:OB06G25440 transcript:OB06G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT2G42710) TAIR;Acc:AT2G42710] MKKAQLQNTARPGPAQYGCLHFTLTFGLSPLLPTKTPGLASRRRRHSHGSPPPPPLPLGPPPHAARQAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDWPAEEVPQEGPAEEGLRGEAERIEMEATRGRRSFFGMQVEEEQVPYPTLIPVEKRPQKVAIDLVDAIREIKTSADEKKRNFTETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAADEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSDESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADHYNKVQAS >OB06G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13638339:13639540:-1 gene:OB06G25450 transcript:OB06G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MEV1] MAVDQSVMCMVVVAVASTAVLFAGGAGAALSTRYYDSKCPNVQSIVRAGMAQAVAAEPRMGASILRMFFHDCFVNGCDASILLDDTANFTGEKSAGPNANSVRGYEVIDAIKTQVEASCNATVSCADILALAARDAVNLLGGPTWTVQLGRRDALTASQSAANSNRPGPGSSLATLVAMFGNKGLSPRDMTALSGAHTLGQARCVTFRGRIYSDGNIDAAFAALRQQACPQSGGDAALAPIDVQTPDAFDNAYYANLVKKQGLFHSDQELFNNGSQDALVRKYAGNAGMFAADFAKAMVRMGALLPAAGTPTEVRLNCRKVN >OB06G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13644976:13646151:-1 gene:OB06G25460 transcript:OB06G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MEV2] MGFWFGRSFAALALLVCVLLADPCQAKGLSTKFYAKTCPDVAAVVRSAMAQVVAKEPRMGASIIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGANVNSVRGYEVIDAIKTQVEAACKGVVSCADIVALASRDAVNLLGGPTWNVQLGRKDSRTASGTAANANLPGPGSSAASLVAAFAAKGLSAREMTALSGAHTVGRARCLMFRGRIYADTNINTTFAAARRLACPQSGGDGNLAPFDDQTPDAFDNAYFKNLVAQRGLLHSDQELFNGGSQDALVKKYSGNDGMFAGDFAKAMVKMGGLMPAAGTPTEVRLDCRKVN >OB06G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13659382:13660493:-1 gene:OB06G25470 transcript:OB06G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MEV3] MASAVRNEPRMGASILRLFFHDCFVNGCDGSILLDDTSTFTGEKGAGPNANSARGFEVIDAIKTQVEASCKATVSCADILALAARDGVNLLGGPTWSVPLGRKDSRTASQSAANSNLPGPGSSLATLISMFGNQGLSARDMTALSGAHTIGRSQCQFFRSRIYTESNINASFAALRQQTCPRSGGDANLAPFDVQTADAFDNAYYQNLVSQRGLLHSDQELFNGGSQDALVRQYSTNPSQFSADFVSAMVKMGNLLPSSGTATEVRLNCRKVN >OB06G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13668451:13668699:1 gene:OB06G25480 transcript:OB06G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLNPLYKPRIGRQNKLVFIVEKGIGPAFWLEDKDGTRLIDFFMHMHACTAHKTQLPDPSRTEAQNFSAHQLCGPKYRPI >OB06G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13669014:13674745:1 gene:OB06G25490 transcript:OB06G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILFKFLLVADHYVAFVLPYLWFVSMAWQHGPTIVGGNDPVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTAPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCTALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELTHAHPELVSRLLPEHARRHSGF >OB06G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13676868:13677191:1 gene:OB06G25500 transcript:OB06G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPLEMLSSRVILAKDDGGKQHGERRGGIGGEGGGHDATCHEVLVEQRLGCHCPPLFFLFHLPDLDCPSVHSSNDDLAKLKISRQSGHFQNDHGRKKYINLFFQFL >OB06G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13680725:13685406:-1 gene:OB06G25510 transcript:OB06G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3MEV7] MAGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDSGKPVVLECVREAERRIAGNMNMEYLPMGGSIKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPESQIYIPTPTWANHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMEDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQLARPMYSNPPVHGALIVSTILGDPKLKKLWLKEVKGMADRIIGMRKALKENLEKLGSPMSWDHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTN >OB06G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13688005:13689585:-1 gene:OB06G25520 transcript:OB06G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPPASRRLLVVILCALALALSCSRGIAAVSSVAGDDAAFLRCLWAAVPQRLVHTRGSPSYAAALVSSTVGVGGHISGGGFGTLMRQYGLAADNVLDAVLVDADGQLLNRTTMGEDLFWAIRGGGGESFGVVLSWKLRLIRVPETVTVFTIRRARNQSATDLITKWQEISPSLPGDIILRVVVQSHHAQFESLFLGRCSRLARLMRARFPELGMTQSDCEEITWVQSTVYFAFYSSSEPLELLLDRGTKPDRYFKAKSDYVQEPIPSHIWESTWAWLEEHDAGLLILDPYGGEMARVSPAATPFPHRKGNLYNLQYYSFWFEHGAGTLEKHLSWVKGLYNVMGPYVSKNPRTGYVNYRDIDLGRNEIEGNVTSYTKGRIWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >OB06G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13692808:13694381:-1 gene:OB06G25530 transcript:OB06G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSFAFALLASCISVLHHGGAGAAPPANQTAGFLDCLAASLPPGVVYMRASRSYQSVLESSIKTLLFDTPATPTPVAVVEATDASHVQAALRLVPVPATVTVFKVHRSRNQSASYLLTRWQQVAPSLPSDVFLRVVVQNQDAQFESLYLGARAGLVAAMAASFPELGVTASDCIEMTWIQSVLYFAFYGTGKPLAMLLDRGTGRPDRYFKAKSDYVHEPMPSHVWESTWSWLLKDGAGLLILDPYGGEMARVAPAATPFPHRQELYNIQYYGFWSESGTAAAEKHIGWIRGLYREMEPYVSKNPRGAYVNYRDLDLGVNDVAGAGAGVASYEKARVWGEAYFKANFQRLAAVKAKVDPDNFFENEQSIPPLPR >OB06G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13693279:13693717:1 gene:OB06G25540 transcript:OB06G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGLGLEVPVRPPRAPVQEHRQWLPRAVEREVEHGLDPRHLDAVARRHAELGKLAAMAATRPARAEVQGLELGVLVLHHDAEKFPPPPPRMAQK >OB06G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13710143:13712642:1 gene:OB06G25550 transcript:OB06G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRGFTFVLAVCCYAAAVALLASADASSDFLKCLAAAEELGLPSQLVVARGASPSLFTSVLASSVRNPRFLAPGTVRPVCVVTPTNASHVQAAVLCGRRHGRSVRPEVFALVDLASLRAVRVNRRAATAWVDSGATVGELSYAVAKADPGLAFAAGLCPTIGVGGHFSGGGIGMMMRKYGLSVDNVLDAVVIDANGRLLDKKAMGRDHFWAIRGGGGESFGIVLSWKVRLLPVPRTVTVFNIWKTTQGAVDAVTKWQTLAPALPDELTIRVVVQSKQALFQSVYLGTCDQLLPVMSSRFPELGMTRADCREMSWLQSTMYINSGGASSTPVEELLNRTTSLDAFTKNKSDYVKKAISKASWEKIFPWFDVTGAGLIILEPHGGRGGRVNTIADGDTPYPHRSGVLYNIQYIAFWPTTAPAAVPHWIKNVHGFMEPFVSSKPRAAYVNYRDLDIGENAVAAGGATSYESGRVWGEKYFGAANFRRLALTKGKVDAGDYFRNEQSIPPLVTTN >OB06G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13711234:13712654:-1 gene:OB06G25560 transcript:OB06G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSICGDKRRDALLVPEVVAGVDLPLGQRQPPEVGRAEVLLAPHPAALVARRAAGCHRVLADVQVAVVHVRRPRLAAHERLHEPVHILDPVRHRGRRRRRPERDVLDVVEDARPVRVRRVAVGDXVDSPTVGLQDDEPRAGDVEPREYLLP >OB06G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13713195:13713350:1 gene:OB06G25570 transcript:OB06G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERTCGECRHEACGQEGR >OB06G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13716627:13716989:-1 gene:OB06G25580 transcript:OB06G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSRAVALVLLSCAVVFISSCCGVGAAKAPKRAKLFKDNDFLSCLASGIPARLLYTRASPAYGEVLNSTIRNLRYLSSMAGKPLYIVTPTGEEQIQAAVVCGRRHNVRIRVRSGGHDY >OB06G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13727699:13729174:-1 gene:OB06G25590 transcript:OB06G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVSWTRSPASWCSRRARPASPMCSSRPFGTHCSSATPRRGRSASSRRPTRRTSRPPCGAAARSGGASPRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATSLPSELSIRVIVRGQRATFQSLYLGSCAALVPTMSSLFPELGMTSADCREMSWLQSAALIQFWNRSTPVEALLNRRTSLSTFTKAKSDYVRRAIPRDVWTNIFPWFAVNGSGQMLLEPMGGFVGGVPAAATPYPHRGGVLYNIQYIAYWTGDSPAAAANRWIGDLYAFMEPHVSSNPREAYVNFRDLDIGENAVADGVSTFESGKVWGEKYFAGNFERLAAVKAAVDPTDYFRNEQSIPPLLVQSK >OB06G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13731866:13732042:-1 gene:OB06G25600 transcript:OB06G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGTYVFHGSIIRLGVFRINWSKCQMTAIIYSVLYATITFSDFLEILLNLINILLPV >OB06G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13738337:13739809:-1 gene:OB06G25610 transcript:OB06G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLALVLAVVLCCGCAATVSAAANSSSGDDFLRCLTAGVPSQLVVTQGSSSFTSVLASSVRNPRFLAPGTKSMDKGAVDAVTKWQTLAPELPEELTIRVVVQNKQARFQSLYLGTCDQLLPVMSSKLPELAMTRADCQEMSWLQSMVYINGAGTTSTPVEVLLNRTTSLSTYTKNKSDYVKQAISKASWEKIFPWFDGAGAGLIILEPNGGRVSAIADGDTPYPHRAGVLYNIQYVAFWPTTATAAVPDWIKNVYKFMEPFVSSNPRAAYVNYRDLDIGENTVTAGGVTSYESGRLWGEKYFGAANFRRLALTKGKVDAGDYFQNEQSIPPLVTKK >OB06G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13745142:13745876:-1 gene:OB06G25620 transcript:OB06G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMRRHFPDLAMTSADCHEMRWIESIPYIHLGSNATVADILNRTSISRINTKNRSDYVRRPIPNTIWKKIFTALQKVTTFGEFQMYIDPYGGKIGSIHESATPFPHREGVLYNMQYITYWNGGANGTAALEWSGELYKFMEPYVSRSPREAYANYRDLDLGRNKVVDGVSSYDAGKVWGQRYFRHNFERLAAVKAMVDPDDYFRNEQSIPPLFK >OB06G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13747174:13748394:-1 gene:OB06G25630 transcript:OB06G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVYVHGKARTAWVDSGAQLGELYYAIAKASPKLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLAADNVIDVKVVDPEGKLQDRKSMGEDYFWAIRGGGGESFGIVVSWKLDLFPVPPTVTVFQIPKSVKDGAIDLLTKWQELAPALPEDLMIRVMAQGQRAMFEALYLGTCTTLLPLMSSRFPELGMNRTHCNEMSWVQSIAFIHLGKRATVKDILNRTSSIHAFGKYKSDYVAQPIGKSVWETIYRDWFTKPGAGVMIMDPYGATISAPDESATPFPHRKGVLYNIQYISFWSADGAAASAAPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNEVAAGANVSSYSSGKAWGERYFKGNFERLAAVKAKGDPTDYFRNEQSIPPLPA >OB06G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13747879:13748663:1 gene:OB06G25640 transcript:OB06G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAEVEGLEHGPLPLRHDADHQVLGERRRELLPLGEEVDGAVLHRLGDLEHGHRRRHREQVQLPRHHDAEALAAAAADGPEVVLAHGLPVLQLAFRVDHLHVDDVVGGEAELAQQHAEPAAAEVAADADGRAHAGRERQLGARLGDGVVELPELRAGVDPRRPGLAVDVHFPHLGEVDDGELLGALRPVRQPLVVVPAAARPDADAVAAGPDHGGPDVGGPLHRDDAQGRRRCRCVQELRVSDGGLEDGCVGRGAPSVYEPW >OB06G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13760227:13761780:-1 gene:OB06G25650 transcript:OB06G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSRSLALAFFFFFFLCSASIVSADDSFLQCLSASIPSQLLYTQSSPSYTSVLDAAVRNPKFLTNTTRPVWIITPTNASHVQAAVLCGRRNSVRLRIRSGGHDYEGLSYRSERPEVFAVLDLVNVRSVRVDAQSGTAWVDSGATLGEMYYAIGKAGGQIAFPGGLCPTVGVGGHFSGGGFGMLLRKYGLAADNVIDAVLVDAKGRLLDKNSMGSDVFWAIRGGAGESFGIVLSWKVKLVAVPPTVTVFNIPVTVNKGAIDVLTRWQAVAPALPDDLFIRVLVQGQTASFQSLYLGTCDALLPVMRSRFPELGMNRSDCKEMTWIKSVPYIYLGSSATVEDILNRTISMDTSNKATSDYVRQVIPKDVWTTIFGWLARPNAGLMILDPYGGQIGSVPESATPFPHRGGVLYNIQYMNFWSAGGSGDAQRAWISDFYAFMAPHVSSSPREAYANYRDLDLGENVVGAGGVSGYDAGKVWGEKYFRGNYQRLAMAKGQIDPDDYFRNEQSIPPLVSGK >OB06G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13766323:13768845:-1 gene:OB06G25660 transcript:OB06G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OB06G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13766702:13767625:1 gene:OB06G25670 transcript:OB06G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDAKINVFLDSETKAPCFTEVSPQKFIFLHLQSTFKKLHCFFTPNSYVACNLLITPDTE >OB06G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13776378:13777879:-1 gene:OB06G25680 transcript:OB06G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEFEEVKGLQDLGFTFSEDDVDAELASIVPGLRRRRSDEDDTKTATAAAAASAEFISQNGSILDRMHAACKSRKIRTWRAVRCCKADMKTFVWYLSRYSLGNLDYLSNRRNMSPKMHNTTILA >OB06G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13792707:13793829:-1 gene:OB06G25690 transcript:OB06G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFSFGRDSNSTARCLTPAALASMPEENCTEHPHGSSRLMRLQAAALLWRIQQVSGSSSEHWAFYSCVLQQVSKGRVTLSNLVS >OB06G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13803767:13808995:-1 gene:OB06G25700 transcript:OB06G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHLSPPLSPPPPISSPVTHARTRRGAGEIAEAGPAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDAASGPGKGEKINIKDDVSAVKKGSCCSS >OB06G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13815310:13815966:1 gene:OB06G25710 transcript:OB06G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSVWSSIHKAAMASSSSSHPEEIMSFPSESGESSSLAPMIDGGGELVVQLVPRDVSDGILGKFADTSEFDFDYDRSGLWSPLVLRPEVLLLAQTAAGRRRRRHQRRRWKRKEMLCYCFW >OB06G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13820488:13823022:1 gene:OB06G25720 transcript:OB06G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MEX8] MDHSQLFIFVCTLLITSSCSRLTNPSMNMPFAQNAGLNTVWHNNASLLHNNHTYDDFLMRVILHATTTVRSGDSSLDDFPSFACGFYCAEPATTCHTYIFSIVFVNVYISIDDGVSLYSPEVVWVANRDHPVGENATVQFTELGDLVLHDADGTLVWSTSTADKSIVSMNLTGSGNLVLLDRTNVEVWRSFDLPTDTLIIAQTLQMGQKLVARTSLTNWTEGNFYLTTLADGMYAFAGIHTPLAYYRSPTGGNVATNRSAYVALKNGSLEVFTSFRETEAPDYRIMLPVHPFGLVFARLEWDGHMRLYQWANDSWASSDIFDITDPCAYPLACGEYGICLHGQCTCPDLVVGQSGLFELVDSREVNRGCSLKSSLSCGSARKARFLAVPNVTHFNFVYNWTTNEEHCKQSCLNDCSCKASFFHHKDTSSGFCFLASDAFSMISVSAQSYSSDFISFCFIKIQDSTHKSLLSKETRIFISVAGPLSFVTSVIVAALIILRRNKAEPLEDEYSIDQLPGLPTRFSFTDLKSATGDFSRKIGAGGFGSVFEGQIDDKHVAIKRLDGIGQGKREFLAEVQTIGSINHIHLVRLIGFCAEKTHRLLVYEYMPSGSLDKWIFQNRQAAPLDWETRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEMFTAKISDFGLAKLIDHEQSSVMTRLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCGRRNLDYSQPEENRHLISMLQDKAKSDQFMDLIDPCFVDMELHMNDVLRMMNLATWCLQVDYHRRPSMPMVVKILEGTMDVETELDFDLVNIDPMVVANRAAQRNTVTLQIDSVLSGPR >OB06G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13826780:13829281:1 gene:OB06G25730 transcript:OB06G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MEX9] MQQLHALLFCAVAGVILAASGSLRAQRLDPKLPSSAANTSTSWTNSADDQIRYGDGSVARVVLLSDSAGASNDAPAFACGFLCGPACDHRSFLFGVFLVTTNSAGDVVAAAPRPVTVWSANRDRPVPDNATLQLTDAGDLVLHSADGAFVWSTNTSGLAVAGVRLADSGDLVLFDNRSFPVWQSFDHPTDVLVPGQYLRPGMRLTANASAANVSEGSLYVSAGNDGLSGFVGHDPPQLYFTAPVSNSMKLLANITFLNGSISAFGQSSSSSSPEIMISLPVARSVQFIRVESDGHMRLYGWNLSSWVFIHEVLHKYIAGDCEYPMACGSYGICSGAGRCSCPATYFRRASLRCEPINPISCQNTKNITMVKLPDVTYFNYDGSGAIVHDKVTQPDCLSGCLANCSCKAAYFKTRVNDTNGTCFLQSQLFSLQELQTAVPSLYNSEAFIKVVQIIKFAMSRPPMKKTFGTGMLIGITIGSVALILSIALLITMRKCRQREDGDHIEHLPGMPRKFSFEELKLSTWDFSKKIGEGASGIVFEGMIENESVAVKRLESVARRKEEFLSEVQTIGSIHHVNLVRMIGYCIEKSHRLLVYECMSNGSLDRWVFDEQESPFDWRTRHKIVSDVARGLCYLHEGCRQRIVHLDIKPQNILLDDQFNAKLSDFGLAKLVDRDKSRVMTRMRGTPGYLAPEWLTSTITEKADVYSFGVVVMEVMCGRRNLDHSQPEEAFCLMRLLQENARNDKLLDMIDRRMEDMQLHSEDVMRMMRLAMWCLQLDSNRRPSMSTILKVLEDASAVQENLDFNFVITSSSIFREGCLDESDLPSSSVLSGPR >OB06G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13838795:13841707:1 gene:OB06G25740 transcript:OB06G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXGAGGGLGGTRVPTWRERENNRRRERRGGGGARGASAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPPPSERPDPIGRSASPSPCSSYQPSPRGSYNPSPASSSFPSSGSSSHITLAGNNLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRMRTDWENASVQPPWASANYTSLPNSTPPSPGHQIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGVFKEAIASTSRVCTPGQSGTCSPVMGGVPAHHDVQMVDGAPDDFAFGSSSNGKNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >OB06G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13844369:13849163:-1 gene:OB06G25750 transcript:OB06G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRGIYPPIDPYDLEPPRFVEDDTAGSRGGGEARVCDPPQVMWDEEPEASPSPEPVIEEAHTFEHRANVLHLARNNAVGSVGVGNVYGGHSEMTTRKELVENMYYDCPLRDQTDIWVPPSAPPMTKHDHEEWRKGFGSNGGFFPEEDDKWDIDEENKEMTMWDVLAEMVAAGRHKLLSVVSYDFGRQGMSLISHLLLEEACKDKADIISNASVGLEHALLEAEPTDWLPDSASPSCMLCGARFHPIICTRHHCRFCGGLFCGGCSKGRSMMPPKFGTSDPQRVCDVCGVRLECIQPYLMNNVSRACQLPTNDLTNLSTLRSWLNLPWARTMEYEIYKAVNSIHGYFKVGNLKPEKSIPDLILRQAKGLAIITVVNVGMMMTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIKTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHLENALFYGGPVNASEILLGSMSRPAAAATLYRALSKLFEKVEN >OB06G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13850402:13851218:1 gene:OB06G25760 transcript:OB06G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVARGAELDDVRVGHPAREIVLALVEQLALAQRCWFRHCSKWKLADATAGSGTATSGVILAVATAGFGTSTSGVIARRAPPFSMHGRKLAVAIAGSDVAAPSVFARRAPPSFAHGRKLVVTSPGSGAAVPSIIARSTPPSSAHGRKLAFATAGSSVVVPGVIVDGVDVGCTTHQGLVFAQTAATCTRCGDVCRRGACRRSGDGGRRRERGGESEDGREEDESDGWVLRADGVRMKNMTVMA >OB06G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13853301:13853857:1 gene:OB06G25770 transcript:OB06G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYRHLFPHPLAGKYYLVDSEYANMPGYLVPYKVGANQPDPKEVSDECATMDEFRDSIAVAMING >OB06G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13855418:13857233:-1 gene:OB06G25780 transcript:OB06G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIGQGTCTTLLLQIYWLVMMWREELQPGKRKGRHQLVKVVQPVQRMNESSNFPCVIMLGTTGS >OB06G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13857768:13858791:1 gene:OB06G25790 transcript:OB06G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDLRVRLCVLGELMAGRRREDDSYTNGSVSVVEVVSMEEGRNKDKEQVQDDHHLQADDDDDGDVVVCGVPVEVTFLQMLLAEFLGTYFLMFAGLGAITVEQKKGAVTLPGVAVVWGTVVMAMVYAVGHISGAHLNPAVTVGFAVAGRFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHLLPHVRHHGRRHG >OB06G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13888182:13891071:-1 gene:OB06G25800 transcript:OB06G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRSHIVGDVLDQFTSSVPLTVMYDGRPVFNGKEFRSSAVSAKPRVEIGGDDFRFAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRMVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAVYFNCQRPTGTGGRRPT >OB06G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13895847:13896014:1 gene:OB06G25810 transcript:OB06G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEQWWWRVMVNLLYTTPPTSSYFLKEKITVRSICQPTFINSTFYVMNKILLDQ >OB06G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13910200:13911931:-1 gene:OB06G25820 transcript:OB06G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGAAPFVWKTYRMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSAAAGGGGAGKRRDASALDGSGGDDDMTMVATEVVRLKQEQRTIDDRVAAMWRRVQETERRPKQML >OB06G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13929655:13931186:-1 gene:OB06G25830 transcript:OB06G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKILLVTALLVGIASQSSATRSMQGGHVAEKKCKRSVFGGGGGGGGYGGGGGYGGGGGGGYGGGGGGGGYSPSPMTGFTGSCDFWKSNPDKIISCIGSLGSIVGSFGDVCSSFFGGKLQTLQDALCNTRKDCYGDLLREGAAAYINSMAAKKAKFAYTTHQVKDCILLGLTSKAAAVEQAAMFKKANLACHY >OB06G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13973283:13974057:1 gene:OB06G25840 transcript:OB06G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYELAACSPASSSASTPPSACGGEKRGRGAGGGGGGRAGGRHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVGASGGIAAVLGGDMAGFEMEQVWADGIVASGFGALLSNM >OB06G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13978645:13978776:1 gene:OB06G25850 transcript:OB06G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTDTSFMDLLGSHSTNEVADICSQQQEFAAPLPQNHKVSSA >OB06G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13979194:13979676:1 gene:OB06G25860 transcript:OB06G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLMVVGPTPATPAHLPAASLSHNGRITATPSFLTPVAGDGLERSGACMGATNKPSIF >OB06G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13980725:13981509:-1 gene:OB06G25870 transcript:OB06G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREVVVAAFFVVFFHLVSAVAGQSVSAALLPMRMGNRYVVGDANGWKVPPPASAEMYAKWAAGIRFYVGDSIEFVYKNDSVVKVDKFGYYHCNVTAAAANDGSVLFLLDAPGFAYYSSTDAGHCKKGQRLVINVEAAPTPAPAPASPIATPPAPAAAAPTPPPKPSPSPSPSPSPAPQEAATTSAAAAASSSSSAAAGALLLAVSATALAMMGLVLGEW >OB06G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13988199:13988949:-1 gene:OB06G25880 transcript:OB06G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASNMQGGGSAEKRRRTSSDALQRTVVSDVPYELHHHRRQGEHDDGGRRRRRAAEAAPAAGQKKHPRPVAEVEDARCECCGMSEECTPAYIGAVRQRFSGRWVCGLCAAAVTEEAGKNGGEREAALRAHTAVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSINPREVRNGAAASAAAAGGIARSSSCMPFITDEFNQRVNLK >OB06G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13991556:13992719:-1 gene:OB06G25890 transcript:OB06G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSNSRHQRNRGSRVKTILQATLLVGVVVWLLYQVKHSYDKKNEYLEDAESQLSHDDRSMFQGRKERGGSYGDGILEKVGENPDAVSKPEENSGETVFEKDNSDSRDDDSRNTDRSEADEGNASSADGNTEANSNGEDGTILHSEGEKSDVESNPSDDESKGEVHSTVDDMQQSNTAQEESSAETNGMPQEEAARGDESTSEDQSNAKGNGSDEEETEKKEAENSQSSSESLSDDTKSGTNDEHSSGTLPDETGNLPSVQNENSQTDASASQSDSASTTSDASSEAVHIESGTESGDAGKGSANEVSDSEQKSDEGNAATEVSNDQAANTEAENSQEASAAEVINGSSEETKPVENQNDGNTESSNNGEQVNIKMESTSANAETQ >OB06G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13993805:13993987:-1 gene:OB06G25900 transcript:OB06G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVQLPFAILKASLDFFNKIEYYVLSHWCQSSRAYSFLRTASNCFYALTLMSRDVFKS >OB06G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:13994288:13994443:1 gene:OB06G25910 transcript:OB06G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVKPIPKPVKKGPSSEHIQDEGKSYRYEELEFSLFGKLVVCTFHHPIY >OB06G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14017754:14018854:-1 gene:OB06G25920 transcript:OB06G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTMARRLWHVVRAVLFMLRKGVSKRKLAMDLHILLRRGKIAGKALGKIMTSTAHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAGGPGGGGVHQEVLRAAAHAAEPHRRRHAGLLRWLLSPGHRHRLLVRRPARSPPMHSCICEVLLV >OB06G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14038113:14046935:1 gene:OB06G25930 transcript:OB06G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGFAASDLHTGPVSSIVACLLALRDYFVSHDVEGLSCSIPEKGMRNIIEFPRRENDLSTQEGQNIPKSPAMSEPSSPLSQATLSSISRHAGHNFHDVFQLRQGCYFDLPSSKISEMMKSTSLDHAPTQSLLTVVNTILDGLIERKNGEISYHVACLLRKIILEIERRISTQAEHIRNQNNLMKAREEKYKSRITVLEALASGTGRQTHVKSCAENGKAHVSADHHMNEKEESKDTIMKLNQELEALKSSYEETNIMLESKKKEVLQLLMDKENIDYTVSQLKQDLAIERSHQTHIQEMETRALQAHKKLEKKIMEMEHRLEDSKSRTRDIEELLESRRQAWEQKEIALNQFVGFQTQNIQDLRLSSVSIRHEILHCQQKWSEELYDLGRSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYGSDNGELILENPGKQGKEGKKLFKFNKVLGPSASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEMDWGVNYRALNDLFHISLSRRDTIMYEVSVQMIEIYNEQIHDLLSTSGSKKLGILNASQPNGFAVPDATKHLVNSSSDVIELMGIGLKNRSIGATALNERSSRSHSIVTIHIQGVDLKTGATLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQINPDVSSYAETLSTLKFAERVSGVELGVAKSNKEGKEIREFREQLSLLKDKIAKKDEQINRLQLQSHSPRERIEKRADSFLKHSSSSPGISSLGSKIQHRRTASGGKIKIIGSRAGSDVDNFSDISDRHSEADSMQSVDDIQQSREIMRLSKLSMGEIGHNSVDPELPCFGYADSEGMLSDISDSGLSMSAETDCSMSSVVELTLLPEQERISDTQKEQHMAPSTPKDRLHRVATRAQRTTTPRSPQSPTLWPKLRDPPPPRSPVSTSTGKIRVTQATSSSRNSSTQKRWT >OB06G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14049049:14063652:-1 gene:OB06G25940 transcript:OB06G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWESGRRMSRSIGRGMGMEAWGVDEAFMPQNAGGRGTADDDEEALRWAAIERLPTYSRMRTAILSSAEAQAAEAAHAHAASAAQYKEVDVRRLGVGERQEFIERVFRVAEEDNQRFLQKLRNRLDRVGIELPTVEVRFEQLTVQARCPVGSRALPTLLNTARNIAEGALGLAGVRLGRQATLPILRGVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRSSGEVTYNGFGLDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFSCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVSTGELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTQMHTRNLDDGFVYIGALLFTLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVVVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWISPLMYGYNALAVNEFYSPRWMNKFVMDNNNIPKRLGIAMLEGANIFTDKSWFWIGAAGLLGFTIFFNVLFTLSLVYLNPLGKPQAVISEETAKEAEGNGDAKHTVRNGSTKSNGGNYKEMKEMRLSARLSNSSSNGISRLASISSNEAGPKRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVMDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEISSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPPPGTSDLHFPTKYSQSIIGQFKACLWKQRLTYWRSPDYNLVRFSFTLFTALLLGTIFWKIGTKKGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFIQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEDIISVPGQGNQTISYYVTHHFGYHRKFVAVVAPVLVLFAVFFAFMYAICIKKLNFQNR >OB06G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14060030:14063477:1 gene:OB06G25950 transcript:OB06G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAVFCGTNSSSPKPLYVTSPEERSEGSSLPARARSRVVFPDDGGPSSSVIREGRTAPETPRRMGSVAWRPSRTPARPSAPSAMLRAVFSSVGSARLPTGHRACTVSCSNRTSTVGSSMVTYLSRRLRSFWRKRWLSSSATRKTRSMNSCRSPTPSRRTSTSLYCAAEAAWAWAASAAWASAEERMAVRMRL >OB06G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14075662:14078624:1 gene:OB06G25960 transcript:OB06G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:J3MF02] MSDSKAATAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKERLAKLYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKVDKGWRCWEEEIRDDTHIAV >OB06G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14080253:14084608:-1 gene:OB06G25970 transcript:OB06G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:initiator tRNA phosphoribosyl transferase family protein [Source:Projected from Arabidopsis thaliana (AT2G40570) TAIR;Acc:AT2G40570] MAAASESPDAAAAAGPSTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPGXPWPPPLRCGLWYAPQRAVAATCYFKSTDGHAGNWAFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERHRLQTINQSAAVPNKETERISASSNWDSSVHLPVWVLDTEKNAIEGRIEDWLTQFESCGADIHSLALCLRKPLRPLWISQKSRIWLNEVPELESWNFTPIILVSASASGAVATQRMTSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDGGPDLCHQLVADIVEKDRVYRAQRGEHSPQIIAKPLKCSSNDGPFSVGDHTSVMQLMDSDPPTITATQKQNSSDGHILFWIGTSNLAVASTLQVGDALAEVDCILNCDSTSNLPSITSDNSYVELPIVGSKDDRFSLMKSLPKAVSFANRNLIAGKKLLICCQNGEDISICVALAIIARLFDCDGCFDHGNSFVKRDVTKLEMRKRLVFVCKYAVNARPSRGNLKQVYGFLCNEKE >OB06G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14107950:14113634:1 gene:OB06G25980 transcript:OB06G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEMVARSDVAGGGAYYPSRHVGGGDGGEDVDDDGKQRRTGTVWTASAHIITAVIGSGVLPLAWATAQLGWGVGPVTLMLFALITYYTSGLLADCYRTGDPATGKRNYTYMDAVGSYLGGWQVWSCGIFQYVNLVGTAIGYTITASISAAAVHKANCFHNRGHEADCGVYDTMYMVVFGIVQIFFSQLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGPTGKATLTGVEVGVDVTSAQKIWLAFQALGDIAFAYSYSMILTEIQDTVKSPPAENKTMKRATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVIHLVGAYQVFCQPIFSAVETYAARRWPSSDFIAREHPVLAAGKPCRFSVNMFRLTWRTAFVVASTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIERYTPRWVALQTLSLLCFLVSLAAAVASIEGVSESLKHYVPFKTKS >OB06G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14117487:14123508:1 gene:OB06G25990 transcript:OB06G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNNINGGGGGGAMDVYLPRSQGDVDDDGKERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPITLLLFAAITYYTCGLLADCYRVGDHAAGKRNYTYTDAVKSFLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAAINKSNCFHWRGHATDCSQNTSAYIIGFGVVQAVFCQLPNFHKLWWLSIIAAVMSFTYAAIAVGMSLAQTIVAPLGRTTMTGTVVGVDVDAAQKVWMTFQALGNVAFAYSYAIILIEIQDTLRSPPPENETMRRATAMGISTTTGFYLLCGCLGYSAFGNGAPGNILTGFGFYEPYWLVDVANVCIVVHLVGGFQVFCQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIQQRQLPRFSAKWVALQSLSFVCFLVTVAACAASVQGVLDSLKTYVPFKTRS >OB06G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14153710:14156918:1 gene:OB06G26000 transcript:OB06G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYLLLHALLPLLLLAGGSAAAGDRDTLVALRKAWGNPPKLASWDPATAATDHCSWQGVTCSDGTGGGGRAVTELSLRDMNLTGTVPAAVCDLPSLTRLDLSGNNLSGAFPAAALYRCSRLLFLDLAENSFDGALPRDIGRLSPAMEHLNLSSNSFGGEVPPAVAALPALKSLLLDTNQFTGAYPAAEIGNLTSLEHLTLAANAFAPAPVPRAFAKLTNLTYLWMSKMNMTGGIPEEFSSLTELTLFDLSSNKLSGAIPAWVWRHQKLEYLYLFNNELTGELPRNVTAVNLVEIDLSTNQLGGEMPEDFGNLNNLTLLFLYFNQLTGTIPAGIGLLPKLNDIRLFNNHLTGELPPELGKHSPVGNIEISNNNLSGRLPETLCANGKLFDIVAFNNSFSGELPANLGDCVLMNNLMLYNNRFSGDFPEKIWSFKKLTTVMIQNNSFTGALPAVISPNISRIEMGNNMFSGSIPASAIKLTVFKAENNQLAGELPADMSKLTDLTDLSVPGNRIAGSIPVSIKLLVKLNSLNLSGNRIAGVIPPASIGTLPALTILDLSGNQLTGDIPADLGNLNFNSLNVSSNQLAGEVPLALQGAAYDRSFLGTHLCARSGSGTKLPTCPGGGGSGGGGHDELSKGLIILFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFTESDVLSNIKEENVIGSGGSGKVYRIHLASRGGAGAGRTVAVKKIWNSRKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMEHGSLDRWLHHRDREGAPAPLDWPTRLAIAIDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKKVNEKVDVYSFGVVLLELTTGKVANDAGADFCLAEWAWRRYQKGPPFDDAVDENIREQASLPDVMSVFTLGVICTGENPPARPSMKDVLHHLLRCDRMSAQGPEQLCQLDYVDGAAPLLEAKKGSRRRSSASSGRWDAGGGDDDDSGNFVVHVV >OB06G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14162969:14166613:1 gene:OB06G26010 transcript:OB06G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPTVGKILHMQSLILFTCLLLSSNCDAINRDEEKAILLSFQGDWDKPLSVNWKPIRYKDHCNWPGIICRDGFVIGISLPAHGLNEFPSAICSLKKVLSINLSHNNISGSYPTVLYNCSNLRYLDLSENRLVGSLPSNFGRLSPRLKYLNIACNSLSGNIPSSVGQLQKLRNLYLDRNLFDGSYPAEIGNISDLRVLRLGENPFYCRAIHPQFGNLTNLEHLLMNKMNVIGKIPDAMSNANNIRIFDLSGNQLNETIPSWIWSLDSLVVLRLGGNLLSGQIIGSMELSNLVEIDISFNKLSGQIPNDIGEIMELETLFLSDNQFAGSIPESISFLPKLSYLILSNNFLTGPLPQELGKYSILNYLNLSCNQLSGQIPPLLQKEKFKESFLHNPDLCTSNHYAVYPICHDQRWKKILFILFLVLGLTGVLFILLLGSSRIRGFFQRKNENTMPPQWKLTTFHNINFNYQDILHGLADNNLIGSGGSGKVYKICLNDTTYNVVAAKKICNDQMRSDMLEKHFQAEIEILGCIRHTNIVKLLGCMSSTESKVLIYEFMENNSLYHWLHHKDMHRNDESLNWPRRMSIAIESAKGLYYMHHDCSAPIAHRDVKSSNIRLDCNFKAKVADFGLARVIAKGGEPESVSAIVGSFGYMAPEFIKLRKINEKVDVYSFGVVVLELTTGRCANGGGSYENLAQWAWRQFQDEGFQLIDVIDEDIRDPAYFREEQMVFKLGLICTATQPSSRPSMKEVLQVLQR >OB06G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14196491:14198056:-1 gene:OB06G26020 transcript:OB06G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MF08] MCTTTSVPEVALAAAGGKEQRHVYVSLPQRGDVAGHCRHGVVRGKCCRGGGDGDDDDGGGGVLLMPAAGEAVREAVALCRLACPIALTALLLYSRSALSMLFPRSPRRPHXAVAFANITGYSVLSGLSLGMDPLCSQAFGAGQPRLLGLTLYRCVLFLLCCSLPLSALWLNMAKILVFLGQDREITHLAQEYLLFSLPDLFSFSLIHPLRVYLRSQGITQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGAGAPRPGADLVGRRRGGRGGGSRGLAGERGAAAGEDPGLL >OB06G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14226933:14233102:-1 gene:OB06G26030 transcript:OB06G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNAAAEPPKQYGITKPISLAGPAEADLQKTAELEKFLVEASLYESPEESARREEVLKELDKIVKDWVKHLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADVDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPELDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVENFRATLRCLKYWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDMNKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDISMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPLRHINHQQQSNKNNVEDGAANRSPDGQPKRKHDAAGAYDSEPGRTAKRTSMSPSISPNRQRTSSPPSGNIADASGASGGSPVSLANGPEQANCLNSPLASEKSLDSVASGSKCVEGVCSGDSSKERDTCIPSIKNCTTTTEAVCTSLKRVAEKVVSELVGSESLGSNKSAELLERSEEMESVLVENVHFGGNGVAQSGLPEELEPNNGIEVVSKAHAGVNPDVPQKASLRVSLTSTA >OB06G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14246041:14246650:1 gene:OB06G26040 transcript:OB06G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEGMIIAAAAAGDVETASGFSSGSGSPASSSLTDEGGEFQSGLTVLRYSSNVFERAENFKSYL >OB06G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14246566:14250671:-1 gene:OB06G26050 transcript:OB06G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFHLLFVVVALLATAAADAKPVLRAVTDAPAGVASDSSDELYCDGWRLSVETGNAGPWSAIPSRCAGFVRAYMEGERYASDSAVAAADSLAFAAQALASGDGGARPAWVFDVDETLLSNSPYYAVNGWGSQEFNETSFDEWVDVAKAPALPSSLKLYKELQGLGIHIILLTGRSEFQRNATEVNLFFAGYHSWEKLILRQSPDIGKTAVQYKSERRAALEAEGFKILGNSGDQWSDLLGLPMATRSFKLPNPMYFIS >OB06G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14251389:14253700:1 gene:OB06G26060 transcript:OB06G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAADLSCCHRHQYAQPPPITVIDYGHSIGHDNSSRWTTLQSLSAEPSILQRQMELKRSSTDSDMTFYLCADLSCCHRHQYAQPPPITVIDYGHSIGHDNSSRWTTLQQGDSHLPDSAFDIRLLPTPWQFACLLPVNMLTMRIGSTSQKRTRCDLEEGRKIPIKLLDYKALPGLIKIFGPMEALNLLGIRFTKAVVMDSMIPLNEKGDINPSVII >OB06G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14258567:14260813:-1 gene:OB06G26070 transcript:OB06G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEGVGDNGDQLVPLLGGGGGGGDGGVDAVLLRRLYVGHFLARWGARMWEFSVGLYMIRVMPGSLLLTAVYGVVETSAVAVLGPMVGAVVDRLRYLQVLRLWLLVQSLSFVVAGLSVTGLLVYGGLAAASFPAFVALVVVTNVSGALAALSTLAGTILIEREWVVVIAGGHPAAVLTGINSVIRRIDLSCKLLAPVLSGFIISFVSMQASAAALALWNLAAVWVEYWLFVSVYAGFPALSESSQLSRRRAADDNEAAVQPRTDRTAAALTERLSIIPCWESWVVYARQEVVLPGVALAFLYFTVLSFGTLMTATLDWEGIPAYAISLARGLSAVVGIAATWVYPAAHARLSTLRTGLWSVWAQWCCLLACVASVWAPASAWMLMAGVAASRLGLWLFDLAVTQLMQDHVPDPDRCVVGGVQNSLQSMFDLLTYIMGIVVSDPRDFGELIVLSFFLVTCAAVMYTLHVYRVRKHLFHFDRIIPKMNWIKVS >OB06G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14264530:14271589:-1 gene:OB06G26080 transcript:OB06G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAVAAEAGGGGGGGGHRRLIGSRIEEHRKYMSESSCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRPGGAAAGGAACRHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAAAVDAPASGAASPGGNESSSSSAARQQQQQHPQQRSETRWHKTGKTRPVVVGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPREGGGAGAAAAATASASVVAPGAGTGRRSTERDRAVAAAAAAAAAAAVAPMASSNVVNAAAFHSGAIDEFSFAQFRSSFEEAGMGASSSDHQSGRADDERVLRHHHQQQHHHDDEQDHRRRGHHYVGQQQCVAATFHVVSSPADPIATLISPPPAHQGTVMLRQPEPPYYHQQEDERPHQPRKFDGRSTSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHGDKDDIEAKQKGEAEKEKGEYDFFSLSRYTFAKKYSMYKKLNYLKIASIMHGILSESKLRENFPVCSGGRI >OB06G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14277186:14281772:-1 gene:OB06G26090 transcript:OB06G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGGAAAEGPGAAYPVVLNVYDLTPLNNYLHWCGLGIFHSAVVVHGSEFSFGAHDHPTSGVFEVEPKCCPGFMYRCSIFIGYTSLNPLEFQGFIQRMASEYNGDTYHLISKNCNHFTDDLSIRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTETKHLADCRFSDDSHTSSNEHFEDDDLEDKHLLSQSSVSEDVIVKEVHR >OB06G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14285379:14285591:1 gene:OB06G26100 transcript:OB06G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSTTTLAMKMATLAWIRKDTHSRSMVRAWRSFLARLQEVVTVAARKIRFTLHRKSSFIPPLLALLYR >OB06G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14288107:14292670:1 gene:OB06G26110 transcript:OB06G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVAATLYLVLVVLLHLYGQQCKAVAGGGTSSHGGGGRATSTAVRAMFVFGSSLVDNGNNNFLNGSGVRADYPPYGVDFPLGVTGRFSNGRNVIDALGELLRLPAAGLLPPFADPSTRGRAALHGVNFASGGSGILDITGQHTGGVLSLKQQITNFEAVTLPDLRAQLQGATAAHPTAGHKMKGQDFFDQCYLPKSLFVIGTGGNDYLLNYFNPRGGPTRPHLSEFTSSLLTKLSTHLQRLYDLGARKFVVLSIQPLGCTPVVRSFVNVTGEACIEPVNRAVLLFNSGLRSLVSRHGNGSMRSRMPGANFVYVNSYKIIGDMIHHPTKFGIRETSRACCEVSRGSSSRGGVLCQKGGPICSDRTRYAFFDGLHPTDVVNARLARRAYGSSSPGEAYPINVKQLSML >OB06G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14309778:14314122:1 gene:OB06G26120 transcript:OB06G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDGAGERKAAGDDAVELVLDGGFVVPESNAFGNNFRNYDEESERKETVEEFYRINHINQTYDFVRRMREEYGRLGRTEMGVWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPDDDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAFDECNVHFKYFKDNPDYHNPKFNTKFGAYSEGCGLENVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLIDKYFPAKLRW >OB06G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14323138:14332080:1 gene:OB06G26130 transcript:OB06G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 [Source:Projected from Arabidopsis thaliana (AT2G19600) TAIR;Acc:AT2G19600] MIDRALEKEFPESEGDQGGGEKDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIILFMFLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVESNQVELGLKSDGLRIDSGKRINLIVQGSHDS >OB06G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14332972:14338118:1 gene:OB06G26140 transcript:OB06G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVRMLPEVMTMVIQYRVSLGRIGRFLAEDEINDGDVIRAPPSDDSRIINVQDGSFSWSGSAAELTLKNVHLSIRRGEKVAVCGPVGSGKSSLLFALLGEIPRTPGLVELYGTVAYVSQDSWIQSGAVRDNILFGRPYNKEHFGHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADVFLLDDPFNAVDAHTAAVLFYDCVMAALAEKTVVLVTHQVEFLTETDRIMVMEGGHVRQQGVYSELMESGTAFEKLVSAHQSSITELDSSSQQGQVQGQVVPDDHSKSQVALQDSDAEVSARGPSSSQLTQEEEKEIGGLGWKPYRDYIDVSKGAWPLSVMFITQLLFTSFQMMATFWLAVAVQMEVSSALLVGVYSGLSIFCCCFAYFRTLSAAKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGGILTRVATARELARINGTTKAPVMNYATESILGVVTIRAFEATDRFIHNNLQLIDTDATMFFHTVAAHEWVLIRVEALQCLTILTAALLLVLAPPGAVSPGFAGLSLSFALSLTTLQVFLTRFYSYMENYIISVERINQYMHLPSEPPAIEPENRPPSSWPQEGQIDLQDLKVKYRPNMPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICTIGLSDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEIWEALERCQLQEAIRSTPALLDTRFIRQQFSSCTVVTIAHRVPTVTDSDKVMVLSYGELMEYEDKQSAFAKLVAEYWANPKRNIS >OB06G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14344683:14345315:1 gene:OB06G26150 transcript:OB06G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVERALREGLTEEERAALEPAVLAHHTFPGRAAAPAEGAAAATATATSLVTQHVAAPLRAVWPIVRSFGNPQRYKHFVRTCALAEGDGASVGSVREVAVVSGLPASTSTERLEILDDDRHIISFRVLGGQHRLRNYRSVTSVTEFQPQPGAPSPYCVVVESYVVDVPDGNTEDDTRMFTDTVVKLNLQKLAAVAEESAASSASRRRD >OB06G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14351619:14356368:-1 gene:OB06G26160 transcript:OB06G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G24510) TAIR;Acc:AT1G24510] MALAFDEFGRPFIILREQEKKSRLRGLDAQKAKNIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRIAEGYELASRIAFDHLEHISHKFEFSATNIEPLVQTCMTTLSSKIVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRSFADALDGIPLALAENSGLSPIDTLTAVKSQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >OB06G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14383910:14384095:1 gene:OB06G26170 transcript:OB06G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLYELFVILIHYYCHAKLIVGTQCALHPPRFCSLFDALGDPLDIESVVEASSYIHGHHPFM >OB06G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14384918:14387495:1 gene:OB06G26180 transcript:OB06G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPSYQQLGLDAMSCCFVTGGGGGMVGGAEVAPFLCANVAHDGGFGFGFGDVDGEFLVGGMMMVASPAAAGGGDELACAVPLRLGSGGHGESMSEDGVHAAAAAAAAAESCSTVHWAVIPAAADAGSVEFGYGPSSGVTIAQPSRMGRLAREAPCGGSWIYGGPGNGVAPFHDAYYPSGFSYGAGVGFSNPFDATSATAPEASELSLRLGAKRSSPGSMANASSEVSCSGLTHVSSGGGFGYHQAAGGAALFHPPHGDGELRQVYHPQAHPTAPLHFSQVVSRSGLAHIAQELLNGFVACLLQDVATDAASGIDGGEASPALSSALSARTPPEEQPYAGARWAAEARRLRKLLQLMDQKLNQCLDEMQSTASKFNSMVRSGSAIATGGGLSAPFAGRAVAAAYRRVRRRVMGQLMAAATQQQQRPTAALEEKERSWESSFIQKHWALQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEDMYEELNKTSGGSTDGVAEMEQFSNKGGVIT >OB06G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14387273:14387488:-1 gene:OB06G26190 transcript:OB06G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLLLNCSISATPSVLPPEVLFSSSYMSSIIGFHSRTRAFMNQFETLQCKKERKKKLSISLGFILFVML >OB06G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14392271:14402925:-1 gene:OB06G26200 transcript:OB06G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding protein family [Source:Projected from Arabidopsis thaliana (AT2G03150) TAIR;Acc:AT2G03150] MFPPKGSNPYGQQQAYGGGQQSYGQIVSGFGAPASAAAAGADGSRFGARVGQGATGQYGGPYASVYGTQQVGGLGGKGPASSIPNLPEPSKFSSGSVGSSMARPNDDYMAVRGYGQKIDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHISGGHQIHDRMEQGSSMRHPQLLKAQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRANIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRREMDLRDEERRRDLILEREKERERERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPADRRRSSSVRADKPLRRLSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDTERDYLSLTKRYPRLAIAPDFSKIVLNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGAVISSGKTSSTKIPEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDSSCLIQTAIRHVKELVQVDLSNCNQWNRFLEIHYNRVGKDGLFSHKEITVLFVPNLSECLPPVDLWKNNWIAYRKSRTEKEQLLVKEKSPADAIKQKQGELSQSKSTEVHQKEDAGHSATESMKVDSDMDQQGKDGKDNLAEPDGQNIDKVEEHVEKKGGGVDHGTEDKKPMKKKVIKKVVKVIRKKPTGETSADKSSQENKKTLAETASIAVEEQVQQKSGDTGKEAEGKKPGKKKVIRRVIKKKVPASARDSTAPAETSKQAVEVQPEKNEGLSGAVISEAELEEGSKAPAEDISKQNKEQELEETGNSLTVDQKCDGDKAKQQEVMKQKDLKQDGKNGKNDKTKDDKEKKSRDQKTDSKQKSLTDNKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAETFSEMLQHKMGCIILSFLEKLYKHYVVKRNQRKRQREEDLKKEDKKASEKRLKTNDETLSESTPSNQGESVEMIKVGKGTGHSAGQANKDVDTEMSGDPSAAAQDEPAKEGKEKMSADHSIIPAKKLIKEGEENMNTDNSAAVHDEPGADEEMEDEEPEYEEDPEEVEIYEDDEMDEATAEEPVEQNEDNVNDKAKQEVTTEEDGNKNTEERESENNANVHEKSASEEDKQSPAEKSASGEGKQSVSDKSEKAVGKEAKTTRSQKGDSAKDEVVDKELLQAFRYFDQNKAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >OB06G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14407452:14407607:1 gene:OB06G26210 transcript:OB06G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding HESIVDFVVKYITRCFTILFSFNIQLALAPGEVVSGEPQAHHFFSWPRLLS >OB06G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14407961:14412735:-1 gene:OB06G26220 transcript:OB06G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPISPSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKLMSNLLYYYGMCNMVKDCLLQRYFGKGVQGGSVVPESDIVQASRSFLDQRQSMNVWRFIHTVNERHDLTESLKELQCRTLIFVGQKSQFHAEAVHMTSKLDERYSALVEVQGCGSVVTEEQPHSMLIPLEYFLVGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRAKREV >OB06G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14427248:14434751:1 gene:OB06G26230 transcript:OB06G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:J3MF29] MAVLGNPRYGGGLGSRMHKGGYASKVDLALDMMLLLFRFGFDIISAIEFDKSGDYLATGDRGGRVVLFERTDARDNASRRELERQDASITRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLNNSRSVGTGSTSSSSTSSSRALLPNGGCSDKSSCLNSDILFPPGGYPSLRLPVVVASQDVNLVARCRRVYAHAHDYHINSISNNSDGETYLSADDLRINLWNLEVNSQSFNIVDVKPPNMEDLTEVITCAEFHPTHCNILAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYGNLFRVFGCTPGSTEATTLEASRNPMRRQIVNPTRPTRTLTSLARGVRRGGENQGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >OB06G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14443533:14449990:1 gene:OB06G26240 transcript:OB06G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGLLIRAGFWFSGTSLGDWPLLMCCLTLPIFPLAALVAEKLAQRKLISEHVVILLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIIWLKLVSFAHTNYDIRMLSKSIEQGTAHGISIDPENIKGPNLKRLVYFMWAPTLCYQPSYPRTTYIRKGWVVRQLIKCVVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIIRHIYFPCIRNGLSKGVAILISFLVSAAFHELCIAVPCHIFKSWAFIGIMFQIPLVFLTKYLQDKFNNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQQAQSSR >OB06G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14452429:14452817:-1 gene:OB06G26250 transcript:OB06G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASYSERSRSHTATAAGASAVGSDLRCHSAYYVTSRYAPAPPPPMEDAGKAKKKKKAAATWPSSSSSSSASAGVWGVLGDPELQRRRRVAGYRVYGVEGKVKVSLKSGMRWIKGKCTRVVDGWW >OB06G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14456794:14457466:-1 gene:OB06G26260 transcript:OB06G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARASRHVGALCMALVSACFRPLAFYSCSGLQLAFCSGAPKFLQTELDRSLSLSVSCCIF >OB06G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14461080:14468868:-1 gene:OB06G26270 transcript:OB06G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGRRGIPSLLSSAGEEDTIIASNVTQLIGWTPLIEMKNITKKEGIEARLIGKMEAYQPLCSVKDRAALSLIEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQKGYKFIAVMPAEYSLDKQMLMMYLGAELILTDPADGFKGSIDKVKELVKVMPNYHFFNQVANPANPEAHFKWTGPEIWKDTAGKVDMFVTLAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGGSPGPHKIQGAGPGFIPENLDRSIIDEVITVSTEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMNSDLFAHVREECANMTF >OB06G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14475781:14479699:-1 gene:OB06G26280 transcript:OB06G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALIRESIQFPTNLREIVWELLTVSTAMSVTAGILGCGQETNCSAKARKREKLIGWTPLIETKNIAVKEGIEAHLVGKMEAYQPLCSAKDRTALSLIEDAEEKCLITPGVTTLIAPTGGNLGLGLALVGIQKGYKVTAVMPAEHSLDKQMLLKFLGAEVILTDPAGGYKCMMDKVEELMKIMPNYHCFNQATNPANPEAHFKSTGPEIWKDTAGKVDIFVAAAGTGGTLSGVARYLKMKNPSIFIVCVEPSESAVISGGSPGPHKIQGIGGGSIPETLDQSVIDEVVTVSSEESMTMARRLAKEEGRDCLLAYLLVQT >OB06G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14479714:14481420:1 gene:OB06G26290 transcript:OB06G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGCKTELDGMITPGEGSFKQGREAMRAYACVGSSLRWIYRSSDASLRQYWLVPR >OB06G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14483810:14484056:1 gene:OB06G26300 transcript:OB06G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATPEEGGRTGIPSLLASAGEEIIAANVTQPRVDSAPSALQIIFTNEK >OB06G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14484690:14485687:-1 gene:OB06G26310 transcript:OB06G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVGVAMAAAATPEEGGRTGIPSLLASAGEEIIAANVTQE >OB06G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14502193:14507414:-1 gene:OB06G26320 transcript:OB06G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:J3MF38] MSTDVTNVSSKGNVQTRYQVVVAATRDMGIGKDGVLPWKVPSDLKFFKDITMTTSNPSKKNAVVMGRKTWESIPIQFRPLPGRLNIILTRSGSFDFATAENVVTCGSLDSALELLSTTPYCSTVEKIFVIGGGEVLRQSLNAPACEAIHLTDIESSVECDTFIPPIDLSVFHPWYSSYPVVENSIRHSFITFVRVTKSIAEANDSSGKELTGNDSKKNKFEIENFSFLPKVIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTISKFGCQMRFNLRRSFPLLTTKRVFWRGVLEELLWFISGSTNAKVLREKGIHIWDGNASRQYLDSIGLSQREVGDLGPVYGFQWRHFGAEYIDMHADYTGKGFDQLMDVINKIKNNPDDRRIILSAWNPTDLNKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLTPGDFVHVIGDAHVYRTHVQALEEQMQKQPKPFPILKINPLKKDIDSFVTSDFKLVRYDPHQKIEMKMAV >OB06G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14514715:14515044:-1 gene:OB06G26330 transcript:OB06G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLLARCVLRCEMHRLSGAGGGGAGRGSAAGGRVVLPPTVRFPRRTAGGAGLAAAVARRPLRLTVARPPAATSAGSPGPWIEPNDDDTKVRRDARTKGTYVRVGYFN >OB06G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14526791:14530061:-1 gene:OB06G26340 transcript:OB06G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHHLSGAGAAAGGAAPPIVRFPRWTAGRPGLLAAGVRPIRRLKLAARPPAATTTTAGSPGPWFEPNDDTKVNVFKIIYHSASEALELMEQNTPRPRPPLDVAQMRKLFKDQLVQSASANATVSIIHSLLLSHWHMWNTYANVPIKEYNGRDMFLRDCAKSAYGNDGRIRDELLSTFDLSMDSMPTDDFDKDLIAAILYVSKVTWKYVGEYRERLLEQQPWNIYMDKVTEESEDDEDVDFPELGAGGI >OB06G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14530402:14533666:-1 gene:OB06G26350 transcript:OB06G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRHRALASKKRPDSHSSSTSNTNGASSQRSVPSTNGTQVNPANSTAKGQNHESHRVTGTQDRQPPAQRGRKERSRTNLAVPRRGRKVGLIPRGEMQFKYVNYQAAEYKYTTQLGVILKREYPGTVEDLDNDGRIIRSRPALHWADYYLKRREGDGETCADRVINEFWEHDFGPEKTTGLNTFVVMKSGAKNMDSSGSHGPINNSKAEQIMADYSAITALETQEDLGGNELDPKALYTICNGLPHGRLHIGNDAVSKAAVIAAGKERIPRPSTSSSNQNLRNQNLQLMHENAQLQRRLQSNSRMFKFIFDKMGVEPPSEEDLMPPINEDLMSPMHHQLRTDSSHVGSHHEAQIDGTSGTNMDSEENLISELNTRLSHRF >OB06G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14546152:14548256:1 gene:OB06G26360 transcript:OB06G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGCSPDVVTYNSLIDGYGKCGELEVVEQLLEEMRRSGCKADVVTYNALINCFSKFGRMERAYSYFAEMKRVGVVANVVTFSTFVDAFCKEGLVQEAMKLFAQMRVRGMMLNEFTYTCLIDGTCKAGRLDDAIVLLDEMVQQGVPLNVVTYTVLVDGLCKEGKVVEAEDVLRMMEKAGVRANELLYTTLIHGHFMKKNSEKALDLLNEMKNKGLELDVSLYGALIQGLCNVHKLDEAMSLLNKMDESGLEPNYIIYTTMMDVCFKSGKVSEAIAMLHKILDSGVQPNVITYCALIDGLCKAGSVDEAVSHFKKMRDIGLDPNVQAYTALVDGLCKNGCLNKAVQLFNEMVEKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMQEAREVFSEMIGHGIAPDKAVYSCLISKYQKLGNLEEAIDLQNEMERALPSCTDSDTASDGKT >OB06G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14549018:14551357:1 gene:OB06G26370 transcript:OB06G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVITEVCSIQDKHSILPVIRAFCSTNLKRWCYQYAEEMHLLTIISELGMILTIFLEKKNNLAVHFLEEVQQYFGEEVIF >OB06G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14551309:14553429:-1 gene:OB06G26380 transcript:OB06G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLTPDYVGALTYEHLLAGSATTAFTLSSAVYLVAGHPEVESKLLDEVDRFGPLDAVPTADDLEHKFPYLDQVIKEAMRFYTVSPLIARETSEQVVVGGYTLPKGTWVWMAPGVLARDEAQFPDPGEFRPERFDAGGDEERRRHPYAHLPFGLGPRACPGRRFALQEVKLAMVHLYRRFVFRRSPRMESPPELQFGMVLSFRRGVKLVAVHRPHRIAA >OB06G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14554819:14555338:-1 gene:OB06G26390 transcript:OB06G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPWLPPWCAPSTLWYSCLAGAAAVALLVYSYAPSWGVRRVPGPAAFPVVGHLPLLAAHGPDVFGVLAKKYGPIFRFHLGRQPLVMVAEAELCKEVGIRQFKSIANRSLPSPIAGSTLHQKGLFFTR >OB06G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14556543:14557324:1 gene:OB06G26400 transcript:OB06G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTTTVKEEAEVVVLDGEEAPVPLPVGVAVAPFLVKTFEMVEDPATDAVVSWGASRNSFVVWDPHAFAARLLPLHFKHANFSSFLRQLNTYGFRKVSADRWEFANEDFLGGQRHLLANIRRRRATGTGAASTTTTPRAGTGGGGGGEGEVDRLRRDKEALARELARLRRQQGEARAHLLDMELRVRGTERRQEQCTAFLARALRNPDVLDKIARHHAASLA >OB06G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14558591:14560011:-1 gene:OB06G26410 transcript:OB06G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTADEEAAAADADAAIGGELDAEAEDSKFDPAGIDPIREFGFLSMGHEFDNYPKGRIRPPKDWNWFLEELRKSSDDEDDEGGKSKGRVAKKKNEGQREDDDWTGESENEKASLSRGPSVKRSKYVTRSKEPKKPRNEKSEIKGKNKNVGDEVDEEDEEDDEDETLGGFIVNEEDGPMEEVSEEEGDEFDDDDDDDDDD >OB06G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14582941:14589537:1 gene:OB06G26420 transcript:OB06G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3MF48] MGRTGGRRRDRLRWSKLYTFNCFRSSATAEAAAGPSAVGGSAVGGPGFTRVVHCNDSAVHRRKPFKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMLKEGVEDWRRFMQDMKVNNRKVFVHKGDGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFGGVIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVVFTGHDSKVMQNSTESPSKRSTIEKKMDFIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKQMASGDDDQDIHVQDVWENNEDEIQLVEGVTFSVGKTRKSSIKGFSFEDDRLMEGNWTKEPNSSMVLLFFRILALCHTAIPEVNEATGTLTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFASSNGPIEREFKVLNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFERLAKNGRVFEPDTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTTIGPDRELQLERVSDLIEKDLILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRQICLSIATGDQVAQDANKAAKESLMLQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPNNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIICAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKHIRGKLHKKAPSLTIHTVS >OB06G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14594111:14598692:1 gene:OB06G26430 transcript:OB06G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEVRAMRLRLRLLFLLLLLLQRALGVAFAAGVILATGFVHMLHDAEHALSNPCLPASPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHREKAEAAAAATAQEAAAALLEDGALSGTMATAGDGEGRDGGGGGGDKRDAMHIVGIHAHAAAHRHSHAHGHGVCDGGAVHDGHAHGHGHGHGHGHEEGPSARHVVVSQILEMGIVSHSVIIGLSLGVSESPCTIKPLVAALSFHQFFEGFALGGCISEAELKNFSAFLMAFFFAITTPAGISVGAAIASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQMCIILVLFYPVELFQNKIQYVAILVLIADGVLHVVQKMSKFYVVLPTKTTPAQLLFFITTKYLAGSAILVKLQISIMAREARPSRKRFCLYADSIYSLAIFCARFSLHIKSGKPGAWGAYLLSTNKLELIDTKVQTILVHQVNELQGAMASDQVSNVFFVSRRVGVRLYEAMDFYR >OB06G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14610406:14610588:-1 gene:OB06G26440 transcript:OB06G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALEENFIYMNLITRLLPPLQISYQDGNFHMIWCCITQRFYPKTRMLRFYTTNTHFMK >OB06G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14623733:14624032:-1 gene:OB06G26450 transcript:OB06G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAVNMRPTATMTTASVGYQSTGTGLEIAFAACPTPPPPPTTAKGQRITVASQNPKKTLDPHEIAPVGLTISPDLSRCRLYHRADLAVVAFVSAAAI >OB06G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14623734:14626268:1 gene:OB06G26460 transcript:OB06G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLGKEMATATIASVFLGFGSLFVLLASGVYV >OB06G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14635512:14639906:1 gene:OB06G26470 transcript:OB06G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPLLLLVCCCTVMACGLLPQCAAAKARHFRWEVSNMFWSPDCEEKVLIGINGQFPGPTIRAKAGDTIVVELKNGLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPEEAFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLVVDVADGEEEPFRYDGELNLLLSDWYHESIYTQMVGLSSNPFRWIGEPQSLLINGRGQFNCSLAAAHTPGAKQCAAAAGNRHCAPVVLPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFVVDDIDIYSGDSYSVLLTTDQDPSANYWVSVGVRGRQPKTAPALAVLNYRPNRASRLPSVAPPTTPAWNDYAHSKAFTYRILGRAGARSCRRSTTRTRPPRSRTASAARRR >OB06G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14651789:14653612:1 gene:OB06G26480 transcript:OB06G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEVETCVREQRMCRDEERCLRRSECGPYCPRSQCAPVVFNVEPGKTYRLRIASTTSLSALNVKIQGHKMTVVEADGNHVEPFVVDDIDLYSGESYSVLLKADQKPASYWITVGVRGRHPKTLPALAILSYGGNAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAPAARRRAPGDAGVERHPAQQGLHLQHQGAEGHQPAAAAGRRPADLPAQHAEPHGRPLQVVHQQRVPVAAGDAVPGGVPARPP >OB06G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14652842:14653700:-1 gene:OB06G26490 transcript:OB06G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVVRRRAHHVVLRREGVGGLARRVERAVLEAVPERPQVRRRRQRQGHVVDGPLVVAVHEVLRVEQEDLPVGGRRRRLVGVLPRLDAVGEGLAALGVVPRRRHRGLAGGQPERRRRRRIAAVAEDGEGRQRLGVPPPDADGDPVARGFLVGLEEDGVALAGVEVDVVDDERLHVVAVGLHHRHLVLQNFTISTLFQLQTYFLQSY >OB06G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14654572:14659175:-1 gene:OB06G26500 transcript:OB06G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MF56] MAIAGGAALRRRLLLVAAAVCLLSAASPARAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPDAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRTKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQNSPEARIVGFHVIPSSIKHEYGSWDDKNPTVQTCNANNKITPGSHTPQDVVPETYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTVVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFAVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >OB06G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14663491:14669359:-1 gene:OB06G26510 transcript:OB06G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLDKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAH >OB06G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14676132:14677163:1 gene:OB06G26520 transcript:OB06G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLFCPRRKAAAAAHGEFVKLVFPGGHVELLDRPVLAAEILFLLNYIVSGSNFWASFWPRLCSELFYQ >OB06G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14678253:14683922:-1 gene:OB06G26530 transcript:OB06G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAARPLVNCPPPCFPSLSIGVAMARVCLGESEAIPGLPIIGNLHQLKEKKPHQTFTKWSETYGPIYTIKTGASSVVVLNSTEVAKEAMVEKFSSISSRKLPKPMSVLSRNRMVAVSDFGDFQKMAKRNIMLSMLGYTAQKQFRDARERMVSKVLSNLHKLVTADPHSPLNFRDVYITELFGMSLTQTLGEDVSSVYVEEFGREISKVEIFHVLVHDMLMWAVEADWRDYFPYLSWIPNKSFETALFTAEARRNSVMRALIKKQKERIERGEARTCYMDFLLEHNSTPLTADDYQMLVFLWESIIASADTVLVNTEWIMYEIAKNPDKQERLLEEIREVCGGRTVTEEDLPRLPYLNAVFHETLRLHSPVPVLAPRFVHEDTTLAGYDVPAGTQLMINVYGCHMDKRAWDSPEEWAPERFLGGEGVSGAGRAFGAGKRICAGSLQAMNVVSVAAARFVQEFRWALREGDGGKEDTMQFTALKLDPLHVHLEPRGRV >OB06G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14690255:14692299:-1 gene:OB06G26540 transcript:OB06G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISDYGDFQKMAKRNVMVSMLGYSAQKLFRGARERMVSNVLSNLHKSVTADPHSPLNFRDVYITELLGLSLTQTLGEDVSSVYVEEFGREISKDEIFHVLVHDILMCAVMADWREYFPYLRWIPNKSFETTLFTAEARRNAVMRALIKKQKERIERGEARTCYMDFLLEHNSTPLTADDYQMLLFLWESILGSADTVLVSTEWTMYEIAKNPDKQELLHQEIQEVCGGRTVTEEDLPRLPYLNAVFHETLRLHSPVPVLPPRLVNRDTTLAGYDVPAGTQLMINLYGCHMDKRAWDSPEEWAPERFLGGEGFEVADRYKTLAFGAGKRICAGSLQAMNVVSVAVARLVQEFRWALREGDSGKEDTMQFTALKLDPLHVHLEPRGRV >OB06G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14705914:14707988:-1 gene:OB06G26550 transcript:OB06G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKFSSISSRKLPKAMSVLSRNRMVAVSDFGDFQKMAKRNIMLSMLGYSAQKQFRDARERMVSNVLSNLHKLVTADPHSPLNFRDVYITELFGLSLTQTLGEDVSSVYVEEFGREISKDEIFHVLVHDMLMSAIEADWRDFFPYLSWIPNKSFETALFTAEARRNAVMRALIKKQKERIERGEARTCYMDFLLEHNSTPLTADDYQMLLFLWESILASADTVLVNTEWIMYEIAKNPDKQERLLEEIREVCGCRTVTEEDLPRLPYLNAVFHETLRFHSPVPVLPPRLVHRDTTLAGYDVPAGTELMINVYGCHMDKRAWDSPEEWAPERFLGGEGFEVADRYKTLAFGAGKRICAGSLQAMNVVSVAAARFDLEPRGRV >OB06G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14715376:14721940:-1 gene:OB06G26560 transcript:OB06G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGVVAAAVGGLVAVAQPKNRSNAPPAIPGLPIIGNLHQLNETKPHHTFAKWSKTYGPIYTIKTGVFSVVVLNSTEVAKEAMVEKFSSISTRNWPKAMSVLTDNRIVAASDYNDFQKMAKRNIMMGMLGFNAQKQFRDTREKMISSVIRTLHKLVTDDPHSPLNFREVYITELFGLSLTQSLGEDVSSVYVEEFGRDVPKDELFHVLVHDMMMCAVMADWREYFPYLSWLPNKSFETTLFTAQARRDAVMHALIKRQKERIARGEARICYMDFLLEKNSTLLTDDHQLMLFLWESILASADTVLVTTEWVLYEIAKNPDKQERLHQEIQEVCGGRAVAEDDPPRAAGPHARPLHETLRLHSPVPVLPPRFVHDDTTLAGYDVPAGTRMMINVYACHMDGGAWDSPEEWLPERFLGEGFEVADRYKTLAFGAGKRICAGSLQAMNVVSVAVARFVQEFRWALREGDGGKEDTMQFTALKLEPLHVRLEPRGRV >OB06G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14705914:14737015:-1 gene:OB06G26570 transcript:OB06G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSMLGTSAQKQFRETRDMMVNKMLRTFHKLVTDDPDAPIVFRDVFKDELFRLSLIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNRSFETRVFTTEARRSAVMRALIKQQKERILRGEARICYLDFLLAENTLTDEQLVMLVWEALIEAADTTLVTTEWAMYELAKNPEKQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMSKEEWDSPEEWAPERFAGGRFEVADMYKTMAFGAGRRACAGSLQAMHIACAAIARFVQGFQWRLREGDEDKVDTVQLTAYKLHPLYVHLHPRGPRM >OB06G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14750338:14752710:1 gene:OB06G26580 transcript:OB06G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAYRGAICATVSGCVPGWAYKEGAACMELPEPDLTASALLQVQQQFYRETGTEPWIAGSMSEVVNFVSWQMAVFMGCESGEIERDKAAVLANTTEYMDKLISQVSELEEKNRQLEAQLAMHAIAGEPQQTAAFGGGSGEESSERVRVDVAIVGSSASASHRSREVSIRVAVRAECDVSKLVVAVLSRLRGMGRFGVVSVDARQRDSSLAQASLTLRVTEGMRKINLLDLA >OB06G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14756489:14760415:-1 gene:OB06G26590 transcript:OB06G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) TAIR;Acc:AT5G26040] MASSASSAAATPAEPPRRARDRILSSKLYFDVPGSKAPVVYSPAYDISFLGIEKLFLTKEGHLEKNRVVEPLEASKDDLLVVHSESYLNSLKSSLKVASIVEVPPVALIPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNVSRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPFDHVAKRYIDQKVELASGTKTDDYLDQLDKAFKASKSRFQPQLIVYNAGTDILDGDPLGRLKISPEGVVIRDEKVFRFAKDQNIPLLMLTSGGYMKSSARVIADSIINLSNKNLIELGNQLG >OB06G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14764709:14767831:1 gene:OB06G26600 transcript:OB06G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWRPRTRAVPFLPLLLLLLLLAPLIYTVSRMQLSWAPERGLCLXXXXXXXXTRLCLPPPTGPRRPDRLVLGAAAGQGRPDRLQCQGLKAVNKIGLSSERNYSGGHVTFVTVFTTYNPDSAETGKVSPDVVTVGKHSYSKAGRSMAVLNTFISFIQVSMPTSNVIILTDPNSKFSINHRSAAILPIEGNYSRGNLMLQRIRSYIAFLEQRLEELEAVDDVNHFIFTDSDIAVVTDLGHIFKRCPHCHLALTFRNNKGQPLNSGFIAVRGTRDGIFKAIEFFKEVLETYRLKYMKASRMLGDQLALAWVVKSHLPSAFGKFSKHEAFTGEVNGASIHFLPCAVYNWTPPEGAGQFHGMPLDLKVVHFKGSRKRLMLEAWNFYNSTSELSDMLCLILKSGRTKYDF >OB06G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14770299:14774181:-1 gene:OB06G26610 transcript:OB06G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYNGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPDGAVFESNAIARYVARLKDNNTLCGSSLIEYAHIEQWMDFSATEVDANIGRWLYPRLGFAPHVPALEEFAITSLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYYGFARILIKKFTSEFPHVERYFWTMVNQPNFKKVIGDFKQTESVPPVQKKAAPPKEQKAKEVKKEAPKEAPKPKVEAPEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYDWTKVDLSDEAQKERVNAMIEDQEPFEGEDLLDAKCFK >OB06G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14771576:14771762:1 gene:OB06G26620 transcript:OB06G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNQLTFLFLIKRMASSSGNPSYLSMILGNLLAINQTSMKRMLAYSSIGQIGYVIIGIIVGDS >OB06G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14790745:14792863:1 gene:OB06G26630 transcript:OB06G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYMSQLSAALPLMEVDHHHRHHQDHHQGHFQAFSLQPKDPPILFPFVISSSSSSSSSLSDTTTLSYGSDHHLMQQQQQHRAMLEPQHMIGGSPAGIFAAPFPTVESIRDDMIERSPFDPYDTEKLQVNCGSAKVVTGGGGWSAVPAAKMKITRKMGEPSGVTNGAAAAAPKKPRRRAQAYEDHPGHGGGAGGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGPPASPNTGAKAAAAQSDATVAAQPKVKKEKRADVDRSLPFKKRCKIVQLPAITGGSLLRGGAVGAGRDHGRRHAAHDAVLRACPELKDPPDPATISLLAPSHAPIHP >OB06G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14809656:14812893:-1 gene:OB06G26640 transcript:OB06G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMCSNSSYTAYSITTICYSSMPRARLATFLVVTGFLSTVVGYLGAPADCTTFAGGNDVFSMDDVVSKIHTDHGVTGDASSDFGRMVEAEPSGVSRPSSPADIAALIRFSLSRPTPFTVAPRGRGHSTRGQALAAAGGVVGHGHDDGAGRRRRRRVAVSADGTYADVGGEQLWIDVLHGALEHGRPRGLAPRVWTDYLRLTVGGTLSNAGIGGQAFRHGPQISNVHELDVVTGTGEMITCSREANRDLFFAVLGGLGQFGVITRARIGLEPAPKRVKWVRLAYSDAHAFTADQELLVSKRPDGGCGFDYVEGQVLLNRTLTESRRSSSFFSASDLARLAALAVDTGSVAIYYIEGAVYYDDVTAVSVDQKLEAVLEELSFVPGMVFVRDASYEEFLDRVGREEQNLRSAGAWDVPHPWLNLFVPGSRIADFDAGVFKGILRDANPVGLILMYPMNKDKWDDRMTAVTPDEDVFYAVGLLRSAAAADLGQLERENAAVLEFCDRAGIGCKQYLPHHASPDGWRRHFGAKWRGVAELKARYDPRAILSPGQGIFPPPAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGDLPAAGGQRTQYCCKCTYTEGSIHLNSHSDHCVIFRYRESQLSRIVSFGPELKKDTSIHSKERPNFRSFSFFIIIFFPFVFRTVGSWFDS >OB06G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14815439:14817593:-1 gene:OB06G26650 transcript:OB06G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVNFLALVCAVPVVATGIWFASKQGEECARVARWPVAILGGLLLLVALAGFVGAYWNRQGLLAAYLFAMAALITLLLALLVFAFAVTRGSGAYPAPGRGYDDYRLEGYSTWLRDYVAGDPRRWEGIRACLAASDTCRKLAQESVFFITPEQFYQSHLTPLQSGCCKPPTVCGYAYMSPTVWVNPANPAADADCAMWGNDPSQLCYECSSCKAGMLGTLREQWRKANVALVIATVALIFFYVIGCSAFKNAQTEDLFRRYKWRN >OB06G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14827561:14827884:-1 gene:OB06G26660 transcript:OB06G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLDNQQTKGMEPSILGVRIWYAIINVRRQILTDKTLLTAVVLLGKWPPNVIDARHPRRNAAFRIGENLGVSRRCSNQPQLQDHRHSERLAYCRFLATLHLSPSLV >OB06G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14827582:14829739:1 gene:OB06G26670 transcript:OB06G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSKKAAICKALAVTMILQLWLIATPPAHAQILTYPEGCVPSWMPCVDNVGWPFTEQNNCCEQANAGDGESVTRTVPNGVVRIHSRAPGIVRASTVGGLGGTCRSGICATSAGATGPDRH >OB06G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14835721:14837751:1 gene:OB06G26680 transcript:OB06G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPIHTLSTNMPDEGSLNLESRNMLSACNWYEGLALFEPDNSGHQILKSRLHLFLVSVLEFVDGELWLLNHQASSIEHCWCHIAIVVMFVSWNNDYDWCLKRGLECRSGSWNATIR >OB06G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14846824:14847120:1 gene:OB06G26690 transcript:OB06G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPLLQHQSKLPSAGEPFFLPLLLLHTFHQFTHLTTSSNGEHNGGNPNGRENNQNKKLNEKTEKNPTEIKNTRIKSPPPPVLSSPTLPPPPPWERR >OB06G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14846940:14851323:1 gene:OB06G26700 transcript:OB06G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3MF76] MFGGALTLLLLVAAAAAASTANAAVSYDHRSLVINGQRRVLISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVKFVKLVKQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGARPYVDWAAKMAVATNAGVPWVMCKQDDAPDPVINTCNGFYCDDFTPNSKNKPSMWTEAWSGWFTAFGGTVPQRPVEDLAFAVARFIQKGGSYVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPALISGDPTVQNIGNYEKAYVFQSSTGACAAFLSNFHTSSPARVVFNGRRYDLPAWSISVLPDCKTAVYNTATVTAPSAPAKMNPVGGFTWQSYSEATNSLDDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGKWPQLTVYSAGHSVQVFVNGQYFGSAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPVTLSGLNEGRRDLSNQKWTYQIGLKGEKLGVHSVSGSSSVEGGGAAPPARSR >OB06G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14854769:14856211:1 gene:OB06G26710 transcript:OB06G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Fanconi Anaemia group E protein, C-terminal (InterPro:IPR021025); Has 41 Blast hits to 41 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 10; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 4 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT4G29560) TAIR;Acc:AT4G29560] MEQWLPLFRYLLASPAPNAAAFSSSSSGDVQCPSSPPTAAALLRLLLSPVPTLPASDPPAVLFQTLPPFVQSQALSFLASSVSLLDPALVRSLATRVLSAPSGRHDFWARRGARHLLDGLSQGGGVDAPGDSLDEFHEPPPWLKEAAARTRPSLPWLPLEWRSVNASNVSSGGDGFDKVGLESLVLEKEEDSEMQEAECVPSLSAPSLGTQSLHRALALQKEILMAESILVAQRAAKDLQQLCVESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMRGKEPALMLCSLFLPKLLNLQRPVSSVLLAAALDLCKRHPAAALEAVLFPLVLRKEGLTVPQCDVVTRIVKDGMHPLHVTAFCHRLLSGDEKERIPICMPEHQENIGSNLVWTESLFALLYSILNQDICLTPSTMENLVSVMDEMASKFSKSLKFGNFLLCFISKCWRESKIHRVLLEGAAEKTDTFLTKAILAKLRTAN >OB06G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14857565:14858930:-1 gene:OB06G26720 transcript:OB06G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC oxidase 1 [Source:Projected from Arabidopsis thaliana (AT2G19590) TAIR;Acc:AT2G19590] MEIPVIKMDELHVEKRPEMLSLLHDACAQWGFFWLENHGVNEELMNKIKRLVNKHYEQNMEKRFYDSEMAKNLGPDNVASNVDWECSFMYRHQPKSNIYDIPELVRTTFPEYAEELIKLAEQLAEVMSENLGLDKDYLKKAFSKPSVGIKVAKYPRCSNPELVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGKWMPIPPTQANRIFVNLGDQIEVMSNGIYKSICHQVVPNRNGSRLSIATFYNPGPDAIVFPAPKLTYPSQYRFKDYLDFYSTTKFTDKVSRFQNTKMIFK >OB06G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14864640:14864963:1 gene:OB06G26730 transcript:OB06G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLPVAPAPAAHEEDYFAQEVACKSSCADDTEAPVKMAFVFDHGEPRGILKKSASSRQESARPSTSSQRHVRFSTAMAASCPTSPCVTPRLARARAEFNAFLEAQSA >OB06G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14868736:14873554:1 gene:OB06G26740 transcript:OB06G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAYLAATRAALAALERNLLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLHHLLLFAHALEEMPIAIETDKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDETSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYRKCSITGICNSTTQKTFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDNSIASIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFQKK >OB06G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14871309:14876646:-1 gene:OB06G26750 transcript:OB06G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSSSSSSASSAGGDGDGGARGAAEAAAGAIVVAVAVRGDGVALVHVMPPVSFVPSPSGERVPVEKMDAVTVEMYAQDRRARAQEEVFLPLRRLLGRTSVETVVLEADSVPAALVRYMAESGVRNLVVGSTSINWFRRIFRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKLANQARTGKSSTRVRTQSISHRSFSHIQKNWLLDKQSLHDHLDDGILKSSGDTSSDAGSHTCSSRSISTNAGKSSGSHGRSLFGSLGRKTPGRDVNKDFDANDRLKEVPYVALSAIDEHDFQSQPVDEVAKLRKELQDTLTMYDKACEDLVHAKKKIEVLSSECSEEAKKVQDALQREVLLKQKVADEKAKHLEAVTEVEMAKTLFTQEAYSKHKAEIVANMVTAENANFMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLISNKGQQSLHWFLRIQIIFEVSCGLAFLHATKPEPIVHRDLKPGNILLNKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLSGKRPHGLIVSAEEAIKKGSISDILDKSQIDWPIAEAEILAKLALRCTALKCRDRPSLESEVLPELESILSRVTASPTLTSPNTAVPSHFICPILQEVMDDPYVAADGHTYEHMAIKAWLKKHKTSPVTKQRLPYLSIIPNHSLRVAIQQWKSQLA >OB06G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14886669:14896311:-1 gene:OB06G26760 transcript:OB06G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDATERRARQSVSHTARRKVVEEPFDPSPRPAAAAAPSSHLVGSIVEKGFSAAAPSSAPSPTVLPFPVARHRSHGPHWNPPTRDASMADGEDDEEAMDANEMDYQPVAIAAGPVKRKEKKGMDFSRWREFIADDAPPKRRQAKQLQPKKHTVQKIDSEIVASTVGGAAREKVPGGTGMQLEFGNGKEELGGDAVMSDVASRKAMKQVDAKDDVRNVGRSRDVELRGEGVELDSREPSLAAEINAENMSRLAGMSAGEIAEAQAEILNRMNPSLVEMLKRRGREKSGSRNDGAKAKGGEISGPGKISKAMPEEWLSAGEHSGHSWKVWSERVERIRSCRFTLEGDILGFQSSQEQLDGKKSHAESIGERDFLRTEGDPAAVGYTINEAVTLTRSMVPGQRVLALQLLATILNRALQNLHKMDRIDNIKESNCNNMFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINVMLSYDLNETYFNFLEKVVDQGNDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVIVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDYLVSILVALARHSPQSADAILNCPRLVQNVVKLLIKQGSMEIYSSQIKGVNLLKVLSKYDRQVCFNFVNNGAFQQAMWHWYGKAYTLEDWIRSGKEHCRLSSAMIVEQLRFWRTCISYGFCTTHFTDFFPMLCLWLSPPFFQKLSESNVLAEFSSIATECYLVLGALAQRLPLLHSAEQLGKQDMGVSDTHVETWSWSHAVPMVDLALSWLRLNDLPYVCSLISGQSKNILEGSYLALVISSVLGMLDSILERISPEGTPDDKSHSLPWIPDFVPKIGLGVITNGFFSFLDNDAVEPEKHRSFRGAPLVHGLCHMRSLGNVDASLCSVSCLQRLLQLSCSIDRVIQKTTTNCTEHLKESKTGIAGRILGQGICSFWCNNLSGMLTSLLPTISSKWSKLQNVEMFGRGGPAPGVGFGWGACCGGFWSLNFLLAQLDSHFLLGLMKILSAGPEGLVSANKSVNLDNVADPVAITSERISSVLGLSLVAGPGQIPSLEKAFDILFHPSILKFLKSSVHSIDSHMKLAKTFDWDITDDEYLHFSSVLNTHFRSRWLVMKKNKHSDKYTRNNSGANGPKKLETLETIQEETELAEAVNPSCSMLAVEWAHQRLPLPVHWILSPVCCIDDPKGNLSTSTSYAADVSKAGLIFLLGLEAISAAPCLHAPLVWKMHALSASIHSSMDLLQEDRSRDIFHALQELYGQHLDRLCQKYVSAHSVKKEGSVTTVEEEKVVTTGVLRFQEKIHASYTTFVENLIEQFAAVSYGDILFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLDKCVGDIQGYLEPLEDDEGILESYAKSWTTGVLDKAFQRDAMSFTVARHHLSGFVFQSSGSDKVRNKLVKSLIRCYAQKRHHEDMLTSLVLEGVAQNSQRNDEVSRRFEILKDACEMNSSLLAEVQRLKTSIDR >OB06G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14902822:14910351:1 gene:OB06G26770 transcript:OB06G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MF83] MGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >OB06G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14912126:14914546:1 gene:OB06G26780 transcript:OB06G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MF84] MVAISASLLLLTLIHRLLCISALDFLLPGSSLSIEDVLYSPDGTFTCGFKTSFDGSNASTFSIWFSNSSEKTVVWSANALHPVYTWGSKVELKSDGSMILKDYAGQIVWTNNVSSSSDAQQAQLLDTGNLVVKGKGGNTLWQSFDSPTDTLLPTQSITAATKLVSTNRLLDPGHYSFHFDDQYLLSLFDDEKNISFIYWPDPSGTIWSKNRSPFNSTTNGVLDSLGYFLGSDNATFIAADWGPGIVRRLTLDYDGNLRLYSLDKGDRTWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACSCAPGYEFVDPSNRSKGCSPRVNLSCDGQKVKFVRLRNTDFLGYDLTVHRFVSLAFCKNICLNDCRCKGFAYWEGIGDCYPKSVLLGGVTLSNLGSTGNMYIKLPEEVQVSMSSIPHSQPFGPKYGPNCNKTNNTFMANFLDTLNSGQNASKFLYFYGFLSAIFLAEIAFVILGWFILRREAKQYRGVWPAEGGYEMIANHFRRYTYRELVLATRKFKDELGKGASGIVYKGVLKDNRVVAVKKLVDLNEGEEEFQHELSVISRIYHTNLVRVWGFCSDGPHRILVSEFVENGSLDKILFGRDGSHNLLGWKERFNIALGVAKGLAYLHHECSEWVIHCDMKPENILLDENMEPKIADFGLAKLLNRDGSNKDISRIRGTRGYLAPEWVYSLPITAKVDVYSFGVVLLELLNGARVSELERSDDEDVKMALGRVIKLCSEKLKSDCSNQSGIVDFVDTRLNGQFNNSQARMMMELAISCLEEDRVRRPTMECVVHKLLSVDEVSTQ >OB06G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14924308:14926731:1 gene:OB06G26790 transcript:OB06G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MF85] MVANSASLALLLLLTLIRLLLCFAAHGFLLSGSSLSVEDVLHSPDGTFTCGFYRISPNASTFSIWFSSSSEKTVVWSANPLHPVYTWGSKVELKSDGRMVLRDYAGQVVWSNNVSSSSDAQQAQLLDTGNLIVRGKGGNILWQSFDSPTDTLLPTQSITAATKLVSSNRLLDPGRYSFRFDDQYLLSLFHDEKNISFIYWPNPSITIWSKLRTPFNSTTDGVLDSWGHFLGSDNATFTAADWGPGIVRRLTLDYDGNLRLYSLGNADGEWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACSCAPGYEVTDPSDRSKGCSPSFNLSCDGQKVKFVELRRTDFVGYDLSVHRSVPVEFCENVCLNDCRCKGFAYLDGVGDCYPKSVLHGGLTISDSTTTITMYLKLPDEVEVSMSSIAHSQPFGPKNGPRCNTTDSFFIADFLDTLNSGQSVSKFLYFYGFLSAIFLAELMFVSLGWFLLRREAQQLRGVWPAEAGYELIAKHFRRYTYKELVSATRKFKDELGRGASGIVYKGVLKDNRVVAVKKLVCVNEGEEEFQHELSVISRIYHTNLVRVWGFCSDGPHRILVSEFVENGSLDRILFDDEGSQDLLGWSQRFGIAVGVAKGLAYLHHECSEWVIHCDMKPENILLGENMEPKIADFGLAKLLNRDGSNKDVSRIRGTRGYLAPEWLYSLPITAKVDVYSFGVVLLELLKGARVSELGQEEEEDVKMALGRVIRLCSEQLRSDGGNQSWIADFIDTRLNGHFNNSQARMMMELAISCLEEDRARRPTMECVVQKLVSVDDASTI >OB06G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14929105:14929266:-1 gene:OB06G26800 transcript:OB06G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHPIPFISPNFTQFLLYPSVVPPIPYIPLTLVSIYSMSKCNCKLVSIFKKD >OB06G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14929548:14934295:1 gene:OB06G26810 transcript:OB06G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGVLKDKRAVAVKKLSDINQGEEQFMHELSVIGRIYHMNLVRVWGFCYDDQHRIWVSEYIYAENGSLDEILFASQGSQALLEWKQRINIALRVAKGIPAAVEWTSALRPARVSSCPARRECDGPRDGGGAGGEENFYYIYYRCSLIKFDKIRSVGFKTINDSPSFKGNEVKCCRMSNLLFAIKFSQNYYRLQTRRSTKRDPVMGTRQLKQVKYAKEYRRTGEVMQLSGTKLVTVNINLYRWYHMIPVGIVGVSETMRRMEASAVGGRRWHMKTVERNSSVGAIVPKANSIIHHLHWRIGGDDASIVMHSSDDA >OB06G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14933038:14933325:-1 gene:OB06G26820 transcript:OB06G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNTKVVDVTYYVKRKPKFNDMLLNMLRRYVHEYIFASMYTYLKRNSGRWCIHHLTDIYSKLCYPLNFLISKVFKYKLITMYKVKLRLIFYIEI >OB06G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14933616:14933981:-1 gene:OB06G26830 transcript:OB06G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAHRCLPPPTHHDPPFRTSSPPALTSYRAVSLHRLHVPAPTSHGASLHPPHCLADADDTNWYHMIPTIQINIHRYQFGTAQLIPNTEQGGFFQRAEACGHGHTHNLVGFSHQRAEAETDVGG >OB06G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14946463:14949851:1 gene:OB06G26840 transcript:OB06G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELSTAPLAAQLASRAAAELSGANVVQHKASMPFAGIENGARVSRRPTTATPVRPPPPPTPPSLAQDEEAVTAADTDRLHHAQAKANLEAGGIAVVFGFAVLTAWLCLPSEAKHPSNMRFTVSLLLAFGTFVSGNCLMFLSMNMIGLRRQLVTGVQHGASRWLFFVCAALSTMTLVSLLALLPGRVYLCLVGLAMLASVAMPIAAAYWYFTRHAHGVGGDGEEAAAAAAPPPEYREEMEAAWKTTTGVTNSAFGGLVGVLSGASMVSDASSRTLATYVAVFFMFSAAMIGMFVMTVSKRAVDVTNQRILRGASSVVIGTVMAAAFVPLAITALLYLLLRHCTPGRGGARPGALTEEQEARLKATEDIASKVTATTLGAIMSVLGGSLAEEADRKAGPVDAVMVILTSAFVSGCGFMLLASMPAGSSARARLAPVARVLAWSSMAMFVGTAVAVYGAQAWRI >OB06G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14967282:14967586:1 gene:OB06G26850 transcript:OB06G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFNSAVLMDYLVDGPAWTGGAIGGVLFSATLLLAFAMLLCGNALTLIGLVGRLSGGRRVAVASKCLAVGCVSLSAITSLRRLICAFPQDLANLCRLCMP >OB06G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14970770:14971363:-1 gene:OB06G26860 transcript:OB06G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTTPSQPLSLLVVHRCGRAVAGVVPSLAIRARGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVAQRRPLPPPHGTVPSAVRALPPRRPRVVYARRNERSKGRKTKKGRESRRK >OB06G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14984002:14984259:1 gene:OB06G26870 transcript:OB06G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRAGDSKAVATPPVPQTGHDYNRVKPDTFFLSCFFFFSSGIFAPVLLLRRRLLRLRFVHFARPIDRLSKRESDFPNVNQLATG >OB06G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14986748:14988877:1 gene:OB06G26880 transcript:OB06G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEEEIFVLHWLLGKNWLDHITMRRIFLGSAKPSTLLIDRKAASAAEREEEEEVRKANEQKAKLQKDIEFGALVASLSVSVGMTGFFLSPLVKGRKALYLDIAMFLALSSFVCGSSFMLLSMQLPGAMERQISGLHRTLSRCLFYTCSVLPALTILYLLVVMPFIRPYIYIGLVVILAALLLPVAIMYMYYRPCPSSKTDKNTDMEMAAPAPEINEKTEMAEPTPEINAQKEMETGYKITSAITAMSFAGLVGVLFGVYKGGSGSGGAISGSVHVAVMSMFSTALLSMLLTMLLMKAMESEKPKLREFVARMIPYANAVLLLFLAVAALAASFGILKWYVLATLVPLALAATVQFVIQYCTDQKTLQVGDIEAQLKLMADMASKMTACSLGIVMALFGGFLGDDRKTDEKKVALKICMFLPTSAFASGLGLINHTLLQALAARGSSKAARTVLPWSAIGLLSAAAFAIYVVEVVKS >OB06G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:14997737:14999352:1 gene:OB06G26890 transcript:OB06G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDLPTLENMEHRLKGMENWYEELMRSNQSHKESTDKHLKTLSESLVKVVDQIEKMNQSHNQLTENMQNMNLGHEAIIRRLGLLEDAGGESVGAANRRCQRNDGDEYKQSIADDEEDGEEGSVGNRRRRPIRNRDDTLSKVKFTMASFDGKFEPSVYLDWELAVEQKFSCYEFPDNKKVKAASSEFTSFASLWWNDICTRGLRPRTWNDMKRAMRSRFVPSYYARDMLNKLQLLQQGTNSVETYYQEMMIALSRCDLQETEDASIARFNGGLNREIQDILDYKDYNNMNRLFHVACKAEREVQGRNWCHGIGHIARNCPNQRVLFVNDDGGQDNDDIEEVHLHPESLDQYPSLIVKLVLSAKEKCEEQNQRHNLFQSKVLVKGHTVKVINDSGSCNNLASAEMIKKLGLTTHLHPHPYHIQRFNTCGKMKVTRFVKVPFSCRIEQD >OB06G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15039899:15042251:-1 gene:OB06G26900 transcript:OB06G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDATMEIGAMALRCCRRNRPIFLLGWRPPKQKGSLSILLSEAKLLEREAHVLVNREGCSCPRPSRITINAVIESQSYALLPHGPHSAVRARYGGRGAEPPLSTGHPWILTPGDVCPQGPTPFPSGSGSL >OB06G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15045441:15045908:1 gene:OB06G26910 transcript:OB06G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPTTEPLVNHPKESTSKGMNEDGGDGRFSWLTALGFGFLTFNSGMAIYRSDGDKGSVAFVVASYLDLVLLFACLRLFERAPRNSPRREWLKLFVWALTTLLTVMFSYKVAAIMPPLVAVLVWAMAFATIGGGFYAFFVHQDKAAQLEQPNM >OB06G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15056870:15065247:-1 gene:OB06G26920 transcript:OB06G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASFVLVVEVLENLAFLANASNLVTYLMGFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISALVEFLGLVVLTIQARTPSLMPPACSRGAGAAACEPVSGPKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHSPRGRKGRSTFFNYFVFCLSCGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAAFAGRGGAQSSSNGAVIDRAPSPTGSTDMKEYCKPGDICFADAEAATEPSQELVFLNRAVQRQQRCGALSCTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIIFLAPVYDHVIVPFARRVTGTEMGISHLQRIGTGLVLSIVAMAVAAGVKRNNVASSAGMLDSAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGERLNHYHLERFYWLMCVLSTLNYIFFLFLAIRYKYRNAGVIKG >OB06G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15095015:15101343:1 gene:OB06G26930 transcript:OB06G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYKVVFAALGVLMVGTLVYTTVTDGSPFRPELLTPWMVATLIDFYVNVIAILTWVVYKEVNWINSIFWVVLLFCFGGSATCAYLVVKLSEIKPSGPFQDPLDLLFLRQGNLSQRKSSFVITGRIIFSILGAMMAAIVIYTVITDGLPFRKELLTPWMAATLLDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVVQLFQVSYQDPIYHVLLNLHNKSLNTEQGRPPSIIPSISVKA >OB06G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15104924:15108245:-1 gene:OB06G26940 transcript:OB06G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDGQLPPCIANLTLLTRIHLPFNQLTGEIPAELGDLRHLSYLNLSSNHLSGKIPNSLSSCRRLQIIDLGINSLSGEIPAGLNNCMDLQVIYLDHNKLYGSIPEGLGMLPNLSELTLASNNLTGSIPFSLGSSPSLSLLSLPDNRLTGGIPPLLANSSSLRFLALTNNDLTGQIPPALFNSTQLEVMGLAGNQFFGSIPSIPNIYSPLKYLVLSQNNLSGIIPCTIGNFSDLVWLLLAENNFHGDIPLSIGTIPYLDSLDLTINNLSRTVPPSIYNMSELTYLGMGTNRLVGEIPEGIGQTLPSIQTLIMQGNRFQGSIPSSLANATNLQVINLRDNALHGIVPSFGLLPDLSILMLGQNQLEAGDWSFISSLTNCTKLREIYLDNNNLEGMLPSSITSLSKSLEILYLTANKISGTIPPGIGYLTNLTLLQIESNLLTGNIPETLGNLSNLLVLSLSHNKLSGKIPLSIGELSKLTEMYLQENDLSGSIPRSLGECKNLVTLNLSCNTLEGNIPKEIFYLYSLSECLDLSHNHLSGHIPLEIGGLVNLGPLNISNNQLSGEIPSTLANCLHLESLHMEGNLLDGRIPESFMNLRGIIDMDLSRNNLSGEIPKFFKSFSSLKILNLSFNNLEGPVPTGGIFYNASEVFVQGNKKLCSNFHLLQLPNCYTLGSKRKHNLHIVMAVGLATLALFFLSCLLAVVSRKTKRAKKQALLSTKELKRVSYSDLLKATNGFSSANLIGSGKYGSVYKGRFDSEGHEVAIKVFKLDQLGAPKSFIAECEALRNTRHRNLVKVITACSTSDTTGNEFKALVLDYMANGSLESCLYPKLNKYVLEKPLSLGSRITIAVDVACALDYLHNHCNPPIVHCDLKPSNVLLDDVMGACVGDFGLAKLLHIYPTVNNSSTSLFGPRGSVGYIAPEYGIGRKISTKGDIYSYGIMVLEMLTGKCPTDEMFKDGLSIHKFVEKSFPQNIGDIIDPNIIPNFEDDDLESNLDRGNHAIPGFWGCIMKLLKLGLSCSLESPKDRPTAQDVYFEVTTVKTACSALSD >OB06G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15114783:15115335:1 gene:OB06G26950 transcript:OB06G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGARLLAVQLLIVLVVLIFIASGLLVVPTAAKSGSVGSSGNLMPINLADNAIGSPTIHRTVVTTHGDGGFG >OB06G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15128566:15128919:1 gene:OB06G26960 transcript:OB06G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGARLLAVQLLIVLVVLIFIASGLLVVPTAAKSGSAGSSGNLHISADNFADKAVGSPTIHRTGIVSMHGRATGGD >OB06G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15138650:15143284:-1 gene:OB06G26970 transcript:OB06G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLLIMEMLLFASFLVLPCWAKANGTVPVPLATLSTAKTATTTAATDHLALMSFRSAITGDPSHALRSWGNQSTSMCRWRGVTCGTRGGHRGRVVGLALPELNLDGTIASSLGDLTHLRQLDLRANSFRGVVPPELGRLAQLEHLNLSSNSIHGEIPPALASCRHLRVVSLRRNSLQGVIPPAIGDLSELRVVDLMHNMLRGSIPPELASLRDLEVLILGHNNLTGDIPIELWNLKGLVYLHLRPNMITGQIPTEVGNLKNLTELYLGQNHLTGPVPSSLGDLNKLQILYLDNNQLSGPIPPSLGNLSSLTVLDVQSNALTGNIPESLGNLRLLDVLSLTFNNLTGQIPDTLGNLYFLTEFYLNFNELEGSIPPSIYNLSSLLYFSVGKNKLSGSLQNDVGNKFPKLKSYDISDNAFHGTIPLSLCNVSTLELLQLPINSFSGVVPNCLGINTKNLFALILPQNQLVARNDEDWGFISSLANCTKLQYILLSRNKLEGVLPKSVANLSTSLGTFSITKNRVSGNIPEGIGNLVGLVTLHLGENLLNGTIPASLGKLRSLGELDIAINKLSGSIPPTLGNLTMLNRLSLHENTLNGPVPSSLGRCPLEFVSLAYNQLVGQIPKEIFLISTLSDFAYFEGNNFTGILPPEVGNLVNLGRLDISGNRISGSIPTSLSECSSLQYLNMQENLFDGTIPSSLEQLKGLQVLDLSRNNLSGQIPEFIGRMQGLTNLNISFNNFEGQVPELGIFLNASAVSIEGNSGLCGGILQLNLPHCINHTSKKSHKLFIAISLGSTTLFIILACSLLALWKSKDHVRNIRQTLSLPYGEHIRVSYADLMKATGGFASENLLGTGSFGSVYRGTMMNDDQEVNVAVKVLRLQQRGASQSFVAECETLRCIRHRNLVKILTVCSSIDSSGLDFKALVFEFMPNGNLDEWLHHLLEDGNHRVLNLSERIDITIDVACALEYLHHHKPAPIVHCDLKPSNILLDNEKVAHLGDFGLARFLDQDDTSLPEISSGWATRRGTIGYAAPEYGQGNEVSVHGDTYSYGILLLEMFTGKRPTDGEFVHDFNLHRYVELALRDQVTCMVDQDLLPATDDGTEKTPVSDSIREIRMAAITSILKIGILCSKELPTDRMQISDAMKELMGIREKYRTHL >OB06G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15150223:15153797:-1 gene:OB06G26980 transcript:OB06G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARTLSSSLMNATVGYSLWIIFAYASLLHSTTLASGSVTDLQALLCLKRHLISTNDAAALASWNNTNQFCSWPGVTCSSGTPQQASRVTALNLSSHGLDGQIPPCIGNLTSLARIDLSDNKLSGAIPAELGRLRRLVQLLLYSNNLAGVIPNAMSSCASLEHVDLGGNSLGGEIPPDLSNCSNLTRVLLDHNELHGSIPDGFSNLAKLSLLFVPSNNLTGNIPRALGSSSSLTRVILTNNSLTGGIPPLLANSSSLELLDVENNHLSGEIPPALLNSSSLVAINLARNSLSGSVPAFAYNSPLEMLSLSFNHLSGSIPSFADNSSSLRLLLLASNQLEGSIPSSLARIPYLQALDLTYNNLSGAVPDPLYNISTLEYLGMGTNNLVGEIPENIGYSLPSINTIIADENKFHGQIPTSLANATNLQKISLRNNAFTGMIPDFGSLPNLTDVNLGMNQLEAGGWAFLSSLASCTKLVSLRLDANNLQGDLPSSIGSLSQNLQVLVLTGNQISGSIPEEIGNLKSLQLLRLDKNLLTGNIPRSLGNLPNLFVLSLAQNQLSGQIPLSFGNLKTLSELYLQENHLSGSIPAALGQCERLDALNLSCNSFDGTIPREPFTISTLAEVFDLSHNNLSGPIPMEIGGLINLGPLNISNNMLSGEILSTIGECVHLESLHIEGNLLQGRIPSSFASLKGITEMDLSRNNLSGEIPEFFESFGSMVLLNLSFNNLEGPVPSNGVFQNSSEVFLQGNDHLCAISPKLKLPLCHTPAFKRKNASYIAMVVGLSVLSLFSLSSLSMSFLLKRGKERKPVDVPYKELEQLSYFDLVKATDNFSPSNLVGSGNSGSVYVGKFDSQQHVVAIKVFKLDQLGAPKDFISECEALRNTRHRNLVRVITACSTFDLRGHEFKALVLEHMVNGNLESWLHPTSHKNAMRSPLRLSTRIAIAVDIAAALDYLHNRCMPPMVHCDLKPSNVLLDDTMGARVGDFGLAKFLHSSNSSTRDRSTSILGPRGSIGYIAPEYAFGSKISTDGDVYSYGVVILEMLTGKRPTDEMFSDGMNIHKFVEDAFPLNIEGILDQRIMPDYENEDHDDAIDDLDHDSSSMVGTLNCVMKLAKLGLLCSAAAPKDRPTIEGVYKEVTSIKEEFSALRG >OB06G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15155230:15155550:-1 gene:OB06G26990 transcript:OB06G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIKDKWYCFMVFSCFYRIFCALHKVDCTLSLHFMVQPKNIQQSYNIIKCNIIQRKYILILHTNKITIPTRTHSLWIVGRTVPHFSISIFFKLLTSVFFEKRYR >OB06G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15165310:15166477:1 gene:OB06G27000 transcript:OB06G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKRSGGLARWIPGSDINGMNKTVERHAAAYTGGEERIMHAACLLAGEGWVHPCTERKRDGTNGYGRIKRIDGKYCLLGIFRYDNRLIFME >OB06G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15165854:15166216:-1 gene:OB06G27010 transcript:OB06G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINRLSYLKIPSRQYFPSILLIRPYPFVPSLFLSVHGCTHPSPASRQAACIILSSPPCSMQANKQSNVCKSPCKQSNACNSPCRPPHVVLPFYSFHLCQILLFRENQLFILSKHFLKDK >OB06G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15171079:15172699:1 gene:OB06G27020 transcript:OB06G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRARVCRLLLGRLLLLLVVGACLFFILPAVAQKDSVGRTQIKLTGTLMHSKNLCPALEWSKKRLSCLRVWHVIGSTFDVNNYQAERQKHNAE >OB06G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15176401:15177828:-1 gene:OB06G27030 transcript:OB06G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGSPSCRKHRTASSSFSLAAILLLISIMYCCPAGFVVAARERSAAAGGDSVMKERFEKWAAEHGRTYEDSLEKARRFEVFKSNAQFVDSFNAAGGKKSPRLTTNKFADLTNEEFAYAYGYAPVSTNYPTMRNGTGFMYGNVSLSDVPSSINWIDKGAVTNVKDQGKDCASCWAFSAAAAVEGIHEIRTHNLVSVSAQQLMDCSTGANNHGCNRGDMDEAFRYITGNGGIASEADYAYEKQQGTCRASGKQAAASIRGFEYVPPNNETALLLAVAHQPVSVSLDGGGSVFQLFHAGVFGAGRAETCTTDLNHAVTAVGYGTDEHGTKYWLMKNSWGSDWAEGGYIKIVRDDAASTTGRCGLAMQASYPVA >OB06G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15188541:15188742:1 gene:OB06G27040 transcript:OB06G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWPRIRIICYNNEKGRSRNHFSERSIAGPKSQKIRRASQFDPIIDRI >OB06G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15201561:15205583:-1 gene:OB06G27050 transcript:OB06G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRNDSPEYCRWPGVTCSKSHTSRVTGLNLESFSLHGQIPPCVGNLTFLTSIHLPFNQLIGSIPPELGHLHRLAYLNLTSNGLTGGVPEALSSCSSLQIIDLSNNSLDGEIASGLSKCSNLEVIYLYDNKLQGVIPEGLGTLSKLSVLILANNQLTGSIPPSVGSNSFLDVVILTNNSLTGGIPLSLANCSSLQLLDLTNNHLGGEIPSALFNSPSLQLIMLGVNNFVGSIPPISNVSSPLVSLVLSQNNLSGSIPSSIENFSSLQQLLLSQNNFQGSIPSSLSRLSNLQQLDLTYNNLSGTVPASLYNMSKLTYLGMGSNMLTGEVPDDIGYTLPSIQTLILQGNKFQGKIPTSLANATNLQVINFRDNAFHGIIPSFGNLPQLTQLNLGINQLESGDWTFLSSLATCTQLVSLCLDMNILKGTLPSSIARLPKSLEELLLDRNQISGTIPEQIDHLPNLSVVHMEQNLLTGNLPDSLGNLPNLFVLSLSQNKLSGQIPLSIGNLSTLSELYLQENNLSGTIPSSLGQCKNLEALNLSCNSFDGSIPKELIALSSFSEWLDLSHNQLDGEIPAEIGGLINLDLLNISNNRLSGQIPSTLGECVHLNSLRMEGNLLDGGIPDSFINLRGLIELDLSQNNLSGMIPEFIESFGSMKLLNLSFNEFEGPVPTGGIFQNTSVVFIQGNNKLCARYPWQKLPLCNVMASKRKHTKILKITGLTAFCLALTLCLVIILLKKAKRIEKASNPSCKELKKFTYADLVKATNGFALANLVGSGKYGSVYKAKFQFEELPVAIKVFKLDQLGAPKSFLAECEALRNTRHRNLVRVITACSTCDPVGREFKALVLEYMGNGTLESWLYPKVNKYGLEKPLSLGYRIKIAVDIASALDYLHNYCIPPIVHCDLKPNNILLDDAMVACLGDFGLAKFLYDSNSSRINGSTSLAGPRGSIGYIAPEYGFGSKVSVDGDVYGYGIIILEMLTGKRPTDEMFTNGLNLHKFVESAVFSQRIGEVLDPNIVTNFEDVEYNLGPENHVTGGMLGCIMQLAKLGLSCSIETPKDRPTMQDVYAEVITVKEAFSALRV >OB06G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15238152:15238373:-1 gene:OB06G27060 transcript:OB06G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAHAIFFFFLSACEGRDHRARTSSIHILLCIRRRHQLYIMAGNLWHYFLCLGKDENNLCKNELCKLDVLYFENT >OB06G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15242646:15242828:1 gene:OB06G27070 transcript:OB06G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGRSGLRPAVTVWFLVTTIRHAAVQIVFVLSDKFYSFYIKTPKVHMLSCLHFHHHFV >OB06G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15261828:15262304:1 gene:OB06G27080 transcript:OB06G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITGRRPLSRLRTIIQLVVLVIVVAGGLLVAPAAAAAGSAGSSGSSGSSGRNQPGGTNGPSTIPPYMAYKGLNGNGPYLSKRNDVYRVRPYIGIRDSLWDDRYNVQGRP >OB06G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15263832:15278642:-1 gene:OB06G27090 transcript:OB06G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADHICSVESGMIVESREVEKVVVIGEASADQIVGDVGATMGGVMAGGVLKNSQPACCVDLGRSVPLSNNGAAMIHREKTTTHTEEELNYVLSIGSDTYDINLLKKKYKSLKRKHLKCNKFIPNAKKFRCAATEAACHGGPGLYFKAIVDQNASSPSFDAIKDGIASALDHFHEEHSRRFTASLQDLSHVLSNLFPSKRRTSPVNFSRDQVDSKAGELAHKGSTHCCTSNNGTEILSKSKSVTQSDEETVKLPSSGSQGLSPIMDEVTQKLTSSTGTYMQHTHLQNQKLIS >OB06G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15275919:15276236:1 gene:OB06G27100 transcript:OB06G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEEASIPEPEWQGVTEQVKNGEMMTKHIYSDSNRVYKPVDDVSFCVTSSIMGDKPCDPELGSFTVSSSDCVTDFDFERISVPLLDVQQCVLPLCASSPAFEST >OB06G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15286003:15286999:1 gene:OB06G27110 transcript:OB06G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRRPLARLRAIVLLALVMIGDGILVVPASGSSGKTGGTGKDRPFGTGGPTTASPYNRPYVYAALSRGNPVCRGTCAPGGSTYTRGRPHSGG >OB06G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15292927:15293738:1 gene:OB06G27120 transcript:OB06G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHDTRIPRHLDRNIPSRLALGRIGWEGGAGSRMIKFLRSVYARDCSDSSGPNPVRWPRYNSNFTALGEGSSMSTDGGNNDGSKGGDGNGSVRDTVNNNTSLS >OB06G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15296211:15302501:-1 gene:OB06G27130 transcript:OB06G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3MFB9] MDASAGGGGNSLPTTGADGAKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVSFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNDYYEYFGPDYTLHVAPSNMENKNTRQQLDDIRSRLLDNLSKLRHAPSIQFQERPPEAELPEQDEDQDDPDERHHADSDVEMDDVKPLDDSGRRTSIQNVRVKRESAETDAIDQDGNRVTAEHTKGTEPVADGVGSSKQTVPNDASAMAIDEPGTLKVEGDNSNKLQDQPSVHQKP >OB06G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15304006:15304426:1 gene:OB06G27140 transcript:OB06G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLLDSSIFASFGTLPELNVISLAQNRLLGEIPPTLGNLTRLSKPYLSGNALTGKIPSTLGKCPLGILAIAHNKLSGNIEKEIFSSNGLRSIGLQSNMLEGPMPSELGLLENLQGLDFLENKTGEILVSIGRCQSL >OB06G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15311846:15313700:1 gene:OB06G27150 transcript:OB06G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:J3MFC1] MEGFRWSKPAAGSLVLYAVFLLSAAATSEANIGDYDEHWQKRKLMADAAAEATYKRDPFEVTNDFNRAVHRSEEKETARRSLMLSKRKKFAGPCKATNPIDRCWRCRDDWATDRKRLARCAQGFGRNTTGGLAGKFYVVTDGTDDDVVNPRPGTLRWGVIQDEPLWIIFGKNMIINLKEELMIGNDKTVDGRGAQVRITNGGGRGGGVIRDEPLWIIFGKNMIINLKEELMIGNDKTVDGRGAQVRITNGAQVTVQNSNNVIIHNIHVHDIVLGKGGMIRDSPEHFGFRTQSDGDGITIFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHLTNHNDVMLFGSSDSFSEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKQITKQLGAEESEWKNWVWHSEEDLFMEGAYFTTSGGAIRTSINKKDLIKPKPGSYVTRLTRFAGSIPCRPGKPC >OB06G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15317147:15320064:1 gene:OB06G27160 transcript:OB06G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPFLHQPLPLLLLLAVSSLTPAATATAAFAGLDSFLATAAARDPSAGNDTFGALPAALLRQLSAPSPRLPSRLLSLSAQPASRAGAAVRAHLDSSPAPFHTTALSSVPYSVVDDLVAEDYRALVDSGSAPSFYIYLLNLGPQPRPYAYTAASSSADSHSPGFSRCLAPVWAGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPKSEKALVADLASLVLSAYKSLLVPSLRIPVHYESSLLVQFFHIHGHERDPAGLDWSSIEQSIRDGDLPYDGQSLKFDLHRIRYSDCPICSFAVARSTTSFTSRFLFDNYTLIVSEYLDSKRMRQVLSDSLDELHRVAGVQQNDDYDKVVPVFVFDLEYDKLLLLDRYHQAVAFRDMVIAVRTRSSQTVSDYSCNGRHVITMTRNLDRPIIASVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSAIDVLESLAAHGGESILLRRKRHVEFIQRWNLLSYKLEKVVSAMSRLDYKKAMYFLRSSDHDLYAIHTLVYQASQELEASLVCFKDPPFPWLSVSMSGIFVFSFFYVYSKRDKLFRSKRKQF >OB06G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15330615:15333154:1 gene:OB06G27170 transcript:OB06G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLAEVSPQELLVALGVFHHHALAYVKSTALKCAVDLGIPDAIHRRGGAATLADVTADAAVHPAKVSDLRRLMDLLSTTGIFTSSTGAGGDDGEGTVVYGLTTAGRFVVGSHNLSPMVQFLVDPLVVSSFFSMPDWFRSEPPAAAAAGAGGGTSSLFEAAHGCSQWEMAGRNSALNSVINSSMVADSYVFIQIVLADKRHVFSGLSSVVDVGGGHGVIMQVIAREFPHIKCSVLDLPHVVGQAPAGDGKVQYIPGNMFESIPPADAVVLKSILHDWSDDDCVKILERCKEAIPERKAGGKVIIMEMVRGSGPQASKIKEMEAIQNMFMMYINGKERDENELKKIFTAAGFSEDYKIMPVVGPFSLIEIYPCMNE >OB06G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15347343:15351412:1 gene:OB06G27180 transcript:OB06G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGFHRLLYVLKFIGFLPLVISSRIENDRQALLCFKSQITGSAAVLASWSNASMEFCSWHGVTCSTQSPLRVIALDLPSEGITGSIPPCIANLTELTRLQLSNNSFHGSIPSELGFLTQLSILNFSMNSFEGNIPSQLTSCSELQKIDLSNNKLHGSIPSAFGDLTKLQTLKLSRNQLSGDIPQSLGSNLSLTYVNLGRNALTGRIPESLASSTSLKVLKLMSNYLTGEIPMALFNCSSLVDLDLKQNNFVGSIPPITAISPQMKYLDLQNNNLTGTIPSSLGNISSLIELVLASNNLVGSIPDILGHVPTLERLEVNMNNLSGPVPPSIFNASSLIYLGIANNSLTGELPSNIGYTLPNIQKLILMYNKLSGSIPSSLLNASQLQSLSIANNTLTGPIPFFGSLQNLKKLDIGWNMLEAGDWSFVSSLSNCSKLTELKLDGNNLQGNLPSSIANLSSSLTHLWLGNNQMYGLIPPGIGNLKSLSMLYMDYNYLAGNIPATIGFLHKMVDMSFAQNKLSGQIPATIGNLVQLNELHLDGNNLSGSIPAGIHDFTQLKILNLAHNSLHGTIPIDIFKIFSLSEHLDLSYNYLTGGIPQEVGNLINLKKLSISNNRLSGNVPSTLGDCVFLESLELQSNFLEGIIPESFAKLEGIKKLDVSHNQLSGKIPEFVTSFKSLLNINLSFNNFNGSVPSGGVLLDASVISVEGNDRLCARVPLKGIPFCSALDDRGRVHKSLVLALKIVIPVVAVITILCFLTICSRKRMQLNSRKWMQVKPDSRQFNGDLKKITYHDIVKSTKGFSSVNLIGSGSFGTVYKGNLEFRKDQVAIKIFNLGTYGAHRSFDAECEALRNVRHRNLVKVITVCSSVDSTGADFRALVFDYIQNGNLDMWLHPKEHDHGQRIFLTLSQRINIALDISFALDYLHNRCKSPLVHCDLKPSNILLDHDMVAYVSDFGLARFLCTRSNSDQESLTSLCCLKGSFGYIPPEYGMSEERSTKGDVYSFGVLLLEMVTGISPIDDIFNDGTSLHELVARDFPKDIFKVVDHTMLQDEIDAAEVMQSCIIPLVRIGLSCSMASPKDRCEMGQVCAEILRIKREFSKIDGE >OB06G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15355231:15356063:-1 gene:OB06G27190 transcript:OB06G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISAASAVPVVASSGDTPAPAPAAAPPPAAKPTEDAPGTGTSRYFLLTVKLFPIKSISRYWYVALHRLIGVRRSVRALTTKFRIVRRIRHVNDEAPAGMDVA >OB06G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15357927:15361464:1 gene:OB06G27200 transcript:OB06G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQAMVSSSASSPYVAWVLYLSTFFCSTLLAICNQTEYDRQALLCFKSQLSGPSRALSSWSWSNTSLNLCNWDGVTCSARRPHRVVAIDLASEGITGTISPCIANLTSLTTLQLSDNSFHGSIPSRLGFLSELRNLNLSMNSLEGSIPAELSSCSKLEILGLWNNAIQGEIPGSLSECIYLQEINLSRNKLQGIIPPAFGNLPMLQVLVLSSNRLTGNIPPVLGSSFSLKYVDLGSNALTGSIPESLANSSSLQVLRLMGNSLSGELPKSLFNTSSLVAIYLQRNSFVGSIPAVTTKSSPIKYLDLTDNYISATIPSSLGNLSSLLNLRLTGNNLIGNIPESLGHIQTLEMLTLNMNNLSSLVPPSIFNLSSLTFLAMANNSLMGRLPYDIGYTLPKIEGLILSSNKFVGPIPASILNAYHLNTLYLGGNSFTGLMPFFGSLPNLEVLDVSYNMLQLDNWGFMASLSNCSKLTQLMLDGNSFQGNLPNSIGNLSKNLEELWLRNNKLHGPIPPEIGNLKSLSILFMDYNLFTGNIPQTIGNLNKLVVLSFAKNKLSGHIPDVFGNLRQLTDIKLDGNNFSGRIPASIGQCTQLQILNLAHNSLDGNIPSKIFKISSLSQEMDLSHNYLSGGIPDEVGNLIHLNRLSISNNMLSEKIPSSLGYCVALEYLEVQSNTFLGGIPQSFMKLVSIKKMDISRNNLSGKIPEFLKSLSYLQELNLSFNNFDGVIPRGGIFDIDAAVSIEGNDHLCTSVPIEGIPSCPVLVDRKKKLKVLVLVLEILIPSVVLIIIMSYIVRVLVRKEMQGKPMSQLISEHIKNITYQDIVKATDRFSSENLIGSGSFGMVYKGNLDPQGDEVAIKIFNLSIYGAQRSFDVECEALRNIRHRNIVKIITLCSSVDSSGADFRALVFQYMTNGNLDAWLHPKAHEHSERKTLTINQRINIALDVAFALEYLHNQCASPLVHCDLKPSNILLDLDMIAYVSDFGLARCPNITSNGNEGHSKSFTFLKGSIGYIPPEYGMNEVISTKGDVYSFGVFLLEMITGSRPTDEKFSDGTNLHELVASAFPNNIYEIVDPRMLQDEVNVTTVMQNCIIPLVRIGLCCSVASPKDRWQMGPVSAELLKIKQKFSTIHGVKHQQDQ >OB06G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15358076:15358468:-1 gene:OB06G27210 transcript:OB06G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRLPGISPWMALFHKPRISSLEHEESSAGMLPSKEFMLRLRFLSSLRKPSLDGMLPWKLLSDSCSVVREVRLAMQGDMVPVMPSDARSIATTRCGRRALQVTPSQLQRFKDVLLHDHEDRALDGPES >OB06G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15368158:15369546:-1 gene:OB06G27220 transcript:OB06G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIISAVAATPPPAAPSGDDTPAAPGGDPAAAPAAAVEPPAAPGLSIPLSPSSESKREKPPPPVAPQVDLVRTPDPVPFADPLPDPKHPPPPHAPAFPLVGPSRSPAPEHSPVDSFPDPGNHPPNFSQGNNTYTLARVTTRVPFMVEGLPLHHDSMIISHKIV >OB06G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15373772:15377604:1 gene:OB06G27230 transcript:OB06G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAVLSSGIVWLCLSTIFISLPLAISDEHENDRQALLCFKSQLLSGPSTGALASWSNAFMEFCNWHGVTCSTQPPRRVTAIDLASEGISASIPPCIANLTSLAMLQLSNNSFYGSIPSELGLLRQLNNLNISMNSLEGNIPPELSSCSQLEILDLSSNSIHGEIPASLSQCNHLKEIDLSKNKLQGRIPSAFGDLPKLQIIVLASNRLTGDIPESLGRSFSLKYVDLGSNALTGSIPESMVNSSSLQVLVLTSNTLSGEIPKALFNSSSLIAIYLDENSLVGSIPPVTATSLPLKYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLSGSIPNSLRHILTLDLLNLNVNNLSGHVPSSIFNLSSLTIIAMANNSLVGELPSNMGYTLPNIETLILSNNRFKGPIPPTLLNASHLTSLYLRNNSLTGLIQFFGSLPNLEELMLSYNKLEAADWKFISSLSNCSKLTKLLIDGNNLNGKLPHSIGNLSSSLKWLWLRDNKISGQIPSEIGNLMSLEMVYMDYNLLTGNIPPEIGNLHNLIVLDLAQNKLSGQIPDTIGNLVKLTDLKLDTNNFSGGIPATLERCTQLSILNLAHNSLDGRIPNRIFKISSLSQELDLSHNYLFGGVPEEVGDLINLKKLSMSNNRLTGNIPSTLGQCVVLESLEMQSNLLVGSIPNSFENLVGIQKMDISQNNLSGKIPDFLGNFSLLYDLNLSFNNFDGEVPAGGIFRNASVVSMEGNSGLCARTLIKGISICSTQAHKKRRSKSLVLVLVIVIPIISMAIICLSFAVLLWRKRIQVKLNLPQCTENMLKNITYEDVAKATNMFSSDNLIGSGSFAMVYKGKLELQQEEVAIKIFNLGTYGAHKSFMAECETLRNVRHRNLVKIMTLCSSLDATGADFKALVYQYMHNGNLDTWLHPKAHELSQRKVLTITQRVNIAMDIAFALDYLHKQCASPLIHCDLKPSNILLDLDMVAHVSDFGLARFVYNRLTAHEDTSTSLACLKGSIGYIPPEYGMSKDISTKGDVYSFGVLLLEIITGSRPTDEKFNGSTTLHEFVDGAFPNNIYKVIDPTMLQDDLDATNMMENCIIPLVKIGLSCSMPLPNERPEMGLVASMILDIKHAASCSHLRLK >OB06G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15374668:15374928:-1 gene:OB06G27240 transcript:OB06G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSSPTSELFAMAIIVRDDKLKMDDGTCPDKLFTFKFSKSSVRIWRKLFGMLPLKLFRVRLRSSREERFPNEEGIVPDNLLPPK >OB06G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15380696:15381563:-1 gene:OB06G27250 transcript:OB06G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVISAVAAATPAAGNVPGGDPPPAPRPAAAPAVTPPVALGVHVIICPEQPVLVVEHNGLSIELTINAGVRPLSPSTESKPPACNASLLALPVPYRLLLPS >OB06G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15387392:15387748:-1 gene:OB06G27260 transcript:OB06G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDMEPEMPSDFKSIAVTRRGDGVLQVTPCQLQNSRDALLHEASTPAGPEIWDLKQSRSCRSFSVSSLIANGRLRKIVDRQNQTRPEGKAPKHAISSVWLSFYGDGSMLCNSSICDT >OB06G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15387462:15390952:1 gene:OB06G27270 transcript:OB06G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGALPSGLVWFCLSTIFLNLPLAISDETENDRQDLLCFKSQISGPAGVLASWSNASLEFCSWHGVTCSTPSPRRVTAIDLKSEGISGSISPCISNLTSLTRLQLSNNSFYGSIPSELGLLSQLKNLSLSMNSLEGNIPSELSSCSQLAVLDLSNNSIQGEIPASLSQCNHLEEINLSQNKLQGGIPSGFENLPRLQIIVLASNRLTGSIPTSLGSELSLTYVDLGSNVLTGSVPESLVNSSSLQVLVFRKKFLSGEIPKALFNSSSLTAIYLNENSFVGSIPPVTAISLPLNYLYLGGNKLSGTIPPSLGNLSSLLDLSLTRNNLRGSIPDSLGHIPKLGLLNLNVNNISGHVPPSIFNLSTLTTASMASNSLTGELPSNIGYTLPNIETLILSSNTFKGPIPPTLLNTSHVRFLDLSNNSLVGLVPFFGSLPNLEELTLSWNKLEAADWNFINSLSNCSKLIELCIDGNNLKGKLPHSIGNLSSSLMRLSLRDNKISGYIPPEIGKLKSLQMFKMDYNLLTGNVPPTIGDLHNMVVLALAQNKLSGQIPDTIGNLVKLTDQKLDGNNFSGGIPATLEHCTHLNIFNLAHNSLDGRIPNRIFKISSLSQELDLSHNNLVGGLPEEVGNLINLKKLSIANNKLSGNIPSTLGKCVVLESLEMQSNLFVGSIPNSFENMVGIQKMDISQNNLSGKIPDFLGNFSLLYDLNLSFNNFDGEVPGGNIFRNASVVSMEGNSGLCARTSIKGIPLCSTQVHRKRRHKSLVLVLVIAIPIISVAIIYLYLWRKIKQVKLNLPQCNDNLLKNITYEDIAKVTNMFSSDNLIGSGSFATVYKGKLELQEEEVAIKIFNLGTYGAHKSFMAECETLRNVRHRNLVKIMTLCSSVDATGADFKALVYQYMRNGNLDTWLHRKAHELSQRKVLTSTQRVNIAMDIAFALDYLHNQCASPLIHCDLKPSNILLDLEMVAHVSDFGLARFVYNRLTAHEDTTTSLACLKGSVGYIPPEYGMSKDTSTKGNVYSFGVLLLEIITGSSPTDEKFNGSTTLYEFVDGAFPNNIYKVIDPTVLQDDLNATDVMENCIIPLVRIGLSCSMSLPNERPEMGLVATMILDIKHAASCSHLRLK >OB06G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15388616:15388933:-1 gene:OB06G27280 transcript:OB06G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLERLPMECGSLPLRLFPSMHSSINLEQFDSELIKFQSAASSLFHDKVSSSKFGNDPKNGTSPTRLLLLKSRKRTWEVFRRVGGIGPLNVLLDRINVSIFGSV >OB06G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15396845:15398129:-1 gene:OB06G27290 transcript:OB06G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNCCAADPPPAAAVPCSDPQARRVPEIPAVACGCSPAAPSAAAAAGDPGISFLEAPIHNGVDPKIPDNRPTAAPSMSILEDTRHPVAS >OB06G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15397233:15397637:1 gene:OB06G27300 transcript:OB06G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AELFYLNSNSKVPAKFIRSSDNNFHSSPRIYRFTRSKHTARVWGPTCHTVGLLLGTIGSLPASPKMDTSVGLLSGTLGSLPLCFVSSRIEIPGARVGLPSGTVESLPGCRVSSKMDMDGAAVGLLSGILGSTPL >OB06G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15399140:15402172:1 gene:OB06G27310 transcript:OB06G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVFFSTGVVCLHLFALFCLLPLAITDKTETDRRALLCFRSQISAPTEALSSWSNASLDPCNWHGVTCSTRPPRHVIAIDLASEGIAGPISPCIANITSLARLELSNNSFHGMIPSELGLLRQLTNLSLSMNSLEGNIPSELSSCAQLQSLTLQGNSLHGEIPSSLSQCIHLQKINLGSNKLQGSIPSAFGDLPELQKLLLANNRLSGNIPPSLGSRVSLTSVDLGRNALTGGIPKALMNSSSLEKLWLDSNSLSGELPQALLSISSLTVIYLQYNNFVGSMPPVTAISPQVKSLDLGYNNLTGTIPSSLGNLSSLIYLRLTQNDLHGSIPESLCHIPTLQKLALNSNSFSGAVPPCLFNMSSLTFLGVSNNSLTGRIPPDIGYTLPNIESLGLSANKFEGSIPTSLLNATQLQYLNLADNKLTGIMPLFGSLTKLDTLDVAYNMLEAGDWGFVSSLSNCFRLTELLLDGNNFQGNLPNSFGNLSRSLESLWIRDNKISGHIPSEIGNLKSLTKLYMDYNQFSGSIPPSIGNLSKLGVLSFAQNKLSGQIPDNTGNLVQLNSLKLDRNNLSGSIPESIGNCSKLLILNLAHNSLDGGIPEKILRISSLSQELDLSHNHLSGSIPEEVGNLFNLNILRISNNRLSGNIPPSLGHCFALEYLSMQRNSLVGNIPQSFMNLVGIKEMDISQNNLSGNIPQFLASLGSLHNLNLSFNSLEGAIPSGGIFGNIGVVSIEGNDRLCMNTPTDGLPLCSSLIDKKRKHKSLVLALKIVIPSVLVVFSLLCLTKILLRKKIEAKTPVNPVIEHMQITYEDVVKATNRFSFANLIGSGSFGMVYKGNLQIHGDQVAIKIFNLGIYGAHKSFVAECETLRNVRHRNLLKIITLCSSVDSNGADFKALVFPYLPNGNLDMWLHPKTHEHTEKKVLTLSQRINIVLDVAFALDYLHNQSTLPVIHCDLKPSNILLDLDMVAYVTDFGLARFVYTRSNAHQDTSTSLACLKGSIGYIPPG >OB06G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15408442:15412096:1 gene:OB06G27320 transcript:OB06G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIFSIDISLLLLFDLFLLPLAIGDKTEIDRDALLCFKSQLSALTGALASWNNASFSPCSWHGITCSKRTHRVIAIDLPSQGILGSISPCIANITSLARLQLSNNSLHGGIPSELGRLNQLSSLNLSMNFLEGNIPSELSSCSQLQILDLQSNSLKGEILPGLGQCIHLQDILLGSNKLQGSIPSAFGDLPKLRVLDLADNKLSGDIPPSLGSSLKLIYVNLKNNALTGGIPEPMLNSSSLQQLILSSNSLSGELPKALLNTLSLNGIYLNQNNFFGSIPPVTTSSPQVQYIDLGENHLTGTIPTSLGNLSSLVYLRLSQNYLDGSIPESLGHIPTLQTLSLILNNFSGTISPSLFNMSSLTFLAVANNSLWGRLPLDIGYTLPNIEGLILSANRFKGSIPTSLLNATHLQKLYLADNKLTGIMPSFGSLTNLEDLDVAYNLLEAGDWSFILSLSNCTRLTKLMLDDNNLQGNLPIYVGNLSSGLQWLWLRNNKISGPIPQEIGNLKNLIELYMDYNQLTGNIPPSIGNLCKLGVLAFAQNRLSGQIPDNIGKLVQLNYLNLDRNNLSGSIPVSIGYCTQLEILNLAHNSLDGAIPDTIFKISSLSIVLDLSHNYLSGSISDEVGNLVNVNKLIISYNRLSGDIPSTLSHCVVLENLEMQSNFFVGSIPQTFVNMAGIKVMDISHNNLSGEIPQFLAFLGSLQVLNLSFNNFDGAVPSSGIFANASVVSIEGNDHLCVETPTGGMSLCSKSVDRKRNHRSLVLVLKTVMPIVAITFTLLFLAKIIWSKKMQSKSHVQEPNEHRNITYNDIVKATNGFSSTNLLGSGSFGMVYMGNLHFPFKKERTLHLQEEQVAIKIFNLDIHGSYKSFDAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDVWLHPKSHEQITQRKILALRQRINIALEVAFALDYLHGQCELPLVHCDLKPSNILLDRDMVAYVSDFGLARFVYTRSNEHQHTSTSLACLKGSIGYIPPEYAMSEDISTKGDVYSFGILLLEMVTGRSPTDQKFNAGTTLHEFADRALPNNIDDIVDPTMLQDDTSVADDLERCVIPLVKIGLSCSRALPSERPEMRQVSIMILRIKHAAASNVSVNEAKI >OB06G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15411263:15412438:-1 gene:OB06G27330 transcript:OB06G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIFSSFEEDKNTIRMTCAVRTIAKGKREIASISYYMVETMTCACARDAGDWQGSSANGPPVAKAVALPSAWAAAARPSGTIRGEVVLQAASGRARQLGLPRLVEYCLVSNHNVLTATHTGHEGNPKQMLLPRLY >OB06G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15413629:15421289:-1 gene:OB06G27340 transcript:OB06G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAGGGGAASASRPPSFAAQTNALLRKNLIFQKRNRKATIRLIIVPIYLCLLLTILQRVINGVLDKPKFRCGCKCVDANGTGSCQNVCGIQYSTVDQAGSCPIPNPPEWPALLQLPRPEYRATQESSLYAGFPDASCRKSQSCSATIPFTGANETVSNIIMQNLFPSLPLSNLSDNASISSLLLGTDVPGTYASFIEPAFISDRPIYILRPQCKASDSVTVPITSGAINIHKETICIQGLPLWRNSSAIINEETFSGYRKGKTVEGINEISMAYDFQDSNEKRFSVLALYNSTYQNVSYIPMPFGLMRIPRSLNAVSNAYLQFLRGPGVKMLLAFTKEMPKQGTRLTFEFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVFFGSVIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQAIAYLYVFGSGLIAGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASEAGIHGMRWSDLNDHANGMRDVLIIVVLEWLVLLPVAYYLDHIASIGQRSSLLSLIKNLLKNPTWRRVSVNEVGNNAVHEEMVKQDIINERETVDQVLQQQSSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGLTRPTSGNAFVRDFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGPALDHAVNESLRSVNLLHGGAPDKQVGKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKNLWDAVKQAKQNRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTVTTSPESEQEVESLARKLSPNARKVYHLSGTQKYELPKQQVRIGDVFMSVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >OB06G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15426420:15427726:-1 gene:OB06G27350 transcript:OB06G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVTVPANSTGDESSTSTHFKRKKAQKNVVPGHRSVTRSFRNFDNENHDSSYSGSHNPLYDATTSSENEVVESNPRYVKPYPPDVDLVPFPNNYRQPQFSKFNGTGSLHEHVAHFLAACQDTANNGALLLRQFVQTLSGLAFTWYSKLAPGSIKTWEQMQDSFLERFYSMQRTVGIMELTQTEQRGNEKAADFINRWRNLNLHCPQPITEQEAVRMCMNNLNPDMAVYLQGVRPLTFEELASKATDIENYMQFVTRRSKPYSKQIEKSNPRDKATFKPKQAHAMEATAIIPSLQVGGAATRNNEHKQLYFDICMFHIHLFRGPDWLNNVRSSRIDFPF >OB06G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15428510:15429280:-1 gene:OB06G27360 transcript:OB06G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVQPFITLRFGSLWVDVPVGPFQAAHSDSSLQTTAASPNPADHEQEMSSIKHDGFIPVVSKSTRRRRRRAAARARVAASQQAIISVREPTSSDLVPPGFTRAISIKTKTTPINIKNKETLPRFRSTTLGEWPVREIRIGSPKTRPHDSVTRTPATSTNEIVASTKNNSSGTQMSPVCRAGSPSTPVAVPLNVAPKKLGVTSSENSLSILGVAPSEPMMAMPSSAPTEGVLNRTCTRRSCTQRSPIKITESTSS >OB06G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15453031:15456406:1 gene:OB06G27370 transcript:OB06G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSASGGSAARASKLRYPLRSANRAKAGGDAPPTSASAARRAKPSLDVSKSVSGLDLSLVKENPAKPPRRHSIQTKPGASPRPTPTGTITPVSLVRSRRSDIQGKFDTPISEVSMSTARRKFSTLSSISYWMTQIRLSEAASKHSISLGFFKLALESECEPLDRMREELKSYVVRHGLATELEEPVKDILQVYNIVEDFDKLTITVNSSEQPKKTDKAAHSATNVSPKGNLKPRSLNSDAAPSKEATKNIQKKADAKVRGSYNRNPAKNPPVKEAISKNAGKKAKKQVKEQQEDCNGGSESLPVGTDQEPVDAVKEITNEDKENMGASEMPMDVGIAQEI >OB06G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15468395:15472308:1 gene:OB06G27380 transcript:OB06G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLQSLVLSRNRLNGTVPLLSGLAFLDELDVGHNRLGPAFPDVGNAVVRLVLANNNFTGKIPAAVSSLGQLQFLDVSGNRLQGWIPSSIFALPALRYIDLSRNQLAAQLPASPACADALRFVDVSDNLLAGARPACMRGNSSARTVLDAGNCFRDAKLQRPSTYCNPGALAAVLPPTQGSGGDQGGGKGGQVGMVLGIVGGVVAGASLIALVMMAVVRRARRHHPEATILPRSPLATPAKKAADGGKSPAKVTQRIVTPADKRHASQAARVNTLEVPAYRVYTLEELQEATNNFGSSNLISSSPVAKYYNGQLQDGSRVSLRCLKLKPKYSPQSLTQYMEIVSKLRHRHLVSIIGHCIVEDQENPNIASSVCFVAEHVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHDVTAPDIVHNDLSIENILLDKTLTSKISNFNLPMISTSKNGKIFSETPFAISEDNDLGSVQSTEQTDKDDIYQFGLILLEVITGKPTGSQNDLDSLKTQISEAIAEDPDLLKDMADPAIRGTFAVESLSTVAEIALNCTASDPSSRPSMEDVIWNLQYSMQVQDGWASSESLSLSTR >OB06G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15481885:15484908:-1 gene:OB06G27390 transcript:OB06G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSTSRRWHCTTPACPAPCPPRSAGAPRXXXPAALGGCAELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGRIPPELSNCSALVVLDLSGNRLTGEVPGALGRLGALEQLHLSDNQLTGRIPSELSNCSSLTALQLDKNGLAGAIPPQLGELKALQVLFLWGNALSGTIPPSLGNCTELYALDLSKNRLAGGIPDEVFALQKLSKLLLLGNALSGKLPPSVADCASLVRLRLGENQLAGEIPREIGKLQNLVFLDLYSNKFTGGLPAELANITVLELLDVHNNSFTGVIPPQFGELMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNMLSGPLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNKFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSALTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYINNPNLCESYDGHTCASDMVRRTALKTVKTVILVCAVLGSISLLLVVVWILINRSRTLAGRKAMSMSVAGGDDFSHPWTFTPFQKLNFSVDNILECLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLKDNRSLDWDTRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYGYTTKITEKSDVYSYGVVLLEVLSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKCPPEEWGKISQQPLIKPGSQQG >OB06G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15510430:15513807:1 gene:OB06G27400 transcript:OB06G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09750) TAIR;Acc:AT4G09750] MFIQKAWRTAAFGLYGLTQFTKSGFVEHAKKFREEDMLIRLDGKNCLVTGANSGIGFATAEGLASRGATVYMLCRNKERGETALSQIRSKTGNMNVHLEICDLSSISEVKSFATKFSSKDKPLHVLVNNAGLLEHKRVTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPHARVITVSSGGMYTEPLDKDLQFSESNFDGTQQYARNKRVQVALTEWWTEKYRNKGIGFYSMHPGWADTPGVSKSLPGLSEKLSGNMRSNEEGADTVVWLALQPTEKLTPGAFYFDRAEAPKHLKFAGTAASHGQIGSIVDILGSISDL >OB06G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15515932:15517028:1 gene:OB06G27410 transcript:OB06G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADASPAGGGGGAADMEAGGEGVVNLDQFFEDVEGVKEDMRGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKGVKGKLEAFDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIESLISSGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREYQKSSRKWACIAVLAGIVLIAVLVLPVLVNLRILTLR >OB06G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15523258:15524788:-1 gene:OB06G27420 transcript:OB06G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPLRLPAGATVLLLLAMASHESMATVIDVGVNWGSQLSHPLLPSSVVHMLKENGISKVKLFDADPWPIGALIDSGIEVMLGIPNNMLETMNSYGNAKDWVKENVTSYGDKLNIKYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGIGGKVKATVPLNADVYVSPDNKPSSGVFRPDIEDLMTGMVKFLHDQGAPFVVNIYPFLSLYQSDDFPFEFAFIDGGKTIQDKGGISYSNVFDANFDTLVTAMKKAGVPNIKVVVGEVGWPTDGDKNANIKLARRYYDGLLKKLAKEEGTPLRPGKMDVYMFGLFDEDMKSILPGNFERHWGIFTYDGKPKFPMDLTGHGNDKLLAAVPAVQYLPKQWCVFDDEAKDKSKLPGNIEYACASGDCTAIGYGCSCNSLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITEKNASAHGCLFPIQVISAANHIATPVVISFAALLAVLMVLV >OB06G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15538747:15538938:1 gene:OB06G27430 transcript:OB06G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSANHAANGISADNSETGAGRRDHVVLFPFMAKGHTLPLLHFATALTVHHKSLRVTVITE >OB06G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15546480:15551451:-1 gene:OB06G27440 transcript:OB06G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MFF0] MAASANHAVNGTTGNGERPEARRDHVIIFPFMAKGHMLPLLHFATILSVHHKGLRVTVLTTPASLSFVRSRLPDSVHLVRLPFPSLPPLPAGVESTDALPSPSLYPTFLRATTLLREPFAQFMASVPSPPLVLVSDFFLGFTHGVAADAGVRRVVFHGMSCFSTAICKSLFANLPSVGVEHGGAAFHVSGMPEHVTITAAEIPSTFEKMGDLDDPVTQFLINDVGLSDVRSWGILVNSFVAIDDDYVAPVESFYEHGARAWLVGPLLPLAGDTPEPDKENDPEGCLAWLDERAARPAAVVYVSFGTQAHVADEQLDELAHGLVRSGHPFLWAVRSDKWSPPVDLGPDGRVVRGWVPQRGVLAHEAVGGFVSHCGWNSALESLAAGKPVLAWPMIAEQQLNAKHVVDMVGTGVRVGSGGAAVVGRAEVEEKVRTLMDAGGEAGQRMRARAAWARQAARSAVGDGGTSRVALQKLIEELQKSYEVADACSRAPCTLTDRGIRAYTTVWVRCMKSEILCTKDMIL >OB06G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15557430:15557900:1 gene:OB06G27450 transcript:OB06G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIITLNQQPCIEHDRHSISIGDTDHEQEKDGYVEKLKEMKRQREDPMSHCEDDTDIEDLYAQEDDGKDLAVAEVVALSSMTSIYLDQ >OB06G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15582870:15583172:1 gene:OB06G27460 transcript:OB06G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTRANQDDRDAAIHFLRKVRLRFISKLDIYRGLIDVLAAYGRNADAAASPVVDFVAKLLRHHPDLIVDFNAFLPPKHKIKVADDNYAAATKPGGSGG >OB06G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15584179:15584535:1 gene:OB06G27470 transcript:OB06G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEVEDVELYNRLSNMLSDVHKQSWLNAHQAYTELKQVFGPAGRRDLLRFSCMFLPDSPPTHFAEERGWSKRPSSKCKRAASPYEVAADVIVKPSMAKKPRAADLLQIAHDNGDV >OB06G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15586741:15587868:-1 gene:OB06G27480 transcript:OB06G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCIYGLVASCFAWAVASCFAWASVELQPKLPHAWYRPCLSLMPMTAEEEGAPIKWRNNDFN >OB06G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15591298:15593596:-1 gene:OB06G27490 transcript:OB06G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemoglobin 3 [Source:Projected from Arabidopsis thaliana (AT4G32690) TAIR;Acc:AT4G32690] MQSLQEKASEWSGVAAGDAFAIDDGNVFEALGATTQPFVDLSTNFYTRVYEDEEEWFRQIFAGSKKEDAIRNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHQAAERWLHHMQQAVDTTESIDAATKTKMMNFFKHTAYFLVAGNEMTRQGHGTSCKCKHGASKPAE >OB06G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15595612:15595872:-1 gene:OB06G27500 transcript:OB06G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDITHVSIASSSSVFSSFDKGDDSKVSAAELRDCMAAALGEYVFEEDVATILAMADTDDDGLLDHDEFLRLVGQPEEEEMRMR >OB06G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15601755:15606076:1 gene:OB06G27510 transcript:OB06G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESNGHHAGGEARAGNGGAAKMPEIRHTKLFINGRFVDAVSGKTFETRDPRTGDVIASIAEGDKEDVDLAVRAAREAFDHGEWPRMSGSERGRVMLKYAELVEQHAEELAALESLDAGKPLAVARVVDVGNSVDSLRYFAGAADKIHGETLKMSRQLHGYTLREPVGVAGLIVPWNFPAIMFFSKVSPALAAGCTMVVKPAEQTPLSALFLAHLAKQAGVPDGVINVITGFGPTAGAAISSHMDIDVVAFTGSTEVGRLIMEASARSNLKPVALELGGKSPLIIFDDADLDKAVELAISGNFFNKGEACFAGSRVFVQEGIYDRFVQKIADTIKNWVVGDPFDPRVNQGPQVDKIQYDRVLRYIEHGKAQGATVLTGGKPCGKKGYYIEPTIFTDVKDDMIIAKEEIFGPVMCLMKFKTVEEAIRRGNDTRYGLAAGVVTRDMGVANRMARSIRAGVVWLNCYFAMESTCPFGGRKMSGFGKDDGMHALDKFIAVKAVVCPVDASPWF >OB06G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15612286:15612759:-1 gene:OB06G27520 transcript:OB06G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiprotein bridging factor 1C [Source:Projected from Arabidopsis thaliana (AT3G24500) TAIR;Acc:AT3G24500] MPTGRLSGNITQDWEPVVLRRAKPKAADLKSAKAVNQAMRTGAPVETVRKSAAGTNKKASSAAAAVAPAWKLDESTEPAGLERVGADVRAAIQKARVAKGWSQAELAKRINERAPVVQEYESGKAVPVQGVLAKMERALEVKLRGKAVGAPAPAGAK >OB06G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15614951:15618228:1 gene:OB06G27530 transcript:OB06G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFNHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSAGRAPLRKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYICTFLVAGGVSFFALLKKTASSIYNLNYASLVHISGVYGVKYTFPEYICTFLVAGGVSFFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEALKFCQENPEVAWDIILFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKVRDHKE >OB06G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15618564:15619445:-1 gene:OB06G27540 transcript:OB06G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGKRHYLTLGMLRDLFSALDEHGSMVLVDTFDALEPDALRAVPQLDLVAVGPVTAEEPRRRGVELLLPCDAKACMEWLDTKPPRSVVYASFGSILSVSKRQEEEMRRGLDATGRPYLWVARNVAGEGGATLDGAAALAAASESDGGGVVRPAEGAVPPCRGVLRDALPVGLHPGEPRRRRAYGGRASVGRPDDGGGAGGGICRGRRPRQGGRRGGGVEGRTPELPGGGDGRRGGDQGTGRALGAPREGGGLPRRDVGEETPSVCVRGVNVTGNEMPRWASDWHAGCVGSQ >OB06G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15624819:15626268:1 gene:OB06G27550 transcript:OB06G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MFG1] MAMENSPPPPPAPHFLFVASGIQGHINPARRLAARLMASAPAARVTFSTTVSAHRLLFPSLASPDEEAVDDAGVAHIPHSDGYDDGFKPAPAAVFAVYYHYFHGHDEALASCVNDPSRDAVVHLPGMPPLRSDDLPSAVSITSPQNQYYLLLDMLRDLFADFDELKPKVLVNTFDALEHDALRAVPQLEVIAVGPVVPDDEASACNMDIFRLDDANTCMDWLDTKPARSVVYVSFGTLASMSKRQEEEMRCGLEATGRPSLWGARNVAGEGGATWDGAAALAAASESGGEGNAQGMVVKWCDQMKVLSHPAVGCFVTHCGWNSALESIARGVPMVAVPQWTDQPTVAWLVEVCMGAGVRARLDGDRVVDRGELQRCVQLVMAEGDAATTTGGVRAQAERWRERAEEAVAVGGSSERNLREFASGA >OB06G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15634582:15634992:1 gene:OB06G27560 transcript:OB06G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:J3MFG2] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB06G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15636317:15638265:-1 gene:OB06G27570 transcript:OB06G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITWLAAAAALCCVGAAACAGGQGQCKFRAVLSFGDSNSDTRGFWAAFPVQQAPFGMTYDFRQPAGRASDGRLVIDFLGKHPNTVLFVTGTSPFFLGVQLNQMKELRTKALRSNGNNAQLPAPDVLSNSLYTIDIGQNDLTSNLGSQSIETVKQSLPSFVSQITSTELYNIGARNIMVFNMAPIGCYPAFLTKLPHASNDMDGFGCMKTYSSAVTYYNELLNTSLAEVRKKLQDASLVYADKHAVILELFGHPKAHGLKYGTKACCGYGDGVYNSNPDVYFLHIFIRMVTHELKSQRYHIKKYGGSTVEAEVLNGQSASAKACADPPNYASWDGIHGTEAANKIIASSLMRGSGLV >OB06G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15643671:15646998:1 gene:OB06G27580 transcript:OB06G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPHFLVLTFPLQGHIAPALRLARHLLAVAPDTLVTFSIPDAAHRRMFDEHSAYMASFHSSGRRSVGELVDALAARGRPVSCVVYTLLLPWAADVARDRGVPSALYWIQPVAVLAIYYHYFHGYAGIVDEHRRDQSFVLELPGLPPLPVGDLPSFLIEASDPSDFFHSIFTTFRDLFDTLDREIPKATVLINVFQELEEATLPAVGAYDVVPIGPVLPSGDEAALFKQDDSKYMEWLDTKPAGSVVYVAFGSLTVMAKGQLDELLPGLEESGRPYLCVVRKDNKAVLEDDEAAVAAGHRNGMNLGDTDEATKDMPLHWPSAK >OB06G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15650232:15656975:1 gene:OB06G27590 transcript:OB06G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHAPGSIPTTCFLHPSTAASSSKTLAPTAAGVLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNTSVKCVLVESSSPRAFSAGMDIKGVAAEIQKDMSTPLVQKVFTAEYSLICKIHEYTKPYICLMDGVTMGFGIGLSGHGRYRIITERTLMAMPENGIGLFPDVGFAYIGAKAPGDGAVGSYLGITGKRISSPADALFIGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKYNNDPESGPQLEKFLPYIISSFGPDKSVAESVEELKKCSQSGDAAVAEWANDALTGIKKGAPFSLCLTQKHFSQVASAYGNNEHYLSKLAGIMKMEYRIALRSSVRDDFVEGVRAVLVDKDQNPKWKPATLEEVNMGEVESVFEPLAAEAELNV >OB06G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15657884:15659224:1 gene:OB06G27600 transcript:OB06G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIFKFLYFVYRSMQLPPYILFLWKIDFMNHELLPHPTVGQLLHTRSSICYKASSTACHIIIFYEPLD >OB06G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15659364:15659720:1 gene:OB06G27610 transcript:OB06G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYIVGGFSAARLLTLYSSHLPWRQPCPRSRFFPSYILCLYFVPNLLGSFYFYYSKYLIDLYVMQCSGTTAQAIFFLLLCNIFYASLCPNDRKLINNKTLTFQPSVSNSVSFAENI >OB06G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15665004:15665642:1 gene:OB06G27620 transcript:OB06G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNELIPGLPEEVARECLIRVGFDQLPVVRRISRQWKSEVESPAYNRMRKTEGLARTALALVQARPELAEAGPAHKQSSAGGGPGNSYRMVLLDTAEGVWNALPELPGQGGSLPLFCQVAAVDGGDGRKRLVVVGGWDPETWAPTDSVFVYDFLTGAWRRGAGMPGPRRSFFACAAVGEKVYVAGGHDEEKNALRSALAYEPDADAWAARPD >OB06G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15665267:15665644:-1 gene:OB06G27630 transcript:OB06G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRAAHASASGSYARADRRAFFSSSWPPATYTFSPTAAHAKNDRRGPGIPAPRRHAPVKKSYTNTESVGAHVSGSHPPTTTSRFLPSPPSTAATWQNRGKLPPCPGSSGRAFHTPSAVSNSTIR >OB06G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15670444:15670887:-1 gene:OB06G27640 transcript:OB06G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKRCKMQVRRRQEVAGWDRDTNKGVHQSLVLLSDSLFARHDEPFQKRPSSAISRNRVIPLEINSSPFATKTSRSHEERSKLNLDKQTKRNVRRRFSCKAIDTVPSDQKLINSFNHIYFFPSQKLLYKLKPCQSTHIYMDHGFIHK >OB06G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15677201:15677434:-1 gene:OB06G27650 transcript:OB06G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGQDAKVKYRISQTEASGLRPPTAGRAIASNVSFNPLSPDHKSLHCETCGLLTHIAHLVHEAVPHTECPNTRSFLSS >OB06G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15687229:15689096:-1 gene:OB06G27660 transcript:OB06G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHVYLHHDGAVQAPVPVVDGEVEAAAPGEKKVRAAAKARKPLAAVVRGALADALVHYYPFAGRIVEDTPGRPAVLCSGEGVYFVEAAANCTLADVNFLERPLLLTKEQLVPCPTPEQWAVEPHNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFLNAVGDLARGLPEPRVKPVVWGRERFPDPDIKPGPLPELPVLPLQYIAFDFPAAYLGKLKAQYAASTGGKICSAFDVVIAKLWQCRTRAIGPGPGAEVKLCFFASVRHVLQLESGYWGNAIFPVKVSAPAEKVAASSVIELVGMVREAKRRVSDECLSWAESRTGGRDPFQMTFNYESVYVSDWSKLGFNDVDYGYGTPSAAGPLVNCDLISSVIVMRAPAPLAGTRLLASCVTREHADDFAGRMREDLV >OB06G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15714840:15717667:1 gene:OB06G27670 transcript:OB06G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGRAGAAEEPAGAIERALAAALVSYYPIAGRLAESEDGGGLVVDCTGEGVWFIEATASCSLEDVDYLEYPLMVDKDELLPHPTYPASDHLPEDSIILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTADPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDAFHKDMMRLD >OB06G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15729320:15729664:1 gene:OB06G27680 transcript:OB06G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLRVVRWWIPGLVSVVRERAAQPVWFPCCRWGNRGDFRISLFWLDLGETFNSPCLRPREIWVFSSDASSLSLLRLYSVWWSTAMVKSRFWSRFLYLGGLLACFDQRLLPVSSS >OB06G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15729896:15733747:1 gene:OB06G27690 transcript:OB06G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MFH5] MAGSIAASAFLPGSPAPXXXXXKTGLAERPASLDVRGVAARPGSSSSAAVRAGKTRAHAAIPKVNGGGKSALVDAEHETMPSSSSPAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSITGHTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNRKLPKLPDIEGATVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNNESGQTTLHCEHLLSLESGPTIVKARTMWRPKGTKPQERIVPSSW >OB06G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15736115:15737613:-1 gene:OB06G27700 transcript:OB06G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQLRPGRGEIRRQEAEGDRFPSVAAAGRQQARVAGAVMIRRRRQPLRRCSGEVPARGRGWGGAGMGGGTRRASSWVQNGARRHGTVQPQLEGQTEEEDGEKKTMLTVVNWLGICTDATMKVLMPVAIALPHHSTLASTACTSHRAGLGASAIPSSGFDVVSASLGSRLDASGITEAGIGNSASSASELGSLVFIIVAPCHHQASHITWSLS >OB06G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15744836:15749250:1 gene:OB06G27710 transcript:OB06G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3MFH7] MALIGLDILEASKVGKMARSMRGLGFDFMGRFQQKGYSKCMGSEMDRCEEERVTSMAWAGVRQRRRHTARAVGWPPVRAYRRNALREDARLVKVAVDGAPYLRKVDLAAHGGYAPLLRALHGMFASCLAIRGDGEGTTKLVDSITGAEYVPTYEDRDGDWMLVGDVPWKMFVESCKRIRLMKSSEAVNLCKNIQSTMIPIPRTLPASNCNDQSVNDISILPCLIQRQDDHRDDRDRHSHACKQERCNYYACLN >OB06G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15748536:15748865:1 gene:OB06G27720 transcript:OB06G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding PREEAPCTPGGVLCQLLFVVSFHDSVSVTASALLCVKFARVYTMIHATVVVVDDVVVAVAVVDFVCPLICIVRSNIQARYVISVGLLLSPFAHPFHLVVLNVVLLAVKIG >OB06G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15752393:15756870:1 gene:OB06G27730 transcript:OB06G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT2G25620) TAIR;Acc:AT2G25620] MEEEHRLAGGGGGGRPPIPAAAAAGRKPGLARHASFARSPANSTKSGTEKTFESIDAAAYVPVVRSGGWADIGLRRTMEDVFICSDNFIQDFGLESFEDGPSAFYGVFDGHGGKHAADFVCSNLARFIIEDEDFPREIEKALSSAFLQTDAAFADACSMNSSLASGTTALAALVVGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCNREKVRIEASGGYVYDGYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVTCCKELVDEAIKRKSGDNLSVVVICFNSRPPPVLTAPRPRVQRSISAEGLRELQSFLDSLAD >OB06G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15775692:15776633:-1 gene:OB06G27740 transcript:OB06G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGELNTTSPLPLMQHHQQNGAAQPAPRWPCGTTLEACPRQEGTPLLQNRRGHALRLPPLLSWPKPFFFIPRARAHGNASKRNAAKPRRSDGRTDGFVCVRGVARSGEGGTKRSIRAARSEPEPERSGAGGGEQQAAAPAAAAAHPARARGPHVTGDHQNREQQKHAQPPNPTRPFRRAAPRSPSSRVSMWASMPARHAAACYAAALCVRARACLYKLVLHALVVRRQQQQQQEEDGRRQGSKAAKPLPAGHGVGRSRVSSFACSKGAIMTPRPGSPDRPRLLFRSDGSESPHLIRPFVRLTACWQWQTSH >OB06G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15777854:15783871:1 gene:OB06G27750 transcript:OB06G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MAELVRLYRESDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYKVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNIALNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPELSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >OB06G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15782562:15782876:-1 gene:OB06G27760 transcript:OB06G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLLGTVTTTSYCDTSLLKRQYEVSSKYLIRRHGDQSNDKFSICQPYTRTSTILLPEFQHDYRGRNQTLLDGRSLNQQQFLFFSKFMRNYRKQVDNSKYKSYI >OB06G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15785708:15787288:-1 gene:OB06G27770 transcript:OB06G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31430) TAIR;Acc:AT1G31430] MATARSHGMPLWECNLLIRTLARRGSFARVMAVYYDLRARGLVADSFTYPFVLRAVGVLKLSVEGRKAHAAAVKTGFRWDAYTGSSLMEMYTMLGRVDVARKVFDEMPRRALVLWNMMIRCYIRCERYSAAVALAEHMERSGVTPDRATLVTAVTACSRASDLSLGRRIHVYMDVVFGFNLPAANALLDMYMKNDCLEEAVSLFEHMPVRNIVSWTILVSGYALAGQLDKARVLFNQCKEKDLILWTAMINACVQHGSFDEALTLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGRWIHQYAEERKMKIDAVLGTALIDMYAKCGHVEKSLEVFWQMQGRDATAWTAIICGLATNGQAGRALELFEDMQRSKVKPDRITFIGVLSACCHGGLVDEGRKQFHAMKEVYQLEPRLEHYSCLVNLLGRAGLLDEAERLISDVPIEKDAMPLFGALLTACKVHGNVEMSERLTKRICEQDSQITDVNLLMSNVYATASRWEDVLRVRGKMAHPAVKKTPGCSLIEVGY >OB06G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15794322:15796337:1 gene:OB06G27780 transcript:OB06G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSEGDELVESYMDVEDDTGTSNIDQGTGMMPSEIHGIGPSEGSMLSVDNGQLMAADGLGNNDEPCLGMEFESDGAAREFYNAYALCLGFGIRVARSRSERRKGIEVLIMKRFVCLKEGHHKKKDAESNDKKKRKRLSIRDGCPAMMEVVRRGPDKWVITKLVLEHTHVILSPDRVREVQLRRASGKCAEHENQLQELRRNVFGDTDAQGLFNYLKRMQSENSGFFYSIQVDSKNCVTNAVWADARARMSYTYFGDAVYFDTTYSQNEDMLPFAAFTGVNHHGDMILFGCALVLDRTESSYAWLFETWLTAMDKRLPFSFTTDEGKAISAAVAKVFPQCFHRLCRWRILSRCKKKLSDVYMRFPSLHDELRRCINECDTEAAFDMFWGTIIDKYDLRENSWLQSIFDTRHKWVPAYLTSSFFAELTLTHRGETVSRFFRNNFSTRVPLDTFITRFDQHIDSLYVNETQKDLDSFHHERLLKTTIVLEKQARGIFTNAAFEIFQMELIEALLHYAVKVQQDGPYAKYYVDRDNPPTRHTVFYNVADKKAWCDCCRYAFSAILCRHVLGVFISAGIVMLPEPCIMKRWTKRAKTGPELIGHNLENGNCHTDSATSRYNDLISYAMKCAEKGAVSAGSFRVAKEVLRKALMEIKCLGEKLTTDDLQQVDNR >OB06G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15803075:15803338:-1 gene:OB06G27790 transcript:OB06G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNVYAILVLNLFVFYSFILSNLAEYVKKISLLKFHYLFEIINLNSYVPGFLKTPDISSSTLQEFLNHLVATRKCQLPDVKEIINP >OB06G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15818151:15819710:1 gene:OB06G27800 transcript:OB06G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3MFI6] METSAPPNAAAPPPAEPAQQRRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPGDLADLWEQLRFNLLSVVACSTLLVFLSTVYFLTRPRPVYLLDFACYKPEPERKCTRETFMRCSNLTGSFTDDNLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARAVMFGAIDRLLEKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNVISYNLGGMGCSAGLLSIDLAKDLLQVHPGSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGANDKCFGCVTQEEDEVGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFLATLVAKKILKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAEEENPWMDEIDNFPVDIPKISKVGNA >OB06G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15822323:15829900:-1 gene:OB06G27810 transcript:OB06G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCPGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALHAASGSADFSAKVWDALTGDELHSFEHKHIVRACTFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDSRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHLFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEVTANGKPTVGVNEVARKIEGFHIPKEEQQPQQTEG >OB06G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15834433:15837678:1 gene:OB06G27820 transcript:OB06G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSQPSSSAAAADAPLPAAGDGQGSKGRSRGEGLRLYYLQRIQDLQLQIRHETDNLSRLEAQRNDLNSRVRMMREELQLLYEPGSYVGEVVKVMGKSKVLVKVHPEGKFVVDIDKNIDITKLTPSTRVALRNDSYKLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFEMAVGKVMKKDTEKNMSLRKLWK >OB06G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15853817:15855852:1 gene:OB06G27830 transcript:OB06G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGWWWWTWRGVAVAVAAACLVAHVAARVADALWWRPRRLEAHFARQGVRGPPYRFLVGCVREMVALMAEATAKPMSPAAAHNALPRVLAFYHYWRKIYGMDLDESCSIDDCPRGFWVSSWEGLMAIAVAGPTFLIWFGPTPRLTVAEPELVREIFLTHAEAFDRYEAHPIVRQLEGDGLVSLHGDKWAHHRRVLSPGFYPENLNRLVPHVGRSVAALAERWRGMACAAGAGGEVEVDVAEWFQAVAEEAITRATFGRSYNSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRLSWGLDREIRRGLVRLIGRRSGDAGEEDETTELKDKDSGFNDLLGLMINAGVNKTMPVEDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQDRARREVLAVCGDAGDLPTKEHLPKLKTLGIILNETLRLSPPAVATIRRAKADVTLGGISIPRDTELLVPIMAIHHDARLWGPDAAQFNPARFAGGAARAARHPLAFIPFGLGSRMCIGQNLALLEAKLAMAILLQRFDLALSPNYVHAPTVLMLLYPQYGAPLIFRPRQSQPFDQQH >OB06G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15862565:15862939:-1 gene:OB06G27840 transcript:OB06G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSILCIARTVCRDLQQLLHTCRKQQRIYPVSTVPHASPGGPELNHMTRTQMPHLMHLISSTFWLHWTTSSNLLMCCLHLQQVTFHCRGCLHGLVIRYVDDQEKGFLQGCNAGCSAHESLML >OB06G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15873765:15875931:1 gene:OB06G27850 transcript:OB06G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:overexpressor of cationic peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11270) TAIR;Acc:AT5G11270] MAATVVVVAPLAVVGAPESVRRFGARPLLRRAPRGVSCALRRRPSKYKNKIQNEEVVAEDDIDSAGEDDDDALEALFKQLEEDLKNDDLSVEDDDEGISEEDMARFEQELAEAIGDIGDVNESAGGSFSGSESYGNDEQTDETERPELKNWQLKRLARALKIGRRKTSIKNLAGELGLDRTLVIELLRNPPPKLLLMSDSLPEEDPSKPEIKEIEPSPVVHAGDVTETKPHAELPIHVKCAEWSAQKRLKKVQLETLERVYSRTKRPTNTMISSIVQVTNLPRKTIVKWFEDKREQDGVPDHRLAFKRSVPETITS >OB06G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15877352:15877528:1 gene:OB06G27860 transcript:OB06G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTCGACVIGIIRGILGCRVRHEDRDEEKYLSKQMLRIYIYILDIYATAKVHLSPLS >OB06G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15878440:15878871:1 gene:OB06G27870 transcript:OB06G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLQRSATTFRRSGSSGLVWDERFLTEAEEAEAEAKARDGGGAEEPQPELRHSKSVGSIGMMRRVAADDGDNKKAAKQKKKEKKKKDGKEDDNGSHQVFRTKDIAPDVDPPSPRVSGCILCSIFSGSSKSATSRRAKPRKK >OB06G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15888204:15888981:1 gene:OB06G27880 transcript:OB06G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGQSSPAADSSSERSSSSAPNTDDAKEGMESDDDDIGRVPEFGLALPGTASTSGRGVHAAGDAAAAAGTSSSPAAQASSGRRRGRSPADKEHRRLKRCVSSVGL >OB06G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15892176:15895302:1 gene:OB06G27890 transcript:OB06G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELETRVKDLERSNSELEERVSTLQNENQMLRQVLKNTTVSRRGPDSSAGGDS >OB06G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15895996:15896560:1 gene:OB06G27900 transcript:OB06G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVPEILPAECPDPEPASPESGDEPPEPLSSKLPVPSGELNLYRAAVALRLVLLAAFFHYRVTHPVADARALWLTSVACEIWLAVSWLVGQLLKLSPVNRVTYLDRLASRSYPLPCFSDE >OB06G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15897076:15898274:1 gene:OB06G27910 transcript:OB06G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLAARARKVPEEGWIMSDGTPWPGNNPRDHPAMIQVLLGHPGDRDVDGGELPRLFYVSREKRPGFQHHGKAGAMNALLRVSAVLTNGAYVLNLDYDHCVNNSSMLREAMCFFMDSTAGNRTCFVQFALRHGGAGDIEMKCLDGIQGPVYVGSGCCFSRKALYGFEPARADAGHDMETAANWTICCLRRGKRTGATRRSRSAVPLLDSEEDSDEQDEEAERRRRRLRAYRAALERHFGQSPAFIASAFEEHGRRGCGGGGGSQDAAVAGALLKEAIHVVSCAYEERTRWGKAFGWIYEGGDGAAG >OB06G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15908968:15916027:1 gene:OB06G27920 transcript:OB06G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVENAVEAGGDLDGGAWSTVSRSSYSSTAVGGGVVAAEEVAAEKVAEVAEGLAGLEIGDRRLDKYDIPVEVSGEGVPPPAVGFEGAGLVEAVLRNVARCGYESPTPVQRYAIPIALAGRDLMACAQTGSGKTAAFCLPVVSGLLAAGGSGIGPRERSSFNRAAAKPRALVLAPTRELAAQINEEAKKFSLQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSRLSLEAIKYLVMDEADRMLDMGFEPQIRMIVERMNMPRKPMRQTMLFSATFPPEIQRLALDFLSNYIFITVGRVGSSTDLIMQKVELLSDGEKRGYLLDLLQRQSVGVANSKQPLTLVFVETKREADSLRYWLYSKGFPTTSIHGGRTQQERESALRSFKTGHTPIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGMAGSATAFFTESDHSLAKGLLELMTEAKQDVPDWLVQYAARPHHGGSSCGGRARRSGGSGGRDFRQGSDYPDYTGGGDSYSRGGSFSGGRGGSGRGDRGGRGYSGGGRGDRGGGYSGGGRGDRGGGGGYSGGDSYRGSAPPPRYYPSYPMGTADINASGWN >OB06G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15918889:15920326:1 gene:OB06G27930 transcript:OB06G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSLRQFPALLQTTSHSPSLARIRNSSLFSCSSSLPPSPPALHSRKASAAAAAATGPFAVTPAWMRHPSVALPQSSYAATLSMAVPVTVIPGAALADPDDAGHNAAGEDGAAAAMADDGKSK >OB06G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15925132:15927570:-1 gene:OB06G27940 transcript:OB06G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MFK0] MDAHFASMFVLTLVHLLLHISAHDFLSPGSSLSVERNSDVLYSPDGSFTCGFYNISPNSSIFSVWFSNSTEKTIVWSANLHRPVYSWGSKVKLNIDGSMVLQDYSGQTVWTNNVSSSDAQEARLLESGNLIVKGQGDIILWQSFASPTDTLLPNQIINGTIKLVSSSSSNRLLFPGHYSFHFDDQHLLTLFDDEKDISFIYWPNPFINIWGKQRISFNTTMLGVLDNSGHFLGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNQTHGTWLVTWMAFTQLCFVRGLCGMNGICVFTPKPACVCAPGLKISDPSDLSKGCKPRFRTSCDGKQKMRFMKLPTTEFLGYDQSTHKRVSLSTCKSICMSDCSCKGFSYWQGNGNCYPKSSLVGGVTVQWLPGSIYLKLPAALQVHESSIPQSQPFGRQYGPNCSAKDQYFIANFTDMSKGSQNESRYLYFYGFLSAIFFVEVILGALGWWFILRMEGRQLTGVWPAEAGYEMVTSHFRRYTYKELQRATRKFKDELGRGASGVVYKGILKDKRAVAVKKLVDISQCEEGFHHELSVISKIYHMNLVRVWGFCSDGSHRMLVSEYVENGSLDKMLFGSAGSQILLEWKQRFKIALGVAKGLAYLHHECLEWVIHCDMKPENILLDENLEPKITDFGLAKLLNRRGSNKNVSRIHGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGNRVSDWEKTEDEDDEVEKVLRRAARMLAENVKLQEGEGEGSKQSWIGDFTDSRLNGQFNHLQARAMIKLAVSCIDEDRNKRPTMENVSQKLLSIDE >OB06G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15930296:15932088:1 gene:OB06G27950 transcript:OB06G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNSLSLAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAIEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTENFDELMAAAAEEREAAAAAEGEEQD >OB06G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15932914:15936069:-1 gene:OB06G27960 transcript:OB06G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNGVLTLEKKQGWSVPQLPELRFPWDLHEDKRFSLSLHSSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEEVVDGKTVKKAKKRGLKLKIKIGNPHLRRLVSGAIAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFIKIVQEEGPSELYRGLTPSLIGVVPYAATNYYAYDTLRKLYRKTFKTEEISNVATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMESEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >OB06G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15953061:15956734:1 gene:OB06G27970 transcript:OB06G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVHLDLEAPATERSELAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLYLLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQELLGREPDGFVAGGWMGLLNTTTGKGSFTVERVQDLRPGPGADRLKKLVTGLLTEEGFDDKHCL >OB06G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15957168:15959355:-1 gene:OB06G27980 transcript:OB06G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVAVRHHVEGFPSEDDFEVKKVKVRWTPESGEVLVRNLCLSMDPYQLNRMKRRSASHHAVDGIVPGERIESYGAGEVVASACDEYKEGDVVAGVLGWEDYTLFRPSPAVLMSKLAAAPSGDDLPLSHHLSALGTSGMTAYAGLYEVCRPERGEKVFVSAAAGSVGSLVGQFAKLAGCYVVGCAGTNAKVDLVKNKLGFDDAFNYKEEPDMRAALKRYFPDGIDIYFDNVGGETLEAALATMNTYGRVALCGVISEYTDAGGRPAAPDLLEVIYKRITIRGFFAWDFLARFGEFGGVVGDWIRRGKVQVVEDVSDGLESVPSAFTALFRGENIGKKIVKLA >OB06G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15961908:15965943:-1 gene:OB06G27990 transcript:OB06G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAATAAEMAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKESQAPPVAKWEPSVDGYLRFLVDSKLVFETLEAIVDRAAVPWYAEFKNTGLERSEQLKKDLEWFKEQGHTIPEPSAPGTTYASYLEVLAEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQNVRNKLNQVASSWTREEKDHCLDETEKSFLYSGDLLRHIFT >OB06G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15974970:15975569:-1 gene:OB06G28000 transcript:OB06G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAPAQGRSACTPRRARSASRLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPSPSGFLFHDLSPLRGAALKGEAAPLVSPGSWLHHVGDHFFGAPAALGSPSAFLDIFGPLPSQHHQ >OB06G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15986708:15987496:-1 gene:OB06G28010 transcript:OB06G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRPPQPAKASETPPETKPKMSPPSAAASAPGTDKEVRILPDAALAGLPAPERLIYKLVFAGGDKGMAQTEIRIKMGMPASTLTKHLRNLTGGKSILKVVNSVHKRAEKIYMDSRLDPSPEITGGTWYRNGQLDSVAVASARRRCLDQVDKLGVAPADAIHEGISRDCPGVAYSIEQVRDILRTMALDRELEEVRSTGVGQFSDHRAGKVCYRRGGVPQGGMMERIPCGVCPRIDECSPDGVISPSTCVYYKKWLQMDF >OB06G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:15989719:15994127:-1 gene:OB06G28020 transcript:OB06G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGGEERQAKRARVGGDEEAAAAAAFPAMTPEEADFVRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKDELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDQLLPSNELSVSSEDGRGDSTGEDKPLNPSSSLVNGGAIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQEMWNKIPVIEQAAK >OB06G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16013996:16014628:1 gene:OB06G28030 transcript:OB06G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLPSPPCSNPCDGETGPLLPSSSSIICSAILSSSSIASGGGEPVVDVDVVVTVLSLGVGWSLDAAAAAVEMATSLLSWTVMTQLESARAPARFCQTPMWDFSGSRRRQVRDGDGVLQHLRSLALRICDRLLPLPFAVKEDEPPPPPRPSRAATAVPGASSPVAFLETGKLVLALRPLISMAASSYARAASSAVSKVPSHTLLLLPQRT >OB06G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16013992:16014900:-1 gene:OB06G28040 transcript:OB06G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVSRNATGELAPGTAVAARDGRGGGGGSSSLTANGSGNNLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDVAISTAAAAASSDQPTPSDSTVTTTSTSTTGSPPPLAMDDEERIALQMIEELLGRSGPVSPSHGLLQGGEGSLII >OB06G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16024855:16026798:-1 gene:OB06G28050 transcript:OB06G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWNDFTSIQLLQSLGITYGYSNTHDAETYQYFDDTDAHCVVCLRHPDAGGSIVMGVKAPLVATHHQKTVIVDHEIPGGSGGLRSIVSFVGGIDLCDGRYDTQAHSLFRTLDGAHHKDFHQASIDDADLSHGGPREPWHDIHSRIEGPVAWDVLDNFEQRWRKQGGDKDLLVNLRAYEHLIAPPSPVKFPGNDDHEAWSVQVFRSIDGGAVEGFPSSPEAAARLDLTSGKNNVIDRSIQDAYIHAIRRAKSFIYIENQYFIGSSYAWRANGIKPEDIEAVNLIPRELSLKITSKIAAGEPFTVYVMMPMWPEGPPGSHVVQAILDWQRRTIQMMYDDIAGALKAKNSDADPRDYLTFFCLGNREVQSNGEYVPAHHPREGTQYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAFQPRHLNIDGQAARGQVHGFRMSLWYEHLGMLHDDFLRPESLECVRRVNGMAATHWELYAREELHEDLEGHLLTYPIAVAKDGAVSSLPGVKFFPDTEAPVLGKKATLPIITPYITS >OB06G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16027183:16028619:-1 gene:OB06G28060 transcript:OB06G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSILMHGTLDATIFGATNLSNPTRLTGGAPEGMRKWWEGLEKTTGLGQGGTRLYATVDLGKARLGRTRVVDDEPVNPRWDERFHIYCAHCAEDVVFSVKVALAIDAALIGRAYLPVRELLSGEVVERKLDILDERRKKLPHGPTIHVRLQFKDVAADGKWWGGGIGGPDYGGVPCTYFKQHTGCR >OB06G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16036467:16039177:1 gene:OB06G28070 transcript:OB06G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3MFL3] MAHLLMHGTLDATIFEAANLTNPTRLTGDAPEGFRKWWEGLEKTTGLGPGGTRLYATIDLGKARLGRTRIVDDEPVNPRWDERFHLYCAHFAENVVFSVKVSLPVGAALIGRAYLPVRELLSGEVVERKLDILGEDKKKLPHGPTIHVRLQFKNVAADGKWWGGGVGGPDYGGVPCTYFKQHTGCRVTLYQDAHAPDAFAPRIPLAGGAHYQPGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATLGDLLKRKASEGVRVLMLVWNDVSSIQALHAIGIKLSVGQTHDEDTLAYFEDSDVHCVLCPRHADCAAGHSFVMGTKVSFLATHHQKTVIVDHDMPTGSGGGDLRRIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHRDFHQPSIDDADLSKGGPREPWHDIHSKLEGAVAWDVLYNFEQRWRKQSGHADLLVNLSALEHLITPPSPVKFPGNDDHEAWNVQVFRSIDGGACEGFPSSPEAAARLDLTSGKNNVIDRSIQDAYIHAIRRAKDFIYIENQYFIGSSYAWRPDGGIKPEDIEAVHLIPRELSLKIMSKIDAGERFTVYVVVPMWPEGHPNANAMQAILDWQRRTIEMMYYDIAVALKAKNSDADPRDYLTFFCLGNRESKTNAEYVPPHRPQEGTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAFQPRHLSIGGQGARGQVHGFRMSLWYEHLGVLHDDFLRPGSLECVRRVNGMADRHWGLYAGAELHGDLPGHLLTYPIAVAKDGAVASLPGGELFPDTEARVLGALTPAYFIPYLTS >OB06G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16042029:16045284:1 gene:OB06G28080 transcript:OB06G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3MFL4] MAHLLMHGTLDATIFEAANLTNTTPWRKPSIARTLGFLAACFDGVCACVQWWEWLEKTTGLGPGGTWLYATIDLGKARLGLTRVIDDEPVNPRWDERFHLYCAHFAENVVFSVKVSLPVGAATIGRAYLPVRELLSGEVVERKLDILGEDKKMLPHGPTIHVRLQFKDVAADGKWWGGGVGGPDYGGVPRTYFKQHDGCRVTLYQDAHALDAFAPTIPLAGGAHYQPGRCWEDVFDAISNANHLVYITGWSVFTKITLIRDPSRQRPGGDTTLGELLKRKAKDGVRVLMLVWNDVSSIQALNAIGIKLSVAQTNDEDTLAYFEDSDVHCVLCPRHADGAAGHSVVMGMKVSFLATHHQKTVIVDHDMPTGSGGGAPRRIVSFVGGLDLCDGRYDTQSHSLFKTLDAAHHRDSHQPSIDDADLSKGGPREPWHDIHSKLEGAVAWDVLYNFEQRWKKQGGHAHLLVNLSAYEHLITPPSPVKFPGNDHHEAWSVQVFRSIDGGACDEFPSSPEAAARLNLTSGKNNVIDRSIQDAYIRAIRRAKNFIYIENQFFIGSSYAWRADGIKPEDIEAVNLIPRELSLKITSKIAAGEPFTVYVMMPMWPEGPPGSHVVQAILDWQRRTIEMMYYDIAVALKAKNSDADPRDYLTFFCLGNREVTSNGEYVPAHHPSEGTQYAKAQKSRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAFQPRHLTIDGQAARGQVHGFRMSLWYEHLGMLHDDFLRPESLECVRRVNGMAATHWELYAREELHEDLEGHLLTYPIAVAKDGAVSSLPGVKFFPDTEAPVLGALAPAFFIPYLTS >OB06G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16051772:16055206:1 gene:OB06G28090 transcript:OB06G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3MFL5] MAHLLLHGTLEATILEADNLSDPTRATGGAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVDGESVNPRWYEVFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRDLLSGQAIERRLDVLDASRKRISHGPTIHVRLQFRDVAGDRHGWGRGVCGARYPGVPYTFFSQRPGCKVTLYQDAHVPDAFAPRIPLAGGGLYQQGRCWEDVFDAISNAKHLIYITGWSVYTEITLIRDGTRQRPGGDATLGDLLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETAEYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIADHDMPVPRGDAGSGRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTTHHNDFHQPNLDGASITKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDRDLLVDLKAMADLIIPPSPVMFPDDREAWNVQLFRSIDGGACFGFPTTPEAAARSGLISGKNNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVSKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYNDIAVALEAKKIDAEPRDYLTFFCLGNREVNMSGEYEPAGRPLDGTDYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTSGQVARGQVHGFRMSLWYEHLGMLHDEFLSPESLECVQRVNRMADKYWDLYTGDELDGDLPGHLLAYPVCVTREGTVTELPGARFFPDTQAPAIGTKGNLPPFLTT >OB06G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16055709:16055861:1 gene:OB06G28100 transcript:OB06G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFLLANEQKILLESYVEFSFNRSPLFPDLLFHPVCNNSCSLNLVGKFI >OB06G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16058456:16063393:1 gene:OB06G28110 transcript:OB06G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLALHETEAEREARIRDMFAFFDVDGRGQLDYARIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVLHSIKDIWSQGGMVAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSEPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >OB06G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16065063:16065308:1 gene:OB06G28120 transcript:OB06G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIVLGYMPKRQCLLYVIIWQHYQYNFLMVTLLHECTNDSVGDVKSVKCLTNCTCLCICLCLEVDPFVGAYMTARSAVP >OB06G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16075034:16075587:1 gene:OB06G28130 transcript:OB06G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAGGSHAGLAPAAPAMALSGTLVLFSLCRARPHQDEDDGAAASSAAEVVPASRLRPCLSSSEKRKREKARRGSKKRVRFAADVVDSNALSSPTAAAAAAAAEPSCRNAAALGTAMPANREALYRGMLRGRSMLRLACSY >OB06G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16075817:16076026:-1 gene:OB06G28140 transcript:OB06G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFISLPSLPPLSLLFFFHFFFGFGLDQDSNRRPQPLLRYHASVHGIIIASLYLFRNTQKLGNKNPGK >OB06G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16101305:16102963:1 gene:OB06G28150 transcript:OB06G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRLLSHSILLREADHLCGAGAGADGRVLPVVVRFPRWTAAGLGAARLTAARPPAAGLPEQGPWVQRNDDETKVNVWKVICWSSSEALEFIKQTPPPAVGVHDVEKLKKTFEDLMQSAETESVEAYYATHMLLLNHWHLWETYSLEPVKGYNGPRLFLRDCVKFACGADGYVLYDYLNTFGLTFDSMPKDNMDRDLIAAILYVSKDTREYVGQYRKRLAALHMRRYWEADEKEKERGGRGGGSQAQRRRRHYWGLSRTWGRRHLGSYPLMVAFSDPSYHVCISSRCSALS >OB06G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16104580:16106799:-1 gene:OB06G28160 transcript:OB06G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSPPSSGVNLDADHDDYAPLRFPVVEDIHGLAEHHGPLVRELAAADELLLAMGDEPATFEDARKEECWRKAMLDEMLKRIEQGVGVKHKARLVAKGYVQQQGVDFDEKLLEKASLAGCNPCQTPMEVKLRLLAKGATAEVDATMYRSLVGGLRYLVHTRPDIAFAVGYVSQFMEAPCQEHLNVVKHLLPSGTIDHELVYSKNSKVDRNLVGYTDSDLGGDVDQRKSTTGVTFPRREAEYMAGAMGACQAVWLARLLGDVIGTKVQPQMVKMDNQSAVALSKNLVLHDRSKHIDTKFHFIRECVDSGKITLEYVSIQEQLTDILTKSLEQARFCELRDRMDPGHRGRYGLRGLVSESYIFASIQSQKSYANRGTKTPCYSAEYRSCECSFFV >OB06G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16109342:16110512:-1 gene:OB06G28170 transcript:OB06G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVGNSAGSTDDRRSTGGFAVFLGSNLVSWSARKQPTVSRSNTKYEYKAIANAIAEIMWVPTLLAELGVKCPQAAKIWCDNLGAKYLSANPLFHARTKLIKVDYHFVRERVMLQARDLWHTITLEGDNVDFTEDRMALEVISKSAPPEMMGARATKPTAKATRDSIRTVHIGVDHVWRAKANTLCRDFDALWFRDGESIDEFGVCINAIAHRRQEIHPGTP >OB06G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16111667:16124030:-1 gene:OB06G28180 transcript:OB06G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGGGGDNRTSSAVMEEACTPGCRGCTSGCGDLHALALAERAVFVGALKDLTGVVETRAPTVPHVKSAPCPRFTYLYMQFYKMDPYLLTPESRAFSPLLDWYLPPTYVLLIIFHNNAVVVHLHSVSRGALNKWAGGRASRADGREGGKEGGARIGGGGAHIVLQGRRRTGRGGRVLPALWRGGGEQGGCKAAGAVEGRRRTDRGEVAAVGNRRKGEAVVRVQRRCQLVLELGTAVGNLGLRSRTRVGTHAARSFCIATKSPSLGRDAAAEERLRHRDATSSTFSSASTGASNLLHRPVSEKLRKSNHTLWKAQVSAVVCGARLQGHLTGAAKKPDAEITATVDGKIVKQPNPVFEDWDARDQQVLSYILSSLTRDVLVQVATCEIAVEVWGIIEKMYSSHTRARCINTRIALTTTKRDEVIAGGRMIDDEDLLQYIITGLGPDYSEVVSTVCARVEPITLGELYSQLLHFETRRALYNGTQESGSSVKASRGNGFGGQGGVSNNARRGRGGGAPRGRGRGSNNNLSGGNNFTGGRGRAQDRIGKKPLLKGQSWHRLYPLPPSSLKQACNVEKLSLWGFLLYPRHRCLSRDVVFDEHVFPFAKLNPNAGATIRSEISLLPDGDELVLSHVTNPLSITTNHVSQDDTASAVENLTSSPIASMAPSSADSEASFTEQQSTQQDWSAQARQSAHQQQQTAQQSAHEQQQSAQTQGRQQADGSPPQ >OB06G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16161818:16162042:1 gene:OB06G28190 transcript:OB06G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNFVPSVGESAYVYHHGTEAHASLQHCKYDCSDGQMAPRDSHLPPTSRRAHGHTRGRGDDPCSSYMGLGSQR >OB06G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16166135:16166870:-1 gene:OB06G28200 transcript:OB06G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNREKEEYAEERVMKLAMAQRAKDVGREAANSENPESNEPYPDEHKDYPQQEGKHLSI >OB06G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16173572:16175222:1 gene:OB06G28210 transcript:OB06G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQMTLSSIVVRPVIVAARGPSRLPPTLHLRRCTGGPPQYRLTSNLPNTAAPPCACATAIASPWLDHDDVTEISIYEVIAWSAEEAMELIKKIPPPAAAHDVGTLPPPSLPAPAHDVGKLLRKYERLLHRAEARSIDMFAGSKRLVRCHLMAWEAYEAMRPALLGVGHMTAGEALCECIRRGDVNDGSRDIEPRLLAAFGVRPESLPADLMERHFVAGAMYAAMETRSCAWRRARRLKRVERRNRRREEGDEERRKREEEEEDQNPPPQGDRRRLRETPSLA >OB06G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16175689:16175973:-1 gene:OB06G28220 transcript:OB06G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGRRTSELSSTAEIGRRTSEAAWPGELETKRMRHSGASEAQGGADPTRRRSPLGGSGVGPRRTTSGDVLPSTTAEGSWFCSSLFDKSCCDS >OB06G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16188526:16190432:1 gene:OB06G28230 transcript:OB06G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEYGWESWCNDGLYCFRIQLGIRGGCPTWCKGGGETCSVVQLNREGYTTGPIIVSYPPFLGASTPIAFNTTSRAADESVVGKVRLYGKLQLSWGMEPAPAPVDEGSSVDAENVVTAASPSPSGKNTAATYTPAPALMMPIDVFVGSSALIKQQHRSRF >OB06G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16191278:16195933:-1 gene:OB06G28240 transcript:OB06G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPGRTDNEIKNYWNTRRKRLEKLGEPLYPKGLNKFKVEYEEVNCQSPDESRGKKRTNEVLLGKEVLFDNLDYSIAESLLCPNFVARNSRSVDAMNPVKYQESSDIIASVGPDDPEKMHYCTDFNSGISQDQSVHFGTAITSQDQSAHFGSAIATGHPILDGNFSTSGTMQRPTKMELPSLQYHNYGLSNNALLYSCPLGHPVEQVDSVLQSPASGSLRSESLSPKNTGLLDALVQGGHGLGDPTKSQGSFDICAPHVQFNQVIESNLFFMSSAPVTGDDLPGNSMDVFVGSQYNTSNLFLDSPPPLVDSISWRPDALLEMLHSDEQLAKDMPGAFLHRGPFDEVNLSAAGQGYENDLDYQNRMPGFGDFRDFPSL >OB06G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16212487:16214804:-1 gene:OB06G28250 transcript:OB06G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRSWMYTGRQKRDSFTDEWLEKAKAFIEHAFRVVPNANVDGSKEVMQFHLGKYGFKANYEVWIAHGETLATQEQSTPEDGSESVGRMEPATNWKHYQAAVDPLGEYGNLLERVEADFWAQYRWKEGHEAHAKKVLFNVIKNKIGQMLYWTRIQAVLRYYKEILKIPTKEEEACTIYLTQEQYIQGQKEGREISRLEGYIYGHRSKTSQDPNVLCNDNSTNRLASYKGVLRKKHDPTCDWMNQPIDGSTVYQALRAETSSGERINKTLDRMSQLEERNRAQNNQAQEQIREQVAAAVHATNEYWLKYIQNLVEKNGANSLVLPSPPPFCFPTQLASDPGPATHEASQGDSGIGGINDGGTPTVGNCG >OB06G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16225755:16228075:1 gene:OB06G28260 transcript:OB06G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVDVLADGSPEIKVKADELNKRFKASTYINQGAKNILKVVQVFRTKGNAAVHPPSLEPEFMVQLTWPTLDPIGGESAVQDDELNKKKKEHYHHQQASQLVS >OB06G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16226634:16226864:-1 gene:OB06G28270 transcript:OB06G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASSCRAPCASGADRVAGPSVVLGAGRPGTYEAREKRSSNVRKRKVASTDRLHDRPCERRRRRRGWLLGSLMEKIK >OB06G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16235300:16237636:1 gene:OB06G28280 transcript:OB06G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVLEPAAALFGGVRSRKRARVTAVPPCAFAEAVEEEVTAASKRQKRREAPSLDALPDECLFEILRRVTDAGLAEIAAGCPSLARLDITGCPLITDKGLTAVAQGCPDLKAVTIEACPGVADEGLKAIGRCCAKLQAVNIKNCAHVGDQGVSGLVCSAAASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPTVGERGFWVMANALGLQKLRCMSVSSCPGVTDLALASIAKFCPSLKQLSLKKCSQVSDGRLKDFAESAMVLESLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGLLPLIKSSESGLVHVDLNGCENLTDATVSALVKAHGSSLARLSLEGCSKITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >OB06G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16239947:16245692:-1 gene:OB06G28290 transcript:OB06G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35410) TAIR;Acc:AT5G35410] MRGVGGEGGMAARKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKDTILNHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIAHQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSHGNLKVSDFGLSTLAQKGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEENDLPTLYDKITAGQFSCPYWFSPGVTSLIHKILDPNPKARITIEQIREDTWFKKTYVAIKRGEDENVDLDDVQAVFDNIEDKYVSEQVTRNDGGPLVMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAKSMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKKLCNKMESIIWRPIEVSEKSTLLRTATC >OB06G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16265880:16269324:-1 gene:OB06G28300 transcript:OB06G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVADAMGAIGIDNGPSKKLLSNESLEERGEEHDVQADGAHSGESEVINPTEEVGGEATSHPEPEDIKPRVSKASQSHSPKVTTKFQRQSPQSGDKSQPRKNSPSSPYPKAPIARVSDPDLVDSSSSNGGADVKKKAEKSSFRPVSKASSSLEDSKEKKKPQKASNQTSVKNEEEESNSEKVKPQRVGSTPSYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKRLRKSLNFKANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSASTEESNVPSSRPARLSLDERASQNGVKKLPAVNTAKKPQRKSLPKLPSEQTVPEQVENSKTSTDLTREPIRAQVTPDEQFGG >OB06G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16269730:16269972:1 gene:OB06G28310 transcript:OB06G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVPLEEGGSTDLDAVELGRRDWEDARVDGIPSGAKTGGDSESLYRRDDRGWGEATSGARDPEGRCRRLTGARCRAPRI >OB06G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16276829:16282460:1 gene:OB06G28320 transcript:OB06G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESLEVTHQMQSHSIEQNTNATTLDNKTSLLLEDDCRNQNDGSGLAEIENIIKQKHFSRDETERLIEIMRSRTPDLSNEDQRIPRSSTKGFEAITFSDKWSTPAKQIGVQSPCGTDVFGPSNVLDVASSPIELAKAYMEAQTSASVQESQKRRFRALSHGVEMDNSSSKFFPKVATASACEPGSVAQIYPNYLTPQSNKGRILPPTSSRTTYTGSVFSRSNKYTGTRDAYNNSSGKPQFSTPLPVGNKALFEDKMALTVGALGVQSSTHSKGVYGVTAGATTPFTKESSADKKNTGSNLQGPRGKGITESGSTLGCVPMVENTSYSKPAYLSVHPKSFKTAHKILQHLERTIPSPAAKPLELRQTSAKRIITSLVTSIQQKEPDSIFTTSHGESSITVSGGARQKVSDATKVLAPPSSSNAEQSSSKIQNSEPNSEITEMPSSQHTPKSDSTSTPAAEVLDKSTGNGFTFTFPVPKASISLPEPPPTPSLSLPPSIPPAASTDIPKFTFGSSSTIDKLVFSFDLASSSRSAEEAAPTFKFASDTKRELSFDVAGKDAVCF >OB06G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16288769:16291434:1 gene:OB06G28330 transcript:OB06G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRALFFFLLLLLPFPSHGPRAVQAFAGGYGINYGRIANNIPSPDKVVQLLRASKIRNVKIYDADHSVLDAFKGSGLNLVIAIPNELVKDFAANESRSIDWLNENVQPYLPQTRIVGITVGNEVLGGQDTSLAEPLVQAVKNVYNGLKKFHLEDKIELFTPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQQIGSPFYVNAYPFLAYISDPQHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDAAYAALQAAGYNNMEVRVAETGWASSGDQTEAGASVENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENSKPGPSSERHYGLFNADGRIAYNIGYEGLLPSSAPKIQAGSWIVHYSATVILSVFIFLALVT >OB06G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16304169:16304342:1 gene:OB06G28340 transcript:OB06G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSIGKAVNFRRVGTHAFYTIFGRRGIVEKSRILAKLRYNCWPHFVWHAMFWFC >OB06G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16305250:16305867:1 gene:OB06G28350 transcript:OB06G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMIRFCVLPAGELPNICRIWDECDCDADGFLAVPAWHGVRLQVFVAMQAAYILWAILAEGRPRAAVAALMMFTCRGALGCATQLPLPAEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAEDMRRAGRRGLARLYDALNLLQGVRLLACRGHYTIDLAVGVGAGPLFDMLAGRYLDGKNVVDGAAAAPGTGSRCCSCHKALLSQ >OB06G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16305752:16311050:-1 gene:OB06G28360 transcript:OB06G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATACDAAVEELARLLDQVEAPLKETFQNVHQDYPTETLLRFLKAREWHVSKARDMLLDSLNWRIQNEIDSILEKPIVPVDLYRSIRETQLVGLSGYSKEGIPVFAIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGREELLKIMDHSSLPHFCQREGSRSSKNSSNDIGNCFSLDHPFHQELYHYIEEQALNQELNKQGSLHVNIPDQDPEDAKIVEVIEAEFQKLGEQNGSVNGDHKE >OB06G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16317004:16322059:1 gene:OB06G28370 transcript:OB06G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G13220) TAIR;Acc:AT3G13220] MEISHEERMEMSIVEQLPPSSHHNGGSVEVDMEEDHLWPAKDGPLPIFLKFENVEYKVKLSPKNPLTAARVAFASHKSAEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSATKLLVVLRRLARSAARRTVITTIHQPSSRMFHMFDKLLLVAEGHAIYHGSARGCMPHFAALGFAPGIPMNPAEFLLDLATGNLDGISAPDSLRAASPDSLEFRSHVIKYLQAKHRAASEEAAAAARVDGGGGXXXXXRGELAKQLRLAVRMRTKDRRSIGWLQQFAVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTGNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYKLSAYYASSTLCDAVPHVVYPVLFMAILYFMAGLRRTVACFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYDCGGRGGCRPAAVVAVVRHRRPRRRHARGLDPPRHGRRLPPPRLPLPAQADQPHALVISSRLSFCLSDR >OB06G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16323699:16329358:1 gene:OB06G28380 transcript:OB06G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRSVASSARLAAEQRIAERVAPMKLLVRVVEARGLPAAHVNGTSDPFVKLQLGKRRAKTAVARRSLSPVWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVTVPLADVMETDDLSLGTAWYQLQPKSKKSKKKPRGEVCMHISLSTRTHVSDEPQNAANPASDEIASSSDRSTEIKDAALSTTSSYIDLSACASAIDRASHSSVEPLADGAVDQPPLSSSSMEQAAAADGGDAMANPSSVVEVLSRYFFGNKPAADVAPSTSAVSDAESVDQFQEPKMCSSEDHENPENGTSSSSSSESSSLDELLKAMESKDQGSEMPGNLPGGVLVDESYVAAPAELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDSNGSCLQRTLTYIKAASKLVKAVKATEEQKYLKAAGNSFAVFSVVSTPDVPCGNCFKIEILYCITPGPSLASEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFSQFSEILSQKMKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLCNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNISKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTGIIAAGSTELFDMYAVFTCNTKRKTSSVKFQTSDPKWNEIYEFDAMDDPPSRMDVAIHDANGPFDQAPIGHTEVNFLKSNLSDLTDVWLPLDGKCDQTNNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSTQTNAAFRKLFNLPSEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPALSIGSPSLMIILRKGRGSEAKHGAKGTDPHGRLKYYFQSFVSFNDAHRIIMAIWKMRSLGPEQKGDMIEKEPDVKDLQIDEGGTLFTQEDVKMSEIFSSVLSVDVESLMEMFSGGPLEHRMMQKAGCLDYSATEWELVNRNIYQRQVSYRFDKTLSRYGGEATTNQQKYALVNQDGWAIEEVMTLQGVLLGDYFSIQMKYNMVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRNGAS >OB06G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16333077:16342853:1 gene:OB06G28390 transcript:OB06G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKTPAAAMKKLTVPEKKSLHVLQASASGKFSLTTSPEAPAVMMTTPRKQASAAQSKHLLGVSPRAAAAAPSCLCSPTTHAGSFRCRLHPGGGGAXXXXXGLAGSVGCGLSDMGTKKAGV >OB06G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16343614:16351155:-1 gene:OB06G28400 transcript:OB06G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMTDEDFFGPVSKSFLLSGRPLDSGISDDGNHDMTLDSETFSRHFRSIAPPDDCSLNSIGSLRTPNSASVGPSKELTGSGYDGKSCNSQDALTNMSLLADNPERYDYAKLSPTLSNLLQKVKDVHEAISPKNDSGTVTPVHSSALVASKEKSREDKLSICSVISFGDLDAIGPQNHGSTMSVPSNSIPQRHVKKAGQTSSLGTPRLGIKEVTKIQEMPCNFLIMEPSHERNSIQDSDGGERKRSIDENDLAEQECPLKISKAPRSPTTSLKQLPCVSLYSSMVEENQSDACGNEQSIHVDWSKIMLMVSNATSQVFSTSLSRVKPQQLDMIEDMLGKIQRARNFKRLFTAVRIQDCCNDKQKRLAEARSLIDKLLYEKAKLQINRVKLEKLQNKAWACQDRIQECRYLKSKISDLKGAPVHATTLITASDRQERLALITEKKLALDMIKKKVERSRSSLEYFCTTKGDISCDDFIVAAEQQLEMRSQCRIIHQQAWLWELNDLVKRENKCDIVLNYCSLLFQRITLNINDMSLFVNNSLSGSIIGQKFPNLNAPMAFNFVLKAEVNQRVSDLRSLQKKTMETSLLLSNLIDVLVEIKIAKMELLNLTAASFNMESKTCPLASSLCFMNFKSGKRISFSIDMADLNRGIYPSEPSELLIKVHEAQTTVAQPGLDKFMSSLRDLQPGRLMILRLCRMASKLMYELRPWVDSRVF >OB06G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16357046:16357859:-1 gene:OB06G28410 transcript:OB06G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAFFTQACAVNVVYGHAWAGRIKLPVVAGAGAPALELPGLQVPLEPHDLPTFLTETSDYPAYLNLVLKQFDGLDAADHALINSYELQPQESEYMTSTWRIKTVGPTVPSAYLDKRLPNDRSYGFQLHDPVTTTRS >OB06G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16364629:16373123:-1 gene:OB06G28420 transcript:OB06G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSGGCLPLPSPATEDETIARRRSRRVSFAEITAVHVFDRDEDFETPPEERAAAAAASPSPSLSPSLSPGNPAAAEGEETEGEDEGEDFVPAPFRFVNNDVDLSSPGSAAGSLVSNDDEDFFGPVSRSFILSGRPSDSGMSEDGNHDITLDSETFSRHFRSVAPPDDCSINSVGSLRTPNSASTGPLKEQTGSGYDGKSYNSRDALTNMSLLADNPERYDYAKLSPTLSNLLRKVKDVHGPMSPKNGTGTVTPDHSSALVASKKKNREEKSSIGNGISCSEMDTVGSLAEHVPIRNPVVTSTDPIQEDNAMTVNVNVKSQENCNNGHAVILVDVNKNVHPPAMLSPPYKSLTSDNNFQPHLLDQQPSKDQPPGTYCTTNDNYLWPSAVSAVPTNNGEQQQQQNHVMDVEAIPNTPKTVVQISETSQGSISSLRSKRRQLFSPIALSTSNVVSQEASSLGSEFVKHGKRILALRDNLKSSIYESPATHNFRLPQIERNAFGLKPNAIAGNAENHDSTMSVSSNSVPQRHLKKSGQASTLGTPRQELNEVTKIQEMPCNVLIMDDQPSHECNAIKDLDGAGRKRSINENSHAELERRQKLTKAPRSPATSLKQLPCVSLSSNMIEEKQSDAHGSEQSINVDWSKVVFMVSNAMSQVLSTSISKVKPHQLDMIEDMLEEIQRARNFNRLSTAVRIQDCGSDKQKRLAEARSLIDKLLYEKAKLQINHMKLEKLQSRAQVCQAGIQECRYLKSKISNLKGSPRHATTVVTASDRQEGLALITEKQLALNMVKKKVEGSRSSLEFLCSTKGDISCDELIRAAEQHLEMKNQCRIVHQQSWLWELNDLVKRENRHDIILNYCSLLSQRIVLNISDMSIFVNNLLSGTKIDQKFPNLNASVAFSYVFESEVNQRVSGLRSLQKKTMETSLLLGNLIDVLVEIKIAKLELLNLTSAAFDMESQTCQLAFSLCFMNFKRGKIISFTIDMTDLNRAIYPSEPSELLMKVCESNTTVVQPDLDKLMSSLRDLQPGRLMILRLCRMASKLMYELPG >OB06G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16374118:16379944:-1 gene:OB06G28430 transcript:OB06G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXPSLYDEFGNYIGPELADSDADDSDADASPSPSPSRSPSPAARSPSGSPSRPAALMDVDDEDGADPSQGAVVLAEDKKYYPTAEEVFGPGVEALVMDEDEQSLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAANPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEAGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHIYTGPQDSAIVDAMKKCDPHAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKQITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >OB06G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16381082:16384327:1 gene:OB06G28440 transcript:OB06G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Charged multivesicular body protein 4b [Source:UniProtKB/TrEMBL;Acc:C0JAD0] MSGVFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKGFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVPVAQQSTRPSASKTEDDELAALQAEMAM >OB06G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16386645:16392095:1 gene:OB06G28450 transcript:OB06G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3MFQ1] MQAWLSGTGASSSSAAAAASSSSPQPSLLAEWNSYAAARSAEEDGGGFGIDIEAAVRSANDRVAGTFGVVSKGVLGLPGSFKSTTSSVPSSKSLLYFGLFLASGTFLVFIAFTIFLPVMVIMPQKFAICFTAGCAFIIGSFFALKGPKNQLYHMISKERLPFTLGFVGSMVATIYVSMVLHSYILSVFFSCLQAVMDPFLLPKYELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVEPNRQALRELLFTAPGALQYLSGVILFEETLYQSTASGTPFVDVLKAGGVVPGIKVDKGTVEIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGGHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVGAEVIGEYTVAALRRTVPPAVPGIVFLSGGQSEEEASQNLNAMNKLAVLKPWTLTFSFGRALQQSTIKKWAGKKENVATAQAAFLARCKANSEATLGNEEEASQNLNAMNKLAVLKPWTLTFSFGRALQQSTIKKWAGKKENVATAQAAFLARCKANSEATLGEGGAAAGAPATSESLYVKGYTY >OB06G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16392639:16397083:-1 gene:OB06G28460 transcript:OB06G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDSKPSYNYSSSYDYGNSSSGYNNSRYPAYPANTSSSHSARYAPSTDNYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSVFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRIQPRIPLPPPMRTAYSRSTSFDQRSGVYSRSSSFGPQTSGFQQSDSFKQRQPVATSAPDTTYATESSLEGRLLCAICMDKSKDLAFGCGHQTCYECGKNLVRCPMCQQHITTRIRLY >OB06G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16398023:16398238:1 gene:OB06G28470 transcript:OB06G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCAMRFSALTTTMDSDRGSFERGEEGSLMTGSSFISRCECVTKILLLMNCGVLDCWHDYPPTREKVRRW >OB06G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16402913:16403786:-1 gene:OB06G28480 transcript:OB06G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGIKPVLSHLRQRVDGRVHAEAKDTSQFRSFRKRACEKRDARILARPDVLSRTRLICVSAAAEWRLLNQSLTELQAAFRRCAVLEENRMLAREMARKAIDDWLEFKATVLTTSHSDDDSPPDTPPVAAPAATIAELDDDEDGTASELSDDEGLSSPDTGNQLPAGSIAKDGHDQK >OB06G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16405478:16405906:-1 gene:OB06G28490 transcript:OB06G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMFARRTAGFRASSTTSLNLTLPMSIRSLSISFVKSNSFYSNGLSIHLSIPSLWQQDPTCQILSLPLPVDGGEAEDQRPCGSEERHPQQQRRXXXXXXXXXXXXXXXXXXXGSGGPPPQQQRRRRPSMADVTGSARKAGC >OB06G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16406390:16406680:1 gene:OB06G28500 transcript:OB06G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRNGRDTEKGNTAQSKSLEVRQLGVVGQRKLDCWRRRRELAVLWASSQRELEVALGRRSIVAGLEAPSMADASVRGQARGDIGGRLCCRRSARA >OB06G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16412449:16413566:-1 gene:OB06G28510 transcript:OB06G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWATRRRRQRGKGGRKRRGLLIGDRVGRRRIGVDATHEVTATGGDVQPRCAGSGAGLGRQRSAAVGKIATAGETWDVTTSHSDDPPDTPPVTAPAAIIDNDDDLDGTVSELSDDDGGIDKGLSSPTTGDQPPVGSIAKDGHDQEYKNC >OB06G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16417075:16417737:1 gene:OB06G28520 transcript:OB06G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTSPAAARSTRARLVLAAVVSSLLLLQAPSTVAAETAHPPLPRRRRLAGGGAPDRRGVALRPPRLRPRRVSVPHPQRHCSTLYLSLDLDLEFLVDGNKCKCSREKAREAIFYSYTRNINGFAAGLEPEEAAAGGGGPPAGGGPGPRPRHDERQFMGLERGDGEVPRWSAWKVARYGEGAIIGNLDSGELHYTTKLAFELTNPDSMELYMDLIELAIS >OB06G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16419115:16426336:1 gene:OB06G28530 transcript:OB06G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRGKGFPLMVSAAAAVAPGRSPADAKECNLGALDAGKVTGKIVVCLRGGNPRVEKGEAVSRAGGGGARMILVNDEASGDDVIADAHILPAVHIGYNDGLALLAYINSTKVARGFITKAKTLLGTTPAPVMASFSSQGPNTVNPEILKPDVTAPGVSVIAAWTGAAGPTGLPYDQRRVAFNTQTGTSMSCPHVSGVAGLVKTLHPEWSPGAIKSAIMTSATELDSELKPILNSSRLPATPFSYGAGHVFPHRALDPGLVYDATATDYLDFLCGIGYNASSLELFNEAPYRCPDDPLDPVDLNYPSITVNILSEPQPGASTTSISSVLRCSWRGECLVAADEMSSSRNKMRKLKELLRKSDNRICADCSAPDPKWASTNIGVFLCLKCSGIHRSLGTHISKVLSVTLDEWTDDEINSMLEVGGNSYANAIYEAFLPVGYHKPHPDSTQEERADFIRSKYELQEFLKPSLRIVSNKSSLQAMDSRKDVGNSSNSYSFKSEAGMVEFIGILKVKVIRGTKLAVRDILSSDPYVVLTLGQQKAKTRVIKSNLNPVWNEVLTLSVPQRYGPLKLQVYDHDVLSRDDIMGEAEVDLQPMINAAMAFGDPGLLPDMQIGRWLRSRDNALARDSAVSVAGGKVKQEVSLTLQNVECGEVDLELEWIALNQ >OB06G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16427844:16432959:1 gene:OB06G28540 transcript:OB06G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC type transfactor [Source:UniProtKB/TrEMBL;Acc:C0JAD6] MYEPKPFSSTVPAHNDKVSHNQQIERISNNVASNSGGNSSNSNFATRQRLRWTDGLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGTKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQRLSSVLGESGKLSAPGPVTGEHYQDSNRTEPSTPVPTSESPIRDKAASGLFKTLSSHDDCLSSGREPLTPDSSCHASSPLESPRGASKRIRVSGGLDHRGNDEFALPRKVLESGSGSDFRQASSVLSSSTARFHSLESLNANENGFTNVSGSDV >OB06G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16432776:16435131:-1 gene:OB06G28550 transcript:OB06G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILGRHDTMKRNSHGSKLEAKMVEAIQQRAAHGTSLKSFDSIIMKFPKIDESLRKCKTIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEACDINEDMGMKFNEFIVFLCLIYLLNEPAVSEAKLKMGLGNLQGTFETLVDAFVFLDKNKDGYVSKEEMVQALNETATGERSSGRIAMRRFEEMDWDKNGMVTFKEFLFAFTHWVGIDENEDDDNE >OB06G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16437688:16442377:-1 gene:OB06G28560 transcript:OB06G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:UniProtKB/TrEMBL;Acc:C0JAD7] MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYRRIDLELTNGRDQTLKCSHYVPAVVPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEKQDLKCVVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIRFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRAAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSNRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPTHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >OB06G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16451555:16455774:1 gene:OB06G28570 transcript:OB06G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRWCDSDNDLDISHRYGSGGINTKSSNNGVQNSFHKSTKVNQSLRKSTSQRDFLHSRDSSSSHSSLTDDEFRDTHSFHSRNQKGIWTAYALEKDRRIVNEDESPLYDVMRKEVRQAVEEIRTQLEQVVTKSEPSEKATNADAQPTQVITELRRSYTSKLEESEKRKQELLAQLAAEEQRGHELTKIVKELLPTGKKNMNSEKQPRYRRRSNDRARMSRRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSLSRRDVVLNTKLETPIALPKVSSPVESDGVVLPWLQWETSNDIQTSPCKTKTQGASTACSTSNNTMSSRGSWSPGDHDSSAGSKDTLLTRFEEAAALRSSCPDNTRSSSYHIDDYMHLRRSHDLLLERWRQKQRIDDGGLILCNRSTIM >OB06G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16454670:16454891:-1 gene:OB06G28580 transcript:OB06G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVSHCSQGRTTPSDSTGEETFGSAIGVSSLVLSTTSRLLKLVSLLSPSKEEKSVSSTFDRKSSKYCSASSV >OB06G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16458760:16460056:-1 gene:OB06G28590 transcript:OB06G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDGDAGGVVVDGEAYRLRQMHWHSPSEHAIDGRRYDLELHMLHQSDSSGRYAVVAQLFEIGRRRRDATLDMLEPYIERVAKKRKMHEVEVDGEVDPRRPVSGSGVYYRYTGSFTTPPCTEGITWTVARNVRRVSRRQVELLREAVHDDARRNARPLQEANGRAVGVYYSWLA >OB06G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16472953:16477496:1 gene:OB06G28600 transcript:OB06G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLESWEAATASPDVGRARSSPSLLNPPSSDARSPPPPLHAPSPPPTPSSSTTPAAWVIISCRGGGADGGDGMLGSLHSSSSSDTDNNNSGCKNNGGGEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXESEAAVGPSGGRWWRGIERWGGAARCAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQMRPLLSVSAWQS >OB06G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16495139:16501644:-1 gene:OB06G28610 transcript:OB06G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3MFR7] MEEESIAHTPTWVVAVVCFVIVAISLAAERFLHYLGKLLKHKRQKALYSALERLKEELMLLGFISFVLSLSQGFIVHICISENAMRLMLPCKKENYRHEEGVKLCKKKGEVPLLSLEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKNWETTIHEDMKKNLTQTEATPVTPVRIAHNHQGDLLSERTKGFCMKLAVVSWIAAFLKQFHDSVNISDYKALRSAFGLRHFPRRPYFDFHKYIVRALEHDFKRVVTISWYLWLFVIIFLLLNINGWHIYFWLAFLPLFLLLVVGAKLEHIITRLAQEAVALSEKTQGVPNIKPSKEHFWFGRPEIILQLIHFVLFQNSFEIGFFIWVLVTYGFDSCIMEKKVYSISRLVIGVLIQVISSYVTLPLYAIVTHMDGGIKLEGIGSGLQESVAEWASGARKSKGEQPRASLPKGPSGNGRAAGAVEATWARSSYEHVSGSPRNALAPSPDRGEDEIVSVVVEDGGGGDHHRR >OB06G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16510567:16511927:1 gene:OB06G28620 transcript:OB06G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVHRHGPCSPLADAHDGKPPSHEEILAADQNRAESIQHRGFRFGCGERNEGLFGGAAGLLGLGRGKTSLPVQTYDKYGGVFAHCFPARSSGTGYLEFGPGSSPAVSAKLSTTPMLIDTGPTFYYVGMTGIRVGGKLLPIPQSVFAAAGTIVDSGTVITRLPPAAYSSLRSAFAASMAARGYKRAPALSLLDTCYDLTGASEVAIPTVSLLFQGGVSLDVDASGIIYAASVSQACLGFAGNEAADDVAIVGNTQLKTFGVVYDIASKVVGFCPGAC >OB06G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16515972:16519156:1 gene:OB06G28630 transcript:OB06G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein MAP65-1a [Source:UniProtKB/TrEMBL;Acc:C0JAE3] MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGETDDDRDNMLLQLEQECLDVYRRKVDQASNSRALLLQQLANAKSELSRVLCALGELSVSGIPDKTNGTIKEQLAAISPFLEKLCREKDKRVREFADVQLQIQTIRGEIAGSLQVGEHMETPRVNEGDLSTKKLNEFLYELQVLQKEKSNRLHKILDLVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAESKSISDATLSKLSKMVIELKEEKLKRLEKIQALASQLTDLWNLMDTSVEERHLFHHVTCNMSSILDEVTVPGALDLDLIKQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHIAIDTSAARDRILTVIDSSMFEPSELLADMENQILKAKEEALSRKDILEKVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARVLVSKIPAIVDTLMTKTRAWEQEHGTPFNYDGVHLLAMLDEYKILRQEKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPVRPQSSRKMPGPRANGGAANGTPNRRLSVHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEEFSNNTVASSP >OB06G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16519847:16520698:-1 gene:OB06G28640 transcript:OB06G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGAWQEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGAAGGGAGAEKGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLRGGGRSLPRRRGAPPPPRLHARSHKVSKKVHRRDQEQEQQQQRDQAAAEAYDGNQPPTDY >OB06G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16523862:16526536:-1 gene:OB06G28650 transcript:OB06G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWIKLGNKGKTPSIRTWLKQSQTEVMRSGGQSSSGGLTSEDRRGAGKVRGRNMMPTPKSKQNQD >OB06G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16528366:16529892:-1 gene:OB06G28660 transcript:OB06G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03540) TAIR;Acc:AT1G03540] MPRRALASLRSMLAEADDVSPNAHAFSAAVKACSVLRDRNAGACLHGSILVRGFGDDDIVLSALVDMYGHASAPSDAQKAFEEMRAPDGICYTSLISAFVRNDWFEEAVRWFRSMLMMNGVRPDGCTFGSMMTALGNLKRENQGRQAHAQVVTRGLCGNVIVESSTLDMYAKCGSMVEARKVFDRMLVRNEVSWCALLGGYCQNGEYEKVVALFREMDKKDGDWYSLGTVLRACAGLSSVKPGKEIHCRFLRMGGWRDVVVESALVDLYAKCGAVDYAYTVFEASTVRNTITWNAMIGGFAQNGHGERAINLFDRMVREGPRPDYISFVSVLFACSHTGMVEQGRKYFNSMSNNYTIAPGIEHYNCMVDLLSRVELLEEAEDLINKSPFRGDSSLWAAILGASATHSNPDVAERVAKKMMELQPQYHLSYVLLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWIDANRSKLCVGDVDEAASASELVASKEIDIYQDSAYNFHGSA >OB06G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16532685:16533356:-1 gene:OB06G28670 transcript:OB06G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLEHVQQVVAISVYDNKCDEVHDMPTITKVEEVAPPLVGEEELHNNGGSKEEAIVSEEQEAIAAVDDDNTDADEDGEGHIHDDVIEEVKAKLAIQTTPAAAGAVEAKEPEEEEGGEGRRRLLEAKKKTTTTEKAVSKAVVIPVDDDDDDDHGKHRQEAAAAAAVEEEEEAKGDHQRALEDNKENAGEKSKAQENDE >OB06G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16537053:16538597:1 gene:OB06G28680 transcript:OB06G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase [Source:UniProtKB/TrEMBL;Acc:C0JAE6] MTSLLLSAMNLLIMASWCWLQASCGRQVVFDVTDFGAVTDGETDNSKAFVRAWTEACAAAGRPAVVVPSGDYLLHPVVFRGPCRGYVEVRVAGVVRAPAGLDAFRGYHEWINFAGIDGLLVTGNGTFDGRGASSWHLNDCPWKPDCIPPPSSIKLARVRNATIDGVTSLDSKFFHVVVAGSHDVEIRHVSIRAPGDSPNTDGVHIQGSSNVRVTDSAVGTGDDCVSVGPGSADVTVSGVSCGPGHGISVGSLGRRPGEADVRRLRVSNCTIAGTANGVRIKTWRGGPRPASSAASGLVFEDIVMRRVRNPIIIDQEYCPYISCHHQSERPPSVVKISDVKFRNIRGVSATQVAVKLSCSAASPCRGLELRDIDLRYVRRGVATVSRCANVAGGVTGGTLVPPSCI >OB06G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16540183:16541643:1 gene:OB06G28690 transcript:OB06G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNHSSTCRILVSFLLVATTVAVFADADGKKPDEKGYAPAAVAAAGAGGSYDIIKLGANGNGRTDSSKAVMEAWKSACGGAGKQTIVIPKGDFVTGPMDFTGPCKGAVTVQLDGNLLGSNDLSKYKGKLANWIEVRKVDNLVISGKGTLDGQGPGVWGKNSCAKNYNCKILPNTLVLNTVNNALVSGITLKDAKFFHMNMFRCKDVTVQGVTITAPAESPNTDGIHMGDSSRVSIVGTAIGTGDDCISVGPGSDGINITGVTCGPGHGISVGSLGRYKDEKDVRDVTVRDCVLRNTTNGVRIKSYEDALSPITASRLTYENIRMEGVANPIIIDQKYCPNKICTSSGSSEVTVKDVTFKNITGTSSTPEAVTLVCSDKLPCSGVQMQDVNVQYAGTDNKTMAVCSNAQVTATGCLKELACV >OB06G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16543279:16564144:1 gene:OB06G28700 transcript:OB06G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3MFS6] MADVRPDQAASEEVESIHFSFYNDDEIKRISVKQITKPDRLDAKNCPVPGGLLDPAMGPTSDTDTCKSCGQHSVRCPGHFGHIELAKPLFNPLLFLSLKNLLHMTCFHCHKFRLNREQVDRYTDELELLVKGDIAHAKNLEDSGGKVLLEEDDKTEATSGDKSTCSESENKTWTSIQLKEVLSIFANFMKKRQKKCTHCNKKNPKISHPIYGWLIKDADISAVRANAIADAKLSGDVRFHDSRETGVSGLDEELTSPGTSSRGSTNETRRISDDTIKEMVASSGKKHLLATEVESILKDLWKNEARFCMLLCDFQQNTLNVSEKKRGYEMFFLKNLLVAPNRFRPSIHSSLGIMEHPQNILLSKVQEANLALQQSNAASNHMEVLRRWMDMQRSVNVLYDSSKGLAKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPAKRRAIAKMLPASRGSISQAGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKLDTFLSREEYNQLVYGSCVFSSTRRSAKFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGHPPFTVMQTGKISKEYLLPKKCDAVQFNKRKCDDAKDKTRDPKEHKKCDGIHVKKRKCDGSKDKTKDPKERGNEDKTRDRSELVLYVHDNELIKGMIDKAQFGKDGIVHTVHELYGPDAAGVLLSSFSRLFTMVLQFHGFTCGVDDLLLCQASDELRKEILGTSEECSKIVHKEFICPQNKKGDEAEDTRPKEDGKAEDMCLKEDNEAEDTRSKEGVEDQVKLQMEVERVIRRNRESATVMLDRKMSNTLNVITSKVNQELFPYGLQKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPSWDTSARAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIIQFCYGEDGVDVLKTSFLDKFKELADNRKAVLHKLDGLNDKHLLSNPNGYISKLPVKLIGSAMKFLKETEKKKLCRYDIEEEELMKLLKAKYLSSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKELLMTASAKISTPFMKCPMLEDKTRDDDEQIDAELKKVRDAERRAAKREKIRDAQRMAVKLRRIRVADVVERIEVCTVPFHNNNGCVSTLYKLQMKLYPRELYPPESELTVDECQETLRTVFIDAMDLAISKHLDLLHKINAIQAVKVNDTESQPSDGVEESENGPTDDGNGLSDGENEDDLGADAQKWKQQEIDEMEYDDDAEKEESSDMDSESEDDTKFKPESEDDRPKLGEELEETEEGHVLDSSYKGKNSKATQATARLQDERNKVADEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFIKACSNIDQCTVNKIDVDSDKIDEAIVLQTVGVNFGVFWNLVDYLDINEVRSNDIYAVLKTYGVEAARATIIEEVSGVFGAYGIDVNKRHLSLIADFMTFDGGYRPMSRNGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDSPSASICLGKPVKMGTGTFGLLQNFCLEQPAAM >OB06G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16565350:16566634:1 gene:OB06G28710 transcript:OB06G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVGLCCFFYVLGAWQRSGYGQGDKIAMTLNRQTAAACDELVGAVRAGARRAGLHRCPQLRQAPLPAPRVRHGPLVPLPHPSGNGGMYIWRSTGRSGRAATRCSPARRSTRRRTTRLRRATAVPEEAERRGAVARGLRRGARADRRVVRGGNWWWDKHVRAYKKVNRRLDGNRYWNIMDMNVGVGGFAAVVFSRKSWVTNVMPTIAELSTLGSDHSTFGSTPPPLPATGPLVDTTRRRSSQQRWST >OB06G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16566217:16566666:-1 gene:OB06G28720 transcript:OB06G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVGLCCFFYVLQRCCDDRRRVVSTSGPVAGSGGGVDPKVLWSLPRVESSAMVGMTFVTHDLREKTTAAKPPTPTFMSMMFQYRLPSSLRFTFLYALTCLSHHQFPPRTTRRSARAPRRRPRATAPRRSASSGTAVARRSLGWRRPA >OB06G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16592455:16593252:-1 gene:OB06G28730 transcript:OB06G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMITNLHVVVSVEGLVADGAGELGGANEDLGRGGDPVLPLGQLPDPYGRAPQRQRRRRALLPSFFFFLLHRYLPISSSSSSLLSHPAASSSSSRCFCCCCCCFLVACVGGGGGAGAREADVQGDGDLPGGMIASGALLLVVVVAAALVLVSVVSLALLLGIFVILIMAAEGAVPVVVVVRWWVGAAGGSEAEEREGFPDGRGGRGRWWVVEVGGVEAGEGGGRGGVGLRLRPMRELKWVRAVVVASQEIGVGVSHGRGLVWSSR >OB06G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16592485:16595113:1 gene:OB06G28740 transcript:OB06G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYHHRSHPLQFPHRPQPQPYAAASSSFSGLYSSYLHHPPPPPSSPPIREALPLLSLTPSCSANPPPHHDDHRHGALRRHDQDHEDAEQKGKRDDAYKNKSCSNDDDEQQGAAGDHAAGEVTVALHIGLPSPSPSAAANAGHQEAAAAAAEASGGGGGSSRMREEGGGGGGDREVAVEEEEEEGGEEGSTTTLPLGCASIGIGKLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDSFDADDLDLDPDDDPSSDLDHASSSAAASRRPLADRPLIR >OB06G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16599666:16600440:-1 gene:OB06G28750 transcript:OB06G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLGVDEEDRRPSGFFMLALSVGAGPYGSGRGVIIFINTPHQGFPYRASRQNRGTALPRIPHGNRKNQDKFEPKKFEFKLARFSWLTAW >OB06G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16626449:16626964:1 gene:OB06G28760 transcript:OB06G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHTEVAFAQVEVQTLVAQPAEIAGPSEGLTVNPKGKEGPSRCSTCRKRVGLTGFNCRCGNLYCALHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >OB06G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16628607:16632462:-1 gene:OB06G28770 transcript:OB06G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMADYFAGRVRSIIQRYTIERHWTSLNEETGGMNDVLYQLYTITKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVVGGQMRYEVTGDPLYKEIATFFMDIVNSSHSYATGGTSVSEFWSNPKHLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEEKGNKPGLYIIQYIPSTLNWRTVGLTVTQQVKPLSSSDQYHQVSLSIYAEKTNGQYATLNVRIPSWTSVNGAKATLNDKDLQLASPGIFLTISKQWYNGDHLLLQFPINLRTEAIKDDRPQVASLNAILFGPFLLAGLTTGDWDARTGGAAAASDWIAPVPESHNSQLVTLTQESGGKTLVLSTVNDTSLAMQERPEATGGTDAALSTLKSSADERVIKDQGCH >OB06G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16632560:16633984:-1 gene:OB06G28780 transcript:OB06G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRAAATVVWAFAVVAVAKECTNVPTQLSSHTVRARLQATPGAAEWRWREEFHDHLNPTDEAAWMDLLPLRTAFPSAAAGEEFDWAMLYRSLRGAASGDGYGGGFLEEVSLHDVRLDVDGDGVYGRAQQTNLEYLLLLDADRLVWSFRTQAGLPAPGKPYGGWEGPDVELRGHFVGHYMSAAAKMWATTHNGTLAGKMAAVVDALHDCQAAAGTGYLSAFPAEFFDRFEAIRPVWAPYYTIHKARRSSPWPCISPVRANL >OB06G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16634996:16639598:-1 gene:OB06G28790 transcript:OB06G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMRAGGMALVVVVVAAVAACLLDGAAALHLCTDRLFNDTQGRHSDGLPHLNKAEEATWMTLLPRRAGPRSEFDWLALYRSLSRRGGGGGVEQAEFLSPASLHDVRLDADGASMYWQGQQTNLEYLLYLDPDRLTWTFRKQAKLPTVGEPYGGWESPDGQLRGHFTGHYLSAAAHMWASTHNDALKEKMTKVVDILYSCQKNMNSGYLAAYPESMFDLYDQLAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSVRVKKLIQEYSIQRHWEAMNEETGGFNDVMYQLYAITKDQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPVLVGAQKRYELVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYADHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPNNPGGWGNPNATFWCCYGTGIESFSKLGDSIYFLEEGDLPGLYIIQYIPSTFDWKAAGLTVKQQAKPLSSTDSYFEVSLSVSSKGDARPANANVRIPSWTSADGAIATLNGQRLNLTSAGDFLSVTKLWGNDTLSLQFPITLRTEPIKDDREEYASIQAVLFGPHLLAGLTHGNQTVSSSNDSNAGLTPGVWEVDAPADASVAAWVTPVSHRSLNSQLVSLTQQRGGSSGDGKAFVLSVSIADGALTMQEAPASGSDACVHATFRAYSGAGAFDGSGRLQGRGVTLEPFDRPGMASVSLERAARPGCFVTAPTTSYLPGAKAQVSCRNTAAADDDTAFRLAASFTQAPSLRQYHPLSFAAKGTERNFLLEPLQSLQDEFYTVYFNVITNSSAV >OB06G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16642672:16644755:-1 gene:OB06G28800 transcript:OB06G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAADALMLVARGLRRLDAEGHRLERQAFVPPLRAASGLALLGAGARFHDYDAAITACIERKALREGQQVHACMITARYRPQVYLATRLVTMYAQCGALEDARNVFDRMPERSVVSWSAMIFGYSQTEWRVEAFELFIEMRRAEYYQHNSACYISLMSSQVDIQLLQFGGFNPNEFTLATVLTSCSDPLSIKQIEQVHSLVVKTNFESHMFVGSSLLDMYAKSENIQEAQRVFDMLPERDVVSCTAIISGYAQQGLDEEALNLFRQLYSEGMQCNHVTFMALVTALSGLASLEYGKQVHAQILRKELPFFIAVQNSLIDMYSKCGKLLYSRRIFDSMPERSVVSWNAMLMGYGRHGLGHEVVRIFKNLRKEAKPDSLTAASGMWKDVFRVRKLMFESTVTKEPGQSWIILDKAIHTFLSSDQFHPKERMLLGHSEKLAITFGLMNTPPGLTIRIMKNLCICVDCHNFAKFVSKVYGREISLRDKNRFHLLTHGNCTFGDYW >OB06G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16645112:16647563:1 gene:OB06G28810 transcript:OB06G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGPTSLLVDGQWAQQNSLIVLGGLNSLGRNVGCEIPKPPENFEKFLSRASEGKYLKSFCPFRSDGERERRPATRTTARVSPRPNRNHEVSSVPGTLCEPHISLASAEKPGGRLGISRVSFSIYKIEDEMDELLGMLLGLSKPRLECCDFDGEYVYPEFVIRLASVEMDGSVLGVSGANCGGDVAADEFKYFSGVSTIFVANIQEVKDRVSQIELIFCSQLFPHVQSMSKLLEAQLANAAEAAKDEWREREAGLVRRLEELSSGKRHAEEKALQLGCSLEEMKGSLADAVARHEVEKKQLLGRLEDELGKKDEVVRRLEREIAEKAADVSRERDAHQRLLEQVALKDKELLLEQNKRAEVIEDYTKLKTLYKELKSKYTFLTRKIDQNESSKSAFNNLAEQKSSSKSPPSKRKLKDLVDTKKDNVQVVSKTKDEKNGLASCAKAGGIQHDSSLIRSPFSNSRLCLPSRPTNTPPKNAISNSKTEATSSFARPSLHWRETRACKEPGVVDPHDDFLDTPLEAVKNMIRNPTTREEAQALAACPPQDMDFNNSDDETQDVNIATQGLKNMPVPKQQSTISIHPSSKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRANGDGANSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >OB06G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16654228:16657028:-1 gene:OB06G28820 transcript:OB06G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKPISVISGINFFFTPLHLEYPRLKSCAATAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSVKSPPRHCPDALMLVARGLRRLDAAGRHLEHQAFVPPLRAASGLGLLGAGARFHDYDAAITACVERKALREGQQVHARMITARYRPQVYLATRLVTMYAQCGALEDARNVFDRMPERSVVSWSAMISGYSQTERRVKAFELFIEMRRAGFNPNEFTLSTVLTSCSGPLSIKQVEQVHSLIVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAHQGLDEEALNLFRRLYSEGMQCNHITFMALVTALSGLASLDYGKQVHAQILRKELPFYIALQNSLIDMYSKCGKLLYARRIFDNMPERSVVSWNAMLMGYGRHGFGHEVVRIFKNLRKEAKPDSVTLLAVLSGCSHGGLVDEGLDMFDIMVKEQSELLHTGHYGCVIDLLGRSGRLEKALNLIENMPLEPTPSIWGSLLGACRVHANVHVGELVAQKLLEMEPENAGNYVILSNIYAASGMWKDVFKVRKLMLENTVTKEPGQSWIILDKVIHTFLSSDEFHPSKKDIDAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPSGLTIRIMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHLLAHGNCTCGDYW >OB06G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16658402:16658850:1 gene:OB06G28830 transcript:OB06G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLADAVARHEVEKKQLLGRLEDELGKKDEVVRRLEREIAEIKGFKYTEPVRKKADREDLKGVECKQCKKFYDAVLPDGRANGDGANSTSMRCEHQDGVSRHRYRYAPPLTPEGFWNIGFESEM >OB06G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16660902:16674053:-1 gene:OB06G28840 transcript:OB06G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRACVHELLAGQTLDRLRHVRREEVARLLGSLRRTSADEGAHVDVDAALMGLTGDIVSRMVMSRRWTGDDTDIQEMRRLVAEIAELSGTFSLQDYIGAFRYWDVQGLGKRIDAVNRKVDAMMERILTAREAVRRLRRQQKAAADGEEEEKDVLDMLFDMHEDEAAEMRLTRGNIKAFMLEMFEAGTDTAAITLDWALSELINNPDVLRKLQAELDAVVGNGRLADELDIPNLPYLQAVARETMRLHPAAPMVTRRSREPCTVDGYDVPAGATVFVNVWAIGRDPACWPEPLEFRPERLDGWLVILAIFACVPYKTLLLGVKGAVRELFLLMMGKAAKKGKTAASGDDSKDGGGDGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGIDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGTRHLMQLQQQFWHGDLAHPLQPPSQWEKRADTNPPGFSNSSSSLFGYDLASSGAPAQTGKLKTEL >OB06G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16679568:16681184:-1 gene:OB06G28850 transcript:OB06G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGDIVSRMVMSRRWTGHDNDAEEMRSLVAETAELTGTFNLQDYIGAFRFWDVQGLGKRVDAVHRKFDAMMERILTARDAERRLRRQPAADGEEEEEDVLDMLFDMHEDEAAEMRLTRDNIKAFMLDIFAAGTDTTAITLEWALSELINNPDVLRKLEAELDAVVGKGPVSDESDIPNLPYLQAVSKETLRLHPTGPLVVRRSLEPSTVAGYDVPAGATIFVNVWAIGRDPACWPEPLEFRPERCTERILNSKGRPLVY >OB06G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16709265:16710260:-1 gene:OB06G28860 transcript:OB06G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSCNKDDLDELYEHVKASVVSVTTYNTDKYKLDFLTGFIIWSDRKHSLICVHQSAIDTKKTLYVHLTDGTIEKASMVQRSPSPSGHVVLMTTTESGQARKTVSFRTTEAMREDIFVIAEVEGGYRGFNMLTGTIISPSCKSKDPQSGEVILGSEHRFALSCAAANIIGAAVFDFNCLLVGTVSEFDDVSYDLNYALQSCHWVKQLEESLKAVNSKISLSKDMKINILETRKRKRNISKA >OB06G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16715814:16722055:1 gene:OB06G28870 transcript:OB06G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGGWSKSNKKKKACRNSGNKEESGSLIDYSKGAWSGLSQETISNLSETVVLLASFNGDRMHFAGTGIVVRIKTDLVVKILTSADLIRRSDRDKEIDPYMTIQVLLPNKKLARGWLFHSNLDYNIVVVVIKYFPGFRAACFDHEVWFGSGSKVVAVGRCFNSDKLMALSGVVTDEPTDCPEHLMISTCSITEAMAGGPLIDLHGNVVGMNFFVKESRTPFLPRNKIYQRLVRSCILWVEINNGGDNTSKRSTCEIVYNNFIGSSIGEAEEKNQELSTSSTSDSEGSSDEEGESETQKLPISYPSDSEEWEELLFPELIKPLPDDEFTQLLRKDLKPRNYPMPVKFGGSMQLKNTFEEEFAEDTWCKLSKKVALNTSRSVVSLASFKGEERFFVCTGIFIDFNGSTSRVLTSASLVRISADENKIADNLKIQVYLPNKRLAEGKLQHYNLNYNMAVVSINGFRCLRTAELHNQMQIKPTREVVAIGRIFESGKLMATSGILSDKESNLDCRELMISTCKITKAGIGGPLIDFDGDFVGMNFYGTEETHYLPRLMIQKLLKHFEGTDDDEIAIDGMPKRWPVPAPRWHRHPLRPPMTMMSRNERVWSSRLE >OB06G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16731930:16732572:-1 gene:OB06G28880 transcript:OB06G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRKSLEVRPYLAAFSVNISMRVDPVEFGTEEAIMNEEIYSVGFCKDPTMPCHITPGRVTHDSPPFPFTPLGDLVVNKQAQLVGISCKNLGVTIALSVSSITKLLAMFVNIDMMGEPLSDILKCIKDKGKSLIKGKKRSGSKKRKKNSC >OB06G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16736046:16739165:-1 gene:OB06G28890 transcript:OB06G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGETSQGCRDVFDAVEGSVVRLSVAGGSPFIGSGFVVFHEQRARLIMTCMHVVDDLPPGAALRAHFSESRGPEDVPVRVVFVDARRDLALLRADDVPPGIGYSVGFFEYPITRYEVVLVAFFNMPDAPVMVRPGTFPGHIVTQPIVEQPEPNLVEFFRASYTSKPGTSGGPVVEPSSNLVVGVHAKGHAGAKLFISARSVRTALRQWLGLGVNEYASESIQDLIGLIAQQRRRSSKAEALGAAAAAAAALATLVGEQQRQQNAWSFFVVSSSKDDVVFRLNSCSTMHGAWVSNSNMSSPQ >OB06G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16744883:16746321:1 gene:OB06G28900 transcript:OB06G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEHNHLVEGRERLTGGDAVKGVVGADGVEVHAGEVDGAAADGGEDEAEEGAPIAALRSFSI >OB06G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16744907:16745345:-1 gene:OB06G28910 transcript:OB06G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAEKGADPFSLSASASSRGAAPHEHRAAKDLASLLEAYRRDRRALLGFILSTVGGRAVDLSRVDLDAVSADYALDCVASGEPLSSLD >OB06G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16749054:16754359:-1 gene:OB06G28920 transcript:OB06G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGGHHDAHHEDFQLKDTNPLLGEQWPKGAAAVPARPGGGIAGWLGMEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGAAMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMLGRDDYVGKVVFDLAEVPTRVPPDSPLAPQWYRLEDRRGEGGKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQARGRAPEVFVKAQVGNQILKTSVVAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRAALPLTLFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILSAAGLQPMKNRDGRGTTDAYCVAKYGQKWVRTRTMLGTFGPTWNEQYTWEVFDPCTVITIGVFDNNHLGNGGNGNGNNGGGAPPARDARIGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIGAARPVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFNDVCHWKNVATTALVHILLLILVWYPELILPTVFLYMFLIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRLLMNVHQILRMKGFSLQLCQWVASFIQGGHVAIKVNDQIGPNFQTKKGLRQEAKVNENFYSNLFGCKLGNLPMRYLGIPMHLRKLRNSDWKHIEERMERKLSSWKGKLLSVGLRASFSFDDLGGNWLSGKSVEIKNLMLIGASAICWALWLSRNKVLFRTTYLLRWWAILQKHEENKETISIACQKLKILAMQVFGHFG >OB06G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16761007:16764026:1 gene:OB06G28930 transcript:OB06G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAGAGAGAGAATEDFLDVLLRIHKEDGLEMDAIKFVISDVFGGGSETPKTLLEWTIAELIRNPTVMAKATAEVRRAFAAAGTVSEEGGALGELRYLRLVIRESLRLHPPVPLLLPRECRVHGLQVLGYDVPRGTQVLVNAWAIGRDERCWPAPEEFRPERFGDGEAAAGVDFRGADFELVPFGAGRRMCPGIAFALAGLLFHFDWELAPGGGVAHPAELDMTEVFGVTARRKAPLLLRPILCMPVPGV >OB06G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16767635:16776025:-1 gene:OB06G28940 transcript:OB06G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPFTSGMIQASSSLHGSIIRNPQGFDMPSDLDQALFFYFGCQEQDKQSSQEEPHKPLNFVKETLNIFPSQPMHGEPTPTATPSSAPPVAGSSSRRSPPPSAGRPRAVGREPCDRKEGEGSRRGGGNGGGGATAASTSSELEGPRTPDPRTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEMQFTRAQGALWGAGTSPDAAWFSLEYQRWQEAQHGVIGRLRAAVEEHRPDGELQRRVDEALSHYGALMGQKARLAGGDPLHLLTGPWKGAVERCFLWIGGFRPSDLVQVVLRHVEPLTEQQLAAVHSAQQAARREEDALDGALQALLRSLADAVSSDSPATAPPPWPQTPMIYYHHDHHPAAAAMAASFTGQSCTSSYSLQLAMDKLASLPTFLRQADELRLRTLHTLRQMLTVRQAARCFVAVDDYFGRLRALSLFWTTSRQPTTSAARNGISLA >OB06G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16780171:16780797:-1 gene:OB06G28950 transcript:OB06G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSNPASSSLMHSKNAHIYAELLLPPPSYRLDADVPAAGDPFMLSFLVPTARLRNSKAASHHRHSDHDRHRPPGQNFSAAPTSVSSMAFILPGVLAEGSGEGIGIGAGEGRAEHKFRYGWSLPFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFLSFFFGLSSKYQIRLNN >OB06G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16782788:16791950:1 gene:OB06G28960 transcript:OB06G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRLRGHRRPGGRTAAATAARPRHRRWQSRDKSYKRLVPRAHKDSPAVADVWPSTSAFDGYLSALSPIKLKCKTNDIHRFSPKGCLELDSLLEMIGNFLVRSNSRRFMPSQADEETHQLSYLQKHMVNILSLLADSVDGEGDESMVLTAETFEHLGFLLQFSEGTCLSQVATFFANSDPDMPAAPVPAAQVLDWILQNIAASLDNIAEKLTAKENSQQNASDPDVTMAEAVTNTRIQSSSPTGTAVPNNQGHYRNTTFLEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGWSYTTIVLGAVAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAASKRICVANCRECIFYLGVNHRPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDPNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEAQGPTNYNPFTLPEIYWASQRKKHVSLEDIQKNIRELKLDDNRKKELASALHAQFKDWLYASGNIRQLYCLQGE >OB06G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16794092:16799145:1 gene:OB06G28970 transcript:OB06G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3MFV3] MAAPARPPPSSAALLSANHGSACRFTATVSASRAPAAAVNVVHSRRRSGTCARRWITGARRRATELSRIRCSAVDGGRGGEATSALAAVTAVEQDHAAVITMKATVTAKAPAGARGLLSHVGVDGVRDDIADFTQRSLFLELVSSELEPKTGEEKSTISGYAHVTRRDGAGATYEATFAVPASFGPVGAVLVENEHHREMFLRDIVLTDGGSSSSSAAVFECNSWVHSKFDDSRKRAFFPLKSYLPSRTPQGVARLRNDELAAVRGDGHGERRSFERVYDYDVYNDLGNPDADPATKRPVLGGKDHPYPRRCRTGRPRSKKDPSSEKRSSSVYVPRDEVFSEVKSATFSAMTLRSAMHAVVPSIETALVDAAMGFPHFAAIDALFDDGIKLPGGKNGLDRLLTLVPRLIKAAGEVSDFVLRFETPEMIDRDKFAWFRDEEFARQTLAGLNPLSIQLVTELPITSKLDEEIYGSPDSLIIKELIEERINGAMTAQEALESKKLFMLDYHDLFLPYVNKVRELDGTTLYGSRTLFFLTGDGTLNPIAIELTRPKSPARPQWRQVFTHRCDATGSWLWKLAKAHVLAHDSGYHQLVSHWLRTHCCVEPYIIAANRRLSRMHPVHRLLRPHFRYTMAINAPARGVLVSAGGIIESSFSPGRYSMELSSAVYDALWRFDMEALPADLIRRGMAAEGDDGELVLAIEDYPYANDGLLVWESIKEWASDYVRCYYSSAEEIAGDEELQGWWTEVRTKGHADKKDEPWWPVLDSHQSLVQVLTTIMWVTSGHHAAVNFGQYHFAGYFPNRPTIARRNMPVEEGVGGREMEAFLARPEETLLGTFPSQIQAAVVMTVLDILSAHSPDEEYMGARAEAAWAAEPMALAAFERFSGRMKEIEGIVDERNAREELRNRCGAGVVPYELLKPFSGAGVTGRGIPNSISI >OB06G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16803757:16804251:1 gene:OB06G28980 transcript:OB06G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hAT family dimerisation domain [Source:Projected from Arabidopsis thaliana (AT2G19960) TAIR;Acc:AT2G19960] FLQYQGYEKVFGFLFTSDRLRSLNDTSLMDDCVNLEDALKNDEHKDIDGKELFGELLFIQDLIVDSMGPLDILKFLKELPFYPNATVVYKILLIIHVTVASAETSFSKLKLLKSYLRSTMTQERLNGLTIIAIENNVLDNIIYEDIIEDFISRNSFRIMFFSRT >OB06G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16808192:16811676:-1 gene:OB06G28990 transcript:OB06G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLRLILGSSSSSRRQILSEMGYKFTLLSADIDEKEIRKEKPEELVVALAHAKADAIMEKLQNNGMMKEILDSQESTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKSGARKEGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKSLTEKLIKESLEEP >OB06G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16897346:16898161:1 gene:OB06G29000 transcript:OB06G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCIWAHQRLATPISRRHRRRPPCGRRRHYRASSTALSRAACRRTPPQPQAQPTAGAAARHHIPTTTLYRAIPIRTHGGTAGQIWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRCGGRRTWSSPESPWARRHGQALPFHITEANFGLWALWLNKNNIVSS >OB06G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16915198:16919014:-1 gene:OB06G29010 transcript:OB06G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAMVNRFWVFKFFFLGFGGGGVVWRERXXXXXXXXXXXXXGAAPRRPPVWTPRAPAQEARLAALRPDGRDSRMKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >OB06G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16926832:16929433:1 gene:OB06G29020 transcript:OB06G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADSVKFRAIFTQVEDLHKLVQRPREQIADAEALLDIATSLVASVRTQSALGITPSDFVSGMLKRFGKKGRDDGEASSLSWVDVGLYTSRVFLPVPGCCTMNGPMNTEVHPRRVRVCRKRTAKPRGSECPEQLADSSSAAKTDTDRNMSVIFDVLRKKKNARFENLVLNKKSFAQTVENIFALSFLVKDGRVEISVNNEGHHLVYPRNAPAASAITSGKVVYNHFVFRFDFQDWKLMKEMALDGEELMQHRSYQVGTPDTTTGADSSHHEPESPAVPVHSTSIRKLCRNRGLVMHDMQEQQDDEAATAGKATEAMATEKMAMDAQETMLKTKRRRLFQDDDD >OB06G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16932272:16935389:-1 gene:OB06G29030 transcript:OB06G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGKRASAEGANGVAAKRARASESSQMGVGSKLKPCTKFFSTSGCPFGSSCHFLHNFPGGYQAVAKMANLGGPAVAAPPGRMPLGLGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMQLDNSMPRAMGSIPNGQFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGVNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNTNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKSAAA >OB06G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16938541:16943027:-1 gene:OB06G29040 transcript:OB06G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVAASGSSRGGGGGSELVAALLGDPGLRAASGRLRDAPERRISSGPEEEAAVAPRHVYVFQREFATVDPARIQLVGTDEVTTCVGVVIRNNRTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSSGRKHSKLEGYSYPLCCRILEVLHKYQKQFHLRTFCVLGNNTTTDLYGNTRPIIGGFVVETSSGAVNPAIFEMNSRCPDEVVRRIRVSVSSYDPTWQGRLLETYDTHSDAFQIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWEDTFPKYKPRVFHRTSDGKWSRYS >OB06G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16944668:16945036:1 gene:OB06G29050 transcript:OB06G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWAYVRVMAGTILGGVLGFYVMHRVETSYKARMEERLRRYEAHMLAKAKEETEQRLQDEAPQHKDQAQLLPDS >OB06G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16948156:16955606:1 gene:OB06G29060 transcript:OB06G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Rgp1 (InterPro:IPR014848), /.../globulin E-set (InterPro:IPR014756); Has 144 Blast hits to 140 proteins in 61 species: Archae - 0; Bacteria - 0; Metazoa - 86; Fungi - 10; Plants - 39; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G50120) TAIR;Acc:AT1G50120] MVVPFSLHLNSITLFSSLLCFRSDLRRGWCRPPSRARPGRPARPSSPPRHPRSEPLLFARPAGLPAGEQVWRDAAAHVDLSPSISVRFEGIPHSSPVSPRSLRRWIDEACACRLHRVVFSFDAAVGARGIGGYLFDEMSLKLPIPQGLSFLRSVGFFEDGKADAAARQRQSPKLKLQTDREVYRPGDSVTATIEICTPAGLNDDAAAPAESGEDAPSLLVDGLSFEIKGIEKLDSQWFSVQKPLPGSKQRRGEHLFLDCSAPTLIPKVIIASGQTKTYIVRVELPRILPPSYRGISIRYIYYVRSTLFGRSIILGNGDQNIAPVNTAVQLEARIPLQICVSQKSSSLINEGNFPLPIEQLDIFWREKDEDSEWRKANDNTDLEEGYDSSKDEVSSVSSYNPSKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVVSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDVGLPLTPKYVESAGSESFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFTTSKVSVQWSLRFEFFTTPEGIDPSRYEHPLLVEKREKGDWVLPITVYAPPLRRQATHGRNDKSVLIGNLFNS >OB06G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16958541:16958774:1 gene:OB06G29070 transcript:OB06G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGDIKTVTVAVDAAAAGSCRKLESSPDLTRKPTGKRFGWEGFRREKFRKGACMCVTVPVAANLGDLVLTVLAFA >OB06G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16961530:16961805:-1 gene:OB06G29080 transcript:OB06G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPIDHQFIILRKLAFIRKLQSSSSWQLKSLMQNMDLHDPPLVRSSVLTPRIVQTWGSLEKPQLFSISLSVNPRGAMVKCLFKFTNCKGV >OB06G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16971496:16976759:1 gene:OB06G29090 transcript:OB06G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELAVTGWFLSPIIREMQDTALSYIRGQFSWEKDQEKDLERLDTILTEILAIVDVIEKREIKDRNQRKLLWKLKDAIYSAVDVLDSFQYMALKYKIDSQAMVSRFTSSCVYLGKRIVGTDKFRRKLTDMLEKLDEVKTTADTLFKLVSFDSATAKLLPVTRSRVTSPLKEENHIYGRKDELDKLRDLLLVPSDSSAPGPSNSCIPVISIIGVGGIGKTSLAQLAFRDERIRANFGLRIWVCVPDVYDEMRLTRDILETLTDANYRSVTEFSELKNALQEKISGKNFLLVLDDVWYDESRTNWENELVWSKVLSTLNTGLGGSRILVTTRTNRASELLHAGTSLPLGGLNRDEYWMLFKCCAFGEKHPGLFPELKEIGVQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISDDVLKVLRLSYQHLPIHLQLCFSFCSLFPKNWRFDPKRLTDMWISQGFVKKEDVSDNDMNVEDVAKGYFNDLVQRSFFERSLLNLPIEYVMHDLINDLARNVSKDEYARIESEKQKEIRPNIRHLSISANLLDGVKKEEMKNLRTLIVWSKSWSCWELSLPNDVFRKSKHIRVLDLTGCCLERLPTSLKKLKHLRYLAFRVPEKPLPTSMVQLYHLEVLVTRGHSCRESECVKLPINMKKNLLKLRKAYLFNDGGAMISGFGGQTLLHGPGEFHVKKETGHRLGELKEMNSIQGKLSIRFLENVEHRQQAVDAHLDCKKHVRHLQLEWSDLPRPITSELDSDVLEALRPHRDLDRLNITGYRGVGSPSWFETNWMQALTSVVLENCKGWVQLPPLGQLPLLTYIVLRNMHAVRQIDEEFYGNGDTKGFPKLEEIVFDGIPNWEKWSGIEDGSLFPCLTRLYIAKCPKLQEAPHLNTRPKVEVEITSDSLPSSCLFDSLMASASYLILRVNCCSFLSSLNTDQLSHVEELNVKSCTDPMPAGGFVGLSSLKLLRISNCSALLSSVSAGADEYRDTCFFPPSLCHLEIVDCNILSSLLPRYLQGLTNLSTLVINSCDSMDLLSFAYGPHHLTALETIIIKECHFLASLDGFENLIALRKLVVAECNNFCSLPGDLNAVISLHTLAIYGCPKMTFLPQNGIPTSVQIILLSRLDPELDRQLQRREGTEWHKIAHVPEKKLEVELIDLLTMFPNSSL >OB06G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16978688:16981165:-1 gene:OB06G29100 transcript:OB06G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHWWRDAVLCVAVVLAHGGACQCRLGGRGAARDQPDRRSGADAAGARGSAVVLRDSGSLRFLGDSGDVLWDSFWYPTDTLLPGQWLAMDGRSEGKLFAKRADAEFTTGRFSMGVQTDGNVVLYIDLLAGNSPDNAYWQAYTNGPHGNTTVTFDDKGHLAAPKNVAGAGDASWTIARAFPSDSCNKRTPGLQGMCGPDSYCVETKDRLSCVCPNGYTYADEQHKDSGCTPAFVPQTCGGEEGKGDSDEFELVELPNTTWEASMYYKKFSSTNESQCRDYCLNDCYCAAALVIAGTDCVEVAALTNGRQANDVTTKALVKVRARGGVPPSPRAGKVLAIVCLSFLSHSWLSKYTCRQPAVTTTSVRAFSSKELHQATNGFAKLLGKGSFGEVYQGTVRSPEPELVAVKSLISSNEYSEREFTNEVQSVGQIHHRNLVRMIGYCNEGTERMLVFEFMPGGSLRGALFGPAGRRRRPPWSWRAEAALGIARGIEYLHEGCNSPIIHWDIKPDNILLDGKNVPRITDFGIAKLLGDHTVHATVTDVRGTRGYIAPEWLRGDARVDTKADVYSFGVVLLEIISCRRCQEPLHDGGGDGDETVTLFGWAGQLVGARRTELMLHGGGDDGDGDDGATAADLEKVERFARVALWCVEPNPVVRPTMQQVVRMLEGSVVDAEAPPEPPGCYVDSSPLINHMKPWLSLDPPQNMTGRHDCSLKVINFRIG >OB06G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16981333:16981512:1 gene:OB06G29110 transcript:OB06G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCLAHSQQVNGSSMPRPTDRVYGRNCMYVSVAMDVWIQPSSHVLAAESVFKSKIIYE >OB06G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16984965:16987372:-1 gene:OB06G29120 transcript:OB06G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MFW8] FAKMKPFARLLLMIVLLLHHHPRLLLQANLTAGSTLRPRYITSPSGDFAFGFRLVSGEDSQFLLAVWCNVNDTQKAVVWYATDPASGSAVTATAQSVFSVALGQLVLAADTTGGGNIWTNSNAAQPNGFVLVLRDSGNLQFLAAGDNSVVWESFRYPTDTLLPGQSMAAGAILRSRRSDADFSSGRFGLFVQNDGNIVLYLMNLAGGGNADSSKAYGATRTQQPGNTPDGNTTLFFDSTGSIYYQIKNGSLHELTPPMANSTAGSYQRAPLDPDGIVRVYVRPRNSAANASWTVAGLFPTTGYGMSTRALDGFCGPNSYCVSGSGAGDRLDCACPTGYTFVDTKLRYLGCRPVFAPQSCDVEANSSAEFRITRLPNTTWTASPYMVYPRTAEEQCADMCLSDCFCVAALFESDATLCTKMALLAGSGQQGRHVTAKVLIKVRTSSPPAPPSRRRLPLLPYILLGCSVLLLLAAAAWLLLQHRRIRRSNTDHDTVRVFTRRELHRATNGFQRLLGRGGFGEVYHGVAKSVHPHDIAVKRLVTSNEYSEREFANEVHSIGRIHHRNLVRMVGYCKEREQRMLVFEFMPSGSLRSFLFRRPRPPWSWALGIAKGIEYLHEGCTSPIIHCDIKPDNILLDDKNNPKITDFGIARLLGDQQLHTTVTDVRGTRGYIAPEWFHSERRIDTKVDVYSFGVVLLEMICCRRCQDPVSGHGEDGGGDSVTLFGWAGRLIKHNRVAVLPRSDDDTAAADLERVERFARVAFLCIERNPSLRPTMHQVVQMLEGVIQVHALPDLPSFADASP >OB06G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:16992306:16998554:-1 gene:OB06G29130 transcript:OB06G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSKMQYSLLKDVTQESHSWRVRVQVTRFSEYNSEDQPPVLLRLDLVLLDEEGTMMDAQIPGRHMTSFSPVLKEDRVYYITYFEVAEARASYRPVDNPIMAKFTKHTQIKEINHVPDSFPRYACKVIPFETLQARVDITDVLSDVVGMLTAVSAISTVRIRGGHKEVRNIQITDGRAINFVWQHYMQMVF >OB06G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17004042:17006615:1 gene:OB06G29140 transcript:OB06G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MFX0] MAARWRCCLSLCLAAALVHRARLPVAAAQARETNLTAGAALAPPNYITSPSGDFAFGFLSLGSDNPAKFILATWFRFNAGGGSGSGGVNASSPPAPQSVVWFVKQSVSGDTAVGTAQSALSVTADGQLALADAANRVLWRAPIARLARGSALVLRDSGSLQFLGDSGNVLWDSFWYPTDTLLPGQSLAMDARFEGKLFAKRADAEFTTGRFSMGIQTDGNVVLYVDLLSGNSPDNAYWQAYTNSAKGNTTVTFDEQGRLNYTLNNGSVQSLISPPATSTSTAGGGYYRLARMDPDGIVRVYVSPKNVAGAGAGNASWTIAGAFPSDGCNKRTSGLQGMCGPGSYCVETKDRLSCVCPSGYTYTDAQHKDSGCTPAFVPQTCGGEEGKGDSDEFELVELPSTTWEASIYYKKFLSTNESQCREYCLKDCYCAAALLIGGTNCVEMAALTNGRQANDVTTKALVKVRTSRTRGNLPPPARAKTPYIVAIVGLAFLLLATIIAGGILAHNHRRKNRESQQPVTTSVRSFSSKELHKATNGFAKAKLLGKGSFGEVYQGTVRSPEPQLIAVKRLINSNEYSEREFANEVQSVGQIHHRNLVRMIGYCNEGAERMLVFEFMPGGSLRGALFGPGRRPPWSWRAEAALGIAKGIEYLHEGCASRIIHCDIKPDNILLDGKNNPRITDFGIAKLIGDQTVHATVTDVRGTRGYIAPEWLRGDTRVDTKADVYSFGVVLLEIISCRRCQEPLPPEDHHDGGSGDETVTLFGWAGQLVGTGRTELMLPSVDEYGDGVAAAADMERVERFARVALWCVEPNPAGRPTMHEVGQMLEGGVVDAEALRDQPGCYVDSSPLIPKSNKVEV >OB06G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17009607:17010020:1 gene:OB06G29150 transcript:OB06G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVAKAAVFFFLAVGAAAVISCHAARSGAAIAAGGCQLSDITVTTARTGKVVEGQPEYEVTVANGCACPQNGIRVSCPGGVQSVEPVDESKIRAEDLGLCLVNDGMPVANGSPVTFTYAWAQPQDFAAAQATPWCS >OB06G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17010078:17010242:1 gene:OB06G29160 transcript:OB06G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNNRVQLLFRILTMILRVIVFFPLSIRCIFQSINYRASFCVFNFEINRASF >OB06G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17011166:17012993:-1 gene:OB06G29170 transcript:OB06G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSDLGGLGGRPAPSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGALGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >OB06G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17019385:17019636:1 gene:OB06G29180 transcript:OB06G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKREWIRRKAAAGSGWPRRVRALSAAVLRRRRRLGLGLRRVDVVQLLYENVVFHLLWVIESVVVLAKLCFFFLRFGFRL >OB06G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17021073:17021291:1 gene:OB06G29190 transcript:OB06G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDLLQIRIVIFKGASSHHVTTKLCSPPLHEPLSQQISISISYSHVNLSKIGIPNRRTPKETLMVHQVLPQ >OB06G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17021721:17026441:-1 gene:OB06G29200 transcript:OB06G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLGTGVGWVVSPVIKLMFEKVQSYISTQYKWQSNLEDDLKKLETILTEILLVVGTAERQSTLDFNQQALLCQLKDAVYDAEDILDEFDYVLLKANAEKGKLRSFSSSSISIAKRLVCHDKFRSKLGNVLKSLSRVKECAEMLVRVMGVQNSSLRMLPEPPQWRITSSFSLDEFVVGRQKEQDELVNQLLEQVDRPQPRSKRERSASPEVITIVGSGGIGKTTLAQLIYNDKRIEDNFDMRTWICVSHVFDKVRITKEILTSIDKRIDLTNFNFSMLQEELKKKVTTKKFLLVLDDVWYDEKVGGAINADRWRELFAPLWHGVKVIKILVTTRMDIVANTLGCVTSFSLSGLGGEDSWELFRRCAFNTRDPNEHLELKSIGGRIVQRLNGSALAIKTVGGHLSSNFNNKEWNQVLKSDLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDMLVNMWIAHEFIRDHRHTYRSLSSTGKSYFDELLSRSFFQALLYGGTVHYVMHDLMNDLAVHVSNGESYRVEADEPEDILPEVRHLSIPAERIDLLRVCKLQRLRTLIIWNKDSPFCSGICLEADVFKECKSMRLLDLSGCCLKSSLDFINHMIHLRCLTLRNTNQPLPDSLCGLHHLQMLSVQPHSCFTNTRLVIFPKNLDKMSSILHIDIHRDLLVDLASVGHMPYLRAGGEFCVEKTKVQGLEVLKDMDELQEFLIIKSLENVSNKNEATNAQLVNKSQISRLKLQWGPNIDSKSDEESDVLNALRPHPGLEELTLGGYPGCKSPSWLDSKWLSRLKHINIYDCTCWKLLPPLGDLPCLRELHIDTMNALECIGTSFYGDAGFPSLITLGLTELPELEDWSSVDYAFPVLHDVFISRCPKLNELPAVFPPPVKMKVLSSTIVCTQHTDHHLDTCISQNVSLTSLVGIFHLCHLDSEDIADISFDRADMLNDGLRDLSPILPSHGGPFIDWCSDFHCTFVRLNEMEMVSCPNVTSLVDFGLFPALRNLIIMDCPNLKELPENGNLTALSKVLIEDCNKLVSLGSLKNLSFLTKLEIRNCLKLVALPEMVNFFSLRVVIIHNCPELVSLPEDGLPLTLNFLYLSGCHPLLEEQFEWNHGVEWDKYALLPSCLYVDKSIEYSQDIAEEIILENDIIELSIQTSVLHPTDSAASSSRLLQ >OB06G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17030686:17035622:-1 gene:OB06G29210 transcript:OB06G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSATSGVGWIISPIIRKMVSAVRSYISSQFSWKSEMMSDLKNLEATLVDILMVIDKAEKQSSNDISQVMSLHQMKDAICEADDFLDEFDYMVQEKIEHLGMASAVLSIGKRLVRIEKLRSKLQEVLKTLGRVRSSSGMFAQVMAGESSSFSHSPDCVSTRATGPILHEDTIFGRTKEIDELTSLLVRECDEHSCYDGQTFNTVVHSIVGVGGIGKTTLAQAIYNDERITETFDLKIWVCVSNNFDKTRIIKEIIACIDGGENIEFTNFNFSMLQQKLRRSLDLKRFLLVLDDVWFDERFGEYRNSEMWKELIAPIKKINISPRALYTKRTGSKILLTTRAELVAKMLDSRTLFFLQGLGKDDSRMLFRKCAFGNMNPADYPELKTIEDQIVENLKGSALALKVIGGHLSGKYNTLDWNRVLQEGVLNPNDIMTILRSSYESLPKYLQQCFAYCSLFPKGYCIDPKRLIHMWTAQGFVRQDENNTNTTLEDIGRGYFNGLLDRSFFQMLRRGDQVHYIMHDLMSDLALHVSGSECHRVEHGSQFELPHYIRHLSVSVEHLENFVNCDRLRRLRSLIVLNKSWFCFNFNLTHGILCKLKGVRVLDLSGCCMKRLPNAVSNLIHLRFLAIQRTCYTLPKSISRLRHLRALFVQYHSCYSSQKFCSQQCSSRNFFNLRRGQRNTSGRYFSLPESINKLSNLVHVDIERSYALMLTGKHQLPCVEGSGEFHVGKKGQSIVGLEDLNALRGELAIRLLENVKTGEEAAKAHLDLKKHITKLELEWGLVENDGDTSNDSNFDVLNVLKPHPNLVDITISGYPGARSPTWLNSSWLSSLQLICLRDCKRWEVLPPLGDLPSLKTLEVRRMKELKVLGQEFFGLKGFPSLERLLLERLPKVEWSLVENYQLFPALIHLSIAGCPRLRQYPTYLRTLRHIAILDEEQIHFKVFMDNFELTRSFCCMLSSFFHVLHAHHLEFVENMKIYVDHFVHIPKAAFNNMKSLKELTVHGLGPSWENTYPIISTLWDESGATALPTSLQYLELLKCHMRASSLSKFLSNLICLDTLYLGPCETVGMPCQLPLSVHQLRTLRRLHIFGCGWLISLEGSEALVSLKEFFLTNCDNLESVPDMENMPSLQTLRLRSCPQVTRLCQSGCHTALQELRIESCDGLASLEDLHDLVSLRKMKVAECSALIALPDMDTFYSLKILVIHRCTQLRVLPRNGLPVSLEVFFLIEGHPLLEKQFEQKHGPDYNKVAALSGCMCRKKEHGFLAFLLTRVEIKEFLKISVVKEFEDHNRLHWLEERSSSPDKLGCQRGKWPASLRLCCCSCGKVPTAC >OB06G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17038874:17039233:1 gene:OB06G29220 transcript:OB06G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADLALTRASTHPVLMQARRCPPGRPPPRVFHRADLPRAVLSRADLYRAVLCRGAAHAAAAASLFFFPFCTCSLLYTQQQQACFFFFALVFAVYAAAVSFFFPFFATYFFVQSMCLK >OB06G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17049056:17052746:1 gene:OB06G29230 transcript:OB06G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) TAIR;Acc:AT4G38210] MSSVLLLFLLLLSWVNLGGCIRLGNGGYEEWRMGSATYVKESLGHPLNDGGGACGYGDLDIFRYGRYTAGVSGALFGRGSACGGCYEVRCVNHVLWCLRGSPTVVVTATDFCAPNLGLSDDYGGWCNFPKEHFEMSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGGTSFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVAGGRGTTVTAYSVAPPDWMVAQTFEGKQLVE >OB06G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17054019:17064080:-1 gene:OB06G29240 transcript:OB06G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHETLDLNEQPNKNQGGSLNYILLQKDSKNICRTKVCDIPIKVPSIWSIIRFVPTKVYQQRDFLKFSLLPDPEDDRQNIEWGKFMRFLWDNQRVAVVSFSSFALHIFPPQSHELPNFSHAVVMYEQKDPGDSKPMSGVSDALKRPCKSDFQSGSMNPKSYLREEICDSGSHPKDMNASRVTDLHKSVPESSPCESVEDGPRILDPIVKKRTTTLAKNFVSTDPSYLRTLSQTHAGWVFGAIAELIDNSRDADASRLNISIKSLFSKKAERKIPVLCVIDDGHGMTYAEMMRMISFGHKRPDEHRQDQIGRFGIGFKTGAMKLGKDAIVLTQTSSSRSIAFLSQSFNENKDNLEIPVVTFCKEGQYMEVDSSVQSEATAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYIWNLDRWGADYTLDWSSGKPSEDPVHQGCGDILIRSRRVRSRPGQTSNNVPLDYSLQSYLEVMFLNPRMKISVQGSPVKTRPFAKTLNKTSVISGEIMGRTIQLTLGRSNVEWDRRNCGIFLYWHGRLIESYKRVGGQKHNSDMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEDWLGRKADEYWDTNFDSLELRKGAERCKADHEWVQCYSCRKWRILNAGFDTKSLPDEWFCYMPPFNGECEVPEQQMGRGVIVIGEKRIQQEEVAKVNANKMRSEDSESRNFSQDEDVKDVKLIPTVVNKRRKSLNETRSIDKHNSEDDAEGESSQTEPGTPRPLLKRIRRGPPRSCKQ >OB06G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17067950:17072856:1 gene:OB06G29250 transcript:OB06G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPQESEGEPGADVPVDEAKAVEEEKSGKGEDGLEEAADAVDSVKDSAKHKGMDAEEELVEQEGEAAAHDSKDIPVTNGKRDAGGNEVAEMEVEKLENGDGHAKADGEKGRALEVEGGSDGDKKGGDGEKQLTLASAGEDVEDPVLSKLASNSFMFDYSRGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLWRQVTGLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASTLTERIASESQVGGINASSSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRVVPYKSDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >OB06G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17074797:17075222:-1 gene:OB06G29260 transcript:OB06G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRRAPWLGLLFLAAGALLLRLALVEEAAASAVPTSNGGVEEGDDDAVLRKDDRTVDGHVGDVKRQRSVRGWGTWGGGGGGGGSGGENSGGDNADAGDGSGEGDGGGGGGGDSVNKAGPGPSICTGHRCKQDRYGKLRQ >OB06G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17078839:17088818:-1 gene:OB06G29270 transcript:OB06G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHSSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEETLCPVNEPNMEVPSGATGHYLLGLIYRYTGRVAAAAEQFVQALTLDPLLWAAYEELCILGVAEDANECFSDTTALRLQQELTSTSNVEKPNIVNENRFLYSNVSASLGDSPKQIKQLHANTTEVSGYPHVKSSALHVQNGAPSNLSQFDTPSPTATQASGIAPPPLCRNMHAYQNTTGGNAPSKPKINALNLTHRRKYLDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSNISHFGGNGTDNSSGNRYHIDEMWTDNVTATSSSAITVDGRYCEQDKSERVQSQDSKLAVGIRELLTLLRTLGEGYRFSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVNYLEADRFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSVLMCYLGMALHALKRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPEALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVALIKSAMEKVHLPDELMDDDDDDDNI >OB06G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17092106:17094361:1 gene:OB06G29280 transcript:OB06G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVADAAVVAGDGEFFLPDLDLDALLASFSGAGSGVSGLFAPSPPAADAEAGSPESVSSRLSPTRGGKLLEIERFLMEEEGVEAAAEGVGVDDFFDSLLVDCGEEEEEEGSEAGGSTGGESGKDNEVATPEAEKEEVDGDDPISKKKRRQMRNRDSAMKSRERKKMYVKDLESKSKYLEAECRRLSYALQCCAAENMALRQSLLKDRPVGAAAAMQESAVLTETLPLVSLLWLVSIVCLLLMPGLPNRNPVARSSAGRDLATVTGKKTSSEQQLETLKLLLHGRRCKGSRARIKLDTGPFHAAAAAC >OB06G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17098047:17105639:1 gene:OB06G29290 transcript:OB06G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVRTVSVADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEAPPLDLPAEADGSGEGEGMSKIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTLVDNAGPFWKSNEMYLEAIKKHLFLSLLKNSALSAMSVFQLLCSIFIGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLVKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSPISSETPGSMDNNTTHNGGGSGMDYDMQSDSSSPEMSDSSSLEQRRAYKIELQKGIALFNRKPSKGIDFLVRSKKIGHSPEDVASFLKNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFEGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDNGKDLPEAYLSALYDQIVNKEIKMSADSSAEQLKQPNSISKLLGLDNIISFVNWGQAEDKALGANDLLIKHIQEKFKAKCRKSESVFYIVSDATILRFMMEACWAPMMAAFSVTLDQSDDKASASQCLKGLRFAVHITSVMCMQTQRDAFLTSIAKFTSLHCAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVELEQKNQKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVAKTSASSLVTPEQISNFISNLNLLDQIGIVELNHIFTHSQTLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWTRIWKVLSEFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTTAAADDTKSIVLLAFETVEKIVRDYFPYITETENSTFTDCVNCLIAFTSSQFNSDANLNAIAFLRFCAVKLADEGFGCQENCASEPRNLVMSDGNATVKKDNSISFWIPLLAGLAKLTSDSRSTIRRSAVGVLFDILKDHGYHFSQSFWTTIFESVVYPLFSSERSSSNDRTSTSNKPEDDFSNLETQTLAVKCLVGLFINFFDVMRPELSRVVSIITYFVRSPFKHSASIGVSALMRLIEGVDTELSKEEWTEILLRFKESVSHTFLIFSKIARMMQDVDIPDRYEPYSETDQYSDHENYGNEEEEANMETTSYAIVKLKNHMALLLLIVQGIIKLYEEHRKYLSSDHISILLEMISAIATHASEVSSESSLLMKFHKACSLLEVSEPAIVHFENESYQTYLKLLQAVFRDYPSMSEEMDIESQILHVCEKILRIYLRCTQREPSDETLHRSTSIHCVVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGPMLTV >OB06G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17106853:17110366:-1 gene:OB06G29300 transcript:OB06G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNKEKWLGFGGGGGGGVDARVGVGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYQQAYVYPSFGPSTYGPEYMYPQNAYGSYVGQQYVPVYGSPRTLGPGVYPYGQFGQPVPGDQPYSPGYVPSQIMPLSNQNTANVIRMSAVQQQYSPGAPRPQQQLLIPARAQFTPNNISEQTSG >OB06G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17110044:17127382:1 gene:OB06G29310 transcript:OB06G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTWDDLSFLPAYAAFSVVPFLCLRCALLSPAMRKYPLLLLSGNWYCLSKTLAEREAWRYAADSNADMDVVTVCPPLILGPLLQSTVNTSSSILINLLNGDREAVAEDKRRNAVDVRDVADALALAYENTAASGRLICSAYNLKTSEMAGIVRRFCPDINSPKFVDGEDERVLSSEKLQKLGWKFRAVEECLRDSVRSYKTAGILK >OB06G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17127933:17129570:-1 gene:OB06G29320 transcript:OB06G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMEDAAAAAGKEIRMKRRTVCVTGAGGFVASWLVRRLLSSGDHVVVHGTVRDPSDPKNDHLRAMDGAGERLRLFKADVLDHATAAVCGGVFHVASPVPSAKPHNPDAEILAPAVAGTRNVLKACREANVRRVVVVSSAAAVMLNPACPNDGVLDEDAWSDEHYCRATENWYCLSKTLAEREAWRYAADSNADMDVVTVCPPLILGPLLQSTVNTSSSILINLLNGDREAVAEDKRRNAVDVRDVADALALAYENTAASGRLICSAYNLKTSEMAGIVRRFCPDINSPKFVDGEDERVLSSEKLQKLGWKFRAVEECLRDSVRSYKTAGILK >OB06G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17131784:17137411:-1 gene:OB06G29330 transcript:OB06G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQTGCVTGAGGFIGSWHVRLLLSGGHYTVRGTLRDPGDGKHAHLRALEGAKERLQLFKADLLDYDSIASAVAGCEGVFHVASPVPSSRSTNPEAEVIAHAVTGTLNVLKACYEAKVKRVVVVSSCTAVFINPNWPKDKAFNEDSWSDEDICRKNQDWYYLSKTLAEREAYVYAAKTGLDIVTVCPSLVIGPLMQSTANTTSKILINYFKGDRETVENRLWNVVDVRDVANALLLTYENSGASGRYICNSAPVKVSDMINVLRNLYLTYTYPRNFVDVEEALTASSEKLQKLGWTFRATEETLRDSVESYQAFGILG >OB06G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17138223:17146678:-1 gene:OB06G29340 transcript:OB06G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGGAVRTKTVCVTGAGGFVASWLVKLLLSRGRYTVHGTVRDPGDAKNAHLAALDGAAERLRLFKADLLDYGSMAAAIAGCDDVFHVDLLAPAVTGTMNVLKACSEAKVGRVVMVSSVSAVMVNPAWPEGKAMDEDCWSDVEECRATENWYTLGKTIAEIEAFDHAKRSGLDLVTLCPSLVIGPLLQSTVNASSTVILGCLKVDCEVKIKLRNFVDVRDVADALLLLYETPGVSGRYICNSHARKMSHIIDLLKSWYPGCKFADKFVQVSDEPTFDSGKLEKLGWKIKPFEETLRDSVESYRAEGDAKNAHLKVLEGAGERLQLFKADLLDYGSVASAVSGCEGVFHVASPVPSGRSLNPEVEVIAPAVTGTLNVLKACYEAKVKRVVMVSSIAAVFNNPNWPKNKAFTEDSWSDEELCRKNQDWYYLSKTLAEHEASAYAAKTGLDIVTICPSLVIGPLMQPTVNSSSKVLLNYFKGDRDTVENRLRNVVDVRDVANALLLAYENPGASGRYICSSAPIKVSDMINILKALYPTYTYPKNFVDVEENITYSSEKLQKLGWIFRPIEETLRDSVESYKAFGILN >OB06G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17155187:17155549:1 gene:OB06G29350 transcript:OB06G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRGAPRRRRTRHPLRRHLRQRHLRAPAAPGRRRRRRQARALPRHSHLGAARSATAAAAAIAVLAPSSHGRGRDLPRPPLASVRMGRWRMIRATCESFMVFFFNLTISREARFSQVRAID >OB06G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17177861:17181298:1 gene:OB06G29360 transcript:OB06G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRDSMFFLVLSLVLLGEFFSSCSCAQVYVVYMGKGLQGTSDDRHDMLRLHQQMLTAVHDGSLINWMHGFSLEKAEASYIYSYSNGFQGFAAKLNKQQALKLADMPGVISVFPNAKRSLHTTHSWDFMGLSVDTAAEVPELSSKNQENVIIGFIDTGIWPESPSFRDHGMSPVPTRWRGKCQRGEANSPSNFTCNRKIIGGRYYLRGYQTEENSPSKSAIKFISPRDSSGHGSHTASIAAGRFVRNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFDDAISDGVDIISVSLGPDYPQGGYFTDAISIGSFHATSNGILVISSAGNAGRQGSATNLAPWMLTVAAGTTDRSFASYIRLANGTFIMGESLSTYHMHTSVKTISASEANAGYFTPYQSSFCLDSSLNRTKVRGKILICHHTKGSSDSRVSKSMVVKEAGALGMILIDEMEDHVANHFTLPGTVVGKTPGDKILSYINSTRLSTKYCS >OB06G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17177944:17178174:-1 gene:OB06G29370 transcript:OB06G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQLIRAMTCRTPAFGSDNNNIADTDTVPRRLLYPERKPLGRVSCRQTHSFLPFSFCKRLYILNTEYSTLLSCR >OB06G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17181503:17181727:-1 gene:OB06G29380 transcript:OB06G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADLIAEGDHDGYAPLTRAAIPATWGHAMEVPERMLKCLSSLAGDHAANMFSPGAPISGYRMPKFLVNTSVNI >OB06G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17190201:17192929:1 gene:OB06G29390 transcript:OB06G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDGGGGIAAGPAPAFLCFDLKPFLAALTVLTLVAAAWQLRSYGSVLPSPLSDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRTLEAVLADLEPYTQFTIEQNPMSSRLCARNPDDPETDYSNQWGFEKLAFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVPIPPKGGLTWSEKTPWYYDDSMKRLADTVREFERKTIGDVRV >OB06G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17204299:17208520:1 gene:OB06G29400 transcript:OB06G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMDSPGGGGGGAMAQQQQQSEEDLGPPWLRPLLQTSFFVACGAHPDLSKNECNLFCLGCTGDALCAYCVPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRSQDSGDGSGSDYESFSPKKPRKAGYELGRFDRGVRWSDDEGSKSNTAPITPTTPPINRCRPSRRKGIPHRAPFYG >OB06G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17219350:17219928:1 gene:OB06G29410 transcript:OB06G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCNHRSSALLRHHQPAPSPPPAQVIAADGSLSEVPAASCPVSVSDVLGGNADRLFLCSSDALYFDVDVPALDGGELLRPGQIYFLLPVAMLGRPLSAVDMAALAVRASEALVARAQPRQRGVKKVRVLPMLAASGCDDDGDRDGEINEKLNERTLGESVMTWPGSPANSGNKSPVKRLLSTIEEDAE >OB06G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17223207:17224307:1 gene:OB06G29420 transcript:OB06G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRITQQQLEQHLVPDVDRAGQAPVHAVVVQRHVLMRPVPVPARVPVRPEPHRRRRVQQQQPRGPPARPRLRLGFLELLHLQLVEVDVPPGHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAADEAAGLVEHGRLVEPVRRGDDDVVESRHAGHPDGVRLVEVEVPQPAGGVRLVRRDEKRRPEGGDGEVVGLVAHRPELWIRRLRHVFGGLHLQKKVHIFTRIHPAICARNVPNQSVVTLDRRHRSDCIWFLASESPTNAIDLPLTRCKNAGASTRGSSHRSGRHRHRRNPRSGRPYFMQFWCSWISAQLRFV >OB06G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17223213:17224573:-1 gene:OB06G29430 transcript:OB06G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQLTAVSLPALAVVAVAVLLLTARRPSFLRWYEPSIASVPPGPAPSSASSSSKSAPPAVARVPSDCDIFRGEWVPAAAADDGAAPYYTNRSCAEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRVDAPAFLHLVRGRSMAFVGDSLARNHMQSLLCLLSKVESPKDVSKTTDPEFRTVRYESHNFTVAAFRSPFLVTANQSDPAGGLWDLYLDEPDAVWVTGVAGFDYVVVSTANWFNKPSMFYEAGRLVGCPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVARRDVDLHELQVEEFEKAEAEARASGGPARLLLLDTTAAMWLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLLQLLLRNS >OB06G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17231791:17233782:1 gene:OB06G29440 transcript:OB06G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFRGRDGRFRLPAALSVDLLLLLHLVLVLLPPLAAAQQQYEANAQTDCDADNGSSVLGYTCGDPATSPPSCTAYLTFRSAPPRYASPITVSYLLNASVPAVAAANAVPVPVPVPADGLLLVPVPCACTAAGYYQHDAGYVIQFDDETYFIMANDTYQGLTTCQALMAQNPAHDSLNLYPGIKLTVPLRCACPSPAQAAAGVKYLATYLLGWDDDSSTVADRFGADYQAVLYANNLTDDSTVYPFTTMLVPLKRRPNADVTVSPEPPGPAPALAPAPPPPPVPSSESVSGKWKKSFLGKCIGIGVGVGCAVLASGALLALLLLWRRRRWQGNGELATTAIHDVPLAPGKEGAKATPAWMVPTTVADADVRDAVGSLTLYEYGELETATAGFAKEHRIGNSSAVYRAVINGDAAAVKRVAGDVGAEVSVLGRVNHSCLVRLFGLCVHRGDTYLVFELAENGALSDWIHAGGDGGRGRGRALSWRQRMQVAFDVADGLNYLHNHTSPPYVHKNLKSSNVLLDAGFRAKVSNFGLARAVAGAGAGAQMTSHVVGTQGYLAPEYLEDGLIGPHLDVFAFGVVLLELLSGKEAAPDRDGGEGGEALLLWEEAEGQLVPAADGEGAPARGRRWRRWCSRSRRCADRRSVGAPLSFASRQLDEKDPVG >OB06G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17237015:17247956:-1 gene:OB06G29450 transcript:OB06G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MRLRRRTGALGAASAIGSVGGGRDGCLSCFPRSRRRGRAGLARFAPCAVPHTSGLLLHSGLSGAKVKRRHILRAAGPDEPHVASPTWSETALDKPYVDQPIGKEELESFLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPESEAASMSTASPFGAMASLFAPKLPGGLAASLTGERSPAVDNIKPVKRERQAIRPPVEHKWSLPGVAQDTKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALSHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKRVHIDGVGETDFEIYPEEITDSIMAGLEEPIYPEPELEVPKELITQSQLEDLKLQRKPSFASLSKEENVVKIFDNETGITQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAAKSSKTEEHIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRNSSGDAQDSESTNSDLTGMKPIDVRTHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPGKVHKAVDACKGVLRGLHSNRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFSCIGIAGAESGEEMTDDELDTGLHGMGPIGGRGLSTMTRPTT >OB06G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17251585:17252253:1 gene:OB06G29460 transcript:OB06G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATALAAFSAAAGKRLLLSSPSPSRSLSLSFASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHVPGYVARAGELRAKGVDTVACVSVNDAFVMRAWKESLGVRDEVLLLSDGNGELARAMGVELDLSDKPAGLGVRSRRYALLAEDGVVKVLNLEEGGAFTTSSAEDMLKAL >OB06G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17258868:17264209:1 gene:OB06G29470 transcript:OB06G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGQIAAMWEQVKAPVVVPLLRLSVAACLAMSVMLFVEKVYLTAVLVAVHLFGRRPERRYRCDPIVAGDDPELGDADADAAFPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPVIKEMVQVECRRWESKGVRIKYEIRDNRVGYKAGALREGMKHGYVRDCDFVAIFDADFQPDPDFLARTIPFLLHNPDVALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGRANLFRKMFVEIFRNKKVTLWKKIYLIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTILNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKIKLPGKAFRRPRMRMGDRLNALELGFSAYLCFCGCYDIAFGKGYYSLFLFLQSITFFIIGVGYVGTIVPH >OB06G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17266911:17270508:-1 gene:OB06G29480 transcript:OB06G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGVLTPAISVFSAVSGLELSMEKHQHKYVEVPIACIVLVCLFALQHYGTHRVGFLFAPIVIAWLLCISMIGVYNIVHWEPHVYRALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFNQLSIQIAFTCMVYPSLILAYMGQAAYLCKHHIIESDYRIGFYVSVPEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIIHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGKYNCIGFDILNHLIVPCFDLVTEQRQIHLNAMVVLGTGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLSFIFMAIMCIWHYGTIKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFIRSGAAAASPKPKNGAISGESEREEERMSVIPSGTIRMMEEDGATAEDTIAVGGGSSRGGAAAREILSPAPSPSPPVVVPRKRVRFVLPAASPRPEPGVREELQELMDAREAGMAFILGHSYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMIYYV >OB06G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17290681:17291679:-1 gene:OB06G29490 transcript:OB06G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MG05] MASPAMKTFPSEADAKAHELLYQRCTDLVSSWPGCEGLAYIQLFRHEKGWYSGLSPHVGTMVADACFAARPSDVVVATVPKSGTTWIKALLYPTVHRREHHPAAAGDHPFNSLGPHECVNWLEYQLYAANRLPDLDGLPEPRLLPRAVAASGCKVVYVCREPKDNLVSLLEFVNSYNARNGRELVTVDAAVDFFCDGKTSSGPYWENVLGYWRAHLAHPERVLFFRYEEMKRDPAAHVRRLAEFVGLPFSSREEDDGVADAIVRLCSFDSMAGMEATKSGKTRLPVGDVANSASFRRGQVGDWANHLSPEMVRRIDAITEAKFGGSGLINFC >OB06G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17295530:17295868:1 gene:OB06G29500 transcript:OB06G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGSVLLTKPLPISRRLILTSTRSEITFPSHYLGALINYFTFSKSLIVPYPILYLIVWGWDTLCKQNYRVPLLWNKKNSSILFFFGFGKTQPKTFRGSGISSKKILNPST >OB06G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17304448:17305107:-1 gene:OB06G29510 transcript:OB06G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGPIPDELGRLATLELLDLSNNRLTGGVPAALSGMTAIREMYLSGNRRLGGRVPADIFAGLKRISAVGLSDAGLTGPIPASLGESLHNVTYLGLDGNQLEGEVPPELGKLAGRVRLHGNLAICVPPEFVAAAGSHSHIAAAGVPSCKGTKIPVTRRPVVLPVPSLGIGGSGERGEVGGVADGDQLLCGRDNVLAGAGLVAPVWLARVFSLVLQLRFC >OB06G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17326041:17326442:1 gene:OB06G29520 transcript:OB06G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACNGAVRCFAFAEEVAPPPETPDVVRRQRQLLRRAASRCLDPIAEETGEEETCVG >OB06G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17326658:17326858:1 gene:OB06G29530 transcript:OB06G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILKHKSNKSNHNKILCKFLIRQIIKYILKSQWHHLLKYKRNINLGAHRFEGIKESDSQDKKKRKRK >OB06G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17327559:17330264:-1 gene:OB06G29540 transcript:OB06G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMRDGISTVARIGELVSEIKKLKSAVELNTADLTRQCTTAANTLASTKNEECLQHFVHLNGVGFLHQWLQDAQNCGEDISNAAEDLIVAVLTALECLPVENAQITSCGVLHTVEHLLAHRNADISKRAGVLCHKWSSVQKCSSDVHDMVVKAHDPDQLKLQKPRLESEKDTYDGTNEAAIAGDKLKSEVMVCSTVPLPNHSQTDDSSDIVKQTPVLTPPNSDGNASLGDGNPSVPSLASHRGLENVPVTEESSATNDAKSRATQLSPQDVTTEAKSSGTTNPENPLVSKQMDVQDQNVSTNLDIKKVESFSQDKKNIVEGLDLSPALQDSSDDESTGKDEGPTSSSDTDVKGAVNELRLKRCMKSFGDSSKVVDKKLTADKGDTSKPLAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPMDELNNDNKSSTTGEDSGSSSSMKEDGSGITDDSGTFSRKHTRSMKLGGFDLNENQCTEEADCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDGEKSVSASSQKTSNALFDLNVSESDNATVGEPLSAAILPLSSNLVPKDASATDDMNRSLELDLNCPCDDEEAAITTSNVPSFWNQQQCNGDWSHPSSSSSSRQPAVRNFDLNDNTPIVDSFSRAVGEPSVKTSGRGVSDHSAVTILGKRIVLGQKEHIHQSEHNFLGPSLELRDPARTIQSYAHTPPDYGVVSYPSQSALSFPPFYAPGTVPYIVDAKGTPVIPPMPGLGVPTPPSLGVGTSHPSFSSRAIPPSSSELSYFHPTMDLNYGRSYEGGRREGASYWPVSFQGQTMFVDERMGNMSQGGSSGVPVLKRKEPDSAWDLYSRR >OB06G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17351100:17351585:1 gene:OB06G29550 transcript:OB06G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKRPFFQLANRPTIPLSPTHSNHSCSPPKSCLTSSTMYPLSFPLTFSIWATTSSRPNCSQTHPLPSLPSQITRSLGSQSSSPPLGPKSHYPSSMHVRYHQRTLAPRSLLLSLVPILNQSCSSSLHHPSYDRLSLLFSPCHPPTAQWNDELGCISMSPSP >OB06G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17354973:17358610:-1 gene:OB06G29560 transcript:OB06G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFLSPLLIVIRTHEGERTRVSGFGVSGAGDPATASQPPTFGLSLAASARSFGDPGMKRAAPWEEPLDVSSDDDSLNSDSDDEAGKGKGDNAFGLLNSSKPAAAAADAMSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNEKEDQTESYDERERTRAAVTEGEKLIGVQNPELRQLEKDKKEASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >OB06G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17358943:17362293:-1 gene:OB06G29570 transcript:OB06G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan synthase beta type 2 [Source:Projected from Arabidopsis thaliana (AT5G38530) TAIR;Acc:AT5G38530] MATAVRPPLLHQVAGSDKASLLCKPNQRVSVRRRSFTTRASSNPGGANVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLAPLFPDELIRQEVTEERFIDIPEEVAEVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAVAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASYDQKPYRRLMMETWGARVHPSPSSVTESGRKILEGDPASPGSLGIAISEAVEVAATSADTKYCLGSVLNHVLLHQTVIGEECLEQLAAIGDAPDVVIGCTGGGSNFGGLVFPFMREKLAGRMSPAFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAIAIQQTECFDAALKFARTEGIIPAPEPTHAIAAAIREALECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDEKLREALAAVPKV >OB06G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17369674:17369895:1 gene:OB06G29580 transcript:OB06G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLSCFGSAGTGYRDIDDDLPAAGYEPPRRPSRKVRPSDEDRLWYVGERDVDRKAAEFIAKFHASTRFVEA >OB06G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17371779:17377905:-1 gene:OB06G29590 transcript:OB06G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGVRRSKYAAPLLSPACLRRLCVPGEFVAALGPGDAGEAAAVVLVVGPLGKVWRVELAWATSEGWTVVLRLERRGVASLRAFDPCCCLARFCTPHTGMKTKDRPRFIKLLQQEDLEKMKIPEKFVQQHLTETCTNSHQNAIILSPLGKFCRVEVEREQPDMLLRDGWAAFLAAHDLSEGNILLFRYEGNMVFTVEVFLENGCLKEYTTATLYLTDGSKGRSVAPQQCVTKAEVSPVKRKRTQRSGGACLEESSRKSRASPISVKKVESHMKHLSIVPQNSFTKEMTSYSVHSLLAVKGTFCSQIGLLEASTITLKISMKNKGSWRVAFKTANTYGYINGPGWRKFCLEKKHEFSHSLDENNLGILTILLHSNLQIPWDTAWQREQCKSWLLPGSCHLYNDSINVFKWWVHQNSIVYGQKRPNDEPLGESEMRLKIITIIERVLVRVCVTNVSQVAISVLGNGWCVSSCAGDLKSISVNGGGIVTRLGEELRSGRSRMSGDDIEYDLAHGGATPCGMES >OB06G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17380428:17381348:-1 gene:OB06G29600 transcript:OB06G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKISESHTCESETVKSHRLASQRWVASVIKEKLRDSPNYRPRDIAKVLQREYGLCLNYSQALRGRSIAQKELHSTHDEVTCQLPWFCGRIVETNPQSVATVVALEDSKFRLFVAFHASLHGFEHGCRPLLFLDVISAKPNKQWKLLAATSVDSEGDVFPVAFAVVDDESSEHWHWFLEQLKSSLSTSLTITFISNGENALWDEVPLVFPDSHHGYSVDYLIEEFKAHLDDAWTEETRDAMVEHLKKAIYSCTVDEFNQYIELIKGESGKLAEWLLEIKPERWSDAFFKGSRHGQYSCNFSSTIV >OB06G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17379268:17382090:-1 gene:OB06G29610 transcript:OB06G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFHAESETTYIYVMKKKVDNRALSVVPDFSSPVDATAIIPTTQDGSKR >OB06G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17382092:17382331:-1 gene:OB06G29620 transcript:OB06G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLNLPFTVYHCLTRQCLRLATTPFSPPPPPTSFTWLPLYEFRMKNYKSLIMITSSQILCWENSYNLEDSQIALIHFE >OB06G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17395596:17395784:-1 gene:OB06G29630 transcript:OB06G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPRAFIEKQKLFFVCASCNKKQTQEFGLIYRFRRRRPRTRPLSLDVLELAADGVTGGES >OB06G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17395922:17396113:-1 gene:OB06G29640 transcript:OB06G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCRFTPILRQEPHQMLQLLCTAPGHTKEAWCGDDACTFLTNLRRWKRRKKNSENSMASELSAW >OB06G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17405814:17407396:-1 gene:OB06G29650 transcript:OB06G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAGRRTARALCLLCLALLLLAQDAHSSRKLLLQERQGHGHGHGVGNGTTTTQEPSREKGESTGANNDGQLQFDSAKWEELHTDYIYTQDVKKP >OB06G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17416919:17418254:-1 gene:OB06G29660 transcript:OB06G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIADELFLDADEAPPPHRHLHGPSYLAFSESFEEVMEVEEEEEGQRHRHRPRRLPLGDHETLAAAPAPAPALALGSPFSFGSDIDPDIDLELRLSSRSPPFWDCLEDELAEGFEWEEIADAAAPASGAVAGGGGGVGGGGGGGGGGGGGYGELVGDGDVFGFLDEREILGAMEGLDSGDESGFSDEPFDFGDESDELGDIFRSVGWEVLPVPLDEDFEGELATRLPCAHFYHGACIEPWLAIRNSCPVCRYELPTDDPEYEKRRVKRRSAGSSTAQLGTPMQI >OB06G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17418860:17421361:1 gene:OB06G29670 transcript:OB06G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPPPLHALPPPPPSGVVLSLAAAAVDGAQRRRLQSVRRWWKSGDGEEFTQIQATARLSPTRGTSGVGGNGAMSSPGAGRRPSRSTRRPPALLRACPS >OB06G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17421618:17425083:1 gene:OB06G29680 transcript:OB06G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNELPNGSQQIVQKEIRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIVVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQSSVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHFWNSRAIVLLVTTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLINGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSLFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITITLLTVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASKRDKILAVTMIVLAVLSNSVALYSDTMNIFRKKEVA >OB06G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17429653:17434020:1 gene:OB06G29690 transcript:OB06G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPAPPSYAGARLTLLYSHGNAADLGQMFELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLVETYGATEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFITAIEKLPPAKDESAESSGPSDPCQTGSESSRNSTDVKDKSRSSIDHRHSIDRREKPRGSIDRRDKSRKSIDHPDKPRASVDQPDRPRKSIDRFGGMMRSMTSVKLCNIDCFKVTYASGS >OB06G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17439957:17441772:1 gene:OB06G29700 transcript:OB06G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIPKRRVRQLVMQPLNCVIFLLGLAILSATFGPFITIAHRELMMVTNSDKGPENKLDRSVDETRTDKEIRINVFAGRKMALGVPVMEDHPKDARSKPSSGEIRNYSSNSRVPSTLKVIPSSFLDTLHALSCSGSRMKTGPYMANIPNPQHIRILPLKPYYRSLSLGSKKEQKDSTVYSNSYRTNDDLKKKMLESRDEVLRLLNKDYHANPHKRPPVHN >OB06G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17442634:17442849:-1 gene:OB06G29710 transcript:OB06G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYKVLHQLIDYWQVWSLILQRLGIPSTVLKHDTGYFSSWWAKAVKVLCKEKRKGLNSLIIFGCLENFET >OB06G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17443592:17446134:-1 gene:OB06G29720 transcript:OB06G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G74350) TAIR;Acc:AT1G74350] MSFLASGRGRLLRVLPARRHRPPPRGASPSHPSSSRFPSVGKSAANDGVLLLPFLEPGGYAACFRRCKAHFLLDGVEDAAESPAELERPPPVSLAKSLASLAEESAVVTQKQRKPLSRMEQKRLAELRIKKRVKGQYLNGKFHDVMANVVATTDTLEDAYEIVRLNSNVDLASARDDVCFAALAEQLRAGEFDVRVNVYSVVSKSREGGRLVLPRLNLRVIQEAVRVVLEVVYRPHFSKISHGCRSGRGHQSALRFISNEIGVPDWCFTIPMYKEVDVNVFSKIICLIQEKIDDYQLVTFMQNMFDAEVINLIFGGFPKGHGLPQEGVLAPILMNIYLGSFDCEVFRICMRHEGLGSEAEGVSNNQESNLRHWFRSQISGMKDREENSDAQTDRPLKTKLYACRYMDEVFVAIVGSRDTAEDIKSEMVAYLRNILSLEVDDRLYLMPVRRNSLGLQFAGTMVRFTRKENDALKAVHKLKEKVRLFASQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLMDHIVQFRKEGMKTDHWFKTLLKVWMQDINAKSEADESMLLSKYIAEPALPQDLKDAFNNFQKQAKDYISSETAATEALLSNLKDKELAITCTADVVIKIHAPLRYIQKCLNRYGLINLEGFPKHVSTLVLQDDELIISWFAGIIQRWMRWFSEVDNFKELKLMLVECVRKSCIRTLSAKYRMYEKITEKRFELDDYGIPMVEDFEAIMAPLESNSLVCADEALMYGISSSGLFVLTLSRVRVPSQQFNCFVMGCQSASPSMYVLHVKERQRFPGWRTGFSSSIHGSLDGRRVGLCTQHVKDLYLGNISLQSVDFGVLIR >OB06G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17446804:17450904:-1 gene:OB06G29730 transcript:OB06G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MSNSTKSTALAESVVAGSEGDVELLPFVNDKHGGVIVEMMTPMDPQLFSASLKASLLKWREQGIRGVWIKLPINLANLIQFAVEEGFWYHHAEETYLMLTYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINIGAVREVKEETGIDTEFVEVLAFRQSHKAFFEKSDLFFVCILRPLSFDITKQESEIEAAQWMPVEEFAAQPFVKKHELVKYILEVGLAKVDKDYAGFSPILIKSAFTDKRSFFYMNRRDLDKASESSSTQND >OB06G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17450702:17450854:-1 gene:OB06G29740 transcript:OB06G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLCFIFLFFFHLILLALCLVMQIELKSLKLFMQLFENYDKEKGEVGIG >OB06G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17459059:17464708:-1 gene:OB06G29750 transcript:OB06G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGAAFVCVLPSPPAGGGGAGPAALCASILAVAALGNLYTSWNNPAQLTGWSADGGDPCGAAWMGVSCAGSAVTSIKLSGMGLNGNLGYQLSNLLALKTLDLSNNNLHDSIPYQLPPNLAYLNLASNNFSGNLPFSIANMVSLNYLNLSHNLLFQEMGEMFGNLSSLSELDVSFNSLTGNLPISLSSLSNISSIYMQNNQLSGSVNVLGNLSLTTLNIANNNFSGSIPQQLSSIPNLILGGNSFLNVPSSPPSIITPTPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLQTGLLIGIVVGSMAAACVVLFSLVVCLHNFRKSKDGGISESKDISGSFAVNIDRASNREIWDHTRQDAPVASSVLPPTGKMTPERVHGTNGSIAKKIKVPVTANPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLTGYCVEHGQRLLVYEHIGNGTLHDILHFSDEMSKNLTWNTRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSREKSEQSLVTWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRAGMVRRQSGEDVGLSYRGPDREGGTADVFF >OB06G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17474020:17476287:-1 gene:OB06G29760 transcript:OB06G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSGSAGAEPLLPAALKGGAGAGAGRRAAMMQRCVSREDDELQWFRSCLRWVCMDHSGPGGAALSWLLFLLLAVAVPAAAHLLLTFRASRRPFSAVVQVSLSAASAAGFLCLSSCFRRIGLRRLLYLDKLRGKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKVWWYATSGDRVPFFGNDVLSNVLACSVEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDSVDDFLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVEEEAELGSNQSSMVEADPVSDSECEETGDEDLLENTKILLPQATVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >OB06G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17483136:17486849:-1 gene:OB06G29770 transcript:OB06G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:J3MG33] MARILYLIKLVVLFLGFNLHKALSWRNQSSMYGTDDRCNPSPHPLGMCQSQAAAFGYPCEEYKVTTEDGYILSLKRIPYGRADSNTSTEARQPILLFHGLMVDGVSWVMSTPQQSLGFILADNGFDVWIANSRGTNSSRNHTSLSTKDPVVISGLINNKFPIELHRNHVDYSFFQAYWDWSFDELASYDLPAVAQFAYDKTGKKLHYVGHSLGTLMILAAFSEHKLLDVLRSAVLLCPIAYLSRMKSKLTLLAARIFLAETVYWLGFHEFNPVGPVAHTVLSQICDNPEINCYDLFSAVAGPDCCLNTSTFCAFLEHAPQSTSVKNLVHLSQLIRNGGVSRYDYGNTRDNMKHYNQPRPPVYNLSSIPNHVPIFLTHGGEDFLGDVPDTRHLLRTLVRKHDSDNIEVLYVPDYAHADFVMAYNAPQLIYGPMVEFFERH >OB06G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17494906:17498184:1 gene:OB06G29780 transcript:OB06G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPREVTVRKFTEVLVDYAQQVAELAVWRVEKRADDKGKGVAPAEGAGAGEVVVVPVVAGKRRVGKAFVAPAVTVGGSGSGRRRKDGNGSCYRGVRWSKSGTRFIAETNNPLSATDRVWLGTFDSGEEAAWAYDTAARVLRGSKATTNFSDAPPTPGLTPEMRAMLEFFANACSERGEGGQVPCSLALSTSARAIEAPANGSPLLLLEAPPPPPPDLEPGVRVQRGGQRGRTPSPKTVTENDPSASSSALVLVPAAVPDRALTSDVAPVMARALPSAVATEAPLLLLPPPAPALVAGAAFQQGGGERGRGRGRGGGRGRAPGSLRGGGGGRGPGRGRSANDPRFSTLYAHGGFSSSPESSLAAPPPPPPPTAPAASHSFYQPVIPPGGVITNYAVHEAPDFDPDTFYDELEDDDDDEPVLLLRKKTELLGVHTSPDRPQRSMEVITEEDDLMHVDVVPSSSQGAGAAPSSSSQGTSNVRALLNFDLNEPALDDDDDDDAGLLMLTCNVASQQVNLSGFLMIKELSLLRSSRKFN >OB06G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17508259:17510107:1 gene:OB06G29790 transcript:OB06G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPSVPKFGTWDGDNGGDTVYFDKNRQRPSAVPKFGVWDEQNGAAAAQGFTVMFDNVKRNRDAARGAAAGCLVLVASTTPPPENEDGKQMF >OB06G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17521805:17525160:-1 gene:OB06G29800 transcript:OB06G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAAFAWNVRRRDAEAERFFRRLPDTVFDQSDMPIGEVVKITGQITCGHTPLGARFHDAARCVFATTQLHERHGCCFRWQPRHSEARAANFYISDRNSGRRFYVRAGEGGKVTSWVMIRERTISLDGEKKKGASSSLRSWMDSNGVSCDGPVRVKEGFIREGDTASVIGVLKKHHAFDIIDAASGAVTTGWQPRRCMFPVLVEGLILVGDEDPDEDVYMV >OB06G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17529850:17530992:-1 gene:OB06G29810 transcript:OB06G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVMMQYTGGFLHAAMNCMPQHPYEQLWCKLSTVAGEERRRTDRSIYACDLDDVGVVEAGEEGDLGVELVLEDGAAGLVALRDADHLDGDGALLVDAAVDAAVGAGGELVADEELGQVGHPLLPPPAVPARRPALAGGPHGRVQQHRPRLHAVPPLLLHVVRVRRPRVLPGRRRRPREQAPPARPAQAPPRRRLCRPCGWSLFAGSPSTSGKHPWPPRRRQRRSCRRRLVRLPARPRQPEARKHGADQETHNTSRHSMPKAAGRHPRRRRGDTPPAGRRAARIACSPDHHHHAGINRSIDRYRRLNPKQSITTPTRSLEPHPPTLPYQIHHGCHSPISRSSSSCFLWLGTQMARKRKKREKKSTQRKEMLLPPPPPLLW >OB06G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17530258:17531924:1 gene:OB06G29820 transcript:OB06G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62400) TAIR;Acc:AT1G62400] MLSCFRLPRPGGETNQATAAAPPRRRLCRPCGWSLFAGSPSTSGKHPWPPDADDMEKKRWDSMESWSMLLDTAMGPSGEGGSSSRDSGRREEWMADLSQLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNVVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKNPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSSSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRLWSSVAKIFRMGCITNNLSIPVHA >OB06G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17531568:17531942:-1 gene:OB06G29830 transcript:OB06G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILIESSVHRYGQVVGDAAHPEDLGDGAPEPELLVRHDRHPFLDAVVVLLQHRHDVAELRPPRRVRRPAPLDQVVQHRRARARQRRPQVLRHPTKARRNLDEMKRRRGDVVTVAAMHRFTLVQ >OB06G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17532293:17538378:-1 gene:OB06G29840 transcript:OB06G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAVLVVATAVFCGAEAREAWLPPAAAKVPAVIVFGDSTVDTGNNNPPPLVPAYLDPAYGIQDFARGVCFASAGTGLDNATAGVLVHLTVARALQPWRFPALRDGTGSSQVAEGDPAVIPLWKEVEYFKEYQRRLRRQAGRAAARRVVRDALYVVSVGTNDFLENYFLLVTGRFKQFTVGEFEDFLVAQAAEFLTAIYRLGARRVAFAGLSAIGCLPLERTLNAVRGGCIEEYNQVARDYNVKVNAMMAELRSSLPGLKLAYIPVYDDMLNLINNPSTLGLENVEQGCCATGMFEMGYLCNEKSPLTCPDADKYFFWDSFHPTEKVNRFFANSTLQICLKELL >OB06G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17542675:17542973:1 gene:OB06G29850 transcript:OB06G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRAVGAGAKPKCQPGATTGPCRVGAVHDPENSEEEGLFSVKARAPSGAPDGDSDDDYTDADRDDELVVLGH >OB06G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17557531:17557860:1 gene:OB06G29860 transcript:OB06G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQQQQPRLRVIFTLVVACIVLATTATVSDARLLKRMERDGDASSSSSSAVVESPAVDLQTIVGSTEGDVDDGAGAGGPVGLRWLKSIRVDRLGGIKDSGPSPGAGH >OB06G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17563314:17564207:-1 gene:OB06G29870 transcript:OB06G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEADCDRIRGPWSPEEDEALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQEMIRAEVHKYMASVGVRAGCGDAGGADLHMPQLLEGVMRAAAERVGGVGRTH >OB06G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17574103:17583797:1 gene:OB06G29880 transcript:OB06G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSPFDHPLHRXXXXXXXXXXXXXXXXXXXXXXGAARSRYDYGGAYEPHPHHYHLPDHHHHHPPRVHHNHHYQPPAPTPPPPPPPPLPQSRYESSPHYGLPLRPLPDTYSPPPPPPPPYHDPPPHYHSHQRRGDEDFIPADEIRRVGGHHHHHPLQLPWEDTEEERRRYGASHQLRLSPSASRKRQRCAVHDGDLESTSSSGPPPRRQRQLPHLDYALDDSFVDRNTAHPGYSSHEGFSIHSDSKVSRKTQMPTQMPLPGSPHATSAGYPRRAPQKVAPTRVSVWHRIEENPAVYEPPPPLHLPKEVHVSPCKSNNVAPASRELASVISVDCRGKSADGNEGDSNIGTKKNPVKKNEKVLASVLVKPSMEPKEKEVAVKKMLKKPSKVQKNAVDSTSGSLISTPHPGAGVKKVKKIVIKKIVRKINGKGKQISSPVVSEKRDSTDANACEKEEGEITTSSFEKDAISAHDPIAVSDTAGFGNGVNAQKEESNIFTNPSGRNAASAIKYTGSSESVHSGKEEGRSPMKPVDNINASLAIDRTEVLEKSGTKRYRKEHDMSSIGSGVNDAFVVENDHTQEEVNIPILSGEMNVADASNSVRVSDARELPQCDGSSMEEIKVHKDVDANNAVCMDGISSNSDTTELSGNEGGRRESEKILIARNELVSNFVGSPSTADICMTSGEDAQKQDGVILIGSIEKSIGFLGESMGTRRTAESGVSKDVPSEVDNMLIHPREKDFMTLNSWRALNNTKVSEKEDIQEKEDRIPMESIIACTSSGNEDMQVNEGIQPMKLSEANAFSGSEDIRGKECIIPMCSSGTNTSLVNHGNASNPKHVSANDDTPKKESHRSIESCKNNTFEIMHHKEVASTEEVITSMSLGRKLAECPVRSNERCSGAIGNSANTLEFDLACATEGNQMEDLLNNRTALDETNNPLDAEDSSAFDLPSSRNVESTASPLYDLMEDSTSDGILNISLGRSTTLQAAELMDHRGAHMSSENDSLIHCQESSTVPGNCEQSVRTALTLGSNTYFSSAEIDDQPEGSHEHVEGQRGLNVAIQKEFNSSGKIKALTGEGVTSTGIQNWLTLPPSINNMEMSGQFLDNGFTGSNDRLGLDQSIDDATSMSQGHDITQDMDQCGSEEAFVSQDHSNRLCGSNLSHSHLLVPKEGSMDVEDQSEIILGLNPTSSVNGVHYGCQAVDIPVNDLNKPIPSALESSDLMDIDRYSSQVCVNTDHANLSNTENPGVESNTKQDLLSSWIEAIVSEAKKEHIPCISTPLSVGSPEKLLEPKEDNRKTVLETVVPSAVKSPQINFASCTLPKVAPKQVTLPSSSRESTRANQNARHRTWHRGNIASSSSSLHASQPSGLPPKLPLKNKKAENSYIRKGNALIRNPSNGKLPHSSSSHDTQNKLNKAVVRRSMNFVRKADMKDFVANSNISVERPKTPPLPLHTKSISSPTNFLEQLPQILQKQHGHEIEEDTTGQPKSGFDNPDIKTTQKSEPSDASKVVYVRPKSNQLVAAQRQHPVDLINSSTDKILSLQAPIASDLYLKKRKNQIILSSGSPSDGQNAKEMLPAENSNLDEKKDLMITCSINGIPGVKERPQKALQTANSEGRFSHVWTLNGQQPRRKGFMVNSHMNAFPRILPWKRKIFCKNFKSSHTTLSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERKKREKQKRHSLHNKGRNDHQYTESVTVNQLINNHQASSDSRKSSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGQCKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLKGLCNNIACPYRHVKVNLNAPVCEDFLKGYCADGDECHKKHSYVCPVFEETGECPQRSRCKLHHPKSKVKSKRTRPDFLQNSSWGRYFDASIDHESETGKVSLDKDEREKPHRVFSDGDFLNLNDDGDEDIAALDVSDDIPLMELDSRDLSSQIDNLDALIKPLRIMRTARV >OB06G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17580843:17585800:-1 gene:OB06G29890 transcript:OB06G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXAAAAAAPDPTPSPAEDEVERAKLAQVSKRLETTARYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPLTFFATSIGVVAAFISVFWAFGYIRLSERLKRTANEPTKAPPRADVVRNLKNGIVLNIIGMGAAILGMQATVGALVAKALTTSAVPYYQGIPPGQSPVLALDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVESAPAPPASMSLS >OB06G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17587697:17590502:1 gene:OB06G29900 transcript:OB06G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFFTVQHTSASLTINENYDSDVQFDTETFLNRVVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALMIPITDGRLNMGTWQGIWLCEHRDYATPRKIVITLNGI >OB06G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17590963:17591312:-1 gene:OB06G29910 transcript:OB06G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKRCAWSGSSLPRHLVGHGRVAWVDLRGGGRGEGEGRRKRRERKEVEKRARAESRSDRRSLEDGAAAGRRPAIAGRQAGIAGVGKGDARGR >OB06G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17595989:17596423:1 gene:OB06G29920 transcript:OB06G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHQYSHANRRATLQDTHIPCTATRQTRLPVYVLETPKFCIISHGSPTPKKIQSPSSPWSILVAMEAAAVISHFHAWSGRCEEEERRGHHILSLHHCTGLERCALTAPCWCCYCCYLARERGCKWKVVTITLQSEQQITDHY >OB06G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17596642:17614112:-1 gene:OB06G29930 transcript:OB06G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVALLLIAAAAGAHSANPRLPTCRATDAAVDVATTTQEHGGSGRRILDITHALQPELPVLGSDAGVGPALLVDVPRDKNITAEVMESLNIPRGVRRVLFRTLNTEKGWGTMVDYTDVKLVGVDYLSVASYEYMIHAHVVFFEKKAARVLTTSQGDEDDAQFAWNKRTMPWWLKCQDVRPHLTFGQVCSTHMTPKHKCVKPERAWAKVAAFLCVCPLHLDPLKETVHSYLVILFLMKDEPKGKLRSCSPLCKQLAGFHYLLLWQYIRQQEIVKSRSCEPAFLCSAVQVHCTGFNLQFSHSLHTHSLNGSLPATLLNATELRVLSLAGNAISGVLPDGGAVYSRSLQELNLSHNALAGRLPASLCRLPSLAVLGLANNYLAGVLPIGGLAALEVVDLSANYFNGSLPSDFGGSRLRFLNVSSNKLTGALPTELSAVVPANSTVDLSHNNFTGTVPQAGPFAVQPAAAYEGNPELCGPPLKKMCSIPSSLSNPPNATDSPPAFAAIPKNPSRPSPGAQAQAPRGQEKLRPAAILAIVAGDLAGVGLLFMLFLYIYHLRKKRRQRRAQDSPLQHKSNGAVGGGVKTLDIAGGKEEKASTSAGCCIGRKNDSSDSSDCSVSSEAESSDDEEDLKKRGGSFIGRSTPQHHSKKHDQPQAAPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGVDKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYATNGSLANIAFSRRFGSSSPLHLSFEARLRIARGVARGLAFIHEKKGVHGNVKPSNILLGADMEPWIGDFGLDRLLSGEAVHRSTGASARLFGSKRSMHSTSSLPDLSQMPRAGAAPPPYQAPECLKNLRPNAKWDVYSFGMVLLELLSGRVYSEVELCQWHAGFVVEERSRLLRMADPTLRGEADGKEDALLASFKLAFACCAMAPSKRPAMRDAVMVLDRIPSSSPSAPTTTGAAIP >OB06G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17615453:17618847:-1 gene:OB06G29940 transcript:OB06G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT4G34150) TAIR;Acc:AT4G34150] MSIQGQVLEVRVTGCRKLRDTEFFSRQDPYVCIEYATTKVRTRTCTDGGRNPTFDEKFHIPLIEGLRELSISVWNSNTLTHDDFIGNGRVQLHKVLTRGYDDSSWPLQTRHMRSAGEVTLIMHFDVSAMSKPGKIAATPTTYSVPPVPVPAVPYAVPSPSYAPPPAGYPIIPPYQGYPTSHVPAPYPAPAYTHPPPLQVRDAEQKAYPPTTYPPQPYPPQPREQPYLPQPQGQPYPPQPQGQPYPPQPYGQVYPPPPEGQSTYPPAPYPPTYPPAPY >OB06G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17618787:17624323:-1 gene:OB06G29950 transcript:OB06G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34100) TAIR;Acc:AT4G34100] MKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNVDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLAKMMPFTETAISLANDTLKSALNAVKNLSSDSHNEGVIGHVIEVVTQSLKINATSLTVMQATGKNSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFVYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTMSPLASSSIHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRHEENGGQENWNGRAGRERAHGGREVVAPQLEQRMIQHVADNQNGRGNPNENSEVAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRTKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPHSSESGGTTASDDDEPEQALIPRDQEGELGLRFRRHIMRGNQQPRMAA >OB06G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17634904:17637490:-1 gene:OB06G29960 transcript:OB06G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKGAFYGVGGIALAMHRDDEEAVVVVEEEELEEGSGSIFGFSVPAGGDFVRPAVVTQQFFPMTVAAAEQRLAMEQCHVAAAGAEQWPRPPSRKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKEDIKKMNNFSKEEFVQVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIKCCGKEAVTNFDTQAYEDELNLQSWECEVDLELSLGCSGGERAGDPATDGVLHSAPGNQRTSLTFNLPEVEEETRACHGQRSIWSRPSLAPAMADGGAAVRPDQHHYHLHPSSRSMLLMSQVISSSGGGGRQGAAAAAELHMRPRHGWPNGDNNWQPYAARPRLPGADDNAAAASSGFPMGQVATASSRSRSSSSTATGR >OB06G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17640475:17640678:-1 gene:OB06G29970 transcript:OB06G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEKEEQGPTRYSWIGLLWKYFACLHLIPFPSQLFMMDSTAWRSSTIKARPSSPLASSSSSSSSLA >OB06G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17641323:17641676:1 gene:OB06G29980 transcript:OB06G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVCVQGGNQMLQKEELPRSSQCNSTKLLYLSTKPTVPHYHWVHLEQSKFWSCLNFPLLYLNGEVHSIVLSYKDVLLHINSVQRSMRTYTKIFVNTTTQLIVVDNKHIIQHCFLQR >OB06G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17643938:17644090:1 gene:OB06G29990 transcript:OB06G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCWSGPIQSSPNTTRPKFAPRSWLPYQILVASLRRYNHKHSSNPIQLPVI >OB06G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17644554:17647929:1 gene:OB06G30000 transcript:OB06G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G79915) TAIR;Acc:AT1G79915] MEAGDGRGGAEEEQVMSEVHLGCPPRLSGLYVSRFSFSSRPPVPHAGSGGGRGECSGACEKVTAATSSSCGFPADAVAVDEDGDLVLDRRRKNRDRSDHVITVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVARKIFITDRGTDILDNCLENVRLNSSMLKFDEAKAYVRELDWKVSWPPPVFTGNTSNTSSRYLWSTNEIEAAEEATALFAADVIYSDDLTDLFFSTAKELMSRGAKKVLYLTLEKRYNFSLDELDVVANGYEHFRSFFTVQDESGFLEDNTCRPGFVGKQMNLADVPQYIREYDRGKDLEMWKIRYNPNPE >OB06G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17647156:17648226:-1 gene:OB06G30010 transcript:OB06G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMHHHHHHHDDSPSHHHHRHHHHHHHHDAAAADDTQWRRRQQIVAQIIGNTDDRDPSSPSVAVDAAPLLEPNPAAAGGDAALPAESGACACLVAILVIILLLIIYG >OB06G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17653581:17655465:-1 gene:OB06G30020 transcript:OB06G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGQTVGRSSFSRAAAPHVAPSSTAAGVKLGPNGAAFVSSGIRDLDRILGGGFLLGSVVMVMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAPVASSKEDGRHRGMEAGTTSDGRASDEALRIAWQYKKYFGEEKTSHAEQRDKQEFSNDFDLRKPLERHLLNAQNIECVSTQEGDTLGVLQDRCSTFLSKLPRKDGGNANAGRIAIQSLCAPQSGYFEKERLGLGLIY >OB06G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17661566:17665065:1 gene:OB06G30030 transcript:OB06G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32250) TAIR;Acc:AT4G32250] MEGERSDSQSAESFEYMLLERDPDLYRTVFSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSARFDEIFGKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDRMARLKGGRVPLSDVLRYGADLARGIIDLHSRGMLILNLKPCNFLLDEHDRAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLSGIQAWRGKSPDEVYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPLMTDILNAFESAKDVDYENNDGGSSENLRVDRPSLPSRTDWSLFKDKLQVGDKVRSRKLKNSCSPRTMEVPDGTIVGMEDNGGRHGYILVRVHGLHDPLKVRSSTVERVTYGFAGGDWVRLREDDKKRSQVGILHSIDRNGTVYVGLIGMDTLWRGEYSDLQMAEAYCVGQFVRLKANISSPRFEWQQKRGGGLATGRISQILPNGCLVVKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWVVRPLFIAVGFFTALKLGIFVGKGIVRPRSRKVASVSDQSDHQQEQQVVQNNANAAWLPPTVANMLFRDGPTPSG >OB06G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17677739:17679272:1 gene:OB06G30040 transcript:OB06G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCRRGPGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVSALVADTTVRAVMGDRCKDRDVFLEELGRSIQLAGGFNPADLWPSSRLAGLLSRAVRQAVECRDTVFGILDGIIQERLERTAEDAAAAAGDDLLDVLLRIQKEGGLQFPLDMEAIKAIIFDIFGAGSETSATTLEWAIAELVRKPEAMKKATDEVRRAFAADGAVSEGALGELKYLHLVVRETFRLHAPVPLLLPRECQEPCQVLGYDVPRGTQVVVNAWALGRDEQYWPGGPPEEFRPERFADGGASAGVDFRGADFELLPFGAGRRMCPGMAFGLANVELPLASLLFHFDWEAPGVKDPAELDMTEAFGITARRKASLLLRPTLRVPVPPAM >OB06G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17686481:17690356:1 gene:OB06G30050 transcript:OB06G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALSSLVSDSTVRAVMGDRCKHREAFLRENERTIELASGFNPADLWPSSRLAGWLSGAVRRAEKCRDTVFGILDGIIQERLERTAEDAAAAAGDDLLDGLLRIQEGGGLQFPLDMEAIKAVIFDIFSAGSETSVTTLEWVIAELVRNPNAMKKATAEVRRAFAGAGAVSEGALGELRYLQHVLVNVWALGRDERYWPGGSPEEFRPERFEDDGPAAGVDFRGANFELLPFGGGRADPSEFDMSVAFGLSGRRKASLLLRPTLRFPVPGF >OB06G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17693256:17695110:-1 gene:OB06G30060 transcript:OB06G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILVYLLVVAALALVPLVYLRHAARRRPVXXXXXXXXXXXXXXLPHRAMRDLARRHGPLMLLRLGELPVVVASSADAAREVMKTKDLDFATRPMSRMTRLIFPEGAEGIIFAPYSDRWRELRKICTVELLSARRVQSFRAVREEEVGRLLRAVAAAAPPAQPVNLSELVSAYAADSSVRAIIGSRFKNRDAFLAMLGRGLKLFAKPALPDLYPSSRLAMLLSRMPRRMKQHHRDMVAFLDAIIQEHQENRAAGDDDDDKEDLLDVLLRIQREGQLQFPLTTESVKTTVGDMLVGGSETTATTLDWIMAELVRNPKVMKKVQDEIRRELAGHGKVTEDALGRLNYMHLVIKEALRLHPPGTLLLPRECRRTCQVLGFDVPKESTVFLNVWAISRDPKYWDKAEEFIPERFERSDIDFKGTYFEYTPFGAGRRMCPGMAFGLANVELTLASLLYHFNWELQSGMQTSDLDMTEEIRFTTRRLYDLLLVPIVHVPLSVGTS >OB06G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17697224:17702741:-1 gene:OB06G30070 transcript:OB06G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFGELPVVVASSADAAREIMKTHDLAFATRPISRTTRLVLPDGAEGVIFAPYDEAWRQLRKICTLELLSARRVQSFRAVREQEVPRPPPARGGAPARSPEGPAINLSKMISSYVADSSSRFRDRETFFRLMERGIKLFSGPSLPDLFPSSRLAALLSRAPGRMERQRKEMMAFMEAIIEEHQAAREAGSMELEKEDLVDVLLRVQIDGSLQFSLSPANIKAAIADMFAGGSETAATALQWIMAELIRNPRVMQKVQDEVRRVLAGHEKVTEDAMSSLNYMHLVIKETLRLHPPVPLLLPRECRETCQVLGFDVPKGTMVLVNAWAISRDPRYWDNAEEFIPERFEHSNIDFKGTNFEYTPFGAGRRMCPGIAFGLANVELTLASLLYHFDWQLPRGMEPMDLDMTEEMVVSARRLHDLLLVPVVRVPCQ >OB06G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17702741:17702956:-1 gene:OB06G30080 transcript:OB06G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHLYQLLFLAALIILPLANFIRSARHRRRSGLRPPRGPWALPVIGHLHPLAGNVRTTSCVTSLAGTAR >OB06G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17707376:17708528:-1 gene:OB06G30090 transcript:OB06G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSLSPADPVNVSSLVAKYVTDAVVRAVVGDRISDRDAFLERLEEGVKVAAGFTLADVFPLLRLARALSRTARRAEAHSREMTRLMDVVIEEHRQRRAAAGWRDEEDEDLLDVLLRIQKDGGLPIPLDKGTVRAVIIDLFTAGSETTGTTLQWAMAELMRNQAALHRAQAEVRRVLSGKSHVAEDALPELHYLHLVIKETLRLHAAVPLLLPRECQEPRRVIGHDVPEGAMVLVNAWAICRDAAAWGPDAEEFRPERFDGSAVDLRGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELPGGVAPDELDMAEGLGITARRKNDLWLNATVRVPVHINTS >OB06G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17709379:17712930:-1 gene:OB06G30100 transcript:OB06G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVAGSRKRGLADILDPAFLDDPFPLPSHHLAKRGRRSSAAASAAELGISLEFHPVEVLRLVFPKEDPQCLQNHFEACGNVLDAAIRGYKDHLESDTENGKDIFSPQVAYESPAMNIPSNGAEWAELVVKEMSSALDLVDAKNRAFRILDLFEKGTVQRTSADATQKLSEEHKILKLMLGGLLEQNGVLKRAFLKQHSRLNDYEKMSQERSQIIVEYEKKIKALEERSQIIVEYEMKIKALEHRNYVLSYHLAQATQHGSISGNFNPDIC >OB06G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17713277:17716398:-1 gene:OB06G30110 transcript:OB06G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADDLLPPSPPSPHPHHHHHHPAKRSRCCSPHRAFDAHRREANPPHLISLFPGMDPQLLEGALDASGDDLDSAIKSLNDLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGATGTATEHPSVVDSSQTGNSGSEWVELFVREMTNASDMDDARARASRALEVLEKSIVERSGADAAQNLQKENIMLKEQLSVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVLQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >OB06G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17719035:17726327:-1 gene:OB06G30120 transcript:OB06G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSARKKAPSPPRHRHDGTSGLPLGMDWSPPPKRWEGRNTVWPHNPQTGWSYCVMIPSWITQTPEANATPDNFLNSVVFYRVHVGIQSPEGISSSHGILRRFSDFLKLSSDLKQAFPRKGIPAAPPKHAFSRINSSRLLLEERRNALEEWMQKLLSDIEFSRSAPVAAFLELEAAARSYFQDWNQHPSEAGSSAKSSTDSSPHPAEHGSGVLTESSQISSALAHGTGLTGATGNGVLGDSILDQPNERVSSMLNHRKENHVFVEHGVRNGSVDTHKGIISEEDHDSNPGHARKDSAESLGSDLSSLRGSELSVPGVSSSLGIDEHSQTEQFTGLDMQLLYDMDAQIILPEDQRQKFARLLITMERRQATAKTDMEDLIARVNQEVAVKEYLATKVKDLEVELEATKQKGREVLQQAILAEREKITQMQWDMDELRRRNSEMESNLKIEQNEKTRVQSEKTTASGENEMLLEELETKRKEVESLQQHLEEVEAKSKADIKVLVKEVKSLRNSQKDMKKVLNQYHEEKTELERIVNREKQRSTRARLSREKILHECRLLRERLQECTAKFLADEQVNIAIDPSSLPDALDLVTTSDNRIRLLVAEAQLLPRDDEQDSSDDGDNSDGKSSVAMNSEDAYLTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGIVPKKTVLNWLLDR >OB06G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17727786:17731096:-1 gene:OB06G30130 transcript:OB06G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3MG69] MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYERRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALLSSVDRDCLSGWGGYVMVVTPTEVQERVLKARMD >OB06G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17733186:17733803:1 gene:OB06G30140 transcript:OB06G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDSQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPVAKEKEKQVDEDDLTRRLAELKARG >OB06G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17736944:17737135:-1 gene:OB06G30150 transcript:OB06G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAQQRELVTRAGAVRWLGRCRTAAGLGRLCSRWPARFQVAAGPAGLERKLWAPRVPRAAGIA >OB06G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17743206:17743982:1 gene:OB06G30160 transcript:OB06G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G10940) TAIR;Acc:AT2G10940] MVAANLRPFLFPLLLLLSPAVAPILAEYDPECDCEKPKNPKPSHPPKTKPSYPSPKNPRPPKSPTYPSPPVTRPPKSPSYRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPPPPCIPPPVTYPPPVVTPPVTYPPPTTTPCPPPPPPPTSQRCPVDSLKIGACVDLLGGLVHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIKLKLLNINIYLPLALQLLLTCGKNPPPGYTCAI >OB06G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17745580:17748491:-1 gene:OB06G30170 transcript:OB06G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDAKVVVGVTRVAFERAMVGRTIVAARRRGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTQYKRSVVSSTDEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFEDPEIVPPISELGSDALFEPMCVDTFADSLSGKKIGIKALLLDQSFISGIGNWIADEVLYQSRTHPLQIASSLSRESCEALHRSIQEVVKYAVEVDADCDRFPEEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLTGMQSASNKLVVSNPKQVAENDDAKGIETDSEDADNTRKRVATSKAARGKQNSDAIGAGSRKRKNGGGKEKPSSDDGEDDTETAELDIVSINSKGEIADPVTRRSSRNTSKPRQVFKSKGR >OB06G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17751129:17754670:-1 gene:OB06G30180 transcript:OB06G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAMVMESAYQELAPAPVKGGGGGGGGKKKRKQKREGGGERRKECRLVSYHELPDYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFVVFFGLTVLHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSVRRGVAHAGEPGGAGGGGAAGGDDAVAVLRVPRRRHVLPAEQRGVPPPVVPLPPPQPVPDPPRLHRHRRHDRGVLLPADLLHLPVRAAVAGGVPVGDHRGRRGHRVRAHVAQAERRQVPRPPRAAVRRHGPLRRRPGRPRRRRQLARAAPERDAGVRGRHGAVLPHRHGVLPLPRAGAVVPRQVRPLRPQPPDLPRPRHRRRARPLRRRHGLHPGARRDGLPGLATLTTHRHSLVIISYSRLHKKKTAYSSRRSIDRSN >OB06G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17751245:17751940:1 gene:OB06G30190 transcript:OB06G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAHLVARLDEDHGGGVVGERAGDDEGVEDLVAVAAEVELAGAPPLRHAGEVERRAGEVGQRHGALVRQRHVPARLVPVDGDGVDGRDDAGEAHADEQQRAVGAVPGGAQPGRHERVHGAHAGRGDRRQVHHLPPRLALEDVVDRREEGHHDHDGDAGVVEADQEQVEAVGVARQEVARRAAQQAEHGAGEEHEERPPRRRRLRRHRRQRRRARLHERRRALAMQHRTQSLT >OB06G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17756956:17765375:-1 gene:OB06G30200 transcript:OB06G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLEAILDAGKGASAAAGVGGVGVGGGGGGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEETNRLLKRRLEAEKPRVDTNSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNFDRSYGEPVEALASASFKNFKQERGENSGAYIIRVPFGPKDKYLPKEHLWPFIQEFVDGALSHIVQMSRAIGEEISCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMAYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCFGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRYPAFPSNGDQIKAPIKGRKHITVIAVDSVSKEDLVRIIRNSIEAICTEKLSGSTGFVLSTSLTIAEINTLLITAGLLPTDFDAFICNSGSDLYYPSRSGDTQRNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIDLTNVVVLVGETGDSDYEELFGGLHKTVILKGEFSTPANRIHTVRRYPLQDVVALDSPNIIGLEGYGIDDMRSALKQLGTRTQ >OB06G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17775461:17779514:-1 gene:OB06G30210 transcript:OB06G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 26 [Source:Projected from Arabidopsis thaliana (AT5G34850) TAIR;Acc:AT5G34850] MMGWRLALLLLHVLLCLVNGVSSGRTSSYVRTEYPSTDIPLESEWFAAPNGYNAPQQVHITQGDYNGKAVIVSWVTVAEPGPSEVFYGKNEHQYDQRAEGTVKNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSSREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSQGQTVLFVGDLSYADRYQHNDGIRWDSWGRLVEHSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRYQTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKNELKHVDREKTPWLIVLMHAPMYNSNEAHYMEGESIRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPMADKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKNVPADNVVFHNQYWASNTRRRRLKKNHFRLGRIEDLISIF >OB06G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17782411:17785667:1 gene:OB06G30220 transcript:OB06G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVPRLLVALFAVAVACAGAAAAYQPGSAEGYTIAGRVKIDAASASAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSLGYLFSPVRVDISARNPGHIQAALTENRRVLNELVFEPLREEQYYETREPFSIMSLLKSPMGLMLGFMVIMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >OB06G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17794565:17800046:1 gene:OB06G30230 transcript:OB06G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAILPDLATQVLVPAAAVVGIAFAVAQWVLVSKVKMMPVERRGEGSASGPGKNGGASEYLIEEEEGLNEHNVVEKCSEIQNAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >OB06G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17800873:17801046:1 gene:OB06G30240 transcript:OB06G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAGRQRKYLNLAESDKKLTSPSLDIAHQRQCKYLYKLKGRSSTSTIGPNLCNRH >OB06G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17803661:17811411:1 gene:OB06G30250 transcript:OB06G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MG81] MASEIEVLEDTTAAASVPPPSPTADGEEEGPAAADDDSQKNDVYTAAAYGDLEKLQRLGGGGGRPGTETDGPGDHAPPGAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLYLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNAKRVYDRGCDGNTSFGKLSKLGLAPVLWCMIIGLLATYIHSVISGQYMMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFIALEVFAMIITGCAAIIRIVRDPASPASFVPWLSYSAFNHPGALSFLIMDLFLFFGVAVLTVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGVRKNCSEFLINGYNEDIERLDHTSHADEEIGMIQMTSTVSQNGEGPSHLGNGTGHACADSHANSTSHSPSSSQCCDHSKKTDRTPLGLGPGLGRNSASRQFVRSLLPL >OB06G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17812403:17820019:1 gene:OB06G30260 transcript:OB06G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G04940) TAIR;Acc:AT4G04940] MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLCGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSRHEEKVNMLYLFGEYILSADIEGNVFIWSFRGAEPNSEPVGNISLGDKFTPTCIMHPDTYLNKVIIGSEEGPLQLWNISTKKKLYEFKGWNSSVCSCVSSPALDVIAVGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISLWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLRLKEEDIKLKPVIAFDCAEIRARDWCNVVTCHTDTAQAYVWRLQNFVIGEHVLTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTLLAIKRAHDSEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGILATVADDMVLILFDTVAMKMVRKFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSINVDNYASGKHVRNVLLPTVSSTEKSEEEPIHISEDSKESQIKSYIIRDHQIPNLITLSLLPKSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDNSTENTSHKKMADLSSHFSRLLQSCGDTKNYSPFTDYLKGLSPSSLDMELRVLQIIDDDSPENLKERPELHSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRCHSILQDKVKKLLDVQSLVWQMIDKMFQSTRCMVTFLSNSQF >OB06G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17821609:17823048:-1 gene:OB06G30270 transcript:OB06G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYTSLPLTSIELQLKSEVANGGGYNGHAKISKQDSFLGEGEDGGGEHDELSLIGDGPAGPPEGSGVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGVLSEINIELLVRFAVYCRALSYGEVVHRALGRPASIAAQMCVIINTAGGLIVYLIIIGDVMSGSLKHIGVLDQLIGHGEWDNRRLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCAIALVKVIEGKISMPRMGPDFSSSAAILDLLVVIPIMTNAYICHFNVQPIYNELKVKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYIIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKRMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALVALRLDKEGKSLGKGMRVLSLVMLGLAVVVSIIGVIGNVYSLKSKSE >OB06G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17827005:17828453:-1 gene:OB06G30280 transcript:OB06G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYTSLPLTSIELQPGQLKSEVANGGGYNGHAKISKQDSFLGEGEDGGGEHDELSLIGDGPAGPPEGSGVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGVLSEVTIELLVRFAVYCRALSYGEVVHRALGRPASVAAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVLDQLIGHGEWDNRRLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCAIALVKVIEGKISMPRMGPDFSSSAAILDLLVVIPIMTNAYICHFNVQPIYNELKVKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYIIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKRMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALVALRLDKEGKSLGKGMRVLSLVMLGLAVVVSIIGVIGNVYSLKSKSE >OB06G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17829959:17833006:-1 gene:OB06G30290 transcript:OB06G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mannose-6-phosphate receptor, binding (InterPro:IPR009011), Glucosidase II b /.../bunit-like (InterPro:IPR012913); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35080) TAIR;Acc:AT5G35080] MGFAVRARVLLFFVAGAXXXAATVTADQIFTSSGAPFGRNSREPRYHVEFHPVDAPFNPEIGQESVPMTNHEGKHYTCFLPVEETKTMKSIIPQNASNVIIESERRIKPKDPDELLEILKDQCFYRREGWWSYEFCYYGRIRQVHVEGEKVIQEYVLGEYDADATDAYYENQSSESADEDNNMIDTSKRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPTVLISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAGDIVDDDSLPKEAQISIIHDPDELHNYAAYAT >OB06G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17835009:17847473:1 gene:OB06G30300 transcript:OB06G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPAAEERVLQLWAELDAFHEQLRRTDGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRSIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDIKFMESVWWVFAQLWKKDLVYKGFKVMPYSTGCKTALSNFEAALDYRNVPDPAVMVSFPIVGDVDNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDRSTGMVYVVAESRLGQLPAKAKASGKKQAPSKGSTTEVVQGGLDTEAYELLGKFPGSSLVGLKYIPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEGGGLVVAVDDDGCFIEKISEFRGLYVKDADKDIISSVKDKGRLVSRGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDDITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRGLFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFLVQNAKRLEIEGLSAFSPIDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSSKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISLFGHCLKLDDIKVIRQFKRPANVTENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPLDDEKNTLVEILQSQDQYIRDALGNPLIPKVVAPPEAVMICEESHNVHDMSFVIYIARCSSVVTPDLLEHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFSPIDLQLGKHVFLSAGDFYMASRS >OB06G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17848301:17849007:-1 gene:OB06G30310 transcript:OB06G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMDWYAWLAKTGLAPALAYEYGRLFNQNELERGDAAHFDHDLLKSMGIAVAKHRLEILKLARKDAAAAGGGGEGEDSSSAYARLVRKAGWCIARCARRLARDAAGGGGGKGSSVTVVPRICSGDDAVRVGAVQQTRRRRSVKKMVLMITDGAAVARGGGGGGRFSGSQKASLMFHDCYEDDDVDVDEEAQCGAGPVGDGEEDEEEERCSDGGGADGEIKWDSMFQDLKPT >OB06G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17855724:17861707:-1 gene:OB06G30320 transcript:OB06G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEFFFLGSGDGIPVIPVPAILPPVGTGSCNRPTSNRPLYNEVLFSYLVMTDGIRRVKTLVACCCRCCCRRGGGGDGNGGYSYSRAVFAASLVLLLLFTAAAIIGCVVLYDGQGKFHGSTTATLRFVVNQSDGAVASLRGFTGFIETAKAAAVEKVSLPADLQGKVDDVVRRVDASADELAARTTSNSRKIRTALETIRKILIAVAAVMLAMAFLGLVFSLCGLKSLVYTLVIFGWILVTATFILSGTFLLLHNAVGDTCVAMDEWVQHPQDHTALDDILPCVDAAATSEALRRSKEVNYQIVSVLNNLVSTVANANVTP >OB06G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17861456:17877850:-1 gene:OB06G30330 transcript:OB06G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYEEPSGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFASNAVYASVSSKVSVFDEENYDEDEEPPNNNDLPGDNTAQNCSTALADQLDMTLSNGNLAVEKMPSSLSEPEESIEREAFQKETVTEEQLESKAATSLPVLCIEDGSVILRFSEIFGAQEPVKKAKTDRHKHPVNKDFQITNVTDIVEEDEEVFLRSTIQNLSALRHIKKNDDFVGSDSDESTSDVALRLKDSWPSEQPMKDKDIPTALPSPVFPDFYPLEHEDWENDIVWGNSPTTAIQPCLTSCVISEESLDDHSKDQANGCGYVSRCCDVQSEFQHSSVVADPFGCIEMPDSTNYHSTENSYSPLRKETAQENKSLGEPNNITEPVKIDTMRHLNKLSLLNKELLEGSWLDNIVWDPSEDAPKPKLIFDLKDDHMLFEILDEKNSGHLRSHARAMLVSRPMKTSTVENIDHNNQATTLSGRFNISNDKFYSNRKMSQQARSHAKKRASMGLKVVHSVPAQKLQTMKPKLSVKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAVVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPSDQTAASLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVASTDYLLVRSPKGMLSLRRIDKLYTVGQQEPHMEVFSPTTKNVQNYILNRILVYVYREFRAREKPGIFPQIRADELPIQPPVTEAIMRKRLKHCADLRKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNRLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPISNATHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGDENASDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTRAQINEEIQDDLEEAALVEKLLEEGDSDTKRKKQPVETTNYITPMYNQGNKMKQGKAGQMIKSSAYSSALTTRESTPRDAKEVENFAEGSLPSKLRTKTLFDANDDIILVKRKNVPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPEASEMDANSIRSHPPDIASNSQMKTSNKRLVAKVSSEALEAEVPESIEKTKPVPVKFKCGAPEKSLDRNMSLSASLVSDKRMMETADLKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDPLPRKKIIIKQPKVLGDQEKPIELRSGQEPRKIRKIVELSSFEKRNSEDENDFSGGPIQMNSSHDRGWGLVGKRSKGIMENGESWRAFEEQRERQEQRLIEARMYDVRREEELQKAKKKNKKKKKHEFRDDDLLDARPYKNDRRVPERGRAAKRHTPADLTEYAPSAKRHRGGEVELSNILEKIVDHLRSTSFAYIFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDMAQIALNAHLYNDDRHPHIPPLADQLLQMCDYLLEESAELLDDAEYAIED >OB06G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17878952:17882416:-1 gene:OB06G30340 transcript:OB06G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41040) TAIR;Acc:AT2G41040] MELAVRAAAAASCSSGTSLQLPRAHAPVRFRLHRHRHHPARRVAVAAAIAVEQESKVQQSNNSETEVFACPVCYEPLIRKGPSGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVTGGVLLDVSCGSGLFTRKFAKSGSYSAVIALDFSENMLRQCYEFIQQDDTLLNTNLALVRADISRLPFASCSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQVVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRAFIMFSGQKPY >OB06G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17888169:17888480:1 gene:OB06G30350 transcript:OB06G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPTSPSSLRHKLRTTVCCCFGSGETRRGGSGEKVRWRRRVAAGEFGYDPLSYALNFDEGGDDDDGDADAGAAFRYKNFSSRLPPSPAAPAQRSTAIAIS >OB06G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17904665:17909120:1 gene:OB06G30360 transcript:OB06G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHREAMQGLNQVVPGNGGRLEDCNMCLKALPHAHSDPVVTEYGSEMQGGPAPETVPVYMSLRPEDVARIMMPERAVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQQQQLSPQQLPATYGFSQIPVIPNEKDRVVSPGSAHSDIASSHQHIMQQPPPPPQQLPSSQGMAQYPVKQNSPNNPLTGEGSLSGNARHREDGPTRRDNVSPGAPAAVPTYMANVDRMMDSLRVSPSEASSGSTEQRKQVMPSENGVPEHTQGFPDSRAREVRESNTNTFFDVSEPKVVLPTEPAPSPSIASSYLHNVQHTNVSHMPHMMSIGGPYSSYVVAAVGPGGVPPSAYGVDLVYANAAVNPLSERKDVPHEVVAPPNANAQVPAAALANHAPNVDQIQESGLQGQQFNNEDPWKVVANTHALPPRPKRVASRDNISPKDPHSHNSLLNCKGPDLNIPAEEVAIHQQSDHKDAHTEHVRFAKGDDMTSPDLVSVEDSLVTSNTKSSDPQPPVLNDGVGAVASKVDAEAHGNEVNKSRPADWISGFPATDDLGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRYDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDRRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPPVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASQKVQA >OB06G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17912752:17915706:1 gene:OB06G30370 transcript:OB06G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT5G13450) TAIR;Acc:AT5G13450] MAARYLRSGLPLLRAHLAQGSRGLASQVAKPTGKDIKVPQALYGGTGNYASALFLTAAKANVLDKVESEIRDIVEASKKSPLFSQFIKDLSVPKETRVKAITEIFSQAGFSDVTNNFLAVLADNGRLKHIERIAERFVDLTMAHRGEVKVVVRTIIPLPEREEKELKDVLQDILGKNKTILLEQKIDYSIMGGLVIEFGQKVFDMSIRTRAKQMEMFLRQPLDF >OB06G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17916383:17918748:-1 gene:OB06G30380 transcript:OB06G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRSNAGDGKAEQSW >OB06G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17928767:17934965:-1 gene:OB06G30390 transcript:OB06G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADAEACAVAAAADIICSLRAADLDGWTPPWRTAAAKGKEVVVVGEEEELAWPTVARGKRSRSRRRSPSGSGSAATKGRWARGSPASPLDYSGASTSGGEDGAFCSPPVTVTAAAAATTTTTAPAETPTPSPAKVGPAGRRQLILPTPPPRPAGQRPRKKMRLPEIQQLVRSLTVENDGLREEMVALQRACTVLFKENSKLETRLEESSKRNGIKSEGQQALPQHDQHAANQDDQNGFVLPDLNLPAQDMADGTTAP >OB06G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17935646:17935852:1 gene:OB06G30400 transcript:OB06G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSFSGDVRRVGGRFFFPSSNSFLFFFLPLSDITAASVAVDLGSDPVACLCSHPSRWATRLERRKRRS >OB06G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17941814:17945269:-1 gene:OB06G30410 transcript:OB06G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPAAAAAPACSAIRPAQQTVRAQTDRRGLECRARFGTRKLSWAGKLSAENSAVHLCSSLTGNLRRQRRQHSSVLQVRCYAITGDQHESIAIQFEEILEEVSKKLGAFYRFCRPHTIFGTIIGITSVSLLPMRSLDDFTTKVLWGFLEALSSALCMNVYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMSIAIGIRSKSAPLLCALLISFFLGSAYSVDAPLLRWKRNAFLAAFCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKPVVFATLFMCCFSAVIALFKDIPDIDGDRHFGVQSLSVRLGPERVYWLCINILLTAYGAAILAGASSTKLSQMIITVFGHGMLAFALWQRAQECDVENKAWITSFYMFIWKLFYAEYFLIPFVQ >OB06G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17948103:17949884:1 gene:OB06G30420 transcript:OB06G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 [Source:UniProtKB/TrEMBL;Acc:J3MG98] MKTHKASAKRFRVTGRGKIVRRRAGKQHLLGKKNTKRRKRLSKMVQVNKSDYNNVTGALPYLKVNRNAE >OB06G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17954092:17954853:-1 gene:OB06G30430 transcript:OB06G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLKPSISSMCTSLITRSKSSVLSLSIRSAVSASLVVVAASDRTKSNKNREHEQEAAQNPAKKRHFFNKTRVNIGGKNAKNTLSHSPWYLQRRSKVSSSMRQTGLSSTASTRIPSGNLPAASVPCVSAMFFFPSIPLAKKPKLDQRPRIPPPRTPLTNPRTPRNPQTNPRTPRNPLRTPKDPTFSDGFTRVLAQNSTRTGSSSSEQDHGVVHAAARGEGFAAYMGEGWSGLGRQTGSNSCTPSLRNLEGV >OB06G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17954459:17959115:1 gene:OB06G30440 transcript:OB06G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETHGTEAAGKFPEGMRVLAVDDNPVCLMLLETLLRRCKYHATTTRDAETALRMLRERTEDFDLVISDVHMLEMDGFRLLELIGLEMDLPVIMQSANGELETMMKGVTHGACDYLVKPVQLKDIKNIWQHVVRKRKPDLRSHNRGDNDSADQKVQSGNAEGGRVGATRTRRKYSRKKRSDGDNYDGNKENMEFSTQKRTRVVWTADLHRDFVEAVNQLGVDNAVPKKILQIMKVNYMTRENIASHLQKYRLYLKRISDYTGMSPDQFPARWRYMNELQCLKNYHEHGRYHLSPAIASSNSSNPFARMNSASAMGTHGLLPTQSVQLRNSKSSLGMRTVGHGGSPLSHAVSEPLRYSSNSRLPHARNCFPSGPSGSSLANISNGSVLDTNYSSNSYADMFCKKLWETNCGFLAHANQFSVQSNCGFSALANQCPEQSNGEFLAPASRFPVQLNGGVLVPANQCPVQSNGGFLAPANQLPVQSNCRFLAPADQFPVQYPDLNNHPLVQMNPPSTNLLSTVGNTHHFPDLGNCSKSWQTTAPSMFHGLYHKDGTSLGPSQTSIPNINQLSNFATSSGQVLMFGNELHGPMSTIMSDSTSVTDFNEQMGPFNIGNNTSSTEMLHRSFTLGSNSSISPTIPSDSSISSTFPGLQVDSFAMPTQMLNGGDTNGIFPVLSDTIDQQDIFDQLDDNNGFLTRTNGPEGTGTLDDIVAGLFNHDFAKGDGAVVDEGQEFVP >OB06G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17959944:17965476:-1 gene:OB06G30450 transcript:OB06G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24280) TAIR;Acc:AT2G24280] MALLRPPLAAAVAALLLLAASAAAAVAAGTVFPGDPPLQLRAQAARRPASSGGGRYAVSAXXXXXXXXXXXXXXFTARYFPQELDHFTFTPNSSALFYQKYLVNDTFWRRSSGAGGDTAGPIFVYTGNEGDIEWFATNTGFMFDIAPRFGAMLVFIEHRFYGESKPFGNDSNSSAEKLGYLTSTQALADFAVLITSLKQNLSAVAAPVVVFGGSYGGMLASWFRLKYPHVTIGAVASSAPILQFDYITSWSSFYDAVSQDYKSESLNCFSVIKAAWDLMDERGSTDAGLLQLSKIFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPVKEMCKIIDGFPAGADIVDKAFAAASLYYNYTGDQTCFELEDGGDPHGLSGWGWQACTEMVMPMTISNESMFPPFTFTYEGKSDDCFQSYGVRPRPHWITTEYGGNKIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIFALVTEKGAHHLDFRSATKDDPDWVVEQRRQEVEIIQGWIDQYNKDIAQMSK >OB06G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17970232:17970453:1 gene:OB06G30460 transcript:OB06G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSGLLVREENGNNTEGTCAETLTNNEDKKCIKRLNCVLPEYLSFQFSDKAQAASQCSQKAYSRAQTNWATL >OB06G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17976203:17976626:-1 gene:OB06G30470 transcript:OB06G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPVPERVGQDVGLSNKLGLQPAIVLALNLNHTRLADDPSSERPQHPDSHL >OB06G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17981298:17984340:-1 gene:OB06G30480 transcript:OB06G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVYSGLSGGGGACLGLTVGVPCLYSWLSGGGGACPGLMLGVPCLYSGLGGGRGCLPGIDAGSAMLLITENCSPKKLRFRMAAMRKDAMALVPLLSVVATWMSALLGPSLPHLPRLASIGVEAIASAAADVVACAASGDGDLAVVVVAPRMVILTNDNQGTCIMLSRLWSETWGLGLHDPVKANLSPFL >OB06G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17981719:17982565:1 gene:OB06G30490 transcript:OB06G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRQVFSSLESPEENREQSIPYKKMSNKQIKSIITVTSIHHEYIRSRINPPNPDLEATPDSTIGSQPLLLPTMHPRQWSSRGSTLEVGNCHSQRFKVELSKLSSLAQKFMHRFGFGFWVWEAWTIIKNTDVKTKHIYYTRVSRVPPRQKVDSDTTARSPSPDAAHATTSAAAEAMASTPMEARRGRCGRDGPKRADIQVATTLRRGTRAMASFLIAAIRNLNFFGEQFSVISN >OB06G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17994979:17995227:1 gene:OB06G30500 transcript:OB06G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQQTGKEVQQKYAGRETLFTQRRYKGLLWNSRYSQELVHFPRKYSQKILYSKGDQSGLRFAFNQSYRPNPHISCSTIYSS >OB06G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17996500:17997750:-1 gene:OB06G30510 transcript:OB06G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASCCFRRIRIPARSLAAVADDRKKPGLVEEWQIVKFPAAMRTEAVWLRWLSLVVVAASDFRFPGPSPITWDMLHHIGVEAIASVATNGVASAASDFRFETVLLRWI >OB06G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:17996980:17997582:1 gene:OB06G30520 transcript:OB06G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRRRAGELVRRRVEAEGTDGEIAPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSEAAAAGRSQRHPSSSPAVPDAIISHREREGMAPSERSPRVTPPPHRRGDLTLKPIFPVLQRAAANKSATKTGWIEEEEGERASWGSSPTEETNPTMDGSSVSRLSISDERRLRSWRGGRGEKERRLHGCVRFRARLTI >OB06G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18002362:18004198:-1 gene:OB06G30530 transcript:OB06G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGRRIVDYLNDGEELGIEGAAAVTPRSPALAAARSLLPRFGWARVASRIGRKGAGGKGKGKEVVVVEEVAVVETAAVASTSARAREGHTTATATTPDLGVGMSLVFLLAKTSDEFNKMAKVRAEMETLLREIKDQVRRSSEAGGGGDGDASKTHRNLESAAASSCLTDTNENERASGGHVEDHATSSNMEEEASCEKSAEHERFPRMDVLEEEFHTELELLQVNYGSDVRLFLPEEDDGEPMDEIAECRPEEELDDGDAGGEDEAAAEDDEDYEAEYNGVSAVELERRLHELLHQRNQERIEELELALKRAEKKLVEKEMEASMWKDTAKLALRQDSSMSW >OB06G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18017567:18020219:-1 gene:OB06G30540 transcript:OB06G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWIGQSSLSLDLNVGLPSTARKAPAAPIKVLVEENFLAVKKDHEVEALEAELRRAGEENKKLSEMLRAVVAKAAAAAGNHNAGNHQSSSTSGGGSVSLSRKRIRSADTLDDAHHRKPSPPFVAAAYVSPDQMECTSAAAAAAKRIVREDCKPKVSKRFVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDKTILVATYEGEHNHGQTPQHGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRKNLAEQMAVTLTRDPGFKAALVTALSGRILELSPSKN >OB06G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18048514:18057498:1 gene:OB06G30550 transcript:OB06G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRVSTSSVSDVELARSENDPARYYDGYSKLRTWAYSSLDLYKHELLRVLYPVFIHCFMDLVAEGHTQEARSFFCTFREDHELMHSRDLQKLEGILSPSHLEEMELARSLRQNKFRIKLCEYSYELLLQYLQKTQALVVLGIINERITFEVSAGQPSLISDDTDVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALLESDKTEAESKDADAEDKKKSSEGGKQGGSAKKVKKDKLVGGATGKTNKSETSLVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPPKTSSPSGENGSLGERISASDDGKRSYALFQGHSGPVYSAAFSPFGDFLLSASSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKVQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKALKTDDAKVGSTNRLRMLKALPTKSTPVYTLRFSRRNLLFAAGALSLG >OB06G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18072885:18073648:-1 gene:OB06G30560 transcript:OB06G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRWQKEIKSNRSEERKTDGSHCCVQSMRAYLEDNEVTCPCSLQKAEPPLPARVSERVFLQEIKEKTSWCRAASSSVGERKRERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERDRRRRGGRTGEADRKRSEHAAGGRHPADGSRRAVCSLSSVNLFSAPPSGRRAAMNAPSLSLSLLPSLPHSKFQPAH >OB06G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18073032:18073754:1 gene:OB06G30570 transcript:OB06G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPEGGAEKRLTEESEHTARRLPSAGCLPPAACSLLFLSASPVLPPRRLRSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLFLSPTDDEAARHQDVFSLISCKKTRSDTRAGRGGSAFCREQGHVTSLSSRYARIDCTQQCEPSVFLSSDRFDLISFCHRPACMPGSYYRGDQVDDADGEDGLGERRKGGEPPSHLSN >OB06G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18082377:18084575:1 gene:OB06G30580 transcript:OB06G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSCAQLSPRLRVRWTLDEASNSIDIGLEAAVGSEYYMAFGGAAPGPAEPSMIGADVAVAGFTEDGIPFADDYYITKYSECMMRTDGTAEGVCPDTIYDQHNDTAAGLVNNTRLVYGHRRDGVSFVRFSRPLVSPDKKYDVVVNATINMTVIWAIGLLRPPDSLRPYYLPLGHGALAGTAFGFATLNTSSTASGGCVGPLDAEDKEDQDRITAERNTPLVVTAGPSLHYPNPPSPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDAPLYITSDAVGGNATSRNATEVVYAGGESAEGVPAMPTELVWLPDRNTPDVVYYQSLYGPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGERKSGYLAVGFGTAMVNSYAYVGWVDGNGTGRVSSYWIDGKDGASVHETRENLTHTRCRSENGEIVFELTRPLSPSCSGRVECKNIIDPTTPLKVIWAMGSQWSSGRLSVKNMHSVTSNRPVRVLLLAGTGEAEQDLRPVLAVHGFMMFVAWGLLLPGGVMAARYLKHLKGDLWFQAHTYLQCSGMAVMFLGFLFAIAELRGFSFKSTHAKIGASASVLASLQLINAYLRPHLADNGEILTRTRMIWEYLHIITGRSALVVGAIALFTGLQHLGHRYGSKNIKGLTCGLILWAVGVTLVVAYLEFMAARRRRRDGGADGLSGKWVLGNTDEDDSVDLLQSSKKEPDSTEPMEVELEPLKG >OB06G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18087872:18090509:-1 gene:OB06G30590 transcript:OB06G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNIAAPEVETETDADARPVLVPGGNKAKSGPAAARKPSPKPLRKPDPAARTPEKPAAKEDEGARKNAASVGGGVAKGSSPLPSPRRAPSRKAHDALVHLNLSLDASCSSEASVESLRGRARLERSWSRPAVPRRGKTPVKVAAGKDAAVAEGFTPATPEALQGKRRCAWVTPTTDACYVIFHDEEWGVPVHDDKRLFELLVLSCALAELTWPEILKRRQLFREIFVDFDPVATSKINEKKLVAPGSIANSLLSEQKLRAVVENARQILKIVDEFGSFDQYCWGFLNHKPIVNKFRYPRQVPVKSPKADMISKDMVRRGFRGVGPTVIYSFMQAAGLTNDHLVSCFRFKECNEAPTLFTSDTNKVNGEADPSADELRAKICSKEMAAKAELSRTIDTLIIS >OB06G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18093410:18097804:-1 gene:OB06G30600 transcript:OB06G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRRVAGVLSTADVLQWLSGNATKNLDILAQYWQLLAQPNNPKSGDYGYSASDMVRFGADEGQRVYKELEKAADRKIKIRIVQHSGFAPDFDKESANLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFENLWKLSTLNATSYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPDVDGYPSLANPEMLDILFKTPGYKRSTQEHQLSYLSFAPPELSFDKFQADEQGWLDTIKSVKFGGLVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCNGKVEIKYYIVPGYNETGPALAQGAATGNRYPDFTRVNHGKYAVSDARANIGTSNLIWDYFYTTAGVSFGTYNPAIVAQLQDVFDADWFSPYTVPVKPLEASA >OB06G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18098398:18098679:1 gene:OB06G30610 transcript:OB06G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGSHRDLHCIPHGKSGDETLDKSSKRMDFFLSAGQDVRHITRVDAFKRRRWRMRACGGQHRGWIFPSMIGSRAKDPRRFGVQTLKSSTSS >OB06G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18100752:18103010:1 gene:OB06G30620 transcript:OB06G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >OB06G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18104828:18107786:-1 gene:OB06G30630 transcript:OB06G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMTVKVLVRVREFDMEKDLPAVEELERRCQVGLSGDMAAVHDRADDGDDDGVASREKKTSKKTKKKEKTGMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEKKMVGVIKACVKTVSRGGKQRKPYVKVAYLLGLRVSPSHRRLGIATALVRRAEEWCRERGAEHATMATTESNAASLALFTGRFGYAPFRRPEFIGHPKTVTEAKSISVYNYLMTTRKDLIKYSVINQKFLCPANGKLQASRFQFHGGQEMVVVVVMMAMYHCRRGPQRESATNLITSYKPNMAVFIGRSAEKIDFCRMVQAPGHAIDEATREKGLLQKCLWF >OB06G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18134565:18134789:1 gene:OB06G30640 transcript:OB06G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREQRTYRNKVKMVLQRDQLSMADCPSLHKIDSIRSCSTPDGTQLRIDTHVRHCATVHLLSSIRQLQLRNLSF >OB06G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18153096:18155822:1 gene:OB06G30650 transcript:OB06G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MGC1] MATHEPLSLALVLVVAVLALAGAARGFYLPGVAPRDFRKKDQLAVKVNQLSSIKTQLPYSYYSLPFCRPGTIVDSAENLGEVLRGDRIENSLYVFEMREPRLCLIVCRTVLSHEGAKDFEEKIDDEYRINMILDNLPLVVPIKRMDDHEAPTVYQHGVHVGVKGQYSGSNEEKHFIHNHFSFLVKYHRDENTDLARIVAFEVKPFSVKHEYDGDWKDSETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFSYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLESQEEAQEETGWKLVHGDVFRPPARADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLHRAFRGTEWKKVTLRTALMFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFAGSYLGFKQPAMEDPVRTNKIPRPIPEQPWYMNPAVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCGEDYEWWGSSPPPAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASYAFFVLTGTIGFFACFCFTRLIYSSVKID >OB06G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18156365:18156577:-1 gene:OB06G30660 transcript:OB06G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMRAWASMAEDPLKRASSASHPSSPLRRYNPTTLAAGGLLLVGVAYFMFKGKEGQGRQGDQPVPRP >OB06G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18157004:18160763:-1 gene:OB06G30670 transcript:OB06G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDDLPFEFRALELSLEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKLRMESSFYGDQSLLGYNSVGAGTSASAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSSLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGVFGMNFETSVFSIENAFQWTLIITGVVGAFIFCGFLWFFKYKRLMPL >OB06G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18164609:18165567:-1 gene:OB06G30680 transcript:OB06G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLAVLAVQYHPDVTKESDGGVHFQRINVAYQMVMGNLREAEERLEYWRLKYGLDDEDLDKYRSYLNDDDDDDGWFDA >OB06G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18171216:18172603:-1 gene:OB06G30690 transcript:OB06G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRSSGGGYGVQVCVTGGAGFIAFWLVKKLLERETAPCHRPRHAAKHRTRVRQMQRGGRGARDPPFLRQCAESKTVKRVIHTASISAAFNVSPPPLFFKNTMQKRRKEKGGRNQIETNRDHSQEYVLAKMLSEKELLSYNDGESPAFEAATLPCTLVAGDTVLGRVPDTLEHAVSPLAGNESSFMFLRLVQRMCWGRRRSEPRSSSAWSSRPSPAGFSVPPPIQPSTASPSTTPASTIASTSSKSKHLISISSLITSCTMGTTRPIVLRASPARLTGLKMYRVYLGYVGPSTVQYKLSNLLCIVISYYGSPCTKDIKPPMSYRVEASNKRNRLIGKMVTSM >OB06G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18175159:18176748:-1 gene:OB06G30700 transcript:OB06G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGRRSGAAAAVAGDGVRVCVTGGAGFIASWLVKKLLERGCTVHATLRSIGDEEKAGMLRRLVPGAAERLRLFEADLFDAATFAPAIAGCQFVFLIATPFGMEGSNSKYKNTADAAVDAVREVLRQCAESKTVKRVIHTASISAASPLLDVPGAGGYKAFIDESCWTPLDVDYPLRSAHFDKYILSKMLSEKELLSYNDGESPAFEVVTLACGLVAGDTVLGRVPETLESAVSPVSGQEPYFFLPRLLQRLVGSMSLVHVDDVCDALVFCMEQPSISGRFLCSAAYPTIHDITDHYAAKYPHLAVLKETEEEVARVQPAADKLGELGFKYKYGMAQILDSSVDCAVRLGYIDAAKLRPHEG >OB06G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18178096:18180433:-1 gene:OB06G30710 transcript:OB06G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRVIYTSSVVAASPVNEDYTGFKCSVDESCWTPLDVDHPHRSAELCYNGERFHGLSEPVVHHPIALQEYIQSKLLPEKELLGYNAGESPAFEVVTLPLAAVGGDTLLEHAAPLSMDCLASPVSRNGRGLWVLTTMQRLLGSVPVAHIDDVCDALVFCMERPSMTGRFICSAAYPTLAEIVDHFAGKYPHLDLIKETEELPSLQSHSDRLGELGFKYKHGIEEILDGSVECAVRFGWLDASKLSLQG >OB06G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18186011:18187851:-1 gene:OB06G30720 transcript:OB06G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAGRTNTGGVRVCVTGGAGFIGSWLVKKLLDAGYTVHATLRSVGDEAKAGLVRGLVPGAVAERRLRLFEADLYDAATFAPAIDGCRFVFLVATPFVHDATSTKYKNTAEAALDAARVILRQCEDSKTVKRVIYTGSMAASSPLKEDSTGFKDSIDESCWTPLAVDYPHRSARFDEYILSKLLSERELLAYNAGESPAFEVVTVPCAVVAGATLQEHSTTGLDCLASPLSRDERCFGALRMLQRLMGSVPVVHVDDVCDALVFCMERPSMTGRFLCSAAYPTLADIVDHFAGKYPHLDLLKETETSPSVQAHSDKLGDLGFKYKYGMEEILDSSVECAVGLGCIDASKISSLL >OB06G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18188924:18189408:1 gene:OB06G30730 transcript:OB06G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGKETTAMSRAPRDAAAAGVSVRESLQHAWASFLGLVRKVTARSEEEAAEADMRAAKMQVEATDEAEAKKKQLAD >OB06G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18189442:18192670:-1 gene:OB06G30740 transcript:OB06G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGMHPLQHEPPRPWMQGPGPRRHPGGAPAPFRFGQRMLLGAERRWSPPRPKPKHKLELREIEPGETSEVPSETKPSEAKPSETKVSGMKRKTDAIPATTGPVKVQKPAQDWSCALCQVSATSEAALNEHLEGKKHKAKLVHCGASNMIKDGKNSLKETTANKDDAGPSDAPKKICIQVDGAMHEVVQRSNYLWCDRCKVRCDNNVTMADHLRGRKHSGLNKVWTSINAVRMNMKREELAATCEETMNENDPAEIPVEVKDESTGMSMEADEACHVENPVKKVQNEGTNMTTEVDQTDPIEIGTPKEVMNMTTDEDVHSGVPLEIKKQIPHETLAPKEEQH >OB06G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18194806:18198310:-1 gene:OB06G30750 transcript:OB06G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLNLDNDTSFFGVFDGHGGRVVAKFCAKYLHREVLRSEAYSAGDLGTAAHKAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPRGSDSNDQNDDWAFEEGPHSDFAGPTCGSTACVAIVRNSQLLVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLARAIGDMEFKQNKFLSPDKQMLTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESTLSAVCEKVLDRCLAPSTLGGEGCDNMTMILVQFKKPVNQNKNVSPAEQSAANT >OB06G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18202829:18203182:1 gene:OB06G30760 transcript:OB06G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLEAIFSVVLPPLGVFFRYGFCSSEFALSSALTVLFYVPGVVYSVWVIVLKTPPEPPGIDGERPYYILA >OB06G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18207873:18218121:1 gene:OB06G30770 transcript:OB06G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRDGRQRRRRQRQVKAETEAWARAADEYRELEREMLDRKLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGGVSVRVVQAAHCIGEAVEREFKVQTFFQKTRKKSASKTEGENDEALEKEQAKCRERVKSLVRRRKLTEAQKIVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQKPESSPDIRPAFKHVLRQPIVENGRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVPPKKWKGYDSGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHDAVEAIWSRGGGIAGLVDKGNIPLPERPESEDPDEIQKWKWGLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEGKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPHCAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIATRVLDVVRQDSMKDPATNPTASLARHIGSLQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGHITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNHILREQFVELYSMPILQNLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >OB06G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18218819:18220616:1 gene:OB06G30780 transcript:OB06G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACKRVSYTLLGPPAERLRASVSAAASGAPCLDFFFRVVPDTPAATVTSLLSAAWAAEPNTALRLAYNLRGVRGTGKSDREGFYASALWMYDNHPNTLALNAASVAKFGYLKDLLELLHRIIHGGVSTMTPRPARTRSYSYKPREKPDPATREARIAASQEHDRKLSEQAAMERRKKSAEAAARAVERYDRDPKYRFLHDRTADLFADLIAEDMKKLEEYGKVANPHLSLASKWCPSLNKCYDRSTLLCESIGRRLFPKGSAPDLPEDLPDEYYAYRVRERLRKMALVPLRRALHLPEVYISARRWADVVYSRVASVAMKNYTDLFLEHDNERFNIYLANVKSVKAKIAAGALLPHEILKSIDYYDNESNEVANLQWKRMVDDLLELGKLNNCLAVCDVSGSMDGLPLDVCVALGLLLSELCDEPWHHRVITFSERPRLHHIKGETLLEKTEFICRMDWHLNTDFQAVFDKLLDVAVSGNLPPERMVKKVFVFSDMEFDEASSRPWETDYEAITRKFTEAGYGDAIPEVVFWNLRDSSSVPVTANQKRVALVSGYSKNMVKLFLSGRDMLTPRAVMEGAISGREYEELVVFD >OB06G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18221332:18223526:-1 gene:OB06G30790 transcript:OB06G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTETATVARRRRRGGMCCGHAARVDGCAVEEEEGIMALSASCSAPGKKVGDAPAPARGLPRLVRFEELPDFLKDNEFIRGHYRSEWSVRDALRSAFAWHNETLNVWTHLGGFFLFLWLNECGGGGGGVGRRAGEHDVLGGVISQRVVGDQLHEIGGEGFAGVGRRRCGGEVAADGVPGGRHDVPGGERDGAPPGVPLPQVQPQLDYAGIAVMIVASFFPPVYYAFLGQAAAQVGYLSAITALGLLVVAALLAPARSSPRLRGLRAGLTHDSLDS >OB06G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18221388:18223272:1 gene:OB06G30800 transcript:OB06G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHPSPTCTTHHITHPHGSQSQKCGRRLGLAPADEDPGGGVVRERAGEHEHVEDLVAVPDAVERPRPPPLRHARHVEPGPXXXXXXXXXXXXXXXXXXXXPAGEPHGHEQPRAEAAQPRRRPRRRQQRRHHQQAQRGDRRQVPHLRRRLAEERVVHRREERSHDHDGDAGVVKAAEPAGVARQEVRRRAHRQARHGARQEHRPRPPRHRIAAGQRQQILPHQSLHTSLVSHDALADDATKNVMLPGAATTPPPPPPHSLSHRNRKKPPRWLQTLRVSLCQAKALRSASRTDHSER >OB06G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18229257:18229454:-1 gene:OB06G30810 transcript:OB06G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNRKSSLVISLVAPTAPARSTGESFSPPNSNASAASTCEAADPIGCTGTRSWRRAGGFASWM >OB06G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18230863:18231716:-1 gene:OB06G30820 transcript:OB06G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPCPSSPTSPPRSSSPATAAWSARPCTVSWPRWGSPTSSSGLVPSSTWRAFFDAERPRYVILAAAKVGSLHSYYATPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVVAVWGTGAARREFTHVDDLADAVVVLMERYSGAEHVNVGSGGEGEVTVRELAEAVREAVGYEGRVAWDASRPDGVARRLLDSGRMRKLGWEPKVALRDGIRDLYRFYLRHQ >OB06G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18253679:18254986:1 gene:OB06G30830 transcript:OB06G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTTADPHASFLADRGAKVFAAGHRGLVGSAILRRLLSLGFANVVVRTHAELDLTRQSDVEAFFAAELPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPEGSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKACNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKDVVGFQGKLVWDSTKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >OB06G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18257481:18259587:1 gene:OB06G30840 transcript:OB06G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGGAPGGGGAAHAAAEAPPAAVRRGHRTGRLRRLIRSGDLAPCFDAAEDAAAGAGHDEDCPICFYFYPSLNRSKCCGKGICTECFLQLMPSKSSKVVHCPFCKTASYAVEYRGSRTKSEKKLNQQEKQNVSESKKIQSKSQIAGELVQP >OB06G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18260863:18264443:1 gene:OB06G30850 transcript:OB06G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAVLAVLLALLLSVAAVAMARPIRRSDLGLGLGANGRLGVGLGLDIGLGGSGSASSSGQGSGYGAWSGPNGGSSYTASGHGSRSGSEFGYGSGSAYGAGNGGSASGCGSGTLSCSGPGSGLGSGSVGLGTSINIGVGIGSNSGTNGQSDCDNGSRSNYGSSGSGGDSYRSRGHGGSSGVGSGSGVGAASRVDSYSGSTSGSSSSSGSGSGSSSTGVTGSNGGSYSNSGASSGSSSNAGSGYGGSSSGSMSGSGSSSSSGSTGMSYGSSGSFSGSSSGSNSNAGSMSSASSGAGSSSSAFDASGSGSNSMSSSGSGSGSSSNSGSGSSSGSMSASGSESNSGAGSGSGSSSSSWSGSSSGSSTMSGNDALSGSESTANSYSGSSTTSATAANSGSDSSAWSSSNSGASSDTVPSGTGAASNAGSSSSSWSNGGSNTGTFSGAGSSSWSSSTSGGGFGSGSQFPTFGMGGAAAGAGGWGKHH >OB06G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18278976:18287573:1 gene:OB06G30860 transcript:OB06G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSPLSSWPWAFLGSYKYLLYGPVVGKVVQEWREQGWAGLGSSWCLHLVVLLALRSLTYQLWFSYGNMLFFTRRRRVVDVGVDFHQIDTEWDWDNMVIMQTLIAAALVSSPLFPATSDLWVWDPRGWAIAVLLHVAVSEPAFYWAHRALHRGPLFSRYHSMHHTFPVTQALTAGFITPLESLILTAAAWAPLAGAFLAGYGSVGLVYGHLLLFDYLRSMGYSNVEVISHKTFEDHPSLRYLVYTPSYLSLHHREKDCNFCLFMPLFDALGGTLNSQSWKLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFAAHPVLLPLWPVAFAFMLLQWFCSKTFTVSYYNLRGSLHQTWSVPRYGFQYFIPSAKKGINDLIELAILRADKMGVKVLSLAALNKNETLNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPGDVAEVFLTGATSKLGRAIALYLCRKNIKVLMLTLSTERFMTIQREAPAEFQQYLVQVTKYQAAQKCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIMGFRRDCTYGKLAAMRLPEDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWEHHEXGAIDVDRIDVVWNAALKHGLTPP >OB06G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18292874:18295989:1 gene:OB06G30870 transcript:OB06G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGVLNAGVLVLALVVLGGGVWLSHRAATTDCERFLERPVVALGVLLLALSLAGLAGALCGASCLLWLYLLALFLLILLLFVFTVFAFVVTNRGAGWVVSGRGYREYRLEDYSTWLQRRVENSGNWAKIRSCLQDGKVCEKLAAGHQTLGQFVNTNLSPVQSGCCKPPTGCNFTYESETVWNKPTGFNSTDDPDCTTWSNVQTALCYDCQSCKAGVLANLKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >OB06G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18296799:18297011:-1 gene:OB06G30880 transcript:OB06G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTTSVYTYIFTPGPTVEKTFCSCTNREHLPVDTSRKIRQLTAEERLNGLFDFGEKNKGFGFVRINCS >OB06G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18298365:18299042:-1 gene:OB06G30890 transcript:OB06G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGFKQAFLKNLLLSLQDCSRTTTTPLNAMSLQERKQAVKSSADIAMAGAPHGSGASWPKAIILAHPASAAAAARAQRCRRIVRRYYCCGQRRRSSGRRRRPAAAASGGGGGVTAGGVMARRLVRRRTMALRKVIPGGGAMDEASLLREAMDYVVPLRAPRRSTCSAASRKPCRDDPPLCGMPPHLQTDKLTGLFGLDEFRDNFEEIELFCVKTLSNFCVLREV >OB06G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18306546:18308092:1 gene:OB06G30900 transcript:OB06G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQRCSTVAAALLVLVACNLTLALSKLSPPPSPHDDPARVDAVGYLASVASSVLAAVVASSAACRGRLSVEAVLWETEKHGNDGFSLPS >OB06G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18308139:18310575:-1 gene:OB06G30910 transcript:OB06G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43770) TAIR;Acc:AT2G43770] MGPHHAATARPNPSGLTRLRTPKFPIRPRTPSAPPPFLSLVSSRIGSASRRALPGFAGVPSGYGTASFSGFDVSGGRFCCVFVNLTANFKMFAPPGNNSLALAAPRPGMELANIQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >OB06G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18309742:18310129:1 gene:OB06G30920 transcript:OB06G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSRIIGILIYSLKFLPLFSEVGTGNFSSGKLHHKDGGLNKSMDNTQHSTIISVLASMDLDSEYQNLHYYFLKG >OB06G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18312391:18312630:-1 gene:OB06G30930 transcript:OB06G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILESPLTLFQCLGRIKIIVCTCLFSLLIQTKLVEFLFELMSASSTLITRSVDPQVGREIIVSMVGIVQYSRRTEDY >OB06G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18311686:18314330:-1 gene:OB06G30940 transcript:OB06G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >OB06G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18314986:18321548:-1 gene:OB06G30950 transcript:OB06G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASCDDHHQHHHHSDSPGSYRMPPRGEQQQLQGAGAQAASRGGEPCAACGCIATKKCSGCKRVRYCSQGCQSKHWQSGHKFKCKQMKKSSPADKLSSGDEEDRNKSSVFGPISLVPIRRKLNKVIFPYDEFLKLCNWRDLDYLPCGLLNCGNSCFANVVLQCLSCTRPLAAYLLGMDHSRECYKRHEDWCFLCELQCHIQKASESIHPFAPKNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKAVDPSTQETTLIQHIFGGRLQSQVQCTSCGMVSNRYENMMDLTVEIHGDADSLEECLDQFTAVEWLDGDNKYKCDGCSDYVKAQKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPRPLIAVEELMKHQQQLKVSHPNGQNHLIQEVVPLEGVSSLKPSEDQQVDFESNNISLHPPISTVPLALDENTRADSGFPLEDSTTMRSVQFGNSTCETSLVHSSAEQCEARASCVDSVDYMEIDTEAGVEVERWSRPVSSDFTGVMDNTTLVPALENGFAGKPIPSRINPSFAEGYQIGDNGAGSSQELNGHCDRDFSCPEQGGMTNSGNTSSPSTQCYGNKFATSTNGNYSIRNGDTLSSSNSLHVGKQNVGFNGFNPKPYTEPSGSNADLNKCTPNLPAKDSQGDMPFLHHGFLLRHCSSEKAGKRDDGLPFNNATSSSFMSSKSSNISSSQNGGGKAFSSPSFHAKHCREAAATDTSASPVHDVKTNYNISLEQKSNGAAVSSDLIKESWSPDCTTFGTFYQQRATSGDNASSRPDENGHATFVAKNFSHEGENGSNGIVDLRGGINQRDGIGSKITDQVDFISDALEHDSLRRRITSNFPDQNGIDAQ >OB06G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18336962:18341053:1 gene:OB06G30960 transcript:OB06G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) TAIR;Acc:AT5G10720] MGDEYLAEPEDEEVVTSMWPENIGDKHQKQFKMENLGKGQDALKDVKFQEKPSSVDFHRLLELANSEKGISQMQYFVKHWEYKRANTARLLKEQIGFLCQQRKEIEQKKQQILEEQHFQDESYYTVKRQVPILDEVYKDEWKRPSKKNDDLSHNQELKIDAEFDSILYWKERAMQLEKTLEASLQRERSLEEKLEENIKNLQSHTPVEEFSGMLQRADYFLHLVLQSAPIVIAHQDVDLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKEVMASGRPTKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVTRREKMADIRVREAVQKAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMVSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGSIGDDVPLEVTGDVLRIRQILTNLISNAVKFTHEGKVGINLHVLDKRLPGCRVESGQLHPKAHSAPDAAAEHFSDSPRKCDNDSLGCSNHEDAYQTPSNDNFGEHHEGEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFSFVLPCKIPVKEDNSDDPDDMPNSRGDFTTSDIEGHKHGLIYCHLEFQ >OB06G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18356807:18359749:1 gene:OB06G30970 transcript:OB06G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEYFASAPAQAANGTAAAAPHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGSASGQGGSTAGEAEGEKGAEWVRFPDRFLVTYPAHVYLQTNMAPHYSCQNQATTASHKDSWNHKTVAIFSSQVIHTDVVSSAVPVDSKIPDDLRVLRLWPESDEKTAIFFFAEIAHVFVQVSSGFGLEIRLSCVNRCFRQGVCLDDSIGRRLRRAGCRRRWVVRLAARLHGEMPVADGLAAP >OB06G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18361732:18363137:1 gene:OB06G30980 transcript:OB06G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSSCCGSAEKVEQGCVSASMSSSTWRMFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNNSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGAGAGAAEQRMIVYDYMPNLSLLSHLHGQFAADVQLDWRRRMDVAVGSAEGLVYLHHEVAPHIIHRDIKASNVLLDSDFAPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELASARRPLEKLPGGVKREIVQWAAPLAERRKWDRLADPRRVVEAAALCVQGEPDRRPDMRVVVSILRGDADAAAGAGTKKDQPVRIKSIKYADHLMEMDKSSVYYGDDDDDEEGDIDDDEEVEEYSLMDDKSSMNFGVFGAMPVHQTMQDPYVKSFGGEGGIKN >OB06G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18364936:18367015:-1 gene:OB06G30990 transcript:OB06G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGPDAPDPDQTRARAPPHSHAQNRPAKDVKLDYLFSDLDPYLNVEFARFPHADSVVPNGGGGAAIELDFTCGLGGAKQSYSSYTATDLAHSGSSSEVGVVPEAICCGGGGAIDLDFTRPKPQPYLPYTATPPSHSVSSVDVGVVPEPGETRTAALAPAAMGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTDHDADADADDADADVPSSYMLDFGYGVVPSF >OB06G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18375300:18379039:-1 gene:OB06G31000 transcript:OB06G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISSADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHENVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVILAEKLGRLVVQLVAGGSGIKGVKVVYSSARDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGVRISEERILLDGSPEIPIDSIEVHLANVESKFAGALSDEGDIRVEGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFVKL >OB06G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18385919:18387028:-1 gene:OB06G31010 transcript:OB06G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSMSKQQVLEAADEVGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEVVKGPAEFAGLAPCNAQVLYA >OB06G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18401973:18406942:1 gene:OB06G31020 transcript:OB06G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G73050) TAIR;Acc:AT1G73050] MAAISTLLLAAVLFVAPTLAAAQPRGFGGVAPSAYARYVVDAAETAAEEAYDYVVVGGGTAGXXXAPAEFPPLATAGGFVRTLAMADPAPESDAPGQTFTSEDGVPNVRARVLGGATSINAGFYSRAHPDWFRGHGEGAEAMNWDMRMVNASYEWVERELTFQPVVRGWQAAVRAGLLEANVTPWNGFTVDHVSGTKVGATTFDASGRRRSAADLLALARPGRLRVAIRGTVTRVITSPIDPAARRGRSPQPAVAAVGVVYQDRLLQQHHALLRPGGEVILSAGAIGSPQLLLLSGIGPAGDLASLGIPVSADVPDVGRHMFDNPRNGISIVPSIPIDHSLIQVVGIPSANGNESYLEAASYIVPLAPMLWRPGLLGGPSSPLYVTVVTIMEKVPGPLSEGSLWLTSSNPLESPAVRFNYLSRREDLARCVAGMRRVARVLESSTMEAFRSAAAASLGGQGSRRGGSARRELRMVGAPLPADWSTNDTALGEFCRQTVATLWHYHGGCVAGSVVDRDFRVFRVRALRVVDGSTFRETPGTNPQATILMMGRYVGLKMIEERHSRRQVRTSTDSSSNA >OB06G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18416652:18422852:1 gene:OB06G31030 transcript:OB06G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3MGF9] MSTYMAAAAARSAEVKTEGLLRGACALLAAAAALLVGLNTQTETVLLIRKKATVRDVQALWVLAMATAASAGYHLLQLLKCFYLGRFAGGKPCRGVAWLCFLLDKGCAYVTFATTVAAAQACMVALDGAHALQWNKLCHIYTRFCEQVAGSLVCGMLAAVGAALLSAVSARNLFRLYPSMLPPPPPPSYAG >OB06G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18425079:18431934:-1 gene:OB06G31040 transcript:OB06G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAAAAPEETVFRSKLPDIEIPSHLTLQDYCFEKLPEVAARPCLIDGQSGAVYSYSEVEELSRRAAAGAMLDASIEPLAADEEVDPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFRREDVLLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRAHGVTVAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDPESTKNTIDKGGWLHTGDIGYVDDNDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVPMIDEIAGEVPVAFIVRIEGSAISENEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPSNDNTQLKS >OB06G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18439162:18439983:-1 gene:OB06G31050 transcript:OB06G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLSLLVLLALALVLPASGAEPGGGAFNVTEILGRFPEFSLFNLLLGKAHVDRDINSRNAVTVLVPDNSAVDWLLRRSARLPRAALVELLSVHVVLDYIDAAKLAKLPRGRPTVSTTLFQTTGKAARRTGFLAITPTARGGAVFASAAPGALVNATFKKAVTAVPYNISVLEISNFVVPPGVITRPRMPPPSPRMKPTSIAPSPAPGPAPAPTRVLPTLPSSPLTDPADEEADEAPATAPAPSHGHSVKVMSWWSGLGVAVGMACMFGYL >OB06G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18444969:18446513:1 gene:OB06G31060 transcript:OB06G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAYSHHWLSFSLSNNYHHGLLEALSTTSAPPLGEEGATEDAPKMEDFLGGVGGGGGGAAPVAAPEDQVGCGELGSIAAGFLRRGAAPTEGGXXENAGGVTIAMATDAVEADPARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSVRCSQQPWTIHCMTLLNFACVVCLYMYKPRHYYTYIHIHVYMSMVVYSDS >OB06G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18447032:18447331:-1 gene:OB06G31070 transcript:OB06G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVTALSPRNLMAAMSYASAASSCVPMVHGHILEHIKICQPSQCLVCLSVYIKMLRLTESAQVQVLVSGHPADPRLPPAVLMMSAKHYVSIVTIFCF >OB06G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18455260:18458569:-1 gene:OB06G31080 transcript:OB06G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKYSGSDHRTVHPNKPCACLVLLSSYSDFSLWQGNRTYVQQNVISQLQVEQYAIHDTWLFTGFPIKTCERCDHVHEEHVKTRTTHAPCLYLLKCHLNPLQLSALEGGDHKGPQDKPNGQNEFCSLWAEHEHWTNHLVLVSNSAKALYVVRQWVKPALIISSYVLEVLSVSQLSIVFQHYRRYDCTALLRDHHANSETVRLNTGVVSCSMDVTQGGHGRERHFVEQVADGAVLAPSVQIAQLAGGRSRAAMEKQVSIGFAGGLGPQ >OB06G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18466590:18470558:1 gene:OB06G31090 transcript:OB06G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate phytyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G18950) TAIR;Acc:AT2G18950] MSPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVALVSAFAVMSFGLGWAVGSRPLFLALFISFILGTAYSINLPFLRWKRSAVVAALCILAVRAVIVQLAFFLHIQTFVFGRPAVFSRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYCVAILMGATSACLWSRYATVLGHAVLAAILWNRSRSLDLTSKTAITSFYMFIWKLFYAEYLLIPLVR >OB06G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18493552:18495426:1 gene:OB06G31100 transcript:OB06G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRMNASGEEAFPFGAMQPGGPYVGFEHGAAAVAAAAQRAGMQQHHHHHMYDSLDFAAAMQFQEPQLLALPPSMPMPPPPMPMPLQMPVPMPGDVYPALGIVKREGGGVGAQDGSAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAAGGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPATTAAAASGKDAAASPVAAGKKPSGATTSSYTGDSKNVVAMSAAKSPISSNTSVISCLAEQQAGKQAARPTALTLGAAPPPHDREVQQLGAVLHAQHHHHHHHHHHQQQEHIQVSSLVHIAGNNNNNHQNGGGGGSNILSCSSVCSSALPSTATNGEVSDQNNDNSHNNGGNNNMHLFEVDFM >OB06G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18501731:18502042:1 gene:OB06G31110 transcript:OB06G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHLNKMAQLLCNISKCLIRLHREIASRGRILFTLPPQASAARRPDRPHRQPPERTLPRRRRRWRRLLLRAEHVPHHLHVLVPAGLHVLVEADDPTLCINDG >OB06G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18503631:18507812:-1 gene:OB06G31120 transcript:OB06G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (reverse transcriptase) [Source:Projected from Arabidopsis thaliana (AT5G04050) TAIR;Acc:AT5G04050] MLSAASALDAVFAPRAATFAYRARHTAVRYLRSIPNASWFFRVAILRQPFGPRHVRRLLDGISGKVDDLGFLEFLRELFVSDAIAFELGGCELGRGLPQESELTATLLNIFFDPVDREVMAIREEVHKNNPRVKDESVLHTPVRVYAARYLDEILVVTSGSKMLMIEVRDRILAVLERDLEVKVDKLASSVHSAGSEKIDFLGMELQAVPPSVLLPPLSEKAKRAKKMYLKHKAAKAQELKNARETRRKKLGLKILNHLFKKVRRGHEFEFDFRIESEVQQLFKEWADEVVIEYFKSPEHCRYWHRFLTSGDFLSLTRMRDQLPPALVDSYDKFQETLDRFLMPMRDHGTSDKEERLAEEEERQYEKRTVEDLTELKMRINAPIELVRKHVKLAGFTNSMGRPRPIKLLICLDDTDIINWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYTKDLKVKNDDGVSEVHFPTEREIKMMGDKNLSDPKPVDGALTMILVRLAVDDTTYPCLAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWAQGLSSIHESLNKKCLPLCSMHASDLLLGKITLQDIDCSVFFSLLTDYDCERHSMISQLLPKLPQHTSNNHIQAGRRAVASMTWTTWQQVHADGVTFGGVRSTAAINTSYAQVLDQSLKGWQIVTARSTLHHAIVDHRGATFHVIIGRRYPASTASGFRTDLVVGARELQRMPHALQPHPPPTTKKTNHAISQANH >OB06G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18506400:18506744:1 gene:OB06G31130 transcript:OB06G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCLPLGAFMLLSQCQEAGEPQVVTNNSLDHLEIPTAAEKIKPPPPNTCIPIDDICIIQTDKQLNWPWSSHGICKASQLYVLPYQLYWRIDPHLQFGQVLDSPFLILSLFLLC >OB06G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18510884:18518608:-1 gene:OB06G31140 transcript:OB06G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTSALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPVEGGIASGFRHTEINKQEHVTRLFVCRGRHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDPAFSSKLICINKGKTVPVDCDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKQAGSAAEELLREGKRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKSLAKAAPVKEEPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQEERTTATSVASNMIESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKNFVSENSIEDDTYSENGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYLLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRILKEGSEYDQFWKLLGVKSEYASQKIAKDQESDPHLFSCTFSKGVLKVKEIFNFTQDDLMTEDIFILDCHSCVFVWVGQRVDTKTRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERKLSIVKDGVKPKIDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKSVSPDSTKPPQRSASIAAISASFERPRPTLIPKSIKASPDVNKPQLEASKPKPEANGKDSTPVKDSPTVTPTIKEDVKEGQPEDEEGLPVYPYERLRTSSTNPVTDIDVTRRETYLSATEFRERFGMAKEAFAKLPKWKQNRLKIALQLF >OB06G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18523575:18525232:1 gene:OB06G31150 transcript:OB06G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) TAIR;Acc:AT5G20590] MSSTSPPPPDKRGDDDDEAGGATCDLYDGEWVRDEGARPLYAPGTCPYVDEAYACASNGRPDAAYTRWRWAPRRCRLPRFNATDFLARLRGKRLMLVGDSMNRNQFESLLCILREALPDKTRMFETHGYKITKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQRNSNPILQIDRIDKTASRWRKADVLVFNTGHWWTHGKTARGKNYYKEGNTLYPQFDSTEAYRRALRTWARWIDKNVNPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPAFRGAVVGSYPPRMRIAEEVVGRMRFPVRLLNVTKLTNFRKDGHPSVYGKAAAAAGRKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPKPKSWKHH >OB06G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18527083:18529439:1 gene:OB06G31160 transcript:OB06G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G20500) TAIR;Acc:AT5G20500] MAAALGRRFGVASAAAALIALAAFGSASGTASKSAFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELELKNEPYVVELDQREDGWEIQDALSNLVGRRTVPQVFVHGKHLGGSDDIVEAYESGKLAKLLNIDVKDDL >OB06G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18529360:18529554:1 gene:OB06G31170 transcript:OB06G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVTITSHISQLFSALSVIVDCIASSILPLFFWHFHALHQGLKSLVFSLILILINQNFFCFER >OB06G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18535010:18535291:-1 gene:OB06G31180 transcript:OB06G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLCLFAVKILKNIIMSCHPIFYAYKPKFKFLTLNFKLTLGFFYGSLNLDSLMQCTEMKKKTWRMLLAVQSILMANADDICEIKYDGLMQII >OB06G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18539015:18539839:-1 gene:OB06G31190 transcript:OB06G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTARELSEYLYLRVFLSSNPSDGGDCIVVLLHRPQGQISFARLGDPHWTWIRTPTGNLLYVDVAFRADGRKLYGMRRDGTIHEFDLNGEPALERATILPAQECAMWHTNYLVDAPWLGGDGWLLVCRYMRAANLQVYMAWRADRSVPYDGVWNTHLIKVYRVDSAMGTVAEIKDLGGHHALFLGCNNSIGLTVVDCPGILPNHIYYTDNEEDYALNKPECARDIGVYNMEDGSFHQVQPPSSWLDWPLPVWIIPSFNLAVQHTRTGQLAIVA >OB06G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18544196:18545506:-1 gene:OB06G31200 transcript:OB06G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHAGPWSNLPADVLITILETLDIGDLVRAGAVCQWWNASSSNVRGQHHLLSRPRTPCLLYTADTGDDPNVASLYSLTDRRSYTVPLPGPHVHRRWLGTSHGWLATTDDDATLHLVNPVTGQQISNLPPVTTVETVRRLFDEDGAVVPGWYVVYPYDWTLRVEPLVNAPMTMTARELSEYLYLRVFLSSDPSDGGDCIVVLLHRPDGQISFARLGDPHWTWIRTPTGNELYVDVAFRADGRKLYGMRRDATIHEFDLNGEPALERATILPAQECAMWHTNYLVDAPWLVGDGWLLVCRYMGAANLQAYTAWLADRSVPYDGVWNTHLIKVYRVDSAAGTVAEIKDLGGHHALILGCNNSFGLAVADCPGILPNHIYYTDNEEQYALYTPECARDIGVYNMGDGSFHQVLPASPWLDWPLPAWIIPSFNLAAHQGS >OB06G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18549273:18550253:1 gene:OB06G31210 transcript:OB06G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIGIWTTNVPSVGVYSTVREKRVHMIGLCTLNFKKGLNNSLKLSNKQLSIEISDKKSKLEGLAAREEHKGMVTAGKVEDNDV >OB06G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18556339:18557302:1 gene:OB06G31220 transcript:OB06G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLFDDDGAVVPGWYVVYPYNWLLRPVEPRANPPMTMTARELSEYIYQRVFLSSDPSDGRDCIVVLLHRPQGQISFARLGDPHWTWIRTPTGNLLCVDVAFRADGRKLYGMRADGTIHEFDLNGEPALERATILPAQECAMWHTNYLVDAPWLGGDGWLLVCRYMGAANLQAYTAWRADRSVPYDDVWNTHLIKVYRVDSAAGTVAEIEDLGGHHALFLGCNNSFGLAVADSPGILPNHIYYTDNEEQYAPECARDIGVYNMEDGSFHQVLPPSLWLDWPLPAWIIPSFILAAQRGSQVSKYSNLHHARKGTVAEYA >OB06G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18562291:18562701:-1 gene:OB06G31230 transcript:OB06G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELSSELAALRPVRVTATRPEGDACAAGSGDARVQEESGPATVRQGGDGGDGGEKDDGTPAESAGCATPTSAASAPRKPRPGKRMKRCCCGRPRRSFFPVPHDLAAVFVARAPPATSPPCPPAKKIRVHAVG >OB06G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18583510:18587469:1 gene:OB06G31240 transcript:OB06G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G57090) TAIR;Acc:AT5G57090] MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNNPYAMNYRFLAADSLQKLVILAALAVWHNVLSRYRRNAGAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGRDGRVHVVIRRSASASTTGGHGAARSGVSRTYGASNAMTPRASNLTGVEIYSLQTSREPTPRASSFNQADFYAMFSGSKMASQMASPMAQHGAGGRAQGLDEQVTNKFASGKGGDPPAYPAPNPSMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFASAPPPXXXAAAPVDGATPKGVSGTVTPAKKDGGGDLEIEDGLKSPAAGLAAKFPVSGSPYMAPRKKGCAADVPGLAEAAHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKTVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >OB06G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18592192:18592410:-1 gene:OB06G31250 transcript:OB06G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMICGKEEKVLGVQKAPGSCPYCGGGVAATEVEAKWVLCFLPLCLTNKRRFSCTACSRRLVSYPAIVHD >OB06G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18602662:18603066:-1 gene:OB06G31260 transcript:OB06G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFITCHLAFCIYSQTRFACARVRRGARVLLVRLARARRGARVLLVRLVVEMSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFITVVYNEEDLVDAKERPPKPAPRPVAVA >OB06G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18610590:18610833:-1 gene:OB06G31270 transcript:OB06G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELGGEEIARCPSCSLSITVVYNEEELTDGKEPPPKPAPRPVAVA >OB06G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18612545:18613387:1 gene:OB06G31280 transcript:OB06G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSEPDWAPFRPGTSYYAPPRPAGAALRMLALVNSAGRTGPLPRPLSGDEARAVASSSRGFPCSTYFIDGYFPDEVERSMQDAIPAEEE >OB06G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18613617:18617513:-1 gene:OB06G31290 transcript:OB06G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRRILFATSASRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLAWDCSSWIRTYALYLDERVECFRVLKYDVEADRLVKLPRASGKAHSRTRTLPCSDLLDQLPALQKLLLRLIFCQPEGSACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQADKLSAFYDHCKRLELARTFQFPTLRQPPPSFVVTMEEYIREAPRVSTASKSLESEEQNLPSDNEDEAPQETEKLVEEEKQEPAEPEEEPQPTAEPVEETEPVPVATTGDLLNFDEEVKPLIADIEESNALALAIVAPGNENKASTSHDLFALDKSGWELALVTAPSTHTSQPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYTGSLAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQQQQYYQEQQQQYFQVQQQQQMVTMPPQTYQQQQTQYSAPHAGLSNPFGDPFGGLVAMANPQKENNSNLA >OB06G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18618090:18621473:-1 gene:OB06G31300 transcript:OB06G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVERCALCGAAAAVHCEADAAFLCAACDAKGYFKQNRKRLSPPLHSTPAAPTHSAANGHRRHFTTGGDVTPQQ >OB06G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18620045:18620245:1 gene:OB06G31310 transcript:OB06G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GERIKLPVDNIVKGNKICKQFLTLCTCMIHNSRIQIFFPPHMTQEHVNDVSHNFCSTSIIKSPMIN >OB06G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18628228:18634274:-1 gene:OB06G31320 transcript:OB06G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTCVVSAINGLYISLGSPKLPGWSGNGGDPCSELWQGVVCTGSSITSVTMNAANLGGQLGGLGNFTSIITINLSNNKIGGTIPEDLPVTLQHLFLSANQLTGSIPSSLAKLKSLADMSLNDNQLNGVLPDAFVSLVGLVNLDISSNNLTGVLPPSMKNLSSLTTLHMQNNRLSGTLNVLQDLPLKDLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPTPTGPPTPSPTSPNLAPSPSPPSPSAPPPSANSSDGPTTRDSISSSKKQNSSTLKIVGFVFLGVVLFIVIVLLVIFCLSKYQERRSRYDYNYNRSQLARVHHTVDPRINPSTVQPRDNAKKGSGETRDMRGSEQSLAQAGIPKKSPENQGEHLINLERVDLPYHSTVASPPPPPPPPLVLPFPVEKVTVNPVPLEKRYTSPPRTSNRASATPFTVASLQQYTSSFREENLIRKSRLGKVYLAEFPNGKFLEVMKIDNTNGRISVDDFLELVQLVSDIKHPNIHELVGYCAEFGQRLLVYNHFSTKTLDDALHDTEGDDSTLSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDNKFSVRVTECGLEKLMASSPVSQLSDRMHALLNYEPPESRESGTVTEQGDVYSFGVVMLEILTGRKPYDRSLPRAEQHLVRWANSQLHDIESLSKMVDPSIQGQCSEKALSRFADIISRCIQENPQFRPPMSEVVQDLTRIVNATGEESE >OB06G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18639290:18641082:-1 gene:OB06G31330 transcript:OB06G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) TAIR;Acc:AT5G05080] MATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRYFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTGIHALKPKNKTKSGTISESTTALNVDQSNIAVSKNTPLGPAALATSTANKALGTNLQDQNAAPSDPALGASAVPKKDGLHAVKVPVEKKKIDARKKSLKRL >OB06G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18648746:18648940:-1 gene:OB06G31340 transcript:OB06G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRCTGTSYMIPLHHVNKQYMNLRGSSMTKPITIGQIARSLNVMQRNIPGLQWTMVKLTGKL >OB06G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18651878:18656054:-1 gene:OB06G31350 transcript:OB06G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPSRQRFLGLRGARWRADLGVLPGFASVSTEEFRRAAADSRRRYANLRRRLLIDPHLSKDEENAPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGNFFQTSTCQSMLGRILLVWSLRYPEFGYKQGMHELLAPLLYVLHSDVHYFKQVREFHEELLGDDFDGQTFPDRIKLNRSDRPHTIEGSTAKIRSLEDLDPNTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFENLMHGLMNGVQGVVAITDFYSLSPAPESSTGLTPVREASCAIYHLLASVDSSLHSHLVELGVEPQYFGLRWLRVLFGREFSLDNLLFIWDEIFSCPNHSYCADIKNQADYQFKILCSPRGALILSMAVAMMLHLRSSLLGSEHATSCLVRLLNFPEDIDLKSLINKAKLLQPFALEANFPSSPLRGKSPLTPPNYWEETWKILQMSGEKRSGGPINRIKGRGLFRRGSSNTESNVSRTKDDNFEDSNLTSTTADEHHSSGTVPVNLTSTLYTPIGEQTSHIDQCTAENIRGTSNNVGEAGLHEGYCSSSVDIRDPLGVASGNLSRDSSTTLSCGTDNDHETHHADEPCAYHDDKVVSEPDPLAVHNDKIDEVTIAAIQTCALVDYQQSQQNKLCLVNGKSERKDQQNFSVRGGGHKETFQLGSSSNVADKELIETLRSLGESMVENIEVIDLLFQPSLHSTSLDKSQEIVLGNIDQAKAKAALEGLQKISDLLRRI >OB06G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18668197:18671036:1 gene:OB06G31360 transcript:OB06G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHLLNTMNPSSGFIQEMRELEKLRTETMMKSCQSTTSRAGAIRCPIPRKSGRSCKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKMQNVDQSLSIPIPTKGCNVRYCMREGSVTKA >OB06G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18671770:18675385:-1 gene:OB06G31370 transcript:OB06G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MLHLLPSSSSSFPLNPQFLLLRRPPANLRVLLLGPFHAMSAASSSSRRAATAAAVPVAQERPPALRAQFLIECLGDLKRNLMKQGLDLLIRHGKPEDILPSVAKAVSAHTVYAHKESCSEELLVERLVRKGLEQVVIPQGGASNQKKPQNPKLQLIWGATLYHIDDLPFSVTSLPDIYTQFRKAVESKSSVRNCNKLPPSLGPPPSSGLDEIGGWGTVPTLESLGLSMTKSEKGMHFIGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFISAKYGNSIFQLGGPRNIVSKWSQDQALFESWREGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGIGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSLAKERRNFPGALYINQVVPLKFDAGHQRRDQFNRQRRPGNMYRRQK >OB06G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18677445:18681523:-1 gene:OB06G31380 transcript:OB06G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAAAKKPKWIIILVSLVCFVLIGAYVFPPRRYSQCYLSGSGGCTGFRDWLPSVTRRERTDEEIISSVVIRDILAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGQEGRYSIYVHASREKPVHSSYLFAGRDIHSDAVVWGKISMVDAERRLLANALADVDNQFFVLLSDSCVPLHTFDYVYNYLMGTNISFIDCFRDPGPHGNGRYSPEMFPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLVTQKPCLWNGSKRPCYLFARKFYPEALDNLLKLFTSYTSV >OB06G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18683799:18690875:-1 gene:OB06G31390 transcript:OB06G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFKPNKLGVGDAITGGDLYATVFENTLMKHNVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLIAKFQKLYDDLTTGFRNLEDETR >OB06G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18694365:18696476:-1 gene:OB06G31400 transcript:OB06G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAPCAKWAEGMSRTRVAQWRPMWSGLRGSQENQFQNTLSHVTSNLAWIHRTYMNKEGDHNLQLSSSRSRTESQWGGVGDIWKEKRVQKDTSALSQNLFWSTTI >OB06G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18694999:18695487:1 gene:OB06G31410 transcript:OB06G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRWWSQRAREGVAPKSPSSSPAQTLSFHPLVRRRGELPLPWLKAGPGGELVARPFFPAGREDLLGLLFGAVRLRCLIQIDLEQIATGRHFVIIILVDQLVTMMIRLL >OB06G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18705330:18705656:-1 gene:OB06G31420 transcript:OB06G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLATLLAVGSCGTALTFTVGKGSGSTSLSLVTNVAISEVEVKEKGAADWSELKESSTNTWTLSSKAPLKGPFSVRFLVKNGGYRVIDDVIPAEFKVGSAYKTSIQI >OB06G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18708786:18711842:-1 gene:OB06G31430 transcript:OB06G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSESELQLEAFIREDAGDGDGDGEMMPSSLGFGQSYGSSNHHHLWWPETEGVGARAAPRPAASATVESPAPASISAASPRATASANGGAAESDSDSDSESLLETERSPRLRGTRSTETKRIRRMVSNRESARRSRRRKQAQLSELESQVEKLRGENGSLVRQLTESSQQFTAAVTDNRILKSDVEALRVKVRMAEDMVARAAMSCGLGQLGSGLAPLLSSRKMCQALDMLTVTGLDLPRQVQNSPVRSAASLESLDNRISSEVTSCSADVWP >OB06G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18715347:18715706:-1 gene:OB06G31440 transcript:OB06G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSLLLTAAVLATLLAVGSCGTGLTFTLESKAPLNGPFSVRFLVKNGGYRVIDDVIPEEFKAGSVYKTSIQI >OB06G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18718798:18719157:-1 gene:OB06G31450 transcript:OB06G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSLLLTVAVLAALLVVGSCGTALTFTVSEGSSSTSLVLVTNVAISEMEVKEKGAPNWSELKESSTNTWKLESKAPLKGPFSVRFLVKNGGCRVIDDAIPEEFKTGSIYKTSIQI >OB06G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18724295:18724633:-1 gene:OB06G31460 transcript:OB06G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPYLLVAATLVAHLVVASCATELTFTVSSSTSLTLVTKGAVSEVEIKEQGANEWTSLKESPANTWTFDSKATLKGPISVRFLVKNGGYRVIDNIIPAEYDVGSVYRSSV >OB06G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18726455:18726811:-1 gene:OB06G31470 transcript:OB06G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSILLTAATLAALLAIGSCATELTFTVGKGFGVNSLVLVTNVAISEVEVKEKGAPDWTELKESPTNTWTLDSKAALKGPFSVRFAVKNAGYRVVDDVIPAEFKTGSVYKSGIKI >OB06G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18729196:18729552:-1 gene:OB06G31480 transcript:OB06G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSILLTAATLAALLAIGSCATELTFTVGKGSSANSLALVTNVAISEVEVKEKGASDWTELKESPTNTWTLDSKAALKGPFSVRFAVKNAGYRVVDDVIPAEFKTGSVYKSGIKI >OB06G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18731867:18732882:-1 gene:OB06G31490 transcript:OB06G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRVKEMNGVGRADFNEHMRRLGPPPPPHPPPVVAYLYKKDEKLLVTDYMVNGSLAHLLHGGSSSGLPALDWPRRLKIIKGVARGLAHLYDELPMLTVPHGHLKSSNVLLDAGFEPILSDYALVPVITPHHAAQVMVAYKSPECGQTGRPSKKSDVWSLGILILEVLTGKFPANYLRQGRAGTGXXXXXXXXXXXXRRPAVGPPRGPRPHRGAPGARRRRRRRRQQRRLVVLRQRRTAGAGDVTARRRRAVVALPLLVERSRASVHVCVHFFHDIFGCI >OB06G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18734126:18738985:-1 gene:OB06G31500 transcript:OB06G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIKTSYMSGLPIVGAAPDLSALAALPGLRALSLANNNLTGAFPDVSMLPALKMLYLSRNKLAGGVPPAAFAHMRGLRKLFLSDNAFTGPIPSSITSPRLLVLQLSKNRFDGALPDFVQPNLHLVDFSDNNLSGPIPAGLRRFDAKAFQAV >OB06G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18740421:18744448:-1 gene:OB06G31510 transcript:OB06G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3MGK7] MLAKLRPLMATAARAKPAXXXXXSTAAAEAAEGDGHVQYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVCTGAGAAGLADLFGKDEIDYTLDANRDDCTPPSEKQASMKPSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPIEGCAIVAGRTDKGVTALQQVCSFYTWRKDVKSRDIKDAINKATPDKLRLLHVSEVSREFHPNFAAKWRRYLYIFPLDEDAKPISGEEQPSKILEGSEYNIKPQSFNVVKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRASVVKLHSADENCEEGMRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPEGLCLVDVGYDDFNRQRCFIVD >OB06G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18745939:18751208:-1 gene:OB06G31520 transcript:OB06G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07180) TAIR;Acc:AT2G07180] MGGCFSLEEHRLQSKTAETGGPDGLRKCKSDSKATASVLAPPKDVEDLQTEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRVGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMNQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKDPTESGEPEKTKQEQAAAAPKTVTVVPAPVNGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLEALSSPSGDGSMKDPPDEDLYKI >OB06G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18752957:18753310:1 gene:OB06G31530 transcript:OB06G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRVSRKHTPTDTQTATSQSRKTRGRPSRCCSACPRFQVLTVFCFNRDYSTNPPPQSAIIYFFYFLWPPIHHLLGSFFFLSVSETQFAVENNVIGIGLGTPKSEPNPRRHMRELGLHM >OB06G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18763470:18765984:1 gene:OB06G31540 transcript:OB06G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNILGGGGIGCRGRPENEMNGFHNMPYYHKVGESSHMSVDNAHNLDLNFVGGSVAMSVDNSSVASNESRTVMLNHPGLRDMPAPSYSVHNSVIHPNRAMASTLNEDALARVLMDPSHPTEILSNYEEWTIDLAKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHQNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTRCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >OB06G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18767117:18772540:-1 gene:OB06G31550 transcript:OB06G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVWVKARCQVEGCGLELGGFKEYYRKHRVCEPHTKCLRVVVAGQDRRFCQQCSRDSLALPDLRRFHAPSEFDQEKRSCRRRLSDHNARRRKPQTDVFAFGSGTLRQSVFDDRQQISFTWNKAPFNHANTTPSSSWTSDLQLSQVMDTSKRSRKSGADSASIRLSNAFPTLCHDTNQLLPRKGADASETASKLDGALDVQRALSLLSASSWGLTDPGHQTSSIIQFTNSNQNTRLPGVPNEGNSNVPFWVDGQPQALEPQVFQFTMDTGNTNTVFPDLERIKPAYESTLFGVNQIR >OB06G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18778969:18779734:-1 gene:OB06G31560 transcript:OB06G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSSVMWKIIVIAVAIAALLVPSGEGKYVCQGKCEDIRDCDYWCKTAGGYPQGGQCVPPLYQFCCCIE >OB06G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18780632:18783254:-1 gene:OB06G31570 transcript:OB06G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MDDTPRFAEGGDDCELVLQKQEWAKTQELLKSKLILEDDFEWHLPSMGSNSDQSSAKGNLKYIGGVDISFLKEDPSMACAALVVLDAGTLEVVHEDFDVVRLQVPYIPGFLAFREAPILLGLLEKLKTNTHHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSEVRRQLEAKENCNKELILLTGQSGTTWGAAMRSCPSSLKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQADIRSKVFLQKHQKLEQ >OB06G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18783813:18785347:-1 gene:OB06G31580 transcript:OB06G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MGL4] MERLTLVSRRSVQAHYTGRLEDGTVFDSSYKRGKPLTIRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLKLPPELAYGARGAGCRGWEPTSCVIPPNSTLLFDVEYVGKAAG >OB06G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18792732:18797736:1 gene:OB06G31590 transcript:OB06G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASKEEASEDGRQEDLLVKEGPGENQENTMRDSDEAGNENCSKSGSGTEAVLPSEEPSDSCSTEEPSDSSSGGDSNSQSADSDGGSKETPKMDPKGSNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNVQWRNLISGLILRRRKSMGRAVTFPQRSKSTGLKRYLERMRSSKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGAKGALKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPAMKSVVRFLKGDRESLEMMQMQRPKLTKPPMFDSCDSEDYTRSSYLNDLDRHKKLALEQ >OB06G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18798996:18800479:-1 gene:OB06G31600 transcript:OB06G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEENDLPILVSNEGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLVELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATIFCISFGAGTHGFTLDHSTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKSRILSIQPVKLHQRLPLFLGSMEDMVELESYGDVQQKVNPGYEV >OB06G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18805704:18811560:1 gene:OB06G31610 transcript:OB06G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVPWLAGVGVVVVVMVAVAAVPAMGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCVDFGTKFKSKSRRPVILLLDRGECYFALKSWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDKISIPSALVNRAFGESLKKMAGKDGGGGGEVVVKLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQMEFVRSFRGHAQILERGGYALFTPHYITWYCPEAFKLTQQCKSQCINHGRYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANESGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGLSLDKVLECMGNPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTYRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQTFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQVYIRGEDICIANSMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQHNENQPLRHDSDA >OB06G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18812403:18815217:-1 gene:OB06G31620 transcript:OB06G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPVDKPPEENRFIRRREEKKAASRPAPSARPKLSPEDAVKRALELLAAGDDGRRGVRGDRNKGRDNRRDSRRPGSADDRHEIYLGDKADGDRLEKRLGEDRMKIFNQAFEEAAENALPSPMEDAYLEACHTNNMIEFEPEYNVSFDNPDIEEKPPMSLEDMLQKVKPFVVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPQNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFVREVSEQYK >OB06G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18823236:18825471:1 gene:OB06G31630 transcript:OB06G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAASSSSSSKKQQQVSGGGGGGGAAVAASSNDRPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSVKDAMDMQRNAASSSSGIMGRSMNNDRSVHVNEALRMKMEVQRRFHEQVEVQKHLQMRVEAQGKYMQSIMEKAYQALASGDCATWHAGYKSLDNQAILDIGSSMNFPSLQDLQLYGGSHLDLQQQMMEIRQMDSFLAFNEIGCIGPVDHSKSPSHYSSAAGKSPMMWPVAADEQAKISGDDDQLQMAAASTMMEASGGNSVMDAITMSGGDSMGSRGFEGQSSKLDHMRSSPPQQLQTPVGTSRMGN >OB06G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18829971:18831377:-1 gene:OB06G31640 transcript:OB06G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRRCLTVFVLLLCLYPCAVLPLRSSNTQSAFRIRLPNGVSGAESLAFDPQNQGPYTGVSDGRILKWDRSAAVWMPFAYNKDYQSIPACKTPAAPSMETESRCGRPLGLAFHRKTGDLYIADAYKGLMRVGPSGGEAEVLATEADGVAFNFVNGIDVDQSTGDVYFTDSSTTYTRRNNIDIMLNADATARLLKYDARTKQVTVLRAGLPYANGVAVSPDGSHVVVAHTWPCQAFRYWLAGPRAGQYELFADLPGYLDNVRRDGNGGYWVALNREKMQSDGTTPASLTKHLVGVRLTGDGTEVEELTAARGDTLSEVTEMGRRLWLGSVELNYVGRMDINDGSVY >OB06G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18833234:18834246:1 gene:OB06G31650 transcript:OB06G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNQQNAAPSSGNIGRAMNVFLFKLLTIVSGNNVLVNGVMEAKSHEQQEVEKSLHRRVGAQEKYMKSIVEKAQQALASGCYKPSASD >OB06G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18837319:18840691:1 gene:OB06G31660 transcript:OB06G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHHSAGSTSNGGSADGDGGGAASARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVVFVPVGVVSLLAARDVVEIVDRYDDACIPDNMTDNKLAYIQNSSISKTCTRTLTVTDDMKQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDSAKANQTTACEPEKATADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGSLRGGGVLDPTIPVCELLIFFCFHTVAHLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDNITVTLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGVCIFLAFAFTLLYVIKPRKLGDHNYLSWNRHPSGR >OB06G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18840834:18842292:-1 gene:OB06G31670 transcript:OB06G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLITVDVTGTLLAYKGQLGDYYCMAAKSAGKPCPDYQRMHEGFKLAYTEMAKQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSSFGSSAPYSVFPDAQPFMRWLREKGLMVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPSIYKIALGMAGNVAPEEALHIGDSMRKDYVPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVATQEWLSKNLKDEPVAAEQNV >OB06G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18846254:18847044:-1 gene:OB06G31680 transcript:OB06G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPYKDVVGSSAPVADGVPPPPPPYCVYPPPPPPPPSPVVFPGPGTTTHPGVWCVANPTVASAVAQTAMDYACGSGADCDMLAPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITKDPSYDGCRYMYM >OB06G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18853672:18854477:-1 gene:OB06G31690 transcript:OB06G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAAASAGLLAFLSDASGHKTLLLANPITRLLAALPISPTARLSPTVGLAAGPTSIIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANVWSKVQPPMRRFLRSPALVELGGGREGAARVALVSAVEKSRLSVPRSVRLWTLRRVGNGGGAAGGGGGGAWTEVARMPPEVHAQFAVAEGGRGFECAAHGDYVV >OB06G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18854149:18854478:1 gene:OB06G31700 transcript:OB06G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGSRDDRRGRRELGAQKPEGGTEAASATKVSADMFLTAKGDTRSSPATTAMMEVGPAARPTVGERRAVGEIGSAARRRVMGLARSSVLWPDASDKNASRPADAAAGE >OB06G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18863408:18868734:-1 gene:OB06G31710 transcript:OB06G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT4G20070) TAIR;Acc:AT4G20070] MALLLLLSFPRCHPSLHLLILFLAASVLPVLDGLELGGDGLYREILRDETVLRLKELGMISDGEGYLERTFLSPASIRASAVIISWMKDAGLTTWVDQMGNIHGRFEPANSTSEALLIGSHMDTVIDAGMYDGSLGIISAISALKVFKVTGKLQRITRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVEDALKLNSFEATAATLGEIKYSPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIVSGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKQPNRFLNYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVASFSRLVLQRCDDRLVDCAVEQKHAAAATPCDAELTSQLERAARSALSSMKAAPPGRRRRDAGADERRGARRDGDGQADQGRDAVRPVPRRRQPLAGGVRAGRRRLGRRPRPGQLHWPERGCFGGIVTTTAMILW >OB06G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18863563:18863772:1 gene:OB06G31720 transcript:OB06G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTRARPAAQTSSSSTDSSGEWLTPPRHRTNSIPTLVSLAIAIASCPAPLISTGVSPPVWRPGGAAFID >OB06G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18874310:18880613:-1 gene:OB06G31730 transcript:OB06G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGGRXXXXXXXXXXXXXGGGAGPAGAEEEEEEAHVRVTGMTCSACTSAVEGAVSARRGVRRVAVSLLQNRARVVFDPALVKVEDIIEAIEDAGFDAEILPDSAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYDPTAINKDEIVQAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDANILHDILKKMVGLRQFDVNATVSEVEVVFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAQEASKMLHLLRSSLFLSIPVFFIRMVCPRIPFISAILLMHCGPFRMGDLLKWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALIYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVEEREIDALLVQPGDILKVLPGSKVPADGVVVWGASHVNESMITGESAPIAKEVSSAVIGGTMNLHGVLHIQANKVGSETVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAIVEYAFHFHFFGKLPTSKNGIEQRKEEILSKWLLQVEDFSALPGKGVQCMISGKKVLVGNRTLITENGVNVPPEAENFLVDLEMNAKTGILVSYDDSFVGLMGITDPLKREAGVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAPHRGGGHRRRHRHRHRGRGLRAGEEQPGGRDHGDRPLPEDLQPDPVELLLRHGVQRGGHPGRRRRAVPVHPAPDAAVARRRLHGLLVRERGLLLAASEKIQKTKADDRAADNRRVTLTYYYCMCIRT >OB06G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18889387:18891747:1 gene:OB06G31740 transcript:OB06G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNILGRKPMPLPWEFPAHTVSWRAWRLRAFHSERPKKKKLLPQRGAEMALARRLCRLPPRLLPLPLAAAAASKPHLPGNPSPAAPLPSFTSPPPRPAPPSFPSLPRLFSTSSSGDSSMVVVGSAESFTSILSKVEAEKLPAVFYYTAVWCGPCRAMAPVIAKLSNRYPKIPIYKVDIDLDGVGSKLTDLKIYSVPTFHFYYEGRKTDEVVGADRTKLEATMENLHKQL >OB06G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18908037:18908867:1 gene:OB06G31750 transcript:OB06G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDHVMTQGGDLSNILYMSGEPDLACFPRFENLRVDGLPDDDDDDGRVMTPNSILEDPLYHHHHHYYGGSGPTNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPSHQPRPSRGGGGGSAGGGLRRRPEEEERRRRVVLPADRAVAEQPEEGRAAQVASRVIATITSAQLTLHATALASTDNLGPNPPYICVCHY >OB06G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18950915:18951274:1 gene:OB06G31760 transcript:OB06G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQFTGIPAPAAGAFAGPGGVPVINFGADYGFPGAAVMPFDTLRPRQPTFQDHHLLLRPQQQYTGAPFGYSNLQAGAGHGDVFSHALSSAEDRLLLQSLQSAQMPTSTANHTANGFFA >OB06G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18956013:18956499:1 gene:OB06G31770 transcript:OB06G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRMANCSNVSSPEQQPPPPSTAPQLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAXAPAPAAGAGCAICLSDFADGERVRLLPACGHRFHVVCIDRWLVAHCSCPTCRRRPSPEADATAVGRGHHHLQVLTAA >OB06G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18957790:18959555:1 gene:OB06G31780 transcript:OB06G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKAERERLYGLIASLSADLPLPPHIVELMQTQCCCIVDPNGDEMEINLNSAKDATLFRLLNLLEEFARQGKIQWPEEDQEPAKIELTSSLKRRARTAAHLDHLAATLPRLRAVIPMTTATARAAAHTAGGRSESSSILVRTLILESSTTTTTSSCWTYGGPSEEHRQRAPSGHRRYGAPPAQGNEGEASEHAEQTPADGAVPDAGDADVEILVCAHSCRTNGDQNGVNALVDQPTPPSEVCTDRAAH >OB06G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18959569:18960169:1 gene:OB06G31790 transcript:OB06G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGENCSLCAWLYGSCLRRGGLVLLGLLDWTICIWSRGTYVVSWSCAPYRCTVRALTEQDTRAEAKPLEQQQVIELDE >OB06G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18959931:18960134:-1 gene:OB06G31800 transcript:OB06G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQLWYLVQLGHARCTYMGRSSSSPRRCRGSRYISVNLRLQTERATPIRASIFLGRRIMLVQLEVC >OB06G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18961621:18966049:-1 gene:OB06G31810 transcript:OB06G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3MGN7] MEKKQKEGRNKSKAINNSARGRETGRRRITQPQPATRSATARTDSASIPPLSRERPRAPDRPPPPRATAPHRMASLSVSLGRASATPAVAAAGPVKVSCVRSKVNCSFPSVGSSSSPARSVEPVRATATQAPPAAHQSSSAEKTKVGINGFGRIGRLVLRIATSRDNIEVVAVNDPFIDAKYMAYMFKYDSTHGLFKGTIKVVDESTMEINGKKISVTSKRDPSDIPWGNFGVEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNPSMNIVSNASCTTNCLAPLAKVVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFVGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKN >OB06G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18972318:18972578:1 gene:OB06G31820 transcript:OB06G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNFSMNSAVSFSLFQNSELSCSTELVVNSAISYSLFQNSELDLMEYICMQIAEFTLGDCYEVSRNNQRNSSFPSHLSTYVATPI >OB06G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18981345:18981629:1 gene:OB06G31830 transcript:OB06G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNATSAAAGRTSSAAAGRGCGLALGRLVRKLRRQSRMMLSTATARPAARCQYDPLSYARNFDRGGDDDVSAQLYHRYTFASRFVLARQPQ >OB06G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:18981682:18982053:1 gene:OB06G31840 transcript:OB06G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTHVMCSVCLSSSPFFVLRRPAASACSASFFDLFILRRVVSDFPGIHWTNWRRMSAEESFSRADFGIHPHPFLISFVHSWHFLGCHVLYELPTVKCTELKFMSLPCRSWARRHGRGEEELW >OB06G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19000683:19001435:1 gene:OB06G31850 transcript:OB06G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGVVAGGSQVYPAYPPAVTAPAAPAVIPASSQPAPPFPANPDQLSAQHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLSDIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQPQVPGVGMAYGGQPGHPTYLWQDPQEQQEEPPAEQQSD >OB06G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19004234:19007342:1 gene:OB06G31860 transcript:OB06G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENATSRQGSFSKRRGGLLKKARELAVLCDAQVGVIIFSGRGKLFDFCSLPLRIDITCTRPCSLTLFLRGNHANALVYRPQRMLMDSPNQISEEWHQSPAASGEASAVEALTMLPAATEAKAEAFGHSCFLPEEEEGPALQLWPQPPELHHVDVKGFDQPELRLW >OB06G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19011052:19013909:-1 gene:OB06G31870 transcript:OB06G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSPAAPVGQTWTTGLCDCCDDCNSSCGIASIIYCWMRPTTVCPGLYTMYSWSYRQKLRATFGMAPDPCTDCCLQFFCERCSLSQMYRELKNRGINPANGNSSPSVHSI >OB06G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19017207:19019476:1 gene:OB06G31880 transcript:OB06G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) TAIR;Acc:AT1G31160] MLAGRYSIWAVNFRSDGRGVGYPTTTLSQRTSWEPHGRSRLHRRSRASLMAAVARAAKLPAAASAHLLRRSPLLRAHGIRVPRRVAPQRFVRHIASSTNEEAAAKAAAATADIGGPTIFDKIIAKEIPSNVVYEDEKVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHVEILGYLLYAAKIVAEKEGIAEGYRVVINNGPKGCQSVYHLHLHVLGGRQMTWPAG >OB06G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19019850:19021799:-1 gene:OB06G31890 transcript:OB06G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:J3MGP5] MAAASASRALWACRAASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGITDHAQDHLGDVVYVELPEVGATVSQGKNFGAVESVKATSDINAPVSGEILQVNDELSEKPGFVNGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEGKH >OB06G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19024199:19028074:1 gene:OB06G31900 transcript:OB06G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAAGVVGGFLQVVFDKYYGSKLEQWAARSGLRGDFLSLKNQLHMVRAMLEAAAGGSSNGNGPNNESLRSLIVELKSAAYAADNVLDEMEYYRLKELVEDTGGGAGGTPSSARQVVGRLVVPGPLLSNPFKRARTGADDALQGQDAGTPNFDQDAVSSRIKSISCCLEQIAGMVRSIIELDKLVSMANFRHVQPEVASLRQTSSIPTESKLFGRDETTNSIVNLLLRADLDSRYSNFNVLPIVGIGGVGKTALAQWIYNHQRVIDSFQVRAWACVSDTLDVRRVIMDIIDSVDGAKETPQFHRLPSLDATLRTLMRKIEGKRFLIVLDDVWVSRHWEKLSCPLSSGMSGSMVLLTTRHSKIAKAMGTFDSVTLEGLDDNDFWVFFLQCTNITEDHTLVQIGRKIAHKLYGNPLAAKTMGRFLCENHDEEHWCKFLKRNIWELKQGPDDIMPVLLLSYQHLPLSLQRCFIYCAIFPRGYKFTEQELTFAWMAQGLIPTLGEDQTLEDVAKEYINELLSCSFFHIIESGHYMMPGLLHDLAQLVAEGECQATNGKFPISTEACHLYISHSDHARDMGLCHPLNCYGLPMKCHIQKNLWAGLLHLKNLRTIMFSASSSIWSPGSEVVFVQSNWPSTIRLLSLPCTFRKEQLAAVGSFIHLRYLDLRWSRFEELPEAVCKLYLLQVLNIKHCPCLLHLPSSMSNLLNFELLIADEGKKLLTGVPYIGNLTSLNVLDDFCVRKIRGFDIAQLKRLRSLRGSLKVQNLENVDGNEEASKARLSDKRHLTELWLSWSVGSFIQEPSEQYHVLEGLAPHSNVSSLHITGYRGSTAPSWLAANLTLTSLESLYLDDCSALEILPPLGLLPHLRNLHILNMNALRRIGSEFYGGNQVVGFPCLEGLSIKTMAELEDWNIEDPNVFPSLTSLTVEDCPKLSRIPSFLWSRESRCWFPKLSKINIKYCPELVLSEALIIPRLPWLLDIDVQIWQQTVINLSGGRLEVSEFDYNSSSAPINVVLQLHWLKHVSNFHIWARDSLSVRPGKQQTDPSACNSEHIANSVQTSAEKVKVTGCGVTDELLSAILENEIYPSSLSISDCPQITSLDLRPLRSLKSLAIQNCVSLKELFGLQSFTALKDLEMANASSFAEAWSELLRSGYAGQGQVTTSLDSLTVDSTLFLNSPLCVVLTSLKKLTIRSDFRVTSLSRQQEKALLLLTSLQDLGFIQCCNLHSLPSKLHKIFTLKQLEIDSCPCVESLPSNGLPEKLEKLIIRGCSERLYTSVSMMDSDNIKLHLVDR >OB06G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19027447:19028211:-1 gene:OB06G31910 transcript:OB06G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESIIDTLVYNLFLDDHHLSTKCSLMLSESIIDTLVYNLFLDDHHLSTKCSLMLSESIIDTLVYNLSLQPLMINFSSFSGSPLLGNDSTHGHESISSCLRVNILCSLLGRECRLQHCINPRSCREVKSRSAFSCCLEREVTLKSERIVSFFREVSTTHKGELRNKVLSTVRESSEVVTCPCPAYPDLNSSLHASAKLDALAISRSLRAVKDCSPKSSFSDTQFCIARDFSDLRGLRSRDVICGQSDIDKLEG >OB06G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19033514:19037169:-1 gene:OB06G31920 transcript:OB06G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:J3MGP8] MATKRSVSTLAESDLKGKKVFVRADLNVPLDDGQKITDDTRIRASVPTIKYLMEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVNVVMANDCIGEEVQKLAATLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLGKVDILILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKANSKGVSLLLPTDVVVADKFTADAESKTVLASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >OB06G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19035308:19037158:1 gene:OB06G31930 transcript:OB06G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASWQIQGHFSLPPCRIEHFLEAEHHHPVEWQPISGLPRQCSHWPSQPYGHHQNMEYKVEFNLVALTRWLARMTLAPFSIKYLMVGTDARMRVSSVIFWPSSSGTLRSARTNTFFPFRSDSASVLTLL >OB06G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19041004:19044500:-1 gene:OB06G31940 transcript:OB06G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGCVAIAETGSEVRLLKIDGYSLAAIDKDACIKSRWAVEGYDWEVHFFPSAMSTTRTGSPCVQLQLIFFGEPRTCSVKASLRCQLVDPSWKLKPYQEKVVSEAFSRPGQHSAALVLCSRNDLSSLGYLKGDCLTVQCTITVLRELPEPVAATAHGELRHHFGELLQEETGTDVTFDVSGESFAAHKLVLAARSPVFMAEFFGSMKEAAAGRVKIDDMEPAAFKAMLHFIYTDAIPELDTSTTTTTATAPTTTMAMHLLAGADRYGLDRLRLICESKLAGCIGVDTVSTTLALAEQHGCLHLKTKCVEFIAAGSPEDLDAVLATEGYKHLERSCPSALTELLKAAHGKKN >OB06G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19049108:19051131:-1 gene:OB06G31950 transcript:OB06G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWNLTEATKAVHDITVNGYSATKAAGSRGFSSKRLTVGGYEWEIHYAPQAVNFCGAAFRLAFLGATRTPHVRASLSCGLMEPSTSSVAHWLDASGMRHMCEEKVVSTSFYMTTQCTRWAELMRQDDLERNSNILANDSFRGESLSPHRQAANGDAVAPNLDPHGCYKGNPRLRGERLLRHHGRRQRRRLPLWATHRGGLRVGDPLPLEAELKAAGHWHVAFKLGFLEPERAGGVKASLGCQLTDPVFLGFDSTVYWRDASGQRHECKEQAVSH >OB06G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19051926:19062902:-1 gene:OB06G31960 transcript:OB06G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MQQQKRKTTIASPGPHLPVTGACLSGSAESHTRLRSARPCRASPQRPHSPESRPHHHPEEETHASPARLRPPWPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEASRGHGLVFDHLLLFPSSPASSRLDAITVAEALLGDLDAFVAAMDEISGGKFLCCGDGEVDLTALAAEEFPELPWLQAKGYYVVEEFVGNWVEIALRMSWAAAAAGGGGGGAGRKAVRVGKGVKEKAGLAANAFWRGKGYVDWWMRLEPRVRARIMGAFFGKGTTALEIVYMKSNISNHSGDAIFFTTLMSAGTVAEHIFMKLRGILMVVSTESINLELIGDRAPKAAKKKDVEKINGGSRKGKKKSSSSKKLTTSSKQIKDIGCSSSDSHDPKVLQNQQSPSVVGTAIEHVTEDNPFKEIASTPKVEQTVGLGDCKNQCNNKKRNKRKGKTKLSNLMRVENPGSVTLKSDSPHVAKEATEAPNKPVEVPQVSTVSCCDSSIALNGANAKGIGNAKLEDTFNSPRVSSLLTTECSQSVNASDGFSINEQVIPHTSQTESTPQQSPCLPPRSDTVDCNSVVATEKIFPPVIPANMFHGAISDNGAVMKNGGGEYYVYNRNTLGGTSYEWPNVTPPHLVSPEMQQRPATTDRLHLDVGYRWPTQFEQPFLPANHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLSQNNALSYDPLYTPQMQSSAWSGFHAPLIQRGSVCSEKDRKYFGDSDARNKSDVGDDTESYWFSEEESDGRAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPLGIPCTYNANGVSSPPSTSICSQSESPDPLPQSVGHSMTANGMNSESLQSPSVMQDSPEDKTISVSKSVSSGSEVIKGDTLPYAMLRPIIVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSSAGTMGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSNKGSLSLMHNALHEEIDQFCKQVSAGNLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSPDQLSINVLGDQGSPPRSDNSSSEGSSMPLCSRANKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAESDTPASSFNLLKKIMPSIDSDEL >OB06G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19063995:19067633:-1 gene:OB06G31970 transcript:OB06G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSMSLAAKTGLPFSALPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLAVGLPTLLGAKKALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDSDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFVEIPVENRVPPATPAALPA >OB06G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19068501:19073536:-1 gene:OB06G31980 transcript:OB06G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G51430) TAIR;Acc:AT5G51430] MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALERDSADALRRVPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKVDAVQDLRGILIRIGRFKSLEVQYTKIHAKPLKKLWEDFDLKQRANRVEMEKYGGESISGVSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMGELNSSFISRVNLATGDVVPETRSVAKGVLDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLSVLLNTLKAIYSPYETFKARYGQMERALLSAEMASIDIRGAIARGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRTVCGVDNTTHSDASKREIGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTNRTSVFEASLRATLARIGTNFTISGFGSSLDKSTSATGDENAEVPMTGRAALDIASIRLTDLPDKSKKLFTVLEQSKDPRFHALPFTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGSEAGNEEAQFFATEWIFKVAEGATALFTEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRELIKSDGGSQLDLPTAHLVCKIRRISSD >OB06G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19073273:19073540:1 gene:OB06G31990 transcript:OB06G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPERDGVVAEAERVAAGEGYSAERVGAVPLERGGGVIGRGPEALLGVGEEAVERLRRVPCVQRRVHRFGSNPSAPNSDASTTTISAP >OB06G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19075334:19081331:-1 gene:OB06G32000 transcript:OB06G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDATAAAAAVSGDGGGGGGGGGKEKEKQVGAVAAPLQPPMAVPAPVAGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSQAAISQPPPLGEQDCVMSMDTSPVIRNVNASAAVPSWDNAIAQPFSASRTQGTGAVATNNCSSSIESPSATWPTSEAVEQENVLRPLRAMPDFAQVYSFLGSIFDPDTSGHLQKLKAMDPIDVETVLLLMRNLSMNLTNPDFEAHLRLLSSCNSGSDQIKSEGMENLGSLQSCHLPFMVTSE >OB06G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19084609:19088676:1 gene:OB06G32010 transcript:OB06G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSLLSAQAPTASVRLLATKDGYFGPQMALRSCQCLFGDRMSEQQDSTSKSSSSSISSSTQESEEEVTITIGSLLAQAKNSSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKLVMKQLKEFRKQYEGYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >OB06G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19092731:19099601:1 gene:OB06G32020 transcript:OB06G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEVSKEEFLRQFGGDYGYPDAPKGVDEMRATEFKRLQGVVYLDHAGATQYSEAQMADVLKDLTSNVYGNPHSQSDSSMAASDVVTGARHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATAMAIDVEEVVDLAKNHGSDSSYKISRHSNQRRGKDVLSDSCHNGSFSDISGNTWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQDKWMVLIDAAKGCATEPPNLTLYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSIASLRHGFKIVNMLTTSAIRRHTTSLANYVRKKMLDLKHSNEINVCTIYGQQASKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTYEDAEKFLKFLQSSFVSLPVQFNNGYMLNMNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGAGGEILTQKKVPGLGSIHTLVDLELGKLFIESPMRGDKLQISLESLADLSEEVDVFGQRYEVQSYDVKVNTWFSEVIGRTCTLVRCSSSKYRSRTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESVSDLNSRLSSGKGDCKKPLLVDAMRFRPNIVISGPSPYSEDNWKKLQIGEACFTSMGGCNRCQMINLQQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDNMDGENEISTERWVQVGQQVYPFAE >OB06G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19100208:19102653:-1 gene:OB06G32030 transcript:OB06G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYAATIARAVVEFLDAVLVGFFLSFFRPRDDDGPGSGRRDSPARKVPLGELLLSDGEQGFGASRGSHEDLGEDCGIAEELMSEANYLKLIGAIPETPAELQSASCQINLEHYIEHDSFLTNAPAVVEASPAFEAKSFEGLKCEEDHTFIPELKTEDTKHLPLVESVYQPATGDKSPFENIKSMNLGSGDSPFPTPLVLRDDMQTPGTVCTSHKGPSGKPVRTRKQFVYPIVRPIENKLRQMELTEESSKPSKRRNLSADSIKKPQLTSSDSVEKGESPDSLPLPKSKCQLGIQRQLGDEIPKSISDENLEVCSLSNWLKPSAAGNENEGPVECSVGSRSYDEKNLLEGHVFMATESKWDEENPTPRLSKPLDFHGIPNTTKKYQEDQKIKWHSTPFEERLLKVLSEEEVPPTRKLVRGRLFYPEERV >OB06G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19115562:19118504:1 gene:OB06G32040 transcript:OB06G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSDVPRMRWTEELHRRFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNHPVSLQKMTSATVSNMNKRELANREDNCIYASEDRNAASSDKNTYTILRCSRSSIPSIEEIFRNWEQSRGRLLPWNSNVITTEQLAARASRQTTDSKPLKQTGCDLTLSIALCEDAAGSDADGSSTISEEAAAPSRDEAFVSSADDHFASAAAVARKESDMRTTDLNLDLTISSSWLS >OB06G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19121773:19127881:1 gene:OB06G32050 transcript:OB06G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 59 [Source:Projected from Arabidopsis thaliana (AT1G53720) TAIR;Acc:AT1G53720] MSVLIVTSVGDIEVDLHADLCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGIVAEGFDTLTKINETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPLDDTVAPEELEEMIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHMAKDCDQGTEQKNKGPNYVLKDENTQRGGNNRRSYDLIFDGDDENYTDQQDPGSADRQKIQRTDDWRSGLPPRDDRDRINQERTRSDENGKEGDRDRGSRKHEDYCHHGKPGERNSSRYDDRDYSKHESRNKYRDGDDDNRRQSRGSRYGMDKYDGERRYREDDGHGRSDRSSDRHKRDESDDRKRSPDAGRHRREDRVHRETSKHRERRHRDDR >OB06G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19129500:19131324:1 gene:OB06G32060 transcript:OB06G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQHALYESIGTPKNGYSGAMATLDVYNFAYLKTPEVSAAMVRVSNYVDERRIGLNDIQAGWAIDPFTYGDSKTHFFVSWTTDFYNKTGCFNLECEGFVPVNDAPVTPGDVLDPANNQTKITFKIFKDKNDGDWWLYFGYDINNLKRAGFWPKNIFNRMEDHATHVQWEGFTNAYKGHSSPPMGNGRWPGKMSATIQNVLYVGTDGQSYDPPVWPIGLEVYVTNTRCYQVSIVENNMFYYGGPGGCKHDWLNKY >OB06G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19135340:19135675:1 gene:OB06G32070 transcript:OB06G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLAVALWVVARLAWRAWSARRAGLKRRERCLGSVTASQFSSPAEEEAEAAPGGGEEDPAGCRICLEAYELGEALGTLPCGHSFHRVCAAKWFKRLDSCPLCRAAVQPA >OB06G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19137439:19139881:1 gene:OB06G32080 transcript:OB06G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKSYPSTDAGPVIAKVPTNGRKEVSYHALYESSGTPKNGYSGVMATFDVYSFPNLKKPEVDPTTYGDSKTHFFVSWTADYYDKTGCFNLDCDGFVPVNGAPVTPGDALEQANNQTKITFKIFKDENDGDWWLYFGYDVNNLSQVRFWPKSIFNRIGWEGFTHSYIGWKSPPMGNGRFPGKMSASIQNVQYVDTVAGPMPLLFGLLVLKFM >OB06G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19164922:19171816:1 gene:OB06G32090 transcript:OB06G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) TAIR;Acc:AT2G30070] MDVEGGGDGAPPRRWSSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLFKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMHANHGSLSAYNKEEPCKESRNSMLIKNFFEKHYSLRVMLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVVLIGLFALQHYGTRRVGFLFAPILLSWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMCLCLAVTIGFRDTEMIGNAYGLAVILVMSATTCLMFLVITTVWNRSVVWAAFFTVGFGSMELLYLSACLARAPQGGWLPLLLSLVTLLVMSTWHYGTAKKQQYEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLTVPKVSREERFLVGRIGPPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQGGSGRSTSGENEMSVIPASSSSAGSLQHAAATPSSCEIDAGSGSGGAFCRRKVRFDDGEEEEEDPGPPEWGKAEVKELMQEKEAGVSYMIGHTCVFAHESSSAVKKFAINVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >OB06G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19175797:19181060:-1 gene:OB06G32100 transcript:OB06G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPEGHVPVCVGEEGGPVERYAVRTDLLGQPAFAALLRRAAQEYGYGHPGALRIPCTVADFRHLLLHIASAADHHSDDDDDGVLAY >OB06G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19202481:19202912:1 gene:OB06G32110 transcript:OB06G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRMSFSDRVGDGGGGAAAAVKRGLIRRLSFSDRVVSVGDGGSGGGGSSSTLLRRLSFSDRGGDGGGGGVPRGCVPVLVGGEEDGERFVVRVEALRHPAFAALLEKAAQEFGYRQEGILRLPCGVHHFHQVLAAADAKN >OB06G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19208114:19215773:1 gene:OB06G32120 transcript:OB06G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPMCNGGSTEEQSLSVFIRMDGKDAVWQCFRSNCGWKGNVKPDGVSKISQAKNGTECETDQDGEANLPVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPQVSSKLPDKEQDKKYQYLWNCKDYLDSASRIILATDADHPGQALAEELARRLGKERCWRVNWPKKNETDICKDANEVLMFLGPQALKKVIDNAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWNSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVGWKFVLCSMENKVREHARKLLEKRIKKPFFDARYGGSAERMSIEEFEEGKQWLNETFHLIRCEDDCLPSINWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPVDVVQVCVRKVRNKVIGQIGDAFLSYDRVSGEFRDADKDTVAKATVAAANAAKAPHRKG >OB06G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19215886:19216050:-1 gene:OB06G32130 transcript:OB06G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQKILTTKHHCLIAFASWKKEIVLCNEKRWRQGQKCALAPYQLQLKGGNAPFQ >OB06G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19216770:19218812:1 gene:OB06G32140 transcript:OB06G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT1G30690) TAIR;Acc:AT1G30690] MAVEVVVSEGVAATAAAEVVAPEAKEVSGEAADEAVTLAAVVSKNASFREESNFLDDLKDGERKALAELRAKVEEAIVEGKLFDDAKKKAAADETAAGEKKDSEKKAAAAEEAAGENKDADEKKEEGRVTEKKEEEPVTEEKKEEELGEEQQPKKEEAGEGEKAVAAEEKPAAEVTPPVVVDKDIALWGVPLLPSKGDDATDVVLLKFLRARDFKAGAAFDMLRKTLLWRRDWKGFAATDDVDVAEELPAELADACYLDGADREGHPVCYNAPGVFADDAVYKKALGTEEGKARFLRWRVRAMESHVAKLDFKPGGVASLLQVTDLKNSPGPAKKDLRVAMKQVLELFQDNYPELVARNILINVPFWYYAFSTLLYPFMTQRTKSKFVIARPSKVTETLLKYISIEAIPVKYGGLKRDDDTEFSSEDSEVTELIVKASSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPSDEGSYTVIVRKGKKMGSSEAAVRNSFRAGEPGKVVLTVENLTLRKKKVLFRHKARSACAKKC >OB06G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19220885:19223466:-1 gene:OB06G32150 transcript:OB06G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:J3MGS1] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRYVPRAGLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDEYEEEEEEEVAA >OB06G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19228744:19229121:1 gene:OB06G32160 transcript:OB06G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCASWFLNFSDISNQSKKQHHRSIEMARGVVLVAAVLALAAAVAHAGRLLEAEEEYYVLEAAPGMQPASSPYASPGGTLVAGAGGGGGRHGWRRGAAGTIVDALWFVFRWANDAVAAGGGRMNDR >OB06G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19231558:19231866:-1 gene:OB06G32170 transcript:OB06G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLVVAVALGAAPAAHAFRTYVPAEDAGLLRSKGRTTMVQVDLTAVPAGAPRGGVDLVAFPGHAVDGAGDVPLSPAPAAGVDGLLPDSERAAADVILP >OB06G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19253749:19254516:-1 gene:OB06G32180 transcript:OB06G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGDSSGGGGGDAPRPRRGGAXXXXXXXXXXPPPARRCRWRGAAAPTCWSSSATSTSSARPRCTPPAALSSATLRRRRRALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLQHGRRQRRRRGQQRRDGRGHGGGGGGARGRVHAATVRV >OB06G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19268390:19272875:-1 gene:OB06G32190 transcript:OB06G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGQHGLNRRVDWAVPIERISWAQSCLGRARPGDPFDHLYIAINSEIWQFKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDEQRLIFAGKQLEDGRLLSDYNIQKESTLHLVLRLRGGQRVDVNRVNEAPNIGAQELDPSALGTMLFSATMLIVASQENMPWSLLLLTLVTWFVGCLRLYGFKISFPVYYHRRRGNHSTRAEAPVNTSRDGMVNDVEMENGIPDEGSLARSGYILMTVSVLATWSGFVDEPVRVFLAFLLLLLGCGFLYGAMLAPSKPKTT >OB06G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19277577:19277891:-1 gene:OB06G32200 transcript:OB06G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRDEMISSSVVAAGSNGKAPAPPPPPRHHEAVGGHRRGQRRRRRPRRVRVAIGLMLTAAGYLVFSEAFTAPAAAPPSPGWFFMAFVLWISGLIMLYVSNMN >OB06G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19281085:19283778:-1 gene:OB06G32210 transcript:OB06G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIAHRKKNAMRAGMEKSKVVKGTAPAQAAVAAAGDEARELPPGREHHLLPAPLQDMGEMDSQDKVIQESKQALLNQEGDLEAGGDQSNGLPVENRSAMSTARKENKRLMHHYLKLALLLITISTVPLIDILFLRGSALKLPDGLKCAVFFAFTGFVAAICLLFNTLKLMTIKPEHIIIPINQLRASIVLLATSISSLILTSISITCSLIPKAYYFLPISLLPSILAGVFHFIYRGKFEDRDVTPEKSKILKKALKSATQLTLSLVTTSFSGFIGDLLGIYHKTEKLGDQYSFVKVSIFLMLGAGVAGILALLLCRLLSKNGDQPAADDASGIWWQKTILASANIVTLAMLVPALLLIAATILHGLLLPAVVFPTAAGAAAWVFIEFFTAAGTDDDDGGRTEEDGKAELGTMYAISVAVASVSFGAILTVFGGLLGGTVGKGHLKVSTFFLASAFVSAVSLGVVASTAPARKASVAVAAAVLACCGMGTLVLAALALFYQIGA >OB06G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19285466:19285858:1 gene:OB06G32220 transcript:OB06G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEVTLDRRASSPIRLIKEAKHEADGTQEAHEYGEHGVRVVGFSTVVVASIDEETVGQNHHPNSDQEPPQKPPCRLIHHPIGITSLHLLLHAHGSLEREHNHSGMHVCVIYNLCNAWMIPQKSYAVLCQ >OB06G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19290451:19290615:-1 gene:OB06G32230 transcript:OB06G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAWPGYVIFSFVDYSHIILFSQFQYIVSFYFVLSCEDDLGLVTQYYLVWPCF >OB06G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19306817:19311034:1 gene:OB06G32240 transcript:OB06G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASKAAGKEKGRRKGGAAGGQLLTDQVLSLRARLHNALALGLAKSDGRPKKWQSTCAGVQSHVLRAATSFIGCLSNELMRLPPIKESISDILIALEGILQSKNESVLIPATDVSFKLVSTVGNLARQYPILEIVTSLSCQLAADQIPIIISSASAMNGILNTLATARGSVHIEIWEALEKTGAVLSVSVALQNYSHDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNSNLMGKLAQYCVPSEIDVAVRVIKLYAAIALCGNGAMTLLKNEELMAKVVRLMGKSHPCAARIEALKFCQILLRSSKGCDMLMARHGQHITEGIVNAMSTDGEKMLITEGCRTALLVLRNSGDHHRLFWSNAIDDVLYNILTSSCISSDEVHQILSHDELVNMVLKNFMDIHTYVWDILGNLAVHCNNEYLSVREGKGCALRTLIHCVCSVATDAMQKSSTMKLSKDVHEPALRAVLMMLLSSSGYILSEASSKLLQVFSLGDDCLNILFTSLESNTTRSITASFDSVKIMSNLMSLAGMVMLQPSHNLLNTGSAVAVLSTIIKECLHNNVHLTRSKVASHLQFCFDGVSCCTLVEEWEGDNIALFYGLMVLFNLLKSINFVCIHCKRNLDMGIVCSDCRDHYSEDLIRVLQNVLCQNLSSGPKLYISHILSLFGLCGFPSKLGGKMKGALDDSELADLELLLSDGESLKAHSAIISVRCPKLLPSVKSLGSDGKITDEWDRPFYRVRMSDRVDSFALKKILEYAYTSFVMVDDNVKTVRTLAKYCHLKSLQEMLQKNQPRWNSDCPRYDLTAALAPVKNSFSDIILEAQSNEEMKCGLCQLSTPHVHCHKIVLSMNCDYLRALFQSGMHESFSEVIKVPIGRQALDKLVHWFYSGDLPKITPDCRWRNLNSEEQLSQLRPYAELSSLAEFWFLEGVKEESLAVVTSCLSSTSTAAAVELVGFAAQLEQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDLLDMLRTEYVRYSQHGGRSNRA >OB06G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19315948:19318430:-1 gene:OB06G32250 transcript:OB06G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLLPAASPAAFGGGGGGGWLGPRVSFSRDVVVVTGAEDAAAAVVVCSSSPAAAATPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAVPEVDAAAPRPPQPEAMPVASEPMKPLRAAAAAAVDATDPYVFSPKAPSCSSRWRELLGLKRAAAQSPKPSPSQPSAPPSAPARTPGRAMNSTAARSLKLLLQRNNGRSSAASASEIASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDEFPRLSLDSAADPNPPGIRLVRSSHRHSTSTTSRAGRSPARRRPSPPRCLSVDSPRMNSSGKIVFQGLERSCSSPCTLHASSKPRSSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKETSTAKDGAAARTRSSLGRRTAAPPQGWSGELARSCG >OB06G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19338830:19342659:1 gene:OB06G32260 transcript:OB06G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDLNKCEPWDLPEIACIGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEEQGDAMKLPLKEDWVLCRVFYKSRATIAKLPTDIYNDNSVATTSLPPLIDNYIAFDQPGSSMQNLEGYEQVPCFSNNPSHPSSSTMNNLPLTPSTAMADQEQNMGRAIKDVLSQFTKFEGNVKREALQSNFSQDGFDYLAESGFTQMWNSLS >OB06G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19346936:19349257:-1 gene:OB06G32270 transcript:OB06G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWSFGFHQCRYGYKNVADLEGVIAGYAKARIPLDVMWTDIDYMDAFKDFTLDPVNFPADQLRPFVDRLHRNGQKYVVIIDPGINVNTTYGTFVRAMKQDIFIKWNGSNYLGVVWPGNVYFPDFLNPRTAEFWAQEIATFRRTIPVDGLWIDMNEVSNFVDPPMLNALDDPPYRINNSGVRRPISNKTVPASAVHYGGVSEYDAHNLYGFLEARATHTALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINSILNTGLFGIPMVGADICGFGGNTTEELRWIQLGAFYPFSRDHSALGTVRRELYLWESVARSARKALGLRYRLLPYIYTLMYEAHVTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPRWFSLYDYSLTVSTKTGKRVTLPAPADTVNVHVAGGNILPLQQPALTSSRVRQSVFHLLLALADDGTASGDLFLDDGESPEMVGARSKWSHVKFSGAAENGGVVRVRSHVVHDSYAPSRTLVIGMVVLTGLQSPAPPKGFAVHVNGVQVNASTTAGSAAAGNHKNGALGTVHVGGLALAVGQEFDLKVVMNY >OB06G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19351065:19351295:-1 gene:OB06G32280 transcript:OB06G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSTSPPPPARRGAFFCLLLVFLLLAVPRGVDCGYSVASVAGSKDRLKARLDLAGGTAELGADVQRLSLTARQV >OB06G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19354281:19354675:-1 gene:OB06G32290 transcript:OB06G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPPLLLSLCLCFVSCFSSRWSDELAASGNGYYRTTAFLVDGEGRRLRAELAACGGGPTAYGDDVPRVDVYARYL >OB06G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19355405:19360786:-1 gene:OB06G32300 transcript:OB06G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFITSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLFLQQYGVRKLEFLIAFLVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLNPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWLRNLLTRSLAILPSLIVSIIGGSSAAGKLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKFISVITWGIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNKTATLPLLESDSTVRITGCDTSAEGEGSLGHLPREDISSMQLPQQRTASDLD >OB06G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19373646:19373942:1 gene:OB06G32310 transcript:OB06G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLPCADRFFSPRSTLASCSVYSRSSSSPACAAAHRSDPDMDRWIDVLFMSEEKLQVCWSISSCVRAWLPVCHSCSPIHGVFGCCGWRARSQACVP >OB06G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19378271:19378558:-1 gene:OB06G32320 transcript:OB06G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILNDKLLVSPSIDLTLTTWTYMLRNILPQQKHLLNVCIFDILGYGNYSLPRERVVRASTSFSVSVKSKNAEFAAYLHMSTTRDHREHQFWTTV >OB06G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19381977:19385073:-1 gene:OB06G32330 transcript:OB06G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPLFFKRSRTVQQQTDPSNEDFAGAENITRYSYKELAKATLNFDQSNKIGEGGFGPVYKGTLKDGTNVAVKLLSLQSRQGVKEFLNELIAISDISHENLVKLHGCCVEGRHRILVYNYLENNSLAHTLLGSRQSNIQFNWRTRVNICIGVARGLAFLHDGVRPHVVHRDIKASNILLDKDLTPKISDFGLAKLLPSDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSYGVLLVEIVSGRCNTDTKLPYEDQILLEKTWKYYDQGHLEEIIDSSIGDDVDVDEACRFLKVGLLCTQDITKRRPYMSTVISMLTGEMEVDKEKINKPDVIRDFSDLKLRSKATSSSSLLTSIMAHSTPSSSQETTRTSITFTAISDR >OB06G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19393875:19399047:1 gene:OB06G32340 transcript:OB06G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPPLLLCLCLCLASCSSSRSEELAAAGVTGNGYYRTEAFLVDEEGRRLPAELAAVAGAGGGSTAYGDDAQRLDVYASLYDYSLAVSTKTGKRVTLPAPADTVNVHVAGGNILPLQQPALTSSRVRQSMFHLLVALADDGTASGDLFLDDGESPEMVGARSRWSHVKFSGATEDGGVVRVRSHVVHDAYAPSRTLVIGKVVLTGLQSPAPPKGFAVHVNGVPVNASTAAGSAAGDAKNGALGTVHVGGLSLAVGQEFDLKLVMTY >OB06G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19406797:19408157:-1 gene:OB06G32350 transcript:OB06G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLALLDQTMPFPAAPGGGVAAGGSGSDRLSQEIFSILESNFLFGAPPAEGPGYYSVGRVRVLSIDGGDGGALAAAALVRLERRLKELSGNPDARVADFFDLAAGSGAGGFLAAALFACRMPAEAARDVVAKNRKVFSGRHGRGGLFWRPESVFKKVFGDLTVDQAVSMAFGESRATNYIRIQGNGIAAGATTAEAAMTERSVESVLFRGKKLMAQTNGERLDGVAEQLLREHHRRMESKTPVVLIKPSATPRTSSSSASTLITVSTNASSESP >OB06G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19422489:19423204:-1 gene:OB06G32360 transcript:OB06G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRHRGEKRDGSGGGTASHYSSLTHSSSGMELENLAQLCCALMVFGQLSDVLHFRRIIAYF >OB06G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19428889:19432319:1 gene:OB06G32370 transcript:OB06G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAEGQSSEPGDYAAPAQDDNVQTASGHNSRRPNLSLQIPARTLDNQIGSSARINISPSPSSTRAGLPPRPNSTRTKSSLKNIIPQQNFRARSSAQEGDRAILLTPGTTSEGPLDNTSALRSFSFRKVINSLSAKRTHSLPVTPIATADKASPPGIQIDNVPTTDNQEIQARIRRSLSAPGNRKNRSLRRADSLGVIRVIPTTPRPIPADTIASSDGIEETVDGSGDEGEDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQECAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVTNGAHQRASQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLRSRALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFAHIFYNVLKVNPVLAVLLSSFTGFGIAISANSMLVEYLRWRSRRNQQLAQSVNDGQRQEPASDAVSENNGDRQQRQHQESGDNIV >OB06G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19434505:19437624:1 gene:OB06G32380 transcript:OB06G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G20930) TAIR;Acc:AT4G20930] MGVVGWRVRSMVQQWGWNCRRGLSSAAVRSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDINENTMKKFSDDGIPTKQSPLEVSESSDVIITMLPSSAHVLDVYNGQNGLLADGANLGPWLYIDSSTVDPQTSRKISMDISRCTLKENKAYNDKPVMLDAPVSGGVPAAEAGKLTFMVGGLEEAYLAAKPLLLSMGKNAIYCGGPGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMGVPSSRNYDGGFTSKLMTKDLDLAMVSASGVGFKCPFGSQAFEIYRKLCADGCELKDFSCAFRHYYDGKDEN >OB06G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19439612:19443964:1 gene:OB06G32390 transcript:OB06G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPDKPEDAAAAEAKAAKLRDLQAQVLRNHHDRTYSKEALGLSFKLLEINPEAYTAWNYRKLAFQHNLGELSEPEAVKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLAKFMGVPEEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIKRSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPENPQLIASWPSNGAKLSLSSSSSICCYSLKEGILPIVLYFNEPVKGLSSSSVNLNSDLVVGKNIQWKPLSVTDSGHSNCWVTYLEVSNLEYSSLQQFSVEVSITNLDEIVSRTGSNFCCPMHFSFTVELSSIDSTDQDTDPIHDLISWDFLDPLPSHVNPSCTRFELLKITDASVHEESNWHLERLSDEIDLFRELPDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCEEALRLFNDLIHLDPSHKRYYEDERSLVLVDQLTCDMEAVKKYCSVKVLPKLAPLNHVQLCRLSLTRIGFAERLLWVQILDLSHNNLRSIEGLESLQQLVCLNLSNNQISSFTALEPLTKIISLKVLDLSSNEIGTHPIDTARYICPSPFSHKVEACEAFEECLKKNINVEEFWDAILLFKNVNLVQLCLEGNAVANKEGFMTLVVTLNPSLKWLDGACVH >OB06G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19445205:19450769:1 gene:OB06G32400 transcript:OB06G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) TAIR;Acc:AT1G30460] MEIGSAGGGGGGGGGGGRGRGGSSYRQTVCRHWLRGLCMKGEACGFLHQFDKSRMPVCRFFRDYGECREPDCSYKHSYDDVKECNMYKMGFCPNGPGCRYKHVKLPGPPPPVEEALQKILQIRSFNKFNQHRHNNYNQQGERSQHPQGSGLPNQNSVDNTAATTAQPAVGQQVQTTNQQPPQQQQQQQQQKPNTNDQVQSAPNVPNGLSNQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSVNRTRHFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPLIGEQLASLLYLEPDSELTAILIAAEAKREEEKAKGVSADEATDNQDIVLFDDNEEEEEEESEEEEEGNAQESQGRGRGRGMMWPPQMPMLRGVGPMMGGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFARGGPMPGMVFPGRPPQPGGMFPMGLDMMMGPGRGPLMGGMGMGGPGRPNRPVGMAPFMPPPPPPNNRGAKREQRRPGGERGDRYETTSDQGSRGHDATGNSGAEGTRSQSGDRYGRSALRDDDSESDEEAAPRRSRKR >OB06G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19444753:19445109:-1 gene:OB06G32410 transcript:OB06G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G18372) TAIR;Acc:AT4G18372] MADVTSTPDDSHRSSSPPPQETAAAAGGSQHVEQLRKMLFRRMLVGVNDGRYFLGLFHCVDKQGNILLQDAVEYRSARHSSPSPTEQRSLGLILIPAACRSSCHVDCSVEGSMSLLSL >OB06G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19452305:19458605:-1 gene:OB06G32420 transcript:OB06G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3MGU8] MRLSSSSGSVIPAQAASPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPAQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRESANPGFQSLNFGGLGMSPWMQPRMDASLLGLQPDIYQTIAVTAFQDPTKQVSPTMLQFQQPQNMGGRANTLLPSQVLQQVQPQFQQQQYLQNINETTIQGQAQSEFLQQQLQRCQSFTEQKPQLPTQQQQESQQQQQQQQTQCMQVPQHQQMQQQKNMANYQSVSNALSPFSQLAASQSSPMTLQTVLPFSQPQSFPDTNMSSLSPSNTSTIHNTLRPFSSEAPSHLSMPRPTAIPVPDPWSSKRVAVESLLPSRPQVTSQIEQLDSTTPNIPQSSALAPLPGRGCLVDQDGSSDPQNHLLFGVNIDSQSLLMQGGIPSLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLNSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >OB06G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19453217:19453490:1 gene:OB06G32430 transcript:OB06G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAELKSSKGLPICCTSCGESIFMQETLFTNSLEGHRQRGGHHPLGRRIQAASLISKGFPTGPQG >OB06G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19474931:19475113:-1 gene:OB06G32440 transcript:OB06G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRRHGWKCRKKKRLRPSLASSSQTLNRSNCMLLYGPSSDTTRIDQQYSVDVQTKLGGK >OB06G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19481402:19482861:1 gene:OB06G32450 transcript:OB06G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWSGGFCCFTSNPETTSNGHSFRRTADADTATEWSHSHAADYGYYYSPPSATSSSAFGNQLPRTPRRLEEVVMEVRERAPLLMDDDGDIDSVGAAAVDEAIAWAKERFWSQA >OB06G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19482796:19488316:-1 gene:OB06G32460 transcript:OB06G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVAAQAQRRLLSSSAGALPGRQPPPPYQIRRKVAGGRGAAFVSSRWLHDAQYQVRQDGVSRSEEHQDPFELVADELSILANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPISDSTEVGVLSILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMDYYLQKTYYKTASLISNSCKAVAILAGHTADVSVLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGVITAPMLYAMEEFPQLHEVVDRGFDNPANVELALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALINITERVITRTK >OB06G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19496405:19496902:1 gene:OB06G32470 transcript:OB06G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGIQRASFLLQVVRASSARSRKGEGVVFSEVAPWQQPASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRAAGNDGQAFLIIEVVFESRDMRLSGRGCCQLTIYYDCMRHLLPKKLRSSSSCPRNGIYSLVRYKMTP >OB06G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19501607:19528084:-1 gene:OB06G32480 transcript:OB06G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPXXXXXXXXXXXXXXXXXXFPSADVDDAPTPRVVFSDSTEERILNTLWKKYENALDKAEKEKSLQFFVLQFVQTFRDWGPYHIMQLVDQELGSDETVVGCSHGHPSEVILILIQEMSIITSTITESGSSPESSPNYSEQLGDLGLRTERLHVLECLTILTRSMHNCRVFRYYGGVKKVTSLLKAAVAQLKIQNNLLAADNRVSCQAVENIKMMLNMLIYIVTVISNFMKLEPTGAWIPHFLRSSRYASSKNHLATVTPGTSESGIYDTLQRWQQKAIILVMEAGGVNSLTELLRVIQRLNLKDQWADLSLHFITLCTLRSTISGTRAQNHFKSIGGLEILLDGLGLPSSKFSVSKHSSIPKDERDEVLMLQILYLEILSEAVFGNVNNLQFLCENGRVHKFANCICWPAFMILDFHRQRDNTRTSLASDSISGPIYFLDITEWSDYSAKLSSALCSFIIPSKDINNYADEIACNKISLSIPSAYREQSVRWIIRVLMTVFLCIKACTSETELPYHIKILAKTLQLDMIRTFRVVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDIKFHIVTEIRNEDVNDNIEPTNSESLYLSDVNILQLEAISFLEFAATLNENKYNLPECSALLDALEHCISDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACKQAKELQNFSCLPGDDVIISRNGSQMKNIEMSSSEERTIHSIICVELALSLFKEYVTISSYGRILILHNPDCIECLFNLFEEKNFHKHVLEQILALFRLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSEFLVNLLVSMREIIMMDRVYYQNLFRDGGCFLHIVSLLNGTFNEETGEQLVLNVLETLTLLLEGNDASKAAFRMLVGVGYQTLQNLLLDFYKWLPSEKLLHALLSMLVDGKFEINEITTIKNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSFLLNWFSVEERDDKVVEIADLIQIIGAHSISGKDIRKIFALLRCEKIGAKKKHTSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIISPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYESMNQKRECVLLPLKLPPKEWKFLSVTHTIGRAFSGGSHLRCYVDGNLVSSEKCSYAKMNLAMTHCTIGTKLMPVGEQHISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDGADRSTFEAKIIGGTKLCSRHLPQDIIYCVGGVSVFFPLFNQFRDTVTDGEQSSHASLINYKLPAEVIELVASVLDGNVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYTFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEGVDSRFGVGSKSLLHPVTKQAVGDRPKIEEICKLRLLLLSLAERSLKLQVSLADIRALASFFGRNQDITCVEDMLKMIIRALSESSVLTSFLEHTDSLGGCCIFINLLKREFEPIRLLGLEFVGKLLAGVPSEKKVAKLFTLPIGQSSPIFDNSRKEITAASHLFFYTISERLFKFPLSYNLSAAFFNVLLGGTSPNKEYSQSDQSKNKDCSSSHLASFFLPQILVCIFRYLHSCQDSSARIRILSDLLGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKNYRSVSKGELDTVEIDEVTLVRNLYALVLAYYLSTVKGGWHQLEDTTNFFLLKFDQGQLSSSYLLRDILDDIIGSLLQTSSEENIFLSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSDPSEEYFSSNKWKEIKWKEDIKSTLDEILIEENHGQYKSFPWRSCQFAAADETSDDWWNFFDKVWDLICNLNGKGPNKLLSKGPNIEVPSLGQRARGLVESLNVPATERAAAVVSGGIGTALVAKMNRFSDKATLLREEIFPRVFFHLVILYLCKAGLENASKCVLQFMSLLPVLISEDEQSKNKLHFLIWSLLIARSQYGILDDGARYHVLSHLILETIICGKSMLVPNILGRDESMEIGNNKETGFILSFVEKDRVLAAATVEVKHTKAVQADRLRQLEELQSNLNEQLSEETQLEKMIEDNIHISVTSSLSADDKRKIAFRLAFDEDQQIVADKWVHIFRALTDERGPWSANPFPNNVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSFSTKVPGKLKRFLLKGVRAITEDNAYEPFVDTNDASSQSNLSESQNPNVSDSSDYRSSVQNKKEPSSNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFQFLVEGTGGSSVFSKFKETEDSDCKSDLGGVERLDGGRDYVTKTPNGVLMQKQSQKIKHHRRWNITKIKAVHWTRYLLQYTAMEIFFDDSNAPIFLNFSSQKDAKSAGSLLVSLRNEALFPKGSVKDKNSVISFVDRRVALEMAENARERWTRREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFDDRYVNFCDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVALPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRIKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLVLSIKLWLTTQLQSGGNFTFSGPQDCFFGIGSDVISPRKIGTFLAENVKFGRQCLATMQINGDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVGCVAVSSDGNVVATGSYDTTVMVWHSFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGIGLSKLVASQHGRVVFYSENDLSLHLYSINGKHIASSASSGRINCMELSCCGDFMVCAGEHGQIVLHSMHCLDIVWRYDGAGKTITSLSVTPEECFLAGTMDGSLLVFSVETPLLRKRSMPRTRIKPPTTS >OB06G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19528899:19533126:-1 gene:OB06G32490 transcript:OB06G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSISLCLVSLLVASSLLAARGDDPYRFYTWNVTFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIVINVYNSLPSPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYIMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPGIPVPFAPPAGDFTILAGDWFKLNHTDLQGILDSGNDLPFPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGISTSVNIRIQGHSLLLVEVEGSHTVQSSYTSIDVHLGQSYSFLVTADQPPQDYSIIVSTRFTNPVLTTTAVLHYSNSNGVLSTVPPPPAPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRANINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFTLGSMPDNPTGGGAYLQTAVMAANMRDYIEVIFENSENFVQSWHIDGYAFWVVGMDGGQWTPASRQSYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNIRSEIWGRQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >OB06G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19535603:19535991:-1 gene:OB06G32500 transcript:OB06G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYYQLVSFGITTSHDKESSKRYRYHMVLGDTEWYHMSKWYHIMSTYINRRYQYVSDGDGDSEPSFDTK >OB06G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19549828:19550058:-1 gene:OB06G32510 transcript:OB06G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLSFNSHLTIIKETKLMSTHNLRAFSASSQIRNFACGRIVKLGKTVQFLSMKESRDSTPKTTKPNLIDGYAKY >OB06G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19550311:19550511:1 gene:OB06G32520 transcript:OB06G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVHRRHSEFLQVLTFFELGNYKETVVSEGLAVSDETIVSCRIDNDELIGNQRIVKSVLCNFLNY >OB06G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19552653:19554722:-1 gene:OB06G32530 transcript:OB06G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEKAAXXXXXRRGRGRRRRASCWSTTCGGTARGTGTPCGGRPACSAAGRAAGSGGPTTSAPTSARAPSPSTRSASSSSSMASSATSGLASHPNCRGGRTTRSRTTGTRASSGGPRELPSNQFDTATTSDGVGVLESLLGDEHLLPRPNPTMLRVGSMPELLYREPTSRLVLHGGGSGGASDSDVTSQYPSGEEDPQYGGRWDFIFEDVKPSKRRAASAVEEEISGMFGITPGSIPGEWFAGAGGSSAPSPGPSSVVTDDEFSLEVQQFMSSLPLPSEELDWNA >OB06G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19558181:19561516:1 gene:OB06G32540 transcript:OB06G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MGW0] MSWRKGDGGGVARRWVLLLCAGSFFLGLLFTDRMWTLPEISEVAKPNGRSDKDNELTAGDCNSAKVNVKRDFKYILQDPNTHHDAIQTLDKTIANLETELSATRTLHESFLIGSPVSERHKASGSVGRRKYFMVIGINTAFSSRKRRDSIRSTWMPQGIKRIKLEEEKGIVIRFVIGHSAISGGIVDRAMKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGHILSEHVNKPRVYIGCMKSGPVLSDKDMRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINRRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICDSVDNMQGVHNRCGESEKSLWISSF >OB06G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19562954:19566906:-1 gene:OB06G32550 transcript:OB06G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26980) TAIR;Acc:AT4G26980] MEKGKSVVAELATSLRDVEVTPRRKPAGLLPAASFYSRTTKGRPRKLVSLCLGILGQHLEDIITDISEFATFFPPHIKLAIVSIARRRRLLNDEVLISLADSSWEILDISGSDVSDVGLATVANISNNLCAIDISRCERITAAAVSEVICHCPSLEILRCGGCPRSESTARRSVNLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDGNSKEIISMECPRITVNPQPSPFDIRGSKVPAEALASVPLDHSIIADIDPKTWAVAAAPQRPTIPTDPNAPPEMSIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYVMNDINAKSVALAAQVSKYLRKS >OB06G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19569304:19574561:1 gene:OB06G32560 transcript:OB06G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G11660) TAIR;Acc:AT1G11660] MLLGYLRQLAEADLEAPVAECVISVPCYFTQAQRQAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGPGGPTYVAFVDVGHSDTQVAVVAFDVPGMKVLSHGFDADLGGRDFDEVLFEHFADEFKDKYKIDVTGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREEFEKLCAGLLERVVEPCKKAMVDSRIEFDRLHSVELVGSGSRVPAIARVLAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDANPASIGFCTIEGPISTLSSKPLFQRGHPLPSVKVATLHKNSTFKLDAFYVDENELPPGTSTEVGSFQIGPFQAHTEKSKVKLRIRLNLHGLISVESAALVDDDQSNANSADSMEVDSNGEMGDTSRSGKLIQRQDLPIIESIYGAMSKQELLEAQEQETQLAYQDKLMERTKDRKNALESYVYDTRNKLSERYRSFATDSEREEISFNLQQTEDWLYEEGDDETEAVYTSKLEELKRLVDPIENRCRDEEVRAQAMRELMKCIADHRMAAKSLSNPEQDAVESECTKAEQWLREMSQLQETLPKNVDPVICSHEIKKKEQELNMLCRNIVRHRGSPARGEDHMHTADRD >OB06G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19575148:19575684:-1 gene:OB06G32570 transcript:OB06G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding WILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPQPRSLGRHSRLYQEILSYFLRKKELPECLSVTC >OB06G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19592781:19597973:1 gene:OB06G32580 transcript:OB06G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MGW4] MGGAAAVLVVVVLCLALGGGAAGARPSEVSIGALYTYDSVIGRAARLATELAVEDVNADGTVLAGTTLKLISHDTNCSGFVGTIEALQLMEKSVIAVIGPQSSGIGHVISHVVNELHVPLLSFGATDPTLSASEYPYFLRSTISDYFQMQAVASIVDYYQWKEVTAVFVDDDYGRGGMSALSDALALQRARISYKAAIPPNSNVETINNVLFRANMMESRVFVVHVNPDTGMRIFSIANKLRMMDSGYVWIVTDWLAAVLDSSGAGDLRTMSYMQGLIFLRQHFPDSEAKKKFVSKWNNMARNRGIASGLNAYGFYAYDSVWIVAHAIDQFLDSGQQINFSADPRLHDSNGSALSFSTLKIFDGGEQLLQQVLLTNFTGLTGQVQFDSDHNLVHPAYDIHNIGSSGSHLVGYWSNYSGLSVTTPESLYEKPANTSINAQQLSNVVWPGDPASKPKGWVFPNNGQPLRVGVPNKASFHELVSRSAGPDNVTGYCIDIFNAAIKLLPYPVPCQFIMIGDGSKNPNYDDIINMVATNSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPTKDANSSAWAFLKPFTLEMWCVTGALFIFVGIVVWILEHRTNEEFRGSPRRQVITIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGIDSLISSALPIGYQAGKFTKNYLIEELNVPESRLVPLSTIDEYADALNRGPKYGGVAAIVDEIPYIEIFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSESGQLQRIHDEWFTRSSCSSDDSDTGATRLGLGSFWGLFLMCALICVFALVVFFARVCWQYYKYSGSEDAHEPSDDSAATTTGQDEVAEIQRRKPKRLGSFKELIQFVDKKENEVRRSMKRRPSEKDNQAMGSSDSQSVP >OB06G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19597632:19599011:1 gene:OB06G32590 transcript:OB06G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSGHCKLGRQGLAGSKGQASYSGSLTLNYQQLDSYSGSLLLALASKHPHLHQITTEGSRAL >OB06G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19600105:19602760:1 gene:OB06G32600 transcript:OB06G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily B, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G11600) TAIR;Acc:AT1G11600] MVDMNDVLLVVSAAVLAAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTDAELIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPPRVKGFSWIREWAVGSHLRRLRAEFAATGAVRMMANCRLSICSILICICFGAKIPDELIVDIEEVLKDVMMMTMPKLPDFLPLLTPLFRKQLTEARNLRRRQLGCLAPLVRARREFLKDGGAKNADGNTVVGGVEMMSAPGEAYVDSLFDLEPPGRGKRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAVQEKLYGEVVSKVGTTARITEADVETMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPETWPDPETWRPERFLEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNPLRAAIVERRRGDLAAVAASA >OB06G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19609033:19610726:-1 gene:OB06G32610 transcript:OB06G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTLRWRRGSRCGRTGRAWPSRAAPAVTGADGIEGHLIAELEGGGGRLRARHPGEAHAFFLPISVANIVSYIHRRDMIDYWDPQLRLVAGYVDGLAAKYPFWNRSRGADHFFVSCHEWGAAAASRRAVEAISAGCVPVFVAAGGDSYSPPFSDVLDWRRFSVAVPADRVGDIKDILAGISDHHYAMLHRRVLRVRRHFRLNRPAKRFDVVNMVIHSIWLRRLNLTLPY >OB06G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19611936:19612547:-1 gene:OB06G32620 transcript:OB06G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERSAHLKLKLTIHGVQERLRLPLRPRQLGLVPPPRRRRRLRPRRWPRQVSRRRPSPFPLVGVTIVTRCSCRPGTREPSPSTYTLSLTHRVTALCSDVISNGHYYYHACSIAASCSCRYQCKKTKKKKRVTCTMYTFWQFFVLILFCSCVRCRSGAWRPGSPRRGRPSXXXXXXXXXXXXXXXXLRPQRRRVPRRLRLPPVQ >OB06G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19613217:19626424:-1 gene:OB06G32630 transcript:OB06G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRAQQQRKEAEAEAAARGGGGERRLREASALRKEAVAAYKMYLEGRHDEAIARAEELATKNPESVPMVHLAAGLHNNACSRAVASGGFDCQCKVTAMHIARARDFYMQAKLLAPNCIQIATGLAMALLFSDKDYEPDREIKRAIEIISPTDPAETNVAFDLEITGSLSTAMDRLAKAREDARILHDQIMHHMFTKSIPDAVVYVLDIYNREGAAKANEEAKKLAARYDYSARAHLTRAYISLQFARCLDPNIDKKMFLNRVLDILNNVVYKFRESLEIAMFRAKLCFVLEMYYAVEAECDRVFLMENPTDPGEEDVPPGSIPGDKPGDRKSFISRELQRLLQKLVLATRDYWCSLTVEKQESFRVVGLKSLHQHFVDVYEHFPEAAKTISDALNFVKKNRSWRFWICPYCVGKKFLGIDSLVQHMRNKHPEGNFWPKLLSVLDPRSVSDTPEDDYLLDNVTISQDSEEQYVFRFKRMDDIFKFLFLRASNKTDEEKQLPEVREEKCRKAGFILDKIKLNLKNVSTDNLSTELKEACVEIRAMWHSFLDISLLDYGVVISPFAISFISDRLLECMTEDKRAANNSIDGAVIDAVFPFVDVLPDIDEIFPNVEDVPDSNDADTSTTVTYGQSTEEMESATRYQCFDVFNKENTDKDLFILYLIIQSLWNLRCFRDEFLRAPPARILHINENCCIADLICRIFFAWEKNEHNQVNVLLTSVKANLCKIADGNMFEKAGKTFASEVLATILQGLHMSETPLHFDFNSEIEDLEVRPVSCKDCICRTHNLFGISFHVQMSCMCGKCFDEKEHTAIFYRLDADCPQTTEIKSFADLPVIYEQLCFEDNCEHCGSLKNVDVSPSSTSHCFIIGLDWFGDCENKVQLSEVMVGIAHPLDIKLLCKGVHSAANYSLASMITYADGHYICFVRDQHKWLICDADTVVAEDSWEQLLEHFKDCRLQPEVLFFEVNLVNQFQLQAGKTFASEVLATILQGLHMSETPLHFDFNSEIEDLEVRPVSCKDCICRTHNLFGISFHVQMSCMCGKCFDEKEHTAIFYRLDADCPQTTEIKSFADLPVIYEQLCFEDNCEHCGSLKNVDVSPSSTSHCFIIGLDWFGDCENKVQLSEVMVGIAHPLDIKLLCKGVHSAANYSLASMHFGEYLQVNNVPVGGLIRPKTILTSNIGKFYENKMHRNQQPLIENVCAGGIIRPKTMPVSNIWEVSRKITYADGHYICFVRDQHKWLICDADTVVPCRLLDSDLLSNSELLTLYRLKIPGSSCLNTSKTAGSNLKFSSSSEERMGEERPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKRAEVKLE >OB06G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19628663:19629976:-1 gene:OB06G32640 transcript:OB06G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASVGVALAWLGLMAAAASATQFRVGGGRGWSVPDANAEPYNSWAGRMRFQIGDQLLFVYPKETDAVLVVDQGAYNACNTSAAATAGGRFDDGRTVFTFDRSGPFFFISGNESNCRAGEKLIVVVMANRSGRHAMPPSPAPAATPSLAPSPAASAPSPSTSSSPAPSVSPMVPAPAATPGSAPPSPGALAPAPAPTTTPSSPPAPAAMAPSPSTTPGGTVPQPPPGTDGANATTPAAPTANDRSGGAPAVVAGALTSLGACIIGFAMLAI >OB06G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19632092:19634269:-1 gene:OB06G32650 transcript:OB06G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKMKGIFKGLKIISQIFAMHKQHEMEIGCPTDVRHVSHIGVGASDSCPSWMSEFRGLEKLSAGSMTMSSFSKSRQTSWASQDFEQPPRTTFPTGSCPENSGQEAAGCCHDTPRGPKNPRRKKAARASSFSSSATSFMSRSRSSSFATACGDFGQLRGGLQVA >OB06G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19644977:19647171:1 gene:OB06G32660 transcript:OB06G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin 13 [Source:Projected from Arabidopsis thaliana (AT1G65350) TAIR;Acc:AT1G65350] MAMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >OB06G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19645988:19646830:-1 gene:OB06G32670 transcript:OB06G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLLLDVVIRKGPPILKLLAGKDQTLLVRGNTLLVLDLGLHVVDRVRGLNLQVSQCAAILELLAGKDETLLVRGDTLLVLDLGLHVVNRVRGLDLKCDGLASKGLDEDLHASPEPEHKMEGGFLLDVVVSQGAAILKLLASEDETCWSGGMPSLSWILAFTLSIVSEDSTSRVGLSGQGLDEDLHASPEPEHKVEGRFLLDVVVSQGAAILKLLASEDETLLVRRDALLVLDLGLHVVDRVRGLDL >OB06G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19649743:19650586:1 gene:OB06G32680 transcript:OB06G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKLTALFFAFALVAATLAPPADARVQGFNQEAASEPAIAGESKAATGGPGVLGILFPFLGGGGGAGAPPSAGGSGFRFPFPLPIPAAGSSGSAGSGFPFPMPFPFPFQQPSSPGTPPTQPSPASPSSPSSSAPPPSPAPEQPKECLTPMMSMMPCAEYLTNSTMQTPPDTCCAGFKSLADKAAICLCHGINGDLSKLLPLPLDLMKMMTLPNTCGATVPLQIFSLCNTPAVPPLMPPSPSAPAPA >OB06G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19658538:19662138:1 gene:OB06G32690 transcript:OB06G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MGX5] MAAPLTTLVMVVVVTVAVSVAGGAQAWVLKEGFYEHSCPRAEEIVKHYVGQHIPLAPSIAATLIRTHFHDCFVRGCDASVLLNGTDGAEAERDAAPNLTLRGFAFIDRIKSILEHECPGVVSCADILALATRDAISVVGGPFWRVPTGRRDGTVSIKQEALDQIPAPTMNFTDLLSAFQSKGLDLADLVWLSGAHTIGIAHCNSFSERLYNFTGKGGPQDADPSLDMEYAANLRRTKCRTPTDNTTIVEMDPGSFLTFDLSYYRGLLKRRGLFQSDAALVTDAAAKANIASVVGSPPEVFFQVFARSMVKLGMVDVKTGSEGEIRKHCALVNTHY >OB06G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19665230:19667902:1 gene:OB06G32700 transcript:OB06G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT3G07080) TAIR;Acc:AT3G07080] MKVDDGPSSSGSRWAKMMSTDSWKWCLGLIYIVTVAGIWIAASYIVQSVVDAGVSPFLITYICNSLFVIYIPIVEVARYFEDSINNFWTKLKVKDVADPQQSTDLESINLLHSSEQEGNATSPSSLPEDILASDTVFPGQEQLSLAASSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTVIVSLADSSSNTANSIATNPLLGDILSIASAGLYSVYITLIRKKLPDEKEGQGEVSMAQFLGFLGLFNMLFFLPVALVLNFTKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQASPQEQEAPIVSMVDDPTHLPSDENPTGAV >OB06G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19666133:19666429:-1 gene:OB06G32710 transcript:OB06G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLATRARVQRPFASNPLLLAAKLSCSWPGNTVSEANMSSGKLDGEVALPSCSLLWRRFILSRSVDCCGSATSLTFNFVQKLLIESSKYRATSTIGM >OB06G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19671365:19676200:-1 gene:OB06G32720 transcript:OB06G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFAVVVLLTCSALLSSAAGRNGSMLVSTNQSDNFGATMGDTTLSKDLVNGSNRGQQFKHIIREVLRHDTDSENAGYTTKSYVGHYGFMATMDVYGFPLSPGQMFSYASVWIITDDADGTTDNLEGLHIGWSDTSSGNWLVYYGFNRDEPELIGRIPMSFFASLSSSATNIWFGGMVFANLTTEPAPPPVPMGSGYMVADGGDMAASMKNLQFMDEQGRPWPAVDDLAGYSTKEDVYAYSPIVDGRQHYGYSYDVIYYTVNKTRFLVKPHQQVLITVAVSLFSACGGAYVCKSCWKRKKQHAPPPPLPLHRARRADPTAEEEGRKHDHVGSSSGSDGDGSGTGDGNSSGTGGGVYSVSNDGFYGGSSDAASDAAAPCNIM >OB06G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19680867:19683819:-1 gene:OB06G32730 transcript:OB06G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVYVGLVLLSCCFLPLLIISSAAGNGDRRLFWPNQAEKCGEMGETETAFCRRLVNGSNWVQRQQADNDAQNNTIFHEAGYITKTYEGGRYGFIATMDVYGFPLSPGQLVSYGSAWIITDNTADVIKSDLEAIQIGWRDAVSGDWLLHYGFNQDPQLIGRIPMSFFRILSDSATNIWFGGMVVTDPTVPPTPLPPPMGSGYMAVGNGNMAASMKNLQFIDEQGQPWSAAKDLVGFSTMKDSYTFTPMVDDQFFYGGPVMPMSSDAMSHSYAIYSFLLVSFVYYLFS >OB06G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19687872:19688024:1 gene:OB06G32740 transcript:OB06G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPIPEDFTVPHGIMARTPDDILLMCGHILIDGVLGASLILETPHIFA >OB06G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19690039:19690950:-1 gene:OB06G32750 transcript:OB06G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLTALFFAFALVAATLAPPADARVRGFNQEAASEPAVAGESKIATGGPGLLGILFPFLMFPFLGGGGGAGAPPSAGGFPIPAAGSSGSSGSGFQFPFPLPLPHPSSPGAPPSTGSGFPFPMPFPFPFQQPSSPGTPPSQPSPASPSSPSSSAPPPSPAPEQPKECLTPMMSMMPCAEYLTNSTMQTPPATCCEGFKSLANKAAICLCHGINGDLSKLLPLPLDLMKMMTLPNTCRATVPLQTFSLCNTPAVPPLMPPSPSAPAPAN >OB06G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19692145:19695616:-1 gene:OB06G32760 transcript:OB06G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp RNA-binding domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G31200) TAIR;Acc:AT4G31200] MPSPSPPPPHPYMHPPPFDSAPPPAAPPPSDPELQKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPLGPMMRGPPPMHQPGYPTFYDQHQQHFAAHGHGEYDAAPQSFKGLSGPLPVDVAAELHEVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRSNARDLDNEALAFRSVLGSMLARIYSNPQSKDDNQMRLEKILQFWGSKEVYDQETIANLERDMKGGVSYPLQPRHVSPDPSAFSGSVQQPSKWSSDPQEEHPVSIPPQPVPPAQFPINQLPAGVYPPVGQTAFPGSLPVQTPTVLPQTAVTPATTNDPNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTMIPPSTIPESEILERVSKFFKEIGEVNPSEGPMKQSEPDDYDNYDRDNYERDLPARKGGACIPPPPNLLVNPETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSISARSTVPK >OB06G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19696924:19703136:-1 gene:OB06G32770 transcript:OB06G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLCWAAHEEADHTYSWMACPIVGLISSSPRRRPPFRRPVLVVLLSSPPTLAAVSSRRRRSRRRGETERRGGVMREMMGPPRRGSAYKTKLCALWRRGDCTRASCSFAHGDAELRGPPPHSSFPPRTGPGRRDHRPDDFRGRHLRRYSPHRRNSPERDARGHFFRDQRPHSRDRGSSHSRSPIRKRHRKKIDSGKTDSSESLNTSDNDDRKKDDISNNADDKHDNEAQLQHIQLDMEALREEKSTLEMMLNGKINEASALSSRIADLESQLSEEKDACQRMASKTKKLIKAHARYVKAQDDLKRSQARFERLADLLASDTLKPCSKDQGSSAAKEDTYNAYDMSPSDQRQNHEAARKRSIALSTSEEGRSAKKKRESYDNSIPMSGKYRPEDALEPFQSSKGTETEEVLPVKRNLGEGDNNEEVNIVSSGNVFTDRYEGGDDDVLVC >OB06G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19703028:19706073:-1 gene:OB06G32780 transcript:OB06G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT4G21320) TAIR;Acc:AT4G21320] MGPTCQATRRQSPWPPVRFQKERQVSVSFLPLRLFICSIRWPATVAEREGKATAEMEMRRWREDVVALSLRGCGFGDGDDDDDDRPEKPRRYGVTEMRSPLYAFRPARHALQEILDSLSPFVDGLKFTGGSHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSFFKQYVEECKALGFDNIELNAGSLKLPEEALLRLVRLIKTSGLRAKPIFSLKFDSSDIPPSGDRAFGAYIAPVKQSSERVENVDLLIRRAERCLEAGADMIMIDADDICQRADSLRADIIAKIVGRLGLEKTMFEASNANTSEWFVRRYGPRVNLFVDHSDVMNLERLRGFNMRGSSNSPLLGIGSPFFLM >OB06G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19708775:19710169:1 gene:OB06G32790 transcript:OB06G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWAALKKSLNCKSGDSCGVIEREESQGVVTGGKKAAAAPPAPALRRSGCSRSIANLRDVIHSQYGGSRRQEVAAAAAVAGGGGECGSPRSIGSSDVLNPVTHDVLLAAGPDAKCELRISTPGRGAWGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRSGTVADDDDGAEAPSPAPARASCEVGVRCHRCGDRFANHDSLESHHLSRHAVTELVEGDSSRKVVEIICKAGWPKSENALGRVERVLKVHNAERSVARFEEFRETVKGRAARLPKKHPRCLADGNELLRFHATTLSCSLGAGDSSSICTSGSCSVCRIIRHGFSATREVRDGVGVFTTSTSKRALECIQETGAGAGDEAEAANAGVRKALLVCRVVAGRIHRPLENLQDVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICKS >OB06G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19718083:19722854:1 gene:OB06G32800 transcript:OB06G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MSLLTLVHILVSFLSCAEAISRADFPPGFVFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMKGIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDTLLDKGIEPYVTLFHWDLPQALEDRYEGWLNSKIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNVLLAHAGAFRTYEQHFKKEQGGSIGIALNSRWYEPFSSADEDREAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTQASKLVSGSLDFVGINHYTTLYARNDRMRIRKLVMNDASTDSAVIPTAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVIITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCSVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >OB06G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19725160:19725549:1 gene:OB06G32810 transcript:OB06G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQRQMQQAGAGAGVGFEDYLPVMAERLGEEGLMQELASGFRLLMDPASGLITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPDLMDEPRRWLDDAVSQASQFLFTS >OB06G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19727036:19727296:1 gene:OB06G32820 transcript:OB06G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPHIGNVNPRLHTEFPPVDSLLPTQYNFFFPCVPCVHIVLPPVTFRGCSIGEIKIFGCHIRHLTEHRKRFSDTNKKTNFTVGL >OB06G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19730525:19734370:-1 gene:OB06G32830 transcript:OB06G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVQVQLLVTAVLCISPRCFASDTISATSPVSGSRTVVSKGGSFEVGFFRPPVANSNATSSSSSSRSSNYYVGIWYKKAVSPCTPVWIANRAAPVADPASSRFVVAADGNLVLINEANALVWSTNVSSGAGGANGTVAVILDTGNLVLRRKVDGDDEVVLWQSMDHPADTWLPGGRLGLNKVTGEAQVLTAWKNSGDPAPGVFSLGIDPAGTSQYFILWNRTVPYWASGEWNGDIFAGIPEMTSHYIYNFEFVSDANASYFTYSLQDPAIISRLVVSVSGQITQLTCLSGFRAAAPDEWDLGDHTKGCHRNTPLRCASTSTNTSAATGDEDDDDDDFLLIAGVSPPKNPSRVKASSDPDCRSACLMDCSCNAYSYGDGCALWHGDLLNLQRRTDEAAGSGNLYVRLSAMDVASKSSKKTVALACASSAAALILALSTMAVVLLWMSRKRQSLRFTQALERGNLVAFRFSDVRTATKNFSEKLGGGSFGSVYKGKLPGGVSIAVKKLEGLASDMGDKQFRNEVRTIGTIHHVNLVRLRGFCSGRGGERLLVYDYMPHGSLDRALFGGKSPGAAVLSWGERYQIALGAARGLLYLHQGCRDRIIHCDIKPENILLDEALVAKVADFGLAKLVGRDFSRVLTTADVYSFGMVLLEIVSGRRNARWSGPCSEYFPLAAARSVREGEVAGLLDERLDGEADLRELDRACRVACWCVQDEEANRPAMEQVVQALEGVISFDVPPVPVSLKRPIAISEWAGPQVVRIWAVNLQVGHFLEREALRACNVPGGLTGAELEFIQE >OB06G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19738808:19739065:-1 gene:OB06G32840 transcript:OB06G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSLSKKASSFVVTASMSAVEALKDQAGLCRWDYALRSLYQRXXXXXXXXXXXXRRRLRQGYQVKEVGGGEAAEGLSPRLLGT >OB06G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19741300:19741623:1 gene:OB06G32850 transcript:OB06G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKSKLVASVVAHEITCESALHASLARHQVGIGGDVQRAGLQAGVRAPRGVAAPGARPTTVRTRASASAWEAEVRKRQRQQQQRAAEETEIMKTEKIMHLLLWGPN >OB06G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19743968:19745311:1 gene:OB06G32860 transcript:OB06G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSVSSRSAWLPQSPAVAVVRKGDKENHRPGVDDAAGCDVEAEIDHIEAEILRLSSRLHHLRCSNQPEPNRDAAPMREMVAKVRPRPRGLSLGPLDVISIFNREKQPLRSGQSLKPIRQPSATTRGRGLSVGPLEIAAANPRVPAAAQHQQQKRAGTARILKPIKEPPAQRRRGVSLGPLEIHHGVGSKPASARAKPFPTKLNAIREESRPSKQFAVPAKPWPSSNTKQTLDSKQGAAASRAKARSTSPRPRRQSIGKATDTRGANKVVDELKPKGASSQSGRATAAASTATAKRPAGSSKMRVVPSRYSLTPGASLGGSGAQERRRKQSLPDSSGDATQNEEIRAKVIEASNDPLSPQTIAKVAEMLPRIRTMPPPDESPRDSGCAKRVAELVGKRSFFTAAAEDGNAIPSYNARVLDVEAPEAAAEA >OB06G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19747281:19749322:-1 gene:OB06G32870 transcript:OB06G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEAEAVDGSCHCEAEALKVLRSMASSSLTCTTIPQFPAKWQCIKDKLQALCSGLNSLCSSVGFGVDCSDDGDDDEGQHPALAQLLGSASATVRSIQAMASQCSDGTYKGGRLRLRSDLDNLSSKLDAHMKQLKEMASSGMQSPSHAIVAMRPSVDAGAGEKMFYLRDLFSRVRIGGSVQRSQALAPIRELLSEDEVCVKIAAVDIDDGVALLTGFLESRDARLQEEAAGAVAMVASFDSFRGMLVKAGVIAPLVQLLDDAAAAATAVAKERAAQALRELTENSDNVWAVCAHGGLTTLLHACADAGSGGKLVASSFAVLRNLSRVEEVKTFMVEQGVVTELVKLSQKKEESRKLGAVELLHAMALDDADVREEAVTMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSANSIDDLVSSDVLGWLLFYLNNGDYALLECTLKILRHLSEVSEEYSRMMARAGYLGALSSLLGAKSCRVREMAAQVLSSLLLLHPNRVIFIQDGDSLDRLLQLLDPAEGKLVAKDLILSAILSLAETNTGRKKIISSEHFSSLKELADTGDFDAKKVVKKLATNRFQTIFSKIWSA >OB06G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19752819:19757850:-1 gene:OB06G32880 transcript:OB06G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFASRAAARFLGEARISHPGVSTAALLLAAASGGGLVAYADSAAENASEQSQEAPKKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVTFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFGTPGVMEHCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRRILHFVIIGGGPTGVEFAAELHDFLVEDLVKIYPAIEDFVKITIIQSGEHILNMFDQRIATFAETKFQRDGIEVNTGYRVIKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVVMDFMHQIGQTNRRVLATNEWLRVHECDGIYAIGDCASITQRKIMEDISTIFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDTRDLIKDAIGDSHKEAMVVDIEEFKKALCKVDSQVKSVPATAQVAAQQGHYLAECFNKMDQCKEEPEGPLRMSGSGRHNFRPFRYKHLGQFVPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >OB06G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19762634:19765511:-1 gene:OB06G32890 transcript:OB06G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWDGGWGWKQRTRRYDIRPRVAPNMQNLLEILDADAAAERRLERLSVVVRTVMKCSHLEKLVTLANKFGVNDLHIELHNPTIAGKARFHLILSRVLERLSLCNIVISRMRFKDAEPFGQLAEISFHSVTIASDTFRKAIARCPSLRALDLRRCRLLDVIAILPGVATNLRCHKLERVDVVNSVGVSSLRSVFYSGHFLSSFYLPRTIDALFTDLYICYYGSIVSQVFDKWSQKALPKLSNLSNLTICNNSLQVCSIVSSLCKAGQTSKLAWLGSFQHLTELQLLVLEMTASNLANIFAFLQHVPCPNLRKFFLQLPRIRDSDQQASLGLVNEEMPEDGLDNLRVVRIMNFNLTSIDTQFVSFLLGKARNISKLQLVCPNNNKRPLGVLGPDPIFDLKSSESGDLSTRPCHSEVLFDF >OB06G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19767273:19769398:1 gene:OB06G32900 transcript:OB06G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWTALCLVVVVVVLAVVAGVVRAQDYSNGGGGEEEDEEDKPQFKAQEACNGAFLTYTFTERAKEYPRTKNATAQAYAFKAEATVLNTMAEDLKAWQMFVGFQHKEILVTVGGAVLLDGSDLPANVSGGATFAGYPMADLLNSIETAGDMTQIQAKIDITGTQFGVKSPAAPMPKTIKLANPAGFRCPAPTRKGSVMYVCCVKDPKFKAKKTNTTRFQARQKADLTIAYDVLQAFGNNYMAQVTIDNWSPISRLDNWNLTWEWKRGEFIFNMRGAYTLTKEGPACVYSPAAGYYKDFDFTPVYSCEKKPIVVDLPPEREKDAAVGNVPFCCKNGTLLPPTMDESKSRAVFQMQVYKVPPDLNRTALYPPQNWRITGKLNPQYVCRQPVRVSPMVFPDETGLMSSTPAVASWQVACNITRPKKRAAKCCVSFSAFYNDSVVPCNTCACGCGNDTATCDPDAHPVLLPPEALLVPFDNRTAKARAWAKIKHRRVANPMPCADNCGLSINWHVVNNYKSGWAARITIFNWQDYTFKDWFAAVTMGDHYSGYENVYSFNGTRMGAPFNNTIFMQGLPGLAYLEPITDGRTPDDPRVPGKQQSVISFKRKDAPNINIAKGEGFPKRLYFDGEECALPETIPKASGAHRRAVAASLGQIVMAAVLVMVVAAVDSLCL >OB06G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19772671:19778791:1 gene:OB06G32910 transcript:OB06G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G04220) TAIR;Acc:AT5G04220] MGLVGGLLGFGVGLPIGVAVAYLVYLRFFAPRRLQDPVVIRPLRDLDSETLQTTMPDIPLWVKSPDYERVDWINKFIFDMWPFLDKAICNTIRSATRPTFDQYVGQYGIESIEFGHLTLGALPPTLQGIKVYGMQEKELVIEPVIRWASIANVIVKVKVHSLQVSAQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFKLLGGDVMAIPGLHRFVQEKISKQIANLYHWPKLIQIPILDEASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMSNLNPEWNEIFRFVVKDPETQILELRMFDWEKVKMHDKLGMQVIPLRLLTPYESKVFTLDLLKSMDPNDPHNKKDRGKLVVELTFDLFRDANNSTIVMSDGEGNVNVKRDAPPSGGLLLVSVENAEDVEGKRHTNPYAVVLFRGERKETKIIKKTRDPRWNEEFQFMVEEAPVDDKIHIEVRSKRRGLSFHNKESLGHVDINLVDVVNNGRINEKYHLINSRNGTVHVEMKWSTV >OB06G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19800026:19803233:1 gene:OB06G32920 transcript:OB06G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3MGZ8] MITFVDSAAKERERENDKCLDPQLWHACAGGMVQMPPVNSKVYYFPQGHAEHAQGQGPVEFPAGRVPALVLCRVAGVRFMADPDTDEVFTKIRLVPVRANEQGYPADADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPELLPPPLPPAGGNYGGFSMFLRGDDDSNKMAGAARGKVKARVRPEEVVDAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVEVVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHRLHQLDHGMQPRIAAGLIIGHPTARDDISCLLTIGSPQNNKKSDCKKAPAQLMLFGKPILTEQQISLGDAAPMSVRKSSSDGNAEKTVKKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSSNNLAR >OB06G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19817800:19825689:1 gene:OB06G32930 transcript:OB06G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT5G51540) TAIR;Acc:AT5G51540] MDSGENAKGIFSQNTPKQQDFTFLLTNPRPHRPXXXXXSLSRAARIRRAAVAAFSTTAAAAAAARPGTGLYGFDVLRTARGFRRFVDDAIERSDELVAYIARLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDREFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVLLTEEARRAATTLRVDFEKGGIHLPKDKLDHVNHLNLEIAQLGRKFSENVMNKPGFVDIYPASRIPRNMQRHFKSIHRIKPWGDEEQRKQTDTTKQKGLRIVTDSGTLSTALRWVSDEEIRRHAYMVGNSEPCENIAVLDKLISARDELAKTMGCKSYGDFAIRPNMAASVDVVMSFLNDLSDTVRHKADEEIKSIQDFKRTVCNEKSANLEPWDEDYFIGMMKSSAHGLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVIKLSLHHPNEGDLGFMYLDLYSRKGKYPGCAHFAIRGGRRLSDSDYQLPIVALVCNFSSSSKITARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPAMELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKFVEGTHWHSRFTHLINYGAGYYSYLYARCFATTIWQEVCQDDPLSHSTGSALRDKFLKYGGAKDPSALLKDFVGDSIIRNSGGGIIPDISSLCKEVGL >OB06G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19827235:19827504:-1 gene:OB06G32940 transcript:OB06G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3511) [Source:Projected from Arabidopsis thaliana (AT4G09890) TAIR;Acc:AT4G09890] MEKCRSVPHEHSAAYYGCGGGYDYEDVSGAAAKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRLKSTVRSSFKWIKSKFSTVRYGGL >OB06G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19831042:19831401:-1 gene:OB06G32950 transcript:OB06G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICTGGSIIEKRNIARRHGSEDALYCCLFVVIDRARPWHPPAGRGRQDRCVSSFLPACGLPIWMTICPPYYLSIYLSIYLLCIWKITGCASGRPDSEVDAHWVICHSSHVCFSGLHIF >OB06G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19832536:19835707:-1 gene:OB06G32960 transcript:OB06G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVSWYACLMLMDLELSTWKLARWQHIYNAERIDLIDENVSSRGIVDHMEESDEKRLATGCNARSKQPRGKAKPLKAPKTEKKDYDESDLAYLQKKKDEEKALKELKAKASQKGALGGSGLKKSGKK >OB06G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19836742:19841698:-1 gene:OB06G32970 transcript:OB06G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEESFSICLSVCLLLSGKRSGREKKQLGSGGKMFDGLLNSKFYNKCKHAFKCIRMRLVPIRRKKQAMVRFTKKDIADLLTNGLDTHAFGRMDGLLIEMNHASCYDMIEQFCEYIGKQLNSLQKQRDCPQETREAVSTIIFAAARFPDLPELCDLRHIFTERYGNYLEPFVSLEFVQKLDNRVFTNEEKLQAMQSVSEEFLVDFDAKALKIKLWATSGTKHDLLEKDSKKQVELAMPLFSKQKKDDDAPSGRKSESTNPLGHKKKLEVPSKQQQDAHPVADGIDRLHENTRRQHADKSDGKRNVEKPVSDLEMKGRNIQKEVQKADKKHGRPCEKELMEAVELDLNGLPKKGFGSLKVHEIESKKTIPLKPKIDNDVEKENERDLGQHHRSHMPCAPDRIRGHADSGLRTLGLDKQGHKSVNPLNGNTKNRMPPYDKLDGATVKNCAEKEENTVLLNARPHDLSDMGNSVQDRQQNPERAAYIRPPYMKPKLNMKTANDDPAERAASDYSKHDISEQIDHLSDKGSLRPVSVRRKHAQPPGPVTVCDKAPVDEKVSSQTPNSRRRHTSRQNAVDDGFARRDGPRQPHRGHGIDDVTRENVQRTPSSRPRHSGRRNGALYTDDYDGFMQRHRAQEDESAIDFGNLLPRTGNGHRRHKSRNSDARSGDIDEEERMMDKLLRHYSKKGLDTETHTAPTSISKHDNVNVAQAQSQQKGSMHPPGRAISLPVKPVSKDEDVKVPARSTSLQPDCPKSVHVHPKMPDFDELAARVSALRKA >OB06G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19843400:19845017:1 gene:OB06G32980 transcript:OB06G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDVIQAKLVGTSGGLGSREDEHRGSICQRIILRLPGVDDRCGVLLAGAVHGRGHREARHLGHCRPRTLPQPCSHVLPRRRRRRRRLRYLQHGFVHSSKEVGRRAPEARESPFGDGISWQQGRSGGKEADWNPGGDGIRRAKRPLLHRDVGQDVAERHRALLRTRLMKMRPHRPSGMVLHDGRRRRAAGDGGGRPWLFCCSG >OB06G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19845469:19846611:1 gene:OB06G32990 transcript:OB06G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGVPPVVFDGEKVAEAVGDVEYGGDALKQWEAMGSDDEDEMEVGEEEVEDEEEEYGGDALASCKAADSDDEDEAESAEEEEEAVEEGEEEVEHVFYDSDGSEDENDGEEAEESISGGAPLFVPEGQFLGPAQFASVGCTAGFMRVAAVESDPSDGQEILVLYRYTLFKRTWREPTGVESSRWRRITKLHRLRFVVPASGDPASSLPFAGLSLSPLIYHEDYTEELEDLWSKLAAPVRIPPGATRVQVIVDVGILKPADDTPERREYMRAELESKKEQPWPGNLLGMELHLPEPVACGKRDGAEVFDDDVAPPAKRRRVVAAGEECPVCLEELETGAVAWPGCSVPHVFHGKCLETTIKGSQTCPICRRDLGLKTLQD >OB06G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19848722:19850836:1 gene:OB06G33000 transcript:OB06G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEAVDDVEDGDGDEEDESDDDEQVVERRPVRPEYYDSDDGLSRQMYDESGDEDDEPVKVRDGEPAERAEMPLVPGPFVPEGRSVCPARFAAVGATPGLSRGAPAAGPPAGFMRVAVVEGDDDPAGGQQEIVVLYRYTRYSRTWSGSRGVEMSRRMKLHHVRFIVSPTADLASSLPMAGSSLAPMIYPFFFKQELRELWSTLVAPVNIPPGATRLQVSVEVGILRPFDRTPERMEYMRRELETKKAAAWPGHHFGLELSLPEPVLSERDIGDAAPPAKRMRVVAGVAGEECPICLDELEADLVAWPGCSVPHVFHGECLELNLENSVTCPICRRDLGMKNL >OB06G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19851507:19861911:-1 gene:OB06G33010 transcript:OB06G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRTKYSLPLKEESHQPSVCWAQGGCHPSRSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLARRMCWQIASKEDQTVIWVKPLTNECYMKREQGTLPPMCDRDDDPDAAWNVPMKACVTPYSERVHMVKGSNLLPWPQRLTTPPPRLEELGISSNNFSEDNEIWHSRVIQYWKLMKSEIQKDSFRNVMDMSANLGGFAASLRKKDVWVMNVVPSAESGKLKIIYDRGLVGTIHNWCESFSTYPRTYDLLHAWLLLSEIEKQGCSVEDLLIEMDRIMRPHGYAIIRDKVAVISYIKKLLPALRWDDWSSEVKPKNGRGRRCRPAPRGGAGAPRPPPCNCMVLVRAAAAVGRRTMAAAGPPKEGAPRSSSSPLLHHHHQRHRLPSPVRSLLALEDPRSPSASASYRILVAVVACLLVAALVSALVSAPSVWSRLNSPYLCRKDGIRLHCPGVNERGSLWENPHAAAASWKPCAERRSDEISDLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVREIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMADKLATRMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTRDEKAMMAEYRQKQWPRRYKNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGGNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >OB06G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19865650:19872534:1 gene:OB06G33020 transcript:OB06G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MAASYYNNPPPPHTSYAPPPPPPGTSPYASYRXXXXXXXXXXXXXXXXXXXDHAAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRSSGKSSQAYAFAIFADQPSALAAMSATNGMIFDLENNSTLHVDLAKSNSRSKRSRTDDVPPYSSEKKARNPRGYPESGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPSAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKLKMQNKFGAPVAFVDFKDANSSTEAINRLQGVILYSSTGEGVRLEYAKSRMGLRKRDKRP >OB06G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19874259:19874483:-1 gene:OB06G33030 transcript:OB06G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLGVQKFDAMFLEKNLGIKRGLSGASSNAILQAENTGCQCTCPCSCHRDETFYFSCHSSLIGSLHGLLKDWH >OB06G33040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19876997:19879525:1 gene:OB06G33040 transcript:OB06G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGPKLLVVHPSSNKTVGGAGSAAMAVLGSRRRMWAVLFLAGFACVSLGTMLCAARDHPAPPVAGRRMAVSVSAEVGGGGFMHIYNLFIVVLPYM >OB06G33050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19880136:19885248:-1 gene:OB06G33050 transcript:OB06G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G11830) TAIR;Acc:AT3G11830] MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDVKGGTTISNDGATIMRLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKELATSIEGKSLEEKKALLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSYANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMAGRGGGAMRGRGGRGMRRR >OB06G33060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19887782:19892883:1 gene:OB06G33060 transcript:OB06G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQNPASGGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVALAQVGDEISQDSLKREDLGNLNPPTMDGLEALLMKSKHHAKRVDPDSDGFPQAQSSATSQWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLKKEMPAMMGKAKAQQRLIDNLETEFAKVQREQHLPAGDFPYVEHYKDVLGGYSIDKFEKIKPKMVQAVDDMLGYDIPEVLKNFRNPYE >OB06G33070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19894797:19898306:1 gene:OB06G33070 transcript:OB06G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3MH13] MRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSGLTVVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLILLNDASSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTTELSSELVDAKQDQEDRRLSRTNRSSRSRSTTKRKEN >OB06G33080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19899271:19899609:-1 gene:OB06G33080 transcript:OB06G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGESREPGGGKSLGVPHRLVGRKAAAGMEAVCGEEAAGPRVFAGVDDAKRKMPWRGRGDLSDPNPTDTWHRVAASGSAHARARARFAVFVVPPNSDRPTHHIIEACIEAHM >OB06G33090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19899469:19906374:1 gene:OB06G33090 transcript:OB06G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRPDDMEVEEEGVSDSQAFRFVEHVLQSVRMDPVLVDLKDKDQYDSLLKIVDSSKKRSFDDEALLVPTLKALSEAVSKIDITYHHELLSNIFTMTLWYMQRDTRDALLELITRLAAVADQFLRECLQMLVNNFTPPGPLTAFIGQPRWHARKKEIYSQLHESLRVISDTIPLAPRMLKDIIDRSMPKLFDNKAKTISFVECILGLDNDRMGDLIGSLLLAKVVDLLTELDVNITWEDILQEEHHKGIFDMELEDLDDDEDSLGQEGTKALFGGNACAEKLDGLMVVFCEHLKACSEHGRLLKEFDILKTIFRTSVLTVHKSKFAQFIVFYACSLDPEICGIEFSLFLTDIFIKKEEDLLSRMSAVSYVGSYLSRARFISADTVVAVLKRLVDWCVDYCDLQNNKGIITKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKSQLFNMPFGYILTHPLEPLKVCLPVIVDEFLRQAKAARLFNASMSSAFEDALESDLSRTFGGIDRLDMFFPFDPYLLKESDRYIRPNFEFWSRVKTTYSNYNSDCDDELADIDAPEMNVGSLDDHVEIDLNSDDDLEYSINKMSITPHHSFFHQTMMNSGTGLTMPAKIRPSVSPPS >OB06G33100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19908203:19912984:-1 gene:OB06G33100 transcript:OB06G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGLWGVLGQASNVAQLVGVDALGLVSMVEDRGYLHRLLLGARTADELRAAQHEIDMYIRLIPLIALVDGSSNRRDKPAMEGVADAVKDSSNRHISFPARVSGFTEILLQGDSILCNDGEQPLGTVDQQEQKTLDIEELVDLCACIEESCPGFSKFNYFQIVHATDNFSDNSNIGRGGFATIYKGQLPNGLVVAIKRLNEHAQKFDFKSELQLARIQHTNLIKLLGWCIHGKERILVYEFANKGSLHHYIFDKTRKSLLDWPKRLNIIKGVAEGLVYLHTHSMLWIVHRDLKPDNVLLDYNMNPKISDFGSARSLSSDVAEGHTSRVVGTSGFKPPEYASRGVYSVKTDVFSFGVLVLVIISGRKNSILDKQRDTVGDLVRDAWHMWREGRLHELVDPSLGSEYELAQILRCIQVALLCTQEDPTVRPTMPDVAAMLSSGGMILLNDLNLPAELSYEVKEGDMASTCVSQTSQTVDITITSSAPVSTRVRIIIAQEVI >OB06G33110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19913386:19917616:-1 gene:OB06G33110 transcript:OB06G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGAAQHEIDMYIRLIPLIALVDGSNNRRVTVTEGEPSMVPSCSDHHTRFPSRVVEFIDLHVQGAAKPGEIAGKSVTVDLQEGKIMDIEAVLKLCIHTEKNYPGFRRFDFFQIMEATDGFSEKRKLGKGGFGTVYKGHLHDGLTVAMKRFDADAAIFDFKTELQLVSIHHGNLIKLLGWCIHEKERILVYEYIQKGSLDRTIFRKTKGALLNWSKRLQIIKGIAEGLLYLHKHYWIVHRDLKPNNILLDLDTNPRIADFGSAVTLVSDVAEEHTGRVVGTSGYIAPEYASQGIYSLKTDVFSFGVLVLEIISGRRNFTMELQGDTVGNLVRDAWHMWRDEKLHELVDPALSDGYDSPVIIRCTQVALLCAQEDPADRPTMTDVTAMLNSESILLSDPKEPTELTNGGASADSSSSYTGQSSKTIDITITSSAPVSTRIRIIVDRDLTGEKYNQQLLYSRIDIDGCKKMIDSSSLCIGIPK >OB06G33120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19923655:19928680:1 gene:OB06G33120 transcript:OB06G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVRAEDALAAAAAVAVASDKMRSVTLGGTIQRAMRRIGGGGGGGGRRSAGSKGAPQRAECLAPGSGADASASCSADDSSNCTDKRDASRRVRMRLYRSELEQEVEKLQRQLEEEIDLQLALTDAITNNATLLLEPSAKLPNKAQELIISIASLENIVSKLEEDLNELCYQLCHLRNDTLVAESNSRCLETLAEENSSRSLLSTSFQYQTLTCKCIEEEHISTLRDPKLGESESMQEGFFLGIGDQQNVQKESEEIELVSRDGLLEKHRDEEIKESNSMENGGKEDQTVDALSFDQSCQKKNSIYGNVWNDNPNKLSEEMVRCMRDIFLRLSESSSEISPKGSSNNSISSAERLSGCTLTSISDSSLMASVMQSPSIDSNHDSIYEVRCFDPYKVNGKEVRRDIGNYSSVAEVSWMYVGKEQLAYASEALKNFRILVEQLSKVDPTCMNCDERLAFWINLYNALIMHAYLAYGVPENDIKLFSLMQKACYMVGGQSFSAAEIEFVILKMKTPLHRPQLSLMLALHKFRVTEEHKKFSIDDAEPLVLFALSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDSGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTSSSRKQRLLGVCSFSVVPFDSKFRYLFLPDKNCSQH >OB06G33130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19934266:19936545:1 gene:OB06G33130 transcript:OB06G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCSTSATTASPAGYQTSRRPPPRAPLVNLTVFDMAANNITGTIPPSIYSCTEMKALRVSHNLMGGQVAPEIGNLRQLQFFSLTVNSFVNISGMFWNLKGCANLTALLVSYNFYGEALPDAGWVGDNVRSVRLMVMEHCALTGAIPSWLSKLRDLNILDLSGNRLTGPIPSWLGGMPKLYYVNLSGNQLSGAIPPSLMEMRLLTSEQAMAEFNPGHLPLMFTLTPNNGTASRQGRGYFQMSGVATTLNFSDNGITGGIPPEVGKLKTLQVLDVSYNNLSGGIPPELSSLDRLQILDLRWNNLTGTIPPALNELNFLAMFNVAYNDLEGPIPTGGQFDAFPPWDFKGNPKLCGQVISVPCGTKVEARGDTSSKFVGKKPLIAIVLGLCLGMVALIVSLGCAVIAVRRVVSNGAVRDGGKGEEASLFDSMSDLYGDFSKDTILFMSEAAGEAARSVTFLDILKATNNFSAANIIGSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCFRGRLRLLIYPYMANGSLHDWLHERRAGDAGAGAAPLLLDWRARLNIARGASRGVLCIHEQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLLLPDRAPLTTELGGPPGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVETSPSPHGQQLELVRWVAQMRSQGRHTEVLDPQLRGRGNDEAQMLYVLDLACLCVDSTPLSRPAIQDVVCWLDNVDTISRSNV >OB06G33140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19941622:19943736:1 gene:OB06G33140 transcript:OB06G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMRFSHNHMSGGQVAPEIGNLKELQFLSLTLDSFVNISGMFWNLKGCTNLTALLVSYNFHGEALPDAGWVGDHIKNVRVFVMENSALTGTIPAWLSKLQDLSILNLSGNRLTGPIPSWLGGMSKLYCMDLSGNLLSGEIPPSLMEMRLLTSEQAMAEFNPGHLPLVFTVKPDNRTDQQGRGYYQMLGIPTTLNFSDNAITGTIPPEVGKLKMLQVLDVSCNNISGGIPTELSNLTRLQILDLNGNRLSGTIPPVLNELHFLAVFNVAYNNLEGPIPTGGQFDAFPPRSFKGNPKLCGQVISVPCGNKVEGRDDTPSKLVGKKVLIAIVLGVSLGLVALIVFLGCVVITVRKVMCNGAVLDGGKLVEAPQFDSTSELYNGSSKDTTFFMSEVADGVAKTVTFVDVLNATNNFSPSNIIGSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSTTRHENLVPLLGFCIRGRLRLLIYPYMANGSLHDWLHERRAGDAGSGAAPLLLDWRARLNIARGASRGGLCIHEQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVETLPPPQGQQRELVHWVLQMRSQGRHADVLDPRLRDKGDEAQMLNVLDLACLCVDSTPLSRPAIQDVVSWLDNVDAIGRSDL >OB06G33150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19944977:19946488:-1 gene:OB06G33150 transcript:OB06G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPPSFLSPPWPQQGRSPGRRRRACRLHFPAEQSSRSGDVAAWLAAFWPRSEAALPPCDAHAAGDVQRRIPGCERAMPGESRQKVLAVNDIGVVLLHTNDLAVSGTKFVDAIVFGAEILDHGANNIGVELKGLWKLQVLVAHTAGSKLCLCNHVPTTFPSAKNMFMPRPELCIRLNMDLCVLRFAPVQQKISQGTGTGTSRY >OB06G33160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19949647:19952852:1 gene:OB06G33160 transcript:OB06G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVVVSGVLVLVWVVSLCGRAAACAEVEREALLSFLAAASQRAGDGIVAGWRGGSPDCCTWDGVGCGGDGAVTHLWLPGRGLGGTISPAIANLTVLTYLNLSGNGLTGQFPEALFVLPNVTVVDVSYNRLSGELPNVVAATARGGLSLQVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGSIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSRLRVLSAGRNNLSGELPGDMFDVKTLQHLQLPSNRIEGSLDPERISKLINLVTLDVSYNLLTGELPDSISRISKLEELRVANNNFTGTLPSALSNWTSLRYIDLRSNSFVGDLTGVDFSGLTNLTVFDAAANNFTGTMPPTIYTCTAMKALRVSRNVMGGQVAPEIGNLKELQFFSLTINSFVNISGMFWNLRGCTSLNAMLMSYNFRGEALPDFGWAGDHIKNVRVIVMEKSALTGTIPAWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYIDLSGNMLSGEIPPSLMAMRLLTSEQAMAEFNPGHLILAFALNPDNGAANRQGRGYYQLSGVAFTLNFSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELGSLSRLEILDLRWNRLTGTIPPALKGLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKSFSENPKLCGQVISVSCGNRINSSDDASSEVGRNVLIAIVLGVCFGLVALIVFLGCVVIAVRRVVCNGAVHDSGKELEASVFDSMSELYGDCSKDTILFMSEAAGEAAKRLTFADILKATNNFSPPNIIGSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLHEWLHERRAGDAGAGAAPLLLDWRARLNMARGPXXXXXXXXXXXXXXXXXXXXXXXLGGAGEARVADFGLARLILPDQTHVTTELVGTPGYIPPEYGQAWVATLRGDVYSFGVVLLELLTGRRPVEEAAPPHGHQRELVRWVLQMRSQGRHTEVLDPQLRGRGNEEQQMLYALDLACLCVDSVPCRRPAIQDVVSWLDNVDSVG >OB06G33170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19955126:19955446:-1 gene:OB06G33170 transcript:OB06G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRVASVSHRAALPLLLVLLLCSTPCLAECTELLGGGNASGGGSRWRPRRLLVSPAAASSRHRAGQQQQQMRVDGVKTPFKQPAAAASFGRRPPRSGWNPIQNR >OB06G33180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19965357:19968545:-1 gene:OB06G33180 transcript:OB06G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNLPCSSFSTTTTKLSVPFFGLAVVLLLSFASPTISCTEQEESSLISFIDGLLPGHNSSLSMSWVKGTDCCKWEGITCSIDGTVTDVSLASQGLQGRISPSLGNLTGLLHLNLSHNLLDGNLPMELVFSRSIVILDVSFNRLDGSLPEPQSSSDSFPLQVLNISSNLFTGKFSSQQWEVMKNIVALNASNNSFTGQIPSSICINAPSFAILDLCYNEFSGSISPGLGNCSKLREFKAGYNNFSGALPEELFSATSLEHLSLPNNNLQGVLDGSHIVKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMYGELPSGLGNCTNLRYLSLRNNRFVGDLSKVNFTWLNLRIADFSINNFTGTIPESIYSCSNLIALRLAFNKFYGQLSPRMGNLKSLSFFSVSDNHFTNITNALQILKSCKNLTSLLIGTNFRGEVMPKDETIDGFENLRVLSIDSCGLVGQIPPWISKLKKLEVLDLSSNTLTGQIPFWISDLPVLFYLDISNNNLTGDIPAALMNMPMLQSGKNAAQLDPNFLELPVYWTPARQYRLLNAFPNALSLGSNNFTGMIPSEIGQLKMLDGFNVSFNRLSGEIPQQICNLTNLQLLDLSSNHLTGALPSALTDMHFLSKFNVSYNDLEGPVPTGRQFGTFLNTSYSGNPKLCGPMLRNQCDREPEHATSPKQQNKKAIIALALGVFFGGLAILFLLGRFLMSMRRTSSVHQNKGSSNGDIEATSSSSVSEHLHDMIKGSILVMVPRGKGESNSLTFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRENGRPLLDWPTRVKIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQAWVATLRGDIYSFGVVLLELLTGRRPVQVLPKSKELVQWVREMRSQRKDAEVLDPALGGMGHEEQMLKVLDVACKCISHNPCMRPTIQEVVSCLDSVDAALQVQM >OB06G33190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19967425:19967823:1 gene:OB06G33190 transcript:OB06G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIVPVKLLIEKSAILKFNQVKLTLLRSPTNLLFLRLRYLRFVQLPRPDGSSPYMLLLSNRSSSNVLSWPIESGMLPLSPVDPRSRTVSLTSFTMWEPSRTPCKLLLGRDRCSNEVALNSSSGRAPLKLL >OB06G33200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19975279:19975570:1 gene:OB06G33200 transcript:OB06G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast ribosomal protein S14 [Source:Projected from Arabidopsis thaliana (ATCG00330) TAIR;Acc:ATCG00330] MAKKSLIRREKQQKLKYHLIRRFSKKKKIRSKAYPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSRHILREIRGIKNRSFV >OB06G33210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19976377:19976887:1 gene:OB06G33210 transcript:OB06G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGRPPQQAQPLSVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKPEHEVRDMLARADADRDGKLSVEELLDVMNAGELGLGALGALLQSAVPSLESAAGPDGVLGADELARLLSVMGTASVEDCMEIIACMDGDGDGAMSVEEFRLMAHLL >OB06G33220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19977183:19978175:-1 gene:OB06G33220 transcript:OB06G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGPHRRWNPFLPAFASVDAAIEAAEPGLSLREFRDARLKILEMLRDATDDEVAEELCVVLDDVMIESLMTLEMVPAMPDMLRCTNLAKDVCALRNHKSERVRDLATGIIRGWRESVKDQIVKAAAVMKKVSQVLEPDETDGHLAKIVEQLGSKKTTTALEPSFRKKQSTPVAKTEPPREKTPAVAGSFRSRESSTLCSTDMTYHQAKILEPSAPKKTANAREPSFPRKESTPVAKTAEMEPPAAAGSFRRETVTTCSADEKALNVAKRKFRESYQKEEDAKRQRTVQVIEAPELAKQRQRKMDPILTERAQSRCPSSSIGRSSLSRR >OB06G33230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19982772:19983804:-1 gene:OB06G33230 transcript:OB06G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEPFRRWKPFLPAFASVDAAIEAAEPGLSRGEFLDARLRILEMLCDATDDEVAEELCVVLDDVMIESLMTLEMVPAMPDMLRSTDLAKDVGALRNHNSERVRDLALAPGIVRGWRVSVKDQIVKAAAAMKKVSQVLEPDETDGHLAKILEQFGSKKMANTLEPSFPKRQITPAVKTAKMDPPAEKAPAVAGSCGRRERLSSCSTDETYHQANILEPSSPKKTANAREPSFPRKESTPVAKTAEMEPPRQKPPAAAGSFRRETVTACSADEKAPVQLNVAKRKLRESYQKAEDAKRQRTVQVIEAPEWAKQRQRKMHPILRERAQSRCPSSSIGRSLSRC >OB06G33240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:19991626:19997157:1 gene:OB06G33240 transcript:OB06G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant (ILR)-like gene 6 [Source:Projected from Arabidopsis thaliana (AT1G44350) TAIR;Acc:AT1G44350] MEHGRELAVVVLALLLLVSAGSCSFLEEDMILGAVEEGKVARLGSGGGSKGANASTRRGPTCVVGAGEGGGGGRYYVGWKEEIAGMAGRPEMAAWLRAVRQRIHEREAMGVGFRHPVARTGVVATIGTGRPPVVALRADMDALPIQEAVEWEHKSKSPGKMHACGHDAHVAMLLGAAKILKAREHHLEGTVRLLFQPAEESGAGAKRMIDGGALEDVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAIIHSGRRSGDPVLAAASTIISLQSIVSREADPLDSQVVSVAMVNGSEHRAPAAEEELVLGGTFRAFSNASFYHVRRRIEEVITAQSRVHGCEATVDFFENQSFYPPTVNDARMYAHVKAVAGELLGPGSYRDVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLAGHSPSDELESP >OB06G33250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20000864:20001566:-1 gene:OB06G33250 transcript:OB06G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRVAAGLVVALLLAGDASAATLALYNRCVETVWPGIQPSAGKELLARGGFQLAPNRATSIRLPAGCACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKTACPKAYSYAYDDPTSILTCSAGASYIVTFCPHRR >OB06G33260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20006866:20007069:-1 gene:OB06G33260 transcript:OB06G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEEDCHSYCGSSSSVLCEDGSDDAAASRAPLPFDLNMPPPPEEVDMAAMADQMGIRYDTLLRL >OB06G33270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20010083:20019665:1 gene:OB06G33270 transcript:OB06G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MAAKNSKLAAQFEADASTSAAEVAGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLNCAPYQISQHSSSSRKQTKLSAFFSGSHYQGELNDQNKRHELHSSSAQEGSQDQNSGCDKEGSLLKEEASNDSLSSNDHKASMFEEQDSEDFVDEDGNACETECSERTDNGVDGKFDIAQSPDVRSRCSNLCSTSSMDSRLSLDSMDRNATRSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGAKSSKGNGDHSGNRTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLMVVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTEKIRNEIFGTTKCSASAGIAGNMLLARLATRSAKPNGQCFISSEKVVDYLNTLSIKALPGIGHTVSDKLKSREVEYCGQLRNIPKEALYKDFGKKIGDTLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNTNKDAENFLANLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDSLVTLQRIAKQLFASFRVDVKEVRGVGLKISRLEHADLAQGAPRGNMLESWLVSPSEMLKKHSSEKACLLKSGDDAAMSEQQGLGSIRGSSIGGTSHLSEVNLTSDRPTRVHGVELPPLPELDLEVLKNLPPEIISEMNDMYKGELHGFLGITSGDKAKENDIKSPAFQAVTQNLVPVLDAKLHGDGGHKDPIHFKKETEIKGFSGEQLSGVNDSSASCSRASELVDIPRESAIQHDFMPNSLSQADVTVLQELPEDVKADLFNTLPLHRSGVPTCSTSTVAENEFPENEKGNDPKQREICLPPRNSEKWIEEFRVSSCLRLEVIAKQYTDSISSQPLSSVLEYVVPYLHLCPDSGSEEWNVTLAHLSEFLKQYIHLKVASDIEELHKCFRLLKRLSSASELFLELHDSILPLLQDSVSQHYGGILHF >OB06G33280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20020922:20021143:-1 gene:OB06G33280 transcript:OB06G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAPLQLRGMCLCPLQSIGRVNLLYYAFGVFGKPVKSMNVITSILLPKSCSYYSPQIFTFASCHILTLEIV >OB06G33290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20021984:20024939:-1 gene:OB06G33290 transcript:OB06G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLARSAAAAESTSTPDVVAELGRILSTRRWNKGRAYKRIAPSVTAAHVAGLFRAGSAAPXXXXXXXXXARRPGFRHTADSHAALLHLLSRRRAPAQYEKLVVSMLKCSHTAEDMRVSADAIQAIRRTGGARLALSPKCYNFALRSLARYDMTDYMGRVYSQLVQDGLLPDGVTYNTMIKSYSKEGNLTIAHRYFRLLLDGGLEPETFTCNALVLGYCRAGELKKACWLFLMMPLMGCQRNEYSYTILIQGLCEARCVREALVLFLMMKRDGCSPNVRAFTFLISGLSKSGRVGDAKLLFDAMPQNGVVPSVMAYNAMIVGYCKSGRMNDALKIKELMEKNGCYPDDWTYNTLIYGLCDGRTEEAEELLDNAVRGGFTPTVVTFTNLINGYCVAEKIDDALRVKNRMMSSKCKLDIQVFGKLINSLIKKDMLKEAEELLNEISANGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALITKMEEDGIIPNVITYTTLVQGQCEEHDFDNAFRLFEMMERNGLNPDEHAYAVLTDALCKAGRAEEAYSFLVRKGVTLTKVYYTTLIDGFSKAGNTDFAATLIERMIHEGCIPDSYTYSVLLHALCKQKRLNEALPILDQMSLRGVKCTIFAYTILIDEMLREGKHDHAKRMFNEMSSSGHKPSATTYTVFINSYCKEGRLEEAENLIFKMEREGVAPDVVTYNIFIDGCGHLGYIDRAFSTLKRMVCASCEPSYWTYCLLLKHLLKNNLANVRFIDTSGMWNLIELDTVWQLLERMVKHGLNPTVMTYSSLIAGFCKANRLEESHLLLDHMCEKGISPNEDIYTWLIKCCCDTNFFEKALSFVSAMSDYGFQPRLESYRLLIVGLCNEGEFEKAKSLFCELLELGYNHDEVAWKILNDGLLKAGYVDICFQMLSVMEKRFCCISSQTYAMVTNNMHEVSSSLVSEVRGEAS >OB06G33300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20027766:20036075:1 gene:OB06G33300 transcript:OB06G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 1 [Source:Projected from Arabidopsis thaliana (AT4G37270) TAIR;Acc:AT4G37270] MQFLTASSAAASPLLPPPSAHLLRLSRPPPFPHLRRRFCYAPQLPSKSANLAAKSPLLLAHRSLLFAPRAHGDHHHGHGHHHHHHHGHSHHGHGHSHHHGSELHGSGGGAAVMRVAKAIGWADVAEALREHLQVCCISLGLLLFAAACPHIPVLNSVRRLQDALIAVAFPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGDQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGEAKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVALSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSVCQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPVVAVESFESLPGRGVVATLSGVKAGSNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKSSAFGPEFVQAALSVDKKVTLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCINEVHCCLKPEDKLNKVKAVSREGVGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNSPTWSWVDDIRQLIDSLRKYFSSKLKGSSSNYVADAVPS >OB06G33310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20038745:20040232:-1 gene:OB06G33310 transcript:OB06G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFTRYAFRGGGEKDPELLKIGKSIVEKCCGVPLAAKTLGSLLCNSRDVGEWRRIKEGELWNVEKNVYSGVMAALKLSYDALPPHLRACFSCLSIFPKDYEIFTDSLVMFWLALGMLVTSKEREHVISAGRKYFHDLIGRSLFQDQFIIFNNTIRRCKMHDLIHDLALLVSQKEQAVISCEKTSLSERVKHVVWDRKDFSLELRFPKQLKKVCKVRTFASVLNYGTVSKAFLHDLFSTFTLLRVLIFPGAYFEELPGSIGNLKHLRYLDIQWNQKIKCLPNPLCKLVNLQTVILGRCDQLEGLPRDVHQLVGLTSLNLTSKQKYLLKSGFCGWPSLTFLYLNDCVELTSLTDAFGSLTALRELYISNCPKLASLPSAMNQLSALEELVINNCAELDLMEPGEALGGLESLRTLNLVGLPKLVGFPASFQSAASSLQYVAIDDCKGLKKLPGFIQSFACLKRILIRDCPELGRRCTAESGEDFRLICHVPEIYID >OB06G33320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20048793:20051218:1 gene:OB06G33320 transcript:OB06G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYLRSSGSFKKLLLSIGHRGTKNGNGGVDAKENETPAAAPESPRKPAWRCFSYDEIHRATNAFHKDNLVGRGGSSEVYRGELADGRMVAVXXXXXXXACGRRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFHFSGRGSVSANLHDEKAPAMGWAVRHAIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEIMTGRKPVDGSHKSLLSWARPFLNEGMIESLVDPRIGGDYDGEQARRLAFVASLCIRSSATWRPSMTEVLELLEGIEIRQDRWAMPEAEDADEEELWGFDDLDDDDNVDDDDDDEEFNTASPSSSSSPLSNQ >OB06G33330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20054717:20055073:-1 gene:OB06G33330 transcript:OB06G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVAETRLSWALTPLTTWYQLPDDPLPPPLHYATPPSATSLVSMANPTLADLATLISMSGYGRGSSGSLNQVVKGVSAQDSRVLATQLVEEAVEAHGCSPKFAKVRKRDLERRELAR >OB06G33340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20056229:20059856:-1 gene:OB06G33340 transcript:OB06G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASHFSGMVLMPSQRSGASGAEYSAAGTVAAAPSPSKTRDPRFSGCVPATVRHISRSFAAAAAADGGGDPVFSIDGVETTNVRVLGRVATMTSRETDVSFTLDDGTGKIALVRWITDPSDTRETSYIHDGAYVKVQVNLTGFQAKKQGFARSIRPITNFNEVVLHFIECMHVHLDSIQLKMQKQLPPAVQTNGYPHVPFSGGVRDYQVHFNPQVNQGLPPAVQTNTSTYVPLSGGVRDYQAHFPQVNQEQFHPAVQASTTAHVPFSGGVREHQIHFTPKVTQGQFPPSVQTNASAHVPYSGVIREHQAHFTPPINQGQFPPVPTSTYNHVPFSGGVKEQVHLTQANQAQFPAYSVTGGLQHDVQRMVLEVMKQPDILAFEHGVHVDELARRTGMPKPKIVEATKHLADEGHVYWTIDDNHVKSMFNG >OB06G33350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20061718:20062062:1 gene:OB06G33350 transcript:OB06G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDPSSVFPEHYFPLLQGIGDNCRSLLLPFLSYQSGIKKCCKHSNKNRWDVTPTMVYCFPEAVVFVLFFILTRNFSTLQVEVFLCSHVFHSYLCLYLHHVHKSVVNIASCYHT >OB06G33360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20064543:20067676:1 gene:OB06G33360 transcript:OB06G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTVLAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRSHLMKASQEPNASIAGITVVKPRPERVQRRGPSSVEEDEDEEEEWVEVDPSELESTSEMQVDEHSSKSDDDMDEFEELDPTFCFMCDLEHQSIENCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYADVEGKELVAADDRDNNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSREQVVRLKVLRAMNKTGVETMRTKIGMKSNVIRNLPKNCPY >OB06G33370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20070612:20070896:1 gene:OB06G33370 transcript:OB06G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLLPLSSPTTTATYPRRSGSGWRGAAPFLSPPPHLPSPQRQRLPRYVSFFSCSPRFSSDFFGSILTFFFLAWILGLVVNRAVSCACGVFDLGF >OB06G33380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20071695:20072648:1 gene:OB06G33380 transcript:OB06G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMVKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVINEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNNNQAHLESFNLEVPTQSIDLREIRFDEIDDEYIVTGATPSIATPGRMASFHYRFVEIVYC >OB06G33390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20076397:20076825:-1 gene:OB06G33390 transcript:OB06G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWKRFLRAFASIDAAIEAAGPGISRARIRDARVRILEMLCDATNGAVAEDLCGVLDEVMTESLLTLELVGATPEVLASTDLAEDVGALRKKHESERVRGLATGIVLGWKAS >OB06G33400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20077095:20078210:1 gene:OB06G33400 transcript:OB06G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSAADSGLTVGGDDGGGKRRCGSTSIDDYEITCELGRGTFCAVTRARHRATGKDVALKFVLSHDGKVNAFAEIALKQEGLFLSRFRHHPTIVYLHGAAYDPRIRTWGLVMEHVGPSLYRVLRQRRLAGGQPFAEEEVRHIMRQLLSGVSGLHERHIIHRDIKPGNILLGDGGSVVKICDLGLAMCCDEQKPPYNPAGTPGYRAPEMMLGKPDYDELVDAWSLGCVMGELLAGVPLFRGPNRTEELLAMYRLLGVPCRDTWPDYPSLPLTGTVKLPQCWNSNRLRERFPEERLSSGGFEVLNGLLTCNPGARLSAAAALQLPWFAATVDGTAAAATDAETGQLASPRQEPATAASFADELTELWWLWK >OB06G33410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20079463:20083636:-1 gene:OB06G33410 transcript:OB06G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDSMVVSEIRKCIDNGTEFRGDLLNFRKDGSPLMNKLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSMTKEPVRSTRFTPDNFFQPISTGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYLLTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDKPVWREVPASWTPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSV >OB06G33420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20083450:20084100:1 gene:OB06G33420 transcript:OB06G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQSGSSASVTTSPHGPEARSIPSIANENIPPPAPPSSPTPPTPSSSSSSSSSSSSSSSSSPAPMLPAPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHPRAGARRTRGGGRVEAGD >OB06G33430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20085636:20089398:1 gene:OB06G33430 transcript:OB06G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39910) TAIR;Acc:AT2G39910] MAPPPAAAGIPRDALLRIAAPLREFLAAAPYAPPEGSSTSIKSLLASLLPSSPPSASGARREAADLLLFCAAARAASPEYPVLHWVPVALSDAASAAMEEMAAAGGWGDAGEMVVGMMPEVVPPLKDVVKATCVDTENDEVGVEKPPKEHAVAAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGIVSFMHIVKNVKATELNLYEDAVLDACCHNIPADDELWHRIVEVSVLVLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWIHADDDETVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLVYKESATRSTSEVMRNHILETLATLQKCKAQQFEEAWKKHEADADLAMLLSCFNELCTENRSA >OB06G33440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20089456:20091733:-1 gene:OB06G33440 transcript:OB06G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSFGRHIDLFFSRNVQFPDQLCTIFCMASSAAFLAFPVLVALHLCCCHCQAAAAADAAGSSSDVVAAIYSLGDSITDTGNLIKEAPRGMFETIKHLPYGVSLGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDTADQFNGRFFTPFAANSLNVQLRWFKDFMKSSFGTDEDIRTRLQSSLVLIGEIGGNDYNYALFGKNVSEVEKLIPGVVQTIVDATKEVLDMGANRVIIPGNFPIGCMPTYLTTKRSSEPSDYDSMGCLRELNLFAGKHNARLQSAIADELRPSYPAASIAYADYFNSFLALLASADSLGFDASSARKACCGAGGEYNYDWRRMCGAEGTAACAEPEKYVSWDGIHMTQAAYRAMSRLVYHGRYLQPQILNLAGAP >OB06G33450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20095885:20097696:1 gene:OB06G33450 transcript:OB06G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVVKDVITWTSIVVAYSRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDQMAALGMVVDEVSLTGAISACAQLGAVRRAVWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEARKVFDGMQEKNVYTYSSMIAGLASHGRASEAIALFEEMVNRANVEPNHVTFIGVLMACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMTLKPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPEGIGNYVLLSNILASAGKWDEVSKVRKLMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTQEIKKTLLELAAKLKLEGYVPILSSIVYDVNDEEKQRLLIGHSEKLALSFALLTFGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNVRFHHFKNGECSCGGFW >OB06G33460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20096439:20100300:-1 gene:OB06G33460 transcript:OB06G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTLSGMATDLFGPHRNLSSLLEIEGPRSIRGLKSKDSIQKLAKVLLKSSWQKVLHILVELMEKSKQDSRESVRRTIMEHDEVFRQQVHELHRLYRVQKSLMAELGGEKHRFQSRTEETQEMMQEPRSNLKNSPSTSETSQSAHLGQHSTPEHSILQEFKPATCLNFFTEETSRTQEFRREGGRSVGGENWSTSDPSVESDLDLKLTIGPSLHATKAPHWLFSGSRDRNPSGQHR >OB06G33470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20103069:20105618:1 gene:OB06G33470 transcript:OB06G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 [Source:Projected from Arabidopsis thaliana (AT4G25910) TAIR;Acc:AT4G25910] MRMRGKVNQDFSSERAVSLAPAWNFRWLCLATNEKSAAYEALLPSSPASGGGHQHPSPHSSSPQGWAVRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLELNQENVEKVLDEIRPYLSGTGGGSIDLVQIDESVVKIRITGPAAGVMTVRVAATQKLREKIPSILAVQLTE >OB06G33480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20104845:20105585:-1 gene:OB06G33480 transcript:OB06G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYCKKGRFLDALDLLDEMRKRENCKPTLQVFTTLIYGAGIVRNAVRARQLFDEMEQWGVIPDRGAHNALMGAYVRARDLQSGMIVMSEMECKGIGLDDVSYNTMLCGFQRIGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENARPDLGLELWDYLMGKGCVPHRHALDILATGLCCRGLTFEAYRCFKEMVEIGMEPTERAFRVLEGFLKRRREFGKVEEIRQMMKAIQLEEHQNDEEAA >OB06G33490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20107095:20108383:-1 gene:OB06G33490 transcript:OB06G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSVRSFIAGRPLCTGGSSTAPVLPRRPARRPSARLSCRAADEKSTRPGDLEVKLGKLAMVAVAAGVLALSPVVDDAMAAKSGGRIGGQAFRSAPRSAPRPSGPRINNSRTNIYINPPAAGGPATGRRVRLRLRLRWLGLVAVLLLRPRPDRRRRCRRRLRHARAVHRVRSDRRRRQAVSQQGQRRFRRLLKHNELITVLLILLGTGYHQTKPCVIIARLFFFFVKSKGTDGLEAKYSTLICARGATCLYNFVLVNVSRFLLEL >OB06G33500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20111428:20116486:-1 gene:OB06G33500 transcript:OB06G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MH56] MRSFRFLAFVAVALVAVSAPLASAKLRQNYYANVCPNLENIVRGSVQRSMQQSPISAPATLRLFFHDCAVQGCDASILIIKPNGDDEWHNTDNQSLKPEGFLTVMAAKAAVDSVPQCRNKVSCADILALATRDSVFLSGGPNYAVELGRFDSRVSTKNSVNLPHGNFNLDQLTGYFGSLGLSPTDMIALSGGHTIGAASCGFFGYRLGGDPTMDPNFANMLRGTCRANPAGGFAFLDGASPLRFDNAFYQNLRTGRGLLGSDQTLYSDTRSRGLVDLYAGNQGAFFKDFVTAMTKLGRVGVKSAANGEIRRDCRFPN >OB06G33510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20115369:20116632:-1 gene:OB06G33510 transcript:OB06G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MH57] MDEKLAVRGGHTPSIFYINATFQSFANHHLELNQFNTSSLFKHKWWWWCRMRSFRFVFLALVAMSPLVAAQLTQNYYASICPNLENIVRGSVQRSMQQSPISAPATLRLFFHDCAVQGCDASILIINPNGDDEWRSTDNQSLKPEGFLTVMAAKAAVDSDPQCKNKVSCADILALATRDSVFLSGGPNYAVELGRFDSRVSTRNSVNLPHGNFNLDQLTGYFGSLGLSPTDMIALSGGHTIGAASCGFFGYRLGSDPTMDPNFVSTLRGNCAAGSGGFAFLDAASPLRFDNAFYQNLRGGRGLLGSDQILYSDPRSRSLVDVYAGNQGTFFTDFVTAMTKLGRVGVKSAGNGEIRRDCRFPN >OB06G33520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20140804:20143487:1 gene:OB06G33520 transcript:OB06G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MH58] MAMGQRRLGSRRQSQSVVVLLLATAWCAAAQLSQNYYVSTCPNVETLVRGAVAQKLKETFNAAPGTLRLFFHDCFVRGCDASVLIAGPDDEHSAGADTTLSPDALDLITRAKAAVDADAQCANKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAVVKHSLPGAAFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYQFKGAAPPPNSPAMNLAFLRQMRQTCPLSYSPTTVAMLDAVTPNKFDNGYFQTLQQLKGLLASDQVLFADRRSRSTVNYFAANQTAFFDAFVAAITKLGRVGVKTAGSGSDAEIRRVCTKVN >OB06G33530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20149294:20152064:-1 gene:OB06G33530 transcript:OB06G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRSTSTTGFLRRGSSASLKNQGNEEKERPNKTKLNPMKDRWADNKEKPRYLREPFRSSGNKAACPSSSKTPIRKYYEEKQGRPFLAQADNAESSSRRTEANRLQCSKKAVVEEDAHPYGQQAEPEDLLSTSTTEDQPAEFGADLLDSSVSSEMSSHAFGSVVRNTALRSKSRQQKDKEELPQIRPQTASPFVNRSTIPRNSTNGVKSPNASGSGVQRRTLKNLGCTSISDVLPSGCSSSNSVHNKRAEVMRNKAFDGESSSRPRGLNGHSSLGHSPAMYSGITGPRIRAAEQSASQQTRTSSRIVQEPADSVRARRPYTQHARARMPNEREDSVFSLRETVTRTRQPEWSHFSLDEAPPRRSMRPFSMELPHEIYSSSRQGSSNQTARSRSSYRPEESPPQMFHDLLVERDSYRRINMEGITEVLLALDRIGQDDELSYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLNRTVYKLPNSDLEVNRAVLDDIKCSICQEEYIEGEEVGRMHCEHQYHVSCISEWLRQKNWCPICKTSAIPSEMDKRGT >OB06G33540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20159741:20162549:1 gene:OB06G33540 transcript:OB06G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQPFDKRKQAQAAGHGDTAVAAVARRGAATKHQRQASVEPAQQLRLLPPLLLRRDLLGVRQAGAVVPPPHRELVVAQLVLFVVVVVALIQGRYRRNAEEVHRQGPGGISAAADHAQGQGGGVHVAMVRDVAEAADAEGVQCHQRQQQQQQEVTPANAGSGKAVVSAPAETKIAQSVEEHHQQPPEAPAESSPAAVAVQAEAAAPEPKAEQDKRQEETPQEVAATVEERGHEQEETAQEVVAAMEERGQEEEPVSTGEPEGEAEEEAVAVVVKTDAPELEETPAQTGAIAEPETEIQRNAEDEPPPPPDAIVEETTELATQNGRQDEPESTTVEDTAVEEAIKAEEERQQEEAPRPGENTENSVISEDPKEEEPAVNDDTEAQEQVPEVVKVAVEPIIPSEPATPLEEARDDAETAQASPSEPATPAAEEATTNKEKAAIDTTLTTPGPATAPPVKEAAKAVKEGTSTGTDTEKTKLTFKGSKVKTAMEKRSSEEQPKKKDVARSNDVIEETKKTLLEKRKSKVRALVGAFETVMDTSPGKSS >OB06G33550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20164589:20167549:-1 gene:OB06G33550 transcript:OB06G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67940) TAIR;Acc:AT1G67940] MAPRFCSLLQKPPTSVGAGKKVGEYPIKKTNAIDPIRRARIPTSILPPPPFPHSPARFDLLRRLVSPMGSASENGVREHLLDVDGGEGEGAPAAVAGMPKIRVRGLSRRSEASGEEILRGVDLDVPRGVVVGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGVDICAIDVLALRRRVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTDAEVQSLLSLADLDPALCSRPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLVVAGEVVEVLPPSGLSEAKHPMARRFLELS >OB06G33560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20173269:20174960:-1 gene:OB06G33560 transcript:OB06G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRLLLSDYDGAIVSPLPSPPASSSAATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGGGGASGGAAGERRNSGVDRAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEGLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKVAAATTKEKQASLAPAPAPSPAFRAFFSGRHSTGSVRAPGRVGPASRRSADLVGGDGDAAVGCFEAAKVRKDRVLLMEPAAAGAQPDPEAFDRRFGHRILVSTAGGCEDETAPAAKQRWSEVRPSDLMFVRSEMLVTEAGRYSCSAAVHSSSNGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHAHLGSRAGDGRERERARSTLLLRGSRGKCAAAPEYGRPRSAPAPHMAPASERGHRGIFCGRLTSP >OB06G33570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20184036:20185492:1 gene:OB06G33570 transcript:OB06G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MH63] MRTVAVIGIVAMACLVAVAQGGNFFQDAEISWGQDRGKIVDGGRGLDLTLDRSSGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTQSFHTYSIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRNYNADACVWSNGARRCPVGTMETAAAAAGRSGGWWNQELSDMSYRRMRWVQRKFMIYNYCTDLKRYPQGVPAECKLR >OB06G33580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20195336:20197164:1 gene:OB06G33580 transcript:OB06G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MH64] MRGCSLRRGVAALAAVVVAAAVVTAAAGDHNFHRDFEAVWGKGNALFRDGGRVAELTLDKQTGTRLQSKDRFLFGRFDLEIKLVRGESAGTITSFYICSGGARHDEVDFEFLGNSSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFRNNEAYGVPFPARQPVHVFASIWDAEEWATQGGRVKTDWSRAPFVAAYRRYNVTNACVWDGGAGVARCTGGGKAGGGWMSQRMDWWSWMTLNWVRMNYMAYDYCSDRKRFPRRFPTECIVPIGRT >OB06G33590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20196451:20197119:-1 gene:OB06G33590 transcript:OB06G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding REALAVGAVVVGHVVHAHPVERHPAPPVHPLAHPPTTCLAAAGAPRHPGAAVPDAGVGDVVPAVRGDERRAGPVRLDAAALRRPLLGVPDAGEHVHRLPRRERHAVRLVVPEHPDRRPIGNLHDVVWVPEDGVGVEVGGGVEPEHELLLALALAVAEDVGVQQVRLAAGVAQELEVHLVVPRAAAADLRP >OB06G33600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20204204:20205336:1 gene:OB06G33600 transcript:OB06G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 21 [Source:Projected from Arabidopsis thaliana (AT2G18800) TAIR;Acc:AT2G18800] MASCSTGRALAVAMTLCSVLLLAAAAGNFYQDVDITWGDGRGKILGDGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSQHDEIDFEFLGNATGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPAHIVFYVDGTAIREFRNREAMGVAFPRTQAMRVYASMWDAEEWATQGGRVRTDWSQAPFTASYRGFAAAGCAPPSSSSSQAACAAPGSPWMDQELDGAAQDRLRQVQRDYMIYNYCADTYRFPQGLPPECSASK >OB06G33610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20205979:20207140:1 gene:OB06G33610 transcript:OB06G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLRTISWNPFYPTRGCTPRRQTRASPNLLSKTLGPFGGRARAHRPRGPAGAPGCGEGEAEALPWVRLAAVDVEWVHVLAVGWASPLRGFMREHEHHQTLPFNCIRLPDGKGIVNMSLPIVLAVSD >OB06G33620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20210750:20223937:-1 gene:OB06G33620 transcript:OB06G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFMAVWGVVMVAVVVWSAAMAAAGNFNEEFDITWGEGRGKILEKGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLRLVPGNSAGTVTAYYLSSQGAAHDEIDFEFLGNVTGEPYTLHTNVFTQGQGNREMQFRLWFDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARCGNGDACKHSRSAAKSPDFTCLTKSQGPVPLPPADSCYYACKTSPPIGFDHALDSPGHL >OB06G33630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20223714:20224097:1 gene:OB06G33630 transcript:OB06G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPYRYSCFDWNPDPDVRSSVSVSSCPFSRILPRPSPQVMSNSSLKFPAAAIAADQTTTATITTPQTAMNFAISLSSLTELINGELEADQWRELGASCLSGRIRAWAGVYIGVWSTGRWMVPRSE >OB06G33640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20241750:20244463:-1 gene:OB06G33640 transcript:OB06G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPFLLLEREREGSCGIGKMVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQFDMYMQLDVATEVYPMRPGDKFTMVLAPTLNLDGTPDIGFYTQAGRKTLADKYDYVMHGKLYKISEDGSGGQATKVEIYASFGGLLMMLKGDPSTAANFELDQRLFLLIRKV >OB06G33650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20246088:20246342:1 gene:OB06G33650 transcript:OB06G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMTIKWGTIGPSAKMKSLNRPGAHTNEVHVEALPPKRPITKVPIPASLPCGPHFPHRRHFKTLPNSSKKRKKKSHFFSVSQ >OB06G33660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20246553:20247193:1 gene:OB06G33660 transcript:OB06G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLFKNIFTVTRLDPDEKKFDRVSRIGARSELFDMLVDYVMHGKFYKISEDGSGGQATRTHLLH >OB06G33670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20269937:20271175:1 gene:OB06G33670 transcript:OB06G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHKTHGAPAAVASLPDSHATGDTFRGVRVLWTSQLNGTGSSSYGGSFSGAAPRGFVHHPIPIGGARQRCLRLEFRRRDRDVVRDAYIPFVLEEAAALRAKMRERKLYTNNSGFCGGVGGGMDDHQILWKAHAFSHPSTFDTLAIDPELRDAIRADLLRFVRRREHYTRAGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDIYDLELTTVMSNFDLRRLLASTRPKSVIVVEDVDCSLGLFDRTRAPVSTDDEPMPHPSLAFLPPAVEAAMQRETISLSGVLNFVDGLWSSCVGERLVVFTTNHMDRLDPALLRPGRMDRKIELGYCKAPALRVLAANYLVDDGEHRDVALARACEELMGEAARLLEEVQVTPADVAEVFMGCDGDEGAHAALQKLVDHLGTRRNTQGPV >OB06G33680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20270165:20270628:-1 gene:OB06G33680 transcript:OB06G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGRVEASSLRRSKLDITVVRTDGVAQLRVDGERVERGRVRERVRLPQYLVVVHAAADAAAEARVVGVELPLPHLRAQRRRLLENERDVRVAHDVAVAAP >OB06G33690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20274177:20274335:-1 gene:OB06G33690 transcript:OB06G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLITRSLNTTPEECMVDAMISIDPLDWKLQVIDRLRVDQSTRCVPNNLI >OB06G33700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20274377:20275418:-1 gene:OB06G33700 transcript:OB06G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSSSMEGEDLGAWLGLGIGGGCAYGGDRSPVQLFSQHVKEETTARGCERASGSRLKGEKGARLRMVMRNGGDGSRSRGGLSLSDGGGSGSGSGSDGGGTRKKLQLTKEQTTLLEDSFRVHNILSHAQKHELARQLKLKPRQVEVWFQNRRARTKLKQTEVDCELLKRCCESLTDENQRLKHELMELQRLASAAAAAGSQLYVQFPRAAMVNVCPSCEKVTEMAKSSSSYSS >OB06G33710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20283469:20285637:-1 gene:OB06G33710 transcript:OB06G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVLLLGPLVLALLSLFPCCSCLSQGGEEDDDGEKGEEVEVPLMGSAGEARSPVSGGGFSANGKFSYGYASSPGKRSSMEDFHDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFTDTKSAIAETYTSTDSELLKAETSNHRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIPDSEQAAKKLLHEASQRGSADNITCLVVRFLEQGNQPGRPISARFHLSVLQSAVPQCCSGAAWDHRLLSVVISRNVAAFSCMLACSLNFDVQL >OB06G33720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20287820:20288059:1 gene:OB06G33720 transcript:OB06G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSSIAAMAAVTCRCSSVVFVGNIPYQATEAELRDACEEIGPVASLRLAVDRDTGKPRGFAFVEYLDDETARSACRNLDG >OB06G33730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20292266:20296964:-1 gene:OB06G33730 transcript:OB06G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKGQQAEAEQQRSWREEAVSAASLRQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFVHGDDAYRNSRFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPEEAASEAAAGGRAGAGFRVGSAKVAHDSRHQERAGGKVGRSMSCQERESGGR >OB06G33740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20295853:20296027:1 gene:OB06G33740 transcript:OB06G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKSLSGSSSSDWDSTRNPMSMVTDVTAPSARCKMAWRSRSCRCRRRSRGSRPPCGSG >OB06G33750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20306537:20306707:1 gene:OB06G33750 transcript:OB06G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCQVRCRRASHPCCLHASSSMSPVHTFLTHGYDASIVHPWLTAPGYDIPNFQSLRY >OB06G33760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20308921:20310345:1 gene:OB06G33760 transcript:OB06G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNSSDPGSITAPRIPASAVHRLSLVLDRLAANGRLSTCTAAYADARGDTVSASLRALGLDYLHNPTEDAQVLTPSVERWGRHLEFAVRHLLEAERKLCVAVFERRPEAVSSCFAEIASRAGILDFLKFGRAVCDARKDPIKLLRLLDVFDSLSKLRMDFNRLFGGKACVEIQTRTRELVKRVVDGSVEIFEELLVQVELQRNMPPPSDGGVPRIVSFVAKYCNQLLGDPYRSVLTQVLTIHRSWRKETFNDKMLVDAVLTIVKALEANFEAWSKSYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSKWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFMPKPRRAGSFQMKHSNGKITSAMTGLYRSSSTLK >OB06G33770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20312421:20313764:-1 gene:OB06G33770 transcript:OB06G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKVTSKESTSTKSGAGKKKTAAGSDEDHSNSPTRSHDGDVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTDEPEKKQEVSQKEGASNGSTKHVVEEAKSSPYNDLVEELKDYFAKGATAAQLKGLMTSSAVPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLLALVAFGAKASPEAVKEVPIVLKALYDGDVLDEEVITQWFNESVAGGKESQVVKNAKPFVEWLQQADSESDEE >OB06G33780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20315065:20315241:1 gene:OB06G33780 transcript:OB06G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCGLSFYKLQLLFFSRFQASFLNFVIIQHGWLLHCTVTLFFIYCCIVLTQGHHTWL >OB06G33790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20318654:20320006:-1 gene:OB06G33790 transcript:OB06G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKVTSKESTSTKSGAGKKKAAAGSDEDHSNSPTRSHDGDVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTTDEPEKKKKQEASHKEGASNGSTEHVVEEAKSSPYNDLVEELKDYFAKGATAAQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLLALVAFGAKASPEAVKEVPIVLKALYDGDVLDEEVITQWFNESVAGGKESQVVKNAKPFVEWLQQADSESDEE >OB06G33800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20331890:20333390:1 gene:OB06G33800 transcript:OB06G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAAVGSSPVGASSAYGMCGGGGGARKRKDVVQQLEEDPGGGDAAGEDAVRGLFVLETVEEEAGEEEEEEEEQRSSIGDESEEDDDEEEEVESKGETWRRKTKKCGGALTCLDALDDALPIKRGLSNFFSGKSRSFANLQDVAAGATAASGANEIAKPENPFNKRRRILRCSSIRRVSSTSLTALPPFLPAPQPLPDDGINGAGGNG >OB06G33810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20336031:20336233:-1 gene:OB06G33810 transcript:OB06G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRTAAVARWPGADGASEQDRGMTAFAQSGGGVGGPQLRGRRRSGGASGEEIQEVDWW >OB06G33820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20338000:20338608:1 gene:OB06G33820 transcript:OB06G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIKYSKTNRTGASSRDSHRRTHARTQLPLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPGGPAGLRRDAAERVVHRPGPPLARARPRLPPRVAGCWYTLDFAPPPSPKPLLLLFLLSSIGC >OB06G33830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20338724:20342328:1 gene:OB06G33830 transcript:OB06G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCEWESWKPHMASQESRLGNVEFVVCRDAIGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATRIAGIKNFNKNDFGLIPIKVATWGPFVVARFDNGFSKETADDTVGDEWLGSASDLLSRNGIDTSLPHVCRREYIIECNWKTYERVSVQRCESGQVEQNDFDRLGTKATYAFVYPNFMINRYGPWMDTNLVVPLDATRCKVIFDYFLDQSLLDDQKFIDRSLKDSEQVQFISEHRAVDLQMEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSGDDW >OB06G33840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20342849:20356897:-1 gene:OB06G33840 transcript:OB06G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYEEHAHGHGHGHEAEDEDDEEEDEEEVEMEEDEDGEGRHHEGGGSAEEGVPMDADAAAATLGAQMDQHGAMVAAAVPAMASNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGSGASSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKGDEATSELTAPDGSPNWGSVEGRPPSAAECHHCGISAKATPMMRRGPDGPRTLCNACGLMWANKGMLRDLSKAPPTPIQAIPSANDGNGSAGAPTTEQEIPTPATANGHESSTLDIVDRNCTLDQMVNTYGYDYERGGGRGGYDDDRYHGRYQNRAADWPDSGFGASNDGPGITQREGLMTYKQFMQVLEDDILPAEAERRYQEYRTEYITTQKRAYFDIHKNDELLKNKYHPTNLSSVIDSRNARCKGVASNFFHDLQNGTLDLGPGITAAAASKAASGSDGNTDDDGDNDKRRKHGRISSKETDPLSGAPVAHPVSSESRRVQVDIEQALALVRKLDTEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSEANEPKGIRHVRADSKASNTTNINAADWEKKLDTFWQERLIGQDPMVILAAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVIELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRANRREYDRAERDGGRYSRGDRSPSRDGADDQMFDAFRGRGPNAPFVPEIPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPAHGNAGVLGPMMGGPAPIITMPPSFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >OB06G33850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20360976:20362274:1 gene:OB06G33850 transcript:OB06G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVVTKSSPPVLVSPSEPTPAVTIRPTSTDKSRLGLSFTALLVFERHVHEPADTIRRALSRALVLYYPLAGRLAVAGDGSGDVLFSCTAEGVLFVAAAAASCTLEDVGFLRAPLVIPPADLAGRYGGEQCGMSDPLAMVQVTEFACGGFVVGVTWNHGVADTCGVAQFLRAVGELASGAPSPSVVPVRYDPSMPDIPLQLDAGVLRAGGGAVLKHVDFAYCDITIPWSFVNRVKAEFVSQHGGGRRRCSVFDVVTAAIWQCRTRAIRGRCSGGDAPVALFFAVNVRKLVGARDGYYGNCITRQVVVATADAVASGGIVDVVKLINDAKERVPEELLRSNQDADGGGHLVGAHRRLYVASWGGLGLDMVDFGGGGPARVIPNMEVTVMPSCFPCLPCSRMDGDGDGGVNVVAWCVTDEHVDVFRAELARLR >OB06G33860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20363229:20365679:-1 gene:OB06G33860 transcript:OB06G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) TAIR;Acc:AT5G57140] MSSSSLVSLLLPCLLSLLLLRLSTILYPDPAAALPRVKRSPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDVNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLKAIGPAMEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSESVNTSLLNLYFMDSGDREVVNGVRTYGWIKESQLSWLHATSNELQENSRAPEFAFFHIPIPEVRGLWYTDFKGQYQEGVACSTVNSGVLGTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARIIYTELNKGQKSWMEVESIHTWKLLDDEQLSKIDEQVLWRRNSR >OB06G33870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20368541:20369989:1 gene:OB06G33870 transcript:OB06G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKEVVLPVALSEVFGRILSFLLDSFSRPAAARDVPRRRLERLLGNIGTTVEEAEGRHITNLQLLSHLRALTEGMFRGRFALEVADLDDVKNAGDGDDDDATGADASFALCSSLNRAKRSRVTSLIFRGGGGGGGGGALELLKSNYMREFILLVQGYPRKVHRPVKTTLYMDRCVFGRHVEKERIVDFLLQRPPAGAPYLSVLAVVGGKKVGKTTLVKHACDDERVRDHFAPIEWFETPDVVREGGLPGQTVWESDGPEYLAGVRRIVAEPRFSTTRSLLVFEDAWPIDEAAWSALASTPTTLAAGTKLLFTCRDSDLARLGTVEPVVLRHLPPEEYWYYFKAFAFGGADPRDHPRIAAVGRQISEHLERTFLDARVLGTLLKSNFDAAFWRRVLVAMAGCSRRPLHVGVLLELLPVHGRLQSYGYCRSPPKFTVQDVLSATAGGGPASEEGFTIHLCRETLYMDHWYSITFSTAAAG >OB06G33880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20375618:20380032:1 gene:OB06G33880 transcript:OB06G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MH94] MAMMVDPPNGMGSQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLASMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENHGVDMIREMMWQEMLHYHPEVVAGVNM >OB06G33890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20378188:20380477:-1 gene:OB06G33890 transcript:OB06G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57170) TAIR;Acc:AT5G57170] MPQLSLTTNVPVDAVVASDIIKDCSKALARVIGKPESYVMVSINGSVPMSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSISRSRFYIKFDDVKGSNLGFNGSTF >OB06G33900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20383851:20384087:-1 gene:OB06G33900 transcript:OB06G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSELWCRLYGKLDNEVRCWVFVKHSSYVLLCAVSCCRLPFFFSYNIVTYVRFYVFLIEYNSQYSCQFSFKENICSIS >OB06G33910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20385474:20385713:1 gene:OB06G33910 transcript:OB06G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHSISLVSRSRPKPKTSFSSETMDWCSRSRSVTQTRTTCETVRASQTCSEQRLTRVSQLWTRRTHVYVGLMLMLRMR >OB06G33920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20386861:20389702:1 gene:OB06G33920 transcript:OB06G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPTSLRLDLEMVKAAAPPGGAAAAAAAXXXXXXXXXXXXXXXXXXTLSEASNSSSVASLSLKRARTPRKRPNQTYNEAAALLASMYPSVFAVSKGPETAPPRLLGLASALADDPSCSDLLPPFPVLSNGRARNPVPIKNCSSPAPVSSVFREFRDAAPSPGTPEADTTDDLGELDFEDDDGFDVDSFLAVDDGAAEGIDSIMGKLSMSMEKNSAAASRTDAVLSSAAIHPYLRSLMVLGLGFRQGQLNANQALKRHDDESDWWMCPAIPVKDIAAPPAPSVSMPVPVSDKKKKSKKKVEKEMERENGAAVEFGDAGALRFSNGDAGISAQKAPKIGLGLNLNTEEVLKAWCNRGSVFAGSDAVESPRSSSGLHSKLADIDLFLDNSTSGGVIREGSILKMRHKQKQCTPLLSNKTRYQPRKVNAECRPRVKVKYVSQASLFQQASEKERGSRER >OB06G33930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20394044:20398716:1 gene:OB06G33930 transcript:OB06G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:para-aminobenzoate (PABA) synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G28880) TAIR;Acc:AT2G28880] MLSFLESDKPDITSSNLWGSLDNLFITNQSEFRECGNNTSEPDGCRVLMGIMHSTRPHYGVQFHPESVATHYGRQIFQNFKKITTEVGLQTQLLQEKKVHGIGKLERSQINSADLRSFVATDLLHAERLKLWDSFGPCVLSKQNTGGKCLQLRWKKFDKFLNRLGGSENIFSVLFGHKNAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLSNQRVNCGGNLTIRDAHGHTVRSFLKGGFLDFLDKEMQSIQYNEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNNAKSSTPDACFFFADNLVVIDHNNGDVYLLSLHDEYSSCNGDGNCQNSAHSSWLANTEKKLLRMDAMAPRLSINGNSSINGNSFTRSSNVNKQRFVIEKSKDEYIRDVQSCLDYIRDGESYELCLTTQMKKRTDYMNALQLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDQNAVLEAKPIKGTIARGRTPEEDECLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEAGSVHVPRLMDVESYKTVHTMVSTIRGTKMSNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSIGFFSYNKTFDLNIVIRTVVLHDGEASIGAGGAIVALSDPESEYNEMLLKAKTPTKVVEECSQQVYNPDRSDSMQTTLS >OB06G33940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20400347:20403790:1 gene:OB06G33940 transcript:OB06G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSMQKSWRKACGAIKDSTTVGLARGHSKDLDVAVVKATNHVERPPKERHLAKIIAAASGARPLADVSYCVHALDRRLSKTHNWVVALKTLVVIHRALREGDAAFREELLSYRRGRGGHCLQMSSFKDDSTPLAWDCSAWVRTYALFLEERLECFKVLRYDIEAERLRPAEGAPKGQSRTRSLGKDELLEQLPALQQLLYRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVEMFFDMTKIDAIKAFDIYRRTGHLAKNLSDFYDLCRGLELARNFQFPILREPPPSFLGTMEEYIREAPRTAPVANKTVEYRQLESIPKQEEPPQPLPEVLEEPVEEILPEPAEEPQHPAAEVDDEPEPTTTADLLGLNEVNLAATQLEESNALALAIVPPGGNTSGSAGGGFSGMIGSSGWELALVTEPTNNSSNQLLAESKLAGGFDKLLLDSLYDDAARRQQPAQTDPFAMSTSFAPPTNVQMSMMAQQQQYFQQERQQQQQMMLGMPQPFQVQHAGVPQANLFGDPYSAGTLHGSGSLI >OB06G33950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20404846:20412664:-1 gene:OB06G33950 transcript:OB06G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPREDANGANDSSASASDPAQPPPPQQQPPKGKAKKKDEKKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRKEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPKSDLKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDETPTDSLTELVQQIVSFHMKHNAEPEAVDLLMEVENLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDTLALRIAYDIYVKFGDFANALRISLLLDNKSLVKEIFTATNDFHLKEQFAYIIARHGLCTEVADDIAADENEKEALEEIINNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKDHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSECDPAYALISEYFSRDDSIIRIGAILGLGIAYAGSQKEEIREKLTHFLSDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMDRSEAELAEAITRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGVEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARLAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYVLYILALAMQPRMLLTVDEDLKLLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >OB06G33960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20417185:20421972:1 gene:OB06G33960 transcript:OB06G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLQLACLLLIIVMQVVLGTHDVYIVTMEGDPVVSYRGGVEGFPATATDLDEDIDITSEAVTSYSLHLRMYHDKLLDSLFVEGTYDKLYSYHHLINGFAVHMSPLQAEFLKKAPGVKHVERDMKIEKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPYGPVPRYKGKCEMDPVTKRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFNVISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFVVAVENSYPGTKFDPVPVSMPGILITDVSRTKDLIDYYNSSTIRDWAGRATTFQATAGIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSESEIMTLTRATPFDYVNPKAALDPGLVLDATHQDYITFLCSIPDVEHSEVSNITGSSCNSSSKGQQRPYDLNIPSITISQLRGTQTVKRTVTSVAVETETYTVMARMSPEIALEVSPPALTVLPGASREIMATLTVRSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >OB06G33970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20422697:20424103:1 gene:OB06G33970 transcript:OB06G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATGAAILGEVSGASARRVHARALKLGLLPSGLHLCSALLRAYAASGRLAAARQLFDEIPSPDVPLWNTLLSSLARSGCLHHALLAASSMARAGSRPNNVSVTSLLSACARLGSSVHGRELHGYAVRNLAALDLPLLNALVIMYGRCKRLADARRVFIGIGSMKSMVSWTCMINACCENGCPAEALKVFEEMMLAGVKVDEVTLLAVISACTMLDCRSELGEWVEEYARENGFLETTCVAHALIHMHGKMGRVRRSCEIFDSISVRTIVSWTAIIQALAVHGHGMAALVRFSQMLRQGFQPDEIVFLSMINACGHSRLVNEARQLFKSMIEEYHITPWMEHYGSMVDLLCKSGMLEEAFEFVLAMPVRPDPVIWRVLTGACRDQGNAVLARKVIDHVIDVEPHHGGNYVLASNLYAANEDWRRVVDVRMEMGVWKGTSRYTTGMSYVEVNVEENAESLPSINDA >OB06G33980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20424404:20424893:-1 gene:OB06G33980 transcript:OB06G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALYCRCGSDLQDSPLMAAVKNNSAMVLCFATLLVMSVVFLSCNATGRHKAGVMDDTGVLCFTWLNCTNVSCQKECAAGKWDAKKSSCGAADVCCCRTAKLLMLDEQPI >OB06G33990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20428434:20428983:-1 gene:OB06G33990 transcript:OB06G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGFSSAGRLRPFDGVRVIHINGYVEDFDVPVTVGQVTGKEEDDEEEVQRRRERRYVLCSSAHLLQPGRGPFRPDDPLGPGTPRLMTRLTSLARKGGSGAPGPSPVEALFAGLHHDATPPPSSSCTSRPQHDRPAATSQQPERCYGAAAARPAPWKPRLDRIDESIGRASMRSSSSRSTEA >OB06G34000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20437290:20441258:-1 gene:OB06G34000 transcript:OB06G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNRTSTRRPLWIVILIAFVCAVAIGVYLYTPQNYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARVVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKVTWGTISMIDAERRLLANALQDPDNQHFVLLSESCVPLHNFDYVYSYLMETNISFVDCFDDPGPHGAGRYSDHMLPEIVKKDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKPGNEWHNCYSDEHYLPTLFNVSTQILLNIFQLFLHPSNIRLLLCLQMVDPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESIHVSSNAKHHVQRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >OB06G34010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20444918:20451876:-1 gene:OB06G34010 transcript:OB06G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATAGGKYQKSYFDVLGICCPSEVPLVEKLLQPLEGVQKVTVIVPSRTVIVVHDAAAVSQAQIVKALNQARLEASVRAYGTGSEKITNKWPSPYVLLCGVLLVVSLFEHFWHPLKWFALGAAAAGLPPILLRSFAAIRRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSALMSMTPQKAVLAETGEVVAARDVKVNTIIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSEVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSNTQRVIDTCAKYYTPAVVVMSGSVAAIPAIVKVHNLKHWFQLALVLLVSACPCALVLSTPIATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFQVVGEHVTMQQLLYWVSSIESRSSHPMAAVLVDYSQSKSVEPKSDNVTEFQIYPGEGIYGEIDGSGVYIGNKRILSRASCETVPDMKDMKGVTVGYVVCNNELIGVFTLSDSCRTGSAEAIKELRSLGIKSVMLTGDSTAAATYAQNQLGNILSEVHAELLPEDKVRLVGELKEKDGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVRLARRTHRTIIVNIVFSVITKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKDSGKAKKGCASHHASAKKCCSSSHHGSHAKKNHGTSHHCSDGPCKSAVSCKDSSAAKHACHDHHHEHNHSEAPVHKHSSKQHDCHDHDHDHGHGHDHSTETSNVHGTKKHDCHGHEHSHALPPTSDHACHGHEHSHHEEHGVLHSTGEHACHEHEHVHCDEPIGSHSAGEHGCHDHEVHEHHCCDEQQTQHTEDMHPCHDHKHDNVIEEVKDCHAELPHHHSHCCHEPHDQAKNAADSVQEHKISIIEPSDHHEHHQHEHQHSKDHKEAGDCGHHQKAKDCVPHPTDCSIKGCGSKGKDTACSSLQKDHARQASQCCRSYVKCNRPRRCCSHNIVKLPEIVVE >OB06G34020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20473797:20476144:-1 gene:OB06G34020 transcript:OB06G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >OB06G34030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20478448:20485132:-1 gene:OB06G34030 transcript:OB06G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) TAIR;Acc:AT5G52560] MASDGGWAEACAPLRRNLRLLASDEVELAKLLLDERQSHLFEHWPEPGVDDDKKRGFFDQVRRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFTPSVPSGEVLTFGDENFVSLEEAGVKEACHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIQSILALEEASCILEGNCQRKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVIILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKTTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPTSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVIDTFNGQEVEVWPRITWSPRWGLVFKDVKAKVHGNSSVSQRSALVINGKNISIEGLSLDGTLIINAVDEAEVKVAGHVENKGWTVQHVDHKDSSEKEEIRIRGFKFNKVEQLELNYTEPGKHSMKP >OB06G34040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20485966:20492258:-1 gene:OB06G34040 transcript:OB06G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MGWGNVLTRRLKVFSMALFIYFDYKAVQKRVKWVTTSKKDAIWTKTHERNARRVLSLMIELEGLWVKLGQYLSTRADVLPEPYINVLKQLQDSLPPRPIEEVRGTIEKELAKPMNDLFSNFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKEIILEDLKNAKSLIEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRAVSRNLSRKTGCENGGVSDAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPYKPILLDFGLTKRISPSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATIFFRQSTTANEAKENIKTLNDQRERNVKALQKKMKLNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMARGPTTNSQWIHDSPVNSEVESKLRNLLVELGSNKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYDETVANIWPNFGTNRKELIKVHHLLNHTSGLHNALGDVMKTDPLLVCDWEEMLHQITKCTPETEPGSAQIYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHIEGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPEVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGASGHVPPPHSGSSKPPLGSHVHTPKFPTMQSKKKKKKGASKKCSSDSEQNGHDASLTDKDGYTQLRTSDGDEGSMASAMSGSGSRMFSDGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGDGGKAKATSSTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >OB06G34050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20498258:20499670:-1 gene:OB06G34050 transcript:OB06G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGDEWTAKQLKGDLEASAATHYELQRRLVAAASAADSAAGVQSSFAMVTPSSAVFQVIIGAVGGGAAIGGGASAGAAAGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >OB06G34060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20500338:20502072:-1 gene:OB06G34060 transcript:OB06G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHIFVSSARHAISSSVFICRFIAFHLRPLLIHLAYFLIIDVLGFVALVVLRPSNHRYNPRYVDMFFLSTSAVTVTGLATTQMEDLSSSQILVLTLLMFLGSEMFLSFLGLVHESGKQNRHDHENRRVGSATSCEESQLEEATAQTPPTNSDDIKKRCLRYLVFVMLAYMIIILVTGSLLVFMYIAHVSSARDVVTRKSINKALFSVSVTVSSFTNGGLLPTNESMAVFSSNNGLLLLLISQILAGSTLFPVFLRLVIWALRGLRLARAEEPGFIMSNTRAVGFNHLLPSMKTALLAAMEVALVAIAVMLFCCLNWGSAVFAGLTSLQKIINALFMAVNARQAGENSIDCSLVAPAVLVLFMAMMYTPSLTTFFSACQDHKQSNPEQDNKARKGNPFLKRMTLSPLAFNAALTMLVCITERRSLSTDPLNFSTFNIVFEVISAYGNIGLSTGYSCSRLLLMQPEKKGIACHDKPYSFSGWWSDSGKLVLVFVMLYGRLRGFHKHTS >OB06G34070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20504918:20507764:-1 gene:OB06G34070 transcript:OB06G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3MHB3] MESKLPQELPLDFLKKITNDFSEERLIGTGPVGSVYKKGSLDKHIFESIDRVLGRELVEMQHAVRMPHRQACDLEVGRGHDNGAAIVVPIAGEELGDSIPLLHGGQSVTLHTNLGDIKCEVFCDQASRTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGASIWGKKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGAADRPLAEIRLNRVTVHANPLAN >OB06G34080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20506497:20507017:1 gene:OB06G34080 transcript:OB06G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWNAITKFFPSNRDNNGSAIIVSTPNFEVACLSVGHPYRVLHLNQLSAQHSVYAFYKLIIPDY >OB06G34090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20510959:20514230:-1 gene:OB06G34090 transcript:OB06G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNTVESKLPAELPLDFLKKITNDFSDERLLGTGAFGSVYKGILEDGGVIAVKRLGENSPVPSEKIFANEVQNMMVLKNENIVKMVGFCRETHKKLVTIGNRHINANITETVLCYEYFQKGSLDKHIFDESTTVDWEVRFKIIKGISKGLQFLHNLPRPLRHLGLKPQNILLDDNMAPKIADFGFSRIFDQGQTRMKTGSVVGTVGYMAPEYMYHGEISNRSDIYSLGLIILEITTREKNSSSTDQKHAREYIQGVTENWNLEQIMVKYPELDADGISQVENCIRIGLQCVDIDQESRPTINEIVNMLNS >OB06G34100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20519335:20519790:1 gene:OB06G34100 transcript:OB06G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKIKMKETAEAYLGEKVTRDVVTVSAYFNDAQRQATEDGIGDGTFDVIILAIDDGVFEVLATNGDTHLGGEDFDQRYFIKLIQRKHGRDIIGDARAGQAPSRRALSSQHQVRVEIESLFDGIDLSEPLSRARFEELKLNNDLFRRTPG >OB06G34110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20529239:20529612:1 gene:OB06G34110 transcript:OB06G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQQGGGRASNKIRDIVRLQQLLKKWKKLATAPGKSGSGVPKGSFAVYVGEEMRRGGGPYFNHPLFGELLREAEEEFGFRHEGALRIPCDVDVFEGILRLVAGKKDAAAMCSCSSETEILCR >OB06G34120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20531746:20532165:-1 gene:OB06G34120 transcript:OB06G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYLKKGGRRRRKDCKAGAAAYEEEEDGLREPLLEPQQQQQPASSPPTSGGCGGGGVPKGYFAVYVGDEARRFVVPTGYLREPAFRDLMERAADEFGFAQAGGLRVPCDEDDFEDLLRRLRRKNAGAGAKGKKAIS >OB06G34130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20544099:20552703:-1 gene:OB06G34130 transcript:OB06G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLDGSPGKVVVPVNRGLAGLLLGATNSTPSEAVESIGKSPPKFAIRWREENGGEGRGEFGPGLENGRGGREVPFCRVPRGRGSPGREMAAGGLLLCHQWLERKINFNDSPITVASDVANQMDNEDKYERTYFSYCWPNYREGCKMTYEPLPIITPQFTFSALLLDRRTTVTPTNRSWAIAGVSLPKAQELPILPNIPERKKKKSLGGARAAAATALGNFGRCSGAAEASLLHFRDFDRDVLDQGLGCHRGKTRRHSHPKVRPVRRYDKSYRRFTWHNEVRNNLSILSVRRSAPDGKCSYYSIIAALEANLRIHHGFGHNLSIKYLQRKDKKVKIEKLLKDFDKKKRKIGRNVRIMDILRRTGVPTEEGYDLFCQAGLPCEMKRIQCYTMYDVKKPSQIRLALERHLIKGPMVAVFYISGLSPEVRVKKKVTRIMTWKFVDISITSGVVENPSANEYYIYGVLLNKFSHAKDLPLVAFFSSLC >OB06G34140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20561017:20563580:-1 gene:OB06G34140 transcript:OB06G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHGIYPLRDYWLRPSPGDYTEYWVLGFQSDHSAGSSTPTPTVGGSSPGDVSAAPVLDLSAIPRTRAGADASAARYGRMHRRRARDEIGRRPHFRSPPPLQPSPKRSRRSEDDPKESPPLQSSPDKSCCESPLQRFDSPIKYDASYEVNDLPASNGKMDPSYESLVNDFMDGVEKNWHETDSDDEVDPAERGKMYREQTKRFAELALRHYNKNKNNKVKYSLVEAIDGNIIFEGTTYYVHVNLSVMAKNGPKKNDPKALVFAELHHVGDRPNAMALTSFHLLDGKKQLRGCYNQSQNSFSDKDMDRHHCYACDSDIKHPDGSRYKAGHFIATSYYRND >OB06G34150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20576626:20579535:-1 gene:OB06G34150 transcript:OB06G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHGIYPLRDYWLRPSPGDYTEYWVLGFQPDHSAGSSTPTPTVGGSSPGDVSAAPVLDLSEIPRTRAGADASAARYGRMHRRRARDEIGRRPHFRSPPPLQPSPKRSRRSEDDPKESPPLQSSPDKSCCESPLQRFDSPMKFYASYEINDLPNSNVELDPSYKSLVNDFMDAMENNCHESEDLEDDPAKRQEMYQEQTKRFAELALRRYNSNKNNKVKYSLVEAIKSNGIFEGTKIYAHVNLSVVAKNGPQKNGPKVLAFAELQLVGDRPNAMALTSFHLLDEKKQLAGHRHLAQNSFGTGDTDMNHCYACTDVIKHPDGARYKAGHFVAMSYYYED >OB06G34160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20580795:20581079:-1 gene:OB06G34160 transcript:OB06G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIEGGREEEGGRRRRLGGPDGEGDECSCSPVSLSLRAVLLCGDRFEAARLFRSGGLSVSPWPPAPSATIARVDAWPRGALVFVFEIPGFFEA >OB06G34170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20598032:20598845:1 gene:OB06G34170 transcript:OB06G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLSRFAHRVLCCGRKASGEDLSDEGSGSLRWVFTLRELRSATDSFNYDNKIGEGH >OB06G34180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20609856:20612138:-1 gene:OB06G34180 transcript:OB06G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSASESRPVQRYENSYRRYTWHNRVAHNAPVLPFRREAPNGWCSYYSIIAAFESHLRLQHNIQDDLSIDYLWRKDRKVKNEMIKDGTYAKMKMTRNIRIMEILKRTGIPTHKSYVLFCQEKAPCLMHKIKGYAKYDVKCRGHIRMALRKHLFHGPMVAAFYISTNYVRCMRYGSIYVFNKHAPVLDEETNEKISHSVCVISFGIEGKVPFLLFRTENLDWPSYGRVQFQSVKELYGIDL >OB06G34190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20616525:20616695:1 gene:OB06G34190 transcript:OB06G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKFLCFNIYTYMKQINLNLKILIFYYCKRRVADNLFPPTNYHTRTEQVRRCCL >OB06G34200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20617823:20619372:-1 gene:OB06G34200 transcript:OB06G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMSEKVKYSLVEAIKSNGIFEGTKIYTHVNLSVVAKNGPKKNATKVLVFAELLHVGDRPNAMALTSFHLLDEKKQLAGCRHLAQNSFGNKDKDMNHCYACTDVIKHPDGARYKAGHFVAMSYYYED >OB06G34210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20620556:20621569:1 gene:OB06G34210 transcript:OB06G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSMSLDGSVLPPSRITSERFAGLARKLILMLSGRKTVALGGDRPSPQYPHRSIFFLSYAMVRLIPPFSNFFREVLDFYEIRMAHLTPNSVLTLSIFAYMCEIAYLSGGVTSSHILSPGNTTSSSSCASSGTTGSAIGSTPSFMTSLAVEALVARECVVHERCQAVIVAQAATLDVGIWESSEERITADVGIVAMKGATGDHGGRIIVEVATVAPEGATEDLQHFFNVVRETADTAVATKRRLGGCERALLGRREALDARVLVLEEHTGELDRHATSRPKMEVAMRHALEAEAAHEMEQQLQALEERTRALDA >OB06G34220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20622414:20622584:1 gene:OB06G34220 transcript:OB06G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPVNIFLYECDFVFTFDVGKTWLPACSHFYCPLCACVRNSTTCRIRYLYGDAHLT >OB06G34230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20636566:20638220:-1 gene:OB06G34230 transcript:OB06G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHGIYPLRDYWLRPSPGDYTEYWVLGFQPDHSAGSSTPTPTVGGSSPGDVSAAPVLDLSEIPRTRAGADASAARYGRMHRRRARDEIGRRPHFRSPPPLQPSPKRSRRSEDDPKESPPLQSSPDKSCCESPLQRFDSPMKFYASYEINDLPNSNVELDPSYKSLVNDFMDAMENNCHESEDLEDDPAKRQEMYQEQTKRFAELALRRYNSNKNNKKTKVAINYGVEQAFAVINSLLIAYLEKRLLSHRFN >OB06G34240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20646929:20648635:-1 gene:OB06G34240 transcript:OB06G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRDMRNFVSLAKEAEKQSFIVKNDPGCSVYRSFKRSDQTNTCAEKAARFYYNKLHPGLFLHDLEALDSHSFDRHEYDKQDQKAYYHVNFKAKKGNRTSEPSIFFAELSGENGPDRVTICAELESPGKIDNCAFCTGIFHPPDDFVGFMSPDHPV >OB06G34250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20650832:20656559:1 gene:OB06G34250 transcript:OB06G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGMNRIGPLPRRYSLRARRAGGGVGDSRTTTEYETADDAGGKVKDGYFMRTSQGHNPNNMKVRSQKSQPLNKKPRKFCDTVEVHIVDDDSDGDENIHKDYSMEDTSKQLIMYNPEIAYDKQGEAEVTEPIDEDNSLNQRFMKPRHRYNTVLPSIGAYTVQCARCFKWRIIPTKEKYEELRENIYQDVFVCERTSEWNRIISCVDPEDISQDGSRLWAIDKGNISQTPPGWDREVRIRGEGCSKFADVYYTSPSGKKLRSLVEIGRYLAENPHFIKEGVNLTQFSFATPKPLQEDYVRKHTYAAAPESPDLLETAQVDPLCWAAPPTCNELLGSSTSGPVDFSQSEVSNPVDLHQPKGAAPLPPHTKKKTKRGRVSARKRRSTPPGSSNDQSGGCVSDIGHVLL >OB06G34260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20664808:20665577:1 gene:OB06G34260 transcript:OB06G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPVLLICNFRTPYVCCFSPYTKSTFYRESIEIDIDDVLYSNGSWFLLREENIISLWNVNTEKRWFVGQVEEIIHQGYFTGNPPSDVTIALAQQHPPKLGKEAVTAIWLRSVKTGGSKGLVKCTYEHRGLNSLAIHQGMVFWLTDSGSLCCVRQKPDLELMIWKGSVRNHGTNFSLVKHFNELYIVNSGSFFPNELAKTYLVIFEGEPLAVETELSGKDVFTVSRQGGFVLPSSQTDDCQLFTGNMIPDVDCAM >OB06G34270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20667113:20668117:-1 gene:OB06G34270 transcript:OB06G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNCTKQLQVPVAVLAVELNSKKRLLFDVSSRKIRGITSTVFPDAFCEFENGGWLLMAKHKPFYFKEQTVFLVHPSTGKRIDLPVLRSPNEGFFVFYVGSRGLPLVVAFIEIETAVPTVHVACPGDVYWSIYKHNSHPEMSKEKSILIVDVALLGTRAVCVELNGQILVFNITEMVWRTGSSCPDWVKQDSHFLVASIGQVVVVSHPCVTVNAFKFFKLDLQSMEWSLLDDGELDNTSWFLCNGQSYRVKEEGKRKVYLFGSEYSADSMVSVKKDLNGIEVATYLTVSLGPATLKSITNIYAYDLVDETVETVIPASIVTEVHRWIQPSIFAT >OB06G34280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20670066:20676982:1 gene:OB06G34280 transcript:OB06G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGEAAAPPPPPRRLVFAFYLTGHGFGHATRAIEVARHLIAAGHQVHVATAVPEFVFTAELRSPALHIRRAVLDCGAVQTDALTVDPLASLDKYHETAVVPRESILTTEAEWLTSIKADLVISDVVPMACRVAADVGIPSACIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYAHCDLLLRLPGYCPMLAFRDVTDVPLVVRGLRRSRPEVRKELGIEANTKVVVFNFGGQPSGWNLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDAYTPDVMAASDCMLGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQNSIEMTRRDFLNGHWKPYLLRALTLQPCYDGATNGGEVAARILQDTAVGKKCISDKFNGARRLQDAIVLGYQLQRASGRDVAIPDWYSLSETEVGVCPISRKTKTKENTESCFEDFEILHGDMQGLPDTMAFLKSLSELNQIELKSNKKQPGERIAASVLFDWEEEMYIARAPGRLDVMGGIADYSGSLVLQLPLREACHVAVQRNHPSNQKLLEHTQARQLENGGTGPVVQIVSFGSELSNRSPTFDMNLSDLMDRDKPISYKNAREYFCGNPSQKWAAYVAGTILVLMTELDVKFNDSMSILVSSDVPEGKGVSSSASVEVATMAAVAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPTHMRFWGLDSGIRHR >OB06G34290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20703167:20711138:1 gene:OB06G34290 transcript:OB06G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3MHD5] MMKQAQPQQQQPPVTASSTVTATAAAVTSAMAAAAAVGSGCEGEKKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSDYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELSKATIPLNQLGVSTKPQEQIHDASNLARQQPSMNHILPLSQAQASFGQAQVLVQNQMQQQHASSTQGQQPTTSQPLLLPQQQQQQQQQQKLLQQQQQQQQLLLQQQQLQQQQQLNKVPSQLPSLANQQLQLSDQQLQLQLLQKLQQQQQSLLSQPGVTLAQLPLIQEQQKLLLDMQQQLSNSQTLPQQQMMPQQSTRVPSQNASLPPPVQQEPQQKLLQKQAMLADTSEAAVPLTTSANVISTMGSPLMTAGATHSVLTEEIPSCSTSPSTANGGHLLQPILGRNKHCSMINTEKVPQPAAPMSVPSSLEAVTATPRMIKESPKLNQNVKQTVVASKLANAGAGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHPNFPMSNFSQPQMFKDAPPETEIHPPNTSNNALFGINSDGQLGFPMGTDDFLSNGIDAAKYENHISTEIDNGYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSALNDGGFLNRSSWPPAPPLKRMRTFTKVYKRGAVGRSIDISQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVRCIRILSPQEVQQMSLEGCDLGNNSIPPNQACSSSDGGNAWRARCDQNSGNPSNGSYEQFE >OB06G34300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20711550:20713988:-1 gene:OB06G34300 transcript:OB06G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVRAIIKRVPASSPALLPNYHRFNIKERIYPAILPAQSKKVAGKVIMGVTDAELHILDEFEDVEYVRRKVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEEWKKLHMKDFLAMTLGFMHGLEQPESKSRVETYQSFMQDIEQPGTRTTEVEI >OB06G34310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20718192:20720884:1 gene:OB06G34310 transcript:OB06G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAEAERELPAMGTSAQEPEPVLWKQIDEAERYLVSGLFEQAVSIALYVSSKVHSAAMQSSCDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPLKIFIIGATMQMAEGSVSDLRPIFEEYLAKWRYTDDQIYVLNEGKNSSSNGLVVMSVMAPEQYFELAELYTVTLLSVVSHDTKAAISWTESSELMDQNRQDLLKKLHALQSAANLGVKPSESTERNLITSQNGSTSPAHEDAPKGSAPMYNGSVNGLRKALPKSIQPPFQHVTNQFDPLFWWFHSFRIKLGKIHVVLPSGKVMFLFSLLFSTMYILRRKGAALKRTAFQQLSSLRRAFLDALQLAFSTQMNPLAAVQ >OB06G34320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20721607:20722541:-1 gene:OB06G34320 transcript:OB06G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAVPKDVEEFRTMSAYGNNLELFTYDQLRAATGDFSAERIVGEGGFGVVYKGVIHGAEVAVKQLNPDGHQGDREWLTEVSYLGQYSHPNLVELIGYCCDDDHRLLVYEYMANGSLENHLFRRSCNLSWTTRVKIALDVARGLAFLHGGDRPIIYRDFKTSNILLDADAKAKLSDFGLAKEGPRGGKTHVSTRVMGTYGYAAPEYVATGHLTAM >OB06G34330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20723548:20728141:-1 gene:OB06G34330 transcript:OB06G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNNWNQKSPMFWDWENPALFGPNSMENSKIVPHPESRGVVVGAANHGSTNSSSGGMFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNTSKDGEAARVEDSGTSPAVAGSHGEPIIGLKLGKRTYFENVCGGQNVKSSAASGATCPSTVAKKAKASQQNTQSSYCQVEGCKVDLSTAREYHRKHKVCEAHSKAPKVTVSGLERRFCQQCSRFHGLSEFDQKKKSCRRRLSDHNARRRKPQQETISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSCDNLGGFKFTEAKLPWMKPMKTVGLEELHFSTLQMPNNVVAQTVHHHDFDGLIPFKGNTTKVLNKGVETSAVVSSNSNGAPDLRRALSLLSSDFWGPADVQPSSQVHPGGVMPPLATVAAPTNPANVMHHALHHPSPGGFWQDDEPPPPLDHAAQVQAFMHLGSSSDSGYGHLH >OB06G34340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20733943:20737620:1 gene:OB06G34340 transcript:OB06G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGGRRWRRRQRRWRRRRRTGTGCGRPRAPPPAPRKIDAAANPAPSTLGSVWNQAGTWEEKNLNSWANSRIKDLLRSLDPLEFSTGKALVYEVSKCSGDAFLVTVRNKKRVGYTYELGLKFKGEWLIKEESKKVKGYLDIPEFSFGELEDLELQISFTDDKDLSSDNKAQISKDLKSFLAPIREKLRKFEEELKDR >OB06G34350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20744669:20748697:1 gene:OB06G34350 transcript:OB06G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSVVALKQITAPDKVMDTCPSTQHSAHKLFDVKPDHQGSTNDNLSSSSQSSNIKIELIRSSSLPNILPFQKRSSESEPESPMSHVSHPNVSEPVYSNSSTFCTSLFSSSSMESEPCRKLGTLPFLPHPPKYEQQVSAGYSSSSSLLLSGDGDIGSGHDELEQSDDLKDFLNLSGGDASDGSFHGENNAMAFAEQMEFQFLSEQLGIAITDNEESPRLDDIYGTPPQLSSLPVSSCSNQSVHNVGSPVKVQLSSPRKSSGSATTNKARLRWTLELHERFVKAVNKLEGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPETKEDKKASSEDKKAQSGSSGNDSAKKKNLQVAEALRLQMEVQKQLHEQLEVQRQLQLRIEEHARYLQRILEEQHKATTTTSSSSSSKPQPESPEPPPKQKEAESEAGATAAPHQPDAGTERRSPPVGSKKRARVHADDGDDEPQRS >OB06G34360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20749126:20753365:1 gene:OB06G34360 transcript:OB06G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEAMACATKDTQAKKNEKENHGKTIDKETQKPIASSIDRVNSVNGHRCFVHCTNNLLQPSTTAQLNANYVCCNASSFQQGGQTIELAMPPYQNPSVTNKQLEISSGQRINPLSFSMENSSPQMQDSVSSMESNNSYLNSQAGKSIGKLIFEAGLDPGILHLPSFKDMVDVLAWAQVSMPTYESIMEDQLKEIQYRAGDLRKQWEMSGCSVILDSWESRCGKSFISVLVHCSKGMLFLKSMDVSEIIDDVDELSLMLLHVVEEVGVLNIAQIITNDASPHMQAAEHAVLKRFGHSFFFTLCADHCINLLLENIAALDDVSKVLIKARDITRFIYSHAVPMELKGKYIQGGEILSNCNLKFVAMFITLRELVSERINLVELFSSPEWASSDWASRSTFRHVYEIVKTDDAFWCSAADILKLTDPLVTVLYKLEADSCPIGILYDAMDCAKEDIKCNLRDKHGDYWPMVDNIWDHYLHTPVHAAGYILNPRIFYTERFSCDTEIKSGTTACVSRLAKNHYDPRKVAAQMEIYQSKSAPFDSDTEIQQIMEIPQVRWWSAHGTSTPELKTFAIRILSQTCFGASRYNIDWSISEQLHLVKRPYPEQEKFRKMEYIHYNLRLAHSEPCVRGASGAQNGRLASRLGDWITSARATCYYK >OB06G34370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20755559:20758189:1 gene:OB06G34370 transcript:OB06G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLAAKARRGFSSSASSSCRSQLEQDVKKLQKALQEETALHSILENALHRAALTLADMSYLPTNAQELLSNISILEGTISKLEDEMVSLHFQLIQERNERRLVEYRLKQASPPPPPPCSCHSAKSESDDIASEKRSKGEKVYPYAVLHDSAMKLQRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCREASRTPSAEKQQSGPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLAEVNPAHLNDDARLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMALLLAFQKIRVSEEQKRFCIGTPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAERDFIRASVGVSRKGKLLVPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGSLN >OB06G34380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20759027:20760095:-1 gene:OB06G34380 transcript:OB06G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSARAPAVVALALATILSTPPPQPETFSNIPPTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNASCSVQRTVPQPAVLSARTSAISSRMEKMDNEKSFG >OB06G34390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20760541:20763388:1 gene:OB06G34390 transcript:OB06G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQARPNPFGFGTGSRQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAAQPPQAQAAHTSCEDLQSCRQQISEDFKNEAPIWKLTCYAHLRSGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSAHPSQTPNFPTMGSFPGVNNNSSFGASQTNGPPVFSSFSQIGAATNLGPGSGITTPGVPASSPFGQSSSAPHAAPTFGSSQMKFGVSSAFGNQGLRQPFGTFQSSSNNFPKSSAGYQQSSASSAQHRDIDRQSQELLSGMMAPTSVMDEASAENIKNENQDDSIWLKEKWAIGEIPLDEPPQRHVSHVF >OB06G34400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20764136:20764597:-1 gene:OB06G34400 transcript:OB06G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFGFSCAVASLSRVGGGSRRRRRSRDEEAGDASETALPCVAAEEGRNERSGAVPSPSGVAGDDGQAKAGADGRPTGRTRTAARGGRSGRARRWQLRACGCGVQEKKIVRLLLPVDWAEKFPPSRPVRTRMRAGVKGKMAPGRAFGPKRTRP >OB06G34410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20772899:20773529:1 gene:OB06G34410 transcript:OB06G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKALLVSDTTIDHQIGRSKMNRDGIRREKNRRTSKGDKSVALGYSWVIYDQIYFN >OB06G34420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20775504:20775968:1 gene:OB06G34420 transcript:OB06G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTYSESPKSSMPPSTAPPSDSSPPSPSYQPPSSSTPPGQPMASPPTEHPGYVLPPLTPPPSSPAPPTTPSHHPPVPGTPSSPPSSSWSTPPPPPQGGKLPFPPVHGVAYSSPPPPPAADPAAGGKLPFPPVYGVSYSSPPPPSSSKPYN >OB06G34430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20776951:20781013:-1 gene:OB06G34430 transcript:OB06G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase [Source:UniProtKB/TrEMBL;Acc:J3MHE9] MASTVSFSPANVRVLQGRSCHGHAAFGSCSPVPRTGSRTRSTAVRVSSEQEAAAAVRAPSGRSIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLNLTKRPRRNRRSPALRSAFQETTISPANFVLPLFIHEGEEDTPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSQSGEEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAATVLCGMRSN >OB06G34440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20782120:20800241:-1 gene:OB06G34440 transcript:OB06G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRMKLRQGAQQLSGGRTIEQSTVETNTGGDNVQLQIASSLHPVDPEFDKMRKMMVHRLISELLSRDREERSNQVSGLAKRLETLIYRENRTKAAYSIILNGEIHSYLQHIIVTNIPQVQQYQQMKKQLTSSSSYGTAIPRPDVVQSTSGNARASYEMDKASGSMSNKYHDSSTNFPLHSTANGASLVMSAVNMQERHATHMIRTSGSSNQQSLAANCQYSSGAGYLNGELNVMVQMQQQQGPFASKNNCCLVQRDLEGYADSGVHSDILDISSSCGLSEAYMIGGMGLNRPNVQLINRTVVPEALINSSPYGSSPSKPFQWQVNRPTQSTSTPADLAVSTSFVGTGSSVLSTTGNRDMTDVNLLPESRMDCGLIASQTTVQPLQRHPYIKVEGLDLQEMLSLEQLQQQANQSRLSQPHSLIQQQNSQIHHVMSRGNFLMQRQLGSDHAEKQLDQRNQLHSELMSSQINEHVDLPNLQRHCTQTQYHDNYKKGQMSASSQNLGTPHDLLPPQQQCKDGSNRPSCFLTETYTKPLESHCRLKPTKEVHETSVLNGEIQDGFCQRKTVQDKGHHPVLSDWHNDGCDVTSVGSDQVKENEKYYQNQARLILFLVHAKSCPAPRGSCKSLYCDRGRKLVNHLMGCQTKECIFQHCRDSKKVSDHYKTCVNAHCPVCSKVKEMLRRSSEQAHKQSPAKPVLVTPHNMNQRITNRVHVDGINIDLVAVETFDDQPPAAKRSKLQTVSTNATENVPVRQENPGFMLQEVHPRQLYESKRMVPNQELDVGIDTRPPQVNLVSCYGSDEKIGGAQTMSSGVLNEICCHVQQETSVADKEKSVAVVDVERKTGSMDVMISKTGKPKVKGVSLMELFTPEQIHEHIKSLRQWVGQSKSKAEKNQVIGYSESANLCQLCKVEKLNFEPPPMYCSPCCARIKRNASYYTGSTAMGRLYFCISCYNASLGKTIEVELIKLSKADLEKRRNNVETEEGWVQCDKCECWQHQICALFNARRNDVGQAEYTCSKCYIEELKRGLRMPLPENAVCGAKDLPRTLLSDHIEERLLKRLREERQKRADKLKTSLGEIPGADGLVVRVVSSVDKKLEVKPRFFKLLQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYISYLDSVKYLRPDIETVSGEALRTYVYHEILIGYLEFCKQRGFTSCYIWACPPTKGEDYIFYCHPEIQKTPKSDKLREWYLCMLQKAIKENIVVELTNLYDHFFVTTKECKTKVAAARLPYFDGDYWPGAAEEIINQLLLEDNGMLQKKGNARKIITKRALKAVGHTDLSGNASKEAILMQKLGETIYRIKDDLIMVHLQYPCSHCSILMVSGKRWVCNECKSFYICDRCYDAEQRLEEEKRHPINRRYSHTLHPVEIVGVPEDTKDRDAILENVFFDTRQAFLSFCQGKNYQYDTLRRAKHSTMMILYHLHDPSGPAFVATCNVCNHDIETGQGWHCEDCPDFDMCASCYQKHGGAKHHHKLTNNPSSSGSGVQNKGALKKRLQQTRAILELATHASSCHHPSCQYPNCRKFKELFYHGAQCKVRLSGGCKRCTKMWSIIRFHTQYCRQSDCPVPRCRDLKSHKRKMDQLSESRRRASVNEMEVPPRRVLLRREAHAGGRRGGSGAPPANAAADAKPRRKARSRRGRKGKKSSSPPSLSSEPGSTTAVLEEAEVKEKGEDAEEGKRKEKAAGLDLDEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTALAEEEQSVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVLDMLPRSVDVVVGDVGDPLTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRHVSKAFQDYYNELAQLRAGKSSKSKLLIAKFKSPKSLNGWEIGQGSYFSSTFASRFDEGIDASFEFSEAGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCREIKGIDPLFWFLQVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQGATDPRNFELIMEYIKALPTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >OB06G34450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20800455:20808925:-1 gene:OB06G34450 transcript:OB06G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQTQLVYRLLMMHQNFRDSDITHDAPKIQRLLIMHTKFSKWSLVCSACMHTGSASQCIRGTKPTIAEGAPCHTPKANHSVEHGTMRSSSTEKTASAVSPSMGLNLLLRLSSLLITNRTSEQLVEQGDASSPWRGGVGGGGLVGAVEGEGEGEGEGGGDGGDSEQAGDEEGGSRGRGTGGGGGAAREQRRRRPAXXXXXXXXXXXXXXXRAPDRPPASSGAVVPLPPPRPRPSSPVSTPRARARR >OB06G34460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20803145:20806162:1 gene:OB06G34460 transcript:OB06G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01230) TAIR;Acc:AT5G01230] MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFSIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIESFAVCENYSPPEGFKEKDLYYLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEDGSYQSLDPVQPPIAPPYKTALEMKKVASHGAGADISKLSLDS >OB06G34470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20808345:20810182:1 gene:OB06G34470 transcript:OB06G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55830) TAIR;Acc:AT3G55830] MSLLFLSGGGGGYAVVINTWKRYDLLKRSVAHYSGCAGVDAVHVVWSEPQEPTEELLGSILNCSRRGQGGGAAEVRFVINNEDSLNNRFRPIEGLTADAVFSVDDDLIVPCSTLWFAFGVWQGAPSAMVGFVPRMHWLADPGSNTKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNHMLPSIRNYVNENRNCEDIAMSFLVANATGAPPVWVQGRIFEIGSSGISSLKGHDLQRSKCLKTFSAMYGHMPLVATTLKAVDSRTSWFW >OB06G34480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20811141:20812829:1 gene:OB06G34480 transcript:OB06G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIATEAWALAGCGAAAKAVAQEAPVQLQLQQQHSLSAAKAKKPSRADLIYKLSKVGQAIDKNDLPAASTVLGPNSDAQWVQNVNAAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWITSAGLSGQLKGF >OB06G34490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20813810:20819128:-1 gene:OB06G34490 transcript:OB06G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVGLAVVALQLATAAAPLPQPGTCGGVAIEYPFGIGPNCSLSDGFNVECVDDTPRLRLGGGGEQEEVVKVLRIDLLRGKIRTTNTISWQCTEKGGATAGARLQNSSWTGLNATALPYRFSDEDNRFFTLGCNALVFLNGEASGIDDPILSGCISTCFGNASIRNGTCSGIGCCEMAIPRGLNSYSLEFTGPLVNSSSNNDWCIYAALMESAGFSFEVAYATTDMFYRKNNGTVPLLLNFVVGNETCKEAQASGGYACAGDHSVCVDAVDGPGYVCNCSQGYTGNPYLPDGCTDLDECRPGNQVCPPGMICSNFPGGYNCSCPKGQHNSSKSGVLICEPDQKQSSLPLSVIVVIGVGGGLAIVVTSILSTYLVRQRRALADVKRKYFAQHGGLLLYEELSSRQGNAFTIYTEEQLEQASNGFDESNVLGRGGHGTVYKGTVASGVVVAIKRCKLMDERNKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGGPAAAAAVSFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGEKPLCLDGPEEGRSLSARFLAAMRETRVDKIVDEKVKGEASDELLEEITQLALECLQMCGGDRPAMKEVAERLGGLRRLHQHPWTQDAVELEEARCLLGSSPESISFEVDASHGTDSSGY >OB06G34500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20814558:20814806:1 gene:OB06G34500 transcript:OB06G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTSRQQPSSLTMFLWLIWERISISFPNSFLFLSSISLHRLIATTTPLATVPLYTVPWPPRPRTLLSSKPLLACSSCSSV >OB06G34510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20822874:20826826:-1 gene:OB06G34510 transcript:OB06G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLGVALLALQLAAAAPPPGCPTTCGGVHVEYPFGVGPNCSLSDGFSVECVRGTPLLRLGTAEQTVKLLAVDLRRGKIRTTNAIASQCLDERTGKLVNTSWAGLNATALPYRFSDEDNRFFAVGCSGLVLLNGGARGVDEPFMSGCISTCFGNASIRSGSCSGIACCETAIPKGLSSYELGLGKLPAGTPVNRCIYAALMEAASFSFEAADATTDGFYRKNNGTVPLVLNFVVGEKTCKEAQASGGYACAGDHSVCVDVVDGGSGYVCNCSQGYTGNPYLPNGCIDIDECSPENQGCPVGMICTNFPGGYNCSCPEGEYKTNKNGVLVCEPEQKRSSLSVPVIIIIGVSGGLVSGVISRLTTSRVRRRRALADVKRKYFERHGGLLLFEELSSRQGNAFTIYTEEQLDRATSGFDDSNVLGRGGHATVYKGRVPAAGGGDLVVAIKRCKVMDETNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGAVVSFATRLRIAHESAESLAYLHSFASPPIIHGDVKSSNILLDESFMVKVSDFGASILAPTDKAQLVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSAWFVAAMREKRVEEILDEKVKSEASGELLEEIAQLALECLQMCGGDRPAMKEVAERLGGLRRLHQHPWTQDAVEIEEARCLLHGSPESISFDMLRREQ >OB06G34520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20828218:20831389:-1 gene:OB06G34520 transcript:OB06G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADTDKVRELINCCLELYMDKKEVIDALSREARIEPSVTQHVWQKLEDNNREFFKAYYLRLMLKNQITAFNKLLEDQLRIINKEYHPAPPSMPPPNGSNANLLKKNPCFLTESTPMPAMPDDVMCNGSSSGIVDCTQSSDQLIYAGKDIQGLHSGMDASSLLPVQNANSVLYGAENGTTIKTESGYSSNRNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLSQLPRNYIFSDLPEDFSQSAEILDNYGKAPFLPSEQNNFSDSTGGEHTG >OB06G34530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20832978:20835219:-1 gene:OB06G34530 transcript:OB06G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQQEHAAALLRPEVEEAHTTDGSLDIDGNPALKHRTGGWRACRSIVGTEFCYCLAYYGISFNLVTYLTTVLHQSNVAAANNVSNWQAACFFTPLAGAVVADSYWGRYRTMVVSCCVGVAGMVMAALSALLPLLIKDASALSMASAQEIVLFLGLYMVAFGLGGLRPCLMSFGADQFDDGDPSERMSKGSFFNWYVFAMNCASMIATTGIVWIQDHYGWALGLGIPAMVLAVGLSCLVVASRVYRFQTTSGSPLTRVCQVVVAAVCKCNVTPPDGMALLYELPEEASSMKGVERIEHTAGLRFFDKAAFMMASDEEAAPRDPWRLCVVTQVEELKILIRMLPPWACISFFYTALAQTFSTFVEQGMAMDARVASFRVPPASLVTFKLLTIIALIPLYDRAFLPAARRLTGREKGISSLQRIGAGLAMPVLAMAAAALVETKRARAAMEPTSILWQAPQYVLMGVGEMLTSIGQMDFFYSQAPPAMKTVCTALGFLDIAAGSYLSSLILTAVSWATATGGQPGWIPDDLNEGHLDRFFWMMAGLSLLNFVAFTSCAMRYKSWKDC >OB06G34540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20837581:20837739:1 gene:OB06G34540 transcript:OB06G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMAPTQGCCVQLRAQQPCLCQYARDPSYSSYVTSPSAQRAVRACNVRPNC >OB06G34550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20847034:20849338:1 gene:OB06G34550 transcript:OB06G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQQEHAVALLQPKVGEAYTTDGSLDIDGNPALKHRTGGWRACRFIIGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLVGAVVADSYWGRYRTMVVGCCVGVAGMLMAALSALLPLLMEEISTPVQEFILFLGLYMLAFGVGGLRPCLMSFGADQFDDGDPSERMSKGSFFNWYMFTLNCGSVISTSGMVWVQDHYGWALGLAIPAMVLSVGLSCLVAASRAYRFQRNRGSPLTRVCQVVVAAVCKFNVALPADMALLYELPEDASVMKGVQRIEHTSDLRFFDKAAVVTASDEEAAGTAPCNPWRLCVVTQVEELKILVRMLPLWACIAFFYTGTAQVNSTFVEQGMAMNTHVVSLRVPPASLVTFQMLTTITLIPLYDRAFVPAARRLTGREKGISELLRIGAGLAMVALAMAAAAMVETKRARAAQAGMEPTSILWQAPQFVLVGVGELLTTIGQLDFFYSQAPPAMKTVCTALGFLDIAAGSYLSSLILTAVSWATATGGQPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFASCVMRYKSRKDC >OB06G34560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20852398:20859329:1 gene:OB06G34560 transcript:OB06G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLGVAVLALQLATVAAGGGAAPPPPLGCPTTCGGVHVEFPFGIGPNCSLSDGFSVECVRGTPLLRLGTAEQTVKLLGVDLGHGKIRTTNAIASQCLDERTGKLVNTSWAGLNATALPYRFSDEDNRFFAVGCSGLVLLTGRASGVDEEVMSGCISVCYGNKSIRSGSCSNTGCCETVITKGLSSYLLAMGKLTDGTPVNRCIYAALMEAASFSFEVAYATTDKFYRKNNGTVPLVLNFVVGNECKEAQASGGYACAGDHSVCVDAVDGPGYVCNCSHGYTGNPYLRNGCIDIDECSPKNQGCPVGMICTNFPGGYNCSCPEGEYKTNKNGVLICEPDKKRSSLSVSVIIIIGVSGGLVIGVISMLITYLVRQRRALADVKRKYFERHGGLLLYEELSSRQGNAFTIYTEEQLDNATNGFDDSNVLGCGGHATVYKGRVPTAGGGDLLVAIKRCKVMDETSNKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGAVVSFATRLRIAHESAESLAYLHSFASPPILHGDVKSTNILLDESFMAKVSDFGASILAPTDEAQLVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSARFVAAMREKRVEEIVDEQVKSEARGELLEEITQLALECLQMCGSDRPTMKEVAERLGGLRRLHQHPWTQDAVEIEEVRCLLHGSPESISFEMVRCQQ >OB06G34570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20857058:20857872:-1 gene:OB06G34570 transcript:OB06G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWKVGNGKKVLFWEDWWCKNCPLKIYFFSLYRICNQQNCFVRDIARNGTDGLTFRRAFSAMELESWKDLCEMINNIQVNNEDDTLQWDLDGKKSYTSSSMYSLLSFGGVKDVKMNLMWKAPIPLKIKHFIWLVARGGIQAAAVLKSKNWEGGAFCWTIWLTRNEMIFRAKTVNSPCLLIYRVVSLLTQWCALAKEEEEKKM >OB06G34580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20847129:20867667:1 gene:OB06G34580 transcript:OB06G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAALLQPEVEEAYTTDGSLGIDGKPALKHLTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTTELRQSNVAAANNVSTWQATCFLTPLVGAVVADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLINDTSSRASAQEIILFLGLYMISFGVGGLRPCLMSFGADQFDDGDPPERMSKSSFFNWYIFTMNCASVISTTGMVWVQDHYGWALGLGIPAMVLAVGLFCLVAASRAYRFQTTHGSPLTRVCQVVVAAVCKFNVKPPADVTLLYELPEDACSKMGVQRIEHTADLRFFDKAAVVTASDEEAAGAAPRNPWRLCIVTQVEELKILVRMLPLWACVAFYYTATGQANSTFVEQGMAMDTRVGPFHIPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAVLAMAAAALVETMRIHAAHAGMEPASMLWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTAFAFLSIAAGEYLSSLVVAAVSWVTARGGRPGWIPDDLDEGHLDRFFWMMAGLGCVTLAVFAGCAMRYKSRKAC >OB06G34590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20868456:20868824:-1 gene:OB06G34590 transcript:OB06G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGSGGGKGGGGGGGGGGGRTGGGSGGGGGGGKGGGGGGGSGKSGGGYSGGDPGGGGGRWRRRQGWRRWRGGGAGKSGSGGGGSDGMMKAPGGDVSYISRSGFESNPQVYFQGLHGDGK >OB06G34600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20870625:20873404:-1 gene:OB06G34600 transcript:OB06G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAARSKKSRGSFCHPPLLLLIVAIQFLVIYSPTLDQYMVMLTTGKPGFPSMLIEGRRGFKQVDEFIPEPHLRCDFSDNRSDVCEMDGAIRILGRTSEVFLVAPSLASVLAGGGGVNATGVDANATSWKIQPYTRKGENRVMPGITEVTVRLVTAEEAPPCDEWHDVPAVVYSNGGYCGNYYHDFNDNIIPLFITSRHLAGEVQLLVTQKQRWWFGKYREIVEGLTSYEPVDLDAEQRVHCYRRAVVGLRSHKDLSIDPRRAPNNYSMVDFKRFLMWRYSLPREHAIRMDEEPGKKPRLLGINRRSRRRFVNLDEIVAMAEGLGFDVASTELHAHIPEASATVNSFDVMVAVHGSGLTNLVFLPMNAVVVQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITPEESTLSELYPRAHPVFLDPLPIHKQSWSLVKDIYLGRQDVRLDVRRFRPVLLKALHLLR >OB06G34610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20874545:20878065:1 gene:OB06G34610 transcript:OB06G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MHG7] MDAGPYNKAYSIMGREIMQIYGPSRIWAVIWALSNKATMAFLTLDGPIHSQRSTAPAIASTSRGMSGGGEVEAAAAEPLIVPALEPPPDEPAAVGAEVRKQAGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQFDMLGTHAQRAIFVLMIMGVPLAFVLAFSGQILIALGQNPEISSEAGLYALWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSAITLVLHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYIKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPQAAQLSVFVSGIMCLTEGMLVAIITVLVRDIWGYLYSNEDEVVKYVAKMMPILAISDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGLPSAVIFAFVLKIGGKGLWMGIICAMTVQILALVVMLLRTRWNEEAEKARDRVQGSDGRMMLA >OB06G34620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20881131:20882919:-1 gene:OB06G34620 transcript:OB06G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHHLARGRPEPRRVGNAAMVVTMLLSLCVLTYIKARYCSTPFPKAAEELEVVEIDEDYDSTSGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGIKDWWLDKFTPLFRQLSRYDVIDVDNDQEVHCFPRIFIGATFHRAMGIDPARSPGGVTVADFKRLLRRTFRLERAGAVAPRAGAPRRDKPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMGVSYMEYNVSLDESSLKELYPRDHFYLQHPYDVHKKGWDAIKTVYLDKQNVMLNLTKLTNTLEEARSILP >OB06G34630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20881257:20882309:1 gene:OB06G34630 transcript:OB06G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVEMVSGVELLERALVEGDVVLHVADAHVLCRVLEGDAGEPLEAAEGHHLDEHGAAGEEHHVGEAGAVDAHHHVGGVDEAGEVGHVGVVVRLGDAHVEPGQRGGVRHGALVEEAAGALAGDDEEARLVPPGRPRAGRHGALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRGLSRRGAPVRDATARSRRKVRRRRRLKSATVTPPGDRAGSMPMARWNVAPMKIRGKQCTSWSLSTSMTSYRESCRNSGVNLSSHQSLIPLSRNCTSPPKWLVLVKSGTSTSV >OB06G34640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20886942:20891250:-1 gene:OB06G34640 transcript:OB06G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLVGAAVRRPGWADLPRDLLESVLGRLPVPDRLRFPGVCTAWQSADAASAHRRLPSVTTLPFVDASRDADGRVVSYDLRCCFGDGEHGDEVLVPPESFAPDKLRYELYDKAILVAPPRRQTPSGSWGGYAVLLICQPLYRLAIARAGDTRWTLLDTPSRCWVDAVRASSATDGHQAVYTLDSVGRVVAWDMDSISSTADTMTPKVIAPPCCCSRRACSMSIPCTKYLVELSPGHLLQVHRLRDKAHARKGGAGVLAGRALFLGKSASTCVPADCCPEVKGNCVYFTDDGPWSHERCHEVVPDVGVLDLADGSYKVPRGAVRDLLWKWPPPSWNLASIRLVSDAEMICRARLQATAYKLTYPCVPYAALVPTGISQAGRWLRCIVHGGRHGTSCSCEGITCSSTDIQKRTQEARRPRLAAEAGMKQPMNSTPMRS >OB06G34650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20895627:20898143:-1 gene:OB06G34650 transcript:OB06G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRMHISHRSSNNQETMRKQQQQQQLQYLTIGEIPELVLAFDTAGGHGGVGDGLQGLHSIKTLSIGVAPKFLSSDSSSLHRSPFPSSLQVLHLSHISGMKTLSPLSNLTSLGRLAIWDYEDLRVDATSLTELAIGWDDEVERFTKEQNVALLLLSSLKDLHFGSLYCVSRQNRGTALPRIPHGNRKNRDKFESKKFEFKLVRFSWLTARASTLYVHELYVGYGKIQGIGLSQFEANAVHARECTILIRMEDVDCCGK >OB06G34660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20898259:20898762:-1 gene:OB06G34660 transcript:OB06G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFSRSTTCPQPELGMNLAQFPTLKELEITNCQKLSSLPPIPWTSSPCRALIKEVGSDFQRLDYSKNNQSEFCLLVKEKDGHLDSAFWRLLAFSNLTELKELALIKCPPLALEHLQMLSSLRRLTIENTNNVLSHVEAESTVRYQFPAEQLRIFGCNCSGREGRK >OB06G34670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20899502:20902130:-1 gene:OB06G34670 transcript:OB06G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAVNAARWVVSKALSPLSGGFVEAWAASTELGPNVGAVKMELLYAQGMLDNARGRETRSPALKQLLLELRGLAEDVLDELDYFRIQDELDGTYEAADEHAKGCLRGLLLNARQTARNIKNGYLPSSVACSRGGHHAIEEDAVADGSGCTYRLASSARGTVHLVGKHLSCFSFARQHDGGDGSGAMHTPAEETRFLCCACPCRASQREVIMKTPKLQFHRVGLSRRMKHIVQQLKPSLNFFGRADEKSKVITDITKGDYCDLDITVIPIVGPGGIGKTTLTQHIYKELQNHFDVKIWVCVSLNFNVYRLKDEIAKSIPKVNDEKPGSPDDLIEQRLKSNKFLLVLDDMWNCGYEGEWKRLLSPLKKAQSKGNIIIVTTRFPAVAQMVKTITHSVRLEGLETEMFWKLFQACVFGDGKSTNGNDNLQEIGKMIAKKLKGSPLAAKTVGRLLRKHLDLDHWTSVLASKEWELQTGENDIMPALKLSYDYLPFHLQQCFTYCALFPEDYNFDSEQMIHLWMGLDILHSQDQNIRTEDLGLSYLSDLVSYGFFKKEAKSDGSPYYVMPDLLHELALKVSSYECLAISSSNVRSIQILPSIRHLSIVIEDVDVNDRATYENIKKGFDALHKRLDVEKLHSVMLFGRYHGSFVIPIGNLLSKAKALRVILLYAPSYAVENMLHNFSNLVHLRYLRINKGYFPEICLPNTISRFYHLRILDLQQCSGHIGLAGDMNNLVRLRHFLVPYDNLHSEIANVGKLKCLQELRRFEVKRQVEAFALRQVGQLEDLKGTLGIFNLENAQTAEEVGLLNKSRLHKLILN >OB06G34680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20906585:20907019:-1 gene:OB06G34680 transcript:OB06G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPTRSGSSTTSTSDAASSPALDDWLEPDAVRRWNRRRNPSSPPPTASPPASSPAALAGGPAYPPNSKLASLSHSPSSSDLSRENNESLSRLLPPLPSPREEKSSASDGWMDAEGTRGGPEEEESDAGDGEEVAGSPLILTDF >OB06G34690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20906891:20911785:1 gene:OB06G34690 transcript:OB06G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MHH5] MPLPVENHKKNSVEMEFFTEYGEANQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNIVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPFSQKFRNADPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSMHPISKLEFEFERRKLIKDDVRELIYREILEYHPQMLEEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGASKDGHNQQNNNGQERSTDSVARSTVNPPMSQDGQQHRSAGQNGVTSTDLSSRSYLKSASISASKCVVVKDNKDREDDGISEEMEGTVDGLSEQVSRMHS >OB06G34700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20913231:20918329:-1 gene:OB06G34700 transcript:OB06G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYWAHKSVGQSVDIAGSKGKPRLLNDLYKIVISHTPGYSAPHASLPGEKIFSSLPSSAGAPPQTTRTAAPRIPLLPPATSLSRLACSGRTAACPEQGSAGAVTPARPREYRTSPAGSSAVLTMQVELADRAAAQPSEADETLPSSPAGTASAEEDAPLLPGGGVRRRAVVSERFRQRSGSFRREVRRAAEETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVYRSVVYGEQPRNRLDLYIPTDKTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIASYGGDPERIYLVGQSAGAHIAACTLLHQAIKESGEGDASTWSIAQLKAFFGISGGYNLLNLVDHFHKRGLYRSIFLSIMEGEESLRKFSPLVMVKDSTARSAVSLLPRIFLFHGTSDYSIPSAESEALFDALQQNGAKADLFLYDGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSAQHQHLVVPVARRLVPEFMLMLAGRVSPF >OB06G34710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20920397:20926648:1 gene:OB06G34710 transcript:OB06G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPPTASSTSSSPHRKLLHSLIYWAVQRCRVSESPCRLTVSVKRSPEPARSSPLRIAVSDTGVGSKLEEFLELDALARDTPVEKWDGTLLITTTGINDKTIYHYQFNLQQETSSSARFTKLSTTYKSHAIFSGTEVSLCLSNEADVDDFILWLVGFVHKNLACELFIEQTDSAGSGNVCMSQGSDDVHLPITTSSIDRLVSGLKDYALSHGNASDKCEACYMNRDCLKIGTGAVNNVDRRKAKGQFVEVVIMIAHTSSDLSCWISNCSSTQVLHFEDFIPCPISQSSFNVLMSIDWQSYGFKLKGGFMDDDGNAVLQWDNITFARVDIAIHTYHGGAVNEWKISQPERHLVRKALKSALLGLKVDHAEDFLSCHGQKVREYVPDLAESIAGLILSSSDQEFQDECIALLGLGSDQDLTEGSVRSCIGEKMNRIIEMNDTKDNVEHNSPYLFECEKIDEDSQLDEDDADQDMILDF >OB06G34720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20928451:20933138:1 gene:OB06G34720 transcript:OB06G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPELEALDAGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKGPGAIKTAGQFVTNCKYGKQPAVRQTGSTGRCGPTCQPAHALTSTTISLGCAALREERLHAARRKNPRTHRRLVIEEAGNHRGEEIMAASWWRMSPAARETSRHVSLCLWLALVAATLALAQANESEAELTKVTTKVYFDITIDGKPAGRIVMGLFGDTVPKTAENFRAICTGEKGIGKSGKPLYYKGTTFHRIIPGFMIQGGDTANGNGTGSDSIYGSMFADENFKISHSAPGFLSMANAGKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDVVYKIEAEGSQSGTPKCRVVISDSGELK >OB06G34730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20935175:20937401:1 gene:OB06G34730 transcript:OB06G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3MHH9] MAGRGWRRSAAASRPASVCLWIVLVAATLALAQAKKSKADLTEVTHKVYFDIEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKPLHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQTGSPKSKVVIADSGELPL >OB06G34740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20938477:20939057:1 gene:OB06G34740 transcript:OB06G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQDKGGHAKLECPLCKTTAPDIKSMQIHHEARHPKLPFEPEKLNNLHSTRGGRGPPPPPPERPPPPSPSPVSAAASRSNRNRIRPPKSTITTCRRFLASCRNSAVVAMPCDNLYIPCVTVFHRIFIGMFNHVSQLYGAVNG >OB06G34750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20940438:20943417:-1 gene:OB06G34750 transcript:OB06G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MHI1] MTMLQRWSSSVWSVSDSGRPLGADKAWRAHAGGAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFLHERRTRRPLTPQLLASFALLGLTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGVIKVVGTAVCVSGAVLMVFYRGPSLIGMGLGGGSNDVGGNALSSTWSSSSSTPQWLTSAMLQYGVESWHLGVICLLGNCFLMGAYLVIQAPLLIKYPASLSLTAYSYSFATLFMVLTGVVSTSGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSVIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLAEDPPTPKAQGSSFSGSIDP >OB06G34760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20952341:20952826:-1 gene:OB06G34760 transcript:OB06G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLDIFAREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNNASVRK >OB06G34770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20957552:20962607:-1 gene:OB06G34770 transcript:OB06G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT4G19190) TAIR;Acc:AT4G19190] MAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGQDDATQGAAAASTSSMPDKELDKTHAGPDKKNRPKDVFGRALPTEQEFEVLKNAPRLETGAPARIKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALISNFSASKSKKRSKSKSKHRQAEPSAHEESDSEAEKSNRASRSKRKKEYNSDSSFSGAEIEARKGKQKIKHKKKHLSESSSDSEVEVDRDTRRRPKREHRKKKRYETESAPSSFSKDKGDMARKGHSSRSREKHRYSDTSCSGTGSEKHSVRHKDEQYYSDSSSSRSNQHSGRHSRRSKDSDSSHPERSRRSRRSKEKRTFTDMSTHDRERHSKRSRDKRDYTESRPYESHKHSRTSKVSRHFSGSSASDYSGSEQHYSHRHPS >OB06G34780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20962823:20963956:1 gene:OB06G34780 transcript:OB06G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCSSSMRLRLNLVPSGRRREDPGNVHFVRRAGVVASPLRLRAARAMEDDARHPFDHVPRGVEEVVGDQEEDEEKRRRRLTIAVVGFGNYGQFLTRTLVRQGHTVLAHSRSDYSAVAAEFGASFFADPHDLCECHPDVVLLVTSILSAEAVLLSLPVHRLRRDTLFADVLSVKEFPRNLLLGTLPEEFDIICTHPMFGPESARDGWGGLPFVFDKVRVGDCPARRARAEAFLDIFAREGCRMVEMSCAEHDAHAAETQFLTHTVGRTLAMLELQPTPINTKGYETLLRLIDNTCSDSFDLYNGLFMYNNNSTELLNRLEWAMDSVKKKLFDGLHDVLRRQLFEAPLDSATAAAAPPIDDAPIDGDLDGDNDKESE >OB06G34790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20964478:20967198:1 gene:OB06G34790 transcript:OB06G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G45360) TAIR;Acc:AT5G45360] MADGEVDAAGLEDDLVLGEGLRDREEGEPEALGGGGGGEEEEDDDVDGLASFLESEILSGSSGDDPIGREEGGEKGKQAEDDVGKNKRKQESESDGDGSDGSREEQKKRVKRAKGKEKDVASVAAQIDSGVLSNIPPELFLQIFKFLSSEDLISCALVCRFMNVVASDETLWRRLYCMRWGLSCNSKLRECAWKKLYIQQDREDMVEFVRNTPTEFKEYYIQMQASKRSQAPLPSEVNDDNVILDKTIADQVSCWKSSRGLTDDAIKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDDIDQQQGGVTDEAEPFMGSGRFARAYQLGYDCADEKELEYTLRFC >OB06G34800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20968154:20970211:-1 gene:OB06G34800 transcript:OB06G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSRAEAEGRKEREEGLGLRLGLASAGAPVVAGEPPSVGMEFPTSEAAREFYCAYADRAGFAVRTDKSRRSRRDDSVIMRRFVCTREGFHPTRQHDDLTDAEAAAAGKRRRKRLVIREGCMAMCEVTKKEPPLRWAVTKFVAHHVHPVSLQVSSRRPPTGPSDALAGALGESTRAAAEPSDEPTGAPAAVGNGAALFCNRLVRANPAGVRAEVQDVLDYLRKMQAESTGFFYAMQVDSGNCVTNVFWADAKARMAYKSFGDAVTFDTTYRKTKYMMPFAVFRGINHHLQGITFGCCLLMDETKGSYAWLFDTWLAAMGGRQPDLLVTDQGKAMEAGIARVLPNTRHCFCQRNILSLCKQKLSVLYTQHINLKADLKECVFGAETTEEFQARWDYVIDKYSLQENVWLQSLYDSRQQWAWVYQKGSFFPELLKSQRSDRLNKFFKTNFNMKTPLLVLISRFDQVMSLSFEKEAQANSVTAYSKPTLKTPSVIERQAANTYTRAVFDLFQEEFIESLGYHADKIEDGVILKYNVAREGENGRSYVVSFNQLDRKAECTCCKFEYAGILCRHVLRIFFMVGVRTLPEGYILKRWTMDAVTSVVPDERSLEPAGSFPERLVAWHNDLSLDGIIYGMRGAMSPEVYKVAKAALQKAFDDVVAAKYQQMSEHQDMPLPKLQAKRTHTKV >OB06G34810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20973850:20974080:1 gene:OB06G34810 transcript:OB06G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGAGAPGTDSYTSPRIAAACGGEVRTRKARVAARTRCGKDAAAAAAMGAEAARTASSLLPEAVRVRMRCICGW >OB06G34820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20980294:20980524:-1 gene:OB06G34820 transcript:OB06G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKTAAVFMLLVSSIFFMQLSVPIHARKLVVRAPIICMHLPCTSRNVMEAPAEQVDSTTPGHSPSIGHNSPPN >OB06G34830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20982369:20983794:-1 gene:OB06G34830 transcript:OB06G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT4G19390) TAIR;Acc:AT4G19390] MKPRPSGFGAAAAAGVXXXXXXXXXXWRPRPASVASTAVVMSARGRGTHAVAAATASAVHSEHHRGGVHGLHFRPVVLRLTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGNGGGKVILMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKTSSYGSNLFGLFRLPERPKWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTIASCADLLCFAASIFLSSACLYLLSRLSTK >OB06G34840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:20984794:20985501:-1 gene:OB06G34840 transcript:OB06G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGQQPMPPAGRRSSSGQPQGEHGRRAWREPRIRPEKEHRSSGVAWALVILCTVLAIAVIVAGATVFAVYLIYKPRMPYLLVSDAQLVQLEYDQAGTIAYLEVLITVQARNTNSRADASFSRVDLALRFHGADVARLRAAPFLVARASAAPLRYDVVSRGRTLDADGMRVMDASLKSGVVPLDLLGRARTRWGVGIFASLKFWTRISCRLHFFYPGNGTVMDSDRNTCTSRSP >OB06G34850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21001000:21002238:-1 gene:OB06G34850 transcript:OB06G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYSSGIHLYRNNPAKEGEDPAMVIREALAKALVPYYPLAGRLREDAGRKLVVECTGQGVMFAEADADLTADDFGDVQSPPFPCFEQFILESTTIAGVEPVIDRPLLYIQVTRLRCGGFVFGQRFCHCVVDAPGGMQFEKAVCELARGADAPSVAPSWGREMFMARDPPRPSYPHLEYRDPVGGPDRMLSTPPEDVVLVRVTFFFGPREIAGLRQHAPASIRGSCSRFELVVACIWRSRTAALGYAADEEVRLSFIVNARGRPDVPLPEGFYGNAFAYSVAATTAGDLAGGDLGHALGLVKKAKSAVTYDYLQSVADLMVVAGRPLFALSRTYIVSAVSHAGVKSVDFGWGEAVYGGPAKGGEGPLVGVTNYFSRSKNSKGEQSVVVPICLPKDAMDKFQLEVQALTTELI >OB06G34860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21007267:21008489:-1 gene:OB06G34860 transcript:OB06G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYSSGIHLYRNNPAKEGKDPAMVIREALAKALVLYYPLAGRLREEAGRKLVVECTGQGVMFAEADADLTADDFGDVQSPPFPCFEQFIIESTTIAGIEPVIDRPLLYFQVTRLRCGGFVFGQRVCHCVVDAPGGMQFEKAGFYGNAFAFSVAATTAGELGGGDLGYALGLVKKAKSAVTYDYLQSVADLMVVAGRPPFVMSRTYLVSDVSHAGFKSVDFGWGEAVYGGPAKVGEGPFVGVTNYFSRAKNSEGEQSVVVPICLPKDAMDKFQLEVHALTTELI >OB06G34870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21013488:21016402:1 gene:OB06G34870 transcript:OB06G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSSAFISHHHSRFHPPCLSREAAMTVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTLEAEALLLEMRCLGLRPDAAHYNALLEGLLSRAHLRLADRLLLQMADDGVARNRRTYMLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQDHGVELDVKIYNGLIDTFGKYGQLADARRVFDKMCAEGVKPDITTWNSLIRWHCRIGNMKRALRFFAAMQEDGMYPDPKIFATIISRLGEQGKWDEIKKLFHGMRNRGLKESGAIYAVLVDIYGQYGHFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVTYTTLMKAFMRAKKFEKVSDIYKEMERAGCTPDRKAREMLNDASAILEQRGCY >OB06G34880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21018589:21018975:-1 gene:OB06G34880 transcript:OB06G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERNRGRDGDSPSPPRQACCFGFSPKKTLQRTPTRSGRKSHAGVRAAADDILGIGDAGEWGDENKRMVTELKQQQRKLKKALEEQVKVSRETAKMAQWVKQASARMTHTAAIDDLLSDCDDEDDLK >OB06G34890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21022530:21022709:-1 gene:OB06G34890 transcript:OB06G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLRFSHSNMLAISLALICFLSNFFFERSYQTYLKSDSNQIQNTVNNIKMEGVVNMYI >OB06G34900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21025274:21028422:1 gene:OB06G34900 transcript:OB06G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAGNPFHRCAEYCPVPAPAPASKPPPPAARPAQNGTAAPEQNGANGTTTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAGNPFHVCTEHCAAKMAEASRSSEGGKSPMSLFSRHSRRSSSSSEDGSVKSGSSRKVDSKCPNAGNPFHECTEHCAAKMKEVDRQKSDKKSSSRKKGGKEIAVVQNWKVDPRCPNASNPFHICAQYCFDHLNEVGQKNTSKPDSKKGKAVLKAEQTGEINPDCANASNPYHKCGEYCKRKGYR >OB06G34910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21030674:21033555:1 gene:OB06G34910 transcript:OB06G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein [Source:Projected from Arabidopsis thaliana (AT2G16860) TAIR;Acc:AT2G16860] MGKESALASASAPGKSRPECINSSNPYHECSDYCLRKIAEARERLEEEQRPPADRTVHPDCINASNPYHVCSEYCFKRIADAKSGLERAEQEPSDAAAARPEGDDDGDAQQEDAGAEDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRTESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQETAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIDVDMEAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >OB06G34920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21035571:21035780:1 gene:OB06G34920 transcript:OB06G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESVLLGWGDLTCSKQSIKKLSICTKRNQNFKSSRFCTVLVRVPNRICRMRLRLLGPGHPGQHVHRHHP >OB06G34930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21035733:21035933:-1 gene:OB06G34930 transcript:OB06G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGKACVVAPGGAKVLVVDIARPPKVSTARRELPPAPRMWEVAAPAGKRVVAVHVLPRMTRPE >OB06G34940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21040896:21041087:-1 gene:OB06G34940 transcript:OB06G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQIVYASTYHSTLWLVEDFNSNSIGWCECTDRQHTIMQTFLPIADNGTVVTVPSCAESVGGVH >OB06G34950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21049511:21049702:-1 gene:OB06G34950 transcript:OB06G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPLRHPRQDRRGRAGHQQRPRPRQPRRPRRQLATASIASPPWPVCLLSASTHTLLIKFVLEVN >OB06G34960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21056597:21059483:1 gene:OB06G34960 transcript:OB06G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVVVVAAAAFMAVQGAAAAEEEEDTGAAAGVPSCASKLAFGVAPEQGLLLAKRCGVTADASACAKSSSTAAAAGAGTAGSTAASSASTGNVASTVAKPTASGGSTGRLSFVSVSSLILGAEEPRVEERESASYPGLQARYVLHAVDAEVVEGVPEDGEFDTEEGGEHEDEVVVEGAAPAITVKRHYWRWMHDGAAATAEEVEEERQSANQ >OB06G34970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21067229:21072364:1 gene:OB06G34970 transcript:OB06G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASPNPSPTSLSAPLLSSDSITPTVANGHAHRHHHHRGDDDDASVCDGEGGGGEPFAFLSEDGSPWRGGASPADPFLNGTPAWCGAYELVKVLVCAPVAGLRLVLFGVSIAVGYAATWVALRGWVDVRERAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRDLAPIVVSNHISYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGKFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAHALNAIPTSYSYGDSMIMARAVEAGKANCSNYMVEMAWVKETYGVNTSEAMALLEDFLAMNPDKDGRVNAKDFWAHFGLNCTALCKKIFQYFDFEAKESITFRQFLVGCAHLRKQPLFQDTCETAFEKCRHPGASDIGRDQLADVLRSSMLQLTDDGMMKLYETLDVDDDDRISKDDLMASLAKLPFMIALFAGRINGEVYIEIV >OB06G34980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21072566:21078209:-1 gene:OB06G34980 transcript:OB06G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLQLVAERLIDSNSNVQDKDEEYVRNREQNISDAIDLLPRLTTGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATAIGSKSYNALASGLAEFKSGESTKDDKHVQEEETVDFAAATTAALGVPSPSVSRGRSFDEHTFGDPVEYIRRGDREEEEELRRVLSLSKAENANAVDGSVSFDTSQSHSSSNMEETAHSDSFRLEAVEMIDSTNKDEHGNSHALSDGPMLQDSTNAAPVVNEVVPEESQQTLTSNELEDDGKTNILPEQPNITAQPSESVLDCSSNESSAPNQAAAFAPALGKVDEESCEEQTPVQIHDLASDIEVSSELTTATSETTPNNATKELDVENSYTPEPITLSIQESEPIYQGEEHVLSSGNLAYENQEPVYEGEVVLAEQADKTEKSSECMQDGAAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKLNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADFIAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSATQQQEPLLQQPQQTSSQSHGTGRPGLVVGPTTARRPPAPPPAATKKEKCIVM >OB06G34990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21081913:21086858:1 gene:OB06G34990 transcript:OB06G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MHK5] MAGARVMALAALCALAAVAGARVDPLYSSKQVLDWSRQTNLKLQNYSLTEEDGFLLLRRPEEVARRKLLERTRVKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLYQDLLARLKESQRSLGEATADAELPKSASERVKAMGQLLAKARDQLYDCKAITQRLRAMLQFADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIARNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHTYVRGCKISQ >OB06G35000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21098455:21099156:1 gene:OB06G35000 transcript:OB06G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPEASFTILPLSLSLHFFFQFCSLIDSAATVYSELKCFTAVPLPARSLGGVSRHGPLAFGKKKIFSEAMDYLGQLLAEAAFDRSCSWKKLPQTDPYTLPSCPNSLHQNMSSTV >OB06G35010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21104980:21105535:1 gene:OB06G35010 transcript:OB06G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTSSGEGDGRFPPELSVEAVFRCVRIGPGDEPDAEFAYQTAVSIGGHTFKGILRDHGPTDEAGGGARGGAGGGGHLPPSSAEYHQLTGQGREESSPAGSSEAVGGHGAATAVTSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >OB06G35020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21116829:21120618:-1 gene:OB06G35020 transcript:OB06G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT3G54340) TAIR;Acc:AT3G54340] MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPSTDIKGIFDRYQQATGTSLWVEQYENMQRTLSHLKDINRNLRTEIRQRMGEDLDSLEFEELRGLEQNVDAALKEVRHRKYHVITTQTETYKKKVKHSYEAYKNLQQELGLREDPAFGFVDNTGAGGWDGGAGAGAAADMYAFRVVPSQPNLHGMAYGGSHDLRLG >OB06G35030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21124120:21127364:1 gene:OB06G35030 transcript:OB06G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51070) TAIR;Acc:AT3G51070] MAGAGRSLRGAGKRGAASSSSSSSSSSASASACVYYATTGVLVTLCVAGAYFLTSASSASLAGADGDGPVTTAYRHTTRSSFTYEVTREKTAAPAPPREVERLDEPRGQGGAAAEEEEERGADADAAAALDDDPRGKPDLDDHGADEEETKGAAAAMDAQRAEEDDNVSSGDAIAGGDEAAKDTSASTSALAGEEEKEEEAMREESQEHEHEPHLQMPQGEESRVATVEEKSLDGGIEEESIAGQRQSEEEQIDHGDGAGSLRREAQEEVHVGEGYEMADHLPESELHNEGEEMLEQQQQQPEEERASEAEANTSESDAGGEGDTEDKPTMSDSEHKEEVIDSLPGEEDRAEVSATGGDVDQSAWATQADHSHQEKDRLEEAAGTDDNSADAGGGGGEEPEWRLCNVKAGPDYIPCLENEKAIKKLRPENYRRDEHRERHCPDEGPTCLVPLPAGYRRPIEWPKCRDRIWYNNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVTIKKDRLNGIGAAFYRKPTSNECYEARRRQQPPMCSDDDEANAAWYIRINACMHRVPTGPSERGTTWPAEWPRRASTPPYWLNSSQAGAYGKPAPEDFAVDSEHWRRVVDKSYLNGLGIDWSRVRNVMDMRATYGGFAAAMKEQKIWVMNVVNVDAADTLPIIFERGLIGIYHDWCESFSTYPRTYDLLHADHLFSKIKERCAVLPVVAEVDRIVRPGGSIIVRDESGAVGKVEKLLRSLHWDVRLTFSNNDQGVLYAEKTDWRPEMLADPS >OB06G35040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21127646:21129508:-1 gene:OB06G35040 transcript:OB06G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3MHL0] MVDNSELPFRMLCRRYGATAAYTPMLHSRIFSENEKHRSMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVQNLSANLYVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKEDGDEDEVSGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFKVHPEVREELNKQSKLTFEWLHDMVKRLKDLGGGIPLYRNKDALQTTSNGLAASNA >OB06G35050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21132706:21147449:1 gene:OB06G35050 transcript:OB06G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLSTAARGEAGHAAPPLCDVCKEKRGLVFCVEDRAILCSDCDDPIHSANDLTAKHSRFLLVGAKLSAEALADQAIPSPDCSSEDAADDHSSAASAGASAPLDIPASNCAGGGTVGGSSISDYLTTICPGWRVEDLLADDDAFAAAAATATGKEKDERVPFMDADLFDVVAGHPEKRGAWAPHVPQAPAWCLDEVPVATMSAPAPAPVKATKQSHVRERHWNDSDAFAVPEFSPPPAAKRARPSPQFWCF >OB06G35060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21152000:21156160:-1 gene:OB06G35060 transcript:OB06G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRGLLLQQQWPQQRWGAPARTISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >OB06G35070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21159519:21162543:1 gene:OB06G35070 transcript:OB06G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:J3MHL3] MAATRRRLSMLPLLLLPVEPLNRHRHHPSGDKILFQGFNWESWRQSGGWYSLLMGKVDDVAAAGVTHVWLPPPSHSVSAQGYMPGRLYDLDASRYGTAAELKSLIAALHGKGLQVIADVVINHRCADYQDSRGIYCVFDGGTPDGRPAPHMICRDDAQFSDGTGNLDTGADFPAAPDIDHLNGVVRRELTDWLLWLKSDEVGFDAWRLDFARGYSPEVARAYIDGTAPVALAVAEVWDPMAYGGDGRPEYGQDAHRQALVDWVDGAASAGMVFDYTTKGIMNAAGGGGGGGELWRLIDPQGKAPGVIGWWPAKAVTFVDNHDTGSTQQAWPFPSDKVMQGYAYILTHPGTPCIFYDHFFDWGFKDEITALVAVRQRNGITAVSSLKILLYDGDAYVAEIDGKVTMKIGPRYDVSGYIPPGFQLAAHGNDYAVWEKTAAADASTDQASGSTSSSL >OB06G35080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21162738:21164860:-1 gene:OB06G35080 transcript:OB06G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIEMEAIQEGRNLSDFKYACVGYSMYLDDKENSKGKGDKHAQLPICVGIELLADRRTSTNQASTHRKKEDPQGPKPRRYKPGHRGDDFLTKFQRNAGLVANGVARNINKVGAYIKDTMDDIMYPYRKRPK >OB06G35090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21166710:21171318:-1 gene:OB06G35090 transcript:OB06G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGAGAAVVGSPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIESQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDMKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTTGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDTEAEGTDQPGNIPKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >OB06G35100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21171462:21173601:1 gene:OB06G35100 transcript:OB06G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSQRRLTGTVAGDGDPTTAAPAPPPTIAGDLCACIAASTNPLLVVSLLSAANGRMIPSSLGGGGFLVNLLPPGAHGTAEI >OB06G35110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21172785:21175279:-1 gene:OB06G35110 transcript:OB06G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT4G34700) TAIR;Acc:AT4G34700] MATTAGFLARRAAQKERVRLLYRRALRDTLNWAVHRHLFYQDASDLRDKFEANRHVDNPDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPKGIEIIYNYGKED >OB06G35120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21175253:21191565:1 gene:OB06G35120 transcript:OB06G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGAGGGGAGGADGAETERPLDKTFGFSKNFGAKYELGKEVGRGHFGHTCSAVVKKGEFKGQTVAVKIIAKAKMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALKEDELLYLKLQFKLLEPRDGFVSLDNFRTVLTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQQFEQEGNRVISVEELAQELNLAPTHYSIIQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >OB06G35130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21197508:21197774:1 gene:OB06G35130 transcript:OB06G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTLSLNILMVYRCLLVLHILLAKQPARSCLIHPLLPTAAPPFLPPRDSSSSSLSLTLMSDRDCQVSLPQEALTTVAVPGFSFNSN >OB06G35140.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21198614:21204031:1 gene:OB06G35140 transcript:OB06G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHASSSSSSSSSGPEAGGDVEVVMSGGAMAMAAAVAGEGEEAAVGVGVAGLLVVDDPLDAAEVVDAAGDGDGALLLEAVLLLGLLEQPHEQRVLQVPHRHHEPLPLRPAGAGPHGPGPPPPHRHAPLRRHRPTPSPRRRPSRRRRRLLPLQQVHSHLDRFI >OB06G35150.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21198707:21199126:-1 gene:OB06G35150 transcript:OB06G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLLKRQQSSASAGGSSSGGGGGAMPPKGCMAVRVVGPGAGGAEGERFVVPVGYLKHPLFVGLLKEAEEEYGFEQKGAITIPCGVDHFRRVQGIIHHQKTGHAHAHGGLLSLSGNGGGHGHGSSGHHNFHIAACFRA >OB06G35160.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21213815:21214102:1 gene:OB06G35160 transcript:OB06G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPLMMTAFSSSPFISSETNYCSERERREKREREREREEIPPWELPAMISVRLYSHAHAVFPPSLHQSASHAVHDTTKIYSCLSIRWSRKMHD >OB06G35170.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21223341:21227381:1 gene:OB06G35170 transcript:OB06G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDCIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >OB06G35180.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21227264:21227945:-1 gene:OB06G35180 transcript:OB06G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFFVDSKSTIGIEFQTRTVEIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRTFDHAARWLRDLRAHADNSIVVMLIGNKADLAHARAVAADEAAAFAEDQGLFFSEASALSGDNVDAAFLALLHHIHAIVSRRSLEMDDAAINGDADANASAKLMLQGTRLSLSDELSIMETSAIKRVSRCSCS >OB06G35190.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21229762:21230352:-1 gene:OB06G35190 transcript:OB06G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLPLPASSHHRPLHHLKPSSSAKQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSLRMRTRGWSRW >OB06G35200.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21232550:21233046:1 gene:OB06G35200 transcript:OB06G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIIAGGAAPATVVVEEEEERGDAAFCSDECRQEQMDMDEALQTVARRHRLLCKPSTSPSAAEAAARPPMMHRRPTIANLAARTPVAATS >OB06G35210.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21236453:21238183:-1 gene:OB06G35210 transcript:OB06G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative membrane lipoprotein [Source:Projected from Arabidopsis thaliana (AT4G17085) TAIR;Acc:AT4G17085] MQAAKNVTLLQAVAFAGVFSAVSCWYGFMFGRESARRELGGIIEDLRSGSGSSTGSTASPESEAHPKP >OB06G35220.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21242387:21244914:-1 gene:OB06G35220 transcript:OB06G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFRSGKSKAKNRQTPAASGAKSSDGQKSKPSAASSTPARSIHELSEERGAQRLRVFDLDELSSATNGFSRALKIGEGGFGPVYRAFFRSGGGGGRGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSEASRQRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFNPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSVERSRPAAEQKLLGWVXXXXXXXXXEAGRPLPRQEPQGAAGDAGGRGGARAGAPDGATVHGRQGRRLSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYNRADTAGEIHIHVTNILRGWVTG >OB06G35230.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21251062:21251256:1 gene:OB06G35230 transcript:OB06G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIGAPSSSRFISMRILRTLGVFYFDLGLEWSMFSRNFVLSVTCGGDWLVVLLRCALGLVLR >OB06G35240.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21250822:21254971:1 gene:OB06G35240 transcript:OB06G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLKMHTKKMTEDVDDRRKELCIKVRTLSTTSNTLSTAQSKLKEADKLLSGENGLHVRLKSVERMLRMRQQYMTAQVEHIYPVRPLIEQSPANKPSFLNSSILRTRDAESMAPNGSQNGQAPLAILGLQLSKLTMKKTGYFSDKTEIQKSATALGYVAHAVSLIASYLDVPLRYPLRLGGSRSYVLDHAPSVESSSLASAPSSVPLGTSMRTMEFPLFSESQETTRSAYAVFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >OB06G35250.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21255271:21258472:-1 gene:OB06G35250 transcript:OB06G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel plant snare 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) TAIR;Acc:AT2G35190] MDLESVNPELAEIDGQVGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDIAGSTDPDTARMLHDRKQSMIKELNSYVALKKQHASENKRVDLFDGPSVEDGFGEENVMLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINVGTETAAALKSQTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKNVPNIPGLAPPVSRRLLSIVEDI >OB06G35260.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21260345:21260887:1 gene:OB06G35260 transcript:OB06G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVLPAGAPLPLLPHRPSQPLLRRPAMSCAASSGSSSDPSPPAADAPTATDAQTSSGSKPTGVKNRLRARNQSRRAPEGSPPAQLEINVKAKSASSAATTRREKQGRKEWEEMSLAEKAGELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFGGSP >OB06G35270.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21261660:21263764:-1 gene:OB06G35270 transcript:OB06G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3MHN3] MANCVRCCCWLLVLALMALAVTAAVVFVRYKNGGQGFPFPGVPGAVDHKYTDALGVALQFFQVQKSGKLVNNTIHWRGDSALDDGKEAGLDLSKGMYDAGDHMKFGFPMAFTATMLSWSILEYGDAMSAADQRDSAMDALHWIMDYLVNAHPSDDVLYIQVGDPKADHKCWERPEKMAEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKPTNATYASSLLDHGERLFAFADKHRGSYTRTFPELSAYYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNGKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQESDVAQDNVLGQYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMLTSGKTELSCGGQSFSPADLRKFAKSQADYVLGSNPMKISYLVGYGDRYPQRVHHRGASIPADVDTGCDGHKWLESSKDNPNVATGALVGGPFKNDTFSDKRDNVMQNEATTYNSALVAGLLSALLSTSSLAKSLS >OB06G35280.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21265155:21268409:1 gene:OB06G35280 transcript:OB06G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPDRSDADGGCEWREELRQQQSQVDALRERLVEVKVGMRCSEEDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRHGVPLADWSKGSESASSEGLDDEMAADSGRVAEHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTMQVESMSMKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVMEENVRLRAMVDKKEAQLQAMSEQCKFMALSRPN >OB06G35290.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21269537:21272982:1 gene:OB06G35290 transcript:OB06G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRVWLPALLLAFLLAASPLVQVARAQSEEEAATAEVVEGADLGIVSDDTQVSSDGPLSPAPGVETICVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAIHSTLHLPFDHKMYGQNLTVQNFFNASVPVSVQATFPYTFVVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTVEVVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTSFAQGSKSKKKK >OB06G35300.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21274161:21274358:-1 gene:OB06G35300 transcript:OB06G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVQFLSSSSVSLLNCSTANVFLCPRLLCLCYSTRQVAFFLFCLATKITPLTCGLFCFVYFLILWG >OB06G35310.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21274983:21284829:-1 gene:OB06G35310 transcript:OB06G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3MHN7] MGVSDNTVGLALAVASSAFIGASFILKKIGLIRAGKGGVRAGGGGYTYLLEPLWWAGMMTMLLGEIANFIAYAFAPAVLVTPLGALSIIMSSLLAHFILKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAAATLLIVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTAKEKETGSSAALPWPLDRGSISWYISLGSDNLLKNVNEDYFTALQSSPAPIYKYPHESSQNYKGLLCETTGFHVSDSGIAGDFLYNALSPKVAPDVVFGPDDDGFQPLVDFDEAGSGEKSCLADWDCRDTEALFSLIKELRESYIEYQKKRAAEVDDARLKFEISTVLSKEGIEVCMVSSNGRPDEVKFAVPLLPPALDMDLAKLVPGCPWKLPQKIHLQAVFPISRSYSSVPPAPRLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKMQVVEASASIGSRRRFIEALAPTFGRPLEADPIFCRRATVLSISGIFTFLVHFVIPLQFPKQQPVLTLQSSQHFNAQGIPIMSAPVNDYPWSPRWDPAEMVERIYDFLVDECQNFKRFCSDSLPQQK >OB06G35320.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21292956:21298994:1 gene:OB06G35320 transcript:OB06G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGCVCSRRFRDEAAPRSVQPLAVAYEARRGRYGPGDYDSGELATPPPKVLPSHKVSETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDVSIKELKEEILHSDGVQILVSSNSSELLYIAYVDKRDELAIFAREVIRFGNLCKDPTWHNLGRYFDKYASEKLTTDFTPQDHSKERMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKNLKKKSLWSRTLETIVEKLVDIVIFLHKQIRDSFSEAGAEFFNSEQVQNKRLGSCGLSLHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSSNTQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSVTRVQTLHYADKAKTEQFMLDLVVLLHHLVVQVKNRGYGSKSSKHDPSRSRKGLDLQPESKLNTSPVNIATYSSPLSDSERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRCWDPCRSHGSSPAREFGRNSASELDNKMDLDVIDGLDRLTSYHPTSPTFC >OB06G35330.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21301328:21302191:1 gene:OB06G35330 transcript:OB06G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASTAAATVAPGGCVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGVPDGARVVPAADMGDGPVLVGKNPDAPCPTAPHLRRHCRRPESPQARRSPASDVGFPSTSDPVWTVRSRRKKRWCAIFAEEPLRYYLSSKKSSGDPKLGSSRPFDRIVRRRLSRKEKRSAGGLFSYGTLKYSVLSTMPHLGRAEPRCRRAEAFRWQRWSPAFRRKKEHH >OB06G35340.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21304727:21307398:1 gene:OB06G35340 transcript:OB06G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLPVLSLLLCLSLLQGAQAATFTVSNQCGYTVWPGILSNAGVAPPSTTGFALSPGQTLAVSVAAAWSGRIWGRTLCGQDSSGRFTCATGDCGSGAGACPRAYSYAYDDATSTFTCAAAGGGYDVVFCPGASSNPEAVGVPPTYSTIAFTGNAESLATSRVNLLVIFGLVMVISSAMPTLPW >OB06G35350.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21308298:21313230:-1 gene:OB06G35350 transcript:OB06G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNRALKLLMDRYKLPISLLTLEQPPIMSKTGYVKSSSEITVIVSSYDDFKYWLERFSNRKQKLHFIYSDYTALGSAEMIKFSDSDVCQRGPQVKVVNTKLEKEKFRLLMALERQSNRISGDMEELKKQWHPKRLKNLYDMLSEDLVMANKRTDQLQQMKESVIDKLLVSELRSDVSARVRLEGLWLLKHHRPNDYQKLIVDGKVSSAEVEIVHNELESRAGKPSDTLYTIRQETLAVFGRLHMEQNLQDALGKTCLVIEQYQKNLASKKQILKAMWSYRNVIKDLIHKRSLIGCHLIDLDDALGYEYFSDEDSDDTDEGGSQHDEYEEYDEEVSDADSEDSANSDHEDGGSTSDDEDESSASSFESTYSELGEDYVGYHEKFSEEEEESDEAREGEPIATKALRRSGEMFEFQAILTNLIDIIINSPYRNSDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGKFGVGVRFYSVYLVADYFEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDNLKDLVKKYSEFINFSIYLWATKEIDVEVPADVDESSEEEESPPESTEEEEREEGEEKNPKANTVKETSTEWELLNDVKAIWLRNPREVTEKEYSKFYHSLAKDFGDDKPMSCSHFTSEGDVEFKALLFVPPKAPQDLYENYYSSNKLNLKLYIRRVFISDEFDELLPKYLSFLKGIVDSDILPFNLSQEMLQQHSSLKAIKKKLLSKALDMIKKLAEEDPDEYSNKDKTDEEKSAMEEKRGLYAKFWNEFGKSIKLGIIEDATNKNCLAKLLRFESTKSEGKLASLDEYISRMKPGQNDIYYIGSKEKLDKFPLLEKLNKNNYEVIYLTPPLDDEELTRWLTRYKGRVFKEE >OB06G35360.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21315790:21320951:-1 gene:OB06G35360 transcript:OB06G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALASALLLLFLLTTLPDPAKKLQVNADDSADELVDPPKVEERIGAVPHGLSTDSEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWATKEIDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYSKFYHSLAKDFGDDKPMSWSHFIAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFETTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDSENVDSVKISNRLHDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAEDNESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYKSVQKSLDLSPDATVEEEEEVEEPEVEEKETIKEETEPSSQYDKDEL >OB06G35370.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21324359:21325765:-1 gene:OB06G35370 transcript:OB06G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSIALRAWQRGYHFALRHDRFRVSSRRRTTPRHAAPRQPTLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPHNPPRRRRQRQRASLLSSDLPPPRAARFLSPVTPPSLPPSLSSRFVIRLVLANSERDRNPQIDLPEFGAPCVILVVFGDQVCAPLPAIRRRRAAMDDGDIDFSNPDTFLCPAAGAGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDDADSPTTADNNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVSRLRCLLVDIRGRIEGEIGAFPYQRPAKNIDLVSSVDQGSFLGGAQVMNSCDFRCADQMYCSPGMQVRTMAEDGAMSGQVLGQGACDIASIQCTGSAKSGSTKLPVCGAMGTMPVGSLPNSEKK >OB06G35380.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21327146:21330336:-1 gene:OB06G35380 transcript:OB06G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYSTSTSSANPNPSPAPSAPPLYPTLTMADLAPVEIGPSSPASPATAEDDANAPPPSEDVLLRIPGAQLHLIDRHRSHPLAAGDLSLLRIRSGDTSLAAIALLQPIQWPLARDVAAVKLDPCHYSFSLTVPPSADDPNPGPLHYGLTLSHPDLRLDGILATYTSFSVQSVVGGEALASKVREEVEAAAYWTAVAPNVEEYGGAVARAISTGAGHLAKGILWCGEVTVERLRWGNEVLKKRMQPGDADAEVTPEMLRRIKRAKKVTQMSEKVATGILSGVVKVTGYFTSSIANSKAGKKFFSLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGLVSHKYGEKAAAATNEGLDAAGHAIGTAWTVFKIRQALNPKSVLKPTSLAKSTIKAAAADLRAKQKK >OB06G35390.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21331495:21336888:-1 gene:OB06G35390 transcript:OB06G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G75820) TAIR;Acc:AT1G75820] MPPPLLLLLLLLVPPPSSFASPDRDIYALAKLKAALLPSPSASAPAPLADWDPAAASPAHCSFSGVTCDDHSRVVAINLTALPLHAGSLPPELALLDSLANLTIAACCLPGHLPLELPTLPSLRYLNLSNNNLSGHFPAPDSDSAAADARYFPALELIDVYNNNLSGLLPPFSAAHDRLRYLHLGGNYFTGAIPDTYGDLAALEYLGLNGNTLSGRVPTSLARLKRLREMYIGYYNQYDGGVPPEFGDLDALVRLDMSSCNLTGPVPPELGRLQHLDTLFLLWNRLSGEIPPELGDLKSLASLDLSVNDLTGEIPPSLAKLSNLKLLNLFRNHLRGGIPEFVADFQQLEVLQLWDNNLTGNIPAGLGKNGRLNILDLATNHLTGSIPPDLCAGRRLEMLVLMENGLFGPIPESLGDCKTLTRVRLAKNYLTGPVPAGLFNLPQANMVELTDNLLTGELPDVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGRLKNLSRLNVSGNSLTGAIPEELILCASLAAIDLSRNGLSGEIPGSITSLKILCTLNVSRNRLSGELPPEMSNMTSLTTLDVSYNSLSGPVPMQGQFLVFNESSFVGNPGLCGGPVADACPPSMAGAGSSSLSLRPWDSKKMLVLLVVVFAALVIAFLGARKGCEAWREAARRRSGAWKMTAFQKLDFSADDVVECLKEDNIIGKGGAGIVYHGVTHGGTELAIEERLGGRGGGGGGGAHDRGFSAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWDARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSCGVVLLELSPGRRPVGGFGDGVDIVHWVRKVTAELPDSSDTAAVLAVADRRLSPEPVALMVNLYKVAMVCVEEASTARPTMREVVHMLSNPGPAQPNSDLLVTF >OB06G35400.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21342811:21344288:1 gene:OB06G35400 transcript:OB06G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVTGSGMERKEKRLRIPAAMGFVPVTVCASLRPPVDIQDTTLCSERFVHDVGYHWAVIFQIPTKPVHIELQILLPGSESMADQTATAANLDSRVKAKLVLGAESFSISSDSGILSEQLAAMKEKSMEILKAYITKHNAPTDVPDEPIEGLSDDEGDAPGNNPPKKSKKHK >OB06G35410.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21343998:21348803:-1 gene:OB06G35410 transcript:OB06G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MAATAAPSPPAIATALSALLRRRKNGARFLRASQARCISSDANAEAASSRRGGHGGTRLEEAVPAGEGRSRIDAWISSRLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKGGDMVSCTVSELQPLRAEPEDIPLDIVYEDQHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSTDDECPDSSDDDIDVFHVDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRYKVREVFAGGGSALVEWKLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTSSRYHCDLSNLIAKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELRRVTPSDGQNGGSVAHISE >OB06G35420.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21355675:21360480:1 gene:OB06G35420 transcript:OB06G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFFHAAPRSGSNVSLSSLARTAGGRRMIHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYKRNAVGDLSGCSVCLQDFQTGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >OB06G35430.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21357640:21357876:-1 gene:OB06G35430 transcript:OB06G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTLVNLQAQFEKWRKSFKTWRQTEHPERSPTAFRLYSSVILTSGSLSMDARGMPLVPSISNRSGDSLKGDESTAFI >OB06G35440.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21357884:21362014:-1 gene:OB06G35440 transcript:OB06G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVALMNLFRACWRPSSNQHARAGSDAAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGSLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESMRKELQSMHPEDKHIVVLKHNVWRVKGLIQVCRSIGDAYLKKSEFNREPLYAKFRLREPFHKPILSSEPSISVQSLQPHDQFLIFASDGLWEHLTNQEAVEIVHSNPCSGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >OB06G35450.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21369127:21369477:-1 gene:OB06G35450 transcript:OB06G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKHSNCRIIQYFLLAQITPIFSMGNSILITAILSASRINQFTHLFLLNIATRNRQRRQTFHFTDPDHQICIGQSRHLSSPQPPPSTHPSMQNQPIKITQHRQHDQLSQNSPPSP >OB06G35460.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21372863:21377509:1 gene:OB06G35460 transcript:OB06G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWCSGLAFAVAAAVFLAAGGGGCGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGRAGMSWPAGELIGSPEYYSTLSRHDHALLARRGLAQADELLSFADGNLTLQLDGSLHYAEVAVGTPNMTFLVALDTGSDLFWVPCDCKQCSSVSKLTGKGGPDLRPYSPSKSSTSKVVTCANKLCDQPNACAATNSSSCPYTINYMSASTSSSGKLVEDVLYLTREKGAGAGTAVQAPVVFGCGQEQTGLFLKGAAADGLMGLGMEKVSVPSILASSGLVKSNSFSMCFSSDGVGRINFGDTGSSDQGETPFIVAAKHPFYNISITSMSVGGTTLPVRFYAIADSGTSFTYLSDPAYTAFATTFNSLVSEKRKDFSRYSLSGKFPFNYCYELSPGQTVVHMPVVSMTTNGGAVFPVTMPYYPIIAQMTNGEVQAVGYCLAVIKSDLPDDIIGQNFMTGLKVVFNRERSVLGWQKFDCYKDEKVTGNGGSTVGSPSPSPATTTQINPQPQESGSGSSDGGGSIPGAVPLPRTASAASRILIIISLSKKNLLPGLLGLLLVTGIRRAFFHFVFGHKRHII >OB06G35470.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21379589:21379972:1 gene:OB06G35470 transcript:OB06G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAWEKIGVYRGGIVPVLFQRVPCRRRGGVRFTVSGRDYFELVLVTNVAAAGSVRSMEVKATGGGGGWMAMSRNWGANWQSLAYLDGHGLSFRLTATDGQTVVFTGVVPPSWRFGQTFASTQQFK >OB06G35480.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21381051:21382406:-1 gene:OB06G35480 transcript:OB06G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERSNSTPSATPARPPRSPLGVDEEYSQAFRSKSFLDLWSHAHHHLTHTLSSFKLSSSNSKRGTGGREDDAALHADRCDGGGTPDTAEESCSYTVLDDFVLEPSPELLARAGTRQHRRRRRRHRVDTLLLEYFDVTQEACEACSPLLAAVAAARRHHLTLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDAARRAHRMTSRASELSPSANSSAKSSAARMITMLVSKLASLPPPAPPLLLSACCASPVLLPLPVPGELGVPMYQLIDLVCAPMSRRRRRRRRRRRPKIN >OB06G35490.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21381103:21382427:1 gene:OB06G35490 transcript:OB06G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTRSMSWYMGTPSSPGTGSGSSTGEAQHALSSSGGAGGGSDASFDTNMVIILAALLFALLFALGLNSLARLVIRGEQRGARLARLLRHVEVLKQQRVHAVTPAPAPMLASAGSRKQLRAGLEDEVIKNGVRARLLRGVRRAAAVATVGVQCRIVFPATGAALGVGGGELEGGEGVGEVVVRVRPEVKEGLGAEGLAVLLVDAERAARRARRSGGRRGVASLDHGCCHWQ >OB06G35500.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21387958:21388632:1 gene:OB06G35500 transcript:OB06G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVATCGLLLFLSLPLLRSAAATEYAVGDGPWDSGTNYAAWADKHTFVAGDVLGSSFFFFFFLTCSSCIIAFHFIHDEWIDRIWFGLIWFDLTNDHLVAVFQYVKSQHNVVQVTEATYRSCDTGGGVAGVLKTYTSGYDRVQLTDPNTTYSFICDFPGHCLGGMKLAVKVSAAAAGAGGGSPPPSVVPLRPSGSASQRPPAWGLSLTLAAAGVVVVIKNFIF >OB06G35510.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21389750:21389950:-1 gene:OB06G35510 transcript:OB06G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRNGWQLQIGAADGGVREGGRGLVPESHLDGTAGARLGTGGGGVLPGGRSCRLGRRRRRQAS >OB06G35520.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21390094:21390856:1 gene:OB06G35520 transcript:OB06G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKLRDMMPLPWPAQGNRRRYLSGGESFVRDIIVSRHKGSIKYVEMEITVPSVSVVTTTTTTISSSSSEDKVSRQRFSIGYFGDNQAN >OB06G35530.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21393472:21393948:-1 gene:OB06G35530 transcript:OB06G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYQQYYQMVWPREPDSPQLSIMSGCSSLFSISTLHDDDDGGALVLAGAHALPATPVSLAGIASPGDGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQRR >OB06G35540.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21396921:21407132:1 gene:OB06G35540 transcript:OB06G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCCSHDLKAMVVYHHHSNQQIIMDEGPEVYPTTLLFEPWLNLSLLMLEGEGGGGGVVRAVPKVETSDDIGSGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDILDSSIFAFWSKSSVDVEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSISRSRALPDAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTACTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRVCDRCMAEVSQRLNNAKEAANRPIVHSHEDLAKKLKEAMDINKKSSSASRASDGSGRRMREVACPTCTVHLQIDILKRLQRQAFYDIMQLREKQEKIERVLMSYKLSKSGPFAEESTRLKGVINVAGSLSSKNKKDSAPENSETNSGISSQFLFQTNVRKKDSLLVELITDHWCLSSENDPIGSPFVLSKVMYLANINDSLSVAAVPVGARCDDFSTDPNLQEEHWLANFCSSLRPPLLIKRHNYAAGLILRSKNFAASLAELISAAGKPNNLGEVSRVFTGFGQISYQMQNEMKLSMSAALHGPSLVPRKSKPTAGGCFELELKFDEDSRIGAWIEIKKVNPRLVRWALTLSETPEDDLGWGVSLRRGTEGNAERLQLEGFLNFHLGKKATLQPGLMFNIDGRRCAPALVFQSSWFL >OB06G35550.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21405581:21409694:-1 gene:OB06G35550 transcript:OB06G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MSMMRVVWRRGAVRLVLVSAIAWALLVLLALAFHLWSCTSSVAFLSVLCKKDSKVLYVLDSMGFSSKPLHRCPIPVADDPNSVVIPKRTPNTIVKRLSYITVDKQDKDLSPLFGGRQSWKQREASFKLNTTMKVHCGFMKNSGADMDEVDVKYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHHLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPDKRTPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPSDLSSVELPSVKRTSPAG >OB06G35560.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21414050:21415736:-1 gene:OB06G35560 transcript:OB06G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGENEDGITGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGERLLMDETEGDCHINTAPLPFCRDKLPVPQTFKGHRNKHTIKGANFLGPNCDYVTTGSDCGRVFIWRKKDGDLMRVMKGDKRIVNCVEQHPSGIVIASSGIEKDIKIWAPGENPDEVETDSCCSSSSDSYDSIYFDDYMISLDLDSSDEDEEEDEDEDDDEEEEEDDHSISSNEDMSDIDKYEGAGDHDSEG >OB06G35570.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21420969:21423050:1 gene:OB06G35570 transcript:OB06G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSYRDTRDYPPYRDTRDYSPPHRDARDYYEGRGGRGYSPHRSPYGGRARRERSRSLPYSPYRMPERGYGRRAGGGGYDRCIPGFNERCCMRTYNQFVIWNDFKYRLVKAGIYSPGGQRGKLDVSRGTVAKQKKRGYMLSSSRLVGDLDDLVGVGAGWGWLVIVLGLRSSSSSLFFLLSFSFYAEAAAAASKVKIHMI >OB06G35580.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21428230:21428526:-1 gene:OB06G35580 transcript:OB06G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYAIPFLRPTNASLIFSAGSIRRLHQTQQHQNTCMRCKAIDPSYRDKFPDREQSPSQRENIAHGFVHHAAACIWIRMSIHTYIHTLQLSYSSKRLQ >OB06G35590.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21434487:21436481:1 gene:OB06G35590 transcript:OB06G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAMDGGYPERHQATVSVLPTFTASYEQPQPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPASSEQPQPPPPPAGFDCLAEVYGTAAAFGPNAGAGEYGGDLGFLDVVEPKASMVVDGGLGVCKLEPGLAEGGGGGGFGAVAAPPSKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKVANLLLLFFSFSSPEIASECEEEQVDGQDVDSWRHDRLHEGVAGEDPAAAGGDRGAAAGDAGRAQRLQGAQPQRDAGQEHTKVRGGAEGGRRHPGGDLLRGEARAAAVDGEHAGDAGPRHPPLRRQLLQRLRHARLLLRDAEGEDERGHDKAGAVQERRLWRRMLVEEDSPAAAAIK >OB06G35600.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21439371:21451837:-1 gene:OB06G35600 transcript:OB06G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIKELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTGAADGREATAVLKLLSYTAGKFPGVFFHGRATDVIRVIGRVLPFFAEPNFQSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDAMVAVQDVLYVVASMHEERANGVLNERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGTGVLIDLTDGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVINVEILPVENIIRSITCILSQDVSELSGIRDAGYDFSMGACLHALHSSCQSYIVETTASDIVNVFLRAVQTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLRLLCLPKPCPKLVECIRLVVDKSGQSVLSADGSDDGSSLSAKTEGFDLPKVGRKRIALDEENSLPKRLKMKDTRFSADSFMVDDFPLGVRQELENNHGCDFRVPLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLCVYPKTNLFSRICKQVLSWIPWICKQATKIHSFSFDVSLYFEAVQTVILLQSFLPGHIKLFEDEPSLIGNGCTDFEYPRYADFINLLKLVWDDGYAASPTYSDKLKCLAVQIIAKIGSRLNAECDLEVLELAIQSETTELQNEALMSLPIVVLYSGPKMLGAMFRKLETIGTLGLKKLWKSIAISLGFLSCLNGTTDCTDNVGNRCKLFLSKHCELPTLTSNLLGGFWCPQCDVRTNLIEEQIPVVDIALLEDKNIDFRINMFKAHSLFFKFLYGETSEECIVSVVEVLPRILKHSSREVLLDTEFQWVQCVDFLLLHEMKAVRDAFSSIVSCFLETTVMDILFSDGMGMSEGTNGIKFMDKIKSAFTEVEDPQILLTLLESTAAIMKASDIHGEVFFCSFVLLIGQLGNHDYVVRITALRLVHRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSILLTHPVVISEFAESVLGIKTEELIRRMVPSIIPKLIVSHPNNDQAVVTLHELANHLNNELVPLIVNSLPKVLSFALFYEDGHHLSSVLEFYHNETGTDNKEIFSAALPTLLDEIICFPGESDQIETDKRMAKIIPTIQNIAKILTGNDNLPEFLKNDFVRLLNSIDKKMLHSDDMKLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRECPLVHLGKIVEILEELVVKNSILLKQHIRELPLLPSLPSLSEVNKVIQQARGLMTLQDNLKDAVNGLNHESLNVRYMVACELNKLFNDRRDDVTSLIIGEDIADLDIISSLIMALLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLAGCQSLPNEGNRKESSSCEMSKRGQRLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALFQSNQAMAGRHGGKVKDGRYSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSNLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMSHLQAMIAHVDGLVYRIPQSKKIWCMQGVQAAWRLGRWDLMDEYLAETGKGLVCRSSENNASFDMGLAKIFKAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLDKSFSADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADTSGASNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPANVLGDAVLSSLSSLSLALPNAPLSVTQGPKENPDISKTLLLYTRWIHYTGQKQSNDIKSLYSRVTDLRPKWEKGFFCIAKFYDDLLVDARRRQEGKKIASGVGPVPPSSTGSLSTATEEKPWWDILPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIRDGSSSNKPMKEVHIKLLGIMRGCLKDLPTYQWLTVLSQLISRICHQNIEVVKIVKCIITSIIREYPQQALWMMAAVSKSTVAARRDAGAEILQSAKKGCRRGSDSIALFTQFPSLIDHLIKLCFHLGQPKARTINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSAFHPFSVSEHPTIAGIADDAEILSSLQKPKKVVFIGSDGIARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKTYDQWQDKMPAEMLKTKILPMFPPVFNKWFLTTFSEPAAWFRARAAFAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCVHVDFSCLFDKGLLLEKPEVVPFRLTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVHNPHAQRAISNITERLKGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYIWWMAWF >OB06G35610.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21454826:21464584:1 gene:OB06G35610 transcript:OB06G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVYPAPAPASGPRKLAREHMRLGVYHDVLQRLRDAGAPKAVEPDFADKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDVDASEADSQSNITEDDNCPMPRTPAEHPEPIFGSTETLKALVRQASSKNLLDDQHDIKAILRPMHEITFASDDKPKGLTQLSSLLGKLNLDIKEVHALSTNDGYFLDVFIVAGWDHKETQLLEEALEKEIHSFESQMPSKSSCWPPELEGKQCLVNSQANHVQIPKDDSDEWEINFSVLTFHEKVASGTYGDLYRGTYFCEDVAIKVLKSDRLNENMQKEFNDEVFIMRKIRHKNIVRFLGACTKPTTLCIVTEFMKNGSVYDYLHKRKGSFKLPSLLKAAVDISKGMNYLHQNKIIHRDLKTANLLMDEHELIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPYEFLTPLQAAIGVVQEGLRPVIPKGTDPKLALLLESCWQQNPVNRPDFVQILQKLDEIAEEHGVDPNHPHKEKEKGGFFTFGKGH >OB06G35620.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21462777:21465676:-1 gene:OB06G35620 transcript:OB06G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARLSYPVVLATLRHGAINPPPFAAAAAAMPTAAKRMLGSVRCGGAAEAPAESPVPPRSVPVRVAYELQQAGYRYLDVRTEGEFAGGHPVGAVNIPYMHKTGSGLTKNTHFLEKVSATFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWRENELPTNQ >OB06G35630.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21467592:21469066:-1 gene:OB06G35630 transcript:OB06G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMAKKKSVAAALSVMVSLVQVLGAVAVAGGVEPSKVRRQVPAVYVFGDSTLDVGNNNYLPGKDVPKANKPYYGIDFPASKPTGRFSNGYNVADYVAKSLGFDKSPMAYLALKARNYVVPAALVLGVSYASAGAGILDSTNAGGNIPLSKQVVYFNSTRAAMVGKAGSGAVRGLLAKSFFLFGVGSNDVFAFATALQKQNRSATPDEVKAFYTTLLSNYSAAITELYGLGARKFGIINVGPVGCVPSVRVANATGACSDAMNQLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAEAACQRGATLCGDRDRYVFWDSVHPSQQANKVAAKAYYDGPARFTEPISFKQLAHYSS >OB06G35640.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21471781:21474205:-1 gene:OB06G35640 transcript:OB06G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISWHPLNLYIFHRLIQAAKLAMSMNNMKSNSSTGVGRLMTMMIFITVHLLLQQQYCLGGAQQLVQVPAVFVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPASIPTGRFSNGYNLADYLAMKLGFKESPPAYLSLLQGPGPLPNLTLAINALSRGVNFASGGAGVLDSTYAGRCIPLSTQVRSMEATRAAMVAKVGSPAAVSAHIARSFFLLGVANNDMFVFATAQQQRNRSATPAEVAAFYTDLVGKYSDAIMELYGMGARKFGVINVGLVGCVPLVRAMSPTGACSDDLNRLAAGFDDALASLLSGLAARLPAFSYSLADAQAIARLAFADPMASGGYTNVDEACCGSGRLRAEADCQVGSMLCSDRDKWAFWDRVHPSQRACFLSAAAYYDGPAQLTKPINFKHLAST >OB06G35650.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21480912:21481974:1 gene:OB06G35650 transcript:OB06G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVHFCHRATAVVVLLLLLLHLVVFATVAHSQYPCDWCPPRHSTVSLLSTHAGRYAGGACGYGAAPMELSAAAVTADLFRDGHACGACYQLRCRDRRLCGEDGVKVVVANLVRQPEQEETNRTADGSLQFRITKDAFAAMAKHDGVSAHELTSLRTAQVDFRRVPCEYNKSRSLAVRVEEASANPSRLAVRFLYQGGQTDIAAVEIAPANATPSSWRYMTRRDGVVWSTPRAPAGPLRLRVVVTAGSGGKWLRSDGEVLPAEWKPGEVYDTGLRVTDVAVRSCSLSCGTTPDSHGDGDGGEELR >OB06G35660.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21487935:21488171:1 gene:OB06G35660 transcript:OB06G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASAAACSCRKTAALVCLIKKTSATSEEEKETAVLVERLEELEECIDELENGSDKVFRSLVQTRVALLNIHTHIF >OB06G35670.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21495937:21497007:-1 gene:OB06G35670 transcript:OB06G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNTLWQLLSDSSMHRSNSSNLSRATSAVSGEAFLAKAANAGVFLQEDAAADDMDAAAENTAADAAALAAAASMSAAAISVSSAVAPRPRRDGYLAGAAAETVEARRESSFSALRWDLTAEASLAASPLRSAALASATSCLRSRRASWKPWCASASRGVGEAVRGGGGAAERVLRVGEEEQVGEGGVEGVDVGEAGGEGGGVLDEPGADGGNVALEVGEERVGAAGQADGADVAGRRRPGLGPRRTKREGDGAAKAGSHDVDRASSSSFSRLLGACLCWLEWMEMAQHIHTYISKGGEEEEVGNGTWWLAAKAMRGCTCGMQWGMIREARGGGAHDHPSHRARARARARARASVN >OB06G35680.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21496228:21497056:1 gene:OB06G35680 transcript:OB06G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPARSKPRAAAPCHVRSISLPCRSHPLLSHLQSHIAAVRSWLIQDASSLSAGLAHIHALHAALADLLLLPDPQDTLRRATATADRLPDALLADAHQGFQEALLDLRQDVAEARAALRRGDAARLASAVRSQRRAEKELSRLASTVSAAAPAKYPSRLGLGATAEETEMAAALMDAAAASAAASAAVFSAAASMSSAAASSCKKTPAFAAFAKKASPETAEVALDRFEELERCIEESESSCHKVFRGILHTRVALLNIQTPTF >OB06G35690.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21499584:21500441:1 gene:OB06G35690 transcript:OB06G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPTMSVPPSPARPARPFAGGHVRSASVPCHSHPLLTHVDDQLLALRSWTSNPGENPLSLAHVRALLCVLDELLHLPLAAAASTDHLLHGFLVLADAFGTFLSALLALRQHASELQAAVRRRDQPKIASAARAQRQVDKELAQLAAAVAREASRCARASVTTTTTCSDHAGATELEVARTVAEAINDTAVASASVFMEVGSLADAAAAAAAAPPTKKRLPPLMHSSSRSKKQPSCEEQRGVAALQKLKELEQCIGELESESEKVFRSLVQARVSLLNIHTPTF >OB06G35700.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21500316:21507917:-1 gene:OB06G35700 transcript:OB06G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATSNVPTPEATGKGSDDQCKDGRDADPSPSPIDDAEAAELQLDSPSPTANPFSNALLTAPKPTATDLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVAEGDTAGPGGFLAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDAALLRPGRFDRQGPVDRPDVAGRVRILEVHSRGKALGKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >OB06G35710.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21511935:21513056:1 gene:OB06G35710 transcript:OB06G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLALNLMVAALAVAVRSEQCGSQAGGALCPNCLCCSKWGWCGSTSDYCGAGCQSQCSGCGVTPTPTPPSTGGNGVASIVSRTLFDQMLLHRNDAACPAKTFYTYDAFVAAASAFPTFAATGDADTRKREVAAFLAQTSHETTGGWAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRSIGSNLLGNPDLVASDATVSFKTALWFWMTAQSPKPSCHAVITGQWTPSANDQAAGRVPGYGVITNIINGGLECGHGADSRVADRIGFYKRYCDMLGVSYGANLDCDNQKPFNS >OB06G35720.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21515369:21515776:-1 gene:OB06G35720 transcript:OB06G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYRLPDAIAAADDDDPKDDMVLCKVYRKAVSLKELEQRVAMEELARTTSSASHSTGSPVDSSSISSSSDAAMMMKKEEEAPAHELVRPATLSLPQLEVGRQISSGLEWMQEPFLRSPWMDTWSPYFASSLLNF >OB06G35730.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21520032:21520190:-1 gene:OB06G35730 transcript:OB06G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLIFLTRAVQLPSSACCLLASDKSVHATCQSVIRHSSIPYIVFVMCFHKPT >OB06G35740.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21520777:21526573:-1 gene:OB06G35740 transcript:OB06G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSAVPRLPSPADRAGPGITGGAGNVRLSVLSAAARRSWPAKVKTNFSVPVTVQQSTTMVTVEEDVDHLPIYDLDPKLEGFKDHFNYRMKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVGDATIYREWAPAAQEAQLIGDFNNWNGAKHKMQKDKFGIWSIKISHVNGKPAIPHNSKVKFHFRHAGGAWIDRIPAWIRYATFDASKFGAPYDGVHWDPPSCERYVFKHPRPPKPDSPRIYEAHVGMSGEKPQVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINMAFTGNYKEYFSLDTDVDAVVYMMLANHLMHKLLPEATVIAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDCKWSMGEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNKWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDENFSFLSSSKQIVSDMNEKEKVIVFERGGLVFVFNFHPKKTYQGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDCQELGRAGAAASGKIVTEYIDVDVAEVKRPTTKEGDEATDGETIYGGGKGSWKDDSGKKGMKFVFRSSEEDCK >OB06G35750.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21520777:21524698:1 gene:OB06G35750 transcript:OB06G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVEEIVQNKLPSLLTLPWPPKTKASESRATLYFPGRKNSHRVHSLLDQTRSCTVQHWCNCKCRYVR >OB06G35760.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21527316:21530779:-1 gene:OB06G35760 transcript:OB06G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQLYSVFRGYAPPVWASIIAGIFVTVSLSLSLFLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGSSGSDVPLLDHETGQRFVNHPFPMNYMLKPWPLGEWFYLVIKFGLVQYVIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAVALNFSQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGIVIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASIVHLYVFPAKPYELMGDRFIGGVSVLGDYASVDCPLDPDEVKDSERPTKVRLSQPPDRVRCSTAIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHKISQNIKKHEKEKKKTNDDSCINSHQTLTRVISGIDDPLLNGSLSDNSCQKKARKHRRKSGYTSAESGGESSDHGLGGYEIRGHRWITRE >OB06G35770.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21533476:21535426:-1 gene:OB06G35770 transcript:OB06G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTKVISDDLPLPMMSEMDEVMSSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEGLSCVSPSYMLSQTDINEKMRGILIDWLIEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAESDKKLELMSFFIIELSLVEYEMLKFRPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKLEPAVFLLKSVAL >OB06G35780.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21542705:21544384:1 gene:OB06G35780 transcript:OB06G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MHT4] MGRFRAVYEKINTALDGMPYADLGVSDEVKEQVELINTQLKRSKKRTDTQDMELAMDLMVILQSQEHDGNNADRPILERLAKRLELQSLADLRAETMAIKKLINSSERADSTSQMVDLLQRLKAIAGVDEKNILGEVSIPKYLEKCPSLVIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQKTCPKTQQPLAHLSLAPNYALKNLIMQWCDKNKVEIQLIGESPPPEPPEDPKVVIPSLVKDLSSPHLDVQRKAVKKIRTLSKENPENRLLVTDSGGIPALIGLLPYPDKKIQENTVTSLLNLSIDEGNKLLIAKGGAIPFIIEVLRNGSVEGQENSAAALFSLSMVDENKVAIGALGGIAPLVDLLQNGTIRGKKDASTAIFNLMLNNPNKLRAIEAGILPALLKLLDDNKAAMVDEALSIFLLLASHSTCRAEVGTDHFVDMLVRIIKDGTPKNKECALSVLLELGLANNALMAHALCSGLHDHLADVAKNGTSRAQRKANSLIQLARKCS >OB06G35790.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21549924:21550160:-1 gene:OB06G35790 transcript:OB06G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAADGKRYRYVCALCKAPFETRQALGGHRASHSSKKGCSWHARQLAMEMAKPPKHDFNLNDLSPEAQAAAAAAEAAAAE >OB06G35800.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21556783:21561065:1 gene:OB06G35800 transcript:OB06G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:J3MHT6] MDPYKHRPSSASNSSFWTTNSGAPVWNNNSALTVGHRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMDGFGVNTYTFINKDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLTDSIASGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRVFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGTMNFMHRDEEVNYFPSRFDAARHAEKVPVPPRVLTGCREKCVINKENNFKQAGERYRSFDPARQDRFIQRWVDALSDPRITHELRGIWISYWSQCDASLGQKLASRLNLKPNM >OB06G35810.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21561822:21564194:-1 gene:OB06G35810 transcript:OB06G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G38270) TAIR;Acc:AT4G38270] MDNADKTIAYTDQDGRIKLFKVTTREFLSSSIWMNPLLPKITQPVPQTQEVAEEQSLAAGSEVSNISSTVTLETRRNDPIKLKREVFRRKRKENRIQELLQIDKETELHMRNVATNRSRNFSNKVRASYNIWRPEFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDLYALLMKCIKQSQAAIGDAHMDHELDSSALERAKAMGHALSSARDVLYNSGEVSRRLRVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVIKEYFRGAALKEEEEKAKREDRSLYHYAIFSDNVLAASVVVRSAVTHAREPEKHVFHIVTDRLNFAAMMMWFISHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQHCYMSEH >OB06G35820.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21567755:21570713:-1 gene:OB06G35820 transcript:OB06G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDSSSFFFFFKRPNSNDNIPGMSASKRTTSSTTTGRLSTLSDSTFMPSTVSGVSTDDAYPDGQILESPNLRIFTFAELKNATKNFRTDTVLGEGGFGKVYKGWVDEKTMNPSKSSTGIVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDNDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGGLSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDPSRPSGKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGEPKNRPSMKEVVEALEKIESIKTKSREPRNSSSLVRGQGNSPRSNSSRTSSRGR >OB06G35830.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21574341:21577849:1 gene:OB06G35830 transcript:OB06G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKLWLQYCAFVPCGGTGTSTNSSTSISDNNILKFSFRAPLLSNRSSSASSSINATINSGKNINVSAREIPAVGRKYKAASGGAEERRLPAVQDVRSGKQYLLTMAVGYTQKLHIYTRSLLMEWFLHPSIAAKAYIFVWDEDLGVDDVHRHREEARPADLAAGARQHQGEILLPGHLAGDIHTSKFVENDLVHGWGLDSNFWRCVHEPEQHIGVVDAQFVVHRGVPTLITQGDGEQDGSSAKVRSRQFDEMHTFDRRIANADKAQAIATAADHHR >OB06G35840.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21579530:21583805:1 gene:OB06G35840 transcript:OB06G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSIFTALSAAIFGFFIGISFPVEITPKLQYCAFLPCGDTNTNSSSSTSSENSNTMLKFWAPFAARSNTTSTAAPSNATISGNGTAADQEEEIVPRGEERLPPGIIVRESDLHLHRLWGNPRSDVGSEKKFLLTLTVGYTEKDHINATVHRFSRSDKFDIVLFHYDGRTTEWEEFEWSKQVVHVSARKQTKWWFAKRFLHPSIVAPYEYVFVWDEDLGVDNFTAPEEYVAIARNHSLAISQPALDNTRGKRSRYAATARRPHAGDMHTSAGFVEVMAPVFSRDAWTCVWHMIQNDLVHGWGLDHNFWRCVDVPQEQIGVVDAQFVVHRGVPTLISQGNGEQDGSSAKVRSRQFDEMHIFNRRMADAEKAQAEAGTADHHR >OB06G35850.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21588039:21591648:1 gene:OB06G35850 transcript:OB06G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFSFLVLSLKFLSADCKTEAGFCSCSCQKKELIMKAVSILTVLSAAVFGFFVGISFPVQITPQLQCGILPCSSGDAANYSFSGTSMIGILWSAFRNTNIQSNGTSENHAVTKPKGAEKLPPGLVVTESDLHMRRLWGSPKEDVATGKYLLALAVGYSEKANVNATVHKFSDEFDVVLFHYDGRTTEWDDLEWSKQAVHVSARKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVKVAMKHGLEISQPGLDSTRGKKTYEVTVRRNDAGEMHKTNEGGRCPDVHQRPCSGFVEVMAPVFSREAWTCVWHMIQNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLISQGNGEQEGSSEKVRARQWAEMHTFRERISNAEKERGNSAAALEEYHP >OB06G35860.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21596346:21597485:1 gene:OB06G35860 transcript:OB06G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGRLVYDAAVVGGGDVLVFAKGVNPRQGVNRPASDVRCVYYRGSADDVVASLPADTSAQQVFRCPPPPAASPAETLRVTLSVAGEEEPIPSMATYSPARTPAATQQKGRRRKICACSMVRDVGKFLREWVVYHAAVGVERFILYDNGSEDDLAEQVRRLTAEGIEVSILAWPWPKTQEAGFSHGAAVQREWCEWMAFIDVDEFIFSPQWGSSGEASSKGMLRSMVSVEADVGQVSVGCMEFGPSGRRRHPAEGVTQGYTCRRRAVERHKSVVRLEAVARSLVNSVHHFALREGARGEWNRRARVNHYKYQAWEEFRLKFRRRVSAYVADWTDRANPLSKDRTPGLGFLAVEPPRRPASFCEVNDTLLRDVTRRWFGSPPE >OB06G35870.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21600179:21601990:1 gene:OB06G35870 transcript:OB06G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >OB06G35880.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21605380:21608572:1 gene:OB06G35880 transcript:OB06G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDVLHQRSQLAGRRILNKQLNLLDNPWQKSYCTTTPLSPENKENRQEEYMEMMRQKPPNQEKTTAKNVIHKDFPSQPSVIARLMGIDTIPAVPATMDAVMIHAEETSNLEIPSTTEMISVNSPRSATFRQSKCSLISYRGSRSGDYRHCLKKMRPRRGCSSRQHHPQEELLHKIREDFQSWQTSKTLENARTVAGSGCSRRPIEEGRYIQMLAQENLHKEKMAKYGYGSCRISMEDKDTLKKKVTDNSDSESTSTEAAAKSHKSGDNKAIKVLRVSHYETMADEVRDSEDEQNNSSTSAKPRSPARIVILKPSTCDVGSSDQETLFSSSRVKRDGNMEEFVEEVKERLKKKLKLKSKSEVVRRSWGNTDPKQVARDIAKQIRETVSMQDIGKGLYSRSESFRAFRSDRKHNAVSRNASPEHVRLKSVISRSETNHVSNDSSPPKTRSRGRIMSLTDIPDLPVSVSVPGSGLDDQSCTGECKFNVVSPRALVRSLSAPASGISGGRLFAEEDSGRHANSDAVSEGAAVTLVVTSEKSSSSFSLRGTVSNLRQSLRSRANKLFGKKMMHWSKKPSLGEFHPHKMLPSPPGNCSPLAVAQASSCMSRSKQENFTELPPSPVSPLEVKGSCTLQVAELSPQSWSEFEAPRRSNGSSCRTNTTESYPDMAYIKQVLIAAGLYEESSTICDDAVFHEVEEAYAYACSEARMEGHHRMLFDLANEALEMVVGSVKPGWSLRRWVVDSTGARERKLLDDVWHQVQSLRNPPVQEMQTVEIMVAREAQKSTWIQSLHEDAYVLGMKVERAIFDQLLADILHELFVSQIVAV >OB06G35890.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21613483:21614607:1 gene:OB06G35890 transcript:OB06G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLNTHLPPRKRLLAGLRMASTPCGDALPPPPALLTGDLAARLRQMMGSANSSSSSPEEIVEAARSAASAAAEAAAAARATAAEKAAVAAKARAAARAAMEFLDSISRPASSRNGLQLKVKSRKKHVQVKLLYKPNGRLLEGRGPSITGDASSKPRRRRESDEEVARKLHRAMNSSPRISFTGPKRPRTIGGENCGAVDSCNGCSAHPPIELSGLTNGCSLGQSSEVPAPFSKHGGLDDHKDSAGHAAKSRIIAGNGVGSGNLSAGRKVKIKRKELLLNQHNSKDTEETKEIEPSIDFIQYDEAKSNGPEKRLNLLDTKDPPRDGSGPMKISSVWKFKKFKTSHCSSDSKALHSVCPSTSAAETSASVKAD >OB06G35900.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21615489:21616457:-1 gene:OB06G35900 transcript:OB06G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNAGPYVFRFGWCNITSFTCTAPLYYTTERQKEAAERAATGLKTGQQPEEAHLDFSATGRAKTNSTIYLTDPWCMHGHGVLPKELGNLRRHSHIHQLSIHSGVSFHLTASIYYRPQVQDR >OB06G35910.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21618480:21626583:1 gene:OB06G35910 transcript:OB06G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G21630) TAIR;Acc:AT1G21630] MEAAFDAHFRAADLDRDGRISGQEAVAFFKASSLPQPVLAQIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPGPNANSVTSPLQPTQAPAPAQQSPAIVGSQPPPGASLNTQALQPANVVRPPQASIANAPAQAIAPRASTGGVLNHTGPSTAGLSTDWFSGKKSASPLGVTSQTPTRGVSPQVNLATVGIPTQSSTPVSVYGAHTPASTASAMTSSADVNLLPSPPAANDSKGLVPLGNGLSSASTFGVDPFAATPQAKQDSSSPPVVSNSLPSANAHGPSAGPHHPPKPMQTAPMQGVASLHSQPAPKQNQLNTMPSAPAPMGASFTGGQIPSNTNQSQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPREILRKVWDLSDQDKDGMLSFREFCTAVYLMERHREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPAPHPSAGFTSRAMPGQHHGMPPSSMKPPPRRPLHMDADDAARTEKQKPKIPVLEEHLTGQLSKEEQSALDAKFKDASEADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRLNEVSERMSSDKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDENDEKLQERANQIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQEMAAVWDEEWDKFGDDGFSIIKELTVEVDPPVVQKNQPTVEDSKVSSNGPSAPTPAEKEDSKGNKSATASEQTVESDATPSDSKAVAAKSPPVSPVKNTKDGHTEQYGAIDTSSHATESVSNHGAADSPVHGDKTYDSHSWGPSFDHGDDNDSLWNFNHKDGENGDSDLFFGPQGLPPIRTGGSTSGSVYGKEQKPFFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSSVPSTPMQKSVFDSVPSTPMQKSLFDSFPSTPMQRSLFDSGASRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNDSNSFGQRDSFSRFDSFRSNADQGSNDTFGRFDSFRSNADQGGGNSFTRYDSMNSNSDHDRTDAFARFDSMKSTDSRGYSFDEDDPFGTGPFKSSDTSSPTRHGTDTWSAF >OB06G35920.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21630694:21633962:-1 gene:OB06G35920 transcript:OB06G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMARLEEKDGLDSSDSAINRSLSLDVVKPSLPNDATALQPKEGMEEYPVKVRKPYTITKQREKWTEEEHDRFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGTTTAIEIPPPRPKRKPLHPYPRKCANSGTDTNPATGQTKLAPVSSSSGSDQENGSPVSVLSAMQSDTFGSSVSNPSTRCTSPASSDDGNNVPTLAGGEDNVPCEQTGIDQSYKEIDQDGKDTDNISEDDSSEEVQETSLKLFGKTVVIPDPRKRGSSDPKHEENEEQVSQSSKEEILQASSGAEVPAAYGAPNGWLLSYNSFPFQSMDPRIAPLHVWWPCYGFAPTMNHPIGLSTMTQTEGTDESDSGKSPSAESSSDYGDNVQTAAPLNSRVVESLGEICVRESASSFELKPSSNSAFVRVKPLSNSRDEEVRKGFVPYKRSKIQ >OB06G35930.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21639692:21653186:-1 gene:OB06G35930 transcript:OB06G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRRPDFSSSSAASPSPSASAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLAPPSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGETRSAGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWESPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMREPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFSGKPSKTSGDEQEKEKVAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYASFKNIINTLVFGQREKEVIQRIFTIVDEHIDGGSLIKDLNMRSLPALSKKFIELLDLLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIKFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTAYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKRFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAIPYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVATNKSWESWWEKEQEPLRYSGKRGTILEILLALRFFIYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAIQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >OB06G35940.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21655842:21658741:-1 gene:OB06G35940 transcript:OB06G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLRAASPGLLEGHHLPNPIARRSKVASWRILCSLKYACLSVDPGEIARASPVYSSLMTVSPAVLLSSEQKVYDVVLRQAALLKRQLIIAPLTTGESELLLLPRNSGLNQAYHRCREICEEYAKTFYLGTMLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFAGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGVVSNKWRGFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLALPVAYGRSLLTPCSLRNSHK >OB06G35950.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21670477:21676777:1 gene:OB06G35950 transcript:OB06G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDSQAAEPRGGGRGGGRGRGSTYPQQYHHPQGQGGYYQYQGHAAAAAAPQPRGAMVVQKWRPAGAAAEHLGHQHPYSSSVRPQHYSGPSAIAPELRQAMDAPHEPDHISSPEAASPETSPPRSLALEVTEQLQDLSVKDQLSASQEIVQAIPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEITSRIRSRAVMEELVKLYKPSYLGGRLPAYDGRKSLYTAGPLPFTSKEFHISLLEEDDGSGSERRQKTYKVVIKFAAKADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFFEPVPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQSTRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNLQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGKEKDCLPRVGQWNMMNKKMVNGGRVKSWICVNFARNVQESVASGFCRELARMCQASGMDFALEPVLPPMFARSDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIASVVASQDWPEVTKYAGLVSAQSHRQELIEDLYNITHDPHRGPICGGMVRDLLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >OB06G35960.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21679360:21686261:1 gene:OB06G35960 transcript:OB06G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTKPPAAVASILLLLLSLLLLLVDAAAHPRLLEEEVQASRRERSLLPAPKIDCGGACLGRCANNWKNEMCIKMCNVCCNRCNCVPPGTGQDTRHLCPCYDTMLNPRTNKLKCP >OB06G35970.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21679669:21685457:-1 gene:OB06G35970 transcript:OB06G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCALEVTDRRPQSLSDTQCDRLGDHISNSSFSKFSSTKGHTIQKPEKRDITFATLSPRCNSDWKLVPFLSDIQDINKRSYFTSGTAGTESFQFPWPIIFYWLDYPNEKNMHDQIDHSKTFSSGSPPPGITLKQCQHRRKIKKPSTVLPPCNVLVNNSSKSVAAPVRSDSDILHDDGKAPRKNPKKKRNNKKGKHFRRGACKRLNLSSEIHCEENIDAASPVEVLTDLLVDKLSETSSSAGSLVKEAHFGEDNGGKNNEYVECRTKLNPSTLGSADMDGSGCTGSSTKTVGDIFSCKGVKYLIDGSNNSDNSEFLGSTFTEDGCPSMGKQSSNYEKSLCACVCNSNDATIYSMFNKLERDNTVNCSANNEVSDSCHPIGAHLSASPAEDSNGSFGSSSCCSKDVADSSSHTERVKCSSEACSSKTSLPVVPGRSRKKSRKTSNYGYLTATSGILGTNKNKHSGKYSSTSVWQKVEKLNVENTCKAVCMVDSGIQNKNAFENISKGTQHSLTRSMTTHNQSRKMCNQPSPDERIEMEHAKENDALNSYQEFSRYKHKKQTPFLYQQTGLSSNQGIQPSVSYHDPKNGIAMVPKNHFQQKNGLPMMQLIHDKDSSVATGVSSNCPAEGNGSSQSGVEKKAALASCNMNYYCAPQATYKETCKSTIQEDPHSSSTENEAISTNSNSRNMCVDPCPAEREENCHVKLTTENTPKQFGTLYSASGKCCKLYSAAAHVSQKWVAVSNKNIVQFNGSETSVDASVLTNGIPVSANFDVGANIPNFPASADNGINKLASEISDILNSSEHLDLRCQPCTDTSTDFKKIRQAVCDAHMAQQRVENVQVIFGRPLADFERFICSASPVLYCSTCPAGKDFCSQEWIKDGMCFHQSTNITLSRIWQWYEEPCCYGLEVKAQDFCRSKGLWNSRDQFTTYFVPYLSAVQLFGQAKRASTRRVDKEAAGMDVTSKISPCMSSLPILAKLLPQQLHETSSPSDLHTKCDQHGELIFEFFESEPPFSRRQLFEKINELISGVKPSNCQISGDPKSLELSLRDLHPTSWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWILEGTSEQAGHTRAVLPVIGLQSYNDKGEWWFEVSRSSAEEAEWTEEESAWSEASQAVKERVRTLNEAAGVMSSANVVKEQGMCRNRHPDYGFFLSRQPRR >OB06G35980.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21687392:21690618:-1 gene:OB06G35980 transcript:OB06G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATASTAAPLHLLLSSSRRRRLLAPRAHNDSTTTGRRRFIADTAAVAAAVAPLVLPRTPLARADQTPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWSPRSIASAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLITEDFEEASVQSRGFGLLDVGYRSVDEAWAAGGSGVLLKTTNGGKNWVRDKAADNIAANLYSVKFLGDSRGFVLGNDGVLLRYVG >OB06G35990.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21692420:21694163:1 gene:OB06G35990 transcript:OB06G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) TAIR;Acc:AT5G20040] MQFGCRRPAAVWKNLSSSAAASLPPTSKNKVIVISGPTGAGKTRLALDLAKRLSGEIISADSVQVYRSLDVGSAKPSPSQRAAVPHRLIDILHASEDYSAGDFFRDARAATDDLLGRGRVPIVAGGTGLYLRWYIYGKPNVPQSSMDVTSAVWSELARYRDTGRWEEAVDLVAKAGDPKARDLSVNNWSRLRRSLEIIRSSGSPPSAFTLPYNAYNQRRLTNQTDADQPPKELDYDFLCIFLACPRVDLYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFFEFLTKFQTASRNFSKRQLTWFRNEKIYQWVDASQPFDAISQFICDAYHHCGSRVVPDSLEMKRESCRHESRDLKTYRSENRVFRGDDDCSHVLDWITRTQKRNRETALLMYLFGDVAIGDSALFLSETYYTLYSEKFEFWVLNISSFKKKGLLNEREITLALLPASLFVADAVSVSESRNLLGLKHHLQIAMENWR >OB06G36000.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21696665:21699134:1 gene:OB06G36000 transcript:OB06G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDDFAVVLSPDRSPPSSPTPPPDEDYLEHRVSRMDTLAGLAIKYGVEISDIKRANSLMTDSQMFAHKILLIPLPGRPMPSSVRLNGSGQRTKRAWAPNNQKNRYVMDSLDSSKHKCSQQQMSVAMSTLQTYYGLTSQKGGITDGGTEMSLYSKGSLERIRSETLDSSSGLPDTHNTDRSGNSEDTRNGSSVTNGAAGTNTNGTTNSKQDGSMRRRQKVEADPQDDVLSDPIKMIKSLLPRPISSIRLNMDTSNPDSSVKSNGSFLSGFKYVRKSPVTPNFADAENGISKWSSSAWTFNHESFTRPLLDGLPKPTAPRRTKAALD >OB06G36010.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21699692:21701356:1 gene:OB06G36010 transcript:OB06G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3MHV7] MATAAAAMVMVVMMMMVTVPWTAEAARQPKRKMTYEEVFDRQEADRVQQLPGQPGEVGFRQFAGYVTVNETHGRALFYWFFEASKDVASRPLVLWLNGGPGCSSVGYGALEELGPLLVNKNETLTLNPDSWNKEANLLFVESPAGVGFSYTNTTTDLANFGDSLTAHDALAFLVNWLKRFPQFKGHDFYIAGESYAGHYVPQLATKILQHNSKASKADRINLKGIMIGNAAIDSSSDDRGIVDYAWDHAVISDDLYAAIKGNCTFPDDGNETAQCDTAWNGFFAAMGDIDIYSLYTPACTAALNGTTNGSRFADTPLAKLHRAGLPYNTYNPCVDYRVIDYLNRGDVQAALHANVSGAIPYSWSPCSDALTNWTDAPVSTLPAIADLIGAGLRVWVYSGDTDDRVPVTSTRYALRKLKLKTVKPWRQWFTSDQVGGYTVLYEGLTFVTIRGAGHMVPMITPAQASQIFAHFLSGKEMPVTPLVAAA >OB06G36020.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21704852:21708835:1 gene:OB06G36020 transcript:OB06G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKKPPPPAADAEADERRRLRSLAFSNGLLQRGEPAAPRSALPPSSAVARLQGRDIVRRGGPREARFLFSFPGLLAPAASGGRVGELADLGSKNPVLYLEFPQGRMKLFGTHAYPKNKYLTLQMSRSAKGVVCEDVFESLIVFSEAWWIGTKEENPEELKLDFPTEFQNDGAAADCDFKGGAGSTGDEAVTTNNPGKETTEYLSPKIEFDIDASEDSDHKDENNTLSTSQATPIRQSARTAGRALKYTELSSGDDSSDSDNEIDVPEDMDEKQMNSPAIKNESESEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTISPKGTPATKGPAAKKQRANPKEKQPAEKKAASRSQKKKKSQIEDNEIEVLSSSSQDNNVDDDSDEDWAE >OB06G36030.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21711049:21713171:1 gene:OB06G36030 transcript:OB06G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19970) TAIR;Acc:AT3G19970] MAVAAFAAVSSIDLPDRLSHHKLPEPPVDDVSIPATTPDVSASAMSPAPAMSRLQFSPCNLQNLHPPAAASLPVIQTVYHYAKFSRPSEEEALTTVSSSSDVLYRWHLPDPKVCRDSCGGRSQTVVVLLGWLGSRQKHLKRYADWYTSIGFHAVTFTLPMSDIVSYNAGGKAEKNVEMLAQHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAVDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSIAAKGLGSNNARPDVLVVESNKDHPKPAVTEAILLSALEKLFDVVLNYPTINRRLSGVMELLSLKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTNFLEDCVLARCQEEEEEEVTN >OB06G36040.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21714701:21715137:-1 gene:OB06G36040 transcript:OB06G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACPLLAAGCRPPSLASSVLAASPDACRSSRQTAQVPQRPGRTFIPLYTRKQVSTERYERYREKRREPHTGWRPRGNLCILPVPRRTRPSRVLGPMDKMTSIPFL >OB06G36050.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21716388:21717577:1 gene:OB06G36050 transcript:OB06G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:J3MHW1] MSHNSGSAPGTEPQNLASATAQEPTSPAHGAQRWLRTLSDPELDLLISLKDLAFTHADNAKLSVLADQYDPQILRALGIVLLETLKERLKGTSIDPSIFDRLALSSDSDAHFPSVASDSESEGARSKPEKTPMVVDGKRKQIQPTGWLGEKGKKRRKSVSQDSSEHI >OB06G36060.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21720184:21730285:-1 gene:OB06G36060 transcript:OB06G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G50380) TAIR;Acc:AT1G50380] MESGSVFHLLSSPMVESIDLWEWEGGGVWSPWSEKGREGRRGRACVRRYGLIGPNPKSNGPSRSLSLSSSEERSGRRRRRSMRIPFPVVGSLLSSRSRPPFSAFGSLLSSRFSAFAMATPPPVPRKVPRELLQHGDVRVDNYYWLRDYSRSDPDVLAYLRDENHYTTTIMSDVKKLEDDIYAEIRARIKEDDIEAPLRKGHYYYYKRTLAGKEYVQHCRRLVPTDGPITVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTKGDEIYTVYIIDAESGKYIGQPLEGITSDIEWAGDDYLVYVTMDAILRPDKVWLHMLGSDQSNDICLYHEKDDMFSLGLRASESKQYLFVESGSKSTSFIFYLEVSKQNKELVVLTPRVNGIDTTASHRGNHFYIKRRSEEFYNSELIACPLDNVAETTVLLPHRESVKIQDFQLFENHVAVYERQNGLPKVTAYRLPATGEPVGQLQGGREIDFVDPAYDVEPEQSQFGSSVIRFHYSSMRTPPSVFDYDMDSGVSVLKKIDTVLGGFDASNYVTERKWAAVSDGTQIPMTILYRKDRVNLDGSDPMLLYGYGSYEICIDPNFRRSRFSLVDRGFIYVIAHIRRGGEMGRKWYEDGKLLNKKNTFTDFIDCAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNVKAQGYPNILVTAGLNDPRVMYSEPAKFVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMAPKASL >OB06G36070.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21730615:21731602:1 gene:OB06G36070 transcript:OB06G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGNHDTIAQQLENPTLPAPLHTPEVEEASKENITPREDSDKVNDDDDIPAMKFRNYLPHDEQLRGGKLAPVSLPNFEDPISAETAEPKQLEDPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIADIV >OB06G36080.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21735189:21744623:-1 gene:OB06G36080 transcript:OB06G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSFVLRSGVRTGLKREFAFAIASQAALSTLGRTRSRSSSSSSPPVPKRPRPRPSDPPAPVPQTPDPDHHKDPSSPRARRITRSMLHAPLLDPKLDRRFTRSLLLKDDKDLSATTTTTSSSSPSSSTTTTTTTTKMELKMSKKISLSRIPKNLKELLATGLLEGQPVKYIMRKGKRAVLRGVIKRLGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLESAIQNAIGPAPKKRTFRCQACKSSFSTLRSGKFALLCDSCLESKGSQNSTRTSNIGWNPTSSARRSKNESPGAKYRNSSARGSKNASPGVKTTSAGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEIGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSRGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECIGFTTIPKGSWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRISGFMDKQSVLSLSKPSYDDELAIPG >OB06G36090.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21747771:21748626:-1 gene:OB06G36090 transcript:OB06G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCCPGTPARGPSPSSSCRILQATVVSSRTTHRRVAALPETAAACVAAAGLVGVAATFLVGRARPAAAPRREEEEEEECSDCGGTGLCPRCKGEGFVFKQLPEEAASRARKAAKNMATRYTAGLPTKWTYCNRCSSTRSCTTCGGSGAIIATTKTTN >OB06G36100.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21754815:21757388:1 gene:OB06G36100 transcript:OB06G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRSSGGGALHCCLAFLFKFLAFLQAFAAVSVLLYAAWILSRWGRHHHLHLRDLLPDLWFVCALMAAGLFYCLLLLAGCVAAEINSACFLCFYTILVVIMMLLEAAVAADLFFNKNWIQDLPYDRTGELNNLLSFIQSNLDLCKWAAVAIVAAQAFSLLLATILRTMVSTLRADYDSDEDFVVIRRPLLVAQGAPAYLPTTVDSRGFHPDLWSSRMRQKYGLNSSSYTYNTLDPNAPPPQ >OB06G36110.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21758251:21779648:-1 gene:OB06G36110 transcript:OB06G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMPCSSAVTITAAAAAAPSSSSHPEVPRLRARPGPLRLRPAAPRCSSTSYNGWADLAAEPDLAFPLQPSHTLLPLFLLPVAALSLPRLPPLPLLAAAFAAGFATRHLASTPTHSHRLAALLADLDAQLRALSSSSSSSDPTILLDAANQLRDATRLAAQGGSTTMFGDAVKEAASYFASLARDTMRDLTFSSPRKKPKETKAAAAAALTLDTANSPSPQAQQQEDGNELLGSATNANTTHTEDKPLAARSARGSPIALDMLPFDMDDHGLDDPGFGAHRKEDDGVERMVSKRRYGRHTVRNDCFQQGRLAAESMESPLLERTLEIRDRSYRLKIECRGSKSQPNEARQGASDHIVDNPTSSKSIDDESIAMDSDGEEFSHNVIEAAEVLRKARECMMARDDEETADSLLYKSARLLSTAVALKPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLVNSGALLNGRYRVSRSRKLDRRILSRENISSALIDVCEECESLLVEAGRSYRMALSIDSSDVKALHNWGLALIFRAQLLADIGPEAAVDADQVYLAAIDKFDAMLSKSNTYAPEALYRWGIALQQRSYLRSGNNKEKIRLLEQAKSMFEDVLYVEADNKTKNPKFRQEIKKAPVFRPTEEEFADPLAFVARIRPLAEPYGICRIVPPPSWSPPPVLDVSSFSFPTKRQPINRLLARLAPADPDTFLLDYRRFLKASSAHQRGRKKALPKSPALSDGRPLDLCRLFHAVKRFGGYDGACAGKCWGDVVRLVDDKAPRHVSECAKHVIAQLYYEHLYQYEQFTNRLPSQNRKQGACGEVESDDQPSFPGLQDGGRDGSDSEREMAGEVSAVGSLKRRNSILRKNSTMASHGHHGTTSWKRKRRKVHATPAMVNEAMDQVCEQCNSGLHGDVMLLCDRCDKGWHLYCLSPPLKSVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFRRFDERVRKRWFGQKSPSRVQVEKQFWEIVEGKAGELEVMYGSDLDTSIYGSGFPRLFDPMPSSLDPVMWKKYCSSPWNLNNFPNLPGSVLRTVRDNIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSILQANGVPVYSVMQEPGLNCAEAVNFATADWLPHGSVGAELYRLYRKAPVLSHEELLYVVAKNGVDNESLPYLQGEMERLFVKEKKCREELWVNGIVKSSLMPPKDNPNFIGSEEDPTCIICRQYLYLSAVSCNCMLSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLVCEVSKASLPRENVKQNSLLHNDVCLPERKGVLSAHFEDKDHSLSYAQLAEDWLSKADHILHMPFLDTAYGTALEDAEQFLWGDHNMDSVRSMSAKLIEGKKWASSVRKCLSKIDGFLRCKENCSEKVIYVEIKELTAVRCKPCYEPSLTQLQVYVDKGEIMINEINDALSSRSTVDYLERLYSRVLEFPVELTETSALSCEISSAKSWLKKACDCLEQHKRGIVDIDFLNELKSKELENFLHVVDGANFSIPELNLLNQRYSGACSWVNHANNMVRNLLERNDHHNIVEELTLEEFSVVEKELKKSFCRKQASEALATPSSMEVVNEVIKEASILTIEEEQPFIDLSYKLKTAITWEEKARFILEHSAPLSEFEDHIRCSGNIHVILPSELDMKAEVATAKLWMDKCETYLRPRSDKPASEGFLKVDDLKDLISQPASMKIILDTSALSSVLTNVIEWEHNSQILLHSSRTLLHLNVIDSTIDPLKRNLEDLQDKINAEIEKGLSLGFEFKVLQELKDSFLILGWILNALSFCCVTPLLQDVERLIQQAVNLPASLLAYTLAEILMKGSSWLRKALMFLPDSEMSVKSRLINVENILAEYKEIAVPYPMMVAKLEDAINNHNSWAEQCNAFFMLPDHQSWAGLLRLRDSGQYAAFDSTEMDKVVLEIKKIEEWLTHCHCTLFPDGNNSDSLLPTLLRIRGSMDHASMLYTEDCKQKGFCAICSFGLGDHTTSRCVICQDRYHSSCVEPLLSSMQLAHEWTCPFCFHLENGDLLQNGLQEKIRKGNRPALPALIELHSFAKGFCSGVDELDLLEEIAEKAHKFKSYLMQILHDADSYHGEDLSVMRRSLLVALKATSAAGLYDHQISCSIESLFSQYSWKKQVHFLLCGGKKILIQQVLSLDNEGSNLEICGEDFFKLEINKIKETSLHWLAKAEKTTLDSGELALDLVYGLITEGENLTVHVEKELKLLRDRSVLYCICRKPYDDRAMIACDQCDEWYHFDCINLYGPPPKTFYCPACRPNNGGEYISLPCLTHEEDKSTDKAVPHTPPASCDEAGRAEAIRCHSNSQWERAHIRVDLVKLLRCHSETDNSWRESKRVLHRTARRRSNFVGLL >OB06G36120.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21780028:21782365:-1 gene:OB06G36120 transcript:OB06G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:J3MHW8] MPESNGALHDDPFAAPDNGPVLPPPNEMGADEGVLLREWRRQNAILLEEKEKKEKEMRNQIILDAQEFKKAFVEKRKLNVDTSKSQNREREKLFLSNQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMARMRQILLKLKHTPPPHMKPPPPPAAAAGKDGAAGKDGAKAAAAPSKDAPANGSVPEMEKAAAAAAPAAAAAEPIAAA >OB06G36130.1 pep chromosome:Oryza_brachyantha.v1.4b:6:21785626:21790523:-1 gene:OB06G36130 transcript:OB06G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTGTSARLRFIIVSFPFPFCFTCLCVPYHPRLLGLAHRQAAAHYGREALSTAPPSLRCTFPLPLPTKANRPPPAAGASMNMKPPRRRCGLLAVLVALIVLASLQIQFHHLKEDRLAGDLTFATTTTTTTDRLHWRRKRTGAEGQLPRGIVHSHSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWRNLEWSDKAVHILAHNQTKWWFAKRFLHPDVVAIYNFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITTRNKMTKVHRRIYDNRASMNCSDESKGPPCTGWVEGMAPVFSRNAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKQRWNIAVREDEEWTDPFEA >OB07G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1404:3379:1 gene:OB07G10010 transcript:OB07G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVILVPLALVSPVFLLMPRSPAGVGGAAPSTPRPGLPSTPSYSSPGAPGAASMSSTSTATWISSTLTPISSSSSRKSQGVTRRIVQVLTPKGYRKACRSWPCGGGRGDGRRQPGLDGARVAAGGGGSSHGVLSSSPSSRRRRKTEGCGGGCRGDGRWQPVLDGAGRRRRRAAAATACCRRLPQAGDDKRIPFSDPIEFFSISICILAVDQGQNSPLIRKERENMNEKKKIEEKMRKWDEIKKIRAPYDTV >OB07G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3435:3860:1 gene:OB07G10020 transcript:OB07G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKRSRLTFSLRRCLTTASPPLRPSLASPRGPPRRTSRPRRCSRCLSPMALCISPLTSHLAAAAARPHPRPRRRSWGLPRAPLPPRGSLLPPSLVLRLSKPKGDTAPPRSEVVARVRNAYHFEGQCAERAICLMKCPSD >OB07G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10241:11197:-1 gene:OB07G10030 transcript:OB07G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGSGVVTVYGSGTNGAALLEPSNHKSATFSVKVGLAQMLRGGVIMDVVTPDQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDSHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKVERFAARSE >OB07G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10593:11165:1 gene:OB07G10040 transcript:OB07G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSARMSPMTERTWRTASTTLPVPASPLVRIMAAPSRMRRRASPRSRQPQTKGTRKLCLADGFQDLGLDEVADAGLGHDGDGDGALDVADEARVGHAGDAALARMSAGTRSRAMTAQAPASSAMRAWSGVTTSMMTPPRSIWARPTLTEKVADLWLEGSRRAAPLVPEP >OB07G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17602:21658:1 gene:OB07G10050 transcript:OB07G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNSGLPPPALVSPPALKPSPLRLHRSNHPRKPLCLPCAPPFSSDTDTAASPLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNEEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFVGDGPFRAELELMFTGMPVVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYTAAIWFWRKKRAQVLRPIQWVARRLFRPTPAPSTTNQS >OB07G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:21955:22844:1 gene:OB07G10060 transcript:OB07G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAGCRPQIFSTAGHLHVPLDVGYCCTAAEHIHAIALNSKENPLLLYFSPVEFDGKCTMLTSTPYDYMLMGNTYTEKIVAFVGDYVGR >OB07G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:32815:35623:1 gene:OB07G10070 transcript:OB07G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPTPERPEASSGDDSRSGEQGDAEASTAQDAPHRPGPGPLRQQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEASEVGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGVPTLFGSLWPSCNMQIFGSLVHTVTMPDKLHRL >OB07G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:36474:38291:1 gene:OB07G10080 transcript:OB07G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIFAGDDGHSEQLALLNNDHEVSEVCIEEISADNTGRSFLIRISESKVLYYWCAEKSKKSGMHLLAKMKNLLQGRPTLSDLTGISNSRLDGFATHLHAYLLASSIGDVKSPGSLNDCLSASSPHDHYLQPSSVVSKSSRFRTSASNAGKASSVYQASLSPRSGAFKDGVPRMSCAKIAGRDKLKRRGDWLSSTGPVDANLSMPKIDNSDSVSEKCDGDCSENSASSPPLDLPLSFPLLPSLFPLATQYPLPMVSSEQPFKPYYCWCPPCPPSLQYSVTPLHIPVTSVEPLPLPPLSSLLSNDQPPSSTVSAKMDTTDLPSLNLPSILHDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGASMSSTVPLLPSLKPLIPETESLVERSARETLMRLMASTPSASNSQLVNILPAVLTDVSKKNVKKHVGVHPGDRLLSSSCSINGLAVTEDETSVGDGAHATLAEYDDIGDPQHFQSI >OB07G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:40139:41881:1 gene:OB07G10090 transcript:OB07G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTTDGTTDHGGKPAVRSKTGTWRACPFILGNECCERLAYYGMSSNLVNYMVDRLRQGNAAAAASVNNWSGTCYVMPLVGAFVADAYLGRYRTIAAFMALYILGLALLTITASVPGIKPPYCGGAVAPSAPCGPSGAQTAAFFVALYLIALGTGGIKPCVSSFGADQFDDADPREHRSKASFFNWFYMSINAGALVASSMLVWVQMNIGWGWGFGIPAIAMAVAVASFLLGSKLYRHQKPGGSPLTRMLQVVVAAARKSRVVALPADAALLYEGEGDNKTSCSGRRRVAHTDQFRWLDRAAVGTAEDGGGPWRLCPVTQVEELKTVVRLLPVWASGIVMSAVYGQMSTMFVLQGNTLDPRMGASFKIPSASLSIFDTLSVIAWVPVYDRLIVPAARRFTGHPRGFTQLQRMGIGLVISVFSMVAAGVLEVVRLRVAAAHGMLDSTDYLPISIFWQVPQYFIIGAAEVFAFIGQIDFFYDQAPDDMRSMCTALSLTSSALGNYLSTLLLVIVTAVSTRGGGVGWIPDNLNRGHLDYFFWLLAVLSTVNFLVYLWIAKWYRCKTAATTTAASDEADAQNA >OB07G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:41976:44862:-1 gene:OB07G10100 transcript:OB07G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDDKADMLQVSEDTAHQISVDPWYQVGFVLTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGKKMYSLTWALQYVNLFMINTGFIILAGQALKAIYVLFRDDGLLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTLFSLIYIVIAFVLSLRDGITTPAKDYTIPGSHSDKIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTIGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRYGSGHGGPFAIHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRNKLPTFQKFWHWLNVVVFSLLSIIAAVAALRLIMVDSSTYHLFADL >OB07G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:51621:52541:-1 gene:OB07G10110 transcript:OB07G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGIALLLLLLPPPAAPFSIDFFPASPSPPRLALSGAASLRPTAVSMASPTARLQLTDPVALGPAFSTYFSFSLSPPLGPGSFAFFLTPAAVHHPLLLALVYDSAGRVRLDLAGHTVAASTQAHPSAHLHSWIHYNATSATLQLRLSATSRRPALPLLTLHPLPPSALLLLRTKPMIAGFTSSAANCTLFAWAFRANSYPIMHMHSQPLDPAHLLTTPPPRRTPRPQHYPYPWGAALSLLFAAASGAMLTLFLLFVWYSLVARRRPVAPVTPSDVVYEKIVLVGVKDDDAAAPPLALAVPGNK >OB07G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:53474:55367:1 gene:OB07G10120 transcript:OB07G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRMNSSPPTSSSSSNSKSNKVTPAQVAFLVDRYLADNGFSASLAAFRSDAAHLFTKAAPVPPKGLLPLADILHDYVHLKESRLAVDSAMHAMHNLVSSYYLHHPPPTTPPSPPASSSSPPGPAPTLASPILRYTHTQTQTQTSSSSLVLHSHSHNASDATALPTSNKRKATKSAGKAASASKKTCTTSHPKGKTVACQPSTDNPDHNMLIPGSVAAQPPSALLKLPLQGSSVAKSLFRPLQSQSQSQSQAHSSPCTPQQSHSAQGRPAAYQTERPPSVLANAHAQQELPSSQCSIVSSKTVIVSPLKGAAYYAVERSYHVSSPLKSKSSSKREHVKGKLDFGTSDATPSSHEQICNHSPSSSEGEKQDEFGIDLTNFDIFDGDFSFSELLVDLDLDTEGFQCHDPSISAEVQRLDPVPRSDYVTAVPVLLGSAKPMSEDATEDINSQGPASVTSVRAITKRIKIVSPGMLMICACHVSNIQQIQKAPNIGLVICIAVKGRTAP >OB07G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:58935:62835:-1 gene:OB07G10130 transcript:OB07G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHASLQTLDGCAVADAAIVEHTFHVGNLTVERLGQRQVITAVNGQFPGPKVEARDGDTIVVRVVNKSPYNITIHWHGVLQRLSAWADGPAMVTQCPILPGAASYTYRFNVTGQVGTLWWHAHVSFLRATVYGALLIRPRPSSRYPFPTPHAEHTLLLGEWWNTSTGGLVDVERQAFLTGGQPANSAALTINGMPGLHAHQMHHLHVARGNTYLLRLVNAALNYQLFFKVAAHAFTVVAVDACYTDPYHTDVIVIAPGQTVDALMHAGAAPGGRYYVAAQVYQSIANATYSATARALLLYDYEEEEDQQHQEIMMPTMPALNDSATAQRFYGRLTGLLRDGKPTVPQRVDTRMLVTYGLAIAPCAPAQTLCNRTRGSLAASMNNVSFQLPASMSLLEAARAGRSAAGVYTRDFPDRPPVMFDFTNASVANGNTSLMFTSRGTRVKALRFNETVEVVLQNTAVLGPENHPLHLHGFNFYVVAQGTGNFHRQWAFNFLG >OB07G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:64652:66877:1 gene:OB07G10140 transcript:OB07G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74580) TAIR;Acc:AT1G74580] MPPAPVPAAVMKASTVPAYCSLIRQLASTGRLDAVDAALASARSRLDPASLHPLYVTSIRAYARAGLLRHAVDAFERMDLFACPPAAAAYNAIMDALVHAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRTLPDRGWDARPVAYCTVVCGLYAHGYSHDARHLFDEMLHRHVFPNLASFNMVLHALCKKGDVLEAGLLLGKVIQRGMPVNLFTYNIWIRGLCEAGRLPEAVRLVDDMPTYAFPDVITYNTLIRGLCKESMPQEAMQYLRRMMNQGCLPDDFTYNTIIGGYCKMSMVQEATEVLKDAVFKGFVPDQVTYCSLINGLCADGDIQRALELFNEAQAKGIKPDIVVYNSLIKGLCLQGMILHALQVMNEMSEDGCHPDIQTYNIVINGLCKMGNISDATVMMNDAIIKGYLPDVFTFNTLIDGYCKSLNLDGALQLVERMWTYGITPDAITYNSVLNGLCKAGKVNEVNKTFEEMILKGCQPNPITYNILIENFCNSNKLEEASKVILKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYPVTADTFNTLIGAFSGKLNLHMAENIFVEMIRKGHSPDSYTYRVLIDGSCKTANVDNAYKYLVEMISEGFIPSMATFGRVINTLTVNRWISHAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYTTYEVLHEGVRDNKLTRKHRMLFLNNFSHS >OB07G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:68196:73425:1 gene:OB07G10150 transcript:OB07G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHENMDVMVCIEFNELTDYYIYSNKSPINDLRENHMRKIDMLTITANWVCWDKCRWCSTAHHSDRSQEMTIRFDMRGPTEPHKAESAWSTCNQTGRILTWGDVYVDKVIRDTERDLYGNLIEGKNQIVLSTFCNNGQYQSNPLCPIEAALLSMSSHSYSLGEELIGKVALMGQHCWISSNEISSAFTYKYHEDWQLQVAAGIKTILLLPVVPHGVLQLGSLDLVSESSTSVSVIKDLFYKLYDAAISGNSSGTGFGYSHTWRQPTAMLPMNSSDVIPHDLFYSIKGSARLLNNDHLGLPHASPMLEFPLAEDSIVSIYGTSLAALSVEPLDCNDSDIWTNVHEELSQFTRFNTVPEPDKASISYMDKLINSDSKMSSRSVSHVENPGYGNIDHFILTEMEHEKQEHINNNTSVNDDAVTSNSSFHSELHKPLEPIPSDEREDYMWHIRRLRQQEPTSSALPEENGNKAGLHKQQENNDYAELLLDAIIGQVIWASNSVSSHSTDSPISCATQIQKEDHVVRMDESSLPKLPGGQDFSLISINEGFMSCAMTDSTPRETNKTILVEEFISDPIGGIHRETSIEIKGRCRKAGLHRTRPRDRQLIQDRMKELRQLVPNTSKCSIDSLLDKTIAHIQFLQSVSEKGDKLEKIVVINSESEESTKWQTGNCPLKVEVLDKPGHLLLEMLCAEYGVFLEIAHVLKGLDVSILKGLLESRSDKLWARFVIQVRSTIISKRLIRIDVHLTGFTRLRPDADSIPTNASVAEAELELSSTHHAYIYCLALHG >OB07G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:73704:77639:-1 gene:OB07G10160 transcript:OB07G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ/MATH-domains containing protein [Source:Projected from Arabidopsis thaliana (AT2G39760) TAIR;Acc:AT2G39760] MTVPPPTPPPSWSRSVTETVRGSHQYTVKGFSMAKGMGPGRYVTSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRGRHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLESSDFLKDDCLVMNCTVGVVKNRLETPKNIQIHIPPSDMGRCFKNLLNLGIGCDITFEVGDDTVQAHKWILAARSPVFKAQFFGPIGNPDLHSVTVEDVEPVVFKAMVNFIYSDELPSIHELAGSVSTWTSTVVVQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDSATLNRKRGVSGNEGANPVESVEASERRIRRRV >OB07G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:78347:78508:-1 gene:OB07G10170 transcript:OB07G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWESRCPYNHVLYFSLFDTYVTVVSMYITVTRYNFDFSLQCSTCLALKQGNS >OB07G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:82450:85029:1 gene:OB07G10180 transcript:OB07G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLESAWEFLITHFSEFQLASIGTFLLHETVFFVSGFPSLLLERLGLFSNYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLMILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKAKAIEEKVWKHL >OB07G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:94991:95422:-1 gene:OB07G10190 transcript:OB07G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIDFAVVVLIVPRKYSAETYHRPFIQVSTEERIQTKQSSLSSISIYLVTKVSQPTQSRVYTIIIFLFAADAAASSELPLCLPSLATAMLREEGCSDLLLYSLKLASPSTTGVAATRLNFVRVRVLVAGVPPQNSLLTLLHFQN >OB07G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:103021:103959:1 gene:OB07G10200 transcript:OB07G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMDRMAKHYWAMWGATASGAAVRSAWPPAHGEPSWEEQAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCASSPEPADREDDLQVQLQQPVVSLCAPPPPVSADHQLPAVGNHSPLLRLSSPNSTAGDSVHHQDQQQLRAAIATTYNSSSASSPNSCIATIIKESRNKARLFTTMPPPPAAAAAAATTFGLGLGGDYVKEKEDDENSLEERKRKRRRVDEALTPTAAEGEGRRREADHPDQDANKVITSSPAPASSSSASAALLVHHRLAIVGRQEVDLELRLGTSPA >OB07G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:110159:113799:-1 gene:OB07G10210 transcript:OB07G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraacyldisaccharide 4'-kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G20480) TAIR;Acc:AT3G20480] MLRRLLGRIAATPDSAVPSLPFLHRALLLPLLSAAASALRLPPLYSPPPPPPPPAASPLRLLSLYYRPRPRALPVPLVSVGNLTWGGNGKTPMVDFLARSFHHNGVSPLLLTRGYAGGDESRMLCRRLSDTSAKIAVGANRAALATSMLTKYGYYYPHLHLNDPPSPSAKIGVAILDDGMQHRSLFRDLDIVMVNALTPWGNTHLIPRGPLREPLTALTRAHIVVIHHANLVSEAQLKTILSTIQDNGATCPVFSSKLAPSHIFEVNQPAQRLPLHVLHNIIVLCVSAIGCPDAFIHSVKEIGPLKIERLDFSDHHFFRAHDLQLIQDTLTKLVHQHKNDAIVLVTEKDYDRDPDALRTLDAKVLVLSSCLQIIPHEAQGDDEFMRKVREIIATSRNAKLEREMA >OB07G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:125029:129597:1 gene:OB07G10230 transcript:OB07G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISDACLTPAISVLSAVGGLKEKAPHLNTEQVVWVTVGILVALFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRSYPEQVADAFYASTPQLLFWPTFVLALAASVVGSQAMISCAFATISHSQAMGCFPRVKIVRTSRQYQGQVYIPEINFLLGAAACIVTLAARDTVVIGEAHGICVVLVMLITTLLLTVVMLLVWRVNVWWVAVFLCVFASTESVYLTSVLYKFLHGGYIPVAMSAAAVAGGGGGGLRGARAGVQVRAGADGVGGAGEGADDGEGAAACARRGAVLHGPGAGHPAGVPAPDREDPVHPHRAAVRVGEAPPRATRGPVGAVPFPAGGAAGAEDVPVRGALRLPRPAGGGARLRGGAGGAAAVLRAGREPVRRRGRGGAGEGELPELAVRQHRHGEVGVVRGEGAAAEDEVGGEGAGAAAGAAAAAAAVLVVFDGGRGSARGGDADAGGVVLGDGERDGGGGEDEHGGDGADRGGAEVHTEGDGERGGVHPRGERGGGAAAVVAAEAPRRQLRLLLPPPQLPPGRQDARHPQDPTPQGRHVLRDLNHINHFTFTLLY >OB07G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:132771:134006:-1 gene:OB07G10240 transcript:OB07G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASNDVNHGWMDQIEAEYGFGGLMEEKASKRWRVEAAVEMRKAAEEKAWWKSKARCAAMALREERQHRRQLEAANGRLLKKVERERKAREVMEAACEQLSKEVEEDQAEVEALRRECVAMREEMEEERRMLQMAEVWREERVQMKLSDARAVLEHKYAHLNSLHADMDSFLRRLNHNKHALLFRTRMADAAPPPRPANPPFLSAPAPAAHDHNLLFDHFRRNTTHTNNTDASPSSSVASPATDLFLEKADADVDVDAAAAGGGWAWETPPPAAADRAASTSNSASNNNNNGGSGVTEEGGSGRSRRSGNFNTALIRRLWRSALSESRKKTAAASSSGRSRVVQSGCSPSYSDRNRDRDRDSARSSVIDPASQAAKEEEKKKKKKSLMEKLMEARMDDAEDRQKKPQAAA >OB07G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:142004:145291:1 gene:OB07G10250 transcript:OB07G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDLLLEIQSYSQKRWEEGNVFEAEPGSKTPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLHGSNVLLPFAFHCTGMPIKASADKLAREAQQYGNPPVFPELEDDSSAEVANDSQADQGASVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLLDEEIAKFRDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVQWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPQLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFVLTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFNDIIYSLPMLTILTDKGTGIVTSVPSDSPDDFMALQALVSKPAWRQMFGVKDEWVLPFKVIPIINIPEFGDKSAEKVCIDLKIKSHNDKEKLAEAKRMTYLKGFTDGTMVVGEFNGRKVQEAKPLIKKQLLDKGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHILQNGNMYGTEIFSVRPEQMTDEVWDYVFCDGPAPATDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPCGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRIGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTALITPICPHYAEHVWQKILRKEGFAIKAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGASAPPSEENKLTVGLIYVNEHYYGWKEQCLRVLQSKFNGQVRSFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKAKKDEARSVGPHALNLKLPFGEMNVLEENLELIKRQVGLDHVEALSASDEIARAKAGSHISMLDKTPPSPGEPVAIFISKQEFEAQH >OB07G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:146331:151287:-1 gene:OB07G10260 transcript:OB07G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGGLIALSLCLQVLLPAFAHALHHRIDDSAGGMMPELSPTPLVPFLAPAGAPLAPFFNNTTPPKLSGNCSLNFTAVNELITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVANYCLSDVQQLLLSQGASDELRTICSLHLSNVTEGSCPVSTVDAFESVIDSSKLLDACQKIDPVNECCSQTCQNAIDEASQKISSKDAGLTTYTGSPKLDSCRNVVLRWLSSRLGPSSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECSGTVKNSTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGGKLQKMNVSMNVYSSCQITLKDFSLQVGSQESGCLLPSMPSDASFDPASGISFTCDLNDNIAAPWPSSMQASSSCNKSVNIPERPAATSAQNGVSHNRLELSLLISLGTLLVAVSLQM >OB07G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:153865:156813:1 gene:OB07G10270 transcript:OB07G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQGWAMAPPYYYHGGSPPPAPPLPPXXPPSSSPGGSPPPAPPLPPKEKEEEEQQQQQARSLWIGGLLPWMDEGYLSTCFTRSPELMSVVIKRNKQTGQSDGYGFLNFADHASAHQILHSYNGQEMPNSDRDFRLNWVTTAARVPNAPNHAIYVGDLAYDVTDFMLHHVFKSRYPSVKSAKVISDKLTGHSKGYGFVFFGDANEHRQAITQMNGAYCSTRPMRIGTVPNKKVPSHDTEVSDSDCNPDNSKLFIGSIDPSVTDEDLKQTFSPYGDLVHVKVIVGKQCGFIKYSSRASAEEAIRMLNGSQLAGRSIRVSWCRPLSNKQDPNKQSYTSHQGYGYDQQQVSVQLCDSCKA >OB07G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:157223:157513:-1 gene:OB07G10280 transcript:OB07G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEKATRMKDMRCSERIKSRVAASRAWLAWKEMMSHVHMLQGPSTAFTTITDPAHAYQGHALHTLPSPCILIISSSLPTPLQLIKPPAYRLSVTY >OB07G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:157950:161171:-1 gene:OB07G10290 transcript:OB07G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 1 [Source:Projected from Arabidopsis thaliana (AT4G21790) TAIR;Acc:AT4G21790] MASSSSSPAPLRLRLRGLWAWQDGGGDGDGDGAFFSLSAAYALVSFVALIQLIRIHRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHLHVFLLSTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIIYIAVNTIIYVIQVCIWIYLGINDNPFVELVTKLFIVLVSFVALLGFSVYGGRLFFLLRRFPIESKGRTKKLYEVGTVTAICCACFLIRCIVVALSAFDPDLSLEVLDHPILDFFYYMFTEILPSALVLFILRKLPPKRVSAQYHPIN >OB07G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:165390:167961:-1 gene:OB07G10300 transcript:OB07G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSSDDAKAVADQQEPSTPSSAFKFNVHAPEFVPMSPTAASPMSAPAGSSVFYSPFLHMQPDWSFFHEHAEPVFFMPDFAHASKFATATAGAQPTKPTSAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFSEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPTTPRSSKSDTLVSNKMHALVEYESSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRTDFDHLVLASDEDVHSPHSHVSSSDSSSPMAMAMADYSPSPDPPLLLHADPQEQQTSNKNSKGGGGGWARGGPRGMNKQLQVLPQSAPAGSVAHFDPASPRHNKLPASPRHKQCPCPSSPRHPHGPRMPDGTRGFTMGRGKPLPPPPLLL >OB07G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:171728:178291:1 gene:OB07G10310 transcript:OB07G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MHZ9] MHACKSVDLVVACLLEDQGVLSVGATCCSLDRGSVGVDRSSSSGTCWLMESLRWVLWVILMAVGGVLGVEARARRPGNVTIGALITFDSVIGRSAKTAIELAVADVNRDGSVLNGTYFSVVEQDTKCSGFIGIIQGLQLMEKKVVAVVGPQSSGIAHVVSHVVDELHIPLLSFAATDPTLAASQYPFFLRATHSDLFQMAALADLVAHFAWRELTLIYVDNDYGRAALDALGDQLDAKRSRVSYRAPFPPGADRTTISDLLLRVSMMESRVIVVHASPDSGLDIFAAAHSLGMMSSGYVWLATDWLTAVLDSYPXXXGKTTSILQGVVTLRHYTPDSDAKKSLMSRFAVRQNQSTSMNAYGLFAYDAVWIAARAIDQLLADGSDVTFSDDARLRGDNQTGSTLRLGALKVFDQGEQLLGKMTLLNFTGVTGRVQFGDDRSLRDPAYEVLNVGGSGVRRVGYWSNRTRLSVTAPGQSKKKEQELYSVIWPGETVKTPRGWVFPNNGKALRIGVPYRTTYKQFVSKDRGPDGASGYCIDVFKAAVALLAYPVPVTYVLVGDGVKNPSYGELVQRVAEGELDAAVGDISIVTNRTRVVDFTQPYVESGLVIVTAVREKASSAWAFLKPFTAEMWAVTGSGFFLFVGAVVWVLEHRTNTEFRGSPRKQLVTVFWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASSDPIGFQVGSFARSYLMQELGVPASRLRELAISDYASSLQLGPRNGGVAAIVDELPYVQLFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASQGSDVGADRLNLTSFWGLFLICGVACFVALLIFFFRTLRQYFRYHDHPPLPLPVDGGSGRMSSRRPARLASIRELMTFVDMKEAEVKRRKKMGQD >OB07G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:177681:182139:-1 gene:OB07G10320 transcript:OB07G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: DDRGK domain (InterPro:IPR019153); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G27120) TAIR;Acc:AT4G27120] MDAGGGGILGAVVCLLLVFAIFPLLLWRRRSDHLLPPQPQPPLQDERVLRGGPAPRRMRRRQVTPASTSRDAAAAEDDAESDDDDEGDVHDGQYVPKGSKKKEKKRQEREAQRQAEEAARDSRRTKQDRYAEMRRKKDEEREAQERLMEEEAMARKAKEEEAAALEFEKWKGAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQYDEESHIDEIAAT >OB07G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:195094:196143:1 gene:OB07G10330 transcript:OB07G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGILQAELMEDDHSNPPLMATYKHLFVDARLDAAVDGNECDLPVIDLALLNGDGESAERCREGIVRAASEWGFFQVTNHGVPQPLLRELHAAQVAVFRRPFQQKVNKRLLDFSPESYRWGTPTARCLEQLSWSEAYHIPMTPAPSGNDKLQHGSSSCRAVIEDVSTAMYKLAQKLATILARGMGLGVGVGGETMREETCFLRLNRYPPCAMDSGAAFGLCPHTDSDFLTILHQQDTIGGLQLLMGGRWVAVKPDPSALIVNVGDLLQAWSNDLYRSVEHRVMANARVERFSMAFFLCPSYDTVIGSRGGGGGLYRSFTFGEYRKQITEDVRSNGRKFGLQRFRLHSS >OB07G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:202103:203253:1 gene:OB07G10340 transcript:OB07G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MI02] MAGRSSGRVINSRMSAIMAAAVLALCSCAAVTTAQLEMDFYSKTCPKVEEIVRREMEEILTVTPTLAGPLLRLHFHDCFVRGCDASVLIDSTAGNVAEKDAKPNLTLRGFGTVQRVKDKLDAACPATVSCADVLALMARDAVVLSKGPSWPVSLGRRDGRLSIANDTNQLPPPTANFTQLAQMFAAKGLDVKDLVVLSGGHTLGTAHCALFSDRLYNFTGLENDGDVDPALDSAYMAKLKARCRSLSDNTTLGEMDPGSFLTFDASYYRLVAKRRGIFHSDSSLLTDPVTRAYVERQASGHFADEFFRDFADSMVKMSTIDVLTGSQGEIRTKCYAINNH >OB07G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:204916:205146:1 gene:OB07G10350 transcript:OB07G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPRRPLRRPHHRHRALPILRRPTASTTSPAGAGEADPSLDREAEGAVQRRQSRAVRDGPRQAASRPSISRAIYLVH >OB07G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:207010:207993:1 gene:OB07G10360 transcript:OB07G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MI04] MASPLRRMAVVMVAVLLLLVLVAQGAAQLRTGYYRETCPHAEEIIFRETARIIRASPDLAAALLRLHYHDCFVQGCDASVLLDSTGANAAEKDSDPNKTLRGFDSLARVKDKLEKACPATVSCADLLALMASDAVVLAKGPFWQVPLGRRDGRSSTAASCAGQLPPLCGNVTRMVESFAAKGLDVKDLVVLSGAHTLGKAHCPSFADRLYGGDADRALNVAYADRLRKQCTGYDGGNVTAEMDPGSFTTFDTSYFRQVARRRALLRSDACLMDHPFTGAYVRQASTGKYDGHFFRDFGESMVKMGGIGVLTGEQGEIRLKCNVVNSS >OB07G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:213279:215652:1 gene:OB07G10370 transcript:OB07G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MI05] MAAGVVGLRGIAVAVVVVGLVSSAAAHVFVGAYNKTCPQAEEMVYKEMTDILGKSPEVGGAILRLFSVDCFVGGCEGSILLNSTPGHTAEKDSPLNKGVRGYEVVDAIKAKLDAACPGIVSCSDTLALAARDAVRLRKGPYIPLPTGRRDGNTSNAADVGPNSPAPGATVSDLLTLFAKFNFTAKDLVVLSGAHTIGKAHCSTISPRLYGNASVPDPPLDANYTAALRGLCKPGDADTLVDLDPGSPATFDTDYYKQVATQRGLLSTDAALLLNADTRAYVLRQANATSDDEFFSDFIVSFVNMSKIGVLTHSHGEIRQKCSAVNPPSSSPSTSAAAIATSLAGSLLFLLAGALVLLF >OB07G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:218200:219357:1 gene:OB07G10380 transcript:OB07G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MI06] MMAMAGAAVRRMVVVVAAMMVVVGAEAQLQYGFYNASCPGVEEMVRAELKSIFANDTTLRAGLLRLHFHDCFVRGCDASLMLNSHNSTAEKDADPNLTVRGYEAIEAVKAKVEAACPLLVSCADIMAMAARDAVYFSDGPLYQVETGRRDGNVSKLAEALRDLPPSDGNVTVLTHYFGVKNLTFKDMVVLSAAHTLGVAHCSSFSKRLYNFTGAGDQDPSLDPAYAKQLAAVCKPGKLASVEPMDPLTPVKFDNGYYKSVAAHQALLGSDAALLDDSLAGAYVRLMTNDTNLNTFFADFAVSMVNMGRVGVLTGTDGQIRATCGIYVD >OB07G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:223937:224637:1 gene:OB07G10390 transcript:OB07G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEVLVAVVAMAALAQLAAAVVHPVGGNGAWDTTGNYNAWSASQKFSQGDSILFTYPPSHDVLEVSKADYDACSPANAVASYTGGSSTIKLAAPGKRYFICGLPGHCAAGMKLEVTVDAATKPRHKKAVAPATAPSMPPAASSPNGEMPAVSSPTGAPAPAASGASTISMNGAKAAAAVATGMALAFFAM >OB07G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:226078:226236:1 gene:OB07G10400 transcript:OB07G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWPEQTFTRLRYLLGGLRPIEIVYLRLSLGRGSNIRLKSELFQSGISLTAR >OB07G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:231824:233684:1 gene:OB07G10410 transcript:OB07G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYDLDASSIPHHLVDRDAAFAAHVDRVVFNHSGPGIKFMSLKHTRYDTDGDRRVTAWLDRLASRDHHLLERLDVSIGAAMYTPPSLFRCTTLVDLRLDLHAAVRGLDDGAVHLPVLRRLSLEHTGFNSSTHFQNLIDGCPLLEMLHLRFTALAHREDTAGIVIGSPSLRRVVLEGCGGYGTVPFEVTAPNVDEFVFSGRNVVALESGGVRRLAARKVSLLMDDKTWFYHMFASFQVMPFFNVGSNMSRIMSGFQGVVELAISGWCIEYLSRIVDCIELPELGIQTLRVEGMWPNEGQAGIVLHLLRSSPCLRSLFITNELDHPMDISIDVHREQYPKTPEFLFDDMPGRLSHLRRFFMFNFTGNHNEISIINFVLSSSCISIDPDQFGVTDVLGNDWSSTQLILASYVLVNSQPWMHE >OB07G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:235136:235879:-1 gene:OB07G10420 transcript:OB07G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFVGKATKIFFAVLALLAVVGVVLAFRAVLHRAKARSNSACAAADECQPILPEPVPQPSTAATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASTGVNAAPRCAGAATAGGVTIASAAGAGGAKPDGALMHCMPPILHLRFRVSTVVCDVLEIQANQSWWDSFPKFWLA >OB07G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:236875:237641:1 gene:OB07G10430 transcript:OB07G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 1 [Source:Projected from Arabidopsis thaliana (AT3G01440) TAIR;Acc:AT3G01440] MATTYPGLTAAASPLRPSPRRRLLLVVCQCNCNASAGRRSACVSLGLGLGLAATLVQQQQNAALAADEEPANNGWWLTEFPLPVKKIVNKELNNAETGSRTFVRNGIYIADIGESYAAHAYRLRSTAFDLLALEDLLGNHADRANYVTKYLRLKSTFMYYDFDSLLSAAAADLRPPLLDLATRLFDSFETLQRATATKDDAQIAASYAHTKTILHEVMAKMA >OB07G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:237954:243477:-1 gene:OB07G10440 transcript:OB07G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MI12] MVASWRGDDLMVVVKILVSDDFANYPRGRDDVHALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDANLAEIGKLNALLEAAEKHHSEIVAQLKSAIEESKAINEELQKQYASLEDNLKRVEAEKLDALRSYGDEKEARVAVESSRNELLEDLRRIKLEEKRLSDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKNDLLKEVDSLRSELQQVREDRDHKLTEIHSLLGEIGTYKEMTGKSVVELDNAMTRSTVLEETCSSQRERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRALEDLQSRLEEAEQQIMDGEKLRKKLHNTILELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIDLTHNAQMYSFTFDKVFDQSASQENVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGHPELPDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNETIRDLLATNRTAIQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLRRAAQSRSVGKTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSFTGESICSLRFAARVNSCEIGIPRRQTQMRSLSQG >OB07G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:247171:249597:1 gene:OB07G10450 transcript:OB07G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPPVPQNDSDWEIRVAMLLSLALQILLIFVGPMRKRSSHPLPRFAVWSCYLLADWVADLGLGLLLNNLGNIGGNSNGGAPSSTVSQNAHLLSSPGGFKRRGGGGGGSPPIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSAFVVFSCSIRSNPMIPATALIFVVGIIKYGERTFSLYSGSVSGFRNNILGDPNPGPNYAKLMTEFDSKQKAGLLVEVVIADGEASKAKAELEEGEDVRLVIASEKSLEATAYDFFQMFRVLFVNLILSYKERRISQAYFLDRQDVTASTAFEVVEVELNFIYNMVYTKAPVSHTRRGCVLRAVATACLVVATVLFVLLDKAGIHPVDRGITYALLLGGLALDAAAFFMLLSSSRMLVYLEESKPPQENNKLVVKWLARVSRAVRRRTKRWSERTSQLNLISYCLGKPKEDIAGRWWCCRWTTHPRVMPSLIYVADKVGLRETLDDFFFIQRRPVSCRHINDDDDKRSRCCCWWWQKETKQPVVHILEHVFDGLKKTAQTVKDSDYTEMKKLCDNRGQGTLVEKEEIIKEQLTCKELLHVLQDSVRREFDESLLLWHIATDLCSSPSTSPSERQGADTGGDIDGLRSISETLSEYMLYLLVRQPEMLSATAGIGLLRYRDTCAEAKRLFKSAAAWEPGHQDTQRMLLAVNTSKKPADVKGDLSKSVLFDACILAKVLRQLDGDTMWRVVAGVWMEMLTYAAGRCHGSTHVRQLSRGGELITLVWFLMAHMGIGDMYRIHEGEAKAKLIVHDQ >OB07G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:251850:253247:-1 gene:OB07G10460 transcript:OB07G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQQVQAEGGSNEQELQRKMEKLVADFDEEVDKPELRHQQELSELFGILRVKNGVVSQLPQDMKDQLAALLPEIDAALKQCVPSQEEAAPGKKTTKMKKAAALNMADCIPCKPKTHEDDDASPSSVVAPLLKRTRDILGDSPAPAAAARAEEDVLYEWTTSYVDEERLYGWDEEAKDVADGLAGPEDGGDDDEAPLFRAAGIFGIHGSGKTALAQKVFIHDRIKDTFPLRLWVCVGPSEHEDRFNLLYRMLDNLGLDTYKVEEVVNNSEAVKKHADAGNHAAAEESKIGVLLFILHATLAKTSYLIVFDDIRAYSDWYTNLLLPPPTDGEWTERLAYGLPKVKKSAVLITCRKEEHARKMVRTGRVFHPPPLRGSEAWMLFEREYVVEARKKWQGRGEYSKEDDRLYEELEGMQKEIVGKCLGLPVAIVEAAKGFALLDIEDDKTRPRAATAKPIDDADAGEA >OB07G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:253708:260657:-1 gene:OB07G10470 transcript:OB07G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSGSPLLGTLKMKRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNIAVHKSKSFGRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTLLEDEKLKRQMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYERRFFTPYEYALQPPPWYRAEHIALDKPEVPYGVSKMKDYDGPQCFIIPGNHDWFDGLHSFMRYVCHKSWLGGWFLPQRKSYFALQLPQGWWIFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQEYLNGRCKLRLAGDLHHFMRHSANQSDNPTSVQHLLVNGCGGAFLHPTHVFKNFKQFSGTTYECKAAYPSYDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLSHILNEETWSGRMASFSSTIWSALLYIFEHSYVSSVGSLTLLLASFSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHVKKDGDLEIFTLAVDKVPKDWKLDPKWEAEERRPHQLNHHRKHPSKWRSSSSPDPVTSVRVVDHFTIARTRTQQIPTPLAD >OB07G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:261915:268342:1 gene:OB07G10480 transcript:OB07G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT4G03260) TAIR;Acc:AT4G03260] MVRFFCFSSSTSTTHHRTKERFLPAEQAMLSASQKNTILAVSQMDSQDQTVKSNSGSASPSQMTDRNGHSDVNRQYDTSPSSHQECWSSEDLNRYACSDDGKEVGHLKKSQSLGNMLHKDHDHLSEGAKCDIIDCDHKCHCSSLKSNSAVQDSANLNTKGKENASSDLISHDVCEPSVGNAADSDSHYTGYTQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCLVGCEKAEYMNSDEPHHSKSNLDIHFGGPSPDVYETMNFEGNGSIGCSDAAEGGQRSSASAEESFVRDGVLIHEYWDKKYVSGDQSVDPATPYYSGTGDGCHHSNNDCSINESLDQERKDNLCNRDSTPYHESLVIDVSDLKLCDSRDISKEVEHNETETNVNQYLVVDPDQLTPRTFSIKRIEDWINQIDIDDGILVEEQGENSNSVLTKYSEPVTGVPAIRPDAKSPLGMEIAYTYISKLTSTSSSAQLANLGLVAIPRLSTFSGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLCGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAVNLDGNPAQKNVGDEHLKKYLLGLLPNLAVYNKNPVRASGSKEVSDRHTRKISSSHRTGRLDRKSSRLVAGTGTSSYKAQSSRHARSSGSFLKHHPRARSMAAAASGSRLMEHAG >OB07G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:265785:271445:-1 gene:OB07G10490 transcript:OB07G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGAAKDYPGKLTLFVFFTCVVAATGGLIFGYDVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVFRKKQASNKNNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVLGRKWSMFGGGLTFLIGAALNGAAENVAMLIIGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGWGWRVSLALAAVPAGIITLGALFLPDTPNSLIDRGHPEAAERMLKRIRGSDVDVSEEYADLVAASEESKLVQHPWRNILRRKYRAQLTMAICIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMMVCQVVVGTLIAAKFGTSGIGDIPKGDAAAAVVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWSRFIADQDVHVGTNHVHVQTKLAAA >OB07G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:281196:286107:1 gene:OB07G10500 transcript:OB07G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: RNA processing; LOCATED IN: intracellular; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: RNA-processing protein, HAT helix (InterPro:IPR00310 /.../ small nucleolar RNA-associated protein 6 (InterPro:IPR013949); Has 492 Blast hits to 480 proteins in 206 species: Archae - 0; Bacteria - 2; Metazoa - 128; Fungi - 191; Plants - 60; Viruses - 0; Other Eukaryotes - 111 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28200) TAIR;Acc:AT4G28200] MSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRRSPLRADFLDYIAYELRLDALRDLRKRAIIRATPDSDHDDDDADDADEKKRRRRKKRKGGKKWKKSVSDVAGVLRVLDIYRMATVRYKGDLDLWFRYLEYCRDKRHGRMKQVLAQAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKKNDDSSTWKEENKELFMSLNEQDEGPEESGPQGVALEGKEDLFWQQGLLIIQTIYHGATGALPLSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKKDFSHSEDYWDWFARLQLSDTTSSSNLNRKDALSNKLNKSIQVYDEAVRRLPTSRMYSLYAKFWMGVLFADSEDSIALFHGGELDASEFTLCILKVYQNAESCGCLTEDLACQYVSLYLRLGGLEEAPGRLEEAKNLAKKLCDGPLSHAADLWNLRTSIEIKSLVTATGSSAFSKENLSSLFDLFNVALSKLSLPEAEGLWHAAMKLFSHEKIYFEKLVKCAILALSSAGGNDCGASVSSAIVGWVLQRDGIKQTRKMYKRFLALPRPSLKFFQYCIELETNLASIGNGDSLVNARKLYDSALDIYPQKRELWRNYYNMELKVGTSETVNAIYWRARKVLNDSTALDIPRS >OB07G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:286943:289733:1 gene:OB07G10510 transcript:OB07G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MI19] MGKNARKRNLGLKKSIPASRDRARDAAMSSSCCNAKGNGKHHHYYPFLAAAAVITFILAAVPAASAARRRPVRLHFYMHDIAGETAVQVVKGSGPLHPSMPPGGRHFGDTTVMDDLLTEGPSMESKAVGRAQGSYVLAGLVDPVVVVTMTVKITDGPYNGSTVVIAGRDDVLAEVRELAVVGGTGQLRGASGHVLWRTAEVLGAVHYVLELDVYATVPATSSALRLHATNATPIDAGGNKETMRNNI >OB07G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:290184:290780:1 gene:OB07G10520 transcript:OB07G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MI20] MAISIAHLLAAVALVLAAAAVPASAGRLPVRMRLYMHDITGGPGRTAVQVVSGTGPQHPAMPPGSHFGDTMVVDDLLTDGPSVDSKAVGRAQGSYTLACLSEPVLVVSVTVVLTDGPYKGSSIVIAGRDDVSEEVRELAVVGGTGQLRRATGHVLWTTAKRQSPVHMVLQLDVYAWVPASALLRGANATADAASHPNS >OB07G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:291031:291639:1 gene:OB07G10530 transcript:OB07G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MI21] MASCSSSSSVAMQLLVGVAVVLAVAAVPASARRQPVRLLLYVHDIVGGAGQTSVVVVKGPGPANPSMSPGNNFGDTVIIDDVVTEVTGGPSLSSAEVGRAQGTYMLASMARPVFVVSITVAITSGPYNGSSIVVAGRDDTSEEVRELAVVGGSGMLRRADGHVLWRTAKVESKLHAVLELDVHATVPVPSPAAARSHGIHCS >OB07G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:293439:294239:-1 gene:OB07G10540 transcript:OB07G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MI22] MAPTSLLLLLLLLACAAPLPLQLRAADTPTIRLYMHDVVSGSGATAVQVIKGPASSSGNGGVSTGFGDTTVIDDALTETSSPTSREVGRAQGFYMVASQSSPALMMCINLYFTSGDNNGSTIAVMGHDDTAAAVRELSVVGGTGKFRMATGYVVWKTATMTPSDGVFELDVYVTTPNGTTIDASAPVSPLDGGGGSSGGGGGETQAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPPRGGPAPPQPSRGRRHRGG >OB07G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:298667:298882:-1 gene:OB07G10550 transcript:OB07G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGCHIRSRTWKETRLCLSRGTCNDPCRSEGFDFGTCYPDTPLPSPSFVGRFFHVCYCSMNSCKTDPN >OB07G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:304414:307407:1 gene:OB07G10560 transcript:OB07G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytosylfokine-alpha receptor 2 [Source:Projected from Arabidopsis thaliana (AT5G53890) TAIR;Acc:AT5G53890] MALHFFFFFFALAASAAPCHRDDLLSLRAFAGNLTAGGAGLRAAWSGESCCAWDGVACDAAGRVTALRLPARGLAGSIPSSLAGLASLQDLDLSHNALTGDISAVAAAASLRTVNLSSNLLNGSLFDLAALPHLTALNATNNSLSGALVPDLCAGAPALRMLDLSANLLAGSLSPSAEPPPCAATLQELYLGSNSFHGALPAALFGLAALQKLSLASNGLAGQVSPRLRDLKNLTFLDLSVNRFSGRLPDVFGDLTWLQHLTAHSNNFTGLLPRSLSSLSSLRELNLRNNSLSGPVARLNFSGMPFLVSIDLATNHLNGSLPISLADCGELKSLSLAKNSLTGQLPEDYSRLGSLSVLSLSNNSMHNISGALTVLRACKNLTTLILTKNFVGEDLPDDGIDGFDNLEVLAFGDCALRGRVPEWLHQCRKLEVLDLSWNQLVGTIPEWIGELDNLTYLDLSNNSLVGGIPKSLTQLKSLVTARQLPGIAFTNMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNAISGSIPDALSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLVGPIPDGGQFFTFTNSSFEDNPGLCRSSSCDQNQSGETPTDNDMQRSVRNRKNKILGVAICIGLVLVVLLAVILVNISKREVSIIDDEEVDGSCHEVNDSYWKPVLFFQDSAKELTVSDLVKSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRYGNDRLLIYSYMENNSLDYWLHERSDGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPRGDVYSFGVVLLELLTGRRPMDVSKAKGSRDLVSWVLQMKSEKKEEHIFDTLIWSKENEKQLFSVLETACRCIRTDPRQRPSIEQVVAWLDSI >OB07G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:311750:317143:1 gene:OB07G10570 transcript:OB07G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKELPGFYYDPDKNRYFPIRGLIPGAATRRPAPPPPPAEPPVPQAAAAGCSKRARQPELLHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYATIQTPNGLKESKVLVTGSMNGTVRLYGLGTAIVNFEAEMEFLPEPAWTPLVKQKASMNSALASIWSAETAFSNFSSSVTCIKRLQHHFPGVGNFNSSSQRALVATLGSGESGGSIYIMDLSDTIELAMGSFNAYRGNIIQVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQRQHNSPTELSSPGTARRTVPLTPRRHNRWRNQADNTKSSRAVAMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHVNSHTHLPLVVDPSETLLLSGGEDCTVRIWSIKTGEQIFAQSVAGSLFTAFCWPESGRDLHNSSMFGLNHSWGAWMGSRDGLFYMHGT >OB07G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:317495:322219:-1 gene:OB07G10580 transcript:OB07G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MI26] MAAGDEGAPLLLQQQRHDGDGGRRGIAVNGGKGGEPLPRSERRSRWWPGWWDGEEAAGQLAFAAPMVVTSMAYYAIPLVSVMYAGRLGEVELAGATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKVYHMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLGQDPEVSRMATLFLRYSIPAQFAYGFIQSTLRFLQTQSVVTPLVVFALLPLVLHVGITHAFVRYLGFGYVGAGLSTSVSLWLSFLMLAAYVCLSERFKHTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLLAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVEKAKKALAVTLVLSLLLGVTFLLLLGLGHDLWAGLFSKSGAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYIVGLPLSILFGFKLGLQTKGLWLGQICGLLLQNAVLLVITLRTKWERLELTMNGKEDGFVC >OB07G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:323779:335849:-1 gene:OB07G10590 transcript:OB07G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDASNSNKMNQSNRQGVLSFSLHFSSFFPLILVLVYVRIRKAKYQLTEEKERQDQGIAEMSDLPGFLSVRVLRGVNLVSRDATGSDPYVVLHLDHQKVKTGVMKKTVNPVWNEELTLAVKDPATPIKLEVFDKDTFSKDDRMGDAEFDIEALMQIVKMDLEDIRSGTVVRTVRPGRQCCLADESNIIWENGQIVQDMLLKLRNVETGVVHLQLKWVKITGMISQLGVNGRNLFLVEPIRDFDFLRPIFIGPVDSRPPPPAVALRLRLAVAADRQSLPAAVPLPIAKASAVRGAVPKSTTPYRKPPRIVYFKSKTLEPYHLHSSPPPQGTKQQLNPIMDGLVGLLKVRVVRGINLAYRDARGSDPYVVLRLGKQKLKTSVKKRSVNPIWHEELTLSITNRTAPIKLEVFDKDTFSRDDPMGDAEIDLEPLMEVLNLDPENIRNGTIPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSMAPGGLGAYSDSRGIPGVRKEVAEFIQRRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVQSARSKGITVRAMVIINPGNPTGQCLSEGSIKELLSFCFQENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFAAESKAILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPQRAIDAANRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDSFMDEYDGYGGGSSYSRM >OB07G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:337886:338926:1 gene:OB07G10600 transcript:OB07G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLYCGMSKLLLMAALVAAAMAKVTMAASTMEERHSKWMAEHGRTYRDAAEKARRFRAFKANVELIDRSNAAAGKRYRLATNRFTDLTNAEFAAIYTGYKPSTMPPSSSGAVTFMYANATLSSEDQQPAVDWRQQGAVTGVKNQGPCGCCWAFSTVAAVEGIHQITTGELVSLSEQQLLDCSDNGGCSGGSLDKAFQYMANSGGVTTEDAYPYQAQQGTCQFDGTSAVAAATISGDPRVNPNDEAALAAAVANQPVSVAIEGSSPLFQHYSSGVFTADSCGTTLNHAVTMVGYGAAADGSAYWLIKNSWGATWGEGGYMKLEKDVGSSQGACGVAMAPSYPVV >OB07G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:340088:341158:1 gene:OB07G10610 transcript:OB07G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSTYGYGQSMAPAVDQPLLHQEGARRFRRCRTAPSSDPLSTANHTSSPPKTLFGAGAAARPSFRLVGLLLAAYLLLGSLAFYLAMDHMSGTRTARALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFAFAGVALVGTFLSKAADYLVEKQEALLFRALHAHRGGEARMLRAMEANKVRYKLYTAGVLLALLVASGTAVLWKVEGMRPVDALYCACATVTTLGYGDRSFSSEAGRAFAVAWITVSTVVVALFFLYAAEVYTERRQREVARWVARRRTTSMDLEAADLDGDRRVGAADFVLYKLKELGKIRQEEISEFLKEFDKLDADHSGTLSPADLALAQSPDPDPDPPNPS >OB07G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:341819:342079:1 gene:OB07G10620 transcript:OB07G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIQGTNIWLEMSEKGKKEANAIKQQRMMEIFINVAIQIMEKHDESCLVNGLKSYWLAFSIVSAQCHCKSRTPLFSFLSLFFSLW >OB07G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:344099:350639:-1 gene:OB07G10630 transcript:OB07G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKTVTVRGQQQVVQWDQAQAQAQAQPQAQTSSSSSSMLRDQQALLPPQNICYPPVMMGERSDAAAAAAVQGQVQLRIGGLPPWMLSHLNA >OB07G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:363916:368496:1 gene:OB07G10640 transcript:OB07G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDLFSESGIGCTSGEGTTTSMAPVQEADEQLAPTVSASVEAQAQKGKKSKEKKRKRHKQQESHSDVSDVSAIVTDTDLVIESGNRSTSGEGALRDADVVPSPRSEHDPTPEMDRMPGKSKASKRRCGATTSMPVALEAEKEVDEQETTVTSTAVERTPDGKKSKSKKHKKYKQQQSPSVSDASAVVMDTDLANESGDGCRSGEAALQDVDVVSSRNGQDPKCPEVNSSEDSVAGKKGDTDDNSQLCSSLHESYVERRRRKNRERKRRKKENANRSNVQNPSSQPSATEVGLVTTADEDNTPGSKCKNPSLLPGAGEVGLVMTADGNNTPGSECKKSNKKRKRNQTIVSEAPLVQRMGLGETASVGMMDEVQPILSDSRHARSDRSDVLSNLGQMHKEKYRDIYSPRGSLIRFQRKKLLILDINGLLADINQDHHNAHMSDAKVRGKLVFRRPYCNDFLKFCFQKFELGIWSSRLKANVDAVINIIMEEDMKESLLFCWDLSKCTGTKFKTLENKDKPLVLKELKKLWNKEDPDLPWEQGEFSPSNTLLVDDSPYKALGNPSHTAIFPHPYRYLDKKDNSLAPGGDLRVYLENLAAAADVQSYVREHPFGQPSITKSHQHWGFYVKVLESLEKPIA >OB07G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:373699:379217:1 gene:OB07G10650 transcript:OB07G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQVLGEDRRPELHRQTGCVTGIFQAFDRRYPLAAHHSHKRLLPPAHALSSSPSVGEERTRYSSQIVLDKNLSKSWIDNQRAPLTVELSQGSCSSSSCSSLSSLDGNRSTQQDLSSTDRMLFPEKPFKCSPKLKSSSDSDNGVESYLDDALAKLSAQSSYPTHGIRNLVKDSIYRDSRDSSARTFTKEAEKDHLFSCGDQPRSLDEPPSSATQEKNKGTVDINESLRVLAKFREPSWNPSESGQQPRLSYDAPRFSCEGRESASKFREVPRLSLDIKESPLRNREIDLRSKPSMIAEDGRSSINRELNPALETQQEQNACKRLPSVVAKLMGLEALPEHKDNTVIPSQVSKSVTERSEEPMLRPLNFSSHNEPTPRQQRNLDATIRNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGVHGKEQPAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMLKIHDGENDNREATDVNQRPNGTSNTQQAPEGALSFSMEEESTTERCFKSPIVIMKPAKSADLLSNVAEDSTVDPLGGLSELPQLRTVNSADKKKSSKAIKAAVEQHMEPSSRVPAPQPVASFDKRANGRNEDISRKQKSTSQQMTESSARRQQMQRDNNGSLLKHKNSTSPRVVQKKHDLERRARPPIPSSDSNKNQRQSVERSHLDSVSPRSKFRRKPAQAQQVEDGHQNGVSSRTRSLNQQGNDMSVRSDGSISVASELDVEVTSADRSADVNVSSSRQGTQTTPSGRNPQKVKTSYDANKDLPSMDPAATISERPSPVSVLDSSFDQEDYFRTNKVSNSSNVDDERHPSPSDQSSKPSDNRPAQFVTQAKNSKLANIASLLEKLEKLNVNKDEEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSSQMQLHASSGCPINPDLFHVLEQRKSGWASKQAGANDPKRAHRRLVFDAVNELLLERFDGESGVHSSAVAGARGRPAISSGQQLVRMVCSGIERLSKERSRMRQEDGGGGVIPDGDILQRLEGWSPCFGRRELPAMVLEIERSIFKELVDEVAGGRGRPEGAGGGSSLDVTSPQRIVW >OB07G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:379152:381306:-1 gene:OB07G10660 transcript:OB07G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCRRRVVVDARNHMMGRLASAVAKELLNGQRVVVVRCEEMCVSGGPVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALAALKAFGGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGHLSKEVGWNYHDTIRELEEKRKEKGKVAYERRKQLAKLRFKAEKAAEEKLGSQLDILAPIKY >OB07G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:381738:388604:-1 gene:OB07G10670 transcript:OB07G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEEEEEDVEVVDEDDHPHQLQQQLRHEVVDDDDDEDDAHARSGYHSEEVEGEADNGGEGEAEGEGESEGLVGMEEESEAEAHRPDLDQGESDGEKVQSSPEREPSDHVMQNDATGMDSEDEAYEQRAVASRRRGIVASESEGSEDGYYAGRAHEDEEPRQKRKASSPVEEERDHEVVRDVFGDSDEDEPAPYHDQHEIDEDSHRSPLDDEGHYEKDMQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKEPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERAEGQNIRAHSILQRKREKVNRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIINAKKSSMNRNIPRKPTFPARPPGRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >OB07G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:389570:390654:-1 gene:OB07G10680 transcript:OB07G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGETASAPFRGTLLPPSPSAGSCIRPTGCRPSPALKSSVSARRTSLIMRQLVHSSFLHLMDYGRLLVIKKFVQSPKTDRVTVRKTRDAIDNQAEITVQSINYTKSGS >OB07G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:392356:405061:1 gene:OB07G10690 transcript:OB07G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:Projected from Arabidopsis thaliana (AT5G15540) TAIR;Acc:AT5G15540] MIMQAANIARILAHTDVSHLGFTEADNVHADPTHCSWLWREVLNHNPDAFRIKPQGKQLNLPYFSVPLEGYENQNQEHEKHFEHVTPNFNNMRKEHVFPRDDIIPHREHLQNNLSPDSIASKKPKVRKKEIHNSASSSDPSIPNSQEIIGNFCEMVEDFCGKAELPDDADGDEWLSIPLNDAKVLVNEITSVRSKKILHEIPMDTLARLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQIIDCMAASNPTFRALYKPAERVTNDGDEDEEDMENGPANKRRRTTTLNMRKSSTNRVSASIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAISVICMVFSSYTQHRTYLVDETLVLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDNLKGTVNWSTIVDASVDASYPIKCHEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELTDTESDGSKILKNKCCVCLGGRGINMGCDVCGRCFHSDCVGAVSQENLQCDYACPLCFCKRQLSVLQSYYELQNKENGKRNAASHRKKSTVPDELTAVDIVQQILLSYIQEAGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKEILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILSLLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTRAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRNMPNHQPLITIIKRNLALDFLPQSAKATGINSSFMLSLRKRCELICKRLLERILQVEEGAASETEVHALPYVLALQAFCVVDPTLCTPVTDPSQFVVTLQPYLKNQVDNKSTAQLLESITFVIDAVLPLIRKPPQSVVVELEQDLKQMIVRHSFLTVVHACIKCLCALSKAADRGPMLLEYLVNIFYKHLSGSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIIDLLKRRYLLKDDFSLKVRALQALGYILIAKPDFMLHKDILTLIEASLSSDVDYRLKIQGLQNLLEYLRDAESQLNTESTSKPPVHYATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQSALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHNMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEADNRSYPTVSFLVYCAEVLASLPFTSPDEPLYLIYDINRVIQLRAGAVESSLKNWTSMYQHPEMVGMPRDTGDAVMHEAGGYSNQNLIDVSQMMLGNTCSMPVVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPFNISNTNTSLPSCHQDAARVYQDFKTVLREDTVDYGMYTASAQKKRPTPRSSTRVRRPAAVTRGRGGGGGDDEDTEDEDWTGRARVLDFSAQGGRVTRQRVQV >OB07G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:406273:410595:-1 gene:OB07G10700 transcript:OB07G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGDWPFSANEAYADSSAIFAELDWANGLAVGELLPPLDPPESETPAGSAASSCSTDDADGGGKPAAASTEAASKPAPEKKGGKKRRVRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTVTFPRAASHIHTMAAALQMPPFVSAHHQLYSNLPPASLPSLLQPLHCNQELQAVASSGYHKPSVTAASSSSPARSVPVDEGLLGDMVPRAMRHG >OB07G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:423859:426984:1 gene:OB07G10710 transcript:OB07G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MI39] MRVMFVCGDAGKRVVRYGPGKEEGAGWKEVDTEVRTYEQKHMCDSPANSSVGWRDPGFVFDGLIKGLEPGKRYFYKVGSDSGGWSDTYSFISRDSEANETIAFLFGDMGTYIPYNTYIRTQEESLSTVKWILRDIQALGDKPAFISHIGDISYARGYAWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPWWSSGIYGTDGGGECGIPYSVKFRMPGNSFVPTGTGAPDTRNLYYSFDSGVVHFVYMSTETNFVEGSDQLKFLKADLEKVNRSRTPFIVFQGHRPMYTTSNEARDFAHRQQMIQHLEPLLVTYKVTLALWGHVHRYERFCPMKNFQCFNMSSSFVYPGAPVHLVIGMGGQDYQPFWQPRHDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLMYVGNHDGQVHDMVEIFSGQVSSNSDATEVVDDTKLSTGVSTKLKIPLVSLEIVGSAVLALVLGFSFGFLIRRKKEAAQWTQVKNEES >OB07G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:428944:430350:1 gene:OB07G10720 transcript:OB07G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MI40] MEDKVSPDWSSKKVCVVGAGMWGLAAARELRREGLDVAVLEQSGGVGGQWLYDAATDGGDPLGLAGVHSSIFASLRLNSPREMMGFSDFPFRPSAAGGDARRYPVHGELLRYVRDFCDVFGLMDAVRLNTRVVRVAMAPPRRDGSLKWTGTSKRDGEEVETEEVFDAVVVATGHYSQPRLPSIEGMDRWRRRQLHSHSYRVSDSFHGEVVVIVGCSLSGMEIALELLCVAKEVHLSAKSTEEDMVAATSKMLSRHGNLHLRPQVEHLREDGRVVFDDGSCVVADTVVYCTGYNYSFPFLETEGKVTVDDNGVGPLYEHVFPPSLAPSLSFVGIPSKVILPQFAEVQARWVAHVLSGRRTLPSPEEMLRAVEEHAASMEAAGTPKRRTHDLLLDLEQCDEYGERTCGFPRMEQWKKEILRSSFSGLLDDIETYRDGYRDSDLVRHGLRHDGWTNEKDVGDDEEHASLPA >OB07G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:434507:435952:1 gene:OB07G10730 transcript:OB07G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MI41] MEDKMSSSLSDRSSKKVCIVGAGLAGLAAARELRREGLDATVLEQCGGVGGQWLYDAATDGGDPLGLAVVHSSIYASLRLNSPREVGGFSDFPFRPSNGGGDARRYPVHSELLSYITEFCDVFGLMDAVRLSTTVVRVAMAPPRRDGSLRWTVGSKRDGEEVETEEVFDAVVVATGHYSQPRLPRIDGMDRWRRRQLHSHSYRVPDSFHGEVVVIVGCSVSGKEIALELQRVVKEVHFSARSMEEEAMKPAMAKLLARYANIHLHPLIEHLCDDGRVVFHDGSCVVADTIIYCTGYSYSFPFLETEGKVTVDDNCVGPLYEHVFPPALAPSLSFVGIPAKVILPRFVEAQARWVAQVLSGRRTLPSPEEMLRAVEEHAASMEAAGTPKRRTHDLLLDLEYCDEYGERTCGFPRIEQWKKELIRSSISDMRDDIETYRDGYHDNEVVTQGLRLHGWTWTWMQEKDDGGGEEGIVQAMGSLPV >OB07G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:437418:438841:1 gene:OB07G10740 transcript:OB07G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MI42] MLDPIMEDKMSSSSSGWSSGKKSGGVGGQWLYDEATDGGDPLGLAGVHSSIYASLRLNTPREAGGFSDFPFRPSAGGDARRYPVHGELLRYVRDFCDVFGLMDTVRLNTMAMRVAMGPPRRDGSLQWTVRSKRDGEEVETEEVFDAVVVATGHYSQPRLPRIDGMDRWRRRQLHSHSYRVPNSFHGEVVVIVGCSASGAELALELRRLAKEVHLSAKSTGEIMASAMSRILSRYEDDNLHLRLQVEHLCEDGRVVFDDGSCVVADTIVYCPGYNYSFPFLETDGKVTVDDNRFGPLCEHVFPPALAPSLSFVGIPAKVVLPRFVEAQARWVAQVLSGRRTLPAPEEMLRAVEEYNGGREAAGLPKWRTHDLLLDLELCDEYGERTCGFPRMEQWKKELLWSSVSNMRDDIESFRDGYHDSDLVTDGLRLHGWIPPATQPPQEEAD >OB07G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:443199:445885:-1 gene:OB07G10750 transcript:OB07G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYYDLHVASGRELRSMASMGNASMEAMADRFLGYPGIDKPMNVAMSGWHVPYLSIEQVQYACVDAYLAFRLAVHLSSAHQLVPAHAPPPPPARRAPVYLHAPLPPGPRVAVLAPPPAQRALVRPRAPPPSAPVYRAVPRAEHAAQTNWALVSTAVDVDDDASESENSSNITDSGRPRAAASDSDIDEEYSSSYAASDGHAFSSDDFELMGHGMLSSDEEDGYAEFVLGMGGLNLDIDSDDDNDDDEGYNGNASIGIVTLQSYDEYGSIGIVTLQSYQMAGNEEMFVRNGGATLEELEDDIVAGAGPANVEEGGGGGGGGGEIARAAAANGVPAALQRFLADNGVAFVGYGVRSDCRKLAAHHEGVRVACTRELRSVTGMGNASMERMAEELLGLAGMKKPVTVSRSRWDAPKLSKKQVKYACVDAFLSLRLGVHVGVTPSSSSD >OB07G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:449865:450570:-1 gene:OB07G10760 transcript:OB07G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRSKMLPLMAVVAVVALLPAAAVATNYTVGDEKGWNPDVDYTAWVKKHRPFYKGDWLLFEYQNGRSDVVQVDEVGYDNCDKANAMSSYSKGHSYAFQLKEAKDYYFICSYGYCYNGMKLAATAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTA >OB07G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:453088:453410:1 gene:OB07G10770 transcript:OB07G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGEAEAEAGVWRGILFSTSDLMVNSIKLSSCCPNLSVPGPLVGFFILGWLLEMSDSEEHHFESKADAGASKT >OB07G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:455043:455429:1 gene:OB07G10780 transcript:OB07G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSTTLLVLTFSMEKNLKILHPRLITVMQATFLHHIHIILCFFSFNFLCYPRIMRVSYVDRTDYKLIDVSEDGFLSFLTECSNTKYDLSLPTNDTLTNHI >OB07G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:461885:462220:1 gene:OB07G10790 transcript:OB07G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVLRRFLADTRVVFVAYGVRCDCRKLEEHHGLEVARTVELRGLPSMGNTSMERMAEKHLGWHGVSKPRKVGTSRWDARKLTKEQVQYACVDAYVTFRLAVHRDAGDDMSA >OB07G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:464132:465470:-1 gene:OB07G10800 transcript:OB07G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MI48] MYASLRLISPRESMGFTDFPFVPSSGGGRDPRRFPGHREVFLYLKDFCNAFGLMDAVRLNTRVLRVAVAPRRQGPAGGEPKWVVRSVRVGERDDTDVQEEVFDAVVVATGHYSQPSLPTIKGMEVWRRRQLHSHSYRLPEPFRGEVVVMVGCGDSGKDIALELIGVAREVHLTAKSTEEATTPAMSKLLAKYANLHLHPRIEHLREDGTVVFVDGSRVVADTVMYCTGYVYSFPFLETDGEVTVRDNRVGPLFEHVFPPALAPSLSFVGVPRKVPAPWFFEAQGKWVAQVLSGKRSLPPEEEMTRSVEEHHRAREAAGVPVKYTHELGSIEPLKYIEFGEKYCDFPRYEDWRSEIIVSTMRNRDQDLETFRDQALEAGGDPSPLHATAMAMASSRALN >OB07G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:472018:473841:1 gene:OB07G10810 transcript:OB07G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37380) TAIR;Acc:AT4G37380] MSAAAVQPVLPSSSSSSASTGGQQQLRAAAVRASVDGDRAVGFRLQRAYAASGRLDLAVTLLRRTQDPTAVFYTSAIHAHSSRGLHLAALALLSEMLSGGLLPTSHTLSASLPACHGLALGRALHAYAFKLALTGDSYVATALLDMYARAGDAEAARALFDEMSDPHIVSVTAMLTCYAKMGTLDDARGLFDGMPHKDFICWNAMIDGYTQHGRPNEALRLFRRMLRSGVDPDEVTVVLALSAVAQLGTAESGKWLHSYVKTSRRVRLNVRVGTALIDMYCKCGSLEDAVSVFDSIADKDIVVWNAMINGYAMHGHSRKALEMFPQLRAQNLWPTDITFIGLLNACSHSGLVDEGRQFFRSMEEEYAIAPKIEHYGCMVDLLGRAGLIEEAFHLVQSMAIAPDAVMWVSLLAACRLHKNMALGQQIADYLVAEGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIHKEPGCSAIEIGRKVYEFVAGDMSHGRNGEIYAMVEKMNALVKEQGHVPRTELVLHDLDEATKEKALAVHSEKLAVAFGLISTAPGETIKIVKNLRACSDCHAVLKLMSKITGRKIVFRDRSRFHHFVDGSCSCGDYW >OB07G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:474134:480915:-1 gene:OB07G10820 transcript:OB07G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPMADAAGEVTAQIETETETVPVDTDTDGGEEDRLSALPDDILCSILLRLGSTPAAGQTGVLSRRWRRLPSKLPKLLFLFPSAPACVGPGIAANVAPVLRHVDVVCCDAPAEAVATWLHHVASRIAEDGVVYFRNTLSQRRVVVVFTGVQGAQRTGYFVPTYELPCFATAAKLWLYLEFLPLELPRSGVFARLTEMFLDHLDFNHKGRGKFGHVFSPARCPVLRRLRIAMCTGLDVLCIYSESLEHFEIEFVAGLKELSLLTPKLTTLEVSYCFYYIQEWFCSITAPALQFLRWGDRFHPESVLFIGVMHLLQLTIFTIPVYGRPGCRTIQEFALLLQHFCAVSRLDLLLSYDWDLNEYVYLMESITKIPYMNTMSLWLYTRGHAIGTSVFHLLSLCPSVKNLQVTLLDDIKEDSPCQQYCECDQHPDWGEWETVVYGLEEVEIRNFRGTKHDFTFMSLLFLVAPLLKKMKITVDGMANASEESSQGLREIAAEHPGACFEVYYNTTGEFYEFRPSNFIKTPDDHYYDCLAAYAARTDLPLRCIDVVTVRAHAASTGEALRLAARCLSGEFVFVNETPGEDEEGLAVERGVFELPCFENATKIRMRLGFLGLGMPPSGVFRRLTRVLLIHVHFHGPCDIGDALSSPRCPALTDLSILGSRGVDSLTLYSLSLDLIELVDLSGLQQLTIVAPKLICLKVSRCFKWNRPIANIHAPALELFEWMEPYDPSSIQINSMPSLTFLSTLLRVFEHPNSLFNQHCASLLRRFGVDSLHLLLVYPSNLDNDQYMMGDITMLPRVEKLHLRLSSRPHAFGACVFHVLRMCTGVRKLTVDIQPWKVPSECPIGCICDQPNDWKTESISLDLLEEVEVYGIEGVEHEVVFLRQLFRSATDLKMIAITFDPSVNLRTSLCKKLISFSHPRTHVELRQECDGSMTIYEPADQLARRKLKRKRKRRSVNH >OB07G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:481419:491046:-1 gene:OB07G10830 transcript:OB07G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37460) TAIR;Acc:AT4G37460] MGSERAELARVCGARNWSKAIRILDALLAGSPSSSIHDLCNRAFCYSQLELHKHVVKDCDRALGLDPALLQAYVLKGKALLALGKREEALAVWEQGHEIAVRDTMDLKQLLELEELVSSVKISETIECEDRVVDASPCDTKVVISEDRVVDTSFTATTMADTKTVVCEENIGNSGVISNGGMMLANDNKVDSNKECSSPKTDTTETHHTPKRTPKPDKKSKAKGRKEINSQTEDVNGETVAVDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFTEAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAFEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLIGIKFDENFLDSWAHLSQLYLDIGCPEKMLNCIEKVLQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLILAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKISKAWRFLRNPKNNAKLVRRRDKLNTSQNRGGYCSTSTLSGSPTSSPNEDRVSSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMLLGQAKIIRYYPYYQRVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVATSCSDLYYIVGETYWVSTRCDSIAFRGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMTAAWEAICDAYCSDTNPTRDPDTLDAVKAAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAATMDVTASIPQGTQVDWEAILSPDPDTFVDKIKPWLYPSIKASRNLKDYADVSAAFSTTGSVVAALTCVDT >OB07G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:496102:497292:-1 gene:OB07G10840 transcript:OB07G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMARWCVGWPASATEKGCRDGLTWQAELRPHAAGEFSMAAAQANAEMEDQAQVMPSPEATLVGVYDGHGGPDASRFLRSRLFPLVHELAAEHGGAVTAEVMRKAFLAADEEYLQLLRWSLPNMSRAAASGSCCLLGAISDDTLYVANAGDSRAPSVHARKLKPGVDLFVVFASDGLWEHLSDDEAVRLVSKNPRRGVAGALVQAAFKEAARKREVRRGDLWRIERGVRRHFHDDIAAVVVFLDLDNDGRRARRRGKVVHSTSSCCSSNTPLDVYSFFNSGA >OB07G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:504360:505538:-1 gene:OB07G10850 transcript:OB07G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPASASEIVCCETLLFDCQPHEEPDSWHCPCFPDQGSKGVVCNRDVEEVVSSLIENSPTLEAMSQILSLSYDNLPYHLKACMLSFSVFPEDYITNSTILLKRWVAEGFATEKHGLAAMDTAESYLHELINRCMIQPFQFSYDNKVYTVRVHDLMHDLIVSKSAEQNFVTRITSQQHATISRDKIHRLSVFCTEQEHISCIPDRAEMTHVRSLVIIGCIEQFPSLLRFRFLQILEIIGCGFLKNEDLNNIEKLFGLKMLVLFDVPVSQLPTRIGELNQLELLLGQKTRVKEFPNSIIQLKKLTHLQLDNSKLPEGLTSMKGLQKLVNFDICSSSTKAVLELGDLINMRMLTVYWNPRDTDVCNMHGEYLISSLKKLSNLQELYIRGPVGIGL >OB07G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:511752:513367:1 gene:OB07G10860 transcript:OB07G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKNKGAKGSQLEANKKAMNIQCKVCMQTFICTTSETKCKEHAEAKHPKTDLFQCFPHLKK >OB07G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:514273:517801:-1 gene:OB07G10870 transcript:OB07G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFRPPTLHLSLSSPGPKNNLKRKRKEKVLAVVSPSSPRRNPRLAAGDARLAGALHLSAPFALPDRATAVEARPRRPTASARGGIQIATMSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRA >OB07G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:520509:523555:1 gene:OB07G10880 transcript:OB07G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MI56] MYAADGGGGAAGAGQLRRNYYAGVCPNVESVVRDAVSKKFQQTFVTVGATVRLFFHDCFVGGCDASVVVASTANNTAEKDHPNNLSLAGDGFDTVIRAKAAVDAVPGCRDRVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTASSVNGRLPPPSFNLDQLTALFAANGLSQADMIALSAGHTVGFAHCNTFSGRIRGPSMDPTMSPRYAAQLQKSCPPNVDPRIAVAMDPVTPRAFDNQYFKNLQNGMGLLGSDQVLYSDPRSRPIVDSWAQSSVAFNQAFVTAMTKLGRVGVKTGPQGNIRRNCAVLN >OB07G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:520932:521249:-1 gene:OB07G10890 transcript:OB07G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAMASMSAQETLSRQPGTASTAALALMTVSKPSPARERLFGWSFSAVLFAVDATTTDASQPPTKQSWKKRRTVAPTVTNVCWNFFDTASRTTDSTLGQTPA >OB07G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:528939:529316:1 gene:OB07G10900 transcript:OB07G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGRAAVPVKRVWLGLTARLGLRRTTGLGKLRNEVRTCEYSDVHVMWEMLRTMDAAPPRHGGVPGGRRTTSGRRGPAAAAWSRLVSFCCAF >OB07G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:532826:534925:1 gene:OB07G10910 transcript:OB07G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARFTRDAMGSRSERFADHSTPFMSRTPRFLTSPSPKTTTTAAPPPATSKKKSVKSLFNGLLSSPFTRPSPKQPPPTKTAASSPARSLTTVAASAIAGKLQLQAKVSSSGCVSAKAEEEHLLRLLHNRHLQWRHANAQADAAISAQASNAQKHLCGAWVTVLGMHKSIALKKLQLQFLRHNCNLMAILRGQISLPVQMMEYLEEWSLLENKYASSLPGTIEALNATVLRLPVSDGAMADFQAVKNAVSSAVDVMQTMRNSMSNLLPKLARTNVLVCQLSRIATQEQVLMAQCRELLSTLALMHVKYSSLQGQMIQLSHQNMGKGVSSSEYPY >OB07G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:537919:538101:-1 gene:OB07G10920 transcript:OB07G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREERRLWLRRRQVGPADMNGRGTRRDGDERERERERESQPLAAAAVAVDVAGRPRVP >OB07G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:539659:540998:1 gene:OB07G10930 transcript:OB07G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASLMEEEVDGGGAVFLVREFDGGRDRAGVEQVECACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEVVGGPLGTEIVGVVRGCVKTVACGRSQLFSKVAYLLGLRVSPRHRRRGIGRKLVERMEEWFRQMGAEYAYVATECDNEPSVRLFTGACGYAKFRTPSVLVHPVFGHDLAPSRRASVVRLDAREAELLYRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRVRRRRAGRLEQGAAGEVHLRRPKGVLAQHPSLYSKQYIVSSVDYLP >OB07G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:548808:550313:-1 gene:OB07G10940 transcript:OB07G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIFCAIVSDLASRSISFLINKCSEKRHQPAAEKRLQRLLLRARIIVEEADERLITKHAMLQQLSILRKEMYRGYYTLDRFRRHGHEEGSTKDHKVSDDSFAASSSFNPAKRIRFCRVSGQSSEEQLLLQVLGCLGVTIEDSRELVMLLSSCPRLCHQPYSMHLLLDIALFNRQTEMEHIMSFLLKDDTPGAESPAVLPIVGPCKVGKSTLIEHACRHERVRNRFRQIVSFSEDDLQDASMVTLRDCGVIKHQNHETGGAERVLIVIELTRDIDESAWSRLYSASKSFVANGSKIIVASRSDKIARFGSNQTLRVKYFTQEAYWYLFKVRTFGSVDAEEHPELASIAMDVAMELNGCFMSASVWTALMKANFNAEFWSMALSSIREYKHTNFLVYGVHRDYPWQATEPAYVRRVNKNPSEYLVISYEYQTCSPARDAEVSELNMQEFLFGSVVRQGKKFKVVAWRSHLPPHYNYIFTCEVWSIQSSGHRAARKRSQKLCT >OB07G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:551166:551480:1 gene:OB07G10950 transcript:OB07G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLRIVYLVYRCVKKNGPPAVNINTSFASAAAMYTVAPDSQRDTTVEKFLKEIASEKPIRFTRRTWPASPTTTRLGSAPVASAHVQWNAPQWSHGRYEAPPPRRQ >OB07G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:555472:557010:-1 gene:OB07G10960 transcript:OB07G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFSAILGELATRSLSFLIDRCSSSPPPPPSMEEKIQRLERMLLRLAVAVEDAEGRCITSRAMLRQLNMLRRDMHRGYYLLDTFRLQQADEDDDKVSCDTLALSKFNPAKRVRVPVGSSRRIGCKGEIEQLLDEIEITIADMVEFVLLVSNYPCIHRQPYNTYIFMDKCMFGRQMEMEQVINFLLHPEPSNLGVLPIIGAAKVGKSTLVEHVCYDERVRKHFSRIIFLTDSDFREETSLLNLSDSGVIRHKHSSSSASNVEERLLVVIELIGDFANHEWGQMFSSSSQSCSSSAGSKIIITSRSEKIAKYGTTQPLHLKFLSREAFWYFFKVLAFGSSDPKEHPNAESLSMVLFNGYFDSELCKSFVGPFIDLNNMAGLIQATICGGDWLLARERVRNHFMINKGLADTGLESRCVFYLKSDGAAHYYCEFIEQCRVGQRVHEQEDDASKLDMQDVLYERSPSSLGRFNLVLWTSRLPPYHSYVYSCQIHEYKSVLPTMVKSRQKRRISV >OB07G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:558566:560146:-1 gene:OB07G10970 transcript:OB07G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFSAILGELAARSLSFLIDRCSSSASPPPPPSKEEKIQRLERMLLRLAVAVEDAEGRCITSRAMLRQLNMLRRDMHRGYYLLDTFRLQQADEDDDKVSCDTLALSKFNPAKRVRVPVGSSRRAGEGELEQVLHSLEIAMADMVEFVLLLNNYPCIHRQPYSTYLFMDRCMFGRQMEMEHVINFLLHPESPNSYNVGVLPIIGAAKVGKSTLVEHVCCDDRVRSHFSRIIFLSDSDFSEGTSLLNLSDSGVIRHKHSSSSASSGGERLLVVVELAEDTDGDGWRKMYSSSQSCIPTRTKIIVTSRSDKIANLGTTQPLHLKFLSREAYWYFFKVLAFGSSDPEEHPKVASISMAMFNLYFDHEMHNNFIGPFIDLNNMANMIQQATVHEGNYLSLRECIRYYFLHRRGGGSIDSEPRRKCVFVPRKDENERYYCEVYNHCRVRLAHEEEEEEDDDPRIDFKDVLSGRVAPHGRFDAVVWRSHLPPYYNYICSCEIHKYKSLATCRMELRQKRKVQAPLKMSSLN >OB07G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:561528:561959:1 gene:OB07G10980 transcript:OB07G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIENHRAGAEIVTGDDVCRNKSMELLGELGLPMGLLPLEDIEEFGYNRQTGFLWMVQRRKKKVEHTFKKIKQTVSYAGEVTAFVGEGKLRKITGVKTKELMLWLSIVEVHVAAAAPGKVTFRSGTGISKSFDAAAFALGE >OB07G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:567142:570519:1 gene:OB07G10990 transcript:OB07G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: plasma membrane, vacuole; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Golgi apparatus membrane protein TVP15 (InterPro:IPR013714); Has 59 Bla /.../s to 59 proteins in 18 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 50; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33625) TAIR;Acc:AT4G33625] MAKEASASASASGAAPELGGGGGGGGDTPRRRRTRSRSDPLLIVCRCFNVVTAAVAALCVAVNVLSAVQSFRAGLDIFGGIFRCYAVVISLFVGVVETEWGFIIKFCKILEYWPARGMLQIFVAVMTKAYPNVERGDLILLQDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLISQRSETV >OB07G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:573121:573339:1 gene:OB07G11000 transcript:OB07G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVYPKTMKILCFHGSIAFSNILSQAQTGHHYFTRTCKLYRFIVQLLHKKWLTYELKIDGCKTLMPSIRYY >OB07G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:572855:578423:-1 gene:OB07G11010 transcript:OB07G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTSQIVQKFPDRNDLHLNTTKGTTSSHHRKAFREKLQDKSSTETVNFRLNIPAKSAPSSGFSSPVCSPRRFSNADFTYTVPAVQGPQAWSAPSIRSIDYIGASSPRMSPEIYTGVTEQPPFSNALRSPVLMSRNTSAPPSPMHTKLFPENIFSRTEGNGNVSLHPLPLPPGAINSMQTSFVNQSVPKVEMPSVACQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDAKSVESLKQLEQEIKFLSQFKHENIVQYYGSDTIEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLVKDVGYDLAVDIWSLGCTIIEMFNGKPPWSELEGPAAMFRVLHKDPPIPDNLSHEGKDFLQCCFKRNPAERPTATELLDHPFIRNSSHYNKHGSIHSFAGIKSHDNGNSLRDKAASKSDSCLKGKNTVGEPTNARPSEPNSRLTPLSILEVTPNFYPRPLGLASNPASSANLVNTMYFPIGSAQSSPLPRPNGKEVMF >OB07G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:580458:581852:-1 gene:OB07G11020 transcript:OB07G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLGNKGRCRRIFWLGDLNYRIDIAYERAHELITTMDWHQLAEKNQVEHLTIRLI >OB07G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:588616:588816:-1 gene:OB07G11030 transcript:OB07G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENLKKTTAERALESTKRDQTNIDQKREREGIQRQQHDGIFWHLSKWWIQRQAKKEIARKSTSDVC >OB07G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:598693:601700:1 gene:OB07G11040 transcript:OB07G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVGEIGVGADLSLDLKMFAAKSVGRARDSPASAMGDCIKRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVGKKGGDREEEEEDAGDKSNWMSTAQLWSGNSGRDAADPEHLVRSSQKQDKGRISSEARSDGGGAFVPFKAVGSGAPAFTRPKPSLIRKEDMAYDVRMPDLSLLSPPAAPADESRRQVVGFAQAAARAAAMAASSPALSLQAQSHSAAQQQQQARKARRCWSPELHRKFVSALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRVPSSTIVNQPIVLMGGLCYIPQEQSSSQSGSPEGPLHFSGSGTAGGGSFSRPRAQPVAAAAHRWRPSAARRKMAGLRAMAGNDQGVAASEIQSFGGRLRRGGDEQ >OB07G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:609574:612357:1 gene:OB07G11050 transcript:OB07G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 [Source:Projected from Arabidopsis thaliana (AT5G66920) TAIR;Acc:AT5G66920] MAPPPLVALVCCLLAVAATLAAADDPYRFFTWSVTYGPINPLGSTQQQGILINGQFPGPRIDCVTNDNIIVNVINNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPAGANYTYKFQAKDQIGTFTYFPSVALHRAAGGFGAFNVYQRPAIPVPYAPPAGDFTLLVGDWYRAGHKQLRQTLDAGGALPPPDALLINGMPSAATFVGDQGKTYLFRVSNVGVKTSVNVRIQGHSLRLVEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDKPAQDYAVVASARFIPAMVAAPLTAMGTLHYSSAVSRAPGPLPAPPPEGAEWSMNQARSFRWNLTASAARPNPQGSFHYGTIPTSRTLVLANSAPLLAGQRRYAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGSAPRSGTPVVRLNLHEFIEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTESQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >OB07G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:614228:614665:1 gene:OB07G11060 transcript:OB07G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAIESHRAGAEVATGDATCRNKCIELLEELGLPRGLLPLEDLEEFGYNRDTGFMWLVQRKKKKVEHTFKKIKQTVSYAGEVTAFAEKGRLKKIAGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDAAAFALGE >OB07G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:615262:616457:-1 gene:OB07G11070 transcript:OB07G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLIESHRAGAEIVTGDDICRKKSIELLEELGLPVGLLPLEDIEEFGYNQQTGFLWMVQRKKKKIEHTFKKIKQTVSYAGEVTAFAEKGKLKKITGVKTKELMLWISIVEVCATEASPEKVTFKSGAGISKTFERRCICTWGVQKAFWSSSSLFLDLPQRALAGGVANAE >OB07G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:618132:618563:-1 gene:OB07G11080 transcript:OB07G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIANGDAICRKKSIELLEELGLPTGLLPLEDLEEFGYNRETGFLWLVVQRKKKIEHTFKKIKQTVSYAGEVTAFAEKGKLKKIAGVKTKELLLWLSVVEVYVAEASAEKVTFKSGAGISKTFDAAAFALGE >OB07G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:616025:622346:-1 gene:OB07G11090 transcript:OB07G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVTGDGVCRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFLWLVQKKKKIEHTFKKIKQTVSYAGEVTAFAEKGKLKKITGVKTKELLLWLSVVEVYVTEASPEKVTFKTGTGLSDTFDAAAFALGE >OB07G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:622216:622368:1 gene:OB07G11100 transcript:OB07G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGRRPLGRPSSSSSSMDFFRQTPSPVTISAPARWLSTCDAIGLAVNL >OB07G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:621731:626471:-1 gene:OB07G11110 transcript:OB07G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEIVWIQFLELVWIAVHTVLTYAYGALPSSVDSISCFRQGSICYSSVMKSLSDDNTISTTNIGSFMEVLQLRCLDINGHVVASLFRVLHTILKFLKHSDSELKSDFICLSINHILMVHWGSYYQLNVREFVNLGKDGASGLNNDSAQLGYLSSSLLQLLCSLVEQSDLEDINGQDMYAKLVDVVRKLATILQEQQDAPESLSQYLKHKILMIMVRLRPYIQKDCSYVVCWLKLLRQHFQDLLHGPILQHIARPENCLEGSPFFLSTVGMGETHYKSTQHLQRQAIYLFLSCCIGLAYTGNNVALQCSCKRDDRILGHRVQDCSDHCDCFGLSEISRWFQRCFIDTIFGSESSTDIVLCFLQLYMEEDDMLFSILLQLLDAPLISLKIDSLEIKCSELIGGKLFSSIFDPVHIFHLLLLLLHYDHLVFVDYLISKDVGVHCAQYLLRCLRLVNQCWHSFIDDSIYKAKIEKLNFKRQKTFNEANNISGSSIESPKLDSACDKKSKNKHQLFLNAKACLLSLKRTIEDLHKKGLFPYNPKPLLKSLARFEELCEQD >OB07G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:629672:631159:1 gene:OB07G11120 transcript:OB07G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVVNKIVAGMIDKYERKSSAEEQMERLEMAQIKLEIALETSKKWQITSGPLLRWQKKLKRVAEECDDTLGKFRQRVAEEQEAEQVAVDSFFPRRIARATKSLIASMFHGNIDETTRSSVRRFEWFADGASDFLRSVESGGTPRCYLFFDPLIGHLLAGEMLEYKLVQGKTQHLFWIRPNNIAERGVEAMVFFVYNDGAAPEDNFFFGMILQLSESTNIVGTIVKCLQLFAPHFESITETVRKELTLLPTQDFSWVPHSRLYHWDNLHNLATEWFRPNPHCCNVCGSDSMDRIELSDFSLAPVIEVNLQCHISLPGFRERGTGVECKSSLKEYPLLNVLLTYTPHGSSEDLFPAVENSVIEVINANDQHCLHTNIGLQQLEEIMLPRAVDCFRQNTKATVYQMLWKPKHGVAYLHAVKTNMNMVSTRKAIEGARKSKPLRRQDGKRRHRTDGISEFLSLWAAHAPVQLQGSILNWIQKEKEVQLAAPMLRLKF >OB07G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:631798:634144:1 gene:OB07G11130 transcript:OB07G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISIREISKSLRSILIRNRGTQQSRKKENKLIGHAKPTQNSKEENSESSPISRLAGVICKNILMSEVVASAVIGEAVSRISTFLIGKKNNHESSEEDGMERLEMAHIRMEASLEVSSRWPLVTDASLLRWRKKLKRASDECSLVMDRCKRRAMEDDETEQEVRRCSFPKRIAHATTSFLSSFIGHKNVGSLVIASTIQRFERFADGAGEFLRFMEFGSIGSINYMLVDPLIGYLLAGKALQYERPVGNQCYLAARPMRLAERGQEAGVLLRYNNHERPEESFVLGVLLRVTESMNVIGIVARCLESLPPNFKLVAESARRELKYWRIHHTETHHARPSTACCEHHDRSRNSELPTAFPEPVIKLFVQCHVSARQKHHGEMSCSFGDLRPPLLQLTAVFAPHASAEELPPGAHNVATVAIDGKEEEEVIRTNVGVLEVEEFLMPNAVDRLCHEATDDGSSAHEVFWRSSHGVAYLCVERMGTEMVRCRPTQWRV >OB07G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:632758:633195:-1 gene:OB07G11140 transcript:OB07G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMTRLPTFLWPMKDDKNDVVAWAIRLGKEHRLTSCSVSSSSMARRLHRSMTRLHSSEARFSFFLQRRSEASVTRGHLLDTSSEASILMCAISSLSMPSSSLDSWLFFLPMRKVEILLTASPMTAEATTSDIRMFLQITPACL >OB07G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:638430:647679:1 gene:OB07G11150 transcript:OB07G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLDPLLLLPLLWGARHLCVLVRQEPAMRRGRRPLPASSRRPPVNSVEVRLCVLAFDNLLGCKSSVILDHTFNKDSLTWRESRRLLKMGEIISSAVVHETVNKIISGMIEKYERKSSAEEQMERLEMAQIKLEIALETSSKWQITSRPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEEEQQVRTSSFPRRIADATKSLISSIFPGNFDEQTKSAVRRFEWFADGASDFLRSVEFGGTPRRYLFFDPLIGHLLAGETLEYKLVQGNKKHFFWIRPNNIAERRVEAKLIFICNDGSAPENNFFLGMMLQLSESTNIVGTIIKCLQLFTPYFQSTTETVRKDLTQLPTQDFSWMPRSCSFRWDNIHSVATEWFRPNPLCCRHHDQKVCSSGYMDKLEFPDVNLEPVIEVYLESQVYQYGCNNQRGDVPGKICSLRRPSQLKLGVFLLPHVSSTDLLPATENFVIEMIDGEEQQLSCHTNIALNQLNEIMLPKAIDSINQNGEVAAHQLLWKSKHEAAFFNVWNTRMNTSSILSTAGKETLLQQHDLQLESRADVISEFLTLWVERTPVMMQFSAVDWIQALLGGPGDSKKMLMSV >OB07G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:642106:642378:1 gene:OB07G11160 transcript:OB07G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRAVLPLLLVAGNNKKLPFSDISACGKGTGSIDHSCLLVPRKICQASSLVARADCSNHKLFRKRIAVTRIICLSLASISARLEQSTMAD >OB07G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:644851:651682:1 gene:OB07G11170 transcript:OB07G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLDPLLLLPLLWGAPRHLCVLVRPEPAMRRGRRPLPASSRRPPVHSIEPSRPDRNCIVESDPDPIMIIPVGRESRRLLKMGEIVSAAVVHETVNKIISGMIDRYERKSSAEEQMERLEMAQIKMEIALETSSKWQITSRPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEEEQQVRNSSFPRRIAHATKSLISSIFPGNFDEQTKSAVRRFEWFADGASDFLRSVEFGGTPRRYLFFDPLIGHLLAGETLEYKLVQGNKKHFFWIRPNNIAERGVEAKLIFICNDGSAPENSFFLCMMLQLSESTNIVGTIIKCLQLFTPYFQFTTETVRKDLTQLPTQDFSWMPPSCSYRWDNIHSVATEWFRPNPLCCRHHDQKVCGSGYMDKTEFPDVCLEPVIEVYLESQVYQYGCNNQRGDVPGKICSLRRPSQLKLGVFLLPHVSSTDLLPATENFVIEMIDGEEQLSRHTNIALNQLNEIMLPKAIDSINQNAEVAAHQLLWKSKHEAAFFNVWSTRMNTSSILSTAGKATLLQQHDLQLESRADVISEFLKLWVERTPVMTQFSAVDWTQALLGDLKKLLMGDRTVTWHENSTNKNKAIHRQIVVLRQQTCPAVVIGGERRKETWRRKGPTGSESGKGIGIIEYVSMFTGSSASYCKCVCSKSNLLVSWLLWKERNPSLVQARSGSVLSRKKLECATRTFFLQQLALVQDWSVNGSRFFKFWWESYRLLTMGEIVCSAIVHEAVNKIMSGMIDKFEGKSSAEGHLERLEMAQIKLETALETSNKWKITGGPLLRWQKKLKRAAEECENTLRKSRQRLQKEEEEEQQVRNSSFPRRIAHATKSLVSSVFRANIDELSRSSVRRFEWYADGANDFLRSVEFGGTPRRYLFFDPLIGHLLAGETLDYKLVQGNKQHLFWIRPNNIAERGFEAKLIFVYHDGNAPEDNFFLCMMLQLSESTNIVGTTIKCLQLFTPHFKSTTETVRKELTQLPTQDFSWVPRSCSYHWDDIHIIATEWLRPNPLCCKHHVQKACDSGYMDKIEYRDVSLESVIEVYLESQISQSKFNERRAAVQGKSCSPRRPSHLKLGVFLLPHVSSSDLVPATDSFAMEMIDGEDQLCLHTNITLVQLNEIMLPKVINSFNGNTKATAHQFLWKSKHEAALFHVGNTKMNVPRILNAARKASLLQRHGLELESQADIISEFLKLWVERAPVLMQFSVVHWIQTLLGGAGDSKLLMSI >OB07G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:653987:654787:1 gene:OB07G11180 transcript:OB07G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSDSTNIIGTTIKCLQLLTPHFKSPTETVTKELVQLPTQDFSWVSHYHSYHWYSTHNTEWFRPNPLCCKHNCQSICGSGNRDKVELSDVSLKAIIAVYLEHQITRSRCNRERAATQGKNNKRKADLRGKGCYPRSPHLKLGVLFLLHKSSNDLLAGESFAVEVIDGEEQPCCHTNITLEQLDKMMLPKAIDSFNHNAEARVHQLPWKSKHEAAFFHLGKTRINMLNTLTTGREATLFQQQDLELESRADVISEFRKLWTERPV >OB07G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:657236:657433:-1 gene:OB07G11190 transcript:OB07G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAPKPPGDADLRASRILLQLRILPANSDDDQASIRLVGDGRKKPSLADQSGGDQQRKIEF >OB07G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:658833:663236:1 gene:OB07G11200 transcript:OB07G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37210) TAIR;Acc:AT4G37210] MEVEAEGEGVGEGEGEEAKTLERAEELFERGSKAIEDGDFVDAVDCLSRALEIRVAHHGELAPECVSTYYKYGCALLYKAQDEADPLGNVPKSSSNEESVKSTTNKDDRSSKASGSNTEDASSMEKADTEEGQNSNGKGQEENGDSDKDDDEMAGDEDDSDLDQAWKILDIARAIVEKSPDDTMEKAKIFSALAEVSMEREDIENSLGDYFKALAILEHLLEPDHRRIIDLNFRICLVYELASKISDAIPYCSKAISLCKSRIQSLKNDKEAGKDDTASAAEGGSAKSASEDEIELLSGILSELEKKLEDLEQAMATPSSVMDAIMKRIASKASDEQNATNTLPAAASFNSSSQMAGSSNGFDSSTLSTAATLGSTGSTVTDLGVVGRGVKRANIKPISAEPSPKRAAADSLSVKGDSSNNSDVHPPAQEGDDSVSK >OB07G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:663611:664831:-1 gene:OB07G11210 transcript:OB07G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGECVPSPWPELPPDLAGAVYCRLVSYGDRVRFRAVCRPWRLAARRQHPLPAALPWIALDRTTNESLPDGEVHRVPVPGELPADTVCRGAFDGWLLYESGEQRERCLRNPISMAKIDLPYHCDEVINPGFNLDGLYPTTVCLHEAVLRKIVVCSPDFLAAIIDYGAVLFLRPGSMHSTWSLAACPGYVGDIALYRGKLYFVAGHGELAVHDFSSSSSEASSSRRHGSAKDDSSSSCVDVVISTEPPLNNQNPPRGHFWDSTVYLVVSCTGKLLMVRWRRCLPLAPHYRHWCADELSKEIKVDVFEADLEKRRWSEVEELGEQALFVGTTCSKALPWPDHANCVFFLGLNVAKFSPDGTIDGIGGCAYCVYDMKNGAFSFDHPVSIERDFRRSRDDWFFPCDVVES >OB07G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:667433:669259:1 gene:OB07G11220 transcript:OB07G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWMAYLLADSTALFTLGHLSISSKVEGHQLVAFWAPLLLVHLGGQDTITAYSFEDNRLWLRHLQTLVVQVMGTVYVLYKYIPGSQRMVMVAAGLIFLVGILKYGERIWALRCATGDSIWSALEKSVSASSDRPDDERDGLLLKLLKRRSSLDAEDVLMAAHGLLDVCKGLFIGLRCGRRENVRQVLRSFELCGHLDKLMEMELSLMYDIMYTKAVVIHTWYGCCIRVVAPAAMVAAASLFELTAKDRYSRHDVVITYVLLLGGLVLELASLVRAAGSTWARAMLHDSGDKRCRRWLHDELLHLRQLFRAARHRRWSGYVGQYNLVSACCACRGLELQRGHRPGPLLLEWWHQWRNSRSACVADPVKEMVLRELLVLRRVQQQQADEMLIGSLPGLLTLRRRHLHGRLGWSVQDISFEGSIIAWHIASDICLFKDEYPRRRVGDEANLREAIRLLSDYMMFLLVARPYMLPGPVRRSRYLQVRGDLLRLLVVTRGRASGGAAQEDSPAEWALRTGLRAVLTSSDRPASYDTGVILADMLCHQPNQLEVIFGVWVEMLSYVADHCSKESHARQLSGGGELVTIVWLMARHANLSYVSSSSSSSRVESS >OB07G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:673846:674151:-1 gene:OB07G11230 transcript:OB07G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFFVSLARARALSLSACTHDMLFRRTKHLSYTFLYFISVPLFVVYFIRKFMVFEKVFPHARMICFCAMPRIYSVPFFSLFHSFILCSRSSIFYEKVYGF >OB07G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:674177:679132:1 gene:OB07G11240 transcript:OB07G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGLGEGGEASGSSSGIRSSTVSQSPSQVDGHFCKLQMKAYAKIGVVKPPPPAERLSIPVMVTRSSDRLRFPEERSDQLGDEEVIAPVKPNLTYMSQGNKKLVSDWVDQSQAMRGITTLVSVLETAASTLSARESNEKMPRDGYIIVISNGNEHSIGSLLSWRLRCVHAFGFCDANNSSTMRAIASNRDSTYGVLDDGGGITRAFVGSMDSIISSAVEPIEVKLECNEKVRLSAIDAPRITYLISYDKKMGFVWGNARAAAATNFIVYVTNLPDGNVHDHSALRSLLSVEVKRRADGASLEKIIVVSVEQGTKGSKEVAAEMVRSEAVRIVAGVAVEGKCCEVFHNAADDLRRRWTDLINESDYGKEAHGHPLISDLSAQMQDMETRLHNNYLWLEYLHSWWSHQWWQLPLQPRFMEKHQPEEAADYMDDPLVQLRIVLAKADAVPEEVRQPAGDLLPVLIHVKAPVAGLAKKKRAPVDMVAVLDISSYEARETRLDLLNTAMGYVMERLSHYDRLALIPSSAASQPPAAATASPLGVLHNMDELMRSKYTDLLSSVLVTSTDNDLPHQTSRWRLQLIKQYMGRRPPVAETVSGSNTNTPTLSESLAHAYKILDERPSDDKNRYPGIVIVISDRDKFSDDEQQRLKALITFNYNTSVDIFGFCRVNLDEDTARLMHQVASKSNGIYAIVDEYDNDHRNQITEAFMDCINKITSVIVDDVRVSIRCTSKTTLRAIESGRFRSSIDHGSNSGSILVGPLYAGAEKRFMAYVDNVDHHSGASKLFTVEAMCQHIMHPSCRRDKGDDARVVICKPNEATVESTEVVKEIVRIKAVKIASAITDPKTKKEDVLDDLQGLRKSLIREGLSRPDEDDVRLRLRPEGFALQSAAIIGDQSIASMLQWLSFQKLSEQPPMAPTMSSSEPSTSAAADLGQDGRTRSIQNLLARRPRYVSPTLGRASRS >OB07G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:689159:691204:1 gene:OB07G11250 transcript:OB07G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPELMDELVAEILLRLPPDDPSLAVRASVVCKQWYHLLTDRGFLRRYRAFHRRPPMLGFIRHHLPDHDGSHPCIARFRRFVPTTAFRPADPHHCNWWPVDCRHGRALFFSNAVELTVWDPMTGDTWRLHEPCITYTYSTAAVLCAVAGCDHGDCHGGPFVVVFVGTDDRKEIAWACAFSSETGEWTTSAPCTVHFEEYIDSKPSVLVGDAVYFLGGSGNSILRYDVGAPGLSVIPPPPAHENGNVLLTTAEDGALGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPWLPPPLPRVPPTASDAGLHPPPPPRPRRQPSLHSTVPSVRPHHRVPPCRSPPLQLVARRLPPRPRPLLLQRRRAHRLGPHDGRHMAAARALHNVHVLHRRGAVRRRRLRPRRLPRRPLRRGVRRHRRQEGDRVGMRVLVGDRRVDDERTLHSSLRGVHRQQAQRPRRRRRLLPRRLRQQHPALRRGRTGPVGDPPAAGARKRQRPPHDGGGWRAGAGRLRRRLHPPPVVQGRRRRRGRRGGRRRMGEARSYRAAGHRAPLACPAPAAADWLRRGH >OB07G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:702459:703487:1 gene:OB07G11260 transcript:OB07G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFSKQHDNTTLSSSSPPPPTIYTSPPPPSPSHHSTSISPFTSPRSHAYDAELIAKRMGLCLSSGVAAAAAEAGMSTAMVLLPTGEMREYPRPATAARVLEDVAAAGEEGCHFLCDADAMGFEGPVSAKRMETKRKM >OB07G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:707322:709532:-1 gene:OB07G11270 transcript:OB07G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid division2 [Source:Projected from Arabidopsis thaliana (AT2G16070) TAIR;Acc:AT2G16070] MEGEEIGLVLARASDLRSRISACVAAAGAPQPSESEAREAVKRLGAGEEDYSGEEEEEEAAEVESLVGISDALQSLERQLASLQDLQHQQRYERETILSQIDRSRGFLLNKLKEYKGKDCEVIHEAASFAGEKIEHDDGLILPPYSNHVTNSFGLDDLYPPEYLAKLKCMRNGLDSGGINQDDAKTNGLEDRNGSMSNCNSRGGIRSFIGWLAKTTVMVVGAVSIMKAAGYEPVIGRNSMKLEIGGLFGKEATRGKEHVTVQCPPGKVMVLKDGRAHCVVKERIEIPFDTNLASPNASYGLG >OB07G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:711152:711517:1 gene:OB07G11280 transcript:OB07G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAVRDGKIDGSGEVGEGEVPGEQVPEALPVRRPLDGLARRPALPAPLHRRPQALREAPLHGVDEPLRREPGVPGVRLQQLGQHHALLLPDADRRADVLLPRRLQLLRQPLRAEHPHLHR >OB07G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:711191:715405:-1 gene:OB07G11290 transcript:OB07G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKKGRKSLPKAMKPSNTTVKHNRSKSDLEDKNAKDSLSSSLKACNQPKLILQLERHLKDQEVVRGALEKALGPPDHPAPVTISHESPLLKPANELIREVATLELEIKHLEQYLLTLYRKAFDQQQQAPTLPSSDAHREAPKAPKLSVSSRCSLLEETPKAKAATVRRVGGASDAVLHYSCPPPLSKRWNGGGTVAVDDCSPSTCPRKTVDSDHGLRSQSALSFRGVCSSRISPSEESLARALRSCHSQPFSFLEEGEAAPSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLEHHRASSSPTSSFSSTSVVSPQYLGDMWSPNCRKEATLDSRLINPFRVEGQKEFSGPYNTMVEVPSISRDRRRLREVEDLLQTYKLILYRLETIDLRRMTNDEKLAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQWLRTLLHPRIKSKASKAGGGEWQAFAIHQSEPLLRFALCSGSHSDPAVRVFSPKRLSQQLEAAREEYIRATVGVRKEQRVVLPKLLESYARDARLSPERLVDAVQRCLPESLRAAVQRCRQGRSASKAVEWAPYRQSFRYLLARDLAFPHLT >OB07G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:715383:715670:1 gene:OB07G11300 transcript:OB07G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIHEEHKNNLHNYSQLELTAEGSEKRRGGKERELPMMQVSSRMVPSESRSHTKIQEALHCTQPPLPIGHLPPPTPLHFSRSKCVRLGRHFAN >OB07G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:721935:724856:-1 gene:OB07G11310 transcript:OB07G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAVLHKTMKNQNQHARPKGGASPSVSKASSVQRTPINNLEKRTHSTPTRSRINSDEQVFPPADDAVPDPPKVSPVADKRHKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILSENSTFFADKLSRQSPVSCIEVSDCEDVEIYVETVGLMYCKHVKQRLIKQTVARVLRILKVAESLGFPACVMSCLNYLEAVPWVGDEEENVVSSIRQLHYENYGVSPLLKRVASDLTNPPNDTLAHIIELVLKSSDERGRREMKSLVLKLLKENSVWTNGSSDSCAVTFYSSCRNCLESLLNLFHRASDPEFSEQSSDKKDMIFRQITVEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRVPVMHRHLISCLTARLFVAVGKGEALPSKETRQLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQTILLAWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQGSSSSRRS >OB07G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:737160:746943:-1 gene:OB07G11320 transcript:OB07G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G18860) TAIR;Acc:AT3G18860] MAQYHLSAQLRGHEDDVRGICICGDVGIATSSRDRTVRFWTQHPEKKHEYVLSRTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVAETMKGHTSQVTGLAVDNNGDVISSAMDCTLRQWRNGNAVEVWEAHKVAVQTVMKLPSGELFTGSSDSTIKLWKGRTCLHTFTGHADTVRCLAEMPGLGILSASHDGTIKMWALTGQPLLEMIGHTSLVYSVDAHSSGLIVSGSEDRSVKIWKDGVCVQSIEHPGCIWGAKFLQNGDIVTACSDGIVRIWTTDNNRFCSEDELAAFTDLISQYALSRKTVGGLKLSDLPGIEALQVPGNSEGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFLDGVHYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNTYVPDPSFRDPYTGANAYVPGQSASPTVSAPKQTFKHIPKKGMLTFETAQFEGILKKLSEFNATLSSNLEQKQLSLSETELSRLAAVAKVLKETSFYHTSKLADADMTLLLKMLKSWPAQMMFPVIDFLRMFVLHPDGATLLLRTIESGNDVLAETFRKVVTSPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHCAEIIDSVSSCKPTFSKNAHVAYSTLLLNYSVLSIEAKDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVASSARTSKDSKIAEVGADIELLTR >OB07G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:749390:749674:-1 gene:OB07G11330 transcript:OB07G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRFLHAVSRRRKSRARHEHEAFLFHLLRLFSSICSRNLQLCALYFLYFFLPLLIFIHFSTRLFIFICKPRPKNLLVWLARISIEIEVKKECSF >OB07G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:756871:757263:-1 gene:OB07G11340 transcript:OB07G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTKAVVLLSVVALSAVFASAAAFHDHHHPHGGGGGGCFAVPWLGPPGGGGWGAWGAGYGGAGAGGGYAHHEATAPSTVCMEKGPCYKKRLTCPDKCFKSFSFKGKHGGGGGGGGGCSFDCNKCEATC >OB07G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:761589:762785:1 gene:OB07G11350 transcript:OB07G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATHQHSPRTPRASFGFSPIRYPNSFPAAAAMDRXXXXXXXXXXXXXXXXXXXXXXRRIARVASALASLADAVAAVASDLAAFVRSDSDAIPPTVRQLSKLASSPEASASASALSGALTAGVLRGYAAAAASGPPSGDEVAFSDRLLDRILSPSGERLASAVAGSFGSQLVLAFYSAPSDPSVASSSPSWVDVVTTGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQLIAAATNPSYSARLQRLLVALCNASMETLVKTSHSVLSNPNTNSISNRNGNDNGSGSGSGNNGGVREGWVETMSTALAVPCNRTLMLDLTGRATFEAVRSFLEFVLWRLHEGARAGGDAAIAAGLCALRYMSERSMVIAAICIALCLLVLNGTWLMARPEPASVEQL >OB07G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:766348:766779:-1 gene:OB07G11360 transcript:OB07G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPATALLLVAALLLAAVATGAARKEPPFKKDVASLDEKETLAAAVKEVGGGCKKGETEEACLARRTLTAHTDYIYTQQHHN >OB07G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:777973:778371:1 gene:OB07G11370 transcript:OB07G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHEAMQLDFELQDMDVRFGFGLGFGIEAAVVGSDVTVSDDAAGLPPVVGVVGVRKIDDATGGDEGSGGGGGVLLYCDGGGEEDDEERRRRLRRKISNRESARRSRERRRQRVEELERAADELRAERRALA >OB07G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:789303:791093:1 gene:OB07G11380 transcript:OB07G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGGGGEAELRGHFSQFGAVRSVIVMRDKETGQGRGFGFVEFEEEDAAGNALGEPRHLICGRLVDVKRARARPQRNHDEQPSQHQPLEQGQEQGHQPEPGSGTEDGSDNMNYASKKVFIGGLRDNITEEEFRTYFETFGNVTDVVVIYDSMTNRSRGFGFVTFGSEEAVRKVMEQSFHDLKGTRVEAKIAIPKDASYYRNGRGRGSRNFGGRGHAGYDGASYQPYSERYGFYNSYMPQPVPPNPFYPGVYYGMGGYPYANAYSNLGVPANVPGMMTRRPVYSAYPPMYPGYGVVYRGYAGAATSVQHASNGGSDNKKDQTSVDVQEVDSAASVATKLEFMKLGSQ >OB07G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:808346:812966:1 gene:OB07G11390 transcript:OB07G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNNGNAGTNAAASGWLGFSLSPHMASSMDEHHHVHQQQQQQHHGLFFPSVTTAAAAYGLGGDVRIRDTGVAPPSSPPPPPAAASGLGGDVVAATNGYYSQLASMPLKSDGSLCIMEALRRTDQDHHGPKLEDFLGAAQPAMALSLDNTSSFYYGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGHLHPLALSMSSGSLSSCVTAGDYGMASPAAGPPPTAAAGAAAAAAGRSSRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGDLARRKKDADDSAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFFDKPSSAAASQASKLMAPLPLGSWVSSPPASARPAAVSIAHMPLFAAWTDA >OB07G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:814146:817204:-1 gene:OB07G11400 transcript:OB07G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWAGRPALAGGGTPVVVKMENPNWSISEVDDADGEFLAGAAGGRRRPRRGKNAKQITWVLLLKAHRAAGCLXXXXXXXXXXXXXXXXXXXXXXXRRSRLYAFIRASLVLSVFLLAVELAAYTNGRGRVLLASVVSFHASWVRFRADYVAPPLQLLSDACVVLFLVQSADRLVQSLGCLYIHLNRIKPNPVSPAAALPDPEDPDAGYFPMVLVQIPMCNEKEVQKHKHHPQIAPKDSFFRTKHSNFVLFIQVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQALIREEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGMFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFIFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKEMKQPNACSMPILDLAAIKEQSILKQSSPRKEAKKYNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGLSFLLVGLDLIGEDVK >OB07G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:821010:823181:-1 gene:OB07G11410 transcript:OB07G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLASVLFGQLRQTARWFYTQLSRSEPYVYWEDIPHGLHRSGKAWGRYYQRMREKGEDQRERVERIRCMQDMFKKERSKCRDYRTRDGPNPSYYQNSRRDDWYWEAESFYANQRTHFRSMPRETMGYTMSQHYSVLGLDRSRLEPFSDAEIKNAFRRKAMEYHPDQNQHNKEVAEAKFKEVMDSYEAIKLERQNGSL >OB07G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:826093:826590:1 gene:OB07G11420 transcript:OB07G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPKMGAAGAIAMLVAAVLAAATSSMAQNSPQDFVELHNVARSVEGLGDVVWDEAVAAYAESYAAERAGDCALIHSGSWEKAGYGENLFGGPGGECLLGAGGELVRPLHAGGVARLYGDWLRPRRLRQRRRRLHHLQLQPRGQRPGRAPLRACLNTLCLINSN >OB07G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:839831:840040:-1 gene:OB07G11430 transcript:OB07G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAASGLLVGAVLTAGSCLLLRCCHGDALLLTAAASASCLQCCHGAASASSYNNHMHFDDHAYSEAR >OB07G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:840893:841432:1 gene:OB07G11440 transcript:OB07G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKMGAAAAIAVLVAGVLAMAVMAQNSPQDFVDLHNAARRVEGVGKVVWNKTVAAYAESYASKRAGDCALIHSGSWEKAGYGENLFNSSDGRSMAADAVNAWMREKSKYDYDSNSCRGKRDSCFHYTQVMWSRTRAIGCARVVCNNSAGVFIICNSSPSGNFPGQRPFVRALTLSAA >OB07G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:846273:846509:1 gene:OB07G11450 transcript:OB07G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPKMGAAAAIAVLVAAVLAMAAMAQNSPQDFVDLHNAARSVEGVGKVVWDKAVAVYAESYAAKRAAGDCAPIHSAX >OB07G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:857623:857868:1 gene:OB07G11460 transcript:OB07G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSPQDFVDLHNAARRVEGVGRDKAVVEYVESYAAKRAGDCALIHSGSWKKAKYGENLYGGPDGGGHWTAADAVKSWMS >OB07G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:859559:863644:-1 gene:OB07G11470 transcript:OB07G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAAFAAVAMATAVLAMTTTARRRSSCSSTTTPGRAAVGVSTAVSWNEALAATKALEHARYCQKKHMSYWVLWRGATGGTTGIAADAMSYWVGEKQYYDHGSNTCSAPAGIYGCLHYTHQSLTRTTYVGCARVACNTGGISTLLACNYYPRGNMDGEIEPLLIKLLLATYYS >OB07G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:869103:869489:-1 gene:OB07G11480 transcript:OB07G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding XARSAVGVPALSWDDNLAAYAQSWANQRAGDCSLVHSDRNNYQYGENLAAGQTLTAAEAVNMWVAEKSSYDYASNSCVGGKMCGHYTQVVWRDTTAVGCAGVVCGGNRGVFFTCNYYPAGNVQNQRPY >OB07G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:877327:877818:1 gene:OB07G11490 transcript:OB07G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASFALVMAMAVLVSTSTAQNTIADIVTPLHNGARSAVGVPALSWDDNLAAYAQSWANQRAGDCSLVHSDRNNYQYGENLAAGQTLTAAEAVNMWVAEKSSYDYASNSCVGGKMCGHYTQVVWRDTTAVGCAGVVCGGNRGVFFTCNYYPAGNVQNQRPY >OB07G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:880636:880968:1 gene:OB07G11500 transcript:OB07G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAAFAAVMMAMAVLATTTTGALQVQFSEAEKAQFVQLHNDARAAVGVRTAVAWNEALAAKALEHARYCRKEHIPGPYGENLWWGWSSAAGWVGTPADAMHIYIYIYIY >OB07G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:884858:885241:1 gene:OB07G11510 transcript:OB07G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPNKKAVLISLMIAIGVFATSSMAQVTQQDLVDLHNVVRADVGVGPVTWDDTVAAYAQAYAKDRRGDCKLQHSNSGGKYGENLFRGSPTPAGSTARTCSGAPPAPTRRRPTWWAPGWRRRSGTTT >OB07G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:884942:885237:-1 gene:OB07G11520 transcript:OB07G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVPLLLLHPGAHHVGRRLVGAGGAPEQVLAVLPAGVGVLQLAVAAAVLGVGLRVRRHRVVPRHRPDAHVGPHHVVQVHQVLLR >OB07G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:887323:887856:1 gene:OB07G11530 transcript:OB07G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNKAAGFAMVMAMAVSAMMVTTSMAQNSAKDFVDLHNAARAAVGVGPVTWDAKVAEYAAGYARQRAGDCKMVHSGGKNGAYGENLWWGSAGRAWTAADAVTKSDFAWVKEKQWYHHAGNRCSAPAGKTCYHYTQVVWRRSTAIGCARVVCNGNLGVFIICNYSPAGNIRGQSPY >OB07G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:890055:890588:1 gene:OB07G11540 transcript:OB07G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPSRPVAACLFLVAAVLAVLAVAPPCCMAQNSPQDFVNPHNSARSDVGVGPVTWNETVAAYAQAYANQRQGDCRLVHSNCTGKYGENLFWGSAGGNWTAASAVAAWVSEKQWYNHTTNTCSAPSGKSCGHYTQVVWRSSTAIGCARVVCNGTLGVFITCNYSPPGNYIGQSPY >OB07G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:893103:893360:1 gene:OB07G11550 transcript:OB07G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRLACCLLVAAVLAVAMAATTCMAQNSREDFVNPHNAARAEVGVGPVRWDDAVAAYAQSYAEQRRGDCQLRHSDTGGKDGA >OB07G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:898919:899440:1 gene:OB07G11560 transcript:OB07G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSSRCRLTCCLVVVLAAAMATTTCVAQNSPQDFVDPHNAARADVGVGPVTWDDTVAAYAQAYAEQRRGDCQLRHSDSGGKYGENIFWGSAGAAWSAADAVSSWVSEKEWYDHDSNSWAAPEGSSCGHYTQVVWRDSTAIGCARVDCDNDLGVFITCNYSPPGNFEGQSPY >OB07G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:909858:910391:1 gene:OB07G11570 transcript:OB07G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSCRVAWWMSVAAMLAVALVAMAPTACMAQNTQQDVVDLHNAARSDVGVGPVTWNDTVAAYAEAYAEKRRGDCLLQHSDSSGLYGENLFLGSAGGNWTGSDAVALWVAEKQWYDHASNSCSAPAGSSCGHYTQVVWSNSTEIGCAGVVCDNNLGVFITCNYSPPGNVDGESPY >OB07G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:913702:914232:1 gene:OB07G11580 transcript:OB07G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSSSSVALLLLLAASLALAMPPPCRAQQELPEDYYVYPHNATRALVGVPAVTWNATVAEYAQSYAAELIKDGGCELQSSGTILYGENMYFSSDAGSTAADAVASWASEEQWYDHDTNSCSAPEGNTCGHYTQVVWLNSTDIGCATVVCDGGRGVIITCNYWRRGNVAGESPY >OB07G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:941535:947944:1 gene:OB07G11590 transcript:OB07G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGFGSLGGGAAGSGKAAASSFLQLPLSTAAASSMGGGGPAYYGTPLALHQAAAAAGPSQDHHHHHGGHHKHGGAAGGEISPAEAEAIKAKIMAHPQYSALLAAYLDCQKVGAPPEVLERLTATAAKLDARPPGRHDARDPELDQFMEAYCNMLSKYREELTRPIDEAMEFLKRVEAQLDTIAGGGGPSPPPLPHGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQSAAALYMDAPFMADGMYRLGS >OB07G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:950563:952605:-1 gene:OB07G11600 transcript:OB07G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTSLLFLCLASSFAAAAGDDQFVFSGFTGSSLALDGAAAVTEDGLLELTNGADNVKGHAFYPAPLRLRDSPNGTVRSFSVSFVFSILSKYADRSTDGMAMFIAPDKNLSDALPAQYLGLLNDRNNGDSSNDLFAVELDTIQNKEVADMDDNHVGINVNSLSSLDAHYAGFYEDESGIIIFRNLTLVAQEAMQVWLDYDGVAKRINVALAPAKLAKPQRPLLSVSYDLSMVIADPAYIGFSAATGGVANTKHCVLGWSFRMNGPAQPIDVSRLPKLPNLGSKSRSYKILAIILPLATVVVSISAAGVLLVLCVRRRLMYREIQEDWEVEFGPHRFSYKDLYDATQGFKDKCLLGVGGFGKVYKGVLPVSKQEVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDNYLYCHDVSEPTLDWAQRFHIIKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDRDMNARLGDFGLSRLYDHGADPQTTHLVGTMGYLAPELVFTGKASPVTDVFAFGVFLLELTCGRRPISNSLQDNQQQPMLVDWLLEHCQKGLLHETVDRRLQGNHSVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDVPLPELAPAELKFNMVALMQGQGFDSYVMPCLSLSSVVSAETSPKLDDDHDTVSV >OB07G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:955800:957785:-1 gene:OB07G11610 transcript:OB07G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLTILLFIGINLASITAGDDHHRFVYNGFAGANLSLGGTAKVTPDGLVELTNDGVRVKGHALYPSPLRFRESPNGMVRSFSASFVFGIVPTFSDLSSGHGIVFFVAPSKNFSDAIAAQYFGLFGNQTGGNGGGGQVFAVELDTIQNRELGDMNGNHVGIDIGRPNSLLSHPAGFYDDDGMFQSLTLTSMEAMQVWVDYGRDTTQINVTMAPAGMPRPAVRPLLSATYNLSGLLMEPAYVGFSSSTGAASARHYLLGWSFSINGPPAPAIDIAKLPKLPRRVLPKAGPSSKMLPIILPVAIAAFLLVVGTAISLLVRRRMRYAELREDWEVEFGPHRFTYRDLFHATEGFDDKNLLGIGGAGRVYKGILVGSKQEIAVKKIPHNSKQSMKQFIAEIVSIGRLQHRNLVRLLGYCRRKGELLLVYEYMPNGSLENHLYGQDDTCNLDWKQRFQIIKGVASGLLYLHEEWEKVVIHRDVKPSNILLDNGMNGKIGDFGLSRLHDHGADPETTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEVTCGQKPMKQNAQGIQQTLVDWVLVHWHKGSLVDAVDANLQDDYDIDEASLVLKLGLICSHPSESLRPNMRQVMQYLNGDMPLPETILTHPGFGMFHLMQERVSNLYVMSYPSQV >OB07G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:960191:960373:-1 gene:OB07G11620 transcript:OB07G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVDARLAGDYDTGEAELVLKLGLLCSHPFAGAAPPPADLSFDVLAMMQYKGFDTCGGS >OB07G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:961417:967713:-1 gene:OB07G11630 transcript:OB07G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHVVKLVFFLALAAACSTGGNGDEQFVYSGFTGANLTLDGAAVITHAGLLELTNGTLRQKAHAVHPVPFRLRSGSSTSTTAVRSFSASFVFAIVCPDADACGHGIVLFVAPADHDFSAAFPSQYIGLFNGTSNGDAANNLFGVELDTDQNSEFRDIDGNHVGVDINSLTSVDSASAGYYDDAGDHGFRNLTMASHGTAMQVWVDYNGTARQISVAMAPLKMSKPSRPLLSTTYDLSTVFTMDKPYKVGFSSATGSFNSKHYVLGWSFAVDGRPAPAIDVDKLPKLPRFAPKHKPKMVEIIPPLATAAFVVVSLLLIRRRMRYSELREDWEVEFGPHRFSYKDLFHATDGFKSDNLIGVGGFGRVYKGVLPSSKLEVAVKRVSHDSKQGMREFIAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMSNRSLDKHLYDDGVLDWGQRFQIIKGIASALLYLHEEWEKVIVHRDIKTSNVLLDSEMNGRLGDFGLARLYDRGADPQTTHVVGTIGYLAPELGRSSKATPLTDIFAFGIFILEVTCGQRPVVQVPHEGHLVLIDWVLEHWHKGSVIETVDPKLQGNYNVDEVCLVLKLGLLCSHPLSNARPPIRQVVKYLNGDMEMPELVPTHHSFHTLALMQNQGFDSKLRSKDEQLAKKSILTVPVMKIAPFFVLLPILLFYGFHLVLAIAAGDDHQFVFSGFSGANLTLDGTATVTADGLLELTNGSTQLKGHAFFPAPLSFRRSGNGSTVRSFSASFVFAILTTYPNLSCHGVAFVVAPSSDLSTALAQQYMGLTDIDRNGDASNHFFAAELDTMQNVEFQDINNNHVGVDINGLRSVEARSAGYYDDGNGSFHGMNLIGGDAMQAWVDYDGDVARINITIAPIDVPKPARPLISAAYNLSDVLMEPSFIGFSSSTGPINSRHYVLGWSFGMNKPAPAIEIAKLPKLPRLAPKPRSKVLEILLPLATAAFLFSLGIAVVFVVRRRRRYAELREDWEDEFGPHRFAYKDLLHATDGFNDKHVLGAGGFGRVYRGTLPKSKLEVAVKKVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNRPVLDWAHRFQVIKDVASGLLYLHEKWDKVVVHRDIKASNVLLDGEMNARLGDFGLARLYDHGDDSHTTHMVGTMGYLAPELIHTGKASTLTDVFAFGIFILEVICGQRPIKQDEHGAQVLLVDWVLDQWQNGSLLDAVDPRLQGEYNVEEACLVLKLGLLCSHPSPSARPCMQKAVDYLEGDTPAPEMASTQESLKELALMRNKGFDPYIMSYHPSSTDLSSVILKEKASVNKNNRGSVDASAYLVF >OB07G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:974574:976067:1 gene:OB07G11640 transcript:OB07G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPLHVFLLSAGLFLASLHHTPPCSAAADGGDTLMAGQALALGDKLVSGDGKFALGFFQVQAPTSISNSTTTTTTIPGWYLGIWFNKIPVFTTAWVANRENPITAADLINHSAHLKISRDGNLAIILNSTASSESMIWSTHIIVNRTTGTSTNTSALLMNNGNLVLMAENPPSSSVHVVLWQSFDYPADVGLPGAKLGRNKITGLNRLFISKKSLTDLGLGSYTIELGTNGVLFIKRRRPSLVYWSWSSGQLAYTLVPLLNELLDMDPRTKGLLKASYVHNNEEEYFTYTSHDESISAFVYMDITGQVKLKVWSRPKQSWQTIYAEPSDPCSLRDACGPFTVCNGNSVPFCDCMEGFSPRSPQDWDAGDPIRGCVRSTPLDCRSSNLQDTSPEGMFHTIARVTMPSDPESVDDASTRSKCEQGCLANCSCTAYSYNGNRCSVWHGELRNVNHNDGIDDSSEDVLYLRLAARDLQSIRRNTKRKPRVVAMVSTVGF >OB07G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:981674:983698:-1 gene:OB07G11650 transcript:OB07G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHVLFSAAVFILCLSLHCTGSEENFVYSSFADAGEANLALDGAATITPEGLLQLTDKVANIQGHAFYPTPFQFKKQPNGTVQSFSVAFVFGIFSPYPDASTDGMAFVVAPNKSFPGASSAQYLGLLNSSNNNNTSNNMFAVEIDTAQNNEMNDIDSYHIGVDINSLISEKSHPIGFYDDKHGGVFKNLTLECSNCKRFQVWVDYNGETTQINITLAPIKVQAKPTRPLLSVIFNLSTVLTDKAYIGFSAATGPITSRYYVIGWSFAMNAPAPAIKIARLPRLPCPRDNSLQKILKITLPIVNAALIFAIIILLVRRQQRYAELREDWEVEFGPHRFSYKDLHNATEGFKSKHILGTGGFGKVYKGVLRKSKMEVAVKKVSHGSNQGMKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYGEDNKPVLDWTQRMQIIKDVASGLFYLHEKWDKVVIHRDIKASNVLLDSEMNARLGDFGLARLYDHGTNPQTTHLVGTMGFIAPELARTGKASPLTDVFAFGTFLLEVTCGRWPVSNSAHHGRKMLVDWVLQHWHRGSLLETVDPKLHGIYNDDEVCLVLTLGLMCSHPIPNARPIMRQIMQYLDGDAPLPEFTPANLSSSLLAMMQDEGFDPYVAQYPWSANSLGTMTPEILSGR >OB07G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:982500:982916:1 gene:OB07G11660 transcript:OB07G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSDMNSFIPWFEPCDTFFTATSILDFRSTPLYTFPKPPVPSMCLLLKPSVALCRSLYENRCGPNSTSQSSLNSAYLCCLLTSRMIIAKINAALTMGNVIFKIFCKLLSLGQGSLGNLAILIAGAGAFMAKLQPIT >OB07G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:985503:985667:-1 gene:OB07G11670 transcript:OB07G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFELIIYCFLYISMKLIIFKKIDIVMKLSNILKNYKFLNMSFELIIYCFCIFL >OB07G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:989517:991595:-1 gene:OB07G11680 transcript:OB07G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQALHPFIFFNVFVQVRQTSHRKFCFLFFLVSFGANCTSFTTSSDDQLLYHGFTSANLITDDTTIVMSNGLLELTNGTVNRKGHAFYPSPLHFHRSHSETVQSFSVSFVFAIHSSYPGMSLHGLAFVVSPSTNFSNALASQYLGFLNSQNRGNSSNHILAIEFDTVMNIEFEDINNNHVGIDINNIHSVKSHSAGYYDDRTSSFRDISLISGDPMQAWVDYNGEDKKISVALAPIKMEKPTRPLISISYDLSTVLKEPSYIGFSASTGSADSRHYILGWSFGMNKPAPTIIVNKLPKLPRRQGSNPQLKLLAITLPIASAIFVILLCGVFIIIVRRRLRYAELKEDWEDEFGPHQFSYKDLLQATQGFSNKQLLGAGGFGKVYKGVLPSSKLEVAVKRVTHESRQGMKEFVAEVVSIGHIRHRNIVQLLGYCRRKGELLLVYDYMTNGSLDKYLYYSDLKPTLSWDQRFRIIKGIASGLLYLHDKWEKAVIHRDIKASNVLLDSQMNGRLGDFGLARLYDHGSDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGTFLLEVTCGQRPVNNSAQDNPRVLVDWVVEHWQKGLLTNIIDTRLQGKYNIDEACSVLKLGLLCSHPFTSIRPNMQQVMQYLDGDMLLPELTHMEMSFSMLSIMQDEGFNPLTLSYPPSGTSIGTISNISGGR >OB07G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:994522:996563:-1 gene:OB07G11690 transcript:OB07G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSLLLHLIFFFLLISNLSALVTADDEFVYSGFNGANLTLDGAATVTPNGLLMLTNGSLRLKGHAFHPTPFSFRKKPNGTVRSFAVSYIFAIYCLRPNICGHGMAFVVSASKNFSTAMASQYLGLGNDESNGDSANRFFAIELDTNQNDEFKDINNNHVGIDINHLTSVNSSSAGYYVGDNGNFNNITLTSYKVMQVWLEYNGNSKQINVTLAPIKMAKPVKPLLSAYCNLSTEFTGMAYVGFSSSTGSFVARHYVLGWSFGINKPAPAIDISKLPKLPYEGQKPQPKVLAITLPIASAIFVLVVAALAILLFRRRLRYAEVREDWEVEFGPHRFSYKDLFYATEGFKNNNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFVAEIVSIGRLQHRNLVQLHGYCRRESELILVYDYMSNGSLDKHLYGQENNSTLTWAQRFQVIKDIASGLLYLHEECEKVILHRDIKPSNVLLDDNMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGMFVLEVTCGRKPIDQTSQDGQLMLVDWVLHCWNQGLLNDAVDIKLQGIYNIDNACLVLKLGLLCTHPFINRRPTMRHVMQFLNGEMELPELTPTNMSFNMLSLMQNQCFDPKITMTNPFPISNSTLSDLEVRSHTWSPYNV >OB07G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:994606:994806:1 gene:OB07G11700 transcript:OB07G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILGSKHWFCIKESMLKLMFVGVSSGSSISPLRNCITCLIVGLLLIKGCVHNNPNFRTRHALSIL >OB07G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1000439:1001728:1 gene:OB07G11710 transcript:OB07G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLRRFFPDVYRRMHGGGEGEGVSNYCRFVSRGGASTPAAANIAMLIVGRVLLGVGIGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCVSVGAFVAQLVNFGTEKIAGGWGWRVSLAVAAVPAAFLAVGALFLPETPNSLIQQGEDHDKVRALLSKIRGNDGAGVEDELDDIVAADRSKVTARRGLAMMLTQRRYRPQLVLAVMIPFFQQMTGINAIAFYAPVLLRTVGMGESAALLAVVVKQVAGVAATLASMFAVDRFGRRTLFLAGGAQMVVSQVLIGAIMAAQLGDDGGLSRASALLLIVLVAVYVTGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVAMTTFVYLLLPETKGLPIEQVGKLWAHHWFWKRFVTDSGDDDECRAIGVDKL >OB07G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1002152:1004182:-1 gene:OB07G11720 transcript:OB07G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNRRCFVPELVSTIVIVILQVAIVLPSYITAATGDGQFVYNGFSNRDLIVDGAATVLPGGLLELTNGTALTKGHAFYPTPFRLRGSPGAALQSFTVCFIFGIVSAYREVATDGMAFLVAPSSNLSGANSAQHLGLFNEENNGNMSNHVFAVEMDTIQNKEFMDIDSNHVGVDINGLRSVNSSSAGYYDDITGGFRNMSLMSGEAMQIWIDYDASATRIDVAMAPFKMAKPTKPLLSTSQNLSAVLTDVAYIGFSAATGPFETRHYILGWSFSMNGTIPSFLTDQLPQLPRVSRKASQQSKVLLIIVPIATAVFVFSVSLAIFLFMRRQQKYAELREDWEIEFGPRRFSFKDLYFATEGFKNRHLLGIGGFGRVYKGFLSESKLQIAVKRVSHESRQGIREFIAEVVSMGRLRHRNIVQLLGYCRRKGELILVYDYMPNGSLDKYLHCNSNRPSLDWNQRFRIIKGVASGLLYLHGEWEQVVIHRDIKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELVNTGKASPSTDVFSFGIFILEVTCGRRPIEHEMNSDKLTLANWVIDHWHKGSLLEAMDPKLQNDYDADEACLALKLGLLCSHSSPTARPSMWHVMQYLNNDLPFPELNPMDMMQNRWVDSPVTYCQSVASDGTMSGLSEGR >OB07G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1005879:1006076:-1 gene:OB07G11730 transcript:OB07G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAINHIFAIELDTVLNNDMLDIDDNHVGIDINDLRSTDSYTAGYYDNKNGVNQNGLGPIKVD >OB07G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1006155:1006615:-1 gene:OB07G11740 transcript:OB07G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHELTMHIFDDRITSQEGLMFRTICVCVFCQAFKYRMQLQAKKPTAVWRLTCLCFSREILRCRRRRRRRRSGAVHLHWLLRQHHHRKRYGCGHAQRPPPAHQWHSPVQRPCVPSNAAAFPGAAAWHRWHGTAVLLLLLRVGDPLHRPRR >OB07G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1011730:1013375:1 gene:OB07G11750 transcript:OB07G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLQCSHAHLPLPIFFSILLLFFSMEVAPSDARRLPLKLLEVGNIKEEPDGSTRGEKMKMEGRNLIGSRPPRCERVCMSCGHCEAVQVPIVPQQLKRSETKAGEAAAVVVSATAINAAVFTYRVNGLSNYKPLSWKCKCGGIILDP >OB07G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1013382:1013720:-1 gene:OB07G11760 transcript:OB07G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLASSSCSALTVTIVTCTHGRSIHRQQQHLLLQICIIYYPAGGQAAIALVKLSASLSSSFFQWSAIAFSCCRKLQSSRPLSLLFCLEEFQRKLEGLKRILLLYLCFINL >OB07G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1017285:1019735:-1 gene:OB07G11770 transcript:OB07G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLINGVMVQKVIATTFPEFTYNGFTGRNLLLDGAASVGEDGILTLTNGTTGVQQQGRCFYPYPIHLQYAGGQSRSFTSTFVFAIACSGSGCGDGMTFVISSAADFRAASSPAYLGLADPHDALSNPLVAVELDTVADPELMDRNGNHVGVDVNSLTSNLSRPAGYIYRDDGAATNFSFQALNLSSGDPMQLWVNYDAETTQLDVTLALVPMFKPSTPLFSYNVSLARLLVTGDSPMTKAYFGFTASTGESGGTSHQVLGWSFGLGGSPLNYTLLPLKRAHQDQGGGGGRARGDRRSFIAWLSAAASVLAVLSAVAAGLAIRWWKRRAGRRENWEAELELGPRRFAYRDLRRATDGFTRLLGKGGFGRVYGGVLASDMPVAVKRVSPDSRQGMAQFTAELIILGGLRHRNLVRLLGYCRHKEELLLVYEHMPNGSLDRYLHGGQYTTRPLVWSQRLHVVKCVAAGLLYLHDDWEQVIVHRDVKASNVLLDAEMNGRLGDFGLARLHDHGAEALHTTHVAGTRGYLAPELLRFRKATKATDVFAFGAFVLEVACGRRPVGLNARGVLLVLVEWVRHIWSSGGSVVDAMDPRLEDYAADEAELVVKLGLLCSHPLPVARPGMRLVMQYLDGDLPLPEFSPDYLCITDVDQVLIDESSSSVATTITGHGPFHLFSWAEAEFHAQWASPGRGALHSNQPLLPFSCRVRASPWTESMVQVHCGLGQ >OB07G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1030233:1031919:-1 gene:OB07G11780 transcript:OB07G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLSLKMPVTPRPAGAGAGVHPSTSPCYCKIRLNKLPYQTADAPLVMLSSSSSSDEQAQAPAPATGALAAAFHLSKADLARHMAKPSLFGSRTARLKVAVYAGRRGTTCGVGGSSGRLLGKVVIPLDLKGAAAKPVVYHSSWICIGKRGRKPSSVSAANELSLTVRAEPDPRFVFEFDGEPECSPQVLQVQGSMKQPMFTCKFSCRSNSDLRSRSMPADTGSGGRNWLTAFGSDRERTGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAATGDSLGYRLLGAF >OB07G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1039971:1043591:1 gene:OB07G11790 transcript:OB07G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MIE7] MDLARAFAALPAPRLLPAPLTRARCHGPQPSTPAPAAAAGGMLDRRRLLLIPAISITIGSFQYAFEKGAAKAEFADMPALRGKDYGKTKMRYPDYTETQSGLQYKDLRVGDGPSPKEGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFRLGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >OB07G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1047221:1048395:1 gene:OB07G11800 transcript:OB07G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGRRKGVRYIKENRDRGITLSKRREGLFKLANDLSILTDASVAVCLHDNNNKVQFFGAPMVEPIADAFLSEHPQTKPFVDKQLKAKIASMQRQLVQLESEQDEKAKKTEKSIQRFNEVKEQSEGPAKHVFSKVEDLSLDEMRELYQILLVIQQDVKQRLPTLRGGNKLQIGGSSASARQEPSCSRLMASHHPFTPLLPGGTSRIPMVPPPQALGSPWSHVVPLRSPRFPSAELVPSQQLPLASLSQNTVPLSTMHAPLAQKPITNQSSAVPLLTQWQMRFGEQPSSEAQASTLVEQPQQNDSAAPIPTFSDNLLSELLADVSDDGIATDAALGSPIDANWFADLDAPNGNI >OB07G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1051422:1056989:-1 gene:OB07G11810 transcript:OB07G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEGQEKAGAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSLAQYINLVGVTIGYTITTAISMGAIKRSNCFHQNGHDAACLASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHAKTTLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFVEGWAHSRWPDSGFLNAERVLRLPLGAGDFPVSPFRLLWRTLYVVLTAVVAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSFACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >OB07G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1074033:1077732:-1 gene:OB07G11820 transcript:OB07G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLLLAAAAVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAANALSGPIPASLARLAPFLTHLNLSNNGLNGSFPPQLSRPPALRVXXXXXXXXXXXPPTPPNNGLNGSFPPQLSRLRALRVLDLYNNNLTGPLPLEVVAMPQLRHLHLGGNFFSGGIPPEYGTWGRLQYLALSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLTGGIPPELGRLVSLSSLDLSNNALTGEIPATFAGLKNLTLLNLFRNKLRGDIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPDLCAGGKLETLIALGNSLFGAIPDSLGKCKSLTRVRLGDNYLNGSIPEGLFELPNLTQVELQDNLLSGGFPAGSGTGAPNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNAFTGAIPPEIGRLQQLSKADLRGNLFDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNQLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHPGAAGTDHGGRSHGGLSNSLKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPSILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKILDPRLSTVPVHEVMHVFYVALLCVEEQSMQRPTMREVVQILSELPKPASKQGEEPPSGEGAVPDLVVPAESAEANEAKEHQQQQQQQEQLNSPSSPPPDLISI >OB07G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1087627:1090282:-1 gene:OB07G11830 transcript:OB07G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPAAAAAASSFLRSVPPRNAAGRLAWFLGGASAASSDAGIGSGEFVAWHNGGGILHRAASVDPTAGGGGGGAVVHSGAVLGKDVVVASGAVVGPSVSVGQSTKIWYNVVLSNCSVGEFCTIHNGSCIGQDGFGFFVGEDGQVKKKPQMLHARIGDHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRMFSKKNGDRR >OB07G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1097002:1104905:1 gene:OB07G11840 transcript:OB07G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIESLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPSYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAKKKPEMLTIIQNCAVISIACCVLYSHCGNKTISRDKSIDRRTASWIAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELASNGSGYSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDLEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVAYLIREYLRGRCKLRMAGDLHHYMRHSCIESKEPIHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKVAYPSYDDSSRIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWGDRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRAMLGFLHAAAHLTSAVLLMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKEWMLDPDWDMEPKEPFQMSYSRKFPSKWRAASGSDPTNAVRVVDHFVISRTPPDPTTPRSSST >OB07G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1106993:1111357:1 gene:OB07G11850 transcript:OB07G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWFGSGLPPSLAVLDLRSAEVNGTLPADLGVSGNLTSLLLSGNGLSGPVPGSLLSIRGLRFLDLSGNNFTGGLPNVTAINGDGAASLFNISGNSLYGVVSDAIGALKGRFQMVDLSSNYFDGVWNVSDGNVDVRMNCFSGVPDQRNRADCEEFYRRVGVGLVDALAPAASPQTSPEPMKKKSRISKGLLIGVIAAAATLMVVFFCALVFCLARHKKAGRTGGRGRGVDTNEESTRGVRRRDSSVNPVTSSPVAVSSRANSGHKDAVVVSGEFNYEQLVHATGGFGDDNLLKHGHSGDIYHGVFENGSHIVVKKVNTQSISNHASELDFYRRYSHERIVPLLGHLSRDEEEFLAYKYMPKGDLTNALHKKPVDTEDSLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQASSVLLDDKFEVRLGSMSDVCAQQSGGSQNVFSRLLRSSRSLDKNTSGPPATCSYDVYCFGKVMLELVTGNFGVSGSNDAASEEWMTNTLNRIDMNDKESISRIIDPLLIVDEDHLEEVWAVAIVAKTCLNSKASRRPSARYVLKALENPLKILRMASRSNSARLRSSSSRSSWQSAFLQGNRYQSLETASSSGQILDRKHSARSHGSGGETSFSFKRASREIAPEPEGFEENVFV >OB07G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1112711:1117433:1 gene:OB07G11860 transcript:OB07G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G21710) TAIR;Acc:AT2G21710] MTYIRNLTSRMAPFVDRVVVEAAAMRRDRPELAHQSFNARARAYIDESGLVALVKWFKHNSMTYPQIAKVVCSSSGNLEKVRRMIKWLRSIYVKGEYLGRVLAKGDDFLSRSFEELEEIIDYLESCSVRKDWIGYVVGRCPELLNLSMDELETRVRFYTDMGMNDHDFGTMVYDYPKALGFFSLEEMNSKVQYLKEFGLSTEELGKLLAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDSVGGVLVKFPPVLTYSLYKKIRPVVIFLMTKAGVKQEDIGKVIAQDPQLLGCSIVRKLEASVKYFRSLGIYHFVLGQMVTDFPTLLRYNVDVLRPKYQYLRRVMVRPLVDLVEFPRFFSYSLEDRIEPRHQTLVANRINMKLRYMLTGSDEEFAQRVREAVERRARFEAGHAAQDASDVPVASNEAEVAAASEDDREADT >OB07G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1116074:1121567:-1 gene:OB07G11870 transcript:OB07G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 1-1 [Source:Projected from Arabidopsis thaliana (AT5G66760) TAIR;Acc:AT5G66760] MWRGCVSRGLRSLSKGRATSSDPVSVSAAAAARLLSTTTSSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGDKQKPLEKSAGQKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDAEVESFPPKARVY >OB07G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1127181:1129058:-1 gene:OB07G11880 transcript:OB07G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVYLPSHDARRLSDSHHKGAGGLNVTSEVVVAAVGAALLLVLLLAACACCSRSSRRRKARQRWQNHHDAFGYEELAAATSDFSEENLLGQGGFGYVYRGVLPDGKEVAVKQLSSGSGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAHRLLVYDFVPNNTLEHHLHGKGSPVMKWTTRLRIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNSFEALVADFGMVKLTSENDTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELIVKVLEGDVSPEELSDGVRPGQSAISSGDSSSGSEYGSGSYTAQMERIRKASALPSPDYSAEYPGSIPEFGYPSPASSAGGHVPSSERVNQRHQGHR >OB07G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1135607:1145450:1 gene:OB07G11890 transcript:OB07G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G42950) TAIR;Acc:AT5G42950] MTHKSARVNLHMGPIEQATIPELKQLGDKQGLDTEMPLSPQWLMKVGENKESILLGARLDGSKTSVNDEDMNYSAKKKDVFRASVLDGETGRRERWRDDEREPNSALRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWGDSTNKEGNYDQRRDNKWSTRWGPNDKESENWRDRWGDSGKDGDAARDKGFSHYIAHGKDGNNHEKDTERDDNISRSWKSSYPVGRGRGDSSHHPSQTTQKSSATYGYGRGKPDNEIASFQSSRGKFTSGSTNTASSGSSRPFHIGLLSDRPGGASGDRTAFRYSRMKLLDIYRTSHVTDFKMPPDVCDDLSVFMQEETLEPIALSAPTAEEAAILKAIDKGDIINSGVHQASKDGPVGKSGREDQQGGMEEFKGETAASLRGLPGNTDLPARVDSLRPETSAYVVPQRSRLIGEHRLGPATDYAQQIPFALDQESKVAGITGVDGFATQNHPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLVLGDVMPHLRAKARPPPGFNTAKPSDMLMPETLPTGNFVSLSNTHAGSAGVGTFDSGPSRNDGAIEAQNRFLESLMSSNARDPSAEILAMTGGMTEYGSSGFGNITMSGGETGNSMNYLLAQKRLLERQKSSQNPGSFWSGDGITSAQVQNKDIEASALHTKLHPPMVDPPHQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNIDPHEHGMDLTQGSLNIHGASMQSSQQATTAIQQQNFMPLNMSQLAHLAPDKLRAEISQDPQLLARLQHQYLLSQLQLQPQIPVVPPQPQPQPQPQLSMLDKMILLKQQQQQQQQMQQQQQMQQQQKQRLQLLQLEQQQQLLLQQQHLLSQVIPLGHSNQQPDDPYASQRTSLPTGDSSNLGFQKMKEVLQADRVLTAHGTQEGQQLSDPSIMDKGMEGVGPSQSSLPTLLPHELFVGVPSKERYSHPQKLEDLVDVNTQLKASVMNPMLTEVANRCEEDSSEQEVTSYARGVGTGKVEILSDNILGSGSTKVTGAAPSASKDFLAAPLDPKSEVLSSHISNQVLDLKISPENIPSGNEPTIATEVKASDTQDIKKTEKKKKQKKKQTVVDVAKGAPKTVTSQPPRQETQVDGSDQGGAKYDLHDDVDELFWGSPIKVEKSSKSADPPLGFESSVGLPPRSLPEDYDTNKGEWEPNAAVANQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSATSVPSIPWNGMATTLDQQLTAVSKSVDGQESVGDSRNRRSQLHDLLAEEVLARSSNTDNENMGNTNDVAFLSLSSAVVQPDAPALDDSDFIEAKDSKKSKKKASKAKGSAVKAPSPIGSFDSLTVSVPTEKGKPSKQSLQEKEILPALPSGPSLGDFVPWKSDLTSAVPAPAWSNESAKVQKPLSLRDIQREQERRSAVVQQQPPSPTPAKVSTNQRNHANVSSWQASGSSPSKAAAPVQMNSNASSRSKSNAEDDLFWGPSEHSKQDKKQSEFPTLSSQTRSSITKDQSPLNRQKSQASRLPVSPAPTANHAGKGKAEAANKRTEAMDFRDWCEDEWSRLTGTNDTSFLEFCIKQPTVEAETLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQAPSTRVARVDGAGRANHASAAKGATSTEMELDGGGKKKGKKGKKVSATVLGFNVVSNRIMMGEIQNVED >OB07G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1146607:1151342:1 gene:OB07G11900 transcript:OB07G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGRSTMVGEMESSLERVRRQLSSTSSRHLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKIRRSDAAVKGIIVFDSTSTVMLSPTNFHGLPKYEGCCFYIGTPQKKEYFLCAETPSAARAWVSTLHASQLVLQAHKEAVNSLGGNGPTKLGKVATVVAVANATAIEATKEVEAAMKISLQAALGSTTNKLSNGQLDDLTLMMETLRVKDDELHQLLQDIRARDATIREITDKLQETASAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIELSLLRLRESEEKAKLLSEERDHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKVRVSAADADMRIKDAVNRLESAIKEKEELVAVVDALQSQIQRQDTSTKQVCEERSELCSTSSKHVEMEGDNVDKACLSDTDPIPFTENIVDLDDDGVDIPTIGVTDWNTPSEASDVREVTTESEDNSLDIPVDSQPVSENAFHG >OB07G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1160927:1162810:1 gene:OB07G11910 transcript:OB07G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAEMKSRVFEDEVGGGVNLRGEEEEEDDDDLVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIIKEMDIYKHDPSDFLKTSTVGSEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPICSAAGDGAIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPPTSSAADSSPPPSMQEAEVWTICRIFQRNITHKRQPQPQLAVAAAAPQPDSASSITGSVESDSNGDDVVEYMNRLQAQHEQHDAPATASNVNGGYNPHYFHDQWNSNHNMLQPAAAAPEPSPAMAAFQDHLTDHQSILSSPAPSDQYYKDGYNDDIYRMVMELADPSLFYDHCRYLDSCTSL >OB07G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1164967:1165420:1 gene:OB07G11920 transcript:OB07G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQDCHVDQAACQRCLATSPTGVAKSTSGETHPWEREGERKESSRFPIIAEDWNYEHWLIIGGEDTRGGKMIVTPPNPQGMKANIRFERHIGKHGQTHLALHRDSNNELRQNTVTWKVR >OB07G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1173913:1175118:-1 gene:OB07G11930 transcript:OB07G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNRPPRPRPRPRPRSVQEEGSAAGYDTGFVPSVHDPIYIGSLPPHPHPGIIGSHRARAPKPTGADHMRVLFPPGSTSSHASIDTRTASAIDGLAYCQRNITSSLGGGGTATRRSALATGGFTGHVAREEERPGGRGVVSVVPRGHGNVFPRGQLAQEEFPTYHHFTLGSASGSGGGAMINSSAAPAHQTMDSYEDSGFSAVSKYWFPSSYAGAFSPRSLPASDEWDLDWLHGAIIGWKLQQQADICRSVPAVPAAAPFADTDIQENYMKMIKSRAPPSAGGSGPGAGIHRRQPLDTSNGGGTTRAQMVRPSTAGGKPHFSRSRRHNATDTTAHAHQAQLRGEHFDADACENTHGAEELRVVAKVEGWRPRGPCMEFATKGWCRCRYGGYCWEWDDGFR >OB07G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1194650:1195154:-1 gene:OB07G11940 transcript:OB07G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRRGKVVDDELPVACDEAHGGGVEPVVVEVGVDDDAAVVGQINLGLSDRVPQRALLLAAGCRSRRRARQPAISNALRQSVPVARPANGFDENGLNRLGFNLDYL >OB07G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1198831:1200983:-1 gene:OB07G11950 transcript:OB07G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPTSPTLPPAGNGTSPPSVLDASANLVRALAAAHAAAASGNQDGVLSALADAQAAQTVLSTNLTTPSSSSAMVPSPTPVPSSITGRAAPLPPAIGGLNIPSVKTHVPFVLDTKPPNYTRWRTVVVAFLGKFGMLPHVLNDIAPADPDGSRAQDDFAVLTALYCAIHPDVLDLLVEPAQSARALWKAAEHMFHDNRETRIIYQETEFRSLNQGDMSITEYCRRLKTLADSLRDLGEPISDRTLVLNLIRGLSPRFSTQADLLPLQVPFPSFSAARSALLLAEMRHAGAASINGDTSLFVKSSSTTNSTNSKGKNGSAFGDYDASIALEISTPSQPPSSPPIRTPSCQLRRPPPSSGTAAWDTPDRTLFTISENAPPFLVILPLAAMHVA >OB07G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1201268:1207839:1 gene:OB07G11960 transcript:OB07G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMVRWRWCIPGVIHFRRRCAGELSKGIKVDVFEADMERRRWSEVKELGEQALFLGTTCSKALPLPDHANCVFFLGLNVTRFSPDGTVGGIGDCAYCVHDMRNGTFSFDNPVSIKI >OB07G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1215095:1216714:1 gene:OB07G11970 transcript:OB07G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRDTDGHVDQEGLSDNLNGLQGSINLDAADLGAATAVLHDEACGDVVVTSSPPVFSALAATTTTAENRHVNPVPKQLTDRVIAAILLRIPPDAPAWLFGRIPAVSKSWKRMVSELYFRKIYQDRHRKSPPLLGFFYPHKFVMTDSHRYKTGRFNQLRTVTRHTGFFRTPNSPLLPERPDWQVRDSRHGRLLMWSGDLLTVWSPLTGGVKKMVLPELWDFDDVYWQAALVCTTEGCHHLFCHYGPFGVFMVATPRDTSGGMLVAAFYSSEDDCWYESASIENLGAVLAADGQPSVLVGSSLYFPCTAGNRIIQYEIVGKEFHVMVSLTPDRPVRSVLITIDGQLGFVVIETSQTLRSWSRADDAWIAGPVISLREQPDLHVLSSNICYPVGSAVMSGVDVVLILTEEAFTLQVGEEHLREKEILVSADFKEKRWLRGRG >OB07G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1237010:1238215:1 gene:OB07G11980 transcript:OB07G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQGVCAPWPELPPELAGIIFGRLQSYSDRVRFRAVCRAWRLAARHQHPLPPVLPWLRLDRTTFQSLPDGEVHRLPVPSELPPGTVCRGAFDGWLLYESGEQRERSLRNPITKAKIDLPYHCDVVINPDFYNDGLYTTTVSLHEAVLRKIVVCSPDFVAAIIDYGDVLFHRPGMHSTWSLMAACPGFVHDIALYRGKLYLVTGHGELAVHDFTSTPQHSSRHGSSADQDSCVDTVIDTPPPLNKQSLPERHFWDCIFYLVVSCTGELLMVRWRWCLPGVIHFRRRCAGELSKGIEVDVFEADLEKRRWSEVKELGEQALFLGTTCSKALPLPDHANCVFFLGLNVTRFSPDGTVGGIGDCAYCVHDMRNGTFSFDNLASIKRDFERSRDDWFFPCDGIET >OB07G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1238525:1238911:-1 gene:OB07G11990 transcript:OB07G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTKFERGELIDAVVNPTGEICSGDGEAAVTVADDEQQREEAAERIMYQVAFWCVQQRPAEARPPMSAVVKMLEGEMDVVPPVNLFLHLMADPATATNPWATTTASSGDTVSGSVMSQGSDGNVSL >OB07G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1238977:1239198:-1 gene:OB07G12000 transcript:OB07G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHVPANGEMRHRGGRRAKGDGALRRCALVPDHVMRNATVEKFRYAAPEMWMQSGVSEKCDVYSFGMHAPL >OB07G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1243355:1249102:1 gene:OB07G12010 transcript:OB07G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLVSSRRPCAQSAKNKDKKTPALFLGPADNPHVSKYKMALKQFPMSLQKQPWSDAEKDKLAKGIKQQYQEALILDSMNNGSSTGDFSAVDMAYALTNTAGNFEMTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNCDDPLINHNAWTAREEKRLLLTVQQQGMNNWINIAVALGTHRTPFQCLAHYQRSLNHCILNKDWSKEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFESASWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGKWRPEEDSKLLASVDEFGPCWCKIAGAKIPHRTDNMCLRRWRRLCKDKLPSIKAAHQIKKAIFQSNFVDRETERPAIGPSDLMPIVCSKVGGIGDSTVSAQARKPRKSSRMPCENNILPSDTLNSSASVNLSLSESIDPEAVVNTTTSSSRRKSSRSKSQFDENLAVSDDVNNSSNCSSGARKRKRSVAGNNQVAQKKIRGSNSGDNEAETMGCSISVNNEVAEKRTAKKRTRKVSFSGKEGEPKKRMKGSVSGVHEGATKRMRGSISTGNYGVVMKSKRAPSRKSAKENSKADSMTEGSVDKYSTSTRLADCMPFTRINGPSRVASHLCVSVGPLSNMVHSNGPSNDMSAIAISSGPDPTYVESSSIA >OB07G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1249971:1250228:1 gene:OB07G12020 transcript:OB07G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGRVWIVTSYLDRWQLISGWCSVLIPYGTWTWVVHLILKTMAFSLVGRSHFSLICIIVAVNFVDVKPVLYTNLCVSSLTFLVG >OB07G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1251791:1254665:-1 gene:OB07G12030 transcript:OB07G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSRSRPQQRPATARSWSFISDMDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRAPSVFSVHEPGITHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFPEPGRLQFIYADLGDPKVVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPARINSELNWTAQHTDLLESLKVAWTWQKKHRSGYGPPQAMVL >OB07G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1272756:1273001:1 gene:OB07G12040 transcript:OB07G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPPRPRLCPFWREENKTCTKGSACKNAHGDEELRVVPRAEGWQPSGPCKMFAINGWCRFGANCWYDHGNQELRPGRNP >OB07G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1275309:1278059:1 gene:OB07G12050 transcript:OB07G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNRPPRPPSSAAGHDTGFVPSVHDPIYTGSLPPHPHPGIIGGHRARAAKPTGADHMRVLFPPSSTPSHASIDTQTASAIDGLAYCHRNILSSLGGSGTTTTRSALATGGFTGPVDQVPARDQGESSGGHVADQEGRSDVGVPGPGGHLQADAKPFAPTVSGRRDLRDKARRTELAPGDGPVHPFVEPEYALAFGSPPGGEQATTAPSAPSSSTGLTGLVPYHAPIPSTSDDQEWHWNWLNEQISEARASNWQTSTSAASASAPVGSVSLAGNGCSWSRGRRSPTPGSEPETGLDRRQPMDTSNGGATPTGHGLTQAQMVDSSSAGTNPDDVSRRPDTIGSQRGATDTTGARFDGNDFPPLSDHSINRADPSASPSSSTADTAPAMGESRTTLGERKTKLCLYEFFFYPRSGISCAHGSRCKYAHNLRELRAVTPPIHDILYKATLCPTHMAGAFCAAFTNCPMAHGEEELRVNRAYLPRSSSFQLTVCAMASFFPRIPDDVHVPLPPAEEPSSSPTYLKDLTTPPHTDKPASSSNNGLPPSLRPDTIDSQRGATDTTGARFDDNDFPPLSDATTSSSSRAGPSSSSSSSSTADTAPAMRDRRTTLCNGLTQAQMVDSSSASTKPDDVSRRPDTIGSQRGATDANEARFDDNDFPPLSDHTTSSISRADPSSSSSSSTADTAPAMGERRTTVRPFWQEENKTCTKGSACKNAHGGEELRVVPRAEGWRPRGPCKMFANGWCRFGANCCGVFVLRMKRLPSDPCNKFANGWYRLIRREPTAGMTIMATRTKLLGEEIVHEQV >OB07G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1279533:1281276:-1 gene:OB07G12060 transcript:OB07G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNQSFDDFNKQASSTFNSFAAIVVVVSVVSILGSVAIVYLVYRCVKKNGLPAVNINTNTSFAPAAAMYTVVPDSQIRDATVQKFLKEIVGEKPIRFTAAQLGGFTNNYSAGSAPAASAPCTKECSPTECQQKIVHYDIKPGNVLLDGGLTPKVADFGLARLVGRADTHVSVSGMRGTPGYAAPELWMQASVTEKCDVYSFGMLLFEIVRRRRNLDDDGAPESQRQWFPMVSWSKHEAGHLAEAIESGSTSSMDEHDKETAERMCKGGVLVRAAAAGGEAADERRGGGMLEAETDVDAPPANPFQHMLASPAAALRWTSTESDGTLRSGSPRSADVIIPIGSLL >OB07G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1282437:1282862:1 gene:OB07G12070 transcript:OB07G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDVCGGGRDESGGGEWGDGIIDWGDDEEDGGGGSGPQFTAHPYDGAMAREGGPSDGTLLLSGFVASSDGPELDDQHELTPRDIRRLTRMALSGEDVEADEAYHRALAGGTPLSPASLAAMLDQALLSVRRQQQQQSTP >OB07G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1283476:1285154:-1 gene:OB07G12080 transcript:OB07G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGTHPKKLRVQEILKNLRQKQTVHRPRRVACRVRAPGGADPAPRLPRPEAAGRRYGEAANVFGKPKTNTEFISYSGEGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNLSVMINPTTKKTITEFGSPEEFLAQVDYLLGKQAYAGKTDSEGGFESDAVATANILESSAPVIGGKQYYSVTVLTRTADGDEGGKHQLITATVNDGKLFICKAQAGDKRWFKGARKFVESAAGSFSVA >OB07G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1288039:1288845:-1 gene:OB07G12090 transcript:OB07G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCYVTFLAILSIDVHFCACLRLLSETPLPVAIPDGGQPGAAAQLPPANIPASVPVNIPANLPANLPANIPANLPANLPANIPANLPANLPANVPPEMLANMPANVPPDMLANMPPEMLVNLPADVQSKLPANVPPEKLVADLAAAGSGHPGAENTAAGAGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAGVAAAAGLPQIPKMPDFSGLTDMSFPPMPSAKMPSMPHNITMFGVEVQIPKFINKMVDDETA >OB07G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1290815:1292982:1 gene:OB07G12100 transcript:OB07G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3MIH8] MPEHSENATANIMDSIVDTIADNLPKQKSVRFEEGSISDQAKRLFGSQNVHHILGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFVLVLGMVVQFAWSTFAGMLNGSSSNVPRVELPDELFANIGAAIGTQVNKFLGTIQDVSCGRDLKQFLLVIAGFFAAGMIGSWFNLITVVYIGFVCAHTLPVLYEKNQEKVDEFLYNTLGLLQNQYRKLDKGVLAKVPKGIIKLKKSD >OB07G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1295352:1300300:1 gene:OB07G12110 transcript:OB07G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTYKADTLLWSTTNVKLSANQLILAIAEIDRSWFTDRSAAMASTSGFFASVLAMAVVLAGSSNCQAARLLADATPPPAAVPGVPAVPAVTLPPMPAVPAIPTAALPPIPAVPTVPNTALPPMPAVPKVTLPPMPAVPAATLPPMPAMPAVPNAVVPPMPAVPKVTLPPMPSMPAGTQGDAASNARGAGSYVAADASHARRAKRRRAAHAGRAQGDAAADALHAGRAEDDAAADAIRTDAVLGTTPFGMSTVCSMHKLRHLIGLLVIYSPCLICFLSVCKFMYMYVSHL >OB07G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1302249:1302470:1 gene:OB07G12120 transcript:OB07G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVPAVTLPPMPAVPSVPNAATLLPPAIPAAVVPAVPKVALPPMPAIPTVTLPPMPAIPNVPMPFLAPPPKA >OB07G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1304520:1305727:-1 gene:OB07G12130 transcript:OB07G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASPSPSPVRVVSTRTVRPAPPRPRELIPLTTWDVALLSADYIQKGLLFRRSTATLSCVVADLVDHLAAALADTLAAYYPVAGRFVTDKLPDGGCSVSIDSDGQGSQIAHAVADGVSVADVLPPDADVPAVVPPFFPPGGAVNSAGHELPLFVVQVTELDDGVFLGFVYNHALSDGTAFWDFLNAWAEIARVRLAIARSPPALTAPRPPLLERXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDAVGVGVQAVRRGRHVRQ >OB07G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1311560:1314490:1 gene:OB07G12140 transcript:OB07G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALQVVAGSGGGQLIPVVGPAAHRRARAAALRLPRAVASDAVGEEEGKVRLGGSDVAVTKLGIGAWSWGDTTYWNDSEWDDRRLKEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQEKGQIDVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLASNQVNYSLIYRTPEINGVKAACDELGITLIAYSPIAQGVLSGKYTPENPPTGPRANTYTPEFLTKLQPLMNRIKEIGESHGKNPTQVSLNWLTCQGNVVPIPGAKNARQAKEFAGALGWSLTGEEVEELRSLAREIKGIKMPIEES >OB07G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1318413:1321796:1 gene:OB07G12150 transcript:OB07G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMQVVSAGGCLLPLRLLPSRRAAAARPPRASGASAAAVEEDGKVTLGGSGVPVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGISGAINSESLLGRFIKERQQKEEVKVAIATKFAALPWRLGRGSVISALKDSLSRLGVSSVELYQLHWPGIWGNEGYLDGLGDAYEQGLVKAVGVSNYSEKRLRVAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGILTGKYTPDNPPTGPRGRIYTPEFLTKLQPLINRIKEIGASYEKTPTQVVLNWLICQGSNVVPIPGAKNAEQAMEFAGALGWSLTDQEVEEMRSMAREIKPVIGFPVEKL >OB07G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1326970:1328981:1 gene:OB07G12160 transcript:OB07G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALAVIKILLKSLLMDHSISCLLLKKKLKLLFRSLAWCSPDGELVELLWQDGAVVAHAQQTHQRSSGGVVQAAGSSGVTGEETAAWFPDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASSSGGSGSCSLFKRGRDELDSRSEAVGETPPSKRPATKRRTRAAEVHNLSERRRRDRINERLKALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGIAPVMLPGAHQLMPPMGMSLNTACMPAAQGLNQLQRATSYMNNPPPNEMPQIPSPSMNSPSVPNEMQNDNHSRVPRNPFLHRNHTLTATPQVQGLFPFGSQAAEQNEIQQLLSSTGIPSSSDGTVT >OB07G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1335411:1335743:1 gene:OB07G12170 transcript:OB07G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIEQKCREREGKRAMLGTSHAVRSGQQRKLSFMVGWQPCERHTGSQAYSLPFHHLLLLPPKHTHLHISSPNIATIATPKLANHVYIYISLGMLLDAMNIYISTVSLCM >OB07G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1343382:1343641:-1 gene:OB07G12180 transcript:OB07G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGVNLPKLGKGLSSSIQSSLECIKAFKDQHWPP >OB07G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1345795:1348321:-1 gene:OB07G12190 transcript:OB07G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKDMIGRARTGTGKTLAFGIPIMDRIIRYNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRVLNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEVDQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITNKYLKDPVIIDLVGDADQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYEIPNTSELFVHRSGRTARAGKKGSAILIYTSDQARAVRVIEHDIGCRFTELPKIPATDEAADMFNVARDIRSRLVGGQRTGGSSFGRGGYGGFGEGRSQGFGDFDGFGGFPDRAGRSRDAGSRYGSGFGDFRRPSNAFGRSSSRRPDGFGFGDFGEGKFSRSGNTDYRRPRGSDDSGSTRYSRRPNGFGSSDFSRSGGFDDSN >OB07G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1354404:1355673:1 gene:OB07G12200 transcript:OB07G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLAGKLRLAAGLHGAVPPRAPPAAGRPRFLARSSQGVKNGRAIKRQSGEVQNGRGELEREILRDIERTIDSLPRIAALSMLGGAAGLAAVFYGGVFLVAAANK >OB07G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1357144:1363697:-1 gene:OB07G12210 transcript:OB07G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSLLCLSGRPTPFAANSVPCAKDGKIGVLGKPQRALVAVTNKDGKVGVLGRPQRALVAHPFTLLPPSPFVGARGRSTSSLPSDHQESPAEDDDNEQTAKTSSSLQDVGEQDKISKIGAGAGDVNKIGTGAGGEGARRVDGAADYKIKDVVAAVNSNKSASHTADNTSGDDRTTDGPGARRRRHRRRRPQPHDDMLLRRILSYSSSMSRKLDDLRSLPRDVAVDMKKTRSKIASLRWIIPLTTGISLFVGGSLTFILTIKFGIPFVVGKFIEESSKVLESIDAK >OB07G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1374649:1376436:1 gene:OB07G12220 transcript:OB07G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRLTYEIFSILESKFLFGYGGGDPKSLHGVALGNPPAAAITHVLNNRREFPAAAGSIDNLLVISIGTGETGNSRRAASRARTPVIARIAAEGASDMVDQAVAMAFGHNRTSNYVRIQGMGVARRRGERGVACGGEETEKAVWVAEAMLQQRNVEAVMFQGRRLAGETNAEKLERFSRELIREHGRRKQQQQQHQVAATARHAGELSTPATKKQL >OB07G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1378738:1390812:-1 gene:OB07G12230 transcript:OB07G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24710) TAIR;Acc:AT5G24710] MLRPRAVRATRAFRPTGDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNKSLLCMEFLNRSSSSDAPLVAFGASDGVIRVLSMLTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELQLLNFQLSNTANPSLGNAGVTSETGRSRNDSVEHLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAIIWPDIPSFAIYKASDWSVVDSGTGKLFAWDTCRDRYALVESTLPPRNPLIVKGGSSKKAKEAAAIAAQAAIAAASAASSATVQVRILLDDGTAHVLQRSIDSRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVATIDIETKKKKEEMKAREAQSRAAAEHGDLALITVEGPKITTSEKITLRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESMADAVQGIVKFVKEFFDLIDAADATGQAEIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSAVKPGQPNAAQAPTAAIGAPMAQGTPMVQGTPMVQGTPMAQGTTGDQGAPMAQGALAQTQNSEEAKPSEGAAAPENAEKTAAPDNAEPTTTSGNAEPTAEPATAPGNVEATTAPATTDATGTPDTATPAPAADSNGTDLPAATPSQVTNGAPSTEAPETADKPSSTEPSPSPAPPIPNVTAV >OB07G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1392498:1397213:-1 gene:OB07G12240 transcript:OB07G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20940) TAIR;Acc:AT4G20940] MGIPGSFLLLLLLAVVPAFGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGIVCNGANVAGVVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGSLRSLQNLSLARNNFSGPLPDSIDGLASLQSLDVSGNSLSGPLPTSLKGLRSIVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNRLEGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGDLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTILDLSSNQFRGPVPADLLTSSMLQELYIQDNMLSGGLSFPGSSSKNLSLQVLDISGNHFNGSIPDDLSSLSSLQALDISTNNFSGPLPASITKLSALTALDISINQFTGPLPDALPDTLQSFNASYNDLSGVVPVNLQKFPESSFHPGNSRLEYPASSSGSGSSSGSAGGRSISAAAKIALIAASIVALVILILVAIVCHYKQISRQFPSSEKVSDKNLHKASKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVSPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPTPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQQSVKGMKDVLGIALRCIRPVSERPGIKSVYEDLSSI >OB07G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1400928:1401344:1 gene:OB07G12250 transcript:OB07G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEFARGVPGAPTVRPVWAREILSARRPPPSVVSRHHLEYEPVLDAGKDKVPTSAYPLLRCRLPGRPPPARTRSRPPPTLCSAAVSPEDRRRLADIAPMHRAAGCVVGYGLPVGFDLPDRGRGRGKAIPATANGDG >OB07G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1406571:1406846:-1 gene:OB07G12260 transcript:OB07G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGMLVSLLFTLPSLLLQLDEICSASMAGNDYPINLADRRWFRQLLAVSVLRVFVCKLKRCDAISKEREKNGVQSSKHTVLFSQAPLVK >OB07G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1409348:1410529:-1 gene:OB07G12270 transcript:OB07G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMAGDLPMELALPTAFTVIVYLMVGLNPSPAAFALTLAVILSYVLVAEGLRLDIGAVMMDAKSASTLVIVIMLAYLLTGGFYVHNVPDFMMCAKQWRMQRPEAAARRADRAVHLEESGQRLRRVEGREVVGRQPVPVRHRGRGHKWEEDDEDEGGRHRGRGQGTSRTTASSASRSWKEATRWGLRSSAHARPAEG >OB07G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1409465:1409704:1 gene:OB07G12280 transcript:OB07G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALVLVVLLPLVASTTVPHRHWLPPHHLTSLDASEPLTTFFEVDRPIRPPRGSLGPCSMLVLSISLVQLHLQSVTLS >OB07G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1429405:1432764:1 gene:OB07G12290 transcript:OB07G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALVSKLRIPVAASSRRSFRSSSTASQGQPNHVPRSIVEDPSYIAMRDAIIENQRCSKIRYDIFAGKVFGTKQELRQSLSYQIIVNLLL >OB07G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1481262:1482779:1 gene:OB07G12300 transcript:OB07G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALASKLRIPAALRQTPASRSAHPQDMVGSTASPKPAEGGNPFPLYEMLMEQQLYAFEKRLKRNLLLANIAGGLLGMSGGFYCVRRLMDY >OB07G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1527252:1528951:1 gene:OB07G12310 transcript:OB07G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II subunit R [Source:Projected from Arabidopsis thaliana (AT1G79040) TAIR;Acc:AT1G79040] MAASVMASLALKPSTSPLLERSRLRGARTVARPSLIILAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFANKYGANVDGYSPIYTPEEWSSTGDVYVGGKAGLLLWAITLAALLVGGAILVYNTSALA >OB07G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1531453:1533996:-1 gene:OB07G12320 transcript:OB07G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEPFAWLLWILVLSSILEDVRAQSKPILINCGSDSTTDVDGRKWVGDSSPKNFTLSLEGTVASAPTVDGEETYGDLYKDARIFNASSSYKFSVAAGSYFLRLHFSQLPANFSTKESLFDVSANSLKLVTKFNVPAEIDWRNSKTNSTSRAIVKEYLLNVTSSNLVIEFSPDAEAFAFIHAMEIVPVSGDSIFDSVNKVGGYGLKGPFSLGDSAVETMYRICVGCGKIESKEDPGLWRRWDSDEHFIFSLSAARSISNSSNISYVSSDDSASAPLRLYETARVTTESSVMDKKFNVSWSFNVDPDFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMETLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPPVRIGGFDSGMGKPKRSPKWVLIGAAAGLVIFVLIVGVLFICFYLRRKKKTSDNETKESSPGWKPLVLHGAVATGTNSRSPTLRTAGTFGSNRMGRQFTVAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGHPESQQGVKEFETEIEILSRLRHRHLVSLIGYCDEQSEMILVYEHMANGTLRSHLYGSDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGVVLFEVLCARPVINPALPKDQINLAEWALKWQKQKLLETIIDPRLEGNYTLESIRKFSEIAEKCLADEGKNRPSIGEVLWHLECALQLHQGHLQSANACDLPQPELKLSDASVDLGCIEEVEESCRAESQDVNGEFVDIKIEVP >OB07G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1534637:1535029:1 gene:OB07G12330 transcript:OB07G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPICCVNMIQLDLITAQSSRELSCWPPLSDQKFRSKQSAELQRRDKENGANCGEHMAVSVAEWMVARQESANSGIQSGQPLISFSIIEGRRTCRCRCSSSAVLQYYYWRAINATTRHCQCNLFLLGSR >OB07G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1542553:1544940:1 gene:OB07G12340 transcript:OB07G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTDTGREIWDMEECQSPRMGSVILGVAGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEGRARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPVDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSAYGISAQALTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDPFPLVMVGKVLMANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAVASELDGSPRTVA >OB07G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1545427:1550155:-1 gene:OB07G12350 transcript:OB07G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIHTVLDERMDPFMSRMTVPTATYQSPCTSIGDLDTQAKPLSDLEVPPPSLLSYHCSCDIGSNFEAFATVMSRLRQHLLDANVEINYTEYVDLMTLKVEQHLNKLKEDIRFLKGDNLVHDSDANDSSPMTCQHRKLVEIDEGFNGLKLLLVVVFRQIKEMLSLFSASIHDLRWEHEMQLEVTSIMIGDCIRSLKDELERKLYEQSSIVNTLKKNWKETVFQCGAIREELIDIANMLLPSDEESDIFNSRHEILGNWSNRWKYNFFGKKTGEERTLASNDKNVSSATQKSVCPGEVISEKSDFRHLTGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKASLALKHDPEFDSLRKKVPEIISRVDIIISNTRTAPTGCNTDEVLEERSRLTSRIDSLYYANQNLRGLLAEKMRDIKDLSRQISDTSRKMSLQLSLEEQLSRQLYKIKGDYEDLNIQNTIRDELYQTFTQKMFDDYGNSLKGTALNFQARVTSLEAALLEKEKQLCLANEENQRLKDKLSIQEKGHGIRDNQEDSELIKQENEEMILRDIEMESHISPRRSHANSEQNAEYEEFIKLKQSLKIATTALKEVESKELDYNVVLGKNEQEKQLDCILVSITDLSKEFMEIKHIMSVDMEGSEKRSEILSDQCNHMVQQALVLTKKGLWYKQMLDTRRSELQKAETEVDVLGNKVNTLINLVQKIYVTLENYSPVFQQYPGLLDAFLKTCKLVAGLKSKQKEDLQDTS >OB07G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1552478:1555657:-1 gene:OB07G12360 transcript:OB07G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:J3MIK4] MASALGAQVRSSSRGALPAACSAESRPSVVPYRCLWRLREELLEQDWLSCHLPGCLEFGISISYPVLGPWAGYCQKLVHQSLNGNQGNNTVNFCNKSWIGTTLAWESKALKARHMNKIFCMSVQQASKSKVAVKPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKRASLCVRRAVYYDPETGKEDPSKKGICSNYLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPNNFRYDKALSREQNNKNGGKMYVQDKIEEYSDEIFKLLDGSAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >OB07G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1560500:1561903:1 gene:OB07G12370 transcript:OB07G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MIK5] MSSTSQHLHVAVVAFPFSSHAPKLLAVARALATAAPSVTISFLSTADSLARLPATPAVVPGNNLRFVEMPSGGGGEDDGEGTPAWRRMEMFVEAAEGGGLKRALEMAGDEAGGARVSCVVGDAFMSMAAEAGVPWVAVWTGGPCALLAHLVGDALREDIGDGDYGARGDEPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPGAPFLWSLREESWPLLPPGFLDRAKNSAGAGLVVPWTPQAAVLRHPAVGAFVTHSGWGAVVEGISGGVPMVCRPFLGDQHMNARAVARLWCFGTAFDGDGTGKPLMTRGGVAEAVASLLAGEEEEARMMRARARDLQSRMVSAFELDGGSTKNFHKFVEIVCARV >OB07G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1569327:1570447:1 gene:OB07G12380 transcript:OB07G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MIK6] MATTTDQLAAMGPVPLPFNSDNAGGDDVPAVDPGLLEEYESIISSLPSNPKQRLRCYQGAWVQSSWVPGIIAIQRGRGFAPRRGDVVLASPPKCGTTWLKALAFATMARRAHPPAADERRRRHPLLRLNPHDCVPFMEKLFAAGLGSRMDALPSPRLMATHMHHSILPASIAGNPGGKIIYICRDPKDMLVSMWHFARRILPELPFADVFELACEGRCLSGPIWDHILGYWNASKASPETVLFLRYEEMLRDPIDGVRRLARFVGQPFSAAEEDAGVAEDIVGLCSFDKMRNLEVNVPPPPAAVQYGGRFANDSFFRRGVAGDWVNHMTPEMAARLDAIMEEKLNGSGLSFS >OB07G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1583676:1597596:1 gene:OB07G12390 transcript:OB07G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEAPPETGKAVDAKTSKPRTAVPTGRFALGTASSIKKRADAAPPAELGVSRSSMTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVSAPAVSSKSTLEKKSSVQSERTKVDPMKKPVVKPSPISTLKKVSSVTENSNSSSSSSFRRAASNATLNSPRSPSVTSSVTKKLGSRTSSIDRGSSMPIRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLENCKFLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEDNPLLEIPHLEAASILLVGPTLKKFNDRDLNPSEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVMKAYVDHPFEEDPCHCHFSFTNQCNDSELVLKYQWFIGGKTPTDFVPLHGESSEVYWPKREDVGRCLKVECSLILNDAEFLPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGAPGKGVASWLRRRWNGNAVVIDGAERMEYQLTLDDVDSSLVFMYTPVTEDGVKGEPQCTMTDFVLAATPSVSSVHVVGDIVEDTTIKGKGKYFGGKEGLSKFLWFREKENGEFLLVLSNSMEYTLTKEDVGHRLKFVYIPINLEGQEGEASYAMTDAVKKAPPKVLDLKIVGEMREGSKVCATATVKGGTEGFSRVQWFKGSSSKFLNEHELQILTTSKVSKTFRIPLSAVGCYIVAKFTPMAPDGETGEPAYAASADVVEMLPPSLNFLTVTGEVSEGQMLTASYGYIGGHEGNSLYSWHLHETEDDEGSLVSEASGLLQYQVAKEAVGKFVSFKCIPIRNDGILGEPKVFMGKDRVTPGKPTLLSLELTGEAIEGTTMVVNRTYWGGDEGETIFRWILTSSDGTQKQIEGATSSSYTLNCNDIGFYISVLCEPVRSDGVRGSLVSTEESGPIIPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWLRLHSDGSKEKLTTDELLDLTLDDVDSRIELIFTPVRYDGLQGSPKDVLSDTILPGDPKGVDLVLPECSQDNEISPIKTYFGGKEGIGKYTWYRTKEKLDNLDPDLVASCSEVVGVNLMYTPSLEDVGFYLIHHWIPTRCDGEIGDPLMAATGDPVMAAFPSVADVHLEQKSSSLYSGTGIYYGGYEGSSLYKWYRESSDGTRHCIDGADLITYEVTDADYSCRLLFGYIPVRSDGIIGEEKLSEPSDVILPELLKIEALSFKGNQVERETLTAVEQIPSNEVQQHLWSNYKKEITYQWFASNGSGVDQTFEPLANQCSRSFKLRFEDIGRCLKCECSVSDVFARSSELISAVTAPILPGKPKIEKLEIEGRGFHTNLYGVRGTYTGGKEGKSRIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVVIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDEGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >OB07G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1598268:1599482:1 gene:OB07G12400 transcript:OB07G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit K [Source:Projected from Arabidopsis thaliana (AT1G30380) TAIR;Acc:AT1G30380] MASQLSAATSMPQLHGLRSYSSPRSMVMLPSMRMSKKRSQGIRCDFIGSETNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGVVGHIMGVGIVLGLKNIGVLDKIIG >OB07G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1602368:1602640:-1 gene:OB07G12410 transcript:OB07G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPGIRVSTVHVHHWRCFSFSLFVASDRHVNLLDFVQDFCCISTDARKHVDDLRSCVSDRSPSQSSPISVKCESSRWLYVLKLPKRLWW >OB07G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1605308:1606519:-1 gene:OB07G12420 transcript:OB07G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21065) TAIR;Acc:AT4G21065] MLNSFAANGRPNEVLTVFRDMLGIDFEPDGFTIVSVLTASAEIGALALGRRLHVYVVKVGLVDNSHVSNALIDLYAKCGSVSDARKIFDVMGLGRTVVSWTSLIVGLAANGFGKEALELFSVMEREKLVPTEITMVGVLYACSHCGLVDDGFKYFDRMKDDYSIVPRIEHLGCMVDLLGRAGRVEEAYNYIITMPLEPNAVVWRTLLGACAMHKKLELGKVAWARLVELDPGHSGDYVLLSNLYAAVGRWADVYVLRKTMVKNRVRKNPGHSLVELRNSVYEFVMGDRSHHESEQIYKMLADIAERLRQEGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAIKLISKVYDREIIVRDRSRFHHFKGGTCSCKDYW >OB07G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1608527:1613388:-1 gene:OB07G12430 transcript:OB07G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G30360) TAIR;Acc:AT1G30360] MDIASFVTSLLTSFVIFAALVLVFTWLSSRPGNAPVYYPSLLLRGLDPWEGRGRGTRSPAGWLRQALAASEADVVAAGGVDAAVYLVFLYSVLSILVFSGVVLLPVLLPVAATDNALERSIGLNNGKSPQNFTELEKLALGNVQEGSRRLWAFLLAVYWVSIVTYFVLWKSYKHVSNLRAAARATADVKPEEFAVLVRDVPTPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHTKADKIYQEIEGHKQKIARAEVVYAESKTTNRPEGTKPMHRIGFLGLIGKKVDTIEYCNDQIKELLPKLEAEQKTTLRDKQQQAAIVFFNKRSAAASASQTLHAQIFDRWTVEQAPEPRQIIWSNLSKKIYERQIRQVVVYTIVFLTVVFYMVPITAISALTTLEKLREKLPFLKVVVDQKEIKTVLQAYLPQLALIVFLALLPGLLFFLSKSEGLPSQSHIVRAAAGKYFYFIVFNVFLGVTIGSTLFSALTTIINNPPGIVKMLANSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDDVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLIYQTTMVGVILLKKFFYSPVLVPLIPMSFIFAYICNMRFYPAFAKTPLEVVQHDVKETPNMDAIYTAYIPACLKPEKLEDVDIFEDAQSNTTSRAPSI >OB07G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1623474:1625631:1 gene:OB07G12440 transcript:OB07G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:J3MIL2] MAEEALVETPAAPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQDYVKSH >OB07G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1628352:1639405:-1 gene:OB07G12450 transcript:OB07G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MIL3] MSVSGGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLKHRMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIADLINNEWFKKGYQPPRFETADVNLDDVNSIFNESGDQAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQSGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHSISNGLKDVMWKPESSIIEGDEIQHRSSAGASSPPPQTNAASIDWLGGEQISKVGSSSHIAPRAPQPSLSTNAAGAALDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAAGSLACARRGWVNIEMDKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQSDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFRSLPVIAESAIQSMKLTRSPQIDCILSQSITILSGELDYKTDSTTGIDINHQDESCSYSQAQKIISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQLRPCLPEHKQNSYSASVKKDKGKGKIRVKDSGCSMRSPLLDCSLCAATVRIWDFRSVPRPSHLSINNSDAPDTRKGLLTRGISATSGINGWVAEGTEKDNVEGRDEAGTDEGKSLSNAPVDLNLTMAGGLPSTHSAMPSMPDHFNDGGMGRDLMIGQPTGSEIGGLAASFESRGPSSRKRNLEEGGSTADKPLNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNRPSSSGAGPSRNLSFDLDIDINRFDAYKAEGPSALHNPSARDSFRASSVIAMNTVQNVEENSTESVEYHPCDVDDVHKPSSALRSGGMSEPLDLNYSNQAQQSSFVQPAAESNAREIGGSSMNGGEEVLNAEATTAFARDQLSLGVSGGSVGMGASHEAEIHGIDVSEHKTDSVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPEDVGREEPQGDSQDVASRLVGRADSGSKTCGSTKADSVESGEKISHAVGHESNLQHSLSRNARVYSGIDLSKDEVTQTAKDLANDDYDPGDDLAAANGGNDYEAGLPEFDPIRHHNNYCPWVNGHVAAVCCINTGSSTSTGLSGWQLTVDALETIQSLGQAQNQIMPSDSAASLYKDDHVARPSRKLLKRASHSKC >OB07G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1660352:1660771:-1 gene:OB07G12460 transcript:OB07G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKAIVEYRKASRQVTLGSFFLVTTVDDQLRGGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRAATVQDKGQMLHKVSKHSLRLNV >OB07G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1683528:1687042:1 gene:OB07G12470 transcript:OB07G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) TAIR;Acc:AT1G30220] MAQPSESASPSHGGTPTSSGSPSPPASAASSCPPSPAGIGGLLFGYDTGVISGALLYIRDDFRSVDKNTWLQEMIVSMAVAGAIIGAAVGGWANDRYGRRTSILVADTLFFVGAVVMASAMGPAQLVAGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAVPAVVQFFLMLFLPESPRWLYRKGREEEAEAILRKVYAAEEVEREVAELKESVEAEVRERGSPSSEKASLVTLLVTTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSVVSIYFIDRTGRRKLLSFLSLTEAIGPAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLQQRELRLRFWARRRRSSGDGGGGKTAGV >OB07G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1688688:1690526:1 gene:OB07G12480 transcript:OB07G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: MAGE protein (InterPro:IPR002190); Has 1274 Blast hits to 1260 proteins in 85 species: Archae - 0; Bacteria - 0; Metazoa - 1104; Fungi - 45; Plants - 49; Viruses - 0; Other Eukaryotes - 76 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34770) TAIR;Acc:AT1G34770] MAATSDDYAQIDISTEEKDKLVAEVMRHVLFKTHQTAGCPIKREELTQIVTKNYRQRALPALVIKEAGDRLAATFGYEMRELQRTRAPSTRSGRPSQQQGNLPSHSIFLISSCVCQLSRLQIDKNFLQIWNLLVNVDAKSYVLVSKLDPEVYSNYVEHKEAAHVSGFAFVVISIVHLSGGKISEEDLWHQLKRLGLNETDENHPVLGNSKQALELLVQQRYLLKEKLTGPEGNSMMYELAERALDESISGKLKDYISQVVSTSTASEEVD >OB07G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1703688:1703819:1 gene:OB07G12490 transcript:OB07G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWQQQQPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVST >OB07G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1704225:1705575:1 gene:OB07G12500 transcript:OB07G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTFASPAPHLAGVTPIAVAPAQHFTLTPAAAEPHAEMTHFSFDHFMPVHVAAPASGPAGDYNLNFSMSSGLVGVHSRGTLQSNSQSHLSSHHHHQQQQQLQRLSAPLDAPNIPFLFSPAAAPTAADSQFAAAAAALQLWRHCSSGTGSGTPTSRRRASTDQIRSRGIRPASSPTSRVPPQVNAFNTLPEEYN >OB07G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1710446:1714753:-1 gene:OB07G12510 transcript:OB07G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17230) TAIR;Acc:AT1G17230] MAGCASPAAACSVVVVRRCVYWCLVFAEAGVGVERARFLRGNGGLHGAGLARGGSVCRRKLDDVDGRLSSWDAAGGDPCGWPGIACSPALEVTAVTLHGLNLHGELSAAVCALPRLEVLNVSKNALSGALPAGLSGCRALQVLDLSTNSFHGSIPPELCGLPSLRQLFLSENLLSGKIPAAIGNLTALEELEIYSNNLTGEIPTSLRALQSLRIIRAGLNDLSGPIPVEISECASLAVLGLAQNNLVGPLPGELSRLKNLTTLILWQNALSGEIPPELGDCTSLEMLALNDNSFTGGVPKELGALPSLAKLYIYRNQLDGTIPSELGNLQSAVEIDLSENRLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELSQLSVIRRIDLSINNLTGTIPMEFQNLTDLEYLQLFDNQIHGVIPPMLGASSNLSVLDLSDNQLTGSIPTQLCKYQKLIFLSLGSNRLIGNIPPGLKVCRTLTQLQLGGNMLTGSLPIELSLLQNLSSLDMNRNRFSGPIPPEIGKFRNIERLILSENYFVGQIPPGIGNLTKLVAFNISSNQLTGPIPRELAQCTKLQRLDLSKNSLTGVIPQELGTLVNLEQLKLFDNSLNGTIPSSFGGLSRLTELQMGGNRLSGQLPVELGQLTALQIALNVSHNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLIGPLPSTTLFQHLDSSNFLGNIGLCGIKGKACSGSPGSSYASRDTEMQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLKSKIPDLVSNEERKTGFSGPHYFLKERITYQELMKATDSFSESAVIGRGACGTVYKAIMPDGRRIAVKKLKSQGESANVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHGSKDGCLLDWDTRYRIALGSAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEQGGDLVNLVRRMTNSSTPNSEMFDSRLNLNSRRVLEEMSLVLKIALFCTSESPLDRPSMREVISMLIDARASAYDSFSSPASEAPMEDDSALKN >OB07G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1729665:1732693:1 gene:OB07G12520 transcript:OB07G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAGSPPKPWERAGAEGASGPAPFKPPSGGTTSDVVEASGTAKPGETVTAAERNTSANINSAVSRPMPQRPWQQTGYGNSYGGYGSNMYSSYGGFGNSYGSGGLYGNSMYSSYGGGYGGGLYGGSGMYGGGMYSSGMGGPYGGYGMGGMGGMGGMGMGPYGNQDPNSFGPPAPPPSVWVSFLRVMHGVVNFFGRVAFLVEQNTQAFYLFITAMLQLFDRSGMLYGELARFVLRMLGIRTKSKKGKVQGPDAPAFEGPAQHFIEAPKGNNSWDNVWGN >OB07G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1733344:1736600:1 gene:OB07G12530 transcript:OB07G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >OB07G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1737116:1737472:-1 gene:OB07G12540 transcript:OB07G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYSQARTIISMANQGNVTDQQQRQVVREDHDHHCHQADSGSASTAAQQLNPAVAAVADDRHVPPPAVAPPPRQDHLEAAASGLSMKRSLQRFLEKRKARAAAAAPLYPGERPVARR >OB07G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1743158:1746659:-1 gene:OB07G12550 transcript:OB07G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAEVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRAESPGDALYVVISVQHPTLGNYFTAALHAKLVEKTSSSLRLAAFFWLMPHKVAVGIYWEAVRLWLKSVKFLDHPRYLNMAYRDEAQKRDLEIRSSCSFLQKQKLNDQRSGSAADEISVHHDHSGEGGVTKRRCVWRDAQWPWS >OB07G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1748177:1749397:-1 gene:OB07G12560 transcript:OB07G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30090) TAIR;Acc:AT1G30090] MRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSSTPSSDLEQTSWETPLIPGLPDDAALNCLLRLPVETHEACRLVCRRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPDKGAWQPVASMGMNMASSDSAVINGRLYVTEGCAWPFFSSPRGQVYDPKIDRWETMPVGMREGWTGLSVVIDEHLFVISEYERMKVKVYDPDTDSWDSVKGPPMPERIMKPFSVSCLDNKMVVVGRGLHVAIGHVKKQPGSHPDSRSSSYLIQWQDVDVPREFGDLTPSNSQILHA >OB07G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1752206:1752385:1 gene:OB07G12570 transcript:OB07G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTKVGTRGSALVYKSFDLLQWEGNTTLVHSSAIILILECPNIIPVVEHRSEDLHIS >OB07G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1763480:1764016:1 gene:OB07G12580 transcript:OB07G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWINNFVVDLEDLEVEVELDVELVAGDGAHGRRTPFRASKTGGPCPVELVDGGAAPLAGSSHAVRGDGYGHGGGGGVVDVGVPASAGDALLPVGVLVAAERLRAPELPRAVAAGEERLEPRRRAPSAPPPTARGGGQRCSPPPPPPPGTETETGPAPPPELDVATMGDP >OB07G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1763663:1771660:-1 gene:OB07G12590 transcript:OB07G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLYMSLAASNDEWEAAAPTACVDGKQVRLFQCLFCDKTFLKSQALGGHQNAHRKERVAGASWNPYVYDPAAAAVSIPIASHGVATAGEWSGA >OB07G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1781609:1782064:-1 gene:OB07G12600 transcript:OB07G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRRAGGGGGARLFPCLFCSKTFLKSQALGGHQNAHKKERVAGAWNNPYVYGGHEQYSAAEPEPDAWRWRGVVPGSGCNPAAAKTTIVAGASHGGAEERRLLLATTPRSRHGLGCWRMGSEGASEKDVDGGDTVVFVGGEKLDLQLRL >OB07G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1801268:1802374:1 gene:OB07G12610 transcript:OB07G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTPPPPPPADGDLETVGRYDFHGQLDSAMIAHPKLDPVTGELFALSYNVVSKPYLKYFYFTTDGRKSPDVDIPVDAPTMIHDFAVTENYAVIPDQQIVFKLQEMVRGGSPVVYDKDKASRFGVLPKRATDASELRWVEVPDCFCFHLWNAWEEPVAPPPDASELRWVEVPDCFCFHLWNAWEDDATGEIVVIGSCMTPPDAVFNESSPDQSFRSVLSEIRLDPRTGKSRRRAVLREADQVNLEAGMVNRQLLGRKTRYAYLAIAEPWPRVSGFAKVDLEAGTVEKLIYGEGRYGGEPCFVPRSDAGAEDDGHVLCFVHDEERGRSELVVVNAGEMKAEAAVKLPGRVPYGLHGTFIGANELHQQA >OB07G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1801418:1802362:-1 gene:OB07G12620 transcript:OB07G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGANEGAVQSVRHAAGQLHGGLRLHLAGVHHHQLRPAALLVVHEAQHVAVVLGAGVGARHEAWLAAVPAFPVDQLLHRAGLEVDLREARHARPWLGDGEVGVPRLPAEQLPVHHAGLEVHLVGLAQHGAPPGLARARVEADLREHAAEALVRGRLVEHGVRRRHAGADHHDLAGGVVLPRVPEVEAEAVGDLHPPELRCVGGALREHAEPRRLVLVVHHRRAAAHHLLELEHDLLVRDDGIVLGDGEVVDHRRRVDGDVDVGGLAAVGGEVEVLEVRLGHDI >OB07G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1810093:1810290:1 gene:OB07G12630 transcript:OB07G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLDSGRRAMDRALDPGHDPDDSPTAAANEPLLAAAKPN >OB07G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1809990:1820702:-1 gene:OB07G12640 transcript:OB07G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMELLSVAPPWLKKEIIGSLPEIVGDQSHAAVVAALEKLLQEDSDVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVQADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGMVDPRASRGKKLKGKASANGTDGAILDALSSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELAKDHFMSYLSVSDFLLACKEEKAREFAAYLFTALFEEFNDTFSRQELVGSLVAHIGSGVSFEVSSALDIMISLSLDKSEELIPVSSYITGTVHGEVLFCLVLLFLINVNSVGCGNVGILDYLESFQEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELIMVVRKQVSNPDMKYKRMGIIGALRIVSTISDVNASMNCSSSQQSNYDEALELLKMTVKSCKFAMLPLILLYDELAVLLESSVIHSEIVDWVGEHVGEFATVFLADLDNGELSNKYVCDGIEGELWMNLDGTISPILPSQFSLLTTIERLGSQGSLGGINAVLGCPLHLPSPKHLDGARWGSLSAMQKKTVCHSLYYAINWMRELLNAFSTQVAARVDNISQRARDETAVKLLMRMRNLILLEGLLNAYLKIHPLSLPDLQYVGDHGSSSTSKFNLPKKMGDQNMEGNVSRKRQKGHKDTSSDKSNSDEKLRQPTILDAFKRAGVTISQETNRDSQPSSSGMTSRDMERETNSPCKFGIIDLVAPPAQLDMQRFKFRTLHVTCLSLLNYSEPQDSTSSYHESEMPLYLYLLRDLHSKLDNLNPSSKPFFNSSQVNSTHAYWRKSMDEFLSKIQPLFSSLRNQLNGAVSMIKDDSCPYDWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLCCYRKLLGVPDLLNQTNISVLKELLQTFQPTENFDDVLSEFSPSLVPSNLDYLYCGANTMLAAIMDLVCSFSYLLAYDVLITMQSILNNVIMLFEKSGEQNGKNIHMGCSKEILPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPEVPSLKTGSTQDMSHGFPTLCSSTILSWYRVLHEENTGNLNKMIKQVLKIRPHSERAVETALEEIHKSVIVFVSLINMCKIHKKVAMHAMAVKHGGRFVDAFLKAFNFLEKHFGQHNDIILQMLKSLQKATRTIQTICSEAKGHKRTMITSKIPAAKRSLERFVFQAKALLHNSTEDILCIRNLRHKDLQGHLVSSQVYGSVDDSPDEEQEQMETNSEAQADENGNAMDEDVAEDGNEAPLEE >OB07G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1822471:1823293:1 gene:OB07G12650 transcript:OB07G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREVFDVSGLKAHSIVLRPRDPDTITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDAEVPDDPATITGEPDSIAMLHKIAGRVSSQLKREEGAEVVAEQACYLPCTSDGLPVIGEMPGVKGCYVATGHNCWGILNAPATGAALAELILEGNAKIVDLAPFSPARFLKKRSKRGV >OB07G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1823983:1825941:-1 gene:OB07G12660 transcript:OB07G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G69210) TAIR;Acc:AT1G69210] MVGWEEEDEEEEDAEPEIGDGGDGGGVVLRDVKWGQRALAAAEEVLGEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIESFNKLYKQKLDEIIERGEIPLDLGVEVSSPGAERLLKVPEDLDRFKDMAMRVQYLVEGDDLVLKQILQKDGIFLLEAVDIQGEHCIWKLADVKENRAQAGKGRPLNRKQRDWRLQTSFKAVKKATLYLD >OB07G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1842370:1848645:1 gene:OB07G12670 transcript:OB07G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQQHGGSDSPAAGGAPHLFHALGPALLISMGYIDLGKWVAAVEAGSRFGFDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSKPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLVTGICFATVVPNLLSYAISHLGKKMAGTLNACIAGFALLCYVLGLLVSQPQIPLTSNVIFPKLSGESAYSLMALLGANMMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAADSTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVILQHLFGINLPVSGHHLIVKGFAIVPALYCAKVAGAEGIYQLLITCQIIQAMLLPSSVVPLFRVASSRLIMGPHRMSLHLEIFTFLAFLLMLFSNIIFMAEMLFGDSGWMNTLKGNTGSPVVFPYTALVTVACVSVAFSLYMAVTPLKSGRHEAESQECSVPSQKELLTSTQDREEASVGNVTYEEDERSDVVPSPRDPPEDCLKSALEYIDSSDTAMESDHDSQHSTAYTSTAPEICYSPSFIPEESKPVVAVDWTEPLEPISNAIAAEESTVESVDSKSTAERDIEVELGALIDNDKEAPHILESDKPLGGNNPSCASDDGPPSLTFTRGKSSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTARTDNQTNEIPKSPVVRDNLRGSAFMVSSRDLMSPKNEMSNLDLTYGLQMGTNIGSSAWSQGMQLPSTQLQGSSNSLLDQGARLNSNFSAPSYSDNSQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGTIGPQSYLSRLASERSQYANSVARPAAAPLAFDELSPPKLQRDIFSMQPSPSPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSSGITKDDFSYKESEAKLLQSLRFCVSKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLSHIDAQQPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKARSTLTACACLHKDIRAPQNSLIATSSILRPIRGSFTTASVILEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >OB07G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1850123:1854217:1 gene:OB07G12680 transcript:OB07G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase [Source:Projected from Arabidopsis thaliana (AT3G52390) TAIR;Acc:AT3G52390] MASTGVKLIDIAVNLTDGMFKGIYHGKQCHAADIPAVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAREGIAKGKVVAVGECGLDYDRLHFCPSDIQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTAEDRDKLLSFDKMFIGINGCSLKTSENLDVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWQSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKILYHNTCRLFFPQDLDTSADAQLESGTAVQNS >OB07G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1853612:1855416:-1 gene:OB07G12690 transcript:OB07G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGELSQAFSEAGRVDNVQIIYDKVTDRSRGFAFVTMATAEEATKAIQMFDGALLGGRTARVNFPEVPRGGERTAAAAARGSSSRRDDGTYKIYAGNLGWSVRADSLRAAFEGRPGLLDARVIFERDTGRSRGFGFVSFATAQDAQAALEALDGVELEGRPLRLSMAEQNPPVGSPSTVQSQQEETASESSEAETEQDITSEPSQAGMEESNLQTAASY >OB07G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1866405:1866956:1 gene:OB07G12700 transcript:OB07G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHPEGEPAAGGEQPVVEGAAAVDAEEKEAAEGEGEEEEDEEGECGFCLYMKGGGCRDAFVAWEECVEAARGSSDMVERCFEATASLRRCMDAHADYYGPVLRAEQAVNDHADAAIADEDKQAEPPPPASTGENKVDAVVQEAAPAAGEKKQEVDDRSAASSVAAIDERKEEEVVTEKSDS >OB07G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1869086:1872119:-1 gene:OB07G12710 transcript:OB07G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAALSFQEHGHGLQVITSRGGNGGAAAHAMPWWVGAGSQPLLGAGEESFSQLSNAIMDDSRLLQDHHHHQILASGRQLHHRAGDSSRHHFPAMPPERQHHPPPPAESSVMKFPIISGDSDLCKDMKFHESSVPTIAAYSPLQEYQGHFELALGQSMVCTNFCNSDQSYGVYSPYGAQAMAGRMLLPPAIATDVGPIYVNAKQFNGIIRRRLARAKAEREHRVSRSRKVIRLMKMLTSSERECLHCETNQSGFANSDAGVDLVSKQSITTKLKH >OB07G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1894880:1904888:-1 gene:OB07G12720 transcript:OB07G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPLVLTVLLFASLTGLLVLAPRSSSPMTPAKEEEAVVVVGDGKGGGDGGEDDDLALLRRATLDAGEGAAMAMAPAGPKVAFMFLTNSDLTFAPLWERFFEGHGERINVYIEVLSGEPQMQSRYAARGDDAMLPEVPFDRFRVGSQFFTLARRHAVLVVRERRLWRKFRQPCLNPDSCYPEEHYFPTLLDMADPAGVARYTLTHVNWTGSVHGHPHKYTADEVSAELVADLRRSKNATYDYMFARKFSPECLGPLMDIADTVLFND >OB07G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1908813:1909499:1 gene:OB07G12730 transcript:OB07G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGFAVEGGSLYKTNTSSAIAGLCVGAVVFLLCCVTLLCSFFCNRTRRAAAAAPTGRREGGDYGGNVAAGVDEATLQALPLVLYGEAATTQACCPVCLESYGERDVLRVLPACGHLFHRECIFKWLRQRPTCPVCRTAQAGGGGGTTAAMWLPASTRRRCRRCRWCCTARRRPRRRAAPSAWRATASATCSGCCRRAATCSTGSASSSGSGSARRARAAGRPAGRRR >OB07G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1914634:1915350:-1 gene:OB07G12740 transcript:OB07G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAASLATASHRQRPLAGNRWQGTHGIEERGGHRRIRRGQDEQGRDQVWERAGGVSEELDPQVGSLSFGLKLLSRVQPSEVLLKLVTKDVSMLEIMRPVSGYSLFDCRTKWGICLFGATVSLIVADASSQLAQSLLFSI >OB07G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1916488:1916979:1 gene:OB07G12750 transcript:OB07G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSPSPGGGGGSIFGSSGVGGFGYGVGASLGVLLIVSTVALAIYFCSRTSMPVAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPVAPAAPDLPRLPDVAAAEPLADAARRGHAAGSRQAVVRSFLACFCV >OB07G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1917666:1919539:-1 gene:OB07G12760 transcript:OB07G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22050) TAIR;Acc:AT5G22050] MTRRMGCLSRQLCLRGNRGLPFLRRFKHREIEAATNGFSAVLESAPRGTAYRARFADGLVATVRRAGGGDREKEAFYRELQLLGRLNHRHVVRLHGFSCEGNNRFLVFDHIENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDVNVIGYDSKRTAESNAASFEDQIQQRRRDMVFQYGVLILELVTGQSPGGQGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGYESETICE >OB07G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1924577:1928136:1 gene:OB07G12770 transcript:OB07G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQMQSRRLLLLAAACLLLDSAAAVTDVEYCNKGKSYPVKVSGVEIVPDPVARGEPATFKISASTDQTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGDFMVSHQQTLPSFTPPGSYTITMKMLGDNDEELSCISFGFSIGFAASEATI >OB07G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1931042:1931227:-1 gene:OB07G12780 transcript:OB07G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMMQLKVLKLTSKLAYIHHIQICSLTEASSEVVYVHDRPTTKFKHTLKNIKRSGNLLVK >OB07G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1939257:1943039:1 gene:OB07G12790 transcript:OB07G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEWNRSNHDCCHSELENLLEVQVYAMSDSLTSKIGGTGKEYAEQSSGDVNDMDVDLSYGSFGRSSSTGLVGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKSKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNASVIVDICHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVSVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRIIRYLEEPSDSVSLLRDGDKLAAYRLPKKYETSPFVVFTHQHFDEHSSVDDMTPQMKEFEAPLLAVLPERVNGLTLKSIYLKLLKPLRFSKGASSLNDSGSCNSGCAAVPMDAIPDSDGQFLSAPLENTLESSHSDTVECQGTGGPSESSEWEADVEQFEFYLTNGRCDVQQARIETNEVDLLESTPNRLQINVHWQQNAVRQYETSMLKSLPEIHKLELIPKRNEDSVALNGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSNCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPMTEDSIKTPAAYVLFYRRE >OB07G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1949268:1949441:1 gene:OB07G12800 transcript:OB07G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTITPSHEGFIFFPIHLSHKDFIFGGTNALDFCKVREMHWSLEMFWTIVLEFKNVK >OB07G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1950901:1958251:1 gene:OB07G12810 transcript:OB07G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQFSSEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLAPFHQAHTVQDHQQVQNRGFQCNNFGSYDVASRNQRSPTATYSMSSNQQQVSPIRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGSN >OB07G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1958602:1958769:-1 gene:OB07G12820 transcript:OB07G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLFSIIQHARTYIVNSSSVRACIVNNSSVQIQIRTLVQYIVELITHTFFFGSTYI >OB07G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1964510:1965112:1 gene:OB07G12830 transcript:OB07G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEGGAKPVPVIVAMKGHPGSGKSTVACAIAAALRCPLLDKDDVRDCTLPLERVDGLAVGMLNELSYAVLWRVVERQVRLGLSVVVDSPLSRRAHLDALTQLPAALVVVVECRPGNEEEWRRRLEGRGAAVVEDYSSGWHKPKTWAELERLRDGYQGCTDYELGDVPRIVVDTTDPTISSEAIAVRVVEFIGSVLACGH >OB07G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1964007:1966646:1 gene:OB07G12840 transcript:OB07G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVLQPGVPATLQELEPSSEMFRQGASLRVTGMLQSYDLSSAIAVIQDGGASLKVDTQHLRDINFRTNSTYQFIGELLIKPDNDVYHSPYKFIIIFDMTLEDATTNFLLTSLQAILQARIGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRA >OB07G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1966530:1969729:-1 gene:OB07G12850 transcript:OB07G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT5G57040) TAIR;Acc:AT5G57040] MATRCVSSLALLSPTPSPSSSGKACVPSAAAHRRPRTRLSVATGGEQLVTAQEASQEPDYGVVSVHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVSKLKEIFDKAGIRYTLSKSGRPAIFARDPDENALEFTQV >OB07G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1975308:1978375:1 gene:OB07G12860 transcript:OB07G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPSYGALLLLPLLLPLLAAGATETSPEQPALPSSSGGGNGTATATGVNSNSVLVALLDSHYTELAELVEKALLLQALEDAVGRGNVTIFAPRNEALERDLDPEFRRFLLEPRNLRSLQQLLLFHVLPARIHASDAAASSLPSSHPTLSGEHVELARGDGSMRNPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGLNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMTRLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPQDTATGGSDSSGSSPSAPARKAPAVTAHSKSKLRRGKLLEGACQVMGFLGASCQ >OB07G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1985419:1990652:-1 gene:OB07G12870 transcript:OB07G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPADLARMQQQLLSNPNLVKLASESMKNMRADDFKRAAQQLNQTRPDEMLNMAEKLANANPEEVAAMKVQAEQQMSYVISGAKMLKQQGNELHKREQYAEAAAKYKLAKDNLKNIPSQAAHSLQLVCTLNLMACYLKTRNFEECINEGSEVLSYDTNNVKACYRRGQAYKELGNLEAAVVDLSKAHELSPDDETIAAVLRDAEEKLAVEGKGVKHPKGVVIEEVEEDASNPSSSQMSSSPGYTVSQPTEGEGNSTRSGPSSIDANGLSKLGMEGMSPELVKTASDMIGTMKPEELQKMFEAASSLHGTSSSPNSGPNMPEVSPEMFKMASDMIGNMSPDELQNMLNFASNMGGPSTAPLRPENKFQSSSRATTSSTSQRSVDNSQPSSSQNIMENPDEKLSNVRIGESSSAGAPSTADMQETMKNAMKDPAMRKMYASMMKNISPEMMSKVSEQFGMKMSKEDAAKAQEALSSLSPEALDRMMRWMDRAQQGVEAAKKAKNWLLGRKGLILAIVMLILAFILHQLGFIGG >OB07G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:1994050:1998710:-1 gene:OB07G12880 transcript:OB07G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRAPALLVPLRPSPLSLLHQSLRSNPSPPPPPPLQPRVTIADSDLSSSSPTASSNPDKPKPKVKRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLLSEEDVEDVRREIQIMHHLAGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIVGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGETYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDGAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGHITLEELKTGLQKVGANLKDSEITALMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLG >OB07G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2006840:2007346:1 gene:OB07G12890 transcript:OB07G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMSSSPSLASTAKRPGGCVHGPQPQPLIVPAEAASRPTKKPRVVAAAAGDPGPVIVYELTPRVVHARPEEFRAVVQKLTGKQSAAPPASAVTSHGQVAGGGGRREAETKTTAVAVAADPLVLALGKQRQPPAPPAIDDSSAAGPPPSPSSLFFSPTTMQALQELGVLF >OB07G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2010121:2012334:-1 gene:OB07G12900 transcript:OB07G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G16010) TAIR;Acc:AT5G16010] MRAQLLAAALAVHFLKRVLEKIIAQLQRQIFFPMSLSPRSSVAVLFVHQYSGSMPLTTAATISSSYFLITAAMLYAQHLAAGLPDPPVDLDLLYPGVAAFAVGIAGNFYHHFLLSQLRTTTAKTKEYRIPTGGLFALVACPHYLFEIVGFFGFAMIAQTVHALAVAAGAAAYLAGRSCATRRWYESKFEDFPASVKALVPYIL >OB07G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2018756:2023514:1 gene:OB07G12910 transcript:OB07G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:J3MIQ9] MATAATAVYLHEVDSRFADADEEGAMTVPVEEVKSKEEAVDVEEEEEGEGEWSDSDVGDALDWLDAVEGHDGTGRPATSFTAAAGAAAARRPNAHGGVLSRPFQPISNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRETAIGKTRNTEKADRATVEQAIDPRTRMVLFKMLNRGNFNTINGCISTGKEANVYHASKADGQELAIKIYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVKAAGIRCPVPLLLRLHVLVMEFIGKGGWAAPRLKDADLSDDKLRISYLELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVAVMSVKELFNFVIDQNIADEDVDDYLEKIQQKMLENGEMVADEDEITPTVLVQTLDYVKQCEADIVNMSMMQRPSLAYEPTADKLYDQPLLGFVRNRNEPPKNQPQPQPQPQLEEPLDNNEESESEDSECCTSSDEDGSWHETSKVGPEERKAARKENKKKVKEEKREARKTKIPKAEKKKRKKMAKAKCKR >OB07G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2024451:2025487:1 gene:OB07G12920 transcript:OB07G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPSSPAERALRVLSNRKEVSSLEEIVASGRSGFIFFVASRIKRRTGPPGNGGVTIGNPELLERKKDAEIGVKYGLNLMREWGKEIRGQMKEVEVLIDSIQEKLDAGDDAVPRLGPPHRKRNRVKDRCFYPRESPIPTPMEPSFKRIKLETIDLTASRAADVVAQKAYWRFNLSLLQEKRELLKNLSESIQDSLSHLRADALNNMGTLGAGYADYNPKF >OB07G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2029303:2032361:1 gene:OB07G12930 transcript:OB07G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKMTTSRRQQIHEATAAKRKKLGLRLNDLPMDILESFLSRLPIKDAVRTKALSCLWKHTSLCHKNLLFSSRTMMSQRSYTAYGTKSITAEEFVTRVNAVLQQHRGGGVEKFEVYFELENEYAEQIESWINFVISSRTKQLILDFSPTRSIKAPYSFPFRLFDATNGSHLQFLEVCSVSLKPPADFKGLVNLKRLHLVVVDITDEHIHLLLSNCNVLEFLGIYDCDNLTRLRTCHPSNQLKHLVVKKCYYLKEIELNYGLTTLEYGGELIPLSSPGKLLLTNICIDVRDICGAIEYLFTNLPSTLPHLKVLTLKCHEFERYILPDNPLKFIYLRHMRLELIFHGLWEKKTDALDFACLLEAAPLLENLELHMWMNCVHLRYRKNHGVLRSLPPCTHTNLKLVNITGFYGQKDQLELVLHILRNSVSLEVMRIDPKPYIAVGQPMMQPYEEFYFVDGSKVAMKYIRKADHRNIVNVLEVSRKDVENVSARRLIDPI >OB07G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2036588:2037519:-1 gene:OB07G12940 transcript:OB07G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAEQAYVVEACRGAVQLMSDGTVRRSAEPAFHVDVPEDVEGAVEWRDVAYEAGRDLNARLYRPRRLGAANDARLECRPDAFLTAEMSDKYARLILPEGATKDYPVLNPAGPEAPGLEAVAMAPVLVVAAEHDILRDRNEHYARRMMDEWGKKVEFVEFAGEQHGFFEVDPWSERADELVRLIRKFVVEHMDSE >OB07G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2041684:2042400:1 gene:OB07G12950 transcript:OB07G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRNAVSGASSSDRVGFSEMNAGMRRMYPAILTGSSPAVPNWTARWWAQLPPADSPETNTRVESAASASHGSERSWSRSQRSAAAVSSCAAGRRGPRAGRERXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAAARTTVPSLSTCSTPRQSSTTYGFCGGGVFRSDEDAIGARARMVCLAASARSRA >OB07G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2041362:2042345:-1 gene:OB07G12960 transcript:OB07G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDLNTPPPQKPYVVEDCRGVLQLLSDPWLADAADSTRVFVSGESAGGNCAHHLAVQFGTAGLDPVRIAGYILLMPAFISEKPTRSELEAPDTAFLTRDMCDRYCRLALPAGAGKDHPLTNPFGPESRSLEAVDVGRVLVVAAEGDLLKDKNAEYVERMKAMGKDVELVVFPGEEHAFFGVKPMSAATGELVELIKRFIAGAGAAE >OB07G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2045256:2046730:-1 gene:OB07G12970 transcript:OB07G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHGSLTCGPGFSSSSSSLLFIGVTCTVVRSQLAKRAPAMSSSPSSSSPASAVAGRSPPVPHVVEDCLGIVQLLSDGTVTRSSDYSALALTCNAPSDLPVRWKDAVYDAGRGLRLRMVFVCGDSCGGNIAHHFTVGCASGKIALGPARLAGCVMLWPYFGGEEKMEAPPPADTSSAMNIALFDQMWRLALPAGATRDHPAANPFGPESPPLDGVVFPPVLIVDPEQDVLRDRVADYAARLEAMGKRVELVKFQGQGHGFFVFDPMSEASDELVRVVRRFVYGG >OB07G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2045446:2046459:1 gene:OB07G12980 transcript:OB07G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGKTTPSSGGLSGPNGFAAGWSLVAPAGSASRHIWSNSAMFIADEVSAGGGASIFSSPPKYGQSITHPASLAGPSAILPEAQPTVKWCAMLPPHESPQTNTLSKSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGARPSGSWAARSSRCRSRRRGSTRAPAASPLRHGWSACTCGVGGRGRRRRRRPSTGRAGRTGRCT >OB07G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2054998:2056035:-1 gene:OB07G12990 transcript:OB07G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPAVVAAAGAATPCANVVEDLAGFLRVLSDGTILRSPAGPVFCPSTFPGEHPSVEWREAVYDKAKNLHVRMYKPSPASAAGDGGENGGRKLPGRAYFHRRGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAGVAFRPVLVVAGGLDMLRDRAVDYAERLSAMGKPVQLAEFAGEHHGFFTLGPGSDATGELIAVLTRFISTTTTQ >OB07G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2063513:2064324:1 gene:OB07G13000 transcript:OB07G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQTITHMQKWECDRLLRHGHHFGPTCVCNYHALSLLQRRREKEIMEKEGRRNREDDMWAPPANYNNLNLKETGCYFYPVGLSMPAWCNVSPASNIGPCHGARNQAELEKAHQTFDGRPRSA >OB07G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2064638:2065648:-1 gene:OB07G13010 transcript:OB07G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAPAPAAAERVVVDECRGVLFVYSDGAVERKAGPGFATPFVPTLVVVGGRDLLRDRAVDYAARLRAMGKPVEALEFEGQQHGFFTIDPWSTASGDLMRAVKRFVDTDGGLRLG >OB07G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2067402:2074605:-1 gene:OB07G13020 transcript:OB07G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT5G06580) TAIR;Acc:AT5G06580] MAAAAALLRLSRSRRAPLLPITSLRLLPSALRLEYHHSQAPSSSARGRLPAFLSILAAAAAAAGGTTVALCDSGVDHRVGGKESTELVVRGERKRVPQEFIDELASFLGENLTVDYEERCYHGTPQNSFHKSVNVPDVVVFPRSQDEVQKTVMICNKYKLPIVPYGGATSIEGHTLAPHGGVCIDMSLMKKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGPGATIGGMCATRCSGSLAVRYGTMRDNVINLQAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINIANGKKLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEEPDAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >OB07G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2077433:2090670:1 gene:OB07G13030 transcript:OB07G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;valine-tRNA ligases;aminoacyl-tRNA ligases;nucleotide binding;ATP binding;aminoacyl-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT5G16715) TAIR;Acc:AT5G16715] MALAATSSSACLRRLNPLLFSASRRPAWPPRRAARRFCAAVVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWDRERFTLDEQLSRAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGHLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETDLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALHAVEDGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVSRNAEDALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSREDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDKSDATAWDALLANKFDTEAALQKLPLPESWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPHRKQAIIISSWPATDLPKNSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVATTDVIGYISREKQVLALLSKLDVQSVHFSESPPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDSLLARLNSESFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSS >OB07G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2092068:2104716:-1 gene:OB07G13040 transcript:OB07G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQIHNKFTMRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDMKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVAREDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPIQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPFPLPDKTRDDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFPQDEEIELYEEIKFEPNVMCEYIDNRLLFRACQLEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQMVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNVNQNRHSFERPVKIYN >OB07G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2109449:2111197:-1 gene:OB07G13050 transcript:OB07G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLAVLPRLLPVRTHDEDDDEDDYAYPLALFRRANSTSAFASNNLLRVLPHPLPLRLFPRFPHRNPHSFTFLLASISNHLSAAGPSASGCSFLGSHVHALAVKAGAAGDLYVRNALVHFYGVCSDVGAMRRAFDELPRVRDVLTWNEVLAGYVRAGMMAVAREVFDEMPERDGISWSTLVGGYVKEGELEVALGVFRNMVEQGIRPNQAAVVTALSATARLGLLEQGKFVHDVVRRSGMSVCKNVGTALVDMYAKCGCVAVAREVFDGLKRRDVFAWNAMICGLAAHGLGQDAVELFERFISEGLSPTNVTFVGVLNGCSRSGLVAEGQRYFKLMVEKWSIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSSCKTHGLVDLGVSVGNKLIELDPSHDGYYVLLSDIYAKAKKWDEVRKIRKLMSSRGTSKSAGWSLMEAQGKVHKFLVGDTYHKDSVRIYDTLDMINKRLTEAGYVPDVSYVLHDIGDEEKVHAIKVHSERLAIAYGFIVLEAGSPIRIVKNLRVCGDCHEFSKMVTMVFEREIIVRDGSRFHRMKEGKCSCLDYW >OB07G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2112023:2119080:-1 gene:OB07G13060 transcript:OB07G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAPPTTTPKALVHQRFGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDLPGLTVVTPGTFLRKKDAEQAAAQIALDKLGIQPTATVPSNPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGIIPLSAIAACDVKINTLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNLWIQNQKPYSPEAVDVALQRWSGITDLIEVDGIYVPCAMEDEPKTITLRLSHNEHYMVDIVSSLSANDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSKASNNGVSSLGDGNMECLINKRASYISGQTIYGDAILANVGYTRRDSELHTEDVTLSTYYRILLGKLPNGNYKMSRDSILVAELPSVYSRCSWKGLSPRDLLCSFCRLQRLAEPCFTVNTTSAYCKVLGSAVSSEEMEVRKNTENQYASDGSNGKENPDMFKCEVKIYSKMQKILLDYSTADTWTKESDAIHNSSLKVLIWFQSSLKQLNNHGQKLSPSKSTDGFTIYPDNFMHEFAMFLSIYGNTGGDESSTCSTVGSVSMDTSEQKNENNAILTQIEGSDSGIFPTHGSLACISYTASLVVKDKAKRYLLESNNEFEFEIGTGTVRNQLESCVTQLSVNQSACFIAELPPTDLILATATKFSHDLSKISRDNCCLEFSVKLLQVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTSLQKIVGVDISRKGLTRAAKSLHQKLSRKSLMQTSVPTAVLYDGSITDFDSRIYRYDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGEEPGYASQIAVFRRMASSQEETCQDRELPQPYELLWEWPNASLPSH >OB07G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2121936:2131543:-1 gene:OB07G13070 transcript:OB07G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 15 [Source:Projected from Arabidopsis thaliana (AT3G18520) TAIR;Acc:AT3G18520] MTSDMRPLNSQKGQTCGVSDQACHSKSKNDNDGKPSRAKVNGVSSLSGSHNDEKFLKENSGACNLNSDHANSLSVDGVRVSIARSELIDNSDHDGCLHVKNESCMACDDLLQESDGEQHGGTLEDLFSFNDEEDDDSDWEPSARLALDRWFCLNCTVPNMEDFAHCQNCNELKGSAVVGYDAFKAHLAQAAFLSSDTALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLTAHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLASLIVSGHARNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAAHEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFQHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMTSMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDNPIYHTGATEPSEEGIQTVLQVLSIQQQFWPVLVPSFASVLSLQRSVFSKYTSEGNKKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKIKDTCKEKSNDEAEP >OB07G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2132935:2133234:1 gene:OB07G13080 transcript:OB07G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMIAISLAPSPAPAAAAVSSYFYASPVASSVRRRGVAVRCAPDGGSNGVGAGKGKLMVVSPVVIVEAPVMLKTAASVPSLRHNDGQTVRSRPATGGS >OB07G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2136076:2137382:-1 gene:OB07G13090 transcript:OB07G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHQADPSDNLKDFLKKVDAIESLIAKLTNLLHKLQAANEDSKAVTKARDMKAIKQKMEKDIDEVGKVARMAKTKVDELEKDNLSNRQKPGWGKGSAVDRSREETTG >OB07G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2138495:2140103:1 gene:OB07G13100 transcript:OB07G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKTAASVPSLRHNDGQVKAGDVGRVMARKPKDVWAVRLAIGTYLLDGKYFKALDIDEGDDTAMQQTSDQLL >OB07G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2138598:2144636:-1 gene:OB07G13110 transcript:OB07G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGGSSRDGDIEMGMHQADPSDNLKDFLKKVDAIESLIAKLTNLLHKLQAANEDSKAVTKARDMKAIKQKMEKDIDEVGKVARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGVVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEETVDNLIETGRSEQIFQDAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKGA >OB07G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2150266:2150793:1 gene:OB07G13120 transcript:OB07G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRALNVHFTAEFVAELKACVGGRCSMFQCLFAHVWKKITAARNLRPKEFKQVRVAVNFPGRANPPVPMDFFGNMVLWAFPRLQVRKLLHASYAAVVGAIRDAMVRSDNDYECIQSFVDFGDQAVAVAGARVRGEEELVATAVAAGTMLSAELRGGDHHRSHAVRGQRRLLPA >OB07G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2154840:2156045:1 gene:OB07G13130 transcript:OB07G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKQSGTVDAVGIKPKEEEKKSKEEIHLKIKSKDKSSGGEDDKKEIEIEVKAKIVDKEEVKLDSDDGAKSSGKSKEKKKDKEKKKSEKHDEENGDNEKASKKKDTKEKDKKKSEDGADLEKETKKNKEKKSEKRDDECGDDKKESKKKEKKEDKGKEKDELESKVSGDEKQDKDKKSKIKKKGEDIDKDVAESDKDPMSGGSGTREIKLTKDESDKKEKDTSEEKKEGESKTAEKRKRDKEKKQDEHAEDSEDEEGKKKDKEMKEKKKDKSDKKEEGKKKYKDGDEGEEGKKKEKKKEKSTKEKMNDPTKLKAKLEKIDTKIQELQAKKEDILRQLKEQLKEELEGGSRRKDTIEEKPAQILEKGSEDIKTIEEKPVETVEESRECKNNGKEENPVAAA >OB07G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2154648:2163157:-1 gene:OB07G13140 transcript:OB07G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPEVVVFAVNGERVELRRGGGGGAGDDPGESLLEFLRSRTRFTGPKLGCGEGGCGACVVLLSTYDAEADEVTHATVSSCLTLVHGLHHRAVTTTEGLGSSRSGLHAVHERFAGFHASQCGYCTPGMCMSLAGALVDAEGTGKKAGAAAARPPPPEGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWKKGDASVSKLPPYKEGSIATFPEFLKDEIRASLRIDRSTPSASAGSVSSWYQPRNVEEYYKLIGSSVLPEKSRTKVVVGNTSSGVYREAEVYDRYIDLRGIPELNSVSKDAKGVDIGAATSISRVIEILRGEGNIYTDVVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLGAGSSVCIQVSSERMNVTLEQFLDMPPCDYRTLLLSIHIPHCTPSGISSSSESVNKAGDESASSLLFETYRAAPRPHGNAVSYLNSAFLAKLSSDEISENYISQKLCLVFGAYGTQHAIRATNVENLLVGKPITTSLLLEACTVLKKTIVPVEGTRHAAYRSSLAVAFLFSFLYPLTKGTFKPVETAHLNGQIISNSNGNLNHGPDTHVNVSSKEINNVKSDLHSNDHILESCKQVVEITEYLPVGLPAKKVGAELQASGEAIYVDDIPSPKDCLHGAFVYSTKPLARVKSIELSPSVEQLKTVAIVTAKDIPKGGSNVGANTIFGPEPLFGDPVTQCAGEPLGIVVAETQKFANIAASRALVDYSMESLDPPILSIEEAVRRSSYLESFFLPQKIGDFSKGMAEADQKIYSAEVNLRSQYYFYMETQTALAIPEEDNCMVVYSSSQCPETAQETIAKCLGLPCHNIRVITRRVGGGFGGKAVRSLPVATACALSAFKLQRPVRIYLDRKTDMIMTGGRHPMKICYSVGFKSDGNITALHIELLVNAGMTNDVSPMIPHNFLEALKKYNWGAFSYDTRVCKTNISTRSAMRGPGEVQGSYVAEAIIEHVAAVLSTDVNVVRQRNLHTVESLALYHSECMEDASGYTLPSILNQLITSSNYQHHLEMIRSFNKSNRWKKRGLSVVPIVHKFLSNPTPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMAAFGLGQLWSDRSQELLERVRIIQADTLSVIQGGWTTGSTTSESSCEAVRCACNILVDRLKPLKEQLQENQGAVSWDELISQAKMVSVDLSARALYVPGASGCYLTYGAAASEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLSSGFQKKRVLSSKASGEPPLLLAASVHCATREAIRAAREEYHCSRPGSSPPFFDLQVPAIMPTVKELCGLDNVEKYLEGLCSK >OB07G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2174512:2179052:1 gene:OB07G13150 transcript:OB07G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52520) TAIR;Acc:AT5G52520] MVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVASVQNILKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVISRRDVPGKQGKEFGVSMDPSILVDHVKGRLEEIQASLLEKAIAFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >OB07G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2179381:2182382:-1 gene:OB07G13160 transcript:OB07G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETVRKLVVEVVEARNLLPKDGTGTSSPYARVDFDGQRRKTHTVPRELNPAWNEALEFNFPGPGPGAADGDALAGEPLEVAVLHDVRIGPSRRNNFLGRVRLDARQFVRKGEEALIYFPLEKKSLFNWKLGPLRLTVIEAQDTLTAPPPRDAGIAVRGTLGFQSFRTRTTPVARNGGPSWNEDLLFVAAEPHTDDDCLIMSLEVRHGKEAFPVGSASISLATIERRVDDRKVASKWIDLLPSDEAMKKVGKKAAMHMHGGRLHVRVCLDGGYPVADEQPYASSDFRPSARQLGRPPIGVVELGIVGCKNLLPMRTADGKGCTDAYAVAKYGPKWARTRTISDCFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPPSQLPDGAKDAAIACSRPMGKVRIRLSTLESGRVYRGMYPLIMMLPTGAKRMGDVELAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFYYLRHPMFRDRTPPPVINFFRRLPSMSERIV >OB07G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2185194:2190534:-1 gene:OB07G13170 transcript:OB07G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein / regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G03790) TAIR;Acc:AT3G03790] MESSISPPGTSKQAGLRRPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGRTPVDLLSGPVSQANGDSSDSVAMEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSHIKTVAASKFHSVAVSSDGDLYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVISLKARIISVAAANKHSAAVAETGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKVFKCVSAAKYHTVALGTDGEVFTWGHRLVTPRRVVISRCLKKGGNTNLKFHRMERLQVISVAAGVRHTTVLTADGAIFYWVSSDPDLRCRQMFSMCGTNVVNISAGKYWTALATSGGDVFMCDAKKLKDEIPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQGKKAILEWNGGMEELDEDVMFNDVQSDSGISVGNSVIRKGAPSLKSLCEKVAIEHIMEPKNAIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVASPSIMNASPDVLANLERLLDEKSSEPWSYRRLPTVTATYPAVIDSDGEGDEGGFLRLRDSQKSASKSYGISSYDSFLEKDSNVGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDDQQLAKLESRAALESELAELGVPTDVYLRTPVCVAEGKANRKSNISKKQKRKNKQAAQYDTPLVKSEDREQNSLKDPPEVLPAHISTEKEASAADAIKPSEHVTFNSTKTISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVVVAPKHEGPAWGGAKITKGSASLRDIQSEQRKTNEPIMAKSKDRSEGSPDSAGRVRLSSFIPDARSTPIAVTPARAVPASEGDKSTLSWSSSATSPSVSRPSLRDIQMQQEKRQTGISFSPKTRTSGFSIPSQGVASEVGGMKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFRRFYSSVKIVKPQVQ >OB07G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2198830:2200526:1 gene:OB07G13180 transcript:OB07G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLFSILTMFCDPYIFLAKKKTGGIFISADTGPGKLYYAKVNKKFKIKEFSNTKVLIDLIEYVEPTEPNDVVLHSEIRTVGYARFDHQVTERNSFQEKLNMERERQQKD >OB07G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2203476:2204880:1 gene:OB07G13190 transcript:OB07G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSPLARLLTRPHLKKLEDRSIPMVLVEYEEGTKGYRVYNLTTKRVVITRDVVFDEGARCDREKSAPAQQGTHGALDSFTVEHISYRLACDTGDNTPSTRQGGYRSRGSMEPTAMHGRGEATAGMEYPPAL >OB07G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2205992:2207517:1 gene:OB07G13200 transcript:OB07G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLKLSKESTSPPVDATQYRSMAGSLRYLVNTRPDISYAVAYLSRLGAGTYGACPAVPPQPPSAGAPAYLADKGVLSPRLRIPVDLIAPAAGASGLPGSFCMRAQVAGFTDLNFTPGGSSTARSATGAAPGPDGPTTPTPSSKHRSATTQVTSSRLPPTSPPPPRVPLPPSSIPVARLL >OB07G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2213711:2219443:1 gene:OB07G13210 transcript:OB07G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLFLAVVVATAIAFFPATTSAMDYMVGDSHGWTLEYPSNWADDKSFKIGDNLVFMFPKDKHTVTEVDGPAFRACDRQGNTLRTWNSGNDTVALDRAGRRWFFCNVENHCERGMKLLVNVADPNAPAPSSPSPPPPPSSSAGINYRA >OB07G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2218183:2218828:-1 gene:OB07G13220 transcript:OB07G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCFVFRNLASHLSSKSTGRSLFLRATTKASRNFNTFPSTHTNRKIKPYGCVGGNINTTVLLSTAAKDRLATMANTNS >OB07G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2220834:2226916:-1 gene:OB07G13230 transcript:OB07G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKATLQSSAESAIRSIGLGYDIAHDIRLKYCKQRNLPDPLLIELDHDEVQDIVLPGGLTVAGVSKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFAGCWQKDAAHTKSLAFDGWCITLYTIALSKAQIVLRDHVKQAVPSTWEPAALARFIRKFGTHVVVGVKMGGKDVIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDANGQSDFSFNDSKDKIDMREHRLRFVDSSPLNSYSSKEDLVTMPKRRGGRDKDILSHSDWLNTVQAEPDVISMSFVPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTASLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKKSNKLAIHLQHLCSLPQILQLEDDPYNDQNPAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVINHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLGQKSGLISTLISTHFSTAAQRPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDTAEVMRGPQDLPGYWVVSGAKLQLERGKISLRVRYSLLTAMLPDDDEFAFDEEF >OB07G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2230597:2231196:1 gene:OB07G13240 transcript:OB07G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRWCARRRGRCRRPCGRHRCRYRSSAHRGLRLRSPAALRRHLARS >OB07G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2239823:2243999:1 gene:OB07G13250 transcript:OB07G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQDPADAQLPGGARPLAQLHRLLLRRRLQDHVGVRRVLRRQRHAAAHHRGRGRRAAAAQDVLRRLLLHHAVEAPRPPGAHPHRPRPLGPIARQGLAARRAGDRQRQRQLPLRRAPARPVPARQSADVHRRRQHAERRAARVAGELAAAGRAEPEEQLAGGRRAGVGEGHAVAPVAGAGVQQSLRQPAGPERRQEPPGDRPRQQLARAGVPAARPEGGQRRAQRQQVQRRPPAGARLLIPARAPRRVQEQVRRPVHAGAALAAVHRVPQRRREPLHRDALRQHVVRRQPPVRRRVLQPPNGEPPHLPHHRRPRRGNGLLQFQDGALLGELPRHRRRRRHPAPDPVLQEPGYRRRHRAHRPGAQEAQRRQVAGLGAPAIAAAVLAGAMIFFAVRKASMRRAQAMPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNLMGQDSHGQMYRGKLSNGTPVTIRSLKVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTVIGVAKGIQFLHAGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKIDIFDFGVILLEVVSGRPITSIYEVEIMKEQLQSALTAEGPSKRRSFVDPAVSKGCSDESVRTVMEICLRCLAKEAAQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRESDQY >OB07G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2244871:2249494:-1 gene:OB07G13260 transcript:OB07G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >OB07G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2250957:2253013:-1 gene:OB07G13270 transcript:OB07G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTICTVASHALLYGSTPMCWMPDVDMTKGQMSPRADSSTPILSQFLRHPEFSCDLLYVLRIRRLARIVIASIFCNTGAITFMLAIYVRKITSAPSKILATVKGDDVAYIHQGHLAKYHLSSGTSSSTIDNCSMYVLADIVAPYIISSAAASE >OB07G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2255943:2266314:1 gene:OB07G13280 transcript:OB07G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-nitrogen hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G55090) TAIR;Acc:AT1G55090] MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGHTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDELVDFQLPVDISEVTLQDTVPFGYGFIQFLDVSLAAETCEELFTANAPRIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCKPFLSGMVPTGPAEVMYHCPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDIENGDEQVKADAVRIGQYKDGEFPKDSKELAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSNAENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLQYSSLAEVEAAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGRLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNAGWTYQFRKIDELVEDMDKDGKWENSTEGELRKRKGVRSAQGGGMGVVAVGSANPSAGS >OB07G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2265556:2270089:-1 gene:OB07G13290 transcript:OB07G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPEAAAAAGGAASQSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYHFGTLLDNHEGVDVILNVGGERFHAHKLVLAARSTVFRSKFLDDVLDGEKNEAGESDELHEIIIDDMEPKVFKAMLHFIYRDTLVDDNELDASSSEGSISDTLAAKLLAAADMYDLARLRLLCESYLCKGISVATVSSTLALADRYHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKSQSVWAQLSDGGDTSGRRVRPRI >OB07G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2271750:2282074:-1 gene:OB07G13300 transcript:OB07G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPVGERHILVETGHIGRQASASVMVTDGETIVYSSVCLSDTPNDPSDFFPMSVHYQERLSAAGRTSGGFFKREGRAKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAVTAAGIAMALSEVPNKQTIAGVRIGMVNDQFVVNPTTEQMENSELDLVMAGTDSAILMIEGYCDFLTEEKLLEAVETGQVAIREICKAIDGLVQKCGKKKMIDAIDLPPPELYRHVEDISGDDLVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDDSSGTTENLADVIEEEDEDEIIEDGEVDEGEVHIKPVSRKPPRQLFSEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPCELRPINSQCRLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERALEPILPPEEDFPYIIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGTPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKVVGITLPIMEQALLQARDGRKHILNEMAKCSPPPAKTLSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEESGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSGKQQPISSTGEKTPQKDNLVKMTTRRPRRKKQAEPSRAENATADNATAAPKDLASQVSEMGKE >OB07G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2285921:2288643:1 gene:OB07G13310 transcript:OB07G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGELKLLGTWASPFVQRVRLALHLKGLTDYEFVEEDTANKSELLLASNPVHKKVPVLLHRGNPVCESQVIVQYLDDAFPGSGAGGLLPADPYRRAVARFWATYIDANFFAAWNKSFYTASAEEKAAEMEKAWAALATIEGAFAELSEGKGFFSGEDRPGYVDVVLGGFVGSMRAYGAAVGVDVLDAGRGAPAGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAALDAAKGVIPEVERMVELSRSVNKK >OB07G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2296693:2299159:1 gene:OB07G13320 transcript:OB07G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMAAAVVVVAAAAAAVEGLGMNWGTQATHPLPPKVVVQMLRDNGITKVKLFDTDFAAMSALAGSGIEVMVAIPNKDLNTMASDYSNAQSWVKKNVKRYDFDGGVTIKYVAVGNEPFLKTYNGSFINITLPALQNIQNALNDAGIGDRIKATVPLNADVYDGQLPSAGRFRPEISGLMTDIVKFLAKNNAPFTVNIYPFLSLYLDDNFPVNYAFFDGGSTPVNDGGVLYTNVFDANFDTLVAALKGVGHGDMPIIVGEVGWPTDGDKNAKVALAQRFYAGLLKRLAANVGTPARPNQYIEMYLFGLVDEDAKSVAPGSFERHWGVLRYDGQPKFAMDLTGQGRNTMLVPARGVQYLSKTWCVINTNAKDLSNLGDKINFACTFADCTPLGFGSSCNGMDTNGNASYAFNAYFQAQSQKEEACNFQGLAVPTQTDPSTNACNFTIQIKSSAATTATAPVAAGALVAVAVAAAQLLLLW >OB07G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2302070:2303370:-1 gene:OB07G13330 transcript:OB07G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDDEGLELLPVFVGVVAGLRRYRVGLDPHNVAWPVGLNFYMFPICSSTNQAERRKYTIRAEDYELYEEIGQGVGALVYRSLCRPLNEIVAVKVLDFERTNSATW >OB07G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2303631:2303867:-1 gene:OB07G13340 transcript:OB07G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLFFIIPNHCQLVNQNSHFDRRKARIIKNLYSKWGEIYLLCHRQILGIYKGQPELKSKQRELGKRPPLCFNLAQG >OB07G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2308904:2309515:-1 gene:OB07G13350 transcript:OB07G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLFPVLKVFHCSEFLVLEFMCLVVLTLSYLTSVPVQRSVAMAQESWKNESEETVHTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFIKVTTMVPVVDKKVFTAASSSKTPLEPAKPDEVPAAAVEDKQEAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHNCTFDYKKAGRDQIAKQNPVVIAEKINKI >OB07G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2309286:2311044:1 gene:OB07G13360 transcript:OB07G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKKPQLFTHNIGASGVWTVSSDSFFQLSCAIATDRCEARRRRDFSPPRSSLS >OB07G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2319323:2321080:1 gene:OB07G13370 transcript:OB07G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSSGGAAAASQGQLPDCFVFPADRRPPASSTAVSLPVIDLSGPRDDVRRAVLEAGKELGFFQVVNHGVPEQAMRDMEAVCEEFFGMPAEEKAAFYSDAEDKPNRLFSSTIYEVGGQRYWRDCLRLACGFPVSDDTKTHWPDKPHRLREVAEKFFVPTRRLGIELLRLLSEGMGLRPDYFEGDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYKGDWINVEPVPNAFVVNFGHLLEIATNGVLKSIEHRAMTNSVVARTSVATFMMPPMDCLVGPARELVGGGNPPQYRAVTFREFMRIYKTVGARRESVEKAFKI >OB07G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2322376:2324525:1 gene:OB07G13380 transcript:OB07G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVVFDAAILSKQEAIPAQFVWPADEAPAADDGAVEEIAIPVVDLGEFLAAGSGGIARAVAEACERHGFFQVVNHGVDPALLAEAYRCCDAFYARPLAEKQRARRRAGENHGYASSFTGRFDCKLPWKETMSFNCSAAPENARVVADYFVGVLGEEYRHMGEVCQEYCDVMTRLALDITEVLATALGLGRGELRGFFADGDPVFYRIYFSVLA >OB07G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2325940:2326347:-1 gene:OB07G13390 transcript:OB07G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCPCDLRGGLECVAGKLGVLRAAGVAHQAGSDSLLTCQMFTRMRERYFDDDTLTAVAGVPPCEKEKF >OB07G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2329207:2329485:-1 gene:OB07G13400 transcript:OB07G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTRITWPLTHSAASVQRNPTTGAMSPTSPSRPIGFAALNLSTASSLFPAKNSSVATGPGATQFTVTRDGPTSFAITRTIASTAAFDAAYA >OB07G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2329241:2329930:1 gene:OB07G13410 transcript:OB07G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVMAKEVGPSRVTVNCVAPGPVATELFFAGKSEEAVERFKAANPMGRLGEVGDIAPVVGFLCTDAAEWVNGQ >OB07G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2330358:2337999:-1 gene:OB07G13420 transcript:OB07G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGYDLSWTREADDTNWYQMVQQFVQKSEWMVFLVQFFSFSLCSVVIDAVSSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKWLYRLSVEKVPEEDYMLPLSQAEVIRKGSDITLVGWGAQLGVLEQACEDAAKDGISCELIDLRTLIPWDREMVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKGPKFAVVKHTERKEAGRNSLGEKHTVETVEAISILTGVRALFDAAESAFGAGAHILVANAGVLDDRYPHLANTPTADFDRTIAINLRGAFLCLREAANRLPRGGGGRIVAITSSVVASLPLGYSAYTASKAAVEAMVRTMAKELKGTRITANCVAPGPVATDMFFAGKDDEWVRRTVEANPMGRLGDPGDIAAMVGFLCTDAAEWTNGQVIRVNGGYVS >OB07G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2340514:2342942:1 gene:OB07G13430 transcript:OB07G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFICQYCSSPDNLTIDHVIPTSRGGKWEWENLVTACSRCNSRKGQKTLEQANMKLLKAPKAPKEFDILAVPLTKAAFRTLKRSQGLPEEWLQYLARPSP >OB07G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2342231:2345728:-1 gene:OB07G13440 transcript:OB07G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSFILQLMEAPAKSVAVADGNPKPATEAPRVENYKDAAMYYGAYPGYLYGGYGGWGEYSTYLSHDGAETPTAGAYGDLYYGYSPYGYSTPGHDGQMYGSQHYQYQSTYNKQQSNTGKPSNNGKTENADALPQGDVSANGVDSLKGQKKTNLLPKASQNTPGSNGSYGRPSGRFGNYQNQTNRSTYPFYSSQIFSGKQQKLPSGNRSLTTSNSKSKGQSRNQNTYPHLMGLQTPTSPLAPPSIYSASGMYGYNGSSYGSGLWYGSHLYSSGFYGGWNALSDGKYNPRGRGNGSYGYVNGNLDGFNELRRGPRSGLLNSQQGVGATVAPVKGQELSVSDSSLDAMKDQYNQADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSNDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTVEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEQGLQVLKIFKEHICKTSLLDDFAFYDNREKMMQERKAKHQQLTKVVDEKLLNAVGTENALLTVKSKLQETTEVEIAVLNKEPHGKAGQVDGKENSVLAVSLNGVTPEDVQLANEKLAELSVC >OB07G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2347916:2350087:1 gene:OB07G13450 transcript:OB07G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein containing PDZ domain, a K-box domain, and a TPR region [Source:Projected from Arabidopsis thaliana (AT1G55480) TAIR;Acc:AT1G55480] MSHTSVSSSARASASSPPPRARLASPAPAPPFPRRRTARAPAAAGAPYLQLDSPAAMALAHQLTGKFTVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRYGKWDGAAELSEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPESNESSIASYNVACCYSKLDRIQAGLSALEDALKAGYEDFKRIRADPDLENLRKTEEFNTLMNKYDESFINENAINAIKSLFGFNKK >OB07G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2350580:2354728:-1 gene:OB07G13460 transcript:OB07G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:galactose-1-phosphate uridylyltransferases;ribose-5-phosphate adenylyltransferases [Source:Projected from Arabidopsis thaliana (AT5G18200) TAIR;Acc:AT5G18200] MAEAPRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPANPSPAAAGGGGEGAPKPSCPFCYGRESECAPEIFRVPAPPDASPWRIRVIENLYPALRRDAEPPAPEEEGEPTPGERAVVGFGFHDVVIETPRHDVRLWDLDAAGVGDVLLAYSRRVRQLMEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNCMKEVFERFGKCSLCEFQSKDILVSETDNFSAIVPFAASYPFEIWIIPRHHSSYFHEIDKDTALDLGSLLRTMLAKLSKQLNDLPFNFMIHSAPFGVSTSCLPYTHWFLQIVPQLSVIGGFEIGSGCYINPVFPEDAAKILRELDC >OB07G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2361387:2367576:1 gene:OB07G13470 transcript:OB07G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKLDDQKPPEQAAKPALPAPQRAGKRVPTPAVRGRKGAAGRRGAAAPRARRKEVEVVDLEAGPDREDSPKPVARQAGVGEAKNLGLNKAPEVVANKGLRMDGESAEKLVGADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGPPYEWQVYHTLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQAMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRETSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMSTSPEMLCCFCPPPFKHFLDMVTNMKFDEEPNYAKLISLFDGLIEVPASRPIRIDGALKVGQKRGRNQEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >OB07G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2376948:2378998:-1 gene:OB07G13480 transcript:OB07G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKYYFSWFANCHNINLIWKDCNVTTQNWRKQNLNVNTKSKNRLPTPLFGAPVCKAGTLQPKSCDFCSEGKNSNFQKLNKQSCLSYQPSINKIRNQHYRMKENDKE >OB07G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2381715:2382149:-1 gene:OB07G13490 transcript:OB07G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFHGVEFAVLQMWQCESYLLVDEDGRSVYHGSLGGGAYLINAQWAVEEIVAGAPPTRTRYVLLRGAYGRYLGTGAPDARDRNRERCPCCPLHCYSLEASQRDRDEPEVDDIMWQAIGCRGVPCEVGDRAGPPKIMGHKIYEV >OB07G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2387644:2387874:-1 gene:OB07G13500 transcript:OB07G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKECAARRALPPGFRFRPTDEELVVHYLRRRALDTPLPPAVDIPDVRLLAVSPAAPRTPPPWTATMKPSSTAAR >OB07G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2388870:2389346:-1 gene:OB07G13510 transcript:OB07G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRKRMARRGERGSKEGGKVPCRAMRMIRGTIRGLERLVVAFGVAAVGGQRPTTRVRPAASGYDGRNWGDGSQRRQGAWGRPSALGWEQAAKAEAVGSAGAASIGAPEVGIGVGAGASAGTGRSEWRRSALGGSRRQPSATRWGGSFHRRGGHVVRS >OB07G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2413964:2417753:-1 gene:OB07G13520 transcript:OB07G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFHGVEFAVLQMWRCDSYLLADEDGRSVYHGSLGGRASLINALWAVEEIVAGAPPTRAYGRYLGTGAPDARDRDRERCPCCPLPCYSLEASQRDRDEPDVDDIMWRAIGCSDPHGIVLLHDRSGRYLRGNKSFLSCHHSVSVDGNIDNEETLRWEVVPVPRTGIPNAIDVREHAIDVNVACSPSLRREIRFVKADAAGNFAEEDWQSFRYTGRSVQLLREELERRVGYGFTLCVRAGRHGCLPLLLINLPRSPETLHIVLIRPSSDDLLAPLLPPSLLKADETLIPLASQTVGTAAAQVGTRCCNSGADQDLDA >OB07G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2419840:2422446:-1 gene:OB07G13530 transcript:OB07G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKNRYEERPKQGY >OB07G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2423691:2425676:-1 gene:OB07G13540 transcript:OB07G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03800) TAIR;Acc:AT5G03800] MERRDVSSWNTVLSGLVELGRYDEAFELFGDMRDSGVAADRFSLSPLLAAAAEGFGLQEGAAVHALSLKSGLEMDLSVGNALIGFYAEHGDSVEDAVDVFQRMPVKDVVSWTGLLHGYMEFGLVDMALDVFDRMPERNFVTYNAVLTGFCQNKEGVRVTFARKSGLRGLGLFRQMVEDGLEISDVTVTGVLNACAIAADRKMSEQVHTFVIKCGCSSTPWIDAALIDMCIKCGRSGDAHLLFEQWRHEESLHIAWNSLLVASFKDGEYEKAISTFLKMFRSNDVQFIDEFILTTVLGVCGALGFAELGKQMHSFAAKSGFLSAQGVGNAIISMYGKCGALETAVDVFKRMPCRDLVSWNALITSHLLHRQGDEILELWSQIERLPIKPDSITFLLVISSCSYTSSNSTDKCRELFLSMSSTHGIKPSVEHYAAFVYVLGCWGHFEEAEQLIGKMPLKPSVLVWRSLLDSCNRRPNMTMRRLAMKHLLALEPQDPSTYVLTSNLYSESARWQSSESTRLKMREMGMRKIPAKSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILDCMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMTGHGETIRVVKNIRMCGDCHSFMEYTSAATGKEILVRDSGGFHIFRGGKCSCRE >OB07G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2443233:2448311:1 gene:OB07G13550 transcript:OB07G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGSAGREPYDEEAAAAPRRPLELDGRGDASSDHHRSGFIPRYQVGSSKVDTGDRYFDEWQKKLSNTDDVRKSKSGSRYFTVSGVDLSPDNLAVATVYFVQGVLGLARLAVNFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATVVDSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLLTSAVAVLVNEHRLSSGERAMSHSGSGFVETSKQHVRQLWSSVKQPNIFLPTMFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQLFGVTKDSFKNLPLLIVICNLSALLPLPLLGLLPEESGNADQGETKHN >OB07G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2454150:2454701:1 gene:OB07G13560 transcript:OB07G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCGAAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAPPRSSSTGGASASLPARSPPWPPPPTPTASSSSPSPRAPPPLTTPAAATEPPPCLEAPASGASCCLYSILKHPSVTPLFCSPRLFLMLLW >OB07G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2457149:2459703:-1 gene:OB07G13570 transcript:OB07G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3MIX5] MPRLALTLGLEEDMADIVGELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDAKKTSYTVSDNSSTSSGQSGSNANKKEQSALRAVIKCIEDRKLETEYPLEDLQRQLEELEKAKTKKKATSSASSGGSSGPATKRIRASNGGPMPPARAGHLTNNACVSSTPAATTFVRSPSHTSYATASPNPYDRPVGHGLYYNQSPPMIREPYVYPAKEVTNFAPGLPYSSPPMSYPHAYGGYSNGMGAYNNGMAPAFHQAYYR >OB07G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2471351:2477855:1 gene:OB07G13580 transcript:OB07G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGSGSGRKRQLVLESSDSEADEFFVSTRHKDDDGAAGAAHAGGGGGGGVDDRTAEKAVTLSPERISGVKYSDEGGCGSGKSKGDEVGRSGSQPDVKRIKTEAAHSSGGGNGGSVSKDGSGTGGKMPRRGFPTWRFEKPEVRAGRVLDEKGAVEMKESSSQKVKDRALTSEPHKRETPTQLKMKTDQEVIRVQGKSGVLKIRPKNNKVAKETGDGKILPKNAKVDGETSDGKTLSKKVKVEDNGDGKILTKSGVLKLLPKNNKVVKETSGGIPPTKNIKVAGGTSDGKILVKSSKVDRESGDGKVLKNCMVNLETSDGKVSSRNMKEDVKVNGGSRQDKEKNGTIDESSKQYAVGEKRITEKLVSPILLRKSDPSVVGISLGQRMKQQNSKVQLKITSLGHPNLKDEKNKKKRLLDHKRSPENLSKKAKPNVTDLQDTSGPIKKERGGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKSKNYEDSVYVSPQGTGYWSITKAYAVFQEQSKSEKHAARSSMHNSLEPGVADVACNAISKNDLAMLQRNVVKRRTKKELDTSKKKNKDSRSRDSIGTLAGRSSGSKYQHSGDRRCALLVRGNTSMEGNMDGYVLYRWKRTVLSWMIDMGVVPEDAKVKYMNKKGSRARLEGRITRDGIHCGCCSKTLTVAKFELHAGSKEQQPYANIFLEDGGVTLSQCLLDAWKKQSKSEKKGFYKVDPGDDPDDDTCGICGDGGNLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSSQETTSSAELLSCSQCSRKYHQVCAPGTMKDSVQAESSSSTDCYCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCSPDNIAAPPKKKDHLIYCNSKTAVAFSVMDECFLPRIDERSGINIIHNVVYNCGSDFNRLNFSKFYTFILERGDEVISAATVRIHGTDLAEMPFIGTRGMYRHQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTSVFGFKPVEPSKRQKIKSLNMLIIHGTGLLEKRLLATGTMNQKTTTGTVNDKMDAQTHGEATGSQTPVHTSCELLVGDDPDIKHHDHSHPLVGSSEGLTSNMPLVPEETTPELTSPSLDVVNLHTVPGVEDSMRCMPEAENTQEMKNAETDATLNAEKVAAEQKSEDKSNSRHIFSSAIAVTVNPSSCSSYETGKDENCTSSEPFVEAVLVSDRPEPSIACHFTNQEDKNSSVVPVDMTVPLATMAGNPDNHELNAVFTVCDIQNSVEVKGLEDNTDIVNDGSINVYATEDETSVGGVANTFVATTEYPNDSAADLEVSLVRSIQQKDEDIMEKSACATKDQTLAGSVANNFVATAEDHSGSVVDLGVSTEISIQQKTEVIKDKSVSLLPDLIHSSMSKAMPEKLNRTKSTESDNVETKDTAIEGVTVENFSEADITISAVGMSNDICGEVMAKPNLTCGDSQLRGEDGIYKNSMEDDLASREPVNA >OB07G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2480348:2483082:1 gene:OB07G13590 transcript:OB07G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGIGRRFSNIACKKADIDMNKRAGELSPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OB07G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2484500:2485396:1 gene:OB07G13600 transcript:OB07G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGALLCTTSAAVCFTVISSTAAQCREAEEGVAEGASLRPRRDSTVKLDESEDEIEEEEGGAQGEVGGGSARSSRRCSATRSRRWWSPTVWWTPRACCLVTGPKPRR >OB07G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2488646:2489221:1 gene:OB07G13610 transcript:OB07G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSRGGGGSSCMTEMISLASCLGYMSGNSSAPKPSCCTALSSVGTSKPECLCMVLGGGASSLGVTINNTRALELPAACNVKTPPASQCSTVGVPIPSPTAPPASTTPASPAAPPETPAGTGGSKATPTTVTPGQQSSGSVGKAASMAAVIVSVAFALIHA >OB07G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2492475:2495122:1 gene:OB07G13620 transcript:OB07G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLPSSRSPFPAAGGGGGGWSGVRPWGSSGGTSVSSSGKRIQKELMDLNVSDCSAGPKGDSLYHWLSTIIGPQGSPYEGGIFFIDIVFPIDYPFRPPMVTFKTRIYHCNVDSTGNLSMDILREGWSPALTITKVLLAIKAIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPSIGRLYLTDRTKHDEIAAEWTMRFAR >OB07G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2496019:2496861:-1 gene:OB07G13630 transcript:OB07G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGMVTSRRKVEELMANGVVTSRRKVEEFMISNGFSPLSKLAGGFAFSDEELRLFYQILKGEPGHIIGTRRRDQLLRSFVFPWGLLLCVLALVHGTNPVSPVHHLPFAVVPLESSTPDLHMDMVAEALYLGPGIISSAWHLDVAAMPGAVHVDLDNK >OB07G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2499902:2500784:-1 gene:OB07G13640 transcript:OB07G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNAIVIAAVVAAALLAGAEAQGSTGGGSCTTTIVSLAPCLDYMQGNASRPTPSCCTALSSVVKSRPDCLCVVLGGGASSLGVTVNNTRALELPAACGVATPPPSECSKVAAPIPSPAPGAAPHAPPAGTGSKATPTTPSSSGESVGKAASAAIVIVSAVFAIIHA >OB07G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2503652:2504395:1 gene:OB07G13650 transcript:OB07G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKMEIGASRAPVVAVMVVVMLASRTVSQNNGCSSVMMTLSPCLDYISGKSLLPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGIAINHTRAMELPGTCKVQAPPIGQCKGVPTPPAAPDTPTLADEPVEVNEDEPSPPPAGSTGANTTSSSTNSKKAGSLMATVLIPTCALLSV >OB07G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2506675:2508229:-1 gene:OB07G13660 transcript:OB07G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Putative harbinger transposase-derived nuclease (InterPro:IPR006912); BEST Arabidopsis thaliana protein match is: PIF / Ping-Pong family of plant transposases (TAIR:AT3G55350.1); Has 30201 Blast hits to 17322 proteins in /.../ecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G63270) TAIR;Acc:AT3G63270] MMEKKSKKGRRGGGEEGSGKMAPVKKSKKGKRKSKDSGKLKIVKGGGPPPPLPPELRGLDTEWWYTFLHKHAESGYSAPSDEGEAFRYFFRTSRRTFDYICSIVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGSAFGVGQSTVSQVTWRFIESMEERARHHLVWPGPERMEEIKAKFELESGLPNCCGAVDATHIIMTLPAVESSEDWCDPAKNYSMFLQGIVDDEMRFIDIVTGWPGSMTFSRLLKCSGFFKHCEAGTRLDGPVMVSAEIGEIKEYIVGNNCYPLLPWLMTPYDGESLSAAMSNFNARQKVARMLGTRALSRLKGSWRILNKVMWRPDKNKLPSIILVCCLLHNIIIDSEDELLPDLQLPDHHDTGYTEEKCEQVDSIGMTMRDIITGYLQS >OB07G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2511350:2511694:1 gene:OB07G13670 transcript:OB07G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLAQILPGDFAFNRAAAAAACLSSRRPRPPHPSVPHHKSPMEAAAAAGAGTGGGGGGGGGKDGAKQKAAACDVEALRKCLAENKGDRSKCQEHIDAFRSSCSAATPPPRRLTPS >OB07G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2513065:2515201:-1 gene:OB07G13680 transcript:OB07G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCDPVAHSPLSSELTRHGNGGRPSSPLLLSPRSPSLQGFSPRGFFSVDASLSPTAMAEHAKSVPCGSPRNPLSRSCGGGPVAGLAGVLVDGEAEKGCCGSGRVLLGMRLRVQLPPEKGLGVGAVRGSPLSSAARAARSEVEELAEDYTCVIARGPNPKMTHIFDDRVVESCGAGSGDGGAGDACRLLASCYGCKKSKGALFLQHRDEKVFSSSQCRYREVLFDKRIDEASDVSFKMKH >OB07G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2525691:2530327:1 gene:OB07G13690 transcript:OB07G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPSIPVVCHYQGLWENSLILLVLYSAWVTPFEFGFVPNPTGALPAADHALNALFAVDIALTFFVAYTDPKTFLLQDDPRSIAWRYITTWFVLDVVSTIPTELSRHLLPPALHSCGFLGMLRLWRLRRVGALFTHLEKDRKFSYFWVRCVKLVSVTFFAVHCAACFYYLLADRYPDPTDTWISGYMPDFHSESIWRRYVAAMYWSITTLSTVGYGDMHAENSGEMIFTTVYMLFNLGLTAYIIGNMTNLVVHGTSRTRKFRDMIQAATSFAQRHQLPKRLQEQMVSHLSLKFRTNSEGLQQQETFEALPKAIRSSISHHLFFGLVQNVYLFQGVSNDLIFQLVSEMTAEYFAPREDIILQNEAPADFYIIVTGSMLAGMARSGEVVGEIGVLCYRPQLFTARTRSLCQLLRLERTAFLRIIQSNIADGTIVMNNLIQYLREKKDISAIAAVTKEIEDMLARGQMEFPITLCFAASKGDSFLLHQLLKRGLDPNESDHYGRTALHIAASNGNEKCVRLLLENGADSNSRDPEGRVPLWEALCRRHQAVVQLLVDAGGDLSGGDAAPYARVAVEQNDAALLGEIVRHGGDVSGAFSGDG >OB07G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2532956:2534358:1 gene:OB07G13700 transcript:OB07G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAVAVAAAMVVVAALLAPAAGQAVAASCTASLITSFTPCFNFVTGSSGGGNGTAGSTPTADCCRSLAALIDTSAGCACLVLTGNVPLGIPINRTLAVTLPRACNSMSVPLQCKDTSAQIPGAGVPVAVSPALPPLPPSPPATPEAGSPTTSPAVPAASAXXXXXXXXPPPGDEPDADEAAGGTQLGMDGRRPSACVSAAATCCAVLKSLNF >OB07G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2537657:2538258:1 gene:OB07G13710 transcript:OB07G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAWIGVAVAVAVLAGGASAQTTPPSSSACTQTLLSMSPCLNYLTGNETTPSASCCSKLGDVVKAQPECLCVALNADPAALGLSINRTRALGLPDACKVQTPPVSNCKSGAAPPAGQTPTPAGTGSKATPVTPVGSGVVPLRVSPAGLLAGLVVAAVYAVSAV >OB07G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2549576:2554005:-1 gene:OB07G13720 transcript:OB07G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESTKKSKLSWSKSLVRKWFNIRTKAQDFHADSDTSQGRDGGGAGRASFSASSASTSAAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELDADFEGSARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWIGSPDEENIDEELCNAAQLSPLPCSCNTSAPTEDHDVQEQPNGSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGSNDVKSPETILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVDIVHHRRKNMGYFSSRIEVEELLPHSQSYREINFN >OB07G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2580553:2587989:1 gene:OB07G13730 transcript:OB07G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRPPVVGTVSPFPALSRPPILTADSPLFSFIDSLSPIEPLKSAYSASSIQAYQSLNITSISSIFTSPHDNAQKESKLSKSSFAEFSESEVCAAEGDKNKPSKSSNAVRLFACASTLTQATHRITSSVSEGTVVPPEGSNGLPQPGQFDAGSPDHNTTPCHGVRSDLKQGKCRKLQPFQAAKNNTSEKRKCLFSTEVQLLDGCQPEKLNDEILGCEWDDLISATSGELIAYDEDHKGVQLAVSNAESCGFLLSKLTGDGDISDRTHPSSSTQTYYRELLMEDDQPENAQLIPDGEKNISSEEIQDNLYEANGSIPTGYKVETQQQRGMRRRCLIFEATGYSNSIMQKESVMDLSASTCKGKSPVENHPNPGKTPSPRVLRGIGLHLNALALTPKDKMVCQDPLASSLVPSSATQQEAHGKLLSAGENFAHPGCELLELQMDDDCSAGAFLGNDHNSSQSNSPQKKRRKADNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRTSDAGQETGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGGEEAENCGKEKENDLQKANVQSEDHPILELVPITPPFDVSSSLFKPPNFSSAKPPRPTKARSAISSRPSSKSAQAAHSRKISKAADSGLNEEMPDILKDDASPGNCVKTSSPNGKRVSPPHNALSVSPSRKGGRKLILKSIPSFPSLIGDTSSGSAMNSSDRAFSTASPLALGPS >OB07G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2590556:2592198:-1 gene:OB07G13740 transcript:OB07G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLENFSSELNALLAPDIPPMSNQVIICIWRRHDDDDDLAGGAGGAPGGGDGGDGGGVPAGGEADGGEQPAAAEGGRDEPCAVTVAGLDLNHGDLAGEFPEELGLLSDLAVLHLNSNRFCGTLPESLPKLSLLHELDVSNNRLSGGFPQHILCLPNVKYVDIRFNNFCGAVPPAIFDKKIDALFLNDNHFDFELPDNFGNSPASVIVLANVNLRGCIPSSIGRMGATLNELIVLNSGVRSCIPPEVGHLGGLTVLDLSFNQLQGALPESMAWMRSLEQLDVAHNELAAYNSFCGDPERCLGLRRVDDRQNCIAGRPDQRPADQCLAFLHRPPVHCDAHGCFAPPGHY >OB07G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2605685:2610905:1 gene:OB07G13750 transcript:OB07G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHRVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANNNHFSEERLCVWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTSLKPAFKAFDMQTLINKISKSVLAPLPTIYSGAFRGLIKSMLRKSPDHRPSAAELLKHPHLQPFVLELQLKSIPARNLFPAKFSINRDTNKAAFSDDENNWKSKYNNCHSFKVDRIVKLDKVTADHGHLSSTGTAKDYQELLNQPMDDLPVQFTEKVVNEVTQGNNSKVTKSPAPTPRRASSTPRRRLEPSKTFHARGAHKETPASKHSIERVGQATRRASLPLNMLQTPERHHGADILTRLKSPDVSVNAPRIDRIAEFPIPSFDEPLHHPTTNSSPPSIIDQSITKDKCTFQVLRSDSENYYTDTCDLSLLGIDNPLIRSSSEWRHQKRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGSTAL >OB07G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2611822:2613598:-1 gene:OB07G13760 transcript:OB07G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A protein [Source:Projected from Arabidopsis thaliana (AT3G04790) TAIR;Acc:AT3G04790] MVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQELFNAEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALGAGKEISALEGVVEHGLFLDMASSVIIAGSDGVSVKTKPFLDMTRGGPVYGFGVTLQL >OB07G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2615947:2621829:1 gene:OB07G13770 transcript:OB07G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPLAQWVSHVEAFVDVSRAPAQHSASVDALAALVNKDKLTLFDLVSNMEMYLTTTDHVVRSRGILLLGEILCQISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLRRKQTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQILNYILDHYPEAVKTLVDELLYGICEAIDEEKDPECLKLSFHLVEAVMKLFPDPSGLATQYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGGHATTIWFKLKEVIFSLPTDQILSTSGSSKDMEKNNNQIVSEALTCLKTAITHMGPLDENRLINLILLDEDIVSSIHSVESEETSVLTLQNLIQLHALGSVISILAESSAYFCTRVLQAHFVRLVDSLEISAGRGSQHLNNRSGSSAPINYGALYLSVQMLSSCREVALAYQEDFSPIKSAKESWWLILEKKMDSIIHLLQSVLTIDSQPAQSALRQDYVSCAVKGLITIATFPEQCSPLSANAYEEVLLTLTSVIMSKCENVHLWRLSLKALTSIGSSIVEFHASQKENIYNKVVVDKIISLDEPYDTSIPLNLRLEACFEVGTSGSNSMLRVARSLEEAVVSSISEAGLPLLFCLFHVPFL >OB07G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2626072:2627374:-1 gene:OB07G13780 transcript:OB07G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVTVAVAAAFYSVPAIRRFFLARTPASLAAFVAVIVAPLIVMLPTMFLRKKHPINLVLLAMFTICMSCAIALGCLSVKAGIVIIEAASLTFAVVLGLTLYTFCAAERGHDFSFLRPFLVAAFLVLVLYGFIQMLLPMGKVGTTVFGCAAALVFSGFIIYDTDNLIKRHAYDEYVAAAISLYLDTVNVFMAVVTALDASDS >OB07G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2628424:2631154:-1 gene:OB07G13790 transcript:OB07G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYQKGGDVEAGTSGGVAGARELYPGMTEPPEMRWALIRKIYVILSMQLLLTAAVAAVVVKVRAISHFFVSSHAGIGLYIFLIILPFIVLCPLYFYHQKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILESAILTTVVVFSLTAYTFWAAKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGKISQMIYGGIASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >OB07G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2636910:2641530:1 gene:OB07G13800 transcript:OB07G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCVPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKVVFGAWLRYEKRGEDIISDVLASCRKCCREFGPLDVSSEMPVGDFEIIGSCETCPSSQVSSMVTFQISDGKVTCDRSKIAVLSIPFWSMLNGPFTESQLDVVDLSENDISLEGMRAVSEFSCTYSLEDLPLEILLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFDENAPVLAASCLQVFLQDLPDCLTDEHVVSLFLTATEQQQHIMVGHASFLLYCFLSEVAMNIDPRTEATLCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFSIAFSAGHVYSIAGLARIAGIKGRKGLAYEKLSSVITSSVPLGWMYMERSLYSEGDKKLADLDKATELDPTLTYPYMYRATSLMRKKDARLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARLHAATEPERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >OB07G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2644606:2645457:1 gene:OB07G13810 transcript:OB07G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMMVFQGFRKVDPDRWEFANEGFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGQFGYDAEIDRMKRDKQLLMAEVVKLRQEQQNTKAHLKAMEDRLQGTEQRQQQLMAFLARVMKNPEFLKQLMSQNEMRKELQDAISKKRRRRIDQGPGVDNIDIATSSGLEQESPIPFDPQESVEIPFDPQESMEFLIDGIPSDSENTAMDAGGLVEPHDFDVGASAEQQQIIRQEGELNDNFWEELLNEGLGGEENDSPVIEDDMNVLSEKMGYLNSHSPTARK >OB07G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2647295:2647962:1 gene:OB07G13820 transcript:OB07G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSCFAVAAGAPSHVVVGAGRAAVCFPRRRAVAVVVRAQSEPDVEPTEQQQEAAASSPATPSPAAKLPKAAKKPSTKLSDVLASGAVMSADAELWNGRFAMLGLAAPARLHRVPHRLAVRQRIN >OB07G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2650662:2655487:-1 gene:OB07G13830 transcript:OB07G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22330) TAIR;Acc:AT5G22330] MRIEEVQSTSKKQRIAPPTHIKGLGLDANGVAVALAAGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDIECFSYLNRALESSLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKICKADLEEVSALYLDAKSSARLLQEQQERYIT >OB07G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2656390:2658021:1 gene:OB07G13840 transcript:OB07G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20740) TAIR;Acc:AT4G20740] MRAHGKPVSHSQLNLLVRLHTAARRPLRALHALRRFRHEFSVQPEVHACNRVLGALAAAGHVDDTLKLFDEMSDCGVRPMPVTFAIMVRALARAGMTDKLLEMIRRMRVEVCQPDVFVYTALVKTMVRWGHVDGCIRVWEEMRRDRVDPDAMAYTTMVAGLCNAGMVEKATELFEEMRRKGLLVDRTVYASLIDAYVSARRVGDGCRVLKEMVDAGYRAELGTYNTLIGGLCEIGREDKAHKMFQIVLHEELIPSSETVSPLLVCFADKGEMAKFFGLVDKLVELSLPVVEILVDFLKLFACKSGRELKSVEVFEAFRRKGYCSVGIYNILIENLLKIKERKKALLLFEEMQGSDDYKPDSFTYSHMIPCFVDEGNIEEACSSYNSMMQAVWIPSISAYCALVKGLCKKGEINEAISLVKDCLGNVENGPMEFKYTLTILEACRSKSPEKVIKVVDEMIELGYPVEEIIYSAIIYGFCKYASSTEARKVLSTMRDRNIISEANFIVYEDMLNEHLKNVTADLVISGLKFFDLESKLKWKSRDD >OB07G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2673574:2679630:1 gene:OB07G13850 transcript:OB07G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63400) TAIR;Acc:AT3G63400] MPKAKKNPHVFLDISIGGRAAERITFELFSDVVPKTAENFRALCTGERGLGISTQKPLHYKGTTMHRILKGFMAQGGDFSRGDGRGGESIYGAKFKDENFKLKHDQPGVLSMANAGPDSNGSQFFITFLPVPHLDGKHVVFGKVVTGITLLKKLEAVGSDTGKPTSEVKIVDCGEVSDINSQNQPRGEKEKKLRRAEDSSVAERRVKTQKPPSHDKQKKKRKHYSSDSHSSDSSDTESYSDSGSESDSYSSSSLDTSSSSDRRHKRRKSSKKDKRRSAKGKSKHKKTKRKSRGTKRKSKRSRSSSDDSESSKTGSSCSDSESAGRPTKHFLKKDPDSTKMISLEKDSTLEDVDKGKQTAILDNTSNEGSKPSNADGNGAGARDEPGASPRSNPKADTSLTKVDGNNGGDAADGVISKTEPVPTNGKDLAMGSADNGQPQRVRKGRGFTQQYAFARRYRTPSPERPTVRSRYNGGRDDRWNNFNRYGRNGPYGGRSPVRRYHGSSPSRYPRRDRSRSRSRSPLRYRDRGGYRRPSPRRSRSRSPTEHQRRDVNRFRSGRGSGGPDHRNTSPPINRGRSRSRSKSRDPSRSRSPDAPPAKKGSSKYNRRRSSSSRSSSPAGSKGLVSY >OB07G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2679820:2680498:-1 gene:OB07G13860 transcript:OB07G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLPKGRRVHNGSRRQGLRMPSSGGSGPNENSSVVFGWSSAPWPDDENSSLTGVKPASGDSPFQLLRMLRDQ >OB07G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2682409:2684210:-1 gene:OB07G13870 transcript:OB07G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPVAGDTLAGALPPPPQSQEEDDPPYCSVVLGGTFDRLHDGHRRLLKASADLAKDRIVVGVCTGPMLAKKEYSELIEPVEKRMKAVEDYIKSVKPELIVQVEPIEDPYGPSIIDDQLDAIIVSKETLNGGLAVNRKREEKRLPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEQTNHGEGAAPKGV >OB07G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2684996:2685172:1 gene:OB07G13880 transcript:OB07G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVCVARSDGPQEEGGVEEEWRHNKRRKRRGQRRLELCGSWRWRSRLHSSLSTTERR >OB07G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2686628:2688597:-1 gene:OB07G13890 transcript:OB07G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKGTRLQPFGYNNLNFAAEGRSAVKKDDARSHPPVGMRKRRWVLGDITEVLDRNSWRLGKIAKVLKNDYFVIRVTGCIQMREFHISCLRFPHAYHGKVRDQGEKQTQHVDHTRHHSRMVTEQDHHSNEGNDHITKRHKTINLCHSSSARNVTKKQELKRISPEYSITGVSKKRRSVAHEVCQQTKKPQPLKLSAKNDIYRNHLRRPFSDRYNDLTKNNITKRKPDSIVLPSSQAPLQVTEENECSVASCSVNFSEHSINTDTQPVGFADCFPDDAMSACPSMPRQESDSVYSCDFRTDFHRLELQAYQSTVRALYASGPLTWEQESLLTNLRLSLNITNEEHLLQLRHLLSS >OB07G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2694623:2698868:-1 gene:OB07G13900 transcript:OB07G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAMETETETEEVGMSERQKRMTMEVFVGGLHRDAREEDVRAVFGKAGEIAEVRMIMNPLARKNKGYCFVRYREAAQAKKAIAEFENVKICGKLCRAAAPVGNDRIFLGNIDKKWKKEDVIKLLKKIGIENIDSVRLMPDSKNPVCNRGFAFLELETSRDAWIAYKKLSQKNAFGEGLNIRVAWDEPSNDPDEKDMEVKSIFVDGIPTSWDHAELKEIFKKHGKIESVVLSRDMASAKRKDFAFINYITHGAAISCLESFDKEEFTKNGSKVNIKVSLAKPVQKSKQINESHKYSISGKGKMKTSKTSYYGLTGIPSGSQVRQAGSRRLAGSDQSRHGKKWTHEIPLSQNRP >OB07G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2700138:2700296:1 gene:OB07G13910 transcript:OB07G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHYNYIRDMLSLRSLSPMKFGELSMRSRLWTSLQRLTESSNYTLTAKYTHNV >OB07G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2703656:2706494:1 gene:OB07G13920 transcript:OB07G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTRPLVSVKALEGDMATDNSGNLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRARQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVAPALPAAPVASQARPHVSVKALEGDMATDNSGNLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATSVPSLVLARGHRIEAVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLVVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVSQ >OB07G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2709245:2712019:1 gene:OB07G13930 transcript:OB07G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3MJ11] MARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSIRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTKTGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >OB07G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2731609:2732966:1 gene:OB07G13940 transcript:OB07G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLRSQLQCGMETRPAPAPARASRFRRLLVRVSAGDAKEKEERAPEPEAGSVGLDRMVLSFMEDATTAVERPPRGGRCGNCFNGSGGDGSSDDEEFDFLPSDSAAAAAAAAGAASASASDALEALKGLVQSASVAERNLLADASRIAERCRKGGKKKADVRRAVADGLAALGYDAAVCKSRWDKTPSYPAGEHEYIDAVVATTTPTRLVVEVDFRSEFEVARSTKVYRAALQALPPLFAGTPDRLGQIVAVVAEAARQSLRKKGLHVPPWRKPEYMRAKWLSPQFLRCSDKPPPPPPSPPPTPVSLPSFSGEFELLLDGKSPPGHTAAAAAAAAGDDDNDVDGNKITVVVSPSPWRPVEPETASRKRPPPPHPKGKVVTGLAAVL >OB07G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2742299:2748333:1 gene:OB07G13950 transcript:OB07G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRNRAAVLWMLAVAVDVAVAAARDADQDELQRAFPIVEPDHGHTKLRLAKEGLEAIKRIKTPIAAVAVIGPYRSGKSFLLNQLLSLTCDKGFGVGHMRDTKTKGIWVWGTPIELDVDDSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDFAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMHDQELDPLYIERRDQLKHIVASMIKPKLVQGRTLNGQEFVSFLTQILEALNKGEIPSTGSLVEVFNKAILERCLKLYNERMERVGLPLSVDKLQLIHSLAEDEARKLFDKQHFGKHHTAQSILKLDEEMKKVFSNFGFANEYQSSKLCEARFSECEDKMEHLQSLKLPSMAKFNAGVLQCNQSFEIECVGPAKETYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIGRFVVKFFLLEVAAWVIFIFLETYTRLFWSSELLYYNPIWHMVVSSWETIVYNPLLDIDRWVIPIVVMLSFLAVYWRCLGVRKRIGRSLLPLYRGSYGNSSRPRTD >OB07G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2748852:2750962:-1 gene:OB07G13960 transcript:OB07G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLREYVVYPPEGPRPPPPEELRERAREIARKRQQQQ >OB07G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2753062:2757085:-1 gene:OB07G13970 transcript:OB07G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCPSGWNLERFLEELDGVPAPADGPAFYPSPMAPAAAARGGGRGYGERGAVGVISAPPAAIAVVLDPVEYNAMLKRKLDEDLAAVAMWRASGAIQSESPLGNKTSLNAVGSSLSSQKCIEGNGIPVQKLSPGPTVGSGPYVIQNIDAHSKQATSGSSREPSPSEDDDMEGEAEAMGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVALLRVENSSLLRRLADANQKFSAAAIDNRVLMADIETLRAKVRMAEESVKMVTRARQLHQAIPDMPPSLNIASDASVPIQTNNPMNYFTTPTNTVVNNSYMPEVSARKIDPTDSLQLQQQQMASLQHLQNGACGGGEGSNGYATWGSSLLDASELVNMELQ >OB07G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2760729:2764030:-1 gene:OB07G13980 transcript:OB07G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRAEASVVGEDDRVISGTFAKLKEQGKTAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPTARMEKITKASEGFIYLVSTVGVTGTRANVSGKVQALLQDIKQVTDKAVAVGFGISTPEHVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >OB07G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2769625:2775787:1 gene:OB07G13990 transcript:OB07G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSQASTEHTTITDRDGVQLAGLFAPVFGGAGGVQPPHLRAPPPPQVFHGQPKPGEGAMAAPQPQQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >OB07G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2783979:2786463:1 gene:OB07G14000 transcript:OB07G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATLGSRRQAETAACTAPGHRTLERRPVKPDALAAAAAGHGLGCVIFWLQENSWKVGAPASLCICIIMASEPSSAFIDLVPLGDSETLKQALPKLSVQQRRAQAIRALSSAGPFLTPMLLMPCDS >OB07G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2785992:2787257:-1 gene:OB07G14010 transcript:OB07G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3MJ19] AAKKSSAGAKRALDSTDSVASAAGPSAGDENDDDTAAPAKAQVVGWPPVRAYRRNTFHQAASASASAAKKGGDEQHQKQQQQQQQGGGLYVKVSMDGAPYLRKVDLKMYKGYRELREALDLLFTKCLSSTSEGCSDAHFAIAYEDKDGDLMLAGDVPWEMFISSCKKLRIMKGSEAR >OB07G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2804579:2811318:1 gene:OB07G14020 transcript:OB07G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MJ20] MMLTKLTFPSEGISLENQYMGAVVLLSWILKLQGPLSTILLVIGKKRCRAKPQKKDEDTIDNGKLDKEPQDATKEMDEVGKGDTRAACKRPRRAAACSDFKEKSVRLSDKSSVVATNENQIEEEEIDAVKVTKLGPEDQRPCRKLIDFILHDADGKLQPFEMSEIGDFFITALIMPMDDDLEKDRQRGVRCEGFGRIEDWAISGYDEGTAVVWVSTEVADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLARSVGGNPSLGLEELLASVVRSINAIKGYSGTLSKDFVISIGEFVYNQLIGLDETANNDDEKFATLPVLLALRDGCKSRVELSKLKPNISNGTLKINDAECKEVEVTEDDDEKLARLLQQEEEWKMMKQRGKRGTPSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSDDSMYSDVPVRILNNWALYNAESRLIPLELIPMKAGTENDIVVFGSGFMREDDGSCCSTAESTKSSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVGIITLLKEQSRASKLSFADVIKKVAEFDKGNTAFISPNIALVERYIVVHGQIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVPVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKDGDTNEAKEIDDELEENEDEDAEEEVQIEEEDVSKTPPSARSRKLVSQTCKEIRWEGEAIGKTASGEALYKCAHVRELRINVGGAVALEDDSGELVMCFVEYMFQKPNGAKLVHGRLFQRGSQTVLGNAANERDLFLTIDCLEFELEDIKELLSVNLQSLPWGHKYRKENAEADRIERAKAEERKKKGLPMEYLCKSLYWPEKGAFFSLPHDKLGLGNGFCSSCEHKEPDCDELKILSKSSFTYRNVTYNIHDYLYIRAEFFSQEEDRATFKAGRNVGLKPYVVCHLLDVHEPAGSRKIQPASTKVSVRRFYRPDDISSAKAYVSDIREVYYSEDIVKVPVDMIEGKCQVRKKNDISNSDLPVIVEHEFFCELFYDPATGALKQLPPNAKLMSAQQKATAASRKNKGKQICESDQVDSDKHMKVHKENCLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFSQNHPEAAVFVDNCNVILKAIMDKCGDADDCVSTSEAAEQAAKLSQENITNLPAPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGANRLLLEYGGEPVSWFQKKIRGNTIQLNDHISKEMNELNLIRCQRIPKRPGCDWHDLPDEKVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYQFAGTIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQ >OB07G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2817532:2825726:1 gene:OB07G14030 transcript:OB07G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDSVQEREANKEMVVYLIDASPKMFTPATTAQADDKETHFCTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTDREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITAGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGSVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYADLIGLEGDEIVEYLPSSGEKLEDMTNQLKKRMMKKRKVKTLSFAITNDICIEVNTFALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQLYNDKIIKFSARELSDVKRVSSHHLRLLGFKPLDYLKDYYNLRPSTFIYPSDEQTFGSTRVFVALHDSMRRLRRFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGMHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPGVVKAVEEFKASVYGENYDQEEAEAAATKAGASKKRKALTDAAALKSAAHDWAELADTGKLKDMTVVDLKSYLTAHDLPVSGKKEALVSRILTHLGK >OB07G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2830158:2832753:-1 gene:OB07G14040 transcript:OB07G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALQVQSNGDAGRHGHGHHGGLLAVAAGYAEVDPTGRYGRYDEILGKGSSKIVYKGFDEWRGVEVAWNQVRLRDVVHSASDLERLYGEVHLLAALRHRTIVRLDAYWIDAPRRVLNFVTELFVSGTLRQYREKHRRVSMAAVRRWCRQILDGLAYLHGHEPPIIHCDLKCDNIFVNGNQGEVKIGDLGLAAFRRGRHVRCVGTPEFMAPEVFDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVVSGIKPAALCSVRDPAVRQFIERCLAPAARRPAAAELLNDPFLLQLEDDAFFSGDGGHGHGDGGGGGHGHGDGYYNLMYSYLHQPACIDHRVRSSGSMPSNGLSPSNSVDDDNEADAAPSAGDDDGDNWLRDIHMLFDEDEDDAGERVAGVDVAIKGRRTEDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAMSVAAEMVAELDITDHEVTRIAELIDGKVAALVPGWRPATDDDDDVVTVDGDDPDAPGAAAACCKNCRPSSSSSSCGSLVEFMSSSAAAAAERGGCRRCAELHGRFEEITFQADDEEDEQHLQGSGCSSSETGGSSHGQAGQRAMDKDQELMDITGSAQDGTGEGSEQP >OB07G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2834247:2839591:-1 gene:OB07G14050 transcript:OB07G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVVLCAVPIWAAVMIGLVIGWLLSTAFGSRGKHQRKDAPADDADASRDDAACVDGRTFFEGGHQVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPITYCSRTVFEDATPELVRDFFWDDEFRLRWDPMLAYSKILDEFPQNGTMIIHWIKKFPFFCSDREYIFGQRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVPSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRNTENTLSRSAVMARVTTKTSVASSSCSLDQEHSSATKTIDENENSRAVQPGLDWKWVVFGGAVAAVCVLNTGLVGKALLIGAATRRQAKK >OB07G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2844160:2844390:-1 gene:OB07G14060 transcript:OB07G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLIAFMAIDIIIMILRPQPRM >OB07G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2860236:2865430:1 gene:OB07G14070 transcript:OB07G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGKEVVEMSTDDESDCVVICPPNGKADHTEVMSGRHDEDSPEGQETTTDIDSHMNGNAQDGVPANQYMLELIDQQKSSLGIAEQESNHTIPQPFVPATERGDSGQVYCTPAAHSASNGEKHSDKSSTSLASMAKKSPSVTPRKPMQPDSTSHSHEDDSYSVTSSTVTSARTGKTKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEETLKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAVCCRLHRHSIGNSKEVNSRTHCSPKSAPKTGVATKPRSTKGVMKNVGQPGAANVAVQI >OB07G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2869800:2870057:1 gene:OB07G14080 transcript:OB07G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSAAASAVILLTFFFFLGSGEVAAAARPVASAEKTTAAAATAVVGGGVVVESWTTEASSQPSGCTNGNGAGGGYCRPPAPAGH >OB07G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2871732:2872465:-1 gene:OB07G14090 transcript:OB07G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVALPGPGSVSCEYGQIPPENRETNRLSLINPSLAHVGYCSIYG >OB07G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2875568:2884096:1 gene:OB07G14100 transcript:OB07G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQLCDALSAAGFDGDGPLHPDSLEWPVLPGGDAHRLLAWVCSRLRPANVLSATDLMLYEQLEVEGKLLEGEDLDFAFDSISAFSEIGDNQQDTFLTEESLEHIRDSKLSLRAEVSDLEKQLASLEWQLDLLTAQATTINQGKKSRASAKTSPNVQIARLDEKLAKRSLEMSSVLGKLAATSQELSYYHSETDIGIYLSYSDFQSYIIHNLACTKELKIWFSNKFDKGPLQFVAREDMSRGDYEKSHDFSVELKRINSIFARSKVKYIEAQAEYAKEAAILSTLRTQLASQKTPVHQDAHSLRRRSSKLAEELRDLSLQVKKYLSEIITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINNLANQLAAHQFLKIACQIERQAKISSAYSLLKAIAMELQGYLSAVDDRLDRYHLIDQAASEMLEEGPVDDRDTFLHAVRDILSSHSGAQATTPSYVSVYGLVEQISELQNELDYLHHELENILPRERKRCIDELCLMIQTLEQILSVPFTYVQPILTPWPLAQALEELEIINQQVSAHVNEVTMARDKKMLQQPSRNMQQERRVFADFFCHPGRLENQVREMSSHVRALPE >OB07G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2886308:2886682:-1 gene:OB07G14110 transcript:OB07G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKGQERRSSPFRERIRGSPDEAIAADSEPEQEEKRRGRAVASAGSAAAFGLCCCCMSCCYGEAELVMVVVSVEREERRVVLREIVLRWTGGRWASLLCCLRWTGSTIHVLRQLSLWLMSMG >OB07G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2886778:2888871:1 gene:OB07G14120 transcript:OB07G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWDPRSWNEKGFNSLRKDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYTELLETHKETCRQLDELKNLKNRSGNDGC >OB07G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2889878:2890517:-1 gene:OB07G14130 transcript:OB07G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKLPTPTAEEAVGTSPRWHGRRGRRSLDGGRPTEPSAASIGTKLAAAGPSPAGDGLTKIYSRDSS >OB07G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2896769:2897197:-1 gene:OB07G14140 transcript:OB07G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding NALLLFRLLPSGPPLPWQLPAPGTPPFTKQQRSSGTPHRCCSPSSSSARIRRSWGFDYSQGGGRGGDGEVRGAAGHGGAHRRAVPLPLPADGADVLPPAAAVVVLVLVLLRRRLGRRRLRQQDAAARRRNRGDHPLRRRLEV >OB07G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2904021:2905862:-1 gene:OB07G14150 transcript:OB07G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:J3MJ33] MAAEEGVVIACHTKEEFDAQMDKAKAAGKVVIIDFTASWCGPCRFIAPVFAEYAKKYPGAFFLKVDVDELKEVAEKYNVEAMPTFLFIKDGAEADKVIGARKDDLQNTIVKHVGATASASA >OB07G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2910613:2921880:-1 gene:OB07G14160 transcript:OB07G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYANFWQVRPVGNLDLLPPPPRSPKKLEISEQCPSPLTQPLVCRQEFLCRVVAVRVSASAETNEPYAAISLKPDVVPDDDVPNPSPAPDQHHHQQPQIFYFVKELTYRDVDYRDLFVAPMSAANKVFPPPLEHKQTQDLSMRDLQGFRMHFKHRENRAGSVELRKGWRGFKKDMDLIDGDHVIFMRRPASGQLFVGVRRQRDVPKRVRPIKHRAKATTTVPLQEVMEAARLAAAGVEFTDGDEFVVPHKVVVEGLKVWRARLIPAMVMKFSWAVQDDAPPIVGPQGKIVRIISTRMWRNLEVGWPQSSKMNRWTNFWQVRPVFYPNLLSSSSSPSPQKKLKISETTTDLPSSSSPHPPKELNIFETATNIPSSSPPPQPPKELNISEVAAASASMEQEETLDELLDQLFSKPPFL >OB07G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2926872:2937787:1 gene:OB07G14170 transcript:OB07G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKVIKREASPPQPAAPFDLEFMGDFSFWGRGDVGRCVISPMNLDALIALSGDCVLMRASDASKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGTKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKDAKKLEHFFCQSCTAENGKMAENSHEATAQSEEKVRVAAHEAEDIVDEYIYLVGQMEGRDSFLKKAFNQATEVKKWRKLSAQAKLVEDRLQKISEVENRFDISFASNGRENTASYPSRHHHLSEYSYLSDDDDFVGNAEEVKRLIEWLCDAKKDRRVVSICGMGGLGKTTLASSIYKKEEIKRMFLCRARITVSQNYSVKDLLKKILLQLMTKTENTTDGVGTMDCVNLVEQLQRYLKGRRYLIVLDDIWSRDAWPLLDNAFVKNNNGSRVVITTRIENVASLADANHEMKLTLLPKQEAWTLFCQKAFSRLDDRSCPLNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYSQLSLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLADVAACYLKELASRSLLQVVERNEYGRPKRFQMHDLVREISLTISKKEKFATTWNCPNSDGVTDGSRRVSVQKDDILVQAAKCSSPLRSMLMFSEEISLSWFTDHYPSFRLLRVLCMRRCNIQKVPDTISQLFNLHCLDLGYTKLKEIPRLIGKLSNLQTLYLNGSVLELPSETTMLTKLQHLHIDVGRCYAGTKLTFRAGWFPKLKHLYLSSMNELEEIEIEDGAMRSLHRLELWSLKSLA >OB07G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2942086:2947939:1 gene:OB07G14180 transcript:OB07G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT4G36400) TAIR;Acc:AT4G36400] MATRLLLRRLGPLAGEALHARGMYATQYGATNHVFSRFYWIQGQQHPLYGSKTNVETYDTQQSAQMNFEIQKRSFSSAAAHIQRNPAYSVLNSDDVSYFKSILGDSSVVQDQDRVAVANVDWMGKYKGASQLLLLPKSTDEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIISLVGMNKIVNFDNVNGILTCEAGCVLENLSSFVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLANGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKVAILTPAKLPSSNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCIDLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSLEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGEVLGYGHLGDGNLHLNILSAKYSDRMLERIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPNSILNPYKVLPQSVL >OB07G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2948684:2951785:-1 gene:OB07G14190 transcript:OB07G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGTYSSGARGGYRGGGDAVPSANDDCFKCGRAGHWARECPYSGGAGGGGGRTGRYSPPARYGSGTGGGRGDRFGGSDRFANRYVDDRYDSGRYVDDRYGGGGRDRYATDRYPPTADRFTGDRYGTSDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >OB07G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2955502:2958934:-1 gene:OB07G14200 transcript:OB07G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) TAIR;Acc:AT3G10420] MDLGRRPLARFPSGDWVISEQAVTADDLRQAVSKVGDFSEDNRSGINHSLHRISAIRNRKDQIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVSMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIDSIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLEATVDAILAGKPPKFEARKMDYKATESGRSLVIPEREYEAEPLPSYQEHLVAKTMPSEDKFDDDFSTRRTKSRSVPSDDNFDDDFGYTRKTKGKTSVPGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLSAPARKQPKVVGEIVIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKGSTGSTPKQTSSDLIVSDNGGGSSFSRLPFLPK >OB07G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2964782:2970915:1 gene:OB07G14210 transcript:OB07G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter traffic facilitator1 [Source:Projected from Arabidopsis thaliana (AT3G52190) TAIR;Acc:AT3G52190] MAGGGKVACAAWIRRREDKATRVFAVYGRAAAAESAVEVLGFDSQDCSLSSEPLARAVLGEEPGDAPRGIAVHPSGDELVCATAKGCRLFKLIFEEFTVRLLSRDVPSLDSVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFQDMDISLDSEFLVSTSTDGSARIWKIDEGAPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMEVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILISLFLASAILFYMFYERSDSFWNFPMGRHQPAKPWNVLKESPPVPEDKNPW >OB07G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2970349:2970579:-1 gene:OB07G14220 transcript:OB07G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLESHGHLDKTTKDITHFGLQWKIQTITKITTTWQTKMFMPLFQNKLFFRLHPHLNQSRPFFIYSFYTCYILN >OB07G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2979559:2981348:1 gene:OB07G14230 transcript:OB07G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTGSGGGSPAVGNPLRVILTAAFARQVAVGRWFTGFASLLILTASGATYIFGIYSRALKEALGYDQRTLNTVSFFKDLGANLGVLSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVAGRTGAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAVSVVFVHTIRIMPYPRRRGGQETSSDPFFCFLYISIGLACYLLVMIVVQRQFAFSRTAYACAAAALLIILFLPLCVVIKQEFKIHRERQELDAAELPPTIAVLDHHSFLIITAATVFGALVSLVLVWRTWSFYKGDIYARFRDGSAAGDGAGGRVPVEQPQRRRRRPEEEESTAV >OB07G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2980026:2980446:-1 gene:OB07G14240 transcript:OB07G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALAVDLELLLDDDAEREEEDDEERGGGAGVGGAGEGELPLHDDHDEEVAGEADGDVEEAEERVAARLLPAAAARVRHDPYRVDEHHRHRRRQPRDEQDQRLGVVAVERQVELRVHGAAEADEPLQIPSTTPRLSGKFLT >OB07G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2987914:2995149:-1 gene:OB07G14250 transcript:OB07G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIKLGKDLREMEYKVTLRLAGQADVHRLQQFIASNHTDSPQDTIQALDVVLREFPSLNYVTVSRSFFSPVFGRQDIDGGLECWKGYYQSLRPTQMGLTLNIDISSTAFFKPINVVEYVQKCLNISTTNGNGRGPRPPLSDTDRLKLKKALCGIRVETTHQKGKRSKYKITSITSEPLSQLNFPLDGAIQTVTQYFSERYKYKLQYTSWPCLQSGSSPSPIYLPMEVCTIIEGQRYSKKLSDKQVTGILRSTCQKPRNREQKINEMVQHNNYPADRVVTAFRLDISNQMADVTARVLTAPTLRYHESGKDKTCQPSVGKWTMVNKKMVQGVTVQNWTCVNFSRMSIIAVQKLCDDLVFTCNSIGMKFKKMPEIEIRSANHDSIEAALSNIHSMAPNLQLLVVILPDVSGHYGRIKRVCETELGIVSQCLKPGGKHVKSSNRQYLENVSLKINVKVGGRNSVLQRPLVPGGPENTTIVFGADVTHPAPGEDSSVSIAAVVASMDWPEITKYRALVSAQPPRQEIIQDLFTMANVSEKANVSVKIYGGMISLFFSFLFYRELIIAFCKNGNRRPTRIIFYRDGVSDGQFYQVLLYELHAIKKAIKSLGEDYNPMVTFVVVQKRHHTRLFPGEHTDSSGNILPGTVVDTHICHPSEYDFYLCSHAGIQGTSRPTHYHVLHDESGFSADQLQTLTYNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEPAEVALDGVAGGQPAEVPLDGATGGQPAAVRRLPQIKENVQDVMFYC >OB07G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:2999625:3000569:-1 gene:OB07G14260 transcript:OB07G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATARLSSGLFDRRRCVHDGDGDGDGGTGLLQVQGLADDVHPDVTDALLGFVYDPPADAGLDAFLRPLPRGEVVEAVQSAAARERRRRISGKTGQLSRLIPGAAKLNSTAEMLQAAARHVKLLQAQVGMLALMHSADEPKVPSIAEERMRALLASGGAQERLAGEGVCLVPTKLVHAIADDKAIRSNPAVERDLSFFMESLEQ >OB07G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3008839:3010184:1 gene:OB07G14270 transcript:OB07G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMDSDVQVVESCLVAPCEETPRRALALSPLDLLLANRGHTPIVYFYLAPPRSSTSPFAAGDGGFFDVARMKTAMGKALVAFYPLAGRLTVDDDRDQLQIDCNAEGALFVVARCTGLAIDDFDGLGPSPELKHLFVPRVDASSPIMMAVQVTFLRCGGVALGTAVHHAAVDAIGAFHFFQTWSALCRDGDGAVVELPCHDRTLLGARSPPVVHPDALSVFCPTLKLCQAPSDHPVVSEVISFSGDQVAGLKNACGGGVSTFSAVSAHVWRCVCVARRLPADAKTRLTFPASIRRCMSPPLPSRYFGNTIIWLCAAGLVEDITSPDALPAVAGRISGAVRRMDDELARSAIDYFEMTLEKGSGRPSPSPPGNVVATDLRVVSWLRMPVYDVDFGWGKPTQMQRAESERGGFVYLINGDDDGGGGGMHMVVCMEAANLMEFKRQLYTKL >OB07G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3013704:3018381:1 gene:OB07G14280 transcript:OB07G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MJ46] MVSMIVNQTMLYYLLFFSECRVTLQSLSRYYDITVGTGPKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDFGVEGMKAGGQRLIIVPPELAYGKKGVQEIPPNATIEASESSSEASVNRVQDDRRMLEDVDSLKRMVSTLEEQAASIQSQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRAAAEEFVMVRAKFDALQNKSKKIWKKNKQDLDAIDERILALDAKEAEMEAEMATRCQDFEQYMEEMKQLTLQLQKEKGSDNQNVEVIVERSMRKLSSNKDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGEVGTPAPAVDGGSPPPARHKGETMVAVVAPSTEVELCKAASSSSSSSSASSETRGAAAAAESSSCLTGFAGGRGSCGIIGRPRLLRRLRGWAGGKGRSRRPCKVEFPPNPM >OB07G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3026283:3030000:1 gene:OB07G14290 transcript:OB07G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAPALKVLDLPYFQEWGVGDAAAAGSADDNIIQVTPQVQRRRDAKLERLELGLAKARAAIREAIKNKEKLPPLPDKDYVPVGPIYRNAYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEAESRMRTRDAGEAHAFFLPFSVVKMVKTIYEPRSRDMAPLRRTVSDYIDVVAARHPHWNRSLGADHFMLSCHDWGPYVSAANVHLFSNSIRVLCNANTSEGFDPSRDVSLPEINLRSDAVGGQVSEYLPGRRGGASSYTDMMRRSRFCLCPSGYEVASPRVVEAIYLECVPVVIGDDYALPFADVLNWPAFSVRVAVGDIPRLKEILAAVSPRQDIRMQRRGRALRRHFMVSDGAPRRFDVFHMILHSVWLRRLNVRVVAPPA >OB07G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3031186:3037795:1 gene:OB07G14300 transcript:OB07G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 6B2 [Source:Projected from Arabidopsis thaliana (AT2G14170) TAIR;Acc:AT2G14170] MLRAALLRSASGVRRPPMAAPLSTAAASASASASWLSDSASSSPPKVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTSDEFKAAVDAARTAFPGWRNTPVTTRQRIMFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHEVVNNICDDEDIKAVSFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLVQSGADNGARLLLDGRDILVPNFENGNFVGPTILADVKGDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >OB07G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3044549:3045869:1 gene:OB07G14310 transcript:OB07G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLPLLAAAAVASPLAGGAAAPTPIPTPWPDQFHAVVLTNLTESGGRLQLIDLYYDWPGGRNLNLIRGQLSGDPTYDVEWTNGTSFFFDSASCRTKLFPVGLLPPDWLVDGAVYLGRHAVGGFDCHVWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLKDSKWQAPARCFSDQETVGIAGAATATGRLQGEDAKFDVMSSFLRFVGAPAQAVAAE >OB07G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3044996:3048426:-1 gene:OB07G14320 transcript:OB07G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPFTDRWGPRHRATFSPLPASRVGWRADSPRAVRISRRGIAGDAAAAAAFPAPLAALALAAGPPSDAAEASLGGVDPPAPSPSPTPWPEQFHAVGFIWYYEDVLTHRPVRWNFYTGMQQHVMSFEVGGVLEDSKWQAPGRCFNDQEAATNSNIATKIVSEVDVMTSLLRIQEEKRVEQATDGDILVKDL >OB07G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3081447:3085128:1 gene:OB07G14330 transcript:OB07G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSPSLFRAVPATTGASCRRQIQVRASAAAANGGGDVGKVMMRKEAASGAWKIDYSGEKPATPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMNTIRQTSGLAGFPKRDESAHDAIAAALGMAVARDLLGKKNHVISTITKQSGGQTHEVAAKVDEYARGMVSASGSTLFEELGLYYIGPVDGHNVEDLVTIFEKVKSMPAPGPVLYFAEALIREAEVDDKVVGIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGIGAILPPNHKGTPLEIGKGRVLVGGDRVALLGYGSMVPACMKAAEALKEHGVFVTVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHFLSLSGLLDGPLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRQLEALQLS >OB07G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3088802:3090727:-1 gene:OB07G14340 transcript:OB07G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSVSGAGGDLEAPTGGAIRAAAHPGDVVGSYGRPVSAAGGGGGATTPTPTPSRRVGTRRRGKGEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPCSLPFGADQFDPRTESGRRGISSFFNWYYFTLTIAVCVSSTAIIYVQSNVSWTVGLAIPAALMLASCVLFFAGAGLYVRVRPEGSPFAGVVCVAVAAIRKRRVVAPSSDESLFRTRHATSGVVSRLPHTDQFRFLDKAAVVVDAKSEVDAGGHPKNPWRLCSLQQVEETKCVLRILPVWLTCIVYYIAFAQTNTYVILQATQSDRRLGGGGFEVPPGSFTVIPMVALTVWIPLYDRLVVPWARRLTGREEGVTLLQRMGIGMALSVVAMLLSAMAEERRRELAVIHAAETGGSLSNSRASPQSAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFSGLALSSYLSGLLVAAVARATRGDGDGDDGWLADDLNRGRLDCFYLLIAAIGAANFLAFLACAKWYRYKGSDDDDDGGGAGHEQVAHGISAAA >OB07G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3092047:3093332:-1 gene:OB07G14350 transcript:OB07G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFSSKSKPAAGGEPSAVGGGPPQKSKPAAGGEPSAVVAVHSKAKWDELWEAHKTTTKLVVIDFSASWCGPCKMIEPVFKEMSGRFTDVVFLKVDVDELAEVARTWRVEAMPTFVLARGGEEVGRIVGANKDELERSINTFRSAAPSASAATAMA >OB07G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3093323:3104218:-1 gene:OB07G14360 transcript:OB07G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMSAAGGSWAAEDDVLLKNAVEAGATLEALAKGAVCFSRKFTLQELQDRWCSLLYDSETSEQASALIVKYATELSTSNPTKAHKLFYSRKKHLSLGKRKIESVKNQYYAMRKRICHDPYLAAVYGYVITPCSCPVGSDCVCDGLFNLLEDHHLVHSVNQAADIVNGCVHIDESHADGQDAHAKDNGHYISQQNHNKAARRVIIDGNTNCGSANGCSDVGKLYGYNYMQKNIQSREKNITSPNDISDVQDYVQLQQPLLCEESTNGMTGLEALLNTDQDCIKQSQFSGDINERLQEPGSLKAISQHWCSQASSSPAREKFQGVNSPEMPTDVDHKEQEILTFSDDKKKETSNIDTLSFKVSTENGMSGSDLCKATEGKVTHSCLMDANQSKDFEVLNSENILDSSLDSNLENLGDQHANVILKNMSKKHLLNLPLVSSSCGNDTDPIHDVADISGMDMICTSEVPFPGAGIVCILNTEDPEIPCNDDIFTPGPVASTSTCDQNSQHNMHLVSTKPISPLNTADVSHTNLASDVQPLLLPTKLEHYTLEQKETLMALNDSCTLRSKPSCMRVDVSVNNTNACTSTFHSAAEFVKQSACGLVQHEGFDNLGSVALDECVVLDEMNSKFPGEPGISYEANIQNSIPSHALPDGEFLNPITTASSQASGGSDSEDDIPNYFDIEALILDQDLIPWDQEFDFIQPEVSRFQSLGNRKDLVRLEQGACSCMNRSIMSHGAFAVLYGHHLKYYIKDPKVTLGRETREEHVDIDLGKEGKANKISRKQAIIEMDEGGSFYINNIGKCPIFVNSKEVQCNQRINLVSDALLQIRDMKFIFHINQDAVRQQMVRTRRGTSQGKSAAFDWDQKAMN >OB07G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3134917:3142153:1 gene:OB07G14370 transcript:OB07G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:J3MJ55] MAEKADNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMVVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVPDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQNKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGGYLAMMTVIFFWTAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGKAWDLVLEQRIAFTRKKDFGTQENQLKWATAQRTIHGLQPATTAGVFRDMTSYNDLNQLAEEARRRAEIARLRELNTLKGRMESVVKQKGLDLETIQQSYTV >OB07G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3140371:3141035:-1 gene:OB07G14380 transcript:OB07G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYPLLKNKIPCLPTQRVADQELDDVQWQVKDDVVKPDTPAQPHPMPLIAANAQLAYTAIRVAIWLYHGKECKQQVVNHKKMMEEVSLVCCPEENDCHHGQVT >OB07G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3144040:3145227:1 gene:OB07G14390 transcript:OB07G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTDLAPDSYTVQSVLGACSGAGALSLGVYAHAMLLRELGGDGDGEAVSRDMLINNSLVDLYGKCGALELAQQVFDRMPTRDLASWNVMILTLANHGRVSESLELFDRMAQLENLSPNAITFVAVLSACNHGGLVEEGRRYFAMMVDEYRIKPRIEHYGCMVDLLARAGFIEEALDIVAGMNCRPDAIIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMTQEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYKKLDEIQLRLTSAGYKPDLSEAPLVASADIAKGAALRLHSERLAISFGLLNATPGAPVRILKNLRVCKDCHTISKLVSKLYGVEIIVRDRIRFHHFKDGSCSCKDYW >OB07G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3146373:3147049:-1 gene:OB07G14400 transcript:OB07G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHVTGAAAYVKSFHRDWSPAMIMSALITTVSGVVAAANEVASVAVVWTDGEHEVEEPCGGVHGERRRTGGRVDVMCGRYDD >OB07G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3150173:3151952:1 gene:OB07G14410 transcript:OB07G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESFSVPLHKVDGRAIHYHIGDDYGDIGEDQEGHSFTFDGTSLEELLERLQEETGLSDVIICSRSPINGKLMPLRLQLPPNNAAMHIVLVHESSKVAKSFP >OB07G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3155345:3157617:1 gene:OB07G14420 transcript:OB07G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVYKRHALHGQIQRRGDGTHHLLAMAHRHVAHHRDLETGVDMARKPAYGGGGRFSYTGGLEFTGLTYTVVKKQRGGEKKEVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRIGSLDGRVALDGVEMSPSLVKRSSAYVMQDDRLFPMLTVAETLMFAADFRLGSSVSAADKRLRVDNLIDQLGLATSRNTYIGDEGTRGVSGGERRRGSSGVDIPRGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILQLLDHLVILARGQLMYSGAPREVAAHLARMGRRVPKGESSIEHLLDVIQEYEQSEYGVAVLAEFCLTGLKPPKLAVEGVSTVSSIPPTPLLSAHHGEDFDHSLRSQHSRSPWSGGGGAQFTPSRRPRRDGGRHAHPEIVMGTPTPLSSAYTVSEGDYRTPTPRRAGAAPTGAPGVGITSLGHRGKFANSYPGEVWVLMRRNFTNILRTPELFLSRLMVLVVMGLLMATMFTKPRDDAQGVTERLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGLVTYLPFLLLQSAAYAAIVWFALRLHGQFIYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLDSRSIPAGWRWMNTISTMKYPYEGLLMNEFRGGRVFSPGPPPLTGDAILGHLRISTAEDRKWWMVLYLMGWAVFYRVLFYLVLRFASKNKRK >OB07G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3161439:3162305:1 gene:OB07G14430 transcript:OB07G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYPYPSQGYYNQGPYQGPPVMAPPHAQYQYQYGQPPPPPRQPGFLEGCLAALCCCCLLDECCCDPSVIFVT >OB07G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3166733:3180223:1 gene:OB07G14440 transcript:OB07G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLPSASSSLLLLSPRSSPRRLLPLLLPLRLSSSSAAAMPPRRDRDCVRPAPQKWKPKATDATASAAAAASSSAELAGGVERMAISPSPQAGASQMWTPRGYATSASSSSSSSSSAAAAEQRVDGDKLSRLFKAAPQFEVDNNTFTQSQIRATFYPKFENEKSDQETRTRMLEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNDFLEKNRISISMELVTAVLGDHGQRPKDDYAVVTSVTELSHGKPKFYSTPEVIGFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATPVCKALDEIADVSVPGSKDHVRVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGANSDLGPSLRAICAANRSDEKQQIKALLENVGSSMCPDHSDWFGYNGLDYQSRNADRSVVTKFLQAHPTDYATKKLQEMIRLMKQRHFPAAFKCYWNYHKIDSLTNDNLYYKMVIHVHSDSVFRRYQQEMRRNQGLWPLYRGFFVDVNLFKANNMKSSVLPHDIDTSLKDINGALDSNPSAKDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKTYYLRQMKNWGTSASKQKELSKLLDEWAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAERDEEGDLHAERGTTPASPTSTSLDVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAVAVPVVPDSEGTESNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFDSELYERFGSLVKMPLLKPDRAPLPDEVRAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFANTDYLNSIQVPFDFVVKEVLEQLKSVAKGDLRMPDTVKRKFGNIVFAAVTLTPTDILGVLPKLAEHNDVSNFLNTTKLADNLNKAHVTLAHKRAHGVAAVSSYGVYQNQQVPVMFNAFLFSDKMAALEVDLGTANGEKITSRNDWPHATLWTAPGVAPKEANELPQLVSEGKAKRVAIDPPITVSGVLDFY >OB07G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3188683:3190347:1 gene:OB07G14450 transcript:OB07G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPAPAWSGSFFNSGVVLSLLAVLWTVLWNNLQGLQLHHLFGRHLNRHARRLAALVDPYLSVTVAEYDGGRMRRSEAHREVQANLQRATVAAAGGVTHLKAEPAKGADALVLSMGDNEEVADVFRGATVWWFAYSRPPREDGAAGFYWGGRAARADRRFYRLCFLERDRDVVLADYLPHVRREGRAVMVKNRQRKLFTNLAGDSWDDDGRWCDSVWSHVVFEHPKTFETLAMDPAKKKEIMDDLDAFRNGKDYYARVGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDIELTSVRTNTDLRKLFIETTNKSIIVIEDIDCSLDLTGKRKKKKKKDADEDADKNKDGDKKPGPPEDQDEKKGKENSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKIYLGVDAHHLFDDVESLLCEVDMTPADVEENLTPKGPGDNADTCLAELVKELEKTKAEKAQAKVGGKGKATAEDADDDDDDTDEDE >OB07G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3192776:3196802:-1 gene:OB07G14460 transcript:OB07G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTTTRAGKKPNSSPIAVHEIQQTPGVVCKTDLNDWISILFEHPIRKATPLIAGLAVAAAALAGRYSIQAWNAYKARPVVPRLRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGEMVNFGIYSLRTERVDSFPELFGLEMGWDGMDSIWKMNIPLRSENNLSTKIDLTEPIYLG >OB07G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3195644:3196818:1 gene:OB07G14470 transcript:OB07G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPPPANPAAGMRFVRLSGGGGKGFRHLRPPRRSPEEVGGGGGGGGAIGEEFGFLPALVVVIVAIPGGY >OB07G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3198034:3199256:-1 gene:OB07G14480 transcript:OB07G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSMRPRRMSAGSSLSTWLVVKTTMRSPPHADQSPSMKLRRPESVTWLDRWSSLYDGRRAARSPPPAAAAEEQLAAPAADVGEVLVDDEAAVAHVEREAEAPPLRLPLPLLLRHRRPPHRHALELVGDVLPVAHADHQPVPLRRALGAQLPRLRRARRAQVRLHLVVGGVPGVHGVVPPVVPRRGGAVGDVDGDVGVDDVEQPPRPPAEEEVEVGQQQPPRRVRRQQRAEEEGQRAEVGQQRGHAGERPAPPPRRRLVAGGYRRRDPHGGRASTS >OB07G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3198058:3199653:1 gene:OB07G14490 transcript:OB07G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAASIATGNKSAARWRSWSFASMATLLAHFGSLSFFLGPLLAAYAPRRLLLTYFNLFLRRRARRLLNVVDPYITVDIPDCPAAARYYRRYNPVDTRDTPYDEVKAYLSATCASEARELRAEGAAEGDGLVISMRDGQDVADEFEGVTMWWSSVTEQQGQWKTERRCLRLTFHMRHRRLVVDEYLPHVRRGGGELLFSSRRRRLYTNNKMSDYSFDDERAWSYVDFDHPTTFDTLAMEPAKKKEIMDDLDAFRKSRDFYRRTGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDIYDVELTMVTNNNNLRKLLIETTSKSIILIEDIDCSLDLTGDRAARRPSYSDDQRSSQVTLSGLLNFIDGLWSACGGERIVVFTTNHVDKLDPALIRRGRMDMHIEMSYCGFEAFRTLAKNYLDIDAHPLFSAVGEVLRNVNLTPADVAECLMTARRSGSGDTSCLEICIGELKKRAEERAKEEAEAKAREEAEAKAMAEAEEKEKEKALAEAKAMVEAAAAATAAAEPSAAKDST >OB07G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3204666:3209780:-1 gene:OB07G14500 transcript:OB07G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKRQKAREELSTETDSEDSPPFEFPRGKMTKGNKVATKGEASKLLSFETPRKSIDYMRCMGKTRVDRLKAPEQQYLCEADYRFKTQASTNPVAKQVIKMCIDKDVGGIMCLDKDWNEELIGQFFATIFFEKTEDGTEQQMRWLTEGEEYTVTMSQFATILGLGAFDLNKPSIHAESPMSSEVQIILDVSRGLAYAPFLHLMIEIVTGLRFVADCAHRSYNPILPKVSKAKEKSSASSRPSTSQIPEHPSLGSLSPFKKALSAIFGICKKTAVKVKSTERKVNQLLHESGHEIPSESKDKALPPQPPRFMTPAQFNLSPRSHGSWDPHHYQQPCSNTQATEGRGEQETLVREEVLEPLNHESTGVNLSRDWSTGEDDFLQRSNFSNFNNMASERFYTNLLSENTYTFDYGDMGSQPEQEQPNRNEPGKSRRQSQKRTKNFSDEEDYLLVSAWLNISLDAVQGVDQSKSTYWNRIYKYFHEHKTFNSDRSQGYSITVGLLSHARKLYKAENENRAFTYIECYHLLKNQPKWFDKRIEMSHKSTTKSTAVLSTDVPSEGNIGDRSETTRIDAATNTLERPVGRKEMKEKLRQRFDRSHIESLDYLWAKKKEADEEKEIKKEERYNHAFTLEEQRIAMEKEKFEFKRTIEEERILRTDVSNMDIS >OB07G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3207168:3207500:1 gene:OB07G14510 transcript:OB07G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYSPDSRFRAKPSRDKPVLEILGGATPAFLPASRKTTPAADLWRPDSWFRAQPSRQIPVPEMLGRATTPFLPPPMKTTSSTAEELGGGGRGREEELDGNNMSSSACE >OB07G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3217748:3218817:-1 gene:OB07G14520 transcript:OB07G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKLVLELIENEKKRKATYKNRRDGLVQKVSQFSTLCGVDAFLVCFGPGGEVVTTWPPGRPRRDAVPRPPMPPPALAAAPLEFDQSIMSGTAFMDSNPYATTNIVHGGSTATTAVLDDHGQFLAAGAGYDDDLLAHDFAFAAGSGYDLEPRVSTAEVWPMNTLSDPVDGIAFQQQQNDPRELLPGRSSGSNLQDVFQN >OB07G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3224227:3225704:-1 gene:OB07G14530 transcript:OB07G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARKMRRAAAGAPGVVERFAGMGSALAGVMFLWSMLSPFLPRQLFELCVGRFLRRHGRRLAGLMDPYLTVTISEHEGDRMRLGDVYDQAKAYLSHRKSAWSYVAFEHPSTFETLAMDPAKKKEIMDDLDAFRKGKDYYARIGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDVYDVELTSVATNTDLRRLFIETKGKSIIVIEDIDCSVDLTGKRKKKTPTSADPDEAAHRASKDESATKVTLSGLLNVIDGLWSACGGERIVVFTTNHVDKLDPALIRRGRMDKHIEMSYCCFETFKILAKNYLAMDAHHLFDDVESLLHDAKITPADVAEHLMLKCAAADADEATCLASLVKALEKKAEKEKNASEEEETVVDE >OB07G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3242456:3248331:1 gene:OB07G14540 transcript:OB07G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) TAIR;Acc:AT5G62640] MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEDKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPETEEAGPSTLPPPPPPPPLPTSSEPVDPSAASLPPLPPPPPPPPKPANIAGAPGLPLPPPPPPGPPPREIVPGQTLPPPPPPPRPLQPPPLAGTNELANKQIGEGASLTDSAQAKGLLPPPPPGLTPNSNEMQNGSNAPGLKEEDKVKRILPPPPPQTSQLPPLPPRPPTMPAMQPDMLTPGVTRFPPPPPPDTRPPFMAPGINARPLPPPPPGLPPPPAQMQMAPFGVPPGPPPMLPPQFFPGPPIQTGDFAAFGPRPNLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSILKPSVAPIKSEPQPSSSASKPQSIDDSYMAFLEDMKQLGALDE >OB07G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3253060:3255685:1 gene:OB07G14550 transcript:OB07G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLERVGNGSYLNMVPHPDGSNRVFLGNQAGKVFLATVPAQGSGKTLHLDAANPFLDITDEVHFDNEFGLLGLAFHPGFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTADNGAQPCQFQSVIAEYTANATSASPSTATAANPAEVRRIMTLGLPFTTHHGGGILFSPADGYLYFMMGDGGNVGDPWNFAQNKKSLLGKIIRIDVNELPTGNSTASWGNYGIPKDNPFSTDPMFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVFEGTTAYLPLSSPGGNTSAASIDAIPPVMGYAHSAVNNNVGSASITGGYVYRSGTDPCLAGRYLYADLYAQSIWAGLESPEGSGQYDVTALPFACSRRSPIPCDAAARSPLPSLGYIFSFGQDNAGDVYLLTSKGVYRVVDPTECGYACPIRSSAPGSSPSPGAGASPSAAVTARGSPAVATAALLLAGAFLASFALS >OB07G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3263127:3268164:1 gene:OB07G14560 transcript:OB07G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAVQGSRKMLEELMKKDPKILGSRTPQGNTALHIAAGFGHAAFARAALAASDGELAAATNARXXTPLHVAARAGQMAVAELLIDAIAKPPCPPEEGPLVMVNKGRNTPLHEAVRQRRSTVALRLLEAEPNCSHMPNNEMQTPLHIAAREGLADVVDKILEKPWVPEKFASADSVSGTFLHQAVLGGHTRVVDILLRKKEADLIDLTDDAGNTALHFAAQKNDKMMVRMLLKQRAELAHRRNGRQQSALHVAAYYGSTVAATELLRHSPDAAEMLDRDGRNAFHVAVLSGKVDALRCLLKRVRPEEVVNKRDNAGDTPLHLAAKMSRVKSALMLLKDARVDPCLLNGDGHSARSVVEERVAAGEMDAYVVYLWEKLKKYEALRCKNQHLPPVATYQSLRSRRPGSGNDDYFELSVGTYTLVATLIATVTFAATFTMPGGYNQTTGLAIHADRAAFKIFVVSNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTLLPNDRWPAYLVIAIGACTPAVVILILGKEVFYVPL >OB07G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3268569:3274876:-1 gene:OB07G14570 transcript:OB07G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELASVLNFLHVFRPLLNITGDFSAEDLEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDIPIIASHGAEIEAYKVLEPATRLVILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDPYGISYWYEDDPILGHRLYREIRRVELAKKEPGKRSRGKRALTPPVVSYQWETVASNFDEFDDVAEKLFSSRNRSEANLGKKLKIEYLPDIEKIHKKKERLLKKQQREALLIDSFLAPDGFTTGRSLRDRKPVTYTFDEYDRSISEAIKITKKGENSGEPAAPANKRILTPRPEASSNGKVNGLSPTNNEYDGNSSKSDDYRDSDGEEESEALDRSNRRRRRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDAEEDDDDEEDEYSLSTSEDLEEPQRHKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDSDTEAGKEGKSDASDPDAGFDAENDMELSTSSQEQGEDDDDGPDEQKDNSNDNKMDEDHVVLANKEEQEEQPQPPPPPPLQPVEKMESPGREIGTVGRTFLDLNELAPGGGFDDGPSLSMKDEDIDNS >OB07G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3279696:3290504:-1 gene:OB07G14580 transcript:OB07G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGGGRGXXXASAVVTGQGSGIRVGPGGGSGRRRPRRDAAAEDDSSKIVSTSGGGGHDSVAQEAKRLKPMKYSDNNDNLSTEAGTGSGNSSKAAGKNATPPELPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVNSHMNNGIVDFPSKDFGSQTYNTAAGLTFDPQTPREYAQGSTSEWLHMQIGNAYERVT >OB07G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3290413:3294227:1 gene:OB07G14590 transcript:OB07G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEITKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >OB07G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3303238:3309931:1 gene:OB07G14600 transcript:OB07G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MJ78] MRLLSHPHIVRFYEAISGGDGEHVYVVMELAAQGQLYDYVTQLGRLREDEARRIFHQIVSGAEYCHHNMVVHRDLKLENILMDSEMNVKIVDFGFSKFFRHGKVLSQPCGSREYAAPELLAGRRYVGPPVDVWSCGVILYILFCGRLPFDSSDVSELNRIIKRAEFSIPAYVPDDARDLISSMLIVRPDKRLTMNEVRTHRWVQRSIIPRYLAMPPLNARTQITKIDDETVEKVTSHGIDKRHLVESLENGVENEATVTYNLILSKQFDAPTRYLWTIDVCQEAGQSTTTGAAGATGSTAGEQPAAVAVAGGDERSGWALGGVEFQECPRAAMRTIAAALREMGVVYAHDDDHGRYGKLLCARFTGSISSTNVRRVIRSFLTATDTASSSSSGAGSSGSRGQAGHGGGAPVDDAVEINSDVLESLSAAVFFEIQLYKSAGEGNYLMDLRRLSGPQLQYLNICSELSSKLRSVN >OB07G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3313831:3317671:-1 gene:OB07G14610 transcript:OB07G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAGSARRVSATTLAIMFGGLILVSLLVETGSEQQSPGSVLGVGGRRMMINGGVAHRTLGDSNAGDPFSSMKRRVPNGPDPIHNRGTGESGRSPGRV >OB07G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3317574:3320262:-1 gene:OB07G14620 transcript:OB07G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMRGVLLALALLLTPPAALPLLAVSWRPRIFVYKGFLSDDECDHLVKLGKKKMQRSMVADSQSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFQDKVNQALGGHRYATVLMYLSTVENGGETVFPNAEGWESQPKDDTFSECAHKGLAVKPIKGDAVLFFSLHVDGVPDPLSLHGSCPVIEGEKWSAPKWIRIRSYEHPPVPKETEGCSDNSARCVKWAEAGECEKNPVYMVGADGLPGNCRKSCGVCDS >OB07G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3323733:3327321:-1 gene:OB07G14630 transcript:OB07G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MJ81] MVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSHRCEGYASRPAEETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSTNIVARFFVALNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMVCLWRKLQSGSTQCCNVR >OB07G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3341654:3345021:-1 gene:OB07G14640 transcript:OB07G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRSRGDFDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAATEPPAIQISRQDPDQAGAASSRWSACCNT >OB07G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3349614:3353097:-1 gene:OB07G14650 transcript:OB07G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MJ83] MVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGRPVIEQNTCYRMQWGTPLRCEGWKSHSDEETVDGFVKCENWIQNEDARSKESKTTWLNRLIGQKKEINFDWPYPFVEGRLFVLTISAGLEGYHVNIDGRHVTSFSYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFSPQSYLDMSTVWQSSPLPNEPVEIFIGILSSGNHFAERMGVRKTWMSALRKSPNVVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVQVVSARYVMKCDDDTFVRLDSIITEVKKVPSGRSLYIGNINFHHRPLRHGKWAVTYEEWPEEVYPAYANGPGYVISSDIAGFIVSEFTDQKLRLFKMEDVSMGLWVEQFNRTRPVEFVHSTKFCQFGCIDDYYTAHYQSPRLMLCLWQKLLDGKPQCCNMR >OB07G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3360738:3362123:-1 gene:OB07G14660 transcript:OB07G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMALFDLKTEKWTSFLQGPITSYPELNSIDDILPEPQEMEVYQNLSMAELNNVLVVAQHTDYRDPKIDSFVDLWYLVDSDKDTWDRKHRIGLGKTAQDAEHYFPWVNPSLVLDDGRIVIYIHVARSNVQGQYTRRLVRLYDPETETLSSDLVDVRNIHSLGFFTGSLLGLSSCQVVRISQGFLYRKLVGCVDCRGVNYLLAELLESQRESTESGDFLVSVVLLYFKCMKLDCVDCSDTVPNDSSVHAEGSN >OB07G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3370150:3372982:-1 gene:OB07G14670 transcript:OB07G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase-related [Source:Projected from Arabidopsis thaliana (AT5G18070) TAIR;Acc:AT5G18070] MGPAVCRAGLVAALRSVKLGGAAVGLVITASHNPVRDNGVKIVDADGGMMSQDWEPFADALANAPNPDALLQIVLQFAKDEEIKLGGCHSAQVLLARDTRPTGEYLLAAAMKGVNAVIGAVAVDMGILTTPQLHWMVRSKNKGLRDSEMDYFSQVIGSFRCLLELVPKDKGADVINNRLIVDGANGIGGLKLEEMKENISGLDIHVRNSGRGEGILNERCGADFVQKEKVVPLGFVPEDATFRCASFDGDADRLVYFRIVSSSDSRIDLVDGDKILSLFVLFIREQLDIINGKDNQGNEVLPTRFGVIQTAYANGASTDYLKDLGLEVVFTPTGVKYLHKKALEYDIGIYFEANGHGTVLFSDHFVSQLESLTSEFSSKVAGSSQHQAAMRLLATSQLINQAVGDAISGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRAAIVTTDAERRVCQPSGLQELIDGEIAKYSHGRCFVRPSGTEDVVRVYAEASTEEAADSLAKQVAQHVERILG >OB07G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3377377:3378714:1 gene:OB07G14680 transcript:OB07G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGLPPDVKFEPTDDKLVARYLLARIEGKPLPLSGVILEADPLSAPPWTLLADVGLGDADEAFFFAEARAMDGKGGRQKRTVEGGGCWRAQGMIVDGERLLVPDSGGLEIVWCKKMLSFIPDGEKASSGWVMHEYAITAPPKLASSPMRLYRIRFSGHGKKRRREPERHGGQEGGGGEQAAPRRAVEEDALPPQPIPPPAAVASFDDQGCSGVPPAAAVVSDGTNQHSSGVVDDSWPVSDDLPGNAPIEEHVLPPLPGPPPPAAVASFADQGCSGVPPAAAVVSDGTNQHSSGVVGDSWPVSDDLPGNAPTEELVLPPLPGPPPPPPPPAVASSDEDFVSRGADQGGSSAVPPPDPAAPIRDGQDGAVAETAPPAEVASLAIANDGGPVWGSFSRYRFFFRKLLLPEVIPHGAPAVQIPRIYSYRFWKMNLSLTAEIRRKY >OB07G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3380346:3380528:-1 gene:OB07G14690 transcript:OB07G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVILGSCITAASHNQALRLNTVLLLLQSWFGCSACTRNLVFIRFSSLVNAVIILKPLT >OB07G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3385787:3387007:1 gene:OB07G14700 transcript:OB07G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEASKRGGGGRRQGFGGSEGAGMPMDVLFEILLRLPAKDICRFRAVCRSWRAMTTRRAFVRAYAARNPGPYVATSFADDDGGGESCGVDITDLYSGDVVKRIRTDVRGFRVQRTRSDLVCLVEGANPLAVTVLNPVTGATCTAAKSISDEYDHLLLESGRGSVTMDSCALGKVPSTREYKVLRFLQCGYLQQLCEVMTLHRSGVSQWRAAESPPAPVSVRNKTRSVVINGVVYFLFDFQGCLYIYPHMAIPPGCILPFDLETEEWMGIMNGPEPVTAFYKENNVLFLSPSHENLEHVSLADLNGCLATVHTIYGSCMDLWFLSDPEEGLWVKKYSLSFQYLRLKDYPLLLFDDEEIVFLAQAPDCLRSYYPEAGTYADFLDLENVRSVGIYTGNLLSFRSGFY >OB07G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3389730:3392794:-1 gene:OB07G14710 transcript:OB07G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQKLMQLIMICQRASSVGNGEPLPLGGVILEADPLSASPWTLLAEGGWGDEAFFFAEARAKDGKGSRQKRTVEGGGCWKGQRMVVDGERLLVADRDGMEIAWRKYVLSFFADGEKGSSGWVMHEYAITAPPELASSPVRLYRIRFSGHGKNSKKRRRGPECHGGQEGGGREQAAPRRAVEEDALPSQPIPPPAAAASFADYSVSDAADQYSSGVVEDSWPVSDDLPPLPGPPPPPAVVTFAEEDFVSDGADQGGSSTAPPAAAVVSDIADQYYSGVVEDSWLVSDDLSGNDLLEELVLPPLPGPPPPPPALVSSADEDFVSHGADRGSSTVPPPDPAEVVNLAIANDRVSDVMNDASLVFDDLSEIIDETDLSCIDFTETRDDLSCIDFTETTDDLSCIDFTEPMDDLSCIDFTIDDLQSSFIRSAANELFVCPSEGAEADTGGGSVTSSLVEKHNNSSGVTADRGH >OB07G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3395989:3398720:1 gene:OB07G14720 transcript:OB07G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLRAVCRSWLAFTSDPHFVAAHAARHPGPLLAVGVQGFPRLCVDLVDLSGNVVKQILRVGKGMVVGGISGDRAFLAGEDHSVRVLDPTTGSISCLPHHRSNSADPSMTCVWFAFGQTASTGEYKLVRIMLNINDSRQVAEVITISDMNAQWRKIANPPGSLDWGCNNGVVFKGAAYFIVDYCFSDPSVVERGRMPSFDLATEQWSVTLKGPANRILEESNGTLTYHDLANQLMLAGLKGTLSIAHCNDQFYAVDIWFLLDAEKGTWSKGYRISVDVFYGIGDYLKVQPLLVTDEGKVVLWMQMGSKGVVQIYDPVTDTSLDIIQTSTYTGASVYTGSLLHPGSGTAKTSVLSGDVMRLKDLNMRAIYGTRNFFNLETWAWGFVSLGTCLVGRATGALYKVLRQSREL >OB07G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3399050:3399283:1 gene:OB07G14730 transcript:OB07G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLIGGNVYSTKELLERKSWCKELMISTKCCKGSLSQERNVRRAVMLRKAAHINQRHKRERSQELGPTITRVIFR >OB07G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3401232:3402467:-1 gene:OB07G14740 transcript:OB07G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSERQPSASVQGEIPRDALFEVPVRLPAKDLCRLRAVCRSWQTLTSDPLFAAAHKGRHHRDPLLAVDYRDCNNGHGVAIVDLSGKVLKRIPSSEVDIVVKNKSGEVLVMIPSTDDSIIVLCTCLDVVCFTRKFHPMTLWALNPATGVTLELPKSHSDKIAAKKRGFCYGKVESYAFGKISSMGVYKALHIVKFLLPDMQLFEVITVDGTNQGMWRTIQGPPALICSREEMNPVVIDGVVYLLMDFHASYVQTGAMTVEPGSVVLFNFETEKWMGTLRGPEGVRRYVQDSGDIGLKMQLSLIDSNGCLVVVHNIHLICMDFWFLTDSEEGLWVKKFSLPSLFARPSVYPLVVLDDGRVIFRHGENGTIGSVVSYDSRTGSHGTVFQLSRSSSRHFATYTGNLLSSSSRCY >OB07G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3406421:3409192:1 gene:OB07G14750 transcript:OB07G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hexokinase 3 [Source:Projected from Arabidopsis thaliana (AT1G47840) TAIR;Acc:AT1G47840] MAVEMRAGLAADGAGELKMIPSHVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRIIDTEFEQVSIPNEIMHGTTEDLFDFIASGLSRFVATESDKFHLPQGRKREIGFTFSFPVNQTSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERKGLDMRVSALVNDTVGALAGAHYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLVLGTGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKMISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDNSDNLGEVESILCDVIGVSQASLEARRVAVEVADCIIRRGGRLAGAGIVGILEKMENDSKGKIFGRRTAVAMDGRLYENYPQYRRYMKEAVVELLGPELSNHIVIEHTKDGSGIGAALLAAANSKCV >OB07G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3412973:3417613:1 gene:OB07G14760 transcript:OB07G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASHGDGLVAKLAQLVCEEFRLLSGVCGEVGHLRDEVAVMNAALRRFSEADESAVDHFVREWMNQVRELAYDAEDCIDVFLLRISYSPPRAAAGAFGRAWRRLVTAWPRRRLAVDIRKLRARARAVSERRARYGIDGHALPRAVWFVPDHATVPPSCTVRGQQQLVGIDDLVRRLSAAVLAKSPDKLTSDNLPDASLKVFSVVGFAGLGKTTLAMEVCRSLEEGFDCQAIVSVSQAFNAGKDLGGLLKQLLRKVVRVASDHSQDDGDDVDELATTLGQLLHGKRYLIVIDDVWSIAAWEAIQTVLPDNKCSSRIIVTTRMEHVARACSSASLEEDYYIHRIKPLRFEDAKKLFTDTVFGHQQDCPEHLVETMQRILTRCCGLPLAIVCIGRLMAGYRSPGGAEMWTRISNSIGSQMQNNPTLEGMRQIITLSYNHLPHHLRACMMYLSIFPEDYAIGKNRLLYRWIAEGLVFEQRGLTLMEVAEAYFDELVSRSMIQPPHVEPYGKAPKCRVHDAMLDVAVSKALESNFVSFLVGDGTSYGGTVRRLSIQSDDIIASDNMDSTDFSHVRSLTTFRPKGNRKLLDKLAEFSLLRVLDLQGCKDLQNHHMKHVCRLFLLRFLGLNGTDITELPAQINRLQHLQSTFAGCSCSGSWA >OB07G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3422582:3426584:-1 gene:OB07G14770 transcript:OB07G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGENSEADRRASDGPVNWGEYCALRDTLRREIIARNDQLREEVNNAIQDLQIEVNQQLNVLHTNIVADIVRQLRPQNEQDPVIDETDVEAAARRARATNNPPPRPPRGGDDGINGRGRGRGRGMGHGGAAYEPIHDNFLLRNEANQRNNRNGNNRPDEERFGKLKFSMPKFAGTNNPEDYLAWELKVDKIFRLHNYTEEKKLAMASLEFEEYALIWWEQIQSQREDHDEPPVASWTEMKVEMRARFVPRHYKRDLSDKLQVLKQGNRTVDEYYKEMEMAMIRANVYEHEEQTMARFLAGLNYPIKRITEFQPYNSVVELVHQATKAERQVQQDSKFTKNPSFVSRSVQKNSQPTAARSGKEQSNQNMKQDPTVSSSSMGLTAKSSVVQCFKCLGRGHISKECPNNRTMLITEGGDYESASEGDDDPSNDSSEEENEMTYCDFETGKSLIVTKKVLSVQTKQDNEQLCSLFHTKAKWLNDCGEVKIQHMVKVPFKIGEYCDMVECDVVPMTEFDDVFPEDIPAGLPPLRGASLPNRPPYRANPEETKEIERQIQTLLDKGYVRESLSPCDVPVILVPKKDDTWRMCVDCRAINNITIRYRHPIPQLDDMLDELSGAVVFSKIDLHSGYHQIRMKEGDEWKTAFKTKFGLYECRTMEEHIEHIKQVLQVLRLEKLYANMEKCIFCTNKVVFLGFVVSAQGVEVDESKVENFSTIAAPLNELTKKALKYLRGQAKLNRRHAKWMEFIESFPYIVKHKKGVASLKQLYAVDKEFSEPYSKCCCTCNGWPHQIRQVSRISLILRREGRAHPRIKDQRCRRASTSWEEERTGKKEKAMASAERLG >OB07G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3458828:3462760:1 gene:OB07G14780 transcript:OB07G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNILLWPHSYIALCAGTLIMGWLVHWVYRWTNPPCNGRLPPGSMGFPVVGETLQFFKASPSIDMPSYYKSRLERYGPLFKTSLVGQPLVISLDPEVNRFVFQQEGKLFRSWYPETANRIFGKRSLTTYSGAFHRFIRSVSARLFGPENLKESLLPELESSMRESFASWATQPSVEVREGISDMIFDLVAKKMISLNVAQSRELRKNFNEFFQGMVSFPIYFPGTSFYRCMQGRRNVRNTLANLMKERLSAPGKKHGDLVDLIVQDLQGEKPVIDENFAIDALAAILFTSYATLSSTLTVALKFLTDNPKIVEELKEEHETILKKREGVTSGFTWEEYKSLKFTTQVMNEITRISNVAPGVFRKTLTDVQVNGYTIPSGWLVMISPMAVHLNPKLFENPLKFDPWRWTEEKRSSLLKNYMPFGGGIRLCLGADFSKLFISLFLHILVTEYRWNEIEGGEVVRISEIMFPQGYHIQLVPRT >OB07G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3463373:3464508:-1 gene:OB07G14790 transcript:OB07G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEDMVREVLLRLPLKAAVRFRAWRPLLHGPTPNLQQDADPDPRPPLLSLTELKGFLVIAHRHRSQHQPWSRMDLWFLADSEEEIWVREYTIQVELSPRDFCAHPLLVLDERRIVLCARPHGRVIVYDLETGRCRDRDLGVGECVEVGVYRECLLGSGSVVENEIRRS >OB07G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3467049:3467454:-1 gene:OB07G14800 transcript:OB07G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVATTLRSAATGQARPRPRPPLATTAVVPPPGLVAEQEGILFVVTEALKDQAGLCRWDYALRPAAWGPPLPPPLSAARLPGQKRSEVEKLHKAAVHPSGLFARTAVR >OB07G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3478987:3482667:1 gene:OB07G14810 transcript:OB07G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVASADFAADRAECSDKLVALATCLTFVQDEAAAPTPDCCAGLRTVLQASRKCLCVLIKDRDDPNLNLKINVTKALSLPSLCNAPANISDCPRLLNLPPNSTEAQVFLQFAKQQAAMQGSPSASPGGSGGATTGAQKSGAAAGGGPAAMRRWWLGVDGVGGGGARAAVLLLFSGAVVPLAAPLLLAL >OB07G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3492157:3499413:1 gene:OB07G14820 transcript:OB07G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSQRSRHAGHSGVFPEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSAEDDISNVEDQEPLEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVASDAKLKQTLTTTEIAALRSAISDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRSAAECVQISLGHCSLLEARGLSISAVLLRQFRSSLEQALDSNIRRIEESTAALAAADDWILTYPPTGIRPLAKSSAANLALQPKLSSSAHRFNSLVQEFFEDVAPLLSLQLGGSTMDDITKIFNSYVNLLISALPGSMDDEANIDGLGNKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQYMDDLRKRGSDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGETHLCADMYINMDNTVEEPEWAPSPIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEIVSMISGKGRVANGDREINSPTASVSAHSMSSFRSHGSS >OB07G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3502333:3502704:1 gene:OB07G14830 transcript:OB07G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLASIIGTTSLLNSFSCGSCILVYSVLSEKYVHIHLVFIEVLTLICFYGYFFKKAVVYNVYSVPFYICKFERNKKNGNAPSPSDFFLAQMGWQLPVVNCTFQVAVQEKTVRLYNREVIGHGD >OB07G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3502130:3507645:1 gene:OB07G14840 transcript:OB07G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFHYVLTAFLELGSGFQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMSLFLQKVNITRDYLEDINETPKSRMFWPREIWSKYVDKLEDLKYEENSVNAVKCLNEMVTNGLIHTEDCLRYMSALKDIAILRFCAIPQVMALATYALCYNNVNVFRGVVKMRRGLTARIINETNSMSDVYTAFYEFSSLLEEKIDENDPNASLTWKYVNAIKESCKSSGMLKKRGYDLDRPKRNPAMTVMLLLLLVALLLGALYGR >OB07G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3508071:3508760:-1 gene:OB07G14850 transcript:OB07G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTPSYIRDQPKQQRTDTKCTHAPPTIYKLQKFSWHAPDVVRTCARGTNLTMDTGRSMSKLQRSSVSFRRQGSSGRIWNDPHRALDLKQGLSPAAAAAVVSPLAPSPRAASSSLSSPRSLSSPRSFRYTHDDAGDDGGNGGGGGDDDIPESPESALSTPTAAALSTPAAAALSIPAVAEEEPAAMVADDGEADDDGTPRSERRNRRRRRISSAFCACMGHPPTSHAQQ >OB07G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3511419:3527969:1 gene:OB07G14860 transcript:OB07G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:J3MJA4] MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRSPLVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKTLGGEATAGETEKDVNDFLFGSFDIPLVNLESSLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPTVDASYEKLLSSIPEFASFGKLFKSSAPVELTEAETEYSVNVVKHIYDAHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVASKSLKSLPYDSPGQTFVAFEKPEGVPATGKFSNILKFIVKEVDPSTGEADDDGVEDEYQLEDLEIASADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISGPKEVAMKLAVRSDDPEISDKIHEIVANG >OB07G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3534658:3536066:1 gene:OB07G14870 transcript:OB07G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGEVAAAAASSSPLHLVVFPWLAFGHMIPFLELSKRLARRGLAVTFVSTPRNAARLAAVPPALSAHLRVVSLDLPAVDGLPEGAESTADVPPEMVGLLKKAFDGLAAPFADLVAKACADGESAAAATATGFSRKPDWIILDFAQNWIWPIAEKHEIPCAMFSIFPAAMLAFAGGREHNLAHPRTAPEDCMVQPPWIPFPSNVAFRRRHLAEWIAAIFRPNASGVSDADRFWEMERNCCRLLIHRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHLREPPVRAPAGDAAALRRPGAGRAGAAGEGDRRRGGVERRRVVVPPERRRGGRAAGDAGGEGEGAGPQGGGAARRSWRRGAAGCVPRRACWLLATLQITV >OB07G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3540598:3541221:1 gene:OB07G14880 transcript:OB07G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASSSSSSSSPLHIVVFPWLAFGHIIPFLELSKRLARRGLAVTFVSTRRNADRLGAIPPALSTHLRVVPLDLPAVDGLADGAGSTADVPPEKVGLLKKAFDGLAAPFAAFLADACATAGDREEGGGGGGGGPDPFRRKPDWIVVDFSHCWVPPIADEHQVPCANFSIFSAAALSFVGPREANEAHPRTEPEDFMSPPPWIQSS >OB07G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3542448:3543437:1 gene:OB07G14890 transcript:OB07G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVGPKQENLAHRRTKAEDYMVPPPWIPFPSTVAYRRRHEAKWVAAGFRPNASGVSDSDRFWQSERPSCRLIICRSCPEAEPRLFPLLTKLFAKPVVPAGLLMPPSVDDDDVGVYTATSDQSFTPAMKWLDDQPDRSIIYVALGSEAPLTQDQVRELAHGLELSGVRFLWALRPPSWHADSDTVGTVLPNGFELRVAPRGVISARWVPQLHVLAHRAVGGFLTHCGWSSIFESLRFALPLVLLPLFADQGLGVQALPARDIGVEVARNDADGSFRRDDVAAAVRRVMVEEEGKTLARRAKELRDILGDKQRQEVYLDELVSYLQCYK >OB07G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3546453:3550337:1 gene:OB07G14900 transcript:OB07G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTDLTVYITTRVEVFSPRTPAMAATREAAGGPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAAPAAAAASSPPPHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRGWALPAPAPLPSANLRVVSLDLPAVDDGLPEGAESTADVPADKVGLLKKAFDGLASPFAGLVARAGAVGGGEAAAAAGFTRKPDWIILDFAQNWIWPIAEEHEIPCAVFFIIPVALVAFVGPKQKNVDHPRTTTEDYMVAPPWIPFPSTLKYRRRHEAEWIAAAFRPNASGVSDADRLWGMERSCCRLVVYRSCPEVEPRLFPLLTELFAKPAVPAGLLLPAANIVADDDNDGGGAPNGTSELPFVSAMQWLDKQPNRSVIYVALGSEAPVTAANVRELAFGLELAGVRFLWALRPPPSPAPSGAHAGELLPSGFEPRVATRGVVCTGWVPQTRVLAHAAVGAFLTHCGWGSTVESFHCGHPLVMLPFVVDQGLIAQAMAERGVGVEVGRNHDDGSFHRDAFAAAVRRVMVEEEGKELARRAKELQAIVGDRARQELYLDELVGYLQRYK >OB07G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3552026:3555208:1 gene:OB07G14910 transcript:OB07G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDGRVGHEEEEEEDACVQSCAERSSAWGRSAPAEEKHTWMEGYVEQHGGRCLIASRPIPCCLPPLGSLLHGRRGRRRRSXXXXXXXXXXXPRVDGLPDGAESTTDVPKDKVGLLKAAFDGLAAPFAAFLADACAAGAEDDGEEAAGFGRKPDWIVVDFFHHWVPPIADEHKIPCANFLSFSASLLAFHAQKSRAANAADSEHPHTEAEHFMAPSPSASGHLPSDLAYRRHEAKFVAAAYQPDCTGISNAERMKLLLRRCPISFFRSCPEAEGPPCELLTEIYGRRFLPIGLLAPTVRSNGGGGGDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRASFRGEDVAAAVRRVMVGEEGKVFDRNAKQLRTAALDEERQGHYIDEIVEHLRGYKYGGGD >OB07G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3562072:3562362:-1 gene:OB07G14920 transcript:OB07G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIAKLKIQESTLHGKHQKSYTSDHSISQFSLYVELIEVQFSANFQFEVESFPSKSFEEISSPPQETFVCICTLLDLRRGLQFSYCGRADPPQYA >OB07G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3563031:3563438:-1 gene:OB07G14930 transcript:OB07G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAARQRERLHVVVFPWLAFGHLIPFLELAKRLAAPGHAPAPQLFHDVPHDKVELLRAAFDGLAAPFAAFLADACAAGAEDAAGFDRKADWIVVDFPHHWVPPIAHKYEVCKAFQKVFLKKHLLRIKYIKKY >OB07G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3574788:3577513:1 gene:OB07G14940 transcript:OB07G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGAADDASAAPPPPLHVVMFPWLAFGHLIPFLELAKRLAARGHAAVTFLSTPRNAFRLAPLPPELSSRIRVVPLPLPAIVSLPSLY >OB07G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3581132:3585980:-1 gene:OB07G14950 transcript:OB07G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATAVANGPVANHGNSRLPRSSYVPPHLRGHSSGAGVEAQAAPAVPAQAGTLPSAAAQPSGHPGVAGGPGWAGIVNGGGSGSVGGSRQGYGVGSGSRGGGGTGWNSRPGGWDRRDREPDPFANSDAADVDFEGENTGINFDAYEDIPVETSGHDVPPPVNTFAAIDLGDALNENIRRCKYVKPTPVQRYAIPISMAGRDLMACAQTGSGKTAAFCFPIISGIMKSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPINQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLEADKRSYLMDLLHAQRANGTHGKQALTLVFVETKRGADALENWLCNNCFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTSLARSLSELMQEANQEVPQWLERYAARSSYGGGGGGRNRRSGGGARFGGRDFRRDRGSSGGGYGGGGGGACGGGGYGGGGYGGGRNHRGGGGNGGGGGVGGGGGQRGGRGGGYGGGGGAYGGGGYGGGGYGGGSSYGGGGQGISSAWD >OB07G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3586422:3599832:1 gene:OB07G14960 transcript:OB07G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial 28S ribosomal protein S29-related [Source:Projected from Arabidopsis thaliana (AT1G16870) TAIR;Acc:AT1G16870] MPKQSQAAITQAGGRVYQPRAGLLRSAGDGEQEEKGKGKRPWEQAGDARRVVERGEAACWGAGLASPAEAAAVEKGVEEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAARARGGGXXXGAATNRGKVRAKDPRGVASDEGAAGDFEGGGAGADDLDVEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFASFSHRNANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAIAAKRKDAKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYNDLFDTPIQAAKILQDFLKYNETRLQQLPCQIFEPIPLGEGAGVGMMKGADTMEMPEGSTLYDLIQTGITQSHAAVGVVVHLRKELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMLRNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVDEAETVCHYYMRQKIIRRENFSEEKWKKIYYLSNGNGSEMRWLAAFI >OB07G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3590592:3593127:-1 gene:OB07G14970 transcript:OB07G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >OB07G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3605334:3625901:1 gene:OB07G14980 transcript:OB07G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT3G11964) TAIR;Acc:AT3G11964] MAPRGDGRKGKGPGKPDLRPHRKPFKKHRKEEAVDEGEGEQQQKHPAPDSAAVLAAAADDGDFPRGGRSLLSRDEMAEARAEGEADFERDERRGKRKRKGASSSAAGGDAGGDDDLGILFGGATTGKLPRFANRITLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVRDTASQETNKDSEGSICAEVVHVGQLVPCIVLRVDDDNKEGKVNRRVWLSLRLSRIYKGLSLDGIQDGMVLTAQVKSVEDHGYILHFGVSSFSGFMPKADKENVKIGSGQLIHCAVKAIDKTRAIVHLSSDEDLLSKSIIKDLKGLSVDNLIPGMMINARVHAVLGNGIMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQHLIRLKVPPISVKVGEIYDKAQVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVIGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGAELIFRVLGCKSKRVTVTFKKSLVKSKLDVLASYADAKIGLLTHGWISKIEKHGCFVKFYNGVQGFVSRSELALEPGTEAESVYHIGQVVKCRVVSVVPASRKINVSFVISTNRVIQADTSKVGSIVSGVVERLTPAAVIVSVNGFRKGSILNEHLADHRGQAAQLKNLLKPGYEFNELLVLDIEGQNLVLSAKNSLIKCASDIPSEISQMQAGSVVHGYVCNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRGHILNVNAESARVKLSLQQSMCSSPDCSFVQGYFLLDQKITELKHSGPSSSFHSWLKTFAIGNLVEGEVGAIEEYGVILNFKSHPDIVGLLEHHQLGDSSVEVGSSVKGLVIDLSDGVVNLSLKSELIRSVNNDGKKKKRHKAAVVDLELHEEVNAIVELVKESYAVLSIPEYNYAIGFAPLMDYNSQLLPCHNYENGQRITVVVGSMASSNPTGRLILLSKASGHNSGVRSSKRAKNKSDFKVGSLVEAEIIDIKPLELLLKFGFNLHGRIHITEVFDDDSTDCPFSKHRIGQTVQARIVAEAEHTGKSGKNSKWELSIRPSLLQGGLEDFAAPNAKLRHSIGDIVRAYVVKVDREWIWLTVSRDVMAHLFVLDSSAEPAELEKFQQCYSVGQAVEGRIIGVNREKRLLRLKVLDSQSELENIDEKQKPVSATVEHTKQGDIIGGRIQKVLPGVGGLVIQIGPHLHGRVHYTEIVDSWVPEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRSSMCENSNQSRLFNDSEICTSRFENIVNMCPGTEIKGYVKSVNSKGCFIMVSRIIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSAEPQSGKVEVSLRKNTGSKSQKSDDVSYSNLHVGDIIAGQVKRVESYGLFVTIQGSELVGLCHVSELSDEPVVDIHSCHKAGDIVKAKILKIDEKRHRVSLGMKKSYIGSDSTVDTTDDEDGEIVPMDISHNPAMSIDLNHALVLPETESRASVLPLQVSLDDSEASDQENDNEGQEISDRTEVDNKKSNKRLKEKARNQRELEISALEERTLQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADIDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKRVHLALLSMYERTEQYTLADELLDRMTKRFKASCKIWLRCIQLSLKQSKDVEYIKTIVKRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTDMIRALFERVTCLSLPPKKMKFLFKKYLEYEKSQGDEERIEHVKQKALEYVQSSLA >OB07G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3626422:3627042:1 gene:OB07G14990 transcript:OB07G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAPASLPEYVQFEGQLLPVEWLEGEVLDEFLLFLDEAAAAAEDEEEEPQEVVAAEDDSDDGDLVVGPMGDEDADVDLMDADFEVEDGDLMDEVDFADDGEDDGGFDLVADADFEDDGDFMDEGDQPEFDDDGQEKDGELTAADEEEPAQSVPHIAATPRASAEDERDEHGGVHLADDSSADDEEDSDSFEEQVEQELALLLGR >OB07G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3630695:3631643:1 gene:OB07G15000 transcript:OB07G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAPASLPEYVQFEGQLLPVEWLEGEVLDEFLLFLDEAAAAAEDEEEEPQEVEFEVEEEEDPQEVEFEVDEEEEDPQRQEVEFADEDDGDLVVGPMGDEDGDVELVDADFEDVDEDGDLMDEADFADDGEDDGGFNLVDAEFEDEGDFMDEGDQPQFDDDDQEKDGEASFIRCVV >OB07G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3633300:3645330:-1 gene:OB07G15010 transcript:OB07G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein [Source:Projected from Arabidopsis thaliana (AT3G11960) TAIR;Acc:AT3G11960] MESSTAATAAAVAASSAPXXXXXSASTAVAAVSTRYLAKRVLRGSAVLHVARGCFRSPDCVDVVLCKQTSLELVVVGEDGVLQSICEQTTFGIIKDIGVLNWRYTHSSLMPKIEGKEILVLLSDSGKLSLLYFCSEMHRFFAVANIELSKPGNLRHRLGRILAIDRESRFVAVTAYEDEFALVRVSVDHKQYAPNGENEEDAKITSTTYNTSSIHGTVWSMCFISTCLDEEYYPVVAMIVNRSSDVNDLALYGLDSHKRTIDLISSYSEAGPLALEISEIPQLYGFALLFRAGDVLLMDLRNPKDISCTHRISLTTSLIGDHITIEDSCRGLDGDDDVVACALLELRDSGNNMMKDDSYMDIDGVDNKAIVKSRIVCSWSWEPNATKGHPKLIFCLDDGEFHLLEFSLDMEGVKVLHECVHRGLSCKPLLWMDKGMVVGFVEMGDGMILQLEHNRLVHKSSIQNVAPILDLAIADHYGEKQDQMFACCGMCPEGSLRVIRNGVNVDKLLMTEPIYQGVTGLWTLRMKKTDAYHSFLVLSFVEETRVLSVGLSFNDISDAVGFQPDVCTLACGLVADGLLVQIHRTCVKLCLPTAYAHPEGTLLPTPVCADWYPDVTISVGAVGHNIVVVATSSPCCLYILGVRSLSSFQYELYEIQHVRLCYEVSCISIPQEDWKPDTVSSSCAMGGGFRKDLRANVHKFAVIGTHEPSVHVISLEPGEAFRQLAVGHISVNNALGTPVSGCIPENVRFVAAARFYILAGLRNGMLLRFESQTSKGQCFAGSFYQESFAPCDDTSLKLIAIRRIGITPVVLVPLHDRANADIIVLGDRPWLLHSARHSLAYSSISFLPASHVTPVSSADCPNGLLFVAENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSMSCSSDIIQIDPHNGVLLSRFKCEPGETAKCMQIAKIANDQVLIVGTSKSNGRPMMSNGEAESIKGRLIVLSLETIESPRESGSFVAASNLNSSHSGSPFPEFVGYAAEELSSNSMCSSPDEVCCNQIQPEHMAGHLRSLAQYAFNGAVLAVHPYLDRYVLAAAGNVLHVLGLLNENSLRIKRYTMCRTRFTITCLKTYASRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVTLLNCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKQWLPIDDLTEPMLESVYDCVVASTLLGSIFVMVPLTSEEHQLLQYVQERLSLHPLTAPLLGNDHAEFRHRGIPSGVPPILDGDMLVQFLELTSEQQNDVLNLVSPGKKRQSNISVFQVMRTLERVHYALN >OB07G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3650224:3651255:-1 gene:OB07G15020 transcript:OB07G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQIVADHFALHLPHFPTRGRRRPAISRPPANPLAAPADHTDQMVAAAASVYRRVLKAVRRHVGGGASRKHFREFVASEFRRPTGTEADAGARLRLAGDYAYLLTSVHSHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQP >OB07G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3654794:3655660:1 gene:OB07G15030 transcript:OB07G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:related to AP2 11 [Source:Projected from Arabidopsis thaliana (AT5G19790) TAIR;Acc:AT5G19790] MELQFQKPQGQGHAQQQCHYQMPTTTASRNKARSKCSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATHAAPDSPLASRIRTLLTHKKLKKSTPQPTIAFSTAVYQHASATPTTAANSTSTITTTTSGVSPSRSNSSSINFAMSGSGYLNGGAEDLQLASQQFDQSWALNTSLPLGNGCGIAGTNACSVALDQDKMKTEKQGSPSAHGMNGVQEQESFDMGNDNDPCDSLWDLPPICHLSCK >OB07G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3660038:3662026:1 gene:OB07G15040 transcript:OB07G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGVGMDAASLQDMWDWEVLPDHMSSSISSHGGGHGRTTLGDQETEGSSLPPSEEDAGAGADMAVDECKDIGVDVAADTKTGPEAEEPPMAAKAAQLPASDGAEEEEAFQSSDDATKVIDDDDAGGEEDKKGGRGRARPECVVFSVGKLRVNGIGALCSFGVAAATVCIFLVGGRLPHHHRQQQQKIQLQLYGDDKRMQQVVQQTSRLNQAMSSVMGGGGSTRANISFGGYYEGF >OB07G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3671238:3672141:1 gene:OB07G15050 transcript:OB07G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPIKKLWVRVHSERRNRRGMYILYKDVQSCQDEDVHVLWSILIDSHRHPALMKLKL >OB07G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3673122:3678598:-1 gene:OB07G15060 transcript:OB07G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSAAAGAGAPEPVVLVTNDDGIDAPGLRFLVDQLVAARRFRVLVCAPDTDRSGVSHSITWRPALRCKRVDIDGATAFAASGTPADCASLGISGKLFNGLVPDLVVSGINVGNNCGYHVVYSGTVGGAREAFLYGIPALAMSYDWVAGQSSANDLKMAAEVVIPLINTVMVEIKNGTYPRGSFLNIDIPTDAAHHKGYKITKQGKYMARIGWEQTVYKKPAVESYQTANMDIDSEKDSEQDTSSENDLLFKRVLVRRSYDEEEGDDMDHKSLVDGYITVTPLGALSRAEADVVPYYKACLSRLADNSSSSL >OB07G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3687440:3692651:1 gene:OB07G15070 transcript:OB07G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeta-carotene desaturase [Source:Projected from Arabidopsis thaliana (AT3G04870) TAIR;Acc:AT3G04870] MGVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADENLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTSQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGSRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDRSPDGDTYVKGLLISKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSRGLELTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLVVDDSEKALGKVEALQTS >OB07G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3693062:3695105:1 gene:OB07G15080 transcript:OB07G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT3G04890) TAIR;Acc:AT3G04890] MAPSLTAKRLTVAHFSCGKFFFPALAQRSPRLPAVRCSSGSPDGXXXARPPRVRAAVSAVTELLRALSPNNQRYSPSSSSCDVHNSKGAAGDTELDPPSSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEFKVTRHAESWDVSALEAIGQIFVPAPKQTDGS >OB07G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3698920:3701575:1 gene:OB07G15090 transcript:OB07G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:UniProtKB/TrEMBL;Acc:J3MJC7] MLSSLSAWLVNPRRNPLARLHMNAVASRLRKYGLRYDDLYDPYHDLDIKEALGRLPREVVDARNQRLKRAMDLSMKHQYLPADVQAIQTPFRGYLSDMLALVKKEAAEREALGALPLYQRTIP >OB07G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3703123:3712665:1 gene:OB07G15100 transcript:OB07G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLAELALHEERNRLSTASSSSFSEAALSRSENDPARYYDGYSKLRTWAYSSLDQYKHELLRILYPLFIHCFMDLVAEGHTQEAHSFFHTFREDHEHSRDLKKLEGILSPSHLEEMEVARSLRENKFRIKLCGSSYDLLLQYLHKTQALVLLGIINERITFEVSSGKSPLIADDSDAAVLIGTSKDLRKQINQKEVHWGMLEDSLEERMEKAISDSDKTETESKDADTEDNKKRSLEGGKQAGLHKKIKKDKLVGAAGKNMKSETSMVSVAPRVKPELTLPVTSVEVEQSILEDLRNRAHLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFADSSVKVWDMSKIGQPPKTSCSQGENGHSQGERVSTSDEGRKSYTLFQGHSGQVYSATFSPIGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCKYIATGSSDRTVRLWDVQTGECIRMFIGHRSMVLSLAMSPNGRYMASGDEDGTIMVWDISSGRYISPPVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVSSSTKALKMDDTKGGSANRLRMLKVLPTKSTPVYTLQFSRRNLLFAAGALSRGS >OB07G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3715661:3715822:-1 gene:OB07G15110 transcript:OB07G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLLVFFFFSPMHQSSHEAMSPLSHKKKEGVRKKKGDDMIQLHPHHHHMGCSH >OB07G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3728063:3730215:1 gene:OB07G15120 transcript:OB07G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVYHPEGLYDDDKRSVASDNAGEKPMLQLWHQKGRCPEGTVPIRRTKKDDLLRASSLRQYGRKRHTAVNPMSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNIAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLANSASMIEWGGEVVNSEPDGAHTSTQMGSGQFPEEGFGKASYFKNIQVVDSSNQLKAPRGVGTYTEQSSCYDVQNGNNGDWGTYFYYGGPGKNSNCP >OB07G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3731700:3732303:1 gene:OB07G15130 transcript:OB07G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTCQGFLLVLLLCSAVPALTRADVTDCIHNPVDPHPADKIFCCVKDNECWMSIQECLANCPCGKNCS >OB07G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3732280:3750284:-1 gene:OB07G15140 transcript:OB07G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G05350) TAIR;Acc:AT3G05350] MAIEAARLSPSLTAAFLGPPLRRALPLQLPRLRXXXXXXXXXGDDGPRAVALPSSELRKRRGASSSSSSAAAGSGGDERLRSLRGLFARPDIAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHDWTLMRSGNHGVPTTSEWLKEVLPSGCRVGIDPFLFSFDAAEELKDAIFEKNHELVLIRELNLVDEIWGEARPEPPKQKTRVHGIKYAGIDVPSKLSSVRSQLADDGCDAVVISLLDEVAWLLNMRGSDVPNSPVFYSYLIVEDKTATLFVDNNKVSEDVLEHLEKAGVKLKPYEAILSDVERLAQSGKKLWLDSSSINAAIVNVFRASCDRHVNKRGKAGRQSGKESPSGEPGSGSSGVQNGNLQALYKVSPVTLAKAVKNEAEIEGMKSSHIRDAAALAEFWCWLEGEVRESVLHTEVQVSEKLLEFRQKQDGFIDTSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSPRQKECFTKVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKEVNLPNSFGGVSYLGFEKLTFVPIQSKLIDLSLLSPSEINWINEYHEEVWEKVSPLLSGDSLDWLRKNTRPL >OB07G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3758663:3759227:-1 gene:OB07G15150 transcript:OB07G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTWHELVVGLEEEPTLSLAVVEPESDPPSDFVFHISPAGVVEPIPGGGFAPANSDVDWDRLEILARNDDEGRLDIVGDDQFYELLGLRAEDEAEFARQAGAHGVRLDVASATVGEGAASADGCDVTRATIPVHDEVPGERVMARGR >OB07G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3760726:3767649:-1 gene:OB07G15160 transcript:OB07G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKTILAEIFPKTQDEEPNERRIGKLCEYCSRNPLRVPKITVFLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEVGEDEKTITLRAAALQAISAMIWFMGELSHISSEFDNVVQVVLDTYRPQKMQNDDQITKDSSNQLEQEAQKTEDSSPFVISAVPLWESIVNVKGGVNLPEEDAKDPKFWARISVHNMARLSREATTFRRILESLFRYFGNNSSWSPENGLALCVLLDMQLLVENSGQNMHLMLSLLIKHIEHKAMVKQPEMQLSIVEVAATLAEQSIAQASAATISAISDLVRHMKRTFHITLGSKDQELVKWNEKFRKAIDECLGQLAKKVTDAGPVLDMMAVMLENIASTPIVARSTAAAVYRTAQIIASVPNITYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPSIQASVSSQAKKHDMQRTLSRAVSVFSSSAAIFDKLKKDKYSDNSQGEIKDSGGLHSINEDTSNPKCHNLPVSQSRRRSMKIPNFSMKRGPSMAMRAPSVAIRAPSISLRAPSMSLRAPSMSLRAPSMSLRAGQGSSSHEDEMESVLLKLSSRQITLLLSSIWAQAISPENTPANYEAIAHTYSLVLLFSGSKASVFEALTQSFQVAFSLRGYSLAEADSLAPSRRRSLFTLATAMIIFSSRTFNVSPLIPICKQMLNERTADPFLHLVDESKLQAVKDSLDDPSKIYGSPEDNSNALKSLSEIELSESQSRECIVSTIMNNITNMLDAELHNVKNQLLSDFSPDDMCPMSTQFFEAPGENSLSGSHENGHHSEAALIDLGNDHDIFGEASESTIASATAVPVTDLLSIDELLETVVTDPTPHTERVSVSTDMPFREMTSQCEALTVGKHQKMATFMSFQQIMPVVPTPANQPNQMEFAIFPDPYPPQVGVPNTNPFVDDNMYGYPQHVSGANPQHMNGDNPQPAYDQSQQQFLRLPASSPYDNFLRAAGC >OB07G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3785024:3786686:-1 gene:OB07G15170 transcript:OB07G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLIFCASLLAQFIFFLDSADAEIGSNNVFSIQSYRAHGDGRHDDTEALGDAWSAACSSAKPAVVLIPKGKKYLTKHTTLTGPCKSSIVFMVKGSLVASPERSDWSKETIRHWILISDVTALSVTGGGTIDGNSKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLRVENLKVVNSQQIQISVENCTDVKMSRVSIIAPETSPNTNGIHITCSRDVQVTDCMSIDDETENLHVKNMVCGPGHGISIGSLGDHNSEAHVSNVTINNVRLYGTTNGAHIKTWQGGKGSAKNIVFQNMVMDNVWNPIIVDQNYCDSSTPWLESLTL >OB07G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3799962:3801597:-1 gene:OB07G15180 transcript:OB07G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLIFSASLIALFLFSLTGVNANAGSNVFSMQSYGARGDGLHDDTKALRDAWAAACSSAKPAVLLIPKGKKYLNRHTILSGPCKSNIVLMSNLMASPKRSDWSKETIRHWILISGVTSLTITGGGTIDGNGKIWWQNSCKTNSNLPCTEAPMALTLHACKNLKVEDLKVVNSQQIQISVEDCTDVKMSPVSITAPETSPNTDDIHITRSRDVQVMDCTIKTGDDCMSIQDRTENLHVNNMVCGPRHGISIGSLGDHNSEAHVSNVTVDNGRLYGTTNGARIKTWQGGKGSAKNIVFQNMKSAVEVSDVLFKNIRGTSASEEAIMLHCSSSVPCHGITLEYVKLTVKGGSNDVRSTCQNAEWKKSGSVSPQPCSFKN >OB07G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3804566:3806011:1 gene:OB07G15190 transcript:OB07G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARVNDALAISVLFRRMKLLLRQANKMVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OB07G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3812795:3814444:1 gene:OB07G15200 transcript:OB07G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLILFASLLAPFLFFLPGVDADTSSNVFSVQSYGAHGDGQHDDTKALGDTWSAACSSAKPAVVLIPKGKKYLTKHTTLFGPCKSSIMFMVKGTLVASPERSDWSKETIRHWILISGVTALTVTGGGTIDGNGMIWWQNSCKTNSKLPCTEAPTALTFLSCKNLKVENLKVVDSQQIQISVEDCTDVKVSRVSITAPETSPNTDGIHITRSRDVQVMDCTIKTGDDCMSIEDGTDNLYVKNIVCGPGHGISIGSLGDHNSEAHVNNVTIDTVRLYGTTNGARIKTWQGGKGSAKNIVFQNMIMNNVRNPIIIDQNYCDSSTPCKQQKSAVEVSNVLFKNIQGTSASEETIMLHCSSSVPCHGVTLENIKLTLKAGSSDAKSTCQNAEWKKFGSVSPQPCGFKN >OB07G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3818031:3819605:1 gene:OB07G15210 transcript:OB07G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNMLLLSGYGVMSFFLYSVCAKVNMNGSSLTNGVDSLRGRSLQSKKIEGTLLAPPRKSDWSNETIRHWIIFNGVRGLTVTSGGAINGNGKDLDGTENLHIKNIVCGPGHTVSIGSLVDHNSEAHVNTITVDNVRLHDTTNGARIETWQGGRGYVKNIVFQNMIMENVWNPIIIDQNYCDSATPCKKQASAVQVSNVAFKNIRGTSASKEDAIKLDCSRNRPCHGIALKDVKLTIKGGGGDAKSIC >OB07G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3849755:3850135:1 gene:OB07G15220 transcript:OB07G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVKRISAAATTSASNGSPPPPPPPPQIRHRRRRPPPRSTSPMAASYRSATATASPPTKHLVAVAPPTEHLATAAAYGSADAVSSHEATCRRRSNSRSPPPPMKQLAAADGSDQKGMAENPISLP >OB07G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3851138:3856548:-1 gene:OB07G15230 transcript:OB07G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:J3MJE1] MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPPLLNCVGIYAGSPAIRGEEGEDTDADDVSDYNYPASSSADQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSVPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >OB07G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3864536:3865411:1 gene:OB07G15240 transcript:OB07G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDQLVLHRPAPSAPRAEPDDVDDVEVEVEAEADDGHVAAATGFRDIHPEPPPPLRQASWGAASHLSLSSSGAGDVEQFATMNREFTAMVAAGAGASNPDAPGGDAAEMLQLARIGENEPAPSPTAAETTTANTLAVPAPVERVKKEEVEAKMAAWQGEGGGKINNKFKHEEVVINGWESQQIHRATSWLAKIERKLEEERAKATEKARNEAAAARRKAQERRAEAEARRGTKTAKVLDRANFCKAAGRLPSKRSFFSF >OB07G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3879887:3883705:1 gene:OB07G15250 transcript:OB07G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFRFKLWWMTQRMGSSGRDVPLETQFMIIEVPASAGDNDDGGGGEPGDVVGEPVYVVMLPLLEGQFRAALQGNEHDELQICIESGDKAVQTEQGVNMVYVHAGTNPFDTITQAVKAVEKHVQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLAKGGAPPRFLIVDDGWQQIGTEDAPGDEAVAVQEGAQFASRLTGMKENVKFQNKNGGQEETPGLKLLVDEVKGEHGVRQVYVWHAMAGYWGGVAPAMERHEAALAYPVQSPGVTGNQPDIVMDSLSVLGLGLVHPRRAFAFYDELHAYLASCGVDGVKVDVQNITEPLGAGHGGRVALTRAYHRALEASVARSFPDNGCISCMCHNSDMLYSARQTAVVRASDDFYPHDPASHTVHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGASLLKIWNLNKCGGVVGVFNCQGAGWCRVAKKTRVHDAAPGTLTGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGDKELVAVDLPAPEQELYRWTLEIHV >OB07G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3883653:3889522:-1 gene:OB07G15260 transcript:OB07G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G66680) TAIR;Acc:AT5G66680] MAAPRHLAAVLVVLVLFPAALLASADEGGPRGRRVLVLVDDLAVRSSHSAFFASLQGRGLDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDLILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHMVNPSNSLVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLVSGSIDLFSNRFLKSGVQKAGSKIRHEKSGNEQFVTETSKWVFHERGHLKAVNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKTLSTDKKGVYSASFKVPDVYGVFQFKVEYQRLGYTGLSLSKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >OB07G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3894452:3899563:-1 gene:OB07G15270 transcript:OB07G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHRARSAPSSPLTPSSTMRAKKIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGVLALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRSVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNNYWGLPGWRVAFLMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSPEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKHDRENAKLSSFKNQELV >OB07G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3902744:3916084:1 gene:OB07G15280 transcript:OB07G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPGAAAAYYAHASMAAGVPYPTAEAVAAAAAAAAAAAGAVPEGKGKGKGAGTSPEKGSSAAPSGDDASRSGDSGSEESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQVALMPFAAVESPYQLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSASPALAVPAGQGEASPGLALARRDGAGQLDERELKRERRKQSNRESARRSRLRKQQECEELARKVAELTTENNALRTELDQLKKACEDMEAENTRLIGDKAQYQGPTVTTTLGMSIDSSKTQHHDNEGQLHKNTNNNSNGNYVGSSHKPEANSR >OB07G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3918944:3919940:1 gene:OB07G15290 transcript:OB07G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTSSDDIHGCSEEAAISHHQKCSQEELLEDIPEDGHGCRYINIWIQRADLQTLKLFRKELVAMVDQSIHEISSKNGQAQASDPDMHRLLRLAGMVTMLPTSPDLLPAMLRLFMTLKCVRNILHRLCSLPQNGSCQMVASYVMNHVRVVREHDAVLNIVLAQDTGQSDEEWRRVDSFVHYLNAFRTGAASQAGDEVCAVLQQNWIAKHSDQVERLMAARLFLLGCSYIDTVQCYQAYLQKKSAKLQKHARYTPQEIENMLMKLFEG >OB07G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3932578:3939707:1 gene:OB07G15300 transcript:OB07G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANFKRIMQLIDDNLGLSKIFGFSTSEPGVFVVEFTLCMLWQLVDAALDDEGLLELIPDKKSHWPTRLDDMSSFEGTFSEQRIDKTDKLQKMNNVITIELIGHLLHDKVITHILSLARENMQSQWSAFANRLQLIITKSSTLRTSTIALKSFQQLHLDVYNIFRGNKHWLHRKLHPIVASNPLSSPNGRCLGASYSALWIPIDMYLEDCLDGSIAATNSIEILSGLVKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRLCMLLSITTLAIADIIKEEDSKLNNNWKEKKESDDLRKELMLSLQTLGDYESLLVPPPCIISAANQAASKAAMFVSGTNINSGYMENATDRTTHCSGNMWHLIVESCISRNLLETSVYYWPGYINSHVNSISHALPSQLAAWSSFIKGAPLTQSLVNVLVATPASSLAEVEKLFEVAVNGSDEDKVFAATILCGATLLRGWNFQEHTVRLVVKLLSSYDPVDLSGGESQLVKQGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGCLPPSVSWPLRTGEEISAHTVFSNSFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVLSARSSIKNRNNQKQLPVTSNPSSEHPIFMDSFPKLKVWYRQHQACLASTLSGFAQGAPLHKNVDSLLNLMFRKTNKGSTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAVNLSMVEEHIKKIVAATGVDVPRLVTGGSTSGTLPLPLAAFVSLTITYKLDNASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWTDFLVFSASRTVFHHNNDAVVQLLRSCFTATLDVSSSATSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILSLLMLSVKDIAETTVPRHRLKKASRHVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLLQEMLPSWFLSVQGAGRRRGGXXXXXXXYFAVYSGMFAWGIDPASVSRRRGRVMWSHLEFLAGALDGKISLGCDLSLWRAYVSGFLGLVGEGTPCWVRXXXXXXXXGLAAGLRRWKEDELAVALLRRAGPEAMGAAAEMIL >OB07G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3945244:3945572:1 gene:OB07G15310 transcript:OB07G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDARASCGAAARSRRAAAGGPPGPPPPPPPAIASLAILFLVVFACRRWWRLRRSRLLRSRRAVEAGGGGGPARPPPPPPPPPARQKQEQLSLSLSLSLSLCSAVA >OB07G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3946538:3954017:1 gene:OB07G15320 transcript:OB07G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEVLRKSKKMEQTPNEDLNRPLLENLDDHSSQSNNFPGNVVGESSKVQTNRSNTSPRSHGISDSGRVYPAESCTTQGETHVIDVSNDTSEEFHLGSTLKCAKQTNWSRPDKKHIRSGSREDNQNGSISVKDNIYGSNLDVEVIAGPSHGISCSRQSTSPTVPITLGRVPPSDLVLKDSEVSGKHARINWNAKTLKWEIVDMGSLNGTFLNSRAVHHPNAGSRHWGEPAELADGDIITLGTSSKLSVRISLLNQRVPAGIGMASDPMVGRRSGKKLVMEDISFCQCPLQGLEQFGLFGIFDGHGGDGAAIAVSKILPENLATLLSHRETKEKVLSYSDASDVLRYAFSMTEATIDHEYEGCTATVLLIWFDQNKDCFAQCANLGDSACVMSVNGNMIEMTEDHRVASVTERARIARTGQALKAGEVRLNGLNLARMFGDKFLKEQDSRFSSEPYVSQAVHITKGCTACAVIASDGLWDVISTKRAVQLVVEGRERNSGDSASADKVANRILSEARNLRTKDNTSVIFVDFDILRTDHCIAK >OB07G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3957312:3964222:1 gene:OB07G15330 transcript:OB07G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09820) TAIR;Acc:AT4G09820] MAAGDAQAALQAVARSLRWTYSLLWHPCPHQGSSLVWAEGHYNGAVKTRKTVQPAAAAEEEEDADHAARHRSRQLRELYDWLAGEAASSSDCAGAGGGGGSGQAAASRRPSAALSPEDLTETEWFFLMSASYSFPPAIGLPGRAFARRGHVWLTGANEVDSKVFLRAILAKTVVCIPVVDGVLEIGTTEKVEEDIGLVQYARSIFMEQHGIHMKPTLSEHSTSNPVTHIHQHPVQVQMQTGISQTNLDSDGLNPEEENDETEEEGMSGSDTNTDTARDNPGLQQEPLNMVSYDQTIPNNAASSELMQCEMSELVRDGCSNNLDEEIQMLMDYQNSNGQFSLQGPDDPCHSWHFICEELQNNCQPASEDQVSSPENCHYPKTLLTILQHNAQRQSELKTSSYLPVSEQSSFSRWSPEGIADNHVMISRQGATQRMLKCILMMIVPGSHYSYSREAQTPESRGGKGAGGSRKAGAIQGDFSASHVLKERKRREKLNEKFLILRSLVPFMTKMDKTSILGDTIEYVKQLKNRIQDLESS >OB07G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3975055:3975986:1 gene:OB07G15340 transcript:OB07G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPPPLVSVNRNMGAKHKAVVVMGATGTGKTRLAVDLALRFGGEVINSDKMQVYRGLDVATNKVTAEECAGVPHHLIGVAHPDEEFTAEDFRREASRAAGARAKIQRLDRLWRVRRVDATEALRRRGVASDEAWERHVAAPSIDAVRSFLHGGDFAAAETTAGAPRPCSLSPRPAPASKALPLLAQNDSQKPAKMEELNK >OB07G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:3994097:4000031:-1 gene:OB07G15350 transcript:OB07G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19050) TAIR;Acc:AT5G19050] MVAFRTGDFNHQVIFIGGLTDGFLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISFLINKENSEGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMLSEGHGMDLMPREANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKGALVDRICRALGGAEKVEIEWGNHALSNRAQEAVRAIVDFVKREGPKGWDDPWS >OB07G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4004892:4008087:-1 gene:OB07G15360 transcript:OB07G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVRNELDEDEWETHATTLDKLHAWEKKLYHEVKDFEVIKREYQQKLAVLNKKKQRGVSSSSLEKTKSVVSHLHTKYVVDMQTMESTIAEINRLRDHQLYPKLLELVKGMGVMWDTMYIHHKTQLRIISGLKSLDISVAARETSDQHHDRTVQLYNVVQDWHAQFDKFMTYQKQYVGSLYSWIKLNVIPIDTNLKPNSSQPHETTPPIKRLLHAWHDILGKLPDEAAKKAIYTFAEIVKTILVHQEDELKLRLKIEDTRREHGRKRRQFDDWAQKYMHKTAGILPEDGNPDGLRADPMVERKAAMERMELSMKELEETYVKQCRVVREKSLNLLRTSLPELFTVVSDFSLQSAGMFKGLWSIAHTNDQLDE >OB07G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4018723:4020341:1 gene:OB07G15370 transcript:OB07G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGNNKDCEEWFFDRIVRKRPVLIPGSGMQLTNITHVRDLSSMLALAVESPGAAAGRIFNCVSDRAVTLDGLAKMCAAAAGAAADIVHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWTSTTRLPEDLKERFAEYAGSGRGEKEMSFDLDDKILAAVGAAAPASVAA >OB07G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4021796:4025469:-1 gene:OB07G15380 transcript:OB07G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCIPFRYKDNNDVTSEAGHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKTHQDKFCPEGMCRAAVFALQVKEELASWPEQSTRKRSWLTLSEAIERSRYPWVREALATGFSIWHENWSNGGDPSSR >OB07G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4035471:4044311:-1 gene:OB07G15390 transcript:OB07G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41720) TAIR;Acc:AT2G41720] MKNQDNYCARNDIYAMMIRLYARHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMDDMLRAAIPPSRATYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGSQYSKAISYFEIMKGANITPDTFTLNIMIHCLVKIGQCGEAIELFNSMREQKTKCPPDVVTYTSIMHSYCVYGQVENCKAVFDLMVAEGVKPNAVTYNALLGAYASRGMHKEALETFNLLKQNGLLPDIVSYTSLLNAYGRSAQPEKAREVFNKMRKNSCKPNKVSYNALIDAYGSAGMLKQAIGLLHEMEKDGIQPDAVSISTLLAACGRCRQITRIETILEAAMSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTLMRVRNVKPDAVTYNILISGSCKLGKHEESLRFFEDMVNSKVPLTKEVYSSLIYSYVKQGKLSEAESTFDSMKKSGCFPDVLTYTTMMQAYNASGSWERAWDLFKEMEGNGIPPDAIICSSLMEAFNKGGEPERVLQLMELMKEKCIPLNQKSYFEIIASCTMMRDWKTASEMIENLDSSLSSISVGTLNHILNFLGKCGKTENMMKLFYKMVTSCSTLGLSTYTIILRNLLVVGKWRKYIEVLQWMEDAGVHPTLYMYQDVLPYIWRENGMDFTALMEEKISSLREKT >OB07G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4045372:4045626:1 gene:OB07G15400 transcript:OB07G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCKTKIDMLLSWLVKLTSSNKKKDFQTLLLKKTNCLSPLRWQKSLHRSIFENSVRGNLVCALYSVCLDVFWSGFYPLYRTHF >OB07G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4046486:4048630:1 gene:OB07G15410 transcript:OB07G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLASLLLEEARRLQAEADREGVQAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELQLESKMKRRTKDLGDTRGEKRKSDLRNQSSSPRVEEEGIAYNSSYSDQEDGLGDDDIEKFLNSRVKRGRGAIGSRMDEPGPYLNATSRGQDSGPSADIRLEEKWERRVQCPEKPQFLRSRSPDDYWHREKLDGEPSSPEVHKKKEKKKEKNSEKKDKKEKRKKKDKKKSKHRHHHHHKSRQRE >OB07G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4057368:4057883:-1 gene:OB07G15420 transcript:OB07G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKAVFLALLLAALSATATMAHHHDEGHVVYSPGEHCRPGEGFPEHPLPRCRALAKQQCLGRAAGSSPPWTTGGAGARRCGTCCGACTGSWASPTSGTPRPRCSRAAGGRTSSARRRAPRRSAAWTSPTAPAASATGWAILGINLALHTIYSICIVFSFCRETHIYIW >OB07G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4081676:4082263:-1 gene:OB07G15430 transcript:OB07G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDQQELQHCMIEREIMASKNLLHLSAAAVLLLSVIAAAAAAASYCQPGMAIPHDPLRGCRQYVRRRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGALEGRLLEDVPGCPRETQRELAAMLTTPGECNLETVHGGPYCLSLTDREMPKY >OB07G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4094410:4094568:-1 gene:OB07G15440 transcript:OB07G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDVHSHSAGLVRSAAMVLGFDLIDSYAACNIVSLCLLRFVLVWCALFQTCIF >OB07G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4094606:4096957:-1 gene:OB07G15450 transcript:OB07G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVERLIRGRFTLFSMLRFLLRSTFLLTLPLLSSMSRGGGDGDPEKTKRILFVILWLLLIELIRKKVTGMVRSVADSGGFSRAAGRFRPMGHSDEVTRLLWIGYLIFSNTDYAAKEKGGTNTVVIAMFAVLWCLVLAKLVQRVFNEWKAPPPPPPAGNTHLIACYMQHVVDEEEDKFKEPPPPRTGAGTGDAALARCKYVVMGEEKLVLLDTKQVVAARKKKKDRDGGKVVTITTRGCGYGVGRFPDHQSEQKHAHLLVDLSKSGEVITVDQISTKISVPHWCCCFTGRSFTEHLHLLCFSFSLFKLLRRRLEHYPMVEAGSRMSRRLMLDGLLAGGSKKTFQVIRQELDFVDHYYDTGSPVVMSAPWLFLVNYFFSLFFVCMYVVAVVIVILDKDLRGGGGGGVALYVAIAWLLVVTLLTIEFTELLTSYLLSNWFMVHLLCLLASDGGRLWKWLCRPAIRCFIAGRFLLFYSFKCMLRLSCRGVDVETIRLKQVSILRVCEPIHKLLSWSPLVKLPTQGGEDIVNVLKKALSDSLKSNDNDDGAGAGAVVTMPKLRGQLVLEGGSDTCTQAILACHLATELMELKHVVMVEKKTETTTKTKKLSWCERRKQKREQEPHRGVVTALSRYCMYLVARSPELLPDNERWVSDRYADMKGFLQETSRRCCCCPCRLWKCGCWRTVLMDMDADDVSDPAAKAGVKLFKKLDVEASAWKDLAGFWVKMVVYIAPSNDVEGHPTALADSGGDLITYLWAICTHTGIIRKPLDKAPPELHAGGDQV >OB07G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4108888:4109307:-1 gene:OB07G15460 transcript:OB07G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKICLNKFNKNGKIRGKIFYFSFSILFFLSFSFLFSFLFLLFLPFSFFFPLPAKQTTGPPLFSISFTRQPISSLFPFGLLPLFPALAEQRPNPRSKSTPPSPLSPVAAGRIHAAVRAAQPRATTALTPSKSPPSAAATP >OB07G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4110031:4114132:1 gene:OB07G15470 transcript:OB07G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPITRLISHVILDLDGTLLNTDCVVSQLLKPFLVKNGKKWDSKKAHKLVGKTPYESAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPTALASNSPKSNIEAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLKAAKRMNTNPPNCLVIEDSLPGVMAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSEILLEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHNFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARIAEKALDLPVYAKYKDSQYLRNSLKDDSSATGNQSVVDSK >OB07G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4115718:4115891:-1 gene:OB07G15480 transcript:OB07G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILNDPFLGKRIEEGNFITVPLRDEFLENARMVIFKKIYSSPTKSILRYQIISYHWI >OB07G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4117761:4122122:-1 gene:OB07G15490 transcript:OB07G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:J3MJG7] MAEEQELREAMARHDLTARMAGHMDCHLVFPLLEFLQERELYANKEILDAKLRLLRGTNMVDYAMDIHKSLHDTDDVPDDMVRRRTEVVSRLRALDEATAPIVSFLQNPQLVQELRPDKQYNLHMLQERFQIGPEQIETLYQYAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGNFITVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTFMLAKNIVEPAQAAQQATR >OB07G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4133695:4138936:-1 gene:OB07G15500 transcript:OB07G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNRLSGKRNILIHAWRVDGGRWELLSQRGVGSSHGGPDYQNIGCLVASIGMAPSSFVMPEEGLAAIGYGDLTVIPVGANMVPQQQQIHVGGCNDNRGLHKGGWTREDDEVLRKLVGHHGVHKWAEIAKSLPGQIEKQCRERWRNHLQPDIKKDIWTEDEDNILIKARKSYGNCWSVLAKFLHGRPENAIKNHWNATRRRLSSKRRLRRKNGGARGGPSPLEEYIRSCIRDERAQMAARSRTAPPPPPXSGVVGSGTGWLVGASATATPPAAVQVPDSSAARGMVTFLDLLNGDSPRSQPETMNLLHVPMPAEAPEPQLTSSGCCLQLDAGGNLYYGRLPPAPAQPQEIAALELQAQCLSLHHQPPASFAWSHAADSGAESNQASGYYGDAGAGPSGRRRRRHG >OB07G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4031412:4151267:-1 gene:OB07G15510 transcript:OB07G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKARDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >OB07G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4162894:4168452:1 gene:OB07G15520 transcript:OB07G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRRAAAGGARLKNVSHLLPVSSGFKDGNLCGSWSGVELPFGLDTVFPSIRRLCSEPAERPFSSRNGGDILGQDESDLPDGNLETDGEADQQNGRTDEFKLSETKTKNSGPQFLAVDHSCGTDLQDKTYKPFLYKVVFDAPSNNFSHVLDKWIEDGNRLERNEVMMVLFHLRKQHLYRKALQFVEWMERGKLLNYEERDYACHLDLVARTDGIENAQKYIKRVPLPFRNEVLYETLLVNCVRVGDIQKAEEVFKEIKDLRLRLTLNICNQMILLYKRIASGKVADILMLMEKGKIEPSAFTYKLLIDLKGRSNDLAGIELVLNEMKACGIEPSTSTQSMVAMFYMRGGLIEKAEAVVKKMEVQLLESTSRRHAIRSLLHLYAALNKPDDVARIWKFCTEPNLEDFLAAIKAWAELGHIEQAEETFEEMANLPTNISSKYYIAMLNVYAHNKLLAKGKQFVERMCRDGCPAGPLTWDALINLYVNSGEVEKADSFLLKVAEENPDRRPLFTSYVFLMKGYAKRGDIHNTEKIFDRLKKGGYPAKSLHYGVLLEAYVNAKAPAHGFLDRMRGDNVRPSIKLRTQLRSLGTSQKGRIAELD >OB07G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4169576:4170083:1 gene:OB07G15530 transcript:OB07G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRRIRLSPSPAAAAGVGASQPHALARWLARPMSSHDAHLTRDEVVDRLVDVLKSHPKVDPAKVRDRHPSSHAAESAATSEPPNTRRRGWCVAGEPRGALREGSGAGQPGHGGGGDGDRGGVQARDPRPGGRQDRLAPARHRVRRQPPHGRLTAPPPR >OB07G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4175002:4178473:1 gene:OB07G15540 transcript:OB07G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAHAAVRGGVSVLEVVMSTPGALEVICDLRRSYPSLTFGIGTVLNPEDARKAIRAGAQFLMSPGTVMEILHDLEEREVLYIPGVLTPTEVISASSAGAEVVKVYPVSVMGGEAYMRALKKPFPSLPMVASQGISIDSINGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLSILQASQSRMTKI >OB07G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4181448:4184517:1 gene:OB07G15550 transcript:OB07G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OB07G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4196167:4200767:1 gene:OB07G15560 transcript:OB07G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACCCCCPAAAGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVFSLKLALWMQIIGFSVRFLSSFIWIQMYRLGVSSSTPTYHEVNYDGRNSFLSPRSNSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCNHQGDKQSGSNDSGSTSAGQSPRLKSFASRSLMANDVEAGLRRPLNS >OB07G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4211839:4214436:1 gene:OB07G15570 transcript:OB07G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCVTPFGPYAGGTEQAMPASIPPMFASPAAPTEPNQRQPYMVHLNGAARANGTANNTVVIPDLQTSGAATAESGKRKRGRPRRVQDSSVPSAHLIPPVSSAPGGNFTAVQAPSSATTDAPGKKKRGRPRRVDVPILSTPSAPQVHSTPVLQTPPASAVNQSGTRKRGRPRRVQDNADTSAPPIQSKYSEPVLQTPSVVTSSENGKRKRGRPKRVPDSSLISSSHSGFSIDDDSGDITTGKRGRPRKIDVNLLNLPSLFSDDPRESADNVLMMFDALRRRLMQLDEVKEGAKQQHNLKAGSIMMSAELRTNKNKRIGEVSGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVKDLTCPTGKIYIYDGLYKIREAWVEKGKSGFNVYNHKLIREPGQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCTLKGA >OB07G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4219263:4219835:1 gene:OB07G15580 transcript:OB07G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHNILVPLLSSLVMLVLGPLIIDVISVSKKIGKFLSIALRFLAHDGSVIDSMIMDDDDPPSSRRLLGEGRMTCHDATVVTMRLGLRWRMSGEAAMECQGCDNLMGAAMDELLDRKTASESELKEAFYVFDRNEDGFICAAELWSVMRRLGFKEGRRYEDCMRMIHTFDEDKDGRISYLEFRRMMEGVV >OB07G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4236263:4237825:1 gene:OB07G15590 transcript:OB07G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCVTPFGPYAGGTEQAMPASIPPMFASPAAPTEPNQRQPYMVHLNGAARANGTANNTVVIPDLQTSGAATAESGKRKRGRPRRVQDSSVPSAHLIPPVSSAPGGNFTAVQAPSSATTDAPGKKKRGRPRRVDVPILSTPSAPQVHSTPVLQTPPASAVNQSGTRKRGRPRRVQDNADTSAPPIQSKYSEPVLQTPSVVTSSENGKRKRGRPKRVPDSSLISSSHSGFSIDDDSGDITTGKRGRPRKIDVNLLNLPSLFSDDPRESADNVLMMFDALRRRLMQLDEVKEGAKQQHNLKAGSIMMSAELRTNKNKRIGEVSGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVKDLTCPTGKIYIYDGLYKIREAWVEKGKSGFNVYNHKLIREPGQPQVRLLTKTV >OB07G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4241600:4241836:-1 gene:OB07G15600 transcript:OB07G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASRHIILPSPSNHDEGGGSSSSTVIESITLLSCAKNLEAVVKNFPIFLLTEITSMIKGPKTNITRDDSSGTKML >OB07G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4241876:4243268:1 gene:OB07G15610 transcript:OB07G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMDELLDRKTASEGELKEAFCVFDGNEDGFVCAVELWSVMRRLGFKEGRRYEDCMRMSHIFDEDRDGRISYLEFRRMMEGCEDFLVQIDGMFMP >OB07G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4247535:4247954:-1 gene:OB07G15620 transcript:OB07G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTSGCYTEQYAN >OB07G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4247170:4249788:1 gene:OB07G15630 transcript:OB07G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKCYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTSGKGNAPKPGKGPKLGGGGGKR >OB07G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4248549:4249055:-1 gene:OB07G15640 transcript:OB07G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNIPKSGFPGCIANLWLFGSLLLDDFKGGTNNRPGVRLLGGAAPLLDSLSMNILLVLLPVHGRPCKLGRLQTVVEV >OB07G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4262217:4263821:1 gene:OB07G15650 transcript:OB07G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G47990) TAIR;Acc:AT2G47990] MAADTSKPFFPAAPHSALVPSGRKASRLSPESSYWRSFRASELTSPSEFNVTHLAFSPSPSPAPTLAASWATSVLLFSGDPLSPLPRLSVSDDVTFSPSFRSDGALLAVGDKKGVVRVFRPDKKSSGPLRTLRGHSAETRVVRYPVAGGDKVHLFTAGNDALLSYWDVPSETPVFTVPAAHRDYIRAGAASPVDHNIFATGSYDHTVKLWDARTGQTPSLSFSHGELVESVLFLPSGGLLATAGGNMVKIWDVIGGGRLVHSVESHVKTVMALALAKMSSTGETRLLSAGSDGYVKCFDYGELKLTHSMRYPMELLSLACSPCGTVLVAGSSKGVIFMGRRKKKQTEDDEEEGKGVGGEFDWAPPKPEKTRLTPSSHRYFLRGQNAKAKKGDFVIEKPKKVKFAEHDKLLRKFRHKDALVSALAQKNPRSAVAVMEELIARRKLVRCIGNLQTKELEKLLLFLHRNATLPRYARFLMGVANKVLEIRANDIRSDENLRGCIRNLKRMVTEEIQIQHTLQGIQGMISPMLVLASR >OB07G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4267206:4269497:1 gene:OB07G15660 transcript:OB07G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT2G33260) TAIR;Acc:AT2G33260] MEDGGVDEVSFTGLASSTLGATVGAVVAVIYAALSFALLVACVAGIGSLVSQLFPAVNPALANALFPCFAGTLIAFFPFKAVDGANRALCGLMLVSIAALVVTGVSVGRSSMLKSLGYASWRPATILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASSSGSAGFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELIVQRISPKHGIGQLFESTSAHGRNGAILTWIVLIIPVVIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRLQKRRSSGSCEDILPGGNVALLILFGIAVILAFWH >OB07G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4278643:4279939:1 gene:OB07G15670 transcript:OB07G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRPAPVPIIAELDLYRHDPWELPARALFGRREWYFFTPRDRKYPNGSRPSRAAGAGYWKATGADKPVLHAGRTLGIKKALVFYSGKPPRGVKTEWIMHEYRLADSAAVAKKKSGTLRLDDWVLCRLYNKKNEWEKMQRQKAEDAAMAAPSHSPGETRTPESEIDNDPFPEMDSPPAFNDAGVPLLPPKEEAHDDDWLMGMSLDDLQGLGSLLQDDDLFELAPAKTEPPFF >OB07G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4312645:4314919:1 gene:OB07G15680 transcript:OB07G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSSSFPAPAKGKTNLDMEFWKRESANTYLNPTGYDEVIQGLKDRLGITATKVQIKNKWGKLKEDYKKWRKLMLKQTGTVWCPIKHIILMNDEWWKKAAVDIPGCGKFKKMGLQNEDELQKYFGDIVNVGDTQEVENGLTQDEVAGEDEGTNEVDQVSHVNANGKRPPRVVQDKGKKAKTGTSLLIQEAVTSMVTSATSYVENKAGKYSIEKVMEDMSDGGNDRSSDDGDQFFHIINSGAKLANIYSELYLNNAATRTLIQTGMGWLNETLNTPGECHKMLRMNTEIFLDLHDVLVERYGMQPSKHMSSYEMLEMFLWTVAGCESNRKTQNRFKHSGETVSRKFHEVLECVIAMAKDYLRPTDPNFHTVHMRILNDKRAYPHFKDCIGAVDGTHARVSLSP >OB07G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4317064:4317486:1 gene:OB07G15690 transcript:OB07G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARETPAASAAFLVGYTAVLAVFLLLATGSFAVFPRPRAAPPHVTLLWELADWAAVSVCLAADAYFVYCIASHRRSKKAAAAPAPAPSPADGSLLGLGQADPPRHHQGVSITLPSHLAASASFLHYLCYSSYDFASFCA >OB07G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4318358:4318684:1 gene:OB07G15700 transcript:OB07G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCGRSSTGPRCRYPRRYFVAPELPLAPPPPPLFAFXXXXXXXXXXXXXXXXXXXXXPAPPPPPPQQMDLSATVRAEPCRHHQGVSVTLPFHLAASSCMITAAYTD >OB07G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4320979:4322111:-1 gene:OB07G15710 transcript:OB07G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTIRRRRRTAMAGDGEGESDDESVASVPPLPREGLYIFTKSELKQATNGYDEKLLLGSGGAGKVYLGRLPSGQRVAIKKIYRSKKVAEFYAEVAVLAKLRHRNLTTLVGYCLGGRGGHHALVYEYLAGGNLWRALFQGELPWLRRLQVAADVAQGLAYLHGFREGAVVHRDVKPTNVLLSESGTAKLSDFGVSRIMPEGGTHVSTEVRGTRGPTVRAVFALACRCVRPYKHERPAMGEVLAELKAMLADYAARADGDADRSSATMATSSSAATPDRASLPSTSSSAANTEVVPAAPRHDS >OB07G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4332122:4337450:1 gene:OB07G15720 transcript:OB07G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNAILFACSQYLGELLAEHQKLGPFMQVLPICSRLLNQEIMRVSSMVNDQGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGMHQERLGFPPPPGTSMDWQGAPPSPGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMEELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSH >OB07G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4342570:4342791:1 gene:OB07G15730 transcript:OB07G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLTKQSRRVDCVALYKKPNQTRPDQGFESILTRFFSTNFLRIDRLQMPKHKCHGLPLHEMRWFHQVVYYRTLK >OB07G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4355766:4360850:1 gene:OB07G15740 transcript:OB07G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT4G13970) TAIR;Acc:AT4G13970] MRKRAYHIDHLDEALELGVGVILNVKATRLTVSVNKVDPSNNIDELMGEMARWDEILTLPVQNPPTLEFSAADIMWSRVEGWRDSMDRLALIPFSRVNDFVRGESNSKECPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSVRPSRNCSTKRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHNKHIDKKGNPCHGPMDTMAVGTKAMFAPYISDELRLQIMSLLCVGIPVETIMQRHTEMVKKQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAVSINIWVENHQEYIFLYEDFSDTETFIVGIQTDWQLQQMIQYGNRSLLASDSRFGTNKLKYPVHSLLVFDQQKNAIPVAWTITPNFAHGETYRWMGALYDRVRTKDPTWQLGGFIIDDPLADVRTIKEVFQCPVLISSWRIRHAWHKNLMKKCPDIEKRPMVAKRLGELICNICRGNGGMELFEAFLEDFVDCSSFLDYFRALWFPRLGAWITMLKTTPLATVEVASAIESYHHLLKLRLLNEANERVYHRADWLVHKLGTKVHSYYWLDEYSGKDSFSRYWRSEWKSGLNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVIVNPGSDIALCDCSWSIKGNICKHAIKSTKVSRQRGLAPPSLALFRYYQALANVVHCPPSDTLINDHAVSVAVFVRAQLYSLLDATGGNSSRSTFEDPHSVTEPRESDVQEASIENGVCASQSQAESGDEASAEQNGDLDLPVSKKRKIREQSDEGGPMAMHTTQPSETESSQATDLQEPLDRQESPARKKLKLLEASDKEKANAMQENHPSETESSPCN >OB07G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4362045:4368214:-1 gene:OB07G15750 transcript:OB07G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05200) TAIR;Acc:AT5G05200] MYTCGMLSRTRVELESRTAHHTCASLLSSFSLTLITLARGTRLLLLLLLLLAVDASPPPASAPMAAAARGAAAAARTPLLLHHHPQQRRRLLTSLVPGGGGGSPRRAGVSRGRGRRVGVRIFARYTQAQDFSTRLQDRAGELPKLVEDLLQTSITTGPRGAFRFAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPPVPYNEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELERTSLVGIVKDIKESMLEEVDFRKEATNIEAFQRYIEAMGFDRQAKAPFVYRHCSTTRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATEDYAAMASALSEMGATGKDINVNDFSKDLENIFSSIQDLDTEVIVATARTPDATAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERVNISSRQQARRVDRFQ >OB07G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4368733:4374067:1 gene:OB07G15760 transcript:OB07G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDGGGDCISELPEDVLRLILLRLPSAAAAARTSVLSRGWRSLWSTLPELRFPGVTDLARVAAALRLHDAPVLLRLHVATGSSSPREISAVLAMAAPRLAGELLFDVSTTPGHRDWMDAAADQNSATAVAADGIGGASEIPSFPKATEITISLRDLCIRLPTSGVFQKLTALRLNDVRFESACDIGDVVSSERCPSLQELRLCRANGVSNLAIRSESLLRVDLSELGKLQELTIFAPVLRELGVSHCFNLITPAAEISAPALETLRWIDMCNRSTVRFGAVSRLQRLSAIGMVYGQASVHDWHSLQLLKSFRAVPDVQLVLNYPYSMLECNFLMDAVNMPPAVKILSLRLSTRGHNFGPCVFQLLRMSTGIKELNLELTEHLQSKLTRCMAQVPCSSGCICHKPQDWETKDILLCFLQKVEIRMLSGAQSEICFVKQLLRWAPALKMITQVKILRFKYETGSTKRFKCRVGIQLHKRNLESTLLMGSMTNFLMPHRESLKQKIGGFGEAGSSSAHRRNVLATLPLPSLSPPSLALPNTGVTPPSLAPPTCSTAGGNYRRLPSTPSTSTAWRNSSTSGVGPPTPVLPLLSNSRLCQTAALMLINFYFK >OB07G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4374571:4376723:-1 gene:OB07G15770 transcript:OB07G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKEKIDAFSMFLGHSATTCCSASCICKQPQDWKTEDIFLDSLREVKISGLRGSEHERAFVRRLFQWAAILKKFTVQLHCDLTARVDLCKELHSLATPDTDVKIYYRDDAGVWPPWVLYTPVE >OB07G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4382227:4385884:-1 gene:OB07G15780 transcript:OB07G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAANGEDLISTLPDDVLHEFLLRLPSADAAAQTSLLSRRWRYVWAHMPEVQFSYPVDLHRVRLALTAYAAPVLRRLHIITCDANPEAMTTILRLAAPRLSGSLFFHNEESLDPDEEEDEEEWRVYYDDEEGMDGAIAREIASVKLPCFEKAKNIYLCLGFLYLGLPQSGVFAQLISLHLHHLWFGGDCDLGKMVSSAQCPLLQFLHVYQADGLTNLAIHSKSLLQIVLGDVAMQQLIVEAPMLTVLDVRRCFVGQQPKANIFAPLLEILYWIDLYNPATVQLTMKKDLRKLRTYSIMVYGGAPLDKHNLDSARLLKFFEAITTLDLFLQYPSDMIDMQYLMGMITKLPKTENLSLELSTEGHRPHDFGPCVFYLLTMATGIRSLSMKLVIDNDERTVCSWDCICHQPQGWETEHISLDSLEEVEIFGLLRAKHEFVFVKRLLGWAANLKKITLNFAPSVVVTDEERQKLLGLASRPETCIKINFSKGYGGGVHQ >OB07G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4394462:4396143:1 gene:OB07G15790 transcript:OB07G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLPPGVRFDPRDDELVARYLLRRIRKQPLPLDGVVLEADPLGAPPWRLLADRGRGDEAFFFAEADAKGKAPEAHRRGFWQGQQRVCVGGKRLLVPGGGGLEIAWRGSSGYVHRRQRVYVLSFFVEGERGSSGWVMHEYAVTSLDELASPPLRLYRVRFSGHSKKRKREPECTGAHDDGDQERAASRRAEAESALFQEVPPPPQPVLPPAAGVSSTDDDGVTGVWRY >OB07G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4397109:4402548:-1 gene:OB07G15800 transcript:OB07G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMVNEMSKAYEELVAAAKAAAEAEEQPAGGENTAAAKDAASEALRQRLDLFHAACDNAEGLVDSIRRRYVGFVDGDNGSSAASSSSSSSSSSPPSSVSPCATLALPDDAIRRILLYLPSAASAARASLVSRCWRNAWATLPELRFHDVTDLARVSAALRLRVAPVHLLHIESNDPAPEKIAAAGVFAKLTVLRLSHFRLDRQNQRDLGGAVSSEGCPSLQQLFLSKSHVSSDLAIRSESLLCVRLLDLQGLERLKVVAPMLKELGVFRCFNVTLPIADISAPAVEDLQWIGVFDRLLVQFGVMPRLWRLAVWGLLYIPSTIQHLQSLSLLKHFVAARHVHLTLVYPPGMVNYVLLVKAVKMLPAVEIFSLILRIRRHAFGPCLFHLLKMCTGIRELNLDFDDRDRIKAEQIPCSYGCTCHEPHDWETMDIRLNFLQKVEINNMSGADCKIFFVNRLLRWVPMLKTITLTFDHSVPVSKEVLPNINRLINFRQAKGFLMTSEMATRCLLFPADQASRAHSCFLPTLNSGIILNIHA >OB07G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4405448:4420367:-1 gene:OB07G15810 transcript:OB07G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGTMERELLEAFEAVRKAADAVGEGESPEAARCVDALRRLRGARVTTAALVSTQVGKRIRYLTKHPHSSIKSTASDLLGYWKKVVIEEDKKNGSPQNGKSSSTMVKIEKVEPMKIVKASPRATVNKDMNVSVVKHKGGKVEKFSNPELRTLSVKVEKVQKESQEVSSVENPSPVQNGRPRLTSIVKCGDASRDRFRVILGDAFSRVSEETRKDDREEVMNMVDKVEACDPFRVAVTVECALFQKLGSFNGPNKQRYRSLMFNLKDDNNTDFRRRVLLGQIGPERFADLTPAEMASDTRKLENKKIQEKALFECERGGAPKATTDQFKCGSLDFSQRALQVQNMNGKGKLGNIFPTVCFAFPLLNPVSGSSGPSPVFQEFSSPIINLELIRARAGGAGEDHLSALPDDVLRLILLRLPSTAEAARFSALSRRWRDLWTTLTELRFPDVTDLASVSAVLRRHPAPVLHKLHVRHELTVASGRVPDKIAAVLGLAAPRLEGELCFEIITPANQNPAAEAAEIGGAFQIPCFKKATEIIIILSDHLGIRLPPIGVFAKLTVLQLSHLDKQNQRDLGGAVSSEGCPSLQHLLLIKCHVSSHLAIRSESLLRVHLFELRGLERLTVVAPVLKELGVNYCFVMSVARADISAPAVEELHWIDVFDPFLVQFSAMPRLRSLAVWGAPSRIYDVGKSHSLPLLQRIVSAREVHILLMYPFDMANFDLMVEAEKTLPAVEILSLKFCTEGHAFGPCLFTFLRVCTGIRQLDLDFDDDSKVGCVPCSSGDCICDQPREWETMNIHLNFLQKKLKSTI >OB07G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4423722:4426541:1 gene:OB07G15820 transcript:OB07G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGEDHLSALPDDVLRLILLRLPSTAEAARISALSRHWRHLWTTLTELRLPDVTDLASVRAVLRRHPAPVLHKLHARHELTVAGGRVPDKIAAVLGLAAPRLEGELCFEIITPANQNPAAGAAGVGGTFQIPCFNKATEIIINLPDHLGIRLPPTGVFAKLTVMMLTRLDKQNQRDLGGAVSSEGCPSLQHLILGKSYVSSHLAIRSESLLRVRLFCLRGLERLTVVAPVLKELGVNNCLVTSVASADISAPAVEELHWIDGFDPLLVQFSAMPRLWSLAVCGAPSRIHDVGNSQSLPLLQRFVSAREVHILLMYPFFLANFDLMVEAEKTLPAVEILCLKFCTRGHAFGPCLFHFLRMCTSIRQLDMDFDDGSKPQVWETMNICLNFLQKVEMKNLSGTEYEISFVKQLLRWVPMLKTITLRFDHSVSEEVCMELLSLSTPGICMEIYLHRNGDEVKYSGVSLKRHGDD >OB07G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4430577:4434338:-1 gene:OB07G15830 transcript:OB07G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G40690) TAIR;Acc:AT2G40690] MASASFLPHTPTPRPHLAVALPSPSRHRPPSAFSSALSPPSAAAEEEEKADAAGEAAPARGGGEERRRVVRRAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHRHINCKYLPDHRLPENITATTSASDALAGADFCFHAVPVQFSSSFLQGISTHVDPKLPFVSLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDKIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKRAVMELMNLPQVEEV >OB07G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4435522:4437497:-1 gene:OB07G15840 transcript:OB07G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:J3MJK2] MAEEAVVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWSGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >OB07G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4447247:4448341:-1 gene:OB07G15850 transcript:OB07G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPAAATSGGAAIPRFAAPQPTGAAHLRPPLGRRDATPSRPLACHPSARATTADADARRPLCHLHTLLLAITGSRCMRSRLISAGTALNAACLRRNKSSAMTCDGRQGVWQDPARMQLPLAGGIPISPVLSIINERAFCPSLKTELAHHLRRIILSLVAARWDQVAEKMLCTVLVALKKLHHYFQAHQVMRVSCPLGQILRNREGTERVAKWVIKLLEFDLHFEPRHAIKSQALNDFLSEWTPLADHDPSLGLLPTEAEDSLECGPQTMH >OB07G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4451550:4454526:-1 gene:OB07G15860 transcript:OB07G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNVTDSNAVVDKVQVDLHMLRPLMLNRVGGEVDGADVVAVDSGALLVPCSREATNLRIAWPEYRQGWNTACSQSSLPTPEYQEHNVAGEDAEAARGAGEDAEAARGAPEAESVDSGDLQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKSNMTT >OB07G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4459073:4460718:-1 gene:OB07G15870 transcript:OB07G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Excinuclease ABC, C subunit, N-terminal [Source:Projected from Arabidopsis thaliana (AT2G30350) TAIR;Acc:AT2G30350] MATMGKRKRSRKAAATAAEEEPVDGKDGPVEAGRGGGGGGRFFCCYLLRSLCPRRKSATYIGFTVNPRRRIRQHNGEIRCGAWRTKRGRPWEMVLCIYGFPTNVAALQFEWAWQHPNESLAVRSAAASFKSLGGVGNKVKLAYTMLGLPSWENLNLTVNFFSTKNTKFAAGCPPLPGHMKTVICSMEDLQCCTEGVSSEEDSVDDEPPQNQEPDATVRAEEEEEVGIEGGESTYSEFAPMEWSHMLGEAGALDEPIVTESPEMQPVEHESRTTASAVSDAECSTDELGYMPWSGIHEARTESDGSSTSPRCSWSLSSGDEGGRMLDGVPRQVCSPFPKVGSSLSDEGDHAPLFLDVIDLVTPVGHRRKGAKMTRIIDLTSSPIVIEL >OB07G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4470176:4474270:-1 gene:OB07G15880 transcript:OB07G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLIRCEELSEVHIYYGIQTKEFGFLETNANQSLTTPCTQSKVAKQYRYSCISSTNNAHKCCKEYMVLAKVKCVDLYLFLSNCPLLRKTPLILGRDWEFVSSILKRAILWSLKRTPNSVDSPVLFAGGSEARDGTAARRPPDDVVDGDAAVLPKPAPANGFMVPEKIN >OB07G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4471583:4472176:1 gene:OB07G15890 transcript:OB07G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSSSGEEGGGGKQQLLMSSRIVSSSSRDRAAGGVVANASFRVYYSLGAAGTVPFVWESKPGTPKSTVVPASAADGGYALPPISPPPSYQRSCNYSQSSPPSSSSSKAAKKRRRKLSSSTSSSWSSSPGGGGGGGWMSWLTSFRRRPWWPPSPSKTYRRRWLGQDSGVAVDDVVRRSPRRRAVTCFGAAGKEHW >OB07G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4483202:4485085:-1 gene:OB07G15900 transcript:OB07G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPAPAPTAVTPSAPVAVVGPQFCVPYVVPLTVTKKSLSLTDGDFTVTDANDAVVLKVKGTIFSIRHRRVLLDAAGQPILSMHEKVLSMHHRWEVFRGDSSNPRDLLFSVKKSSMIQLMKTEMDIFLAGNTAEQVCDFRIKGSYFDRSSVFYLGDSNTMIAQMSRKYTAASVVLGKDIFGITVFPQVDYVFVAALVVILDDVHRDKSD >OB07G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4492215:4496560:1 gene:OB07G15910 transcript:OB07G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aromatic and neutral transporter 1 [Source:Projected from Arabidopsis thaliana (AT3G11900) TAIR;Acc:AT3G11900] MEGRKAAGAGAGMVVVVAEEGAAPLLAPAPGVEEGEGRRRGVAAAGCATLYCMLLLVDCRDKLEEEKSEEACHGHYTYGDLGEKCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSVFSHFMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKDDLQLFDHPFANRSAFNGLWSIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEARFQSSACFQKLSQKVRSAEWLGLHSSRIIMVTVLSVVASFIPSFGSFISFVGSTVCALLSFVLPALFHLSIVGSSMSLWRRWLDYGFLLFGLGFAGYGLVSALFLH >OB07G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4498511:4500397:1 gene:OB07G15920 transcript:OB07G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVPDIWQWTRGLPSPKHWRGESYSLEICNSPSTNQSLNLVVSWHSETQSFSLSYSIRAELHDPISLWSSHCSKSRSANGGSDVAVHFLHDIICGVIRYGPCFSKPLFRLPNVQASEEDSGKIFSLAALTLGLMVCVYEAPSTARRELVGAVSAQLMHADMRGAAEKLMLAMGPGMEEQWMRSLNLAATNWVMEARRSGGMSPVLPFRVFSYAVLAASRRLWKVRLYCPVVAMVVERPAHRTKDEKLLFSLNYQHLEAAIQFVYSVTFREEWIDVNVNVDSIRCELVRLVSETLMAKQGYGSDEKHFPSRISLQLRPLVQTDVLSLTLRRSTEDSPVREADMESGLDGAAPAPTGIAMSAHRTATRTLGPWNSEHSVHGDTASLNWSLHGGGAEGREREAFSGEPPKLELLQPRSWFRNRCTNPGRPPATARGGGVMFAGDEHGEGACWRMGAATAGKTVEWEIKGSVWVTYCPSKRRAVHAETRRLEFREVMRLAVRE >OB07G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4501650:4505306:1 gene:OB07G15930 transcript:OB07G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADGDSSDFTFCKVDFAGGDGPLESPKAIPMASTPSGDGSDNLKTKTQDNMQTNISIKDDRSNSVTSSINRVSLEDSNGEESVIGGENAQSNLSSQPKPSKKPSVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFNKYHAWVNFEFLLEKCLVGFLDPNE >OB07G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4512433:4515330:1 gene:OB07G15940 transcript:OB07G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTTSTTATLAASVALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLPVLGRRVPALRPDGDVFFLVKAFAAGVILATGFVHILPDAFESLSSDCLAGGPWKRFPFAGFGAMVGAIGTLVVDTLATGYFTRAHFKKGTAAAAAAPPAAVTQQHHPHRHDDGEEHEGHVHVHTHSTHGHAHGSSALVAAVGEDDKEQTLRHRVISQVLELGIVVHSVIIGISLGASQSPDTIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSVVTMVLFFCLTTPAGIAVGAGISSAYDESSPAALVVEGLLNSVAAGILLYMALVDLLAEDFTDPRVQGRPRMQLAVNVAMLVGAALMSMLAGWA >OB07G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4517474:4518001:1 gene:OB07G15950 transcript:OB07G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETNPGYNTQCSVKFDILTQCAVKFVTFSGSNLSLYREFTSQSCKEAQHPSFAHPFAVSGEELVTSAAPLSQQDGFSFVQRRPVPLMGFPSPALQHPCDARLAAGFLDPPPPQHLATMAAMVGCSSLCSPLDGMSITTECSGDLLGFGQQWHPLVCSRPAPAAVELGAPASAAS >OB07G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4517599:4520844:-1 gene:OB07G15960 transcript:OB07G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDEALSGEGLVERLLPEATSCADVGGGGGGGKKWKKTYLDVLGVCCSAEVALVERLLAPLDGVRVVSVVVASRTVVVEHDPAAASESAIVNALNKAGLEASMRAYGSSGVVSRWPSPYIVASGVLLLASFFNWLFPPLQLLAFAAVCAGAPPMLRRGWAAACSLSLDINVLMLIAVAGALALGDYTEAGGIVFLFTTAEWLETLACTKVPYQCHVTVFSNKPTVIKPGRTLTSQVQASAGMSSLMGTLPAKAVLVNGEVVRVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDESSLTGESFPVPKQPHSDVWAGTMNMDGYIAVRTTAVADNSTVARMDRLVEAAQNSRSKTQRLIDICAKYYTPGVPMIPALLGADSLRQWWNLALVLLVSACPCALVLSTPVATFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVENKLEIDHLLHWVASIESKSSHPMAAALVEYAQSKSIQPNPENVGDFRIYPGEGIYGEIHGKHIYIGNRRALARASSPQQVQETSGMIKGVSVGYVICDGELAGVFSLSDDCRTGAAEAVRELGSLGIKSVMLTGDSTRAAMHAQGQLGGVMEELHSELLPEDKVRLVGGLKARFGPTMMVGDGMNDAAALAAADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAIRLGRRARRIIAVNVAASLAVKAAVLVLAASWRPVLWAAVLADVGTCLLVVLNSMTLLREKWKGGKKGDACRATARSLAMRSQLAADAGAPNSTAAGAGREQTNGCHCCPKPSKSPEHSVVIDIPSNGEHKEEQPTMAAIVAKCCGGGGSKKPAASRASQGCCSAGEGKPINGTGRRCTNEKPSCCDKGAADVTNSSPETAKGCANEGCCASLQD >OB07G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4526801:4527846:1 gene:OB07G15970 transcript:OB07G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHRQKTQTCTTENPGEVCEKPVVVTNVVSEVELPKEFTTEYEMPEEFRVSGPLPPILSNLFPLSTSMEEDVFLTTEDMCYVSTLCPSPPSVVDNLTYQMTTFDDIFLETSMIGVVCCVRYG >OB07G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4530471:4533817:-1 gene:OB07G15980 transcript:OB07G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSPAPRTVEDIFKDFAARRAALVRALTVDVDEFYGFCDPEKENLCLYGHPNGRWEVALPAEEVPPELPEAALGGNFARDGMPRRDWLSLVAVHSDSWLLSVAFFFGARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSSADNGSKSKHSAKRANDVHTKNSRPAVADDGYDDDEEHSETLCGTCGGRYNSTEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSKKSRQ >OB07G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4541521:4541733:-1 gene:OB07G15990 transcript:OB07G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDIVSISYHSLTKFVPIYVIMMFNVFLCHFNLPHWSLDMATPAAGITGGAAATASRSHLLAWLRATQG >OB07G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4555439:4555603:1 gene:OB07G16000 transcript:OB07G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRVMENFSSDVTEGLVFKPSENIIVQQAQLLDGHAKIQVNKSFRQLRHVST >OB07G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4573888:4576909:1 gene:OB07G16010 transcript:OB07G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHDLKSDSTLNGFQLHCDSPEASSSVVTQPISPQWPVQPQGTSIASQHPHLYVSPCPGFFGNLREVPMEKRPELGPQTFPTWSWQMQGSPLPLLPTAASSGFSTGTVATADAARLPSSRPHPFAGHHQFYYPPTT >OB07G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4589790:4590546:-1 gene:OB07G16020 transcript:OB07G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANSNIAGGSAGLITQMASVKMDDIDNPTPPTSSPREFLGLRGTLQLVRPPGGVN >OB07G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4599547:4602854:1 gene:OB07G16030 transcript:OB07G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSFGDWAKSDCLELRLHSREQCSRSLILSAKCRSINPALELSKINSQCTLKWWPKRTVSFKVAKEDHFKVNFSCMAHEPNEPVGAL >OB07G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4607360:4612709:1 gene:OB07G16040 transcript:OB07G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G06530) TAIR;Acc:AT2G06530] MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDVNQELVKAPSAAVAQPAAAGKVAQAESAGGGGNGDGGIDADLQARLDNLRRM >OB07G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4614043:4621233:-1 gene:OB07G16050 transcript:OB07G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDPPARAAPYPPPGPKVLPVRTTAPLASPGPSALPSPPQETAASAGASPYGVYSEHVAAMAGVVPRPSPNSARSLETGTKLHISNLDAGVTVEDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKKYDGVVLDGKPMKIDLIGTNSEPSPTPPTAPLLYNPPFPNYPNSVPRRVGQRGPFQGNVRPGSGQGIGGGPRGFQGNGRPGSSSQGSGGRGQGKNRGNDRNRVQKSAADLDAELEQYHAEAVKEK >OB07G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4624497:4624972:-1 gene:OB07G16060 transcript:OB07G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARLNLAIPGLSTLLILTVIPKATGGRPTPYVDYGALNHDVILGSKQNNHHGNTANKYSRGCEPQFHCRGKKRIDLLMMGAC >OB07G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4631756:4632007:-1 gene:OB07G16070 transcript:OB07G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARLKVSIAGLSSVSVVAALIVLSAVVQQDAAAVVAQVIKYPVMNRDHIPGTPQLNQPAASGNKWTRGCSPQQDCRDNKDT >OB07G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4639600:4639827:-1 gene:OB07G16080 transcript:OB07G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAGRVNLAVMVALVVVVVMSAAVGDVGVGAEVIDYASMNHDHVPGTKQLDRPDAVANKYSRGCESEEHCKAA >OB07G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4642791:4643075:-1 gene:OB07G16090 transcript:OB07G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSPAAASLLLLLLFLVLAVHGVSGRGRGHGRRHHRPGMQTSQLPSSGTFISYQGLFADGAPGRRGFQRIGPAANRYSRGCLAMERCRDAKS >OB07G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4649581:4654016:-1 gene:OB07G16100 transcript:OB07G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPEGDAEASTSQKSACCGS >OB07G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4656800:4659397:-1 gene:OB07G16110 transcript:OB07G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAVFSPSPCLTLSSTAFKLMLYLWLGFVTMGLFRTSFTLLVGMGCGVYVAQNYNVPNVKRLFNTYMFLAKHIEETYRKPKRDDD >OB07G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4663481:4663651:1 gene:OB07G16120 transcript:OB07G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPIVYEIKPPLKHKKTSFRFPNQPPCKCCRVESNQLAITEYNSKSTAMQMLCIG >OB07G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4667823:4670063:-1 gene:OB07G16130 transcript:OB07G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALIPALPRLAATSSAAASGRTDSRFARRGKLRRGCSRRRLSCLCPAALLRRRRAARGGALEACVALAPHESASVLRLLVRWHNFHGARGVFDETPRRTAPVWTSTIAGCARRGRYAEGIRAFGEMLAEGGAMPNAFVLAAVVRCCAGIGDVEFGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQLERARRLFGAMAERDAVTWNIAISASIQAGDILGSMQLFDDSPLRDTTSWNTIISGLMRSGHATEALNCLRQMTKTGLVFNHYTYSTAFALAGMLLLPDLGRQLHGRVLVASLESDAFVQSSLMDMYCKCGLLEAAVSVFDRWSPLTRDMNFAWSTMITGYVQNGREEEALELFRRMLREGAIADRFTLTSVAAACANAGMVEQGRQVHGCVEKLWYGLDAPLASAIVDMYAKCGNLEDARSIFDTACTKNVAVWTSMLCSYASHGQGRMAIELFKRMRVEKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIAPSIEHYNCIVDLYGRSGLLDKAKNFIEGNNINHEAIVWKTLLSACRLHQHKAYAKLASENLFRLEQCDAGSYVMLSNMYATNNKWRDTFELRVAMRERKVRKQPGQSWIHLKNTVHTFVAGDASHPQSTEIYAYLEKLVERLKEIGYTSRTDLVVHDVEEEQRETALMFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISQATGREIIVRDLYRFHHFKDARCSCEDFW >OB07G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4675219:4677568:1 gene:OB07G16140 transcript:OB07G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRHGHAAAAAALPLLILLLLLLLPPFAAAIGVNYGTKGDNLPPPATVAAFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNGLISGLVPAMRTLRAALVAAGYRRIRVSTPHSLGILAVPAPPSASRFLDVLDRAMFAPMLEFLRKTRSPFIVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMFEAQLDSVFSAMKKLGFGDVEIAVGETGWPTKAEDGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPVYDVGLMKDPEEEEGDATTTPAGDGGSPETAAKGDGGKESEGKNSPHGHGGPSDAPSIVLSVSSMLTIALSITVLHL >OB07G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4680609:4683915:1 gene:OB07G16150 transcript:OB07G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFSGGSASQGFLGRVKHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >OB07G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4685870:4686478:-1 gene:OB07G16160 transcript:OB07G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGGGGGGGGGTSIHITALDGIVNVNSLFTLAAFIGLAWRPSADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPRPGRRRRIRRHPQPHGDDQPGGAPRRHPGVRGGLRVRLRLPHDGARQRRPGQARRRRRRLRRLGRRRTARHARAHRHAHLHRHRLLRLHPLAQLNNIKIHCNATM >OB07G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4701279:4706243:1 gene:OB07G16170 transcript:OB07G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKTEEAAASPARHAGGGDDDGRGRRRVLVFPLPFQGHVTPMLQLADALRSRGGFAVTVFLAPVPSSSSLLHRPAGYRFVTVGAGVAGAAAALIPSGTGGDFAGALMRLDALLRAPFEDCLRQELAADEDAAAGPVGGSNLRGMQEVAERLGVATLALRTRGACCLVAYMAFPELCGKGILPPPTSARRDQHQMDMQLDELPPLRLRDMMFSATTTHATMATCLERLLDSSRCSSGVILNTFNDLENSDLQKIANGLNVPVYAVGPLHKISIGEHNSLLTQDLSCLEWLDKQEAESVIYVSFGSLASMDPEEILETAWGLVDSQMPFLWVIRPNMVQGSLQVGLPDGFEEATRGSGMVVSWAPQQDVLKHQAVGGFWTHNGWNSTLESICDGVPMICRPQFADQMINARYVEEVWKIGFELEGKLERGMIERALRKLMCSEGGNEMRQRAKDLKKKATTCVEKGGSSKTAIDMLVNRIMSF >OB07G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4711047:4712175:-1 gene:OB07G16180 transcript:OB07G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGANVNHHRDSVWASRLAQPALELDAVVDTPHQSSNLEWSSILHTPIRATKEEPCRHVADAESQDFWRQAMVEEMKSIEAGYVSRFMEKPTTKHWAAMKHILRYVAGTLNRGIQFRKKQMQASRLVCFSDSDMAGDTLIQTIGKVQLEFYSS >OB07G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4715451:4718611:-1 gene:OB07G16190 transcript:OB07G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRRGGGGHGRKLWVLVFPLPFQGHINPMLHLAGALHGRGGLSVTVLHTRINAIDPSRYPEFAFVEVPDGIPPEVAATGTVVDAILAMNAALEVSPSFRDVLASAVDGAEGRPPAACLIIDANLLAAQKVAAGLGLPTLVLRTGSAASLCCYLAYPILLQKGYLPPKESQLYEPVKELPPLRVRDLFYSSDTNQEKARETLANITETVRNSNGMVINTFDKLEPAELKRIRDELNNDIVVVLAAGPFHKVSSINNGSSMNLQPDQSCIEWLDTQATGSVLYVSFGSLASLDSNEFLEVACGLESSGQPFLWVVRPDLVRGLNRVCLPDGYEQAVEGRGKMIRWAPQQEVLAHRAVGGFWTHNGWNSTLESISEGVPMICQPQFADQMLNTRYVKAVWGVGFELEGNLERGKIKKAIRRLMVEKAGTKIREHAKELKNKVEGCLESSGSSQIAIEKLVNYIISLQ >OB07G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4738172:4738474:1 gene:OB07G16200 transcript:OB07G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:J3MJN8] VPAMDLYRVKLVSPEGEEHEFDAPGDACILDSADSAGVELPYSCRAGDCSACAGRIEDGVVDQSGGSYLDDAQRADGYVLTCVSYPKSNCVIHTHKGIEV >OB07G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4741146:4757739:1 gene:OB07G16210 transcript:OB07G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGHAAVAVAGAARRRVLFFPLPFQGHISPMFHLAGVLHARGFAVTVFYPDFFNAPDPSRHPAFDFVPDGIPPDVAAAGRVAETILAMNAAMEREGASPSVREVLTSVIAADEVGQPPVACLIIDTHLLAVQKAAAGLGLPTLVLRTGGAACLRCFLAYDMLLEKGYLPPKESHLYEPVKELPPLRVRDLVHTDDEMVFKILARIAETVRNSKGVVINTFEELEPIELEQLCGELTNNGAATMLAVGPLHKLSSINTGSSLNLRPDQDCIKWLDKQAMGSVLYVSFGSLASLEYGEFMEVAHGLEKSDHPFLWVVRPDLLRGIDGFEDRVEEVLLDGFEDRVEGKGKIVQWAPQQEVLAHHAVGGFWTHCGWNSVLESIGEGPMICMPQFADQIINTRYVQAVWGAGFDLEGKLDREKITKAIRKLMSEKEGAEMKRKVGELKNRVAHCLKSNGSSQIAMDKLVHYI >OB07G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4764585:4766799:1 gene:OB07G16220 transcript:OB07G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKGYLPAKESELNRPIKEMPPLRVSDLFDPSKYFNEEMGNKILALSTETTTNSSGAVVNTFEALETPELQSVRDELGATIPVFAIGPLHKLSNNGDRSSLLEQDRSCIEWLDTKEPGSVLYVSFGSVVRVNQDDFTEVAWGLANSGRPFLWVVRPGLVAGSSGKPDLPDGFREAVEDRGKMVDWAPQAEVLAHHAVGGFWTHNGWNSTLESIFEGVLMLSRPSFGDQPVTARYVQETWRTGFLVEGKVERGKIEKAIRRLMEGEEAAEVKERADELKKQMLMCLEDGGSTQQAVDKLVDHILSL >OB07G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4770152:4772706:1 gene:OB07G16230 transcript:OB07G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAAVLAGRRVALFPLPFQGHLSPMLQLAALLRARGLAVAVLHTDFNAPDPARHHRDLAFIPIRETLPEEATSPDADIVAQLLALNAACEAPFRDALASLLPDVACAPCFADQTVNARYVTHQWGVGLELGEVFARSRAAEASMTARYLQEIWWIGFLVDRKVERWKLQEAIRWLIEGEEELFLDKSIKVFGFLFK >OB07G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4774802:4776615:1 gene:OB07G16240 transcript:OB07G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERALLAGRRVALFPLPFQGHLSPMLQLAAVLRARGLAVALLALNAACEAPFRDALASLLPDVACAVVDGQWYAALGAAPRGEQRLDEPVPELEPLRVRDLIRVDGCQPDALCGFIARVADAMCASASGVVVNTFAAIEASELDKIQAELSQPAFAVGPLHKLTPAAAAAEHDFLYSPDRSCVAWLDAHPPRSVLYVSLGSVACIDRGVFEEMAWGLSISGVPFLWVVRPGSVRGGTPPLPHGLDRSRGKIVPWAPQRDVLAPGRPAGSGRTAGGTRRWRASAKPCFADQTVNARYVTHQWGVGLELGEVFDRARAAEADEEFIEVLSDLSS >OB07G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4783086:4783820:1 gene:OB07G16250 transcript:OB07G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHDDGDLYTVHLSGNVARWRIDCVVTKSPLILEFTRVIDSSYHYAVKDNGMMSRVEHDQHNTDRAGECSYLAGAPTGELYLLKRVYKHKLVGGQTQRSTAAFNAWFLRPGRGGGMEWVRSRRDGALGDLAAFVSYTGSMCVHAWDDTDDSKNKKEVLKSNSVYFTEESVDYLGAAMVDHFAVRRTDVVEVRTTAAAATANHEMDGARKEEEEMEKKSIRVKQLGRCMNWPPPFWFVPSLEGL >OB07G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4785861:4787048:-1 gene:OB07G16260 transcript:OB07G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPCAAAEPGGGFGAVDGALAPELLFEVMQRLPAKPMCRLRAVCRSWLAFTTDPLFLAAYAARHPHPLLAVLEEGSPTRRVDLVDLSGNVVKEIRGVREASGVVRASSGRVLVHGENHGVTVVDPATGSMAALPFGLAEDTARRCGALRTPWIAFGQTASTGEHKLLRIFEDMEDGIEAEPVCEVLTVSDVNGQWRKMESPPGYLDPSCTNGVVFRGAAYFFLDLWQLDPSFNTYFATGCIPSFDLVTEQWGMHLQGPVNRILEEANGTLSYADLTDRLMLSELKGTLSTAHWNDRILAVDIWFLVDFEKGVWSKEYRINVGFAFEDFGDAVQPLVVADDGKVVLWLQTGSNGMVWVYNPVTDTSSEIIRKETSIDNGIGVYTGNQLCPGSV >OB07G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4802377:4806294:-1 gene:OB07G16270 transcript:OB07G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSRLCSAGYLPIKEESRDEVLPPVEPLRGRDLIRVDGSDAERVREFIARVDNAMRAAAMGVVFNTFHAIEAPVLHKIRRQLLTRATFAIGPMHRLLGAPEEHGLHAPDRGCVAWLHAQAPRSVLYVSLGSVARIDREVFDEMAMGLAGSGVPFLWVIRPAFVTGTADGDAPPLPEPLAPVVADGKGKVVTWAPQRDVLAHPAIGGFWTHCGWNSTLESICEGVPMLVQPCFGDQTVNARYVTQQWGVGLELGEVFDRARVAEAVRKLMVGEEGAAVRERARDLKAKARQSVEDDGASAAAIDRLVRYMFFLIRDSKAGATSAVNSVAFGFFCSLGWVGCLKAVDKTFVCFSSLILLIIRCYQVTSLISAAVAGGFITEFDDVYSDIMDSKMFPGDQPHQGDNSVREEILDPKE >OB07G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4806516:4806725:-1 gene:OB07G16280 transcript:OB07G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAVHGRSHPPRRVVMFPFPFPSHIAPMLQLAELLRARGLAVTVVHTSFNAPDSAGHPELTFVPMHERLP >OB07G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4806532:4806882:1 gene:OB07G16290 transcript:OB07G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVSSGCPAESGALKEVWTTVTASPRARRSSASWSIGAMWLGNGKGNITTRRGGWLRPWTAARWRWRGKRKEQVERVKRHREMLATLYNNTDNTSLIAYFIYALVSQANLYLLK >OB07G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4812638:4815646:-1 gene:OB07G16300 transcript:OB07G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGQPVNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFSKCIEKRHKEAELNMGENSCIDRCVSKYWQVTNLVGQMLGNQPQA >OB07G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4817093:4817842:1 gene:OB07G16310 transcript:OB07G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLGTTVAAPAVAGGGRRLGLSARVARPSATKSVTAVTEKGLFDTIFGALYKEEQLLETDPILNKVDGKAAAAPAAASRNTKAGRAAAKKAAGSASGRSSPRKSRHMIIHHRRFFDHKNLRYTG >OB07G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4817190:4823741:-1 gene:OB07G16320 transcript:OB07G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCINSKINKIYVLTQFNSQSLNHHIARTYNIGEGVGFGDGFVEVLAATQTVGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDKGADISVACVPVDESRASDFGLLKTDRNGRITDFLEKPKGESLKSMQLDMGIFGLSPEIADTHKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPKFLPPTKVENCKVVNSIVSHGCFLTECSVEHSVIGVRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPIGLGENTKIRNCIIDKNARIGKNVLITNSENVQEAERPLEGFYIRSGITVILKNAVIPDGTVI >OB07G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4836530:4838208:1 gene:OB07G16330 transcript:OB07G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLNFSASLLTLFFFFLRSADAEIGSSNIFSMQSYGARGDGRHDDTKALVDAWAAACSSAKPAVVLIPKGKKYLTKHTTLSGPCKSSILLMVKGSLVASPERSDWSKETVKHWILFSGVTSLTVTGGGTIDGNGKIWWQNSCKTNSKLPCTQAPTALTFYSCKNLKVENLKVVNSQQIQISVEDCTHVKMSRLSIFAPETSPNTDGIHITRSRDVQVADCTIKTGDDCMSIEDGTENLHVKNLVCGPGHGISIGSLGDHSSEDHVNNVTVDNVRMYGTTNGARIKTWQGGKGSAKNIVFQNMIMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNVLFKNIRGTSASEEAIMLHCSSSVPCHAITLENVKLTVKGGSSDAKSTCQNAEWKKSGSVSPQPCGFSN >OB07G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4841609:4843411:-1 gene:OB07G16340 transcript:OB07G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTTNGARIKTWQGGKGSAKNIVFQNIIMDNVWNPIIIDQNYCDSSTPCKQQKSALEVSNVLFKNIRGTSASEKAIMLHCSSSVPCHAITLESVKLTVKGGSSDAKSTCQNAKWKESDMVHQIRKHEMSRLILLRVEIGIIFPLYQWKNSIDLNDIAYCFQQSIKKVIQRRNREFAGGRHRQLLCVLEKTTTRSAQVLKKPNQIEAKRRD >OB07G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4866471:4868737:-1 gene:OB07G16350 transcript:OB07G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFPVRRRAPELIAPAAPTPRETKRLSDLDDSESLRWQVPNVFVYRAGPGRADPVDAIRRALAAALVPYYPFAGRLREVEDRKLVVDCTGEGVLFIEADADVLVADLEAAGLRAPFPCMDQLLFDVEGSAGALGTPLLLIQVTRLLCGGFVLGIRLNHVMCDASGIVQFMDAVADLARGGRELAVSPAWCRELLDARKPPKPEFRLPENDDVAPEVALGDMLMRTFSFSPADVAALEVLNMYNGLPRDY >OB07G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4888155:4889609:-1 gene:OB07G16360 transcript:OB07G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFPVRRRAPELIAPAAPTPRETKRLSDLDDQETLRWQVPTVFVYRAGRADPVDTIRRALAAALVPYYPFAGRLREVEDRKLVVDCTGEGVLFIEADADLLVADLEAAGLRAPFPCMDQLLFDVEGSAGVLGTPLLLIQVTRLLCGGFVLGIRLNHVMCDASGIVQFMDAVADLARGGCEPAVSSVWCRELLDARKPPKPEFRLPEYDDVAVTPAPAVALGDMLMRTFSFSAADVAALKGALPPHLRGRGNRATTFEVLAAFIWRARSKALPIPAGEDARLAIVISFKNNGELRLPPGYYGNAALPVTVTTPAEALRRSSLGDVVELLREAKKTMTTEYVRSVADTLVLRGRPPLAMANLLLLSDVRLVGFHRVDFGWGEPVYGGPSHAWFGVSYMIAVKNGTGEHAVAGPVVLPRAAMGVFRSEREPRQNSQRAHFRSLQTSRI >OB07G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4888639:4889250:1 gene:OB07G16370 transcript:OB07G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIASRASSPAGIGSALERARQMNAASTSKVVARLPRPRRCGGRAPLSAATSAALKEKVRMSMSPRATAGAGVTATSSYSGSRNSGLGGLRASRSSRHHTDETAGSQPPRARSATASMNCTIPDASHMTWLRRIPRTNPPHRRRVTWISSNGVPSTPAEPSTSKRS >OB07G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4893845:4899889:1 gene:OB07G16380 transcript:OB07G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVYAVMSFLSLVLPGSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRYLKPSWFMMTCCFSAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNAEKAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFRGSLLHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSSGSVVSTGQDIQLAEVSVIDSKAPLASIILTHEGGKTMPSHGSEQMVTPAEQYDLSSLVDVELSNYPSEVPSIPDARNQ >OB07G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4900399:4903456:-1 gene:OB07G16390 transcript:OB07G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEPFLQSAPRSTVAAAPLGWGGIGGAGDVSLLGGSDLKAARKLDGHAYPELPALRKPPPPPPLHPRPNLPVPTTTSHDDDDGPATRHSDRGKRPVTAWRESPSLSGAGDVLRLLDALRLPPDEDVYVSLLRDCADAAEVASVHAHIAGSLAVSGLPLPLANRLVLAYAACGDTGTARQVFDEMPVKNGITWATMVSAYSDGCFHHDALRLFAQMCHQVQGLTGDHYTHAIVAVLRSCARVDELDFGEQVHAFLVKKNGVCGDVGSSLLQLYCHCRQQSSARHVLQMMRSSSEEPVPEAAWTSLIKASHHDGCLDDAIDIFRDMASSGILRSSFSLSSILAVCAEAKGKGCYGQQVHADAIKRGLDMNQFVGSGLLHMYAKQGQLEDAARAFDAIGGTPDAVCWSAMAMAYARGGMYREATRVVYQMKASGMDPSELMMNEVKLACFR >OB07G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4908212:4909201:-1 gene:OB07G16400 transcript:OB07G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKPATVPKLATIFVVFFLLLAVAAAAETTATATATCVPTMQRMLSCLDFIEHRTDAVPAPCCAQLNATVAEQPCCLMHVLRGNVARLVGPGFDTVRAMVNVTNACLGDASALISVSRSCAGKPLPPLTPEYPFTAGLPPPQMSGATRLMGASNTSPVFALVALTVAMLRI >OB07G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4957724:4958179:1 gene:OB07G16410 transcript:OB07G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPVLTHRVARSASRIPLPLFRPARRDPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPTTPPHPIGVECDLAFGEEVVS >OB07G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4958119:4961343:1 gene:OB07G16420 transcript:OB07G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKHTDPTAHAEVTAIREACKKLGKIELADCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKANMEIIKADGNGALIAEQVFEKTKEKFQMY >OB07G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4961590:4965561:-1 gene:OB07G16430 transcript:OB07G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASALQVLLVFATVFAGTQWTTSSGMYCNDLTASVHRPHSVSITEFGAVGDGVTLNTKAFRNAIFYLSSFADKGGAELFVPAGRWLTGSFNLISHLTMSLDADAVIIGSTDSSDWPIIHPLPSYGRGRELPGKRHKSLIFGSNLTDVVITGANGTIDGQGEIWWNWFHNHTLNYTRPPLLELMYSDRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISEVRAEGLRFINSVHGIRIKTAPGRGGYVRNIYIADVTMDNVSIAIRITANYGEHPDDKYDKNALPVISNITIRDVVGVNIGTAGMLQGIQGDIFSNICLSNVSLGAKSADPWNCSLVEGYSNSVSPEICEQLRPSPGPGQVCYTGNSYFPVPAAQQPLRSGATRLQNPFHKFTSLYSY >OB07G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4978432:4983156:1 gene:OB07G16440 transcript:OB07G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISHPLTEDDRWAHPPVPARHLPTTTPSVDPPMHTHRGPFYYSRRPLPRTVDLPAPPPKHVEVSTWRDATGRTPHTRHPIGRRPWPTGASVDAAVAVTSCGPTRQSNGARRGEPTGWVSGRLKPNPLAAAIKLPARARASAAAAXXXXXXXXXXXXXXAAARALALALASVAAVAGEVFFQEKFEDGWESRWVKSDWKKDENMAGEWNHTSGKWNGDPEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKNDKNHLIKKDVPCETDQLSHVYTLIIHPDATYTILIDNVEKQSGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNILITDDPELAKTFADETWAKHKDAEKAAFDEAEKKKEEEEASKAGEDDDDLDDDDAEVEDKAEDDKADSDAEDGKDSDDDKHDEL >OB07G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:4997661:4998608:-1 gene:OB07G16450 transcript:OB07G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKISTIGGKSAPSHLLATLSGHYGPVWCVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVFADHKSSVNSIAWAPYEVGLCLACASSDGTISVMTMRADGGWDTARIERAHPVGVTAISWAPATALGSLAGPGELVYKLVSGGFDSVVKVWGFVDGGWKLDSALPSDVHTDCVRDVAWAPVLGSAKSTIASASQDGKVVIWTRGKAGDKWEGKIMHDFGSPVWRVSWSLTGNILSVAAGENNITLWKQASDGQWEEVMKVEPTKPESSEEVKKVEQ >OB07G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5005409:5008604:-1 gene:OB07G16460 transcript:OB07G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPYFFLRDLWEAFNEWSFYGAGVPLMLNGKDSVVQYYVPYLSAIQLYADPSRLSTRIRHPWEESDEESMDTSSESSSGTDGDQLRGLENGGFQREDGESHLPSTRPLFEYLEKDPPYGREPLTDKVSILSRKFPELMSIRSCDLLPTSWMSVAWYPIYKIPTGPTLKDLDACFLTFHYLSTATPSRDADPSAPACPPGGINRCMNAAGQLTLPVFGLAPYKLRSSVWSLDGPHEQQLAAALMQAADDWLRDRQVYHPDFRFFLTHYNTIWR >OB07G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5021827:5022204:1 gene:OB07G16470 transcript:OB07G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSLHHTALVVVVVVVAGSLLASPAAAQQPPPPPLVQQFYYYSPPPPPPGGGGSTYSNVPSPAVPCNCETTTTPPPPSPPGVYNYSAPGGGGGGPPQLTLLSGPSHLPGRLASAAAASLLLVWWG >OB07G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5027836:5028981:-1 gene:OB07G16480 transcript:OB07G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSIYIVTTESGSAQDLVLSPMEPESSLHKVVPLDLNNPRICSHCQSSKTSIWRNGPLGPKSLCNACGIRYQRKGVDALELDSKGGKDKKKKTSRNEVLLKSRLKKKNKRVKEVDLGMRMTIEGCQSKLMLTQSQQYEDDVKKAAIQLMYLSKAGHS >OB07G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5034977:5035523:-1 gene:OB07G16490 transcript:OB07G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSQSELMMSGDIVPRDEVVVLDTTIVPRTSYDSDDLDIEAVCTFVDQHAFVLIITQHAIKKEFDNNTDRSKAKCAYPDYCEHRSYTYLCKHK >OB07G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5060245:5060805:1 gene:OB07G16500 transcript:OB07G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSQLAALFLAVLVTAAPVVRAAAAGAYAVDNLQDACNKTLFPKVCIQALTTNPESRTANARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDSLFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGSSTCEDTCKDAPISDIKNAVVTKSLEFEKLLRVTLDLITEAXXXXX >OB07G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5063703:5069123:1 gene:OB07G16510 transcript:OB07G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02405) TAIR;Acc:AT4G02405] MPVLPWLASPAAAAAVRRAPPLSSSPPTLLPLPASSFSPWSWSNRAKGVLPPRGPFATAADTPLGGPLREPDEEGDPLLVAALRAARVRDEESRRPDPLFIDPYAAVLLSIGAPSEDKDSLALHLMPYAEYYRVVTRYIDDKLQHLISNSDDLRQIVLLTDGMDTRPYRLSWPKLSVVYDVSPGRVFSTALQKLRGAGAKISRNCVVLHTLAESPDLQEGLYKNGLNGNRPSLWVLQGLPLFNFKSLEDLLLIISNLAMKGSIFIGELPRFAQWRPAADMASEQDKLENLFFTQGFRVSFVQYDEMVKDVGLGLGSLSEIHGTTLFIAEQLRFSDAQMESFRMHFERIEEDADEDGFEEL >OB07G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5073924:5076569:-1 gene:OB07G16520 transcript:OB07G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06920) TAIR;Acc:AT3G06920] MAAAAARRMPATRRPLFAALSVLRTPTPRHLSSSGPPTQPHSSPASELLRLLSAATSWTPDLARAVSSAFSACPTGDVVLAVLRSIRNPSFAAPFFLLASSASSPHPLRADAYNAVLPFLHHDVAALEKVLEEMAVLGYGLPNPACADLVAALVRARRVDDAVHAVGVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGQVAGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYTYNTMIMGYGSAGRFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKIDDALSLFELMKKDAKPNASTYNIIIDMLCLGGRVEEAYRIRDEMEHASLFPNLLTVNIMVDRLCKAKKLEEAYKIFESASQRGCDPDSVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGYNANPVVYTSLIKNFFMHGRKEDGHKIFKELIRRGCQPDLTLLNTYMDCVFKAGEIEKGRTIFEEIRSYGFLPDVRSYSILIHGLTKSGQARETSKIFHAMKQQGFGLDARAYNAVVDGFCKSGKVDKAYEVLEEMKEKHVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIEVNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLIPNVVTYTTMIAGLAKVGNITDAYSLFERFKSNGGVPDAASFNALIEGMSNANRAMEAYKVFEETRLRGCRINVKSCISLLDALNKSECLEQAAIVGAVLREIAKSQHASKSM >OB07G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5079670:5081701:1 gene:OB07G16530 transcript:OB07G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MVVHSLAGTWDHLILNCVLLCWSPGDWNINFLLINIISRCLHTQGYCVVAKESVPKNVSVAFLERLKDDFTKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLRSQAQEFKRQGVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCIKH >OB07G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5086304:5091382:1 gene:OB07G16540 transcript:OB07G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGTRVLNLTVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKEVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKVPSIKSEFEELEEAPAALVEGPLEPQTSNIIPATTHVQEDPLSAFFSGAINVGSSSGVAVAGQNQSFGSTPLSSHAPTSISISQPPVAHHLLPSQTSSVSGISPDVHGGTGAVVNRSASLLNPSHFSPLTSSQTTMARTNSAVPTAPPQHPRIAQQPQSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASASIYSPTLSRDKVRDALLRLVESDEFIDLVYREIMKG >OB07G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5093391:5095264:-1 gene:OB07G16550 transcript:OB07G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGALHVLQEGVLDDVSAIFGLHVDPRIPVGVVASRPGPFLAASGRFLATITGKGGHAAGPHTAVDPILTASAAIISLQQIVARETDPLEAAVISVTFMKAGDAYNVIPESVSFGGTFRSLTTEGLSYLKKRIKEIIEAHATVHRCTATVDFMEEERIPYPATVNDEGMYDHARTVAKNVLAEDGVKVGAPFMGSEDFAFYAQRFPAAFFLIGVGNQTTMQKVRPLHSPYFVIDEDVLPAGAALHAAVAMEYLNKHKHASTSTN >OB07G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5098591:5103493:-1 gene:OB07G16560 transcript:OB07G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLLLLIAVVVVVFAGPTTTPTLASPSPALGEELLAAAGAPGFAGWLSGLRRRIHLRPELAFQEVRTSELVRTELDAIGVPYEWPGARTGVVATIEGGGGAGPVVALRADMDALPLQELVDWEYKSQEDGKMHACGHDAHVTMLLGAAKLLQSRKDELKGTIKLVFQPAEEGHAGAYHVLRSGLLDDVSAIFGLHAIPSLPVGVVASRPGPLLSAAARFAATVTGKGGHAGVPNDAVDPVVAISSAVLSLQQLVSRETDPLEAAVVSVTLLKGGGAYNVIPESASFGGTFRSMTDEGLSYLMKRVKEIIEGQAGVHRCAATVDFLEEELRPDPAPGHRQRRRDVRPRQGGGRGHARRGQRPARQPHHGRRGLRLLRAAGARRLLLPRRRQRHHHGAGALAVLRPRRARAAGRSGVPRRRRHRVPQQAGLLVIVIIVRLKSSGRRQERFLGMEYEAKSMVKII >OB07G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5109897:5113282:-1 gene:OB07G16570 transcript:OB07G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPVQELVDWEHKSQEDGKMHACGHDAHTAMLLGAAKLLQQRRHELKGTVKLVFQPAEEGNGGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVASRPGPFAATSGRFLATVTGRGGHAALPHDAVDPVVAASSAILSLQQIVSREIDPLRGAVVSVTLVTGGNAYNVIPESVAFGGTFRSMTDEGLSYLKKRIEEIVETQAEVHRCAAAVDFMEESMRPYPAVVNDEGMYAHARAAAER >OB07G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5126467:5129235:1 gene:OB07G16580 transcript:OB07G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAADPAETRRRQQVLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVHTTTVAHTEVSEVDGQESIDD >OB07G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5131576:5132191:-1 gene:OB07G16590 transcript:OB07G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECCCRCRCGEGLIVFGVIFGALVFAVLLAAFGFVRPPPFVVDDASLTRFNLSAATSSFAYNLTVTLVAHNPNWAMAAKNTKQMDVEYRFDGQVFERIQLAGKGDKLRPGRTVVHRLSSGSESALVPTLGNAGVVEYRDERAKGTFDVEVAVAGEVRYTARYTKCKIEATCPLKLQLAPPGTTAVAFQKVKCKLASREKNC >OB07G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5133631:5133807:1 gene:OB07G16600 transcript:OB07G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQWWGLAAAAAATTAVAETAAAMVAACGYCSSDNGSGGDSGGNDGGLRPRNDNIE >OB07G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5134041:5134205:1 gene:OB07G16610 transcript:OB07G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAMACSGCGSDDDDAGSGDDDGDDSGGALYPASGNLRIVYLILFLFLG >OB07G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5142703:5143403:-1 gene:OB07G16620 transcript:OB07G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCECGCYDCYEACCDRCCGCISYDTRESIFYFCVCLAIVAAVVLLVVLLVAYGFIRHVSVTVESASLTRFNLSAPPAVTALAFNLSLTLAVRNKNWAMSIKNTKELEAGYSFDGQRFERVKVADEGKKLPAGKTLVYHLASGSEGAYAALGNAGVAEFKKENATGVFDVEVTISGEVRYQAHLTKCKLAASCPLKLQLAPPGTPAVVFQKVKCKLAAADKNC >OB07G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5148188:5149037:-1 gene:OB07G16630 transcript:OB07G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDGCGDCCYRCRDFCWCLLCVLILLAIALVVVLVVAFGFVVQPSVTVDDAALTRLALAAAAPTTALAYNLSLVLVVRNRNWAMSMKNVEPLEAAYKFDGQQFDRVQIADKGARHGPKKTVVYRLSSGSDAAAASLGNAGVAEFKKENATGTFEVEVAVSGKVSYTARITKCKIELAPPGQAPAALVFEKVKCKLAKAEKNC >OB07G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5166065:5169393:-1 gene:OB07G16640 transcript:OB07G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDASIQPHVAAVSNLDLYPNTFQASIVCLYGPKAGGDFDAVVATFEAVLPSLLNHFYPLAGRIVVDPSSGLPELHCHNQGAELVVGEVDVALGSLDYAVTGESVKRMMLPYPEDVPLSVQLLRFAWGGFSVVWGNNNLLNDGHAITMVVRMWSELARTGRIADGTPVNHDRLVFRPRSPPAYGAALDALFTTYDDRRLVNVLTAHDSFVERLYYIEAGDIARLRDTASTNEVRPSRVQAVSAYLWKALAGVVAASRVPEERCCMGYYVDARRRETSPALLQAMRSYFGNVMAYAVGDAAVEEVLAKPLAEVAAMVRESITSIDYDEYLQELVDWVEEHKAEKVMEASALGLGSPTVIQTVFASFPLDTDFG >OB07G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5172902:5173279:-1 gene:OB07G16650 transcript:OB07G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATMGGNPSPSKDDVRAILASVGADVVGDRDANAKLDLLFAQVAGKDVAELIAVGSEKFAFAPCGGAAAAAAAPPAGAAAEEKEKEEEEEEEEEKAVEKVEEEDDDDDIVFSLFDEE >OB07G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5178357:5184230:1 gene:OB07G16660 transcript:OB07G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:J3MJT4] MGIADPTDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKAVWIWEMQPGNEYECVAVLQGHTQDVKMVQWHPNLDVLVSVSYDNSIRVWADDGDDEWHCVQTLTEADNCGHSSTVWALSFNQKGDRMVTCSDDRTLKIWDTSADLSQPKTGDNHESWRHLSTLTGYHGRTVFSAHWSSEDIIASGAGDDVICLFTEDKSSMTEEPSYRLILKKEKAHDMDINCVRWCPQDPNMLASASDDGTVKLWELRGNALD >OB07G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5196870:5201855:1 gene:OB07G16670 transcript:OB07G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASMSYGRGGDPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGKRIHPLPFADPTVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERLQQLRSEGGGDWDVDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNKHKKKTTKSKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OB07G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5217012:5217516:1 gene:OB07G16680 transcript:OB07G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSATGPVTDRRLGLSLPPRRLLVAVALVSSVLALLPGGVHGAHALDDGKEARVAEVSSSRTTMAARWSVAVREGGGGHGGGGHAGGGHSGGTGHGSGHGRTEPAGHNTHRRSAAPGRDLCGPSSPMAAALLAAAALLRF >OB07G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5225576:5228455:1 gene:OB07G16690 transcript:OB07G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILGKYHVIIVFYPTPTQFTQHSSAHWTNPMDKIICIHPTAQIRSAYDLLRPHRIPSILLLDRLHHRPTSSVAHVLASVPSRSCRLHLRSASVPAASRVPPRLHLGSRLRPRRPPRPPAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPRPLRRCRLRQPPPATASVATSVPANKLDHDNPTLYR >OB07G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5230037:5230995:1 gene:OB07G16700 transcript:OB07G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFKKTLGPVRKAIADAKLKKSEINEIVLVGGSTPQGDVRREGSTPKRPSSAARVVPRRKRIIRLDHATSPHIGLLDVMPLTLGIETVGGVMTKLIPQNTRILVKKSQVFTTYEDHQTTWKTSEGGKTVSTPTMSFLNASKKMI >OB07G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5237418:5237819:-1 gene:OB07G16710 transcript:OB07G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHEMMMRKGMSWKRRRTASRSRPRAKARCGVGNNYGGWGGNDDDRDDNDYHDGDDYSDGDGDDCSNNGGDGGREWLAAVDGSCSGGDGSGNGQDDNFGDDNEDSLPMI >OB07G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5241083:5242962:-1 gene:OB07G16720 transcript:OB07G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHGNDDEVERGGIRLAWTQEDNIRLVDSIVLDDRMFIRVEEDFETLGVINSSQLSLAHIDSQ >OB07G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5280760:5286768:1 gene:OB07G16730 transcript:OB07G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVAALTLKRGNSGSKDNGQARKRKDKTRHSEHEEKEEEEEEVKTINAITNSAPSDDDDDDDDEMLSEIESLLSGREIDVPLPGDRFERSRHSNRDSVSSELERLRSLARELEEREMKLEGELLEYYGLREQETDAAELRKQLRAKAMEADALAATVASLHAERRKLQDDAARGAAARKEAEAARGKIRELQRQIQAEASQTKAQLMLLKQQVTGLKAREEEAAMKEAEVQRKLRRLREVEVELLELRRKNKELLYEKRDLVVKLDAAQGKITESDVVADAREEINKLRHANEDLTKQVEGLQLNRFSEVEELVYLRWVNACLRYELRDYQTPSEKISARDLNRTLSPKSQQRAKLLMLEYAGSERGQGDTDLESASSAPSSPRSEDFDNVSVDSSSSRYSFLGKRPNLMQKLKKWGRSKDEHSGSLGSPTAQSVTGGSPRRLSSSQKPKGPLEALMLRNAGDGMGITTFGKRDEHDLPSDATDDANVASSFQLMSKTVEGFADDKYPAYKDRHRLATEREKAIKDKAEKARVQRYGGGVTSSGTFLSPRAALPTKLAQIKEKTPAANAESVHQSSDDHNNPLAVTQLKLANIEKRAPRVPRPPPASSATTASGAPPPPPPRPPGAPPPPPPPPGKLVGAPPPPPPPLPGSLPRNVAGDGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSMTSSVSDVRSNMIGEIENRSAFLLAVKADVETQGDFVESLANEVRAANFANIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDAVREAAFEYQDLLKLENKVSSFNNDPKLPCEEALKKMYSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGPDKDPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMSTQTSAPQISDA >OB07G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5314142:5315741:-1 gene:OB07G16740 transcript:OB07G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRQGATYVFFCDEGVKMKASATVSAEQQDSATGNQKVVATPTVYDYIELLGFSLLTRPLRLTTPIQVQKSRFRINDFVVFMLSKPVNVRESLIFDTNVS >OB07G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5329924:5333818:1 gene:OB07G16750 transcript:OB07G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFENQATEATKVTDDMKMDPPRIKIRIRLPPRKRLTNSLLTDKKEAPDDTSNAPSNRVPAQTKIKIPRKKLTLTAVQHDASSISTRGLCNEGNNNTSSQMPTSEPNCDISSDNLPDAANDSIPSENLTTTAAQHQASSISTRGLCNEANNNTWSKALYGEPNCCISRDKLPEEANDSILCKNLTTTAARHHTILISTRELCNEPNINTLSKILPSQPHYDIPSEKLLEDNDSIRSKNLSINAAQAYTSSISTQGLCNEANNNTLSKTLPSEPTCSEKLPEEANDSIPSKNLAITAGVWGNYVGNYFVKEGLCEEANDNILSKTTHPAPNKELPSKPVESMPSKNLTAMGVLSEEINSHSLRLFQETNIPSKVVLPEKSKNHSRNLTTTAVKCEEANNNILTTKLSDKTKNNTQSNRPTDPHRKNNPQKMLCTSAVHAAHASQNTSEMKMANSEMKHSTSFFQSAEQGINAANFEAIKQYQEFEDKVKRTVYLDYFSHQATETVIKTALSQFGTVREINFLVNYTIPFSIPQSALVIMETEKDAVAVVNMLNEFPFMMSGMPRPVRAVRATSEMFNDRPRKPGTKLEFRWVGSTDADYHIVKKLKLMSRRHELENLALVKHELEEEHFLAKHQEEILNCNQRKLETVDSIMLSGKFNRLSHIYSVSVDEVFCNKWLV >OB07G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5335375:5338053:-1 gene:OB07G16760 transcript:OB07G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAATGGVPRSEKRPRDLEEDSGAESGSGSDSDGDFVRLRGLLPIDLLGEFAVLFIVRSVGGDCSDLREIACLLRLIKGGANKDGQKMCEQIVASVAADIQNMLEDTKLMFEIERQNFLKVLSNTSKEQCDSSLNKEYIKFQETYEIFCQQKDAHVQAFRDLFSKVEVEKKVLLEQYENHRKEETATLSELDNTVSEKITHAEQSLRRTEITPEEQSPWRTEVDNTFSEKLTHAEQSLGRTELDNKFSEKIAHAKQSVRRMKQDDKSFTILRKSVGSFLECGSDDDFDLNDD >OB07G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5348066:5355429:-1 gene:OB07G16770 transcript:OB07G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESGERGSISWRANVTNDQDAKKLEADDKLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGSSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFVPRLTGAGATGDAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACYSPTISQEDAGKCADLSLDTSSFLLRNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIRMRKWLRNLMTRTIAIAPSLIVSIIGGSKGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWVLGLLIIGINMYFLSTSFVGWLIHNGLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAPLDVDAEKATSISDCGGDDAGAGDDDDAPMPYREDLADIPLPR >OB07G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5375968:5380704:1 gene:OB07G16780 transcript:OB07G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEAGARAGGKAADDVEALAGCGGDADRRKEPAWKRFLRHIGPGFMVCLAYIDPGNLETDLQAGANHKYELLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKTCLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWSGVLIAGSSTLLLLGLQRYGVRNLEVVVALLVFTMAACFFVEMSIVKPPVKEVLHGLFIPGLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDACRFFLFESGIALFVALLVNIAVISVSGTVCNATNLSPDDAAKCGDLTLDSSSFLLRNVLGKSSATVYGIALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFIIIGINIYFLSTKLVGWILHNALPTYANVLIGIVLFPLMLLYVAAVIYLTLRKDTVKFVSRRELQAGDTEKGQVADDVGNEDSKEPPV >OB07G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5383607:5387018:1 gene:OB07G16790 transcript:OB07G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G08455) TAIR;Acc:AT4G08455] MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLLFLPLPSTSPLCSAASAEAAAARPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVCYDVLRAFIHYMYTAEALLDEQMASDLLVLAEKYEVKNLKAFCEKFLTSKVSNDNAIAHYAFAHRHSAKQLLETSLSAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSSNRKG >OB07G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5387430:5392147:-1 gene:OB07G16800 transcript:OB07G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKRVLVGDGNGYLGQHLLAALAADGDERSWKRQEIAAIRRDRALYRARLVVGMVTSCMPNRLGDLFLSLKDPSGTIGASVHQKVFAKEDGMVVSVGSVIVLKKVAVFRPSHKACYLNITEENLEMLVPKDFPCASKQVISSSPSESQHLADTLQDCTLRMDKGSTQGVENHQGIRLQEKAINPSNKNKPTFSANQQLQKTINSISPANVQQRRGSPCSKYGSSRIECSTNNIMMRLLGGERNVTNSREMVDAEVYFDHGGTADANNSTSIMDSDTSTSGKHQGIGHQTLMERLGSRHISNHNGEEHHQQSLGAPENPNTRCSQPSLGGCSVMPGTGDSVEASSDEKRSQPAEGEWMLPSSKKLRTDPVLPDNVMSSMNVPQEVHVEHASINKPNECQPEDLTAGTLGIVLPSQENSSASVTTSDATSVSASLHLQPTKLASVNEWTDDQLSELFLDY >OB07G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5420318:5423601:-1 gene:OB07G16810 transcript:OB07G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLFVASAAELGARRRCGAGGCDMREDGGVEAMLQCQKVSDLLIAASFLSIPLELFYFATCADLSEVKCAVLHFCAFIVLCGASHLLTVFAHAHPHSAALLGALTASKVLAAVASSAAAVSLLTFIPKLLRFKVRESLLRDKASRLHRDLGLVRRREEATSRAVRALTGRIHGSPLDVHAILRTTALQLADALGLHSCAVWMPAAGEPDLVLVHQLSSRAQATDMLEVGDARTTIAADDPDVVDVMASKVAKVLKPHSALGTASGSDNLQPTGATAAIRIPILRVSNYDGGGTPEVTEASYAILVLLLPHDAPGGWSSHDLEIVQVVADQAAVALSHAAVLEESRSMRDRFAEQHRALMQAKHHAAMATRAFSSIQSAMCDGMRRPVHSIVGLASLLQHEAAEGMRPEQRLAVDAIARTSTLMSALMDDVMGAVTVNRPHHSSLQRKPFSLHALIRDAIGVAGCLSRCRGAAFLHQPECSLPECVVGDDTRVFHLLLDMVGTLLRRCDTESGACRLSFSVRICNVGEERYSLDWIPMRPTFSGCNVCVKFKVGIERSRSCAAERSLPCQLPPPIPAASSSEMGHIFSTYCNKVVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVQAPGASPPHILPHFNGLRVLLAGRDAVNREVTKRILERLGCQVMPAPSAAHCLSLLASAEASFQLVVLDIDDDDGTAMDGFEAALRIRELRNSCWLLIVVVVAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGARRGGGGARPPPPLPTAPPSRSCAGGPGSTA >OB07G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5434606:5435502:-1 gene:OB07G16820 transcript:OB07G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKPPDKIPISQARVFVVDPAGGVVGSPEILFRLDEHEATGGEFVDTLYPTLGLYEESLVPVGRTIEEMVFSSPATRAWPRPFRLPPCSVMLREKRRIRISL >OB07G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5437201:5438937:1 gene:OB07G16830 transcript:OB07G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLETITLEVGMFTPSRVRLRVTAMRLRPTRSTKCRALLPCSVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAGARGRRACAGIHPLAVKSGSGSDARVATALADAYAKSGSASCARRVFDETSLKDQVLWNVIISCYSSHGLVRESWDLFGSMRCAGLCGDGFTFSALVSVRASSSSSSCYGHANLLLLMGRSAHGIVIRLGLHLDVVVATALLDMYAKSGQVAEASRVFDAMALRNTISWNAIIVCYGKHGRGKEAFDLFVWMMRDGCCPDELTLASLLSSCADMAAAYEAIQLHAYTVKRGLQDFLQVGNALIMAYGKNGFVHEAKRAFGLIHNPDLVTWSSMVSSFAYLGLAKSAIHLFDRMVQQGIHADGIAFLGALSACSHAGLIEDGFKYFLLMVRDYKIDPTPQHLACLVDLLGRAGRIKDAYDFLVNLSCEADVDVIGAFLGACRMQGNIQSAKWAASRLFSLKPNDPINYLLISNTYAAVGAWRDLAKVRSVMRNMCGNKVPGCSWIEIGGIVRTFVSNDLLLHQSREMQLMMEILVSLVDKDCSDDDIVCSCPSSI >OB07G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5441676:5441909:-1 gene:OB07G16840 transcript:OB07G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTWHRKQGSLHPDPITYCYCLTSIYSLYQSFIFSKLCFSSLHYPECTSRKERNCHECTFLGCILLHAYVALEID >OB07G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5445432:5448326:-1 gene:OB07G16850 transcript:OB07G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSGVLCDSSAFFAAMAPPRGGEAAASASDGGGTGRRIEVDGVDNVEAFRAAVELMYQPDPLRWLAAAGVSRSIDVLEVSSSIMFERGVKLCLSYIEAVPWNEKEEEKLKNLFARCTFDEAISQDILARLRPHSWSSPEDLTVHLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDLAGLNKESLYNICYACLNSLVDLYDEATEATNHTAQALVIRGGKPFIEQISQQTENLNWLFDILVSNDMAEEFVELWANQDRLIRIHEQASPMMRYELSRISAGVFIALGKGKVQCRGELRSLLFYGWFSAMLLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFATSGSECPNLTRAFQVWWRRSFVRSSVEAQQ >OB07G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5449254:5450142:1 gene:OB07G16860 transcript:OB07G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFSYFRSEKLAYGLSRVNGTINDLLLTTACLLHVTLLHAVYLAAHLHAVYLVACLHAAVVYLATEVLELIGNAARDNKKNRIITRHVLLAISNDEELVVSSGISYALVHQAHLSVKVVEA >OB07G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5455998:5456264:-1 gene:OB07G16870 transcript:OB07G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTHKSQHHPGVYRNQRSPQALISSNTGYQKHIKGILPLYAFMCPARVLGPQEHVPCPSPAMQELMQFHFLCLIPVSFPVSFAPQS >OB07G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5459871:5461466:-1 gene:OB07G16880 transcript:OB07G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGVPPNGFTFTFLLRACALLGFPRPCRCVHGQIVRWGFGSEVFVQNTLLDVYYRCGGPGRVAAARQVFDEMVDRDVVSWNSIIGVYMSSGDYTGAMELFEAMPERNVVSWNTLVAGFARVGDMVTAQTVFDRMPSRNAISWNLMISGYASSGDVEAARSMFDRMDQKKDVVSWTAMVSAYAKIGDLDTAKELFDHMPLKNLVSWNAMITGYNHNSRYGEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIRKSNVHLTIALGNALIDMFAKCGDVGRAQSIFYEMKTRCIITWTTMISGFAFNGLCREALLVYNNMCREGVELDDMVFIAALAACVHGGLLQEGWSIFNEMVEQYNIQPRMEHYGCVVDLLGRAGNLQEAVLFIESMPLEPSVVIWATLLSSCVAHGNAEFIDYVSKKITELEPFNSSYQVLVSNSSALEGRWDGVIGARTIMRNWGIEKVPGSSSIQVGSEVHEFLAKDTMHERRKEIYETVDGLMALMRHTEEAPWPRHCSTL >OB07G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5485720:5489986:1 gene:OB07G16890 transcript:OB07G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATAGARASLRSSSRPLLALALDRHRRPYRSSPAATSAAARGRRRAVLLQVRAARTESAGVSVGVRAPEFELPEPLTGKLWTLDDFQGNPALLVMFVCNHCPFVKHLKKDIAKLSSFYMEKGLAAVAISSNSIVTHPQDGPEYMAEEAKLYKYPFPYLYDESQEVAKAFQAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPSVGCSIKWHP >OB07G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5493015:5499497:-1 gene:OB07G16900 transcript:OB07G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3MJV8] MGKHSVESGTSLLLHGDLDIQIVEAKCLPNMDLMTERMRRCFTGYGACSTECGKSDPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFNVQVAHSVSRIEFHVKDNDVFGAELIGVASVPVEDITPGDMVSGWFPISGQYSNPMKASPELHLSIQYKPIDQNPLYKDGVGSEGSQSTGVPNAYFPLRKGGRVTLYQDAHVPDDFCPKIEIDGGRLYEQNKCWEDICHAIVEAHHLIYIIGWSLYHPVKLVRESTKPVPNGSPPTIGELLKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQASGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNAHVCDQNDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQQYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIVADALRKEGLHDTHPQDYLNFYCLGKREALSDISTTSHSNENSSLRLAQKFRRFMIYVHSKGMIVDDEYVLIGSANINQRSMDGSRDTEIAMGAYQPHYSWAGGKKAPNGQVYGYRMSLWAEHLGTLEECFRWPHSMECVRQVNQMAEENWACYVSPEMANMRGHLMRYPISVDSDGRVGPVRGQECFPDVGGKVLGTHSSLPNALTT >OB07G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5502688:5503873:-1 gene:OB07G16910 transcript:OB07G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVCELEEENILVNRTSDFVEDTLVSPYKLNNLYA >OB07G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5508847:5509173:-1 gene:OB07G16920 transcript:OB07G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCGSTFTDAASSTPKEVAIANGGESLNPNMVVGATVEREAKLMRYKEKRKKRCYEKQIRYASRKAYAQMRPRVRGRFAKIPEATTSPLPLPSTYDPSRLELVRWLG >OB07G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5544149:5545659:1 gene:OB07G16930 transcript:OB07G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVNSNPVLFIPPMMYLNEAWLHRLPREDVIISKELPQRHEGIVVASLEPQLLLEQLLRWVNGLRFRGRILEKCIFSSVEEVPRKLVLKKYTTFCGDGRSWTISVFVLNGDFAYAQPTDEDLLHVISHNVSADHWETVPVSSQGTVDLVQDFADEIPNLVFPQFWAMLKELRSNGLLLTNLVGCKESHLCSRQGRCGSAKGRKKRQEIELVDTGRRRSVRINKLTDGYMSSDPSIGIGKLRGKASLKSTKKLKTMAEESCILLSLNPLPSDFFESYADDNDTDSVPMDCSIQLREQIGVDVCGLSKEEVTAENLTPRPRAAGNVAQSPWFSWIVIF >OB07G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5570306:5576283:-1 gene:OB07G16940 transcript:OB07G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial+prohibitin+complex+protein+2 [Source:UniProtKB/TrEMBL;Acc:G8JBA5] MNIKGGGRVPVPPAGAGTLVKLAVLGGTAVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTMYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTISSSANKVFLDSNDLLLNLQQLTVANKKK >OB07G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5591195:5596979:1 gene:OB07G16950 transcript:OB07G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G72190) TAIR;Acc:AT1G72190] MAGGAAAWPRLATTLARSLAARHRPLPCPDLLTSSSPRGFANMGDSVQKSGSADTTRVLFCGPYWPASTIYTKEYLQNHPFIQVDEVGLEEVPDVIQNYHLCVVKNRRVDSDVIAKANQMKIIMQYGVGIEGIDVNAATKHKIKVARIPGSTTGNAISCAEMAIYLTLGVLRKQKMMDTAVKCKDLGIPVGDTIFGKSVLILGFGAIGVEVAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYELAGEADIVITCLLLTKETVGIVDHKFLSAMKKGSYLVNIARGGLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFPNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >OB07G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5599392:5599802:1 gene:OB07G16960 transcript:OB07G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIEGICIFPLLPSHPPCFPFHRSFFSTGAGGRWGRRRSTHANTAVLQNKGGAMGRCAAGKGAVAMASRKEAATATAGARNGMPWQREVFTCVPLKKMVPVSVPLKSLVLPLCHRRTLSRPPRHSVAILLGFNR >OB07G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5627103:5629008:1 gene:OB07G16970 transcript:OB07G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72030) TAIR;Acc:AT1G72030] VALVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRLRNSPPDRYACLVAEEADVTGQLFQAPYEKIVGVVDCTVLDEADILKNLQDVNEYFYVSGIAVLPSFRRRKVGTTLLKACEALALQWRQRFMVLRAYEDDDGARGLYSKAGYRVVSRDPGWVTWVGRRRRVLMIKELPIHDHQLEQ >OB07G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5639923:5644099:1 gene:OB07G16980 transcript:OB07G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPLTPAWAVSRPTRLTMAGVALSPGVVPSPLPRVASPPAPIFRRPSCCSRCILTGALVMANEAAMTSPESSEDKAHRIFLDFMTKVAQYDDLTDAGKRILLKFHQELEHFRRPKLVTESGAISEIVKSNYSDRMRSYLEAGCTHHDESIQNLNELHSCQEQLNGHINKAKLLLEELQFLEEDVYSTALTACLSSLRHTDDCSDDDNVTNEYSEDEQQPGDLLDSAVSCASVMVLVHNMLKMDYMMQEKIVHALCIKTSSSELEVYCQMWDLRPYIDDNVMRLAWQFVP >OB07G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5646602:5647871:1 gene:OB07G16990 transcript:OB07G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDSGPSLRRHSRRRRRLVFDRRYGWIFDEWTDPADAALAGGRGMFCVLPMARSLLDFAVSSVTCAADSVSRTLKRSEISSPIAYLPALSLERRQQTWFRELEHVGVIADTKLVPCRTQCSLECMSTDGH >OB07G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5649181:5649357:-1 gene:OB07G17000 transcript:OB07G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSVQLGVYEHGWSLIDKAWNHQLIEYLGSVYDTLLPICLCQILEILSRSPLSVSFVS >OB07G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5669323:5673299:1 gene:OB07G17010 transcript:OB07G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:J3MJW9] MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLAHSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTVPRKAAQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDLATEEEEEEEVEEYVEGDAMDDIEDMEDFEGLPDGDDGDSDEDDLSDEPVAKKSKGTGSDLRSNIGKKSKKIITEVEDEDTGIKRRTRM >OB07G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5674850:5677814:-1 gene:OB07G17020 transcript:OB07G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45100) TAIR;Acc:AT3G45100] MDAQGRRKHRVLMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYRKRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPQKVFMVPNAVDTAMFTPSPERLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVQMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPKTDLLERLPRYLRCGAWAGKLFCLVMIINYLLWCLLEYLQPAEAIEEVPDIGPVHARLESVDDMCGNQGKGN >OB07G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5685641:5690855:-1 gene:OB07G17030 transcript:OB07G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:J3MJX1] MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPAHIGASRDYNVDMIPKFMMANGALVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGYDLTKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTVKRMKLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPGCKVEFNDQGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVFRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMYVFCCSYSHNVASKGKFIAFVSAQAESENPEAELKPGIDLLGPVDELFIDTYDRFEPANDPSSDNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDI >OB07G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5705486:5708042:1 gene:OB07G17040 transcript:OB07G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAELRIDGNQLRTTDWIANLTALETLDMSYNHLQEIKGICHLNRLKSLKLQMNEIGSGVVGCFREMKLQELDMRNNLLTGNIGEYLQNLSEIQSLQLGYNHFKGIFHLSLMANFSSLKALVLSNNIELRIETEFPSWVPSFQLEHLALDNCIINKHSNGKIPTFLLGQRSILDLDLSGSFLSGTIPLELFYSISNSLSLRKNSLEIVEKFSLENGTSRLGMLDFSDNQIAMQLPSAFGTIFPALIYLNMSHNALYGHIPSIGPDVLEVLDLSNNHLEGVIPESLTTFPSALKYLILSNNKLQGGLLPKNSSMFMLLHLDLENNHLEGNLPPELRKSTMLKILNANNNKLSGTIPSWLFSYEGLLELSVILFKGNHLEGSIPKEWCNTINLHILDLSNNSLSGNIPDCLSDFANAYFSNLYSNNLYFPNGIKRANIPDQNIFNESYGINKESNEVTINITTKGTSMQYRGLPLEFYIGIDLSMNHLSGNIPLNLGFVPGLKSLNLSRNHLRGTIPDTFQNSLSLESLDLSHNYINGNIPSGLTQLSSLSSFNVAHNNLSGEVPYTGQFPTFDKSFFEGNPDLCGEAVEKKCCTTNITFGLWKMDIIDSPIIYWSFVFGSFATGFWATIAVLMWNSSLREKWFSAVDHLITGWN >OB07G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5712547:5716246:1 gene:OB07G17050 transcript:OB07G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDLNVQLDLLDIGLWQELKPQLDETENQVLPNAPFTMSQQQKGILCSVIQNLSTPFGYASNHSRCVNMNECKVLLSDDSSITKTIRALVRKPNKCGNSGVVNISDGGIKYCGRLTDIIEISYRDYYKVGTQKRVGMPRVPAMLSNLNLAAELFVKNGQQYEDSFQASETIQDGQADEENVQGPPDLDIALADIGTRVKRSINIISYFDLAVYSGRVRLPQDKAYDELANRRLNNGSIFPKYYDDAFDRVIRKELNVYEY >OB07G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5719589:5720972:-1 gene:OB07G17060 transcript:OB07G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDYERKQNENIKNNEAMVQSLHLRKLVESLSKTTKRKSANKHTRCHKNMSKNVEDEGSDSEYDPCLEKCTQSDEDNPELEKDGIGQDALEEEICTSTRPHVKASLMAPGVGGQSQLGNNLNEDYSTFNTNNHTIDGDACEDQHAQVHSNSSLAVSIGGAPTPKRRDVRKRTMGHGLDKINERHGSRLAIFVPEGKIRPEHPVQAAKLASECGVALRDHLPIHPHWKNYKKVCKNDNNEGATVENINHISKVLGVVATRLVVDVNHDGPSKKACEDIVKKGVRQQCYHLKKNYFNGL >OB07G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5731477:5736082:-1 gene:OB07G17070 transcript:OB07G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:J3MJX5] MSDEVIWHCIRHNHCSFMAKITTGTFCRNPYNVTGICNRSSCPLANSRYATILDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKALEIINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLELTVREKLITMPRMNTKKHLRGLDKADKAAQLENIIENELKERLKSKIYDPSQICSYPFKEFENILDMEKDDVAPPEEEEEEECIEYVEGDEIEMDETEDMEDFEGFPHGGTDKDDLLDELVAMKPRGLGPNLRSKIGKRSKKLITEVERDEDMGII >OB07G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5741628:5744477:-1 gene:OB07G17080 transcript:OB07G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSSSDSPKVTERKADKDHDGQDDGEKGGFLDRVKDFIQDIGEKIEDAVGFGKPTADVTGIHIPQISLEKVELIVDILISNPNPVPIPLVDIEYLIESEGRKLISGTIPDAGTINAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKVRVVMHIDIPVIGRISIPLEKNGEIPVPYRPEVDVSKIKFEKFSFDEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAELKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGRGTGYTIKGHIDVNTPFGHMKIPISREGGTTRLKKEDDDDDDEE >OB07G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5747628:5751911:1 gene:OB07G17090 transcript:OB07G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTCGLKQMSDPYLLCYSLMTMETSREVFKYHPLPTPGVENNLKDEIQSKVLGTIGNVMNSLDPKSFPQHVEGALGTAGNIINSFESKLAEHKPFDFGGKTDFYGYDYADDGWGSAPLKLEKPINLSNLLGGLIAIFNRGGKKSEIQPPMDSKSSVAFLGSNSNGETFLHSSVYLPSAPPLLDEEALNYNVYRVVIEAEPPEWMPDSYANSCMQCAAPFTVVTRGRHHCRFCGGIFCRTCSKGRCLLPAKFRERDPQRVCDACYDRLDPLQNLFINSISNATQTAKHDVFDWTSTRGWLNLPIGLTMEHEIYKAANSVRSYIKIARINPERSIPHAVLSGASGLAILTVVKAGALVTYKLGTGLVVARKSDGSWSPPSAIISAGLGWGAQVGGELMDFVIVLSDLEAVRTFSSRMHFSFGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFVGVSLEGNLVATRRDANLRFYGDPYLTTSDILMGDMPRPNAAKFLYTALDDLYSALRP >OB07G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5767295:5779254:1 gene:OB07G17100 transcript:OB07G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEESAIQKKLKPEEQEAQPAVTAIADRTEEEDKAEVFLRVVSPAAAAATSAHHAAVAGAGAGGVAEEMENGGGSGGAGGQQAAAAVAPAPGPEVVRSPLAAAVARLENHVLERVVKDPNAPYFPMPYPLLPPGTFEIIHDTEVDPHDDPENPIIWKEYTRIQGNLVLKRAGRKKGGVEIYDSVKEEGEGSSSGGPRRGRLVMETNAQAQAYYRSLRNNGAPAGGAAGAGRTDKGKETAEAGGSGIYQNAGAGVKTEHEDEHSEASNKGSGAILQPNKDGNQELTNDPENWGGLTIQESALEHHTDGNQELTNDPENWGGLTIEESALEHHAELTNDPENWGGLTTQENSLEHHSTALYSVMSNGSKIGDDNTNNEVGTETGTFPMLAGDDDNISALDRWFENKYLAEHSNDIPDILDESNADKVNTW >OB07G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5779510:5781585:-1 gene:OB07G17110 transcript:OB07G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIAMIEAMKNKLKDPNAPYFPMPYPLLPLGTFEIIHDTEVDLHDDPVSWVRNALINPFAPENPIIWKEYTRIQGNLVLKRAGRKKGGVEMYNNVKEEGEGSSSGGPRRGRLVMETNAQAQAYYRSLRNNGASAGGAAQQYMALPSLLPDVVRARGRRAVARSRSGRSAPPRPATSSPELGMQDAVNQMQMQYRYEELFCMHMLTPDCKRHTDI >OB07G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5781894:5782805:-1 gene:OB07G17120 transcript:OB07G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEWPTLPHLTNNSRYPAPPPWWPNGRERWWHELGEGVEAPPYKPARAKVVAVVAMVKNIAPDYERLAMAMQMASSVTSIITDAEAMAWDAGVTRERDAYIARHPRSAPPTSGPGRGRSCGPSSRRR >OB07G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5786086:5787451:-1 gene:OB07G17130 transcript:OB07G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAVAEDALPPGLTFQPRDDVLVGSYLLRRIQGTRPLPLQGAILEADPLSAPPWKLLASFGRGDEAFFFADARAKNGKGKRQKRTVEGGGFWQGQRACVDGEKLLVPGGDGVAEVAWRKYMLSFFAEGEKASSGWVMHEYSVTAPPDLASSPLRLYRVRFSGHGKKRKREPQPDEEGQALPQSARAAVVVEPAKPPAAMDESSSVVFSQLPDLIALPSEEADATTLPPPPQPLLPPAPAALVRFADDAGGASTDLASSPLRLYRVRFSGHGKKRKREPQPDEEGQALPQSARGGGTCQASCGDGRVFVGGASTDSSMVSTQPPELTVLPLPAEEADAAPAPSGMSWPDNQSDYSSSIMDGEPLDWSGYDFPENIDDVLSCIDFTATADQCSSLDFCLDNLFNDLQAD >OB07G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5791964:5793020:1 gene:OB07G17140 transcript:OB07G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAVAEDALPPGLTFQPRDDVLVGSYLLRRIQGTRPLPLQGAILEADPLSAPPWELLAGFGRGDEAFFFADARAKNGKGKRQKRTVEGGGFWQGQRACVDGEKLLVPGGDGVAELPDLIALPSEEADPQPLLPPAPAALVRFADDAAGASNDSSMVSTQPPELTVLPLPAEEADAAPAPSGISWPDDQSDYSSSIIDGEALMWSDYDFPENIDEVLSCIDFTATADQCSSLDFCLDNLFDDLQAD >OB07G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5797261:5804474:-1 gene:OB07G17150 transcript:OB07G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAKETATDGAASETVSMTLDVPSVHEPMQRIKKQPKRKRALVDEEASSAGFQGEIGALFDYYKEVSGYQLKPEEIGCTTNDSIIACLLEESNLPYAKLVDEIYRRMELRDGVTKSLVRSSVDHIGERMSYGISDIHDQVLVDESKSKLWCWECFTPGDLLFTGTPKVHVNENTGSERELKHIKEKADKEAKRAERQKAEQNKRLKKHQEAEREQKRTEKQQAELKRQACIQKQANFMENFLRGRKAGSMESPGNHHSVGSPHSNVISKIEDCVSSATSAMDCTLSAENQLRLDEIWKLQIAGWRKLSRQKKICRWGVRRNPKIELCKELKLQKCAATASSEFMSTLIKEQSSQMERPGSLNFSKLLDESYGENGDTSETTNANTPSSVCLVRKLLQFDRSYRPAYYGTWRKKSSAVCARYPFKMDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMDEQDSKHDAEEETDNSFVVPNDYLSEDEGVQFESGKLDDTCRLLIIPGVAIEELNVVLRQQKALHSFTKHALDRDRPLVIYNLDHGKADLLDAEDMNGIFRVEQLCLQALCMREYPGAPIIDIPVNITLTTEDQEIGQPKKKGPSTPVASKLIPDSDLPKVVKLISSCPYGISKLVESLHMQFPRVPKFQLKNKIREIADFTNNRWQVKKEILDWCGSSVPLDKGVQEMQTNESGDSVQHSPQSDAKLKIHKHQIDAQGSGGSTSPSDHEN >OB07G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5811984:5815330:-1 gene:OB07G17160 transcript:OB07G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVWAPRLLLPIAIPFLLGALAAAVLVRGGGGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPDRAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVIGLSGLNKEIFYDLRGNHDSYGVPQVGGMSDFYEKHSINARLGRTGNLQSITLQNSGWKHLFVGFDSSASIGLRRPANIFGQPTDQLLVQLDASLSQWDNDSSTSAVTKVVYGHFPMSFSALTTSGGSPRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRHHLSAMQYYQAHIHESTSTSVGSNNCSMTTESIEEFWEWEMGDWRSARSMRILAIDSGLVSYTDFDFRFGSMDVIIVPTFPLDSRFMQRHSTPHELNCQANSTSHFGMVRALIFSKYKIISVLAKVYDSFSGRHLVLEKDMEMASGEGARGAMYTVPWNWRAYLDESPDRYWLQIEAKDMTGKTYYSQLRPFSMNGLTAKVRWTWKEFLVMGCQWGQLYHPIMWSTLASLCLLILIPRTSLMLYENHMLKYLSSKMTGGSSGRHLLASFEYFAPDLSKMYSVWSGMLIYLLYLVFFPWFSGFAVTENHNKMYLYYKGWSTSSLANVSTVPYIGLADVMVIVLPHLLFVVLPAFLTIAAIAANRAAYLACISHNAKKDDDHYKGRQYIPRIWIFRCFRRFLILLCLPIAWKHWKHCREIVRAYGANPFMDAPIYCFGVPVLVCLAIYRTSAI >OB07G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5818211:5819420:-1 gene:OB07G17170 transcript:OB07G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLSLHLHVCKQFFIMDEADRLLALGFRKRITSIISKLPKPRRLGLLLATQTEAKPTSKDGAQKELGPSKTLLGIRLEILPADAEKKKERKNTELATTAKIDNRDL >OB07G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5820300:5822317:-1 gene:OB07G17180 transcript:OB07G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVILRDIFYRLVLFLQLDASISMEIIAFWLWLEANNSDSNFLERIDSFDDDRFQAIAFVAKSFVETLNLDLRDLGDTRSPFQQEVSEGIVFYLNNVCYKAFEDFQENGDIEELPHQICQVNEGNLNDQVSLSTEDLLSKIKSLYANSKENHGEGPSYRSIKCLRNCILNDTKVAIDEYTSCSHLEKFLDNLSLREKHNDPAMHQCSDVPQDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLLRVLDGNKRVKFMTRGKHLWARQFVPKKKKSKNDEPNLID >OB07G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5827606:5830138:1 gene:OB07G17190 transcript:OB07G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFVTTGVAVGICAMQLVRNITTNPEVRVTKEKRAAGVLENFDEGKRYSQHGVRRFWLSKRRDYMHVLDNDPSPK >OB07G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5833033:5833974:1 gene:OB07G17200 transcript:OB07G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSAAWKRWIRPEVYPLFLATGVAVSICVGQLVRNITGNPEVRVLKEKRAAGVLENFDEGRRYSQHGFRKFIDGRRPEIMPSINSFFADPPKY >OB07G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5843609:5843830:-1 gene:OB07G17210 transcript:OB07G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVQPYAESGGEVRRGKGKATEAESGWGGGCARARRRQAGGGRRVERGGRRGAWVGGGQTVVAGFGVAFAGT >OB07G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5843894:5848948:1 gene:OB07G17220 transcript:OB07G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNPRTTIRARGGGGSGVGVADLAIACTVFSFAVCNGSMLGVVASAILGDIMMKQIFGRRKNAKSADKDFFAGTSPSVLDQVSGLGLADRATPNLSSQPPVISSTGLSYGSGNHVENPNARTNGNLLSSNFQPLPSFKDVPNSEKQNLLIRKLKLCCTVFDVTDPAKNIQEKEIKSQILLEIVDYVVSATVKFPETVLLEITKMISVNLFRTLTSPPREKKVLQAFDDPAWPHLQIVYELLLKFIQSPETDAKLAKRYIDHSFILRLLDIFDSEDPREREYLKMTLHRIYGKFTVYRPFIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPIKEEHKLFLVRTLIPLHKPKCISLYHQQLSYCITQFVEKDCKLADTVIRGLIKYWPITNSTKEVMFLGELEEILDSTQPAEFQRCMVPLFRQITCCLNSSHFQVAERALFLWNNDHIENLIRQNSKVILPIIFSALEKNVNEHWNQAVKSLSLNVQKLFSDRDAEHFKECLRKYEVNQAKEKELKLKQESVWKRLEEVASAKATSGEAVLIAPSLPRTSSLV >OB07G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5856207:5856440:-1 gene:OB07G17230 transcript:OB07G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVYKNFIRKLFFYLQICWWTFFFKRPKDDLRYSKIALDPNLLKPLYTFALTRYDLFKFQNRTTYAVLHVLTENIT >OB07G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5863970:5864799:1 gene:OB07G17240 transcript:OB07G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNCGHESHQPSGQQNIISSTSLADVVPGNHMNGSGQVNSPTVAFLEQHRLHLDRVLQTHMSLQNTTLSTIMDSMIKDAVKEKDEEIAHLHIALNQVQDFIRNLEQTIDDAIRFARQSILYVNWLLPKLDDSGSSGSSNEVDHTGSNQEVEIDEMNAVETTHPSLICKVCNSHSACMLILPCHHLCVCNSCGTHLTTCPICNSAKEGLLEARFS >OB07G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5872635:5873411:1 gene:OB07G17250 transcript:OB07G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNFSQENAHQPSGQQNNFSSFTSPTNHVLGNHMDGPYQVNSSPLLIFLEQRRRQLDHVLQIHNMQLRMSLQHQVSSQNSSILNLVDSLVIDALRQKNDELARLRTELNQLQQFARTLEQSKDFWVCFAEQKTELLDQLFSKMDHEGLLSSSNEMDAGSSSHIVNLEVTSIERTQPNMACKCCNSHSASMLILPCQHFCACKTCATHIATCPICNSPKDGLIEARFD >OB07G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5896875:5899491:1 gene:OB07G17260 transcript:OB07G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSELGRQLPLRGPLKALEADIHHANTMANAIQRNYGGACVQMRLSCSSLAPFFLYLIQWLDCGCCYTLPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLEGSLIEKDLKGKGRCKDIMSRKRLEDWRKLSNKDVEREDECGICLESCTKMVLPNCSHAMCIKCYRDWYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPVTLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >OB07G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5916412:5920415:-1 gene:OB07G17270 transcript:OB07G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVWYVGPPRSEREREDWVTRRENEGRGKRRAGDRGDQWAAASATSPVGGGEGIDAGGDTREGSVTTTTEGPRQRRRRGDGVGGDTSAAARRWRWHREWGAHRWRWGDGDDGVETRRVATGFVAGGGDRERACAVAFGRRWENQGRRMGRGLSRGKGLPTFRKGTGKGKRGFMGATGGERRRSQRRRRRPCWTWGRRQPDQRNPPVSGTRVEGEAGRTHDRGGNELGRLAQRREDASGCTGGAELGRQLWLAGPGKKSGGKRKKEMSEATQWYQLVWIYIISARCSGSQVSHIPKNRFDQKTLLNFCGDLCRFIHQDICKYLGQFYDPHSELATDPKFKNLREWEREHEF >OB07G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5923856:5925751:1 gene:OB07G17280 transcript:OB07G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFLTYQGKGGRDGVWMGNYGVMMAFAASVFTKAQEGTARLGVKEGVKPEVRCHNLSSCNVATASTTTIYRGRMVLESIKSLLRYPRSSMHYLAGVNGFLEYAYKEKSEDTEIHCPYVNCVLTKLLSKNDVYDHLVCNGMLQSYEEWDFHGDSSEGNISNQQPHP >OB07G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5928279:5928520:1 gene:OB07G17290 transcript:OB07G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFITNNSMEIGTRVYLKSWKNRNKNVALAIAVSCDPTRKVRGFQLGTKFLMVHVFH >OB07G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5942038:5943884:-1 gene:OB07G17300 transcript:OB07G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDPPPATRKRGRAEADDQPEEAAPNDLLPPPPAARGERRARSEGGEELEGEEDCIGRLPDALLGAIISLLPTKDGGRTQALATRWRPLWRTAPLNLDHADLAPAADGEALSGLVSRIILAHAGPGRRFCVPAQLLHDGADTGEEWLPSPALNNLQELEFTVPGEAFYAGVLNPPPPSTFRFSATLRVAVVSQCRLPDTTALTLQFPVLTLLALQQVYISEASLDSILAGCPAMEGLLLKGCFGFRCLRINSSTIRSVAFHSPCCGGRCAGEEGHHLEEVVIQDAPLLERLLHVERSIGLGVRVTVMAAPKLETLGVLDDLDGLYSGFDFGTVVFKGFDMITFTTPVSTLKILSLMIDILSLDKVIDLMKCFPCLEKLYITVKILIFCSTPMEYGETNSWRRKHGRTVRSLDMRLKTIVLDDYRGTKSQINFATFFIWNARMLETITFMGGLHNSNVNFVAEQQRLLQLEKRASRDAKICFRSNQCAYDMVHIKKVHDLSLADPFKCSC >OB07G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5970615:5971151:1 gene:OB07G17310 transcript:OB07G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGTLSAYLHGREHYSLPPETVVRLALDVARGMECLHAEGVVHRDLKSQNLLLDGGGRVKVADLGSSCLVATGGAEKRTSPTGTYRWMAPEMIRGRRCSRKVDVYSFGVVLWELTTCLLPFQDLNPMQAAYAVVDRNARPPLSPWCPSAINALIEKCWSDKPVRRPEFSLVVSVLEI >OB07G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5984742:5987909:1 gene:OB07G17320 transcript:OB07G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLVSLDGGVLPPSCIMSDKFMGLARKLISMPSGQAMAALGGDRPSLVYLYHLVFFLSYMTVGLILPFSIFSTFLSRSPETGQQGIGGGQMHLNRCGASGPDRTRSAQGDHGSGVYSACHGWGLGQKPDSPRPASAGDLMQMSVEERIIVATVAMKGATGELTEERITTEVTTLVLERAVVHHTIAVEAAREMEQCLQALEKQTRSLKAREARLEERDRTLEAREVTLVEHKEGACGEVRETTRAIVVCFEGMSPYFDLGVDSDDVDDKSGAMMDGDDDDDTANALGTLGVVGNDGWS >OB07G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5989180:5990472:-1 gene:OB07G17330 transcript:OB07G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPWLPTRGDLPFDSWRAKLELRHIPKWENTLVVELSRLAMCEPQPGTFEERLAHPSMRTNPLLREPEAVARTSETTQAPKIWGLNIVGPFKKAHGATGTSRSPSTFTKCPEAYPVVKIDKHLALKFIRGIIACFGVPNRINMDNLP >OB07G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:5994059:5996788:1 gene:OB07G17340 transcript:OB07G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNVEPKLQNSPVKEQEHDYPWVEPIDEFYHLIPKAKRIDQFDVKQQLEESVDNSQVYLHSSCAASTSIWHDLLRAEAKEMALHTYNKHGMTSATIVFECSLYLLLLDTKDNEIMDYNWINHASNYWVCDGILREYQEEKRWEIAGAVKQQMQLQSHSSTGLLSLHAKLQTPEYTKRWVSSVIDSDGGSEGVPTVNQDTSSFFLAFRTEPHHRIAPLSNNMFIHSQKLSVLKLCNCTFSFNSPPFRFCRSLRFLGLSNCKDFQIQENGEEQFAQELEFFDSLWVLDICSMDWDLTLSERIVQRMSRNMMEVNINRGRIWSSNLPWSWGQLGNIRKLRIIEHTHPWEISRLVSITYVFKLELLDLSGNKRLRFLPGLSGAATLNTLVLDGCVRLKHVGPADLPPSIVTFSLDAGSGKNNKKPKISRISMAGCKKLRNFRLRGFLPNLEELDLSDTSMETLDLTDEVVQVPHLRRVILLGCEHLRGVAWPKTGMPELMFLNIDIPGGQEFRETTHGTLVREKSSEGCCLARVAIVDIRSLQLFVLRGANQFCWNTSSFQLILCLTSAIKDNGRNYHAKKTGQYTGRQLVGSSLHRSLIPMTQVSYSDVSMDKININLDAITEPQHEPEDIHMEIGEGISDINVTSAHMVSVVIFFMNRVKSLHVHDNASIATITLMVLTAEGKGITWSDLKRFQISRCPKVDTVFHTNYATICFETLEEFSAYDLMMARCIWSRGEMNATTNNASFAKLRSMHLYSCPRLVFVLPLSWAASGSHLPSLEILHIVCCGELVQIFPVEANALTKISTGNPSGVPNFPNLKHIHLDDLPKLHTICEASRMFAPELETVRVRGCWGLKRIPATHGSRPVVVDCEKDWWEKLEWDGLEVGHHCSLFELHHSKYYRKALPRGSVLW >OB07G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6006064:6006570:1 gene:OB07G17350 transcript:OB07G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGNASYYVTTNGMDIIYSSQHFQNTIDSKLFETARMSASSLRYYDLGLDNGNYTVLLQFAEFSYPDSPTWLSLGMRVFDIYVQVHVEHILNLFRPFSECIIEICIGRTERKEFRHKEDWVENLLLQLIGVTRQLCQNTSLRSISFGMAKALVAYLLKVTMGPRSQH >OB07G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6039291:6041194:-1 gene:OB07G17360 transcript:OB07G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLREWWTMLAAISGGLSVQRSMGTSSARPPSLSSPSPSTRASRGVESERTTSGFKGMGGAAIPIRPTPKEVCIDIDVVSGNEANTNTNVIGEGDNTQQNKLCDPDKLYVEDEPIRVDLESLYGLKDCTKETPIPSVIATQDVPNECDPLFVSEYDGPLQENALPIYMHNREDPNFTKGVNFPNGDEFTLAMRQHAIKGEFEIRSIRPTHTCSSANKMSGNMASQAWVADMAEDLLVDKPKLGARELQDTLQKKYNIPIRFFVALKPSIDGFLRGCRQYLRVDSSFLMGKYYGQIASVVGMDGHNWLFLVAYGVFPTENHKNL >OB07G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6062085:6063814:-1 gene:OB07G17370 transcript:OB07G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRNSGCDKSSDEDDDFENEDEDLTPRSHNHKRATNITTAGSSPRKRSKSPTVLAMYNKLNDYQEMARSQFQSLHTILENRVAKYDKHISCRAEKVKLVNKLAKECGVDRSHTPTLFLRVVEIIKKENAMDLFIDTNPEG >OB07G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6078799:6081045:1 gene:OB07G17380 transcript:OB07G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGNSFLNEELAMAANGMSGRFFTGSSHGGVGSIGMPPSSFVMPEEGLAAVGYGDLTSIPVGANMVPQQQQIHVGGSNGNLGVQKGVWTREEDEVLRKLVRHHGVRKWAEIAKSLPGRIGKQCRERWTNHLQPDIKKDIWTEDEDNILIEAHKSYGNCWSVLAKFLHGRPENAIKNHWNTTRRRLNSKRQLRKKNGKHGGPSPLEEYIRSCIRDERAHATRSKTMPTPLPATAEAAGAAFGVVGYGTGQLVGVSATPPLAMQAPDSTATRGTVTFLDLLNGDSPRPQPETMNLFHVPAAAEPEPHLPTTGYCLQLDAGGNLYYGRMPPAPVPPHEIELQAQNLSLYHQPAASFAWSHLADSGAASNQASGYYGDAGAGPSGAGAGADNNNVSQMASSEFMMPSEDEAILDLARWTN >OB07G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6088734:6092812:-1 gene:OB07G17390 transcript:OB07G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLRTPAERNDDNRAKVVHGSGGVEKTAMARGSGGKARASMATRRRWRGGGEGAGADSDGNGRRGKEGGGGDSGAHRKRGVGEEEAEVVTTFTLSVVKNLRKNTQTHTSPIMEVSEKISSKVKKIKEVDVLESGQVDRRDYHKLYLTQHTSPIMEVSEKISSKVKKIKEVDVLESAQNTSPIMEVSEKISSKVKKIKEVVVLESRQRFFLKRYLVQRNAFSMIFFSSISGGEQGLLLTTSYTTYKPDHGRLWKHIIKGGQQHTSPIMEVSEKISSKVKKIKEVDVLESTQHTSPFMEVSEKILSKVKKIRFLDSQNEYEVVLP >OB07G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6109275:6121517:1 gene:OB07G17400 transcript:OB07G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMTMMRKKVVAPMERVVFALNGERQGGSAAEVDPSTTLLEFIRTSTPFKGPKLGCGEGGCGACVILVAKYDPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKNGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLINADKSKEPEPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNTFWKKGDKYPDVSKLPNYTLGGGICTFPDFLKSEIKASLDYMNDATVSSPREGWYCPKSIKQYFKLVNSSLFSESSVKVVVGNTSAGVYKDQDLFDRYIDIAGIPELSSIVRKDKGIEIGAATTIARTIEILKQESESISSPHGSVVFRKLADHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVSLQVSSKTLHVTLEQFLEQPPLDHSTLLLNIFIPHWASDCNKDNTLIFETYRAAPRPLGNAVSYVNSAFLGHVTLDKSSGDNVLSNLHLAFGAYGTEHAIRARKVEEHLTGKILTASVVLEAVKLLRETVVPMEGTTHPEYRVSVAVGFLFSFLSPLCKSMIEPEKIQNISEDLVDNDVHNMPLSSRREALSGDEYKPVGDPIKKYKVELQASGEAVYVDDIPAPKNCLYGEFVYSTQPLASVKSINFKPSLASKKIITFVSAKDIPTGGRNIGSTFLFGDEEPLFADPIAEFAGQALGVVIAETQRYADMAAKQAVVEYSTDGLKAPILTVEQAVQNNSYFQVPPDRAPKEVGDFSKGMAEADHKIISEEVKLASQYYFYMETQTALAIPDEDKTITVYSSSQFPELAQNVISKCLGIPFNSVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLHRPVRMYLNRNTDMVMVGGRHPIKARYSVGFKSDGKITALHLDILLNAGISADASPVIPGTIISGLKKYNWGALSFHIKLCKTNNTSKSVMRAPGDTQGSFIAEAVIEHVASVLSLDANTIRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFDRLASTSSYLQHVESIKKFNSCNKWRKRGISSIPLIFKVEPRPAPGRVSVLTDGSIVVEVGGIELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAAILQACNMLIDRLKPVMDRLQSQSVTVSWDTLISQASQENVNLSASTYWVPDQDSNFYLNYGAGTSEVEIDLLTGAITILRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGHHKHRVLSSKASGEPAVVLAASVHCAVREAIRAARIEFASGTGSGSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSSRGVGGCD >OB07G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6139970:6154918:1 gene:OB07G17410 transcript:OB07G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEKGTVVVAPVERVVFALNGERQEVSAAEVDPSTTLLEFIRTATPFKGPKLGCGEGGCGACVVLIAKYNPTTDEVTEFSASSCLTLVYSIHFCSVITAEGLGNTQDGFHAIQKRMSGFHASQCGFCTPGMCMSIMSSLINADKSKQPEPPQGFSKLSVSEAERSFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNTFWKKGDMCPDVSKLPGYTFGGGICTFPDFLKFEIKTSLDYMNDATIPSPMEGWYCPKSIKQYYELVNSGLFSESSVKVVVGNTSTGVYKDQDLYDRYIDIAGIPELSSIVRKDKGIEIGAATTIARTIEILNQESESMSSPDGSVVFRKLADHMSKVASPFVRNTASIGGNIILAHKYPFRSDISTILLGAAATVSLQVSSETLHVTFEQFLEQPPLDHSTLLLNIFIPHWASDCKKENTLIFETYRAAPRPLGNAVSYVNSAFLGHVALDKSSGDNVLSNLHLAFGAYGTEHAIRARKVEEHLTGKILTASVVLEAVKLLRETVVPMEGTTHPEYRVSVAVGFLFSFLSPLCKGMVEPGKTPSISEDLLDNYVHNMPFSLRRETISGDEYKPVGDPIKKYKVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSSSFLGEDEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQVVVEYSTDGLKAPILTVEQAVQNKSYIKVPPSMAPKQVGDFSIGMAEADHKILLEEVKLPSQYYFYMETQTALAIPDEDNTMTVYSSSQYPEFAQNVISKCLGIPFSSVRVITRRVGGGFGGKSVRSLHVATAAALCAHTLRRPVRMHLNRNTDMIMIGGRHPMKGRYSVGFKSGGKITALHLDLLIDAGISADASPIMPGHVVSGLKKYNWGALSFDIKLCRTNHTSKSTMRAPGHTQGSFVAEAVIEHVASVLSLDANAVRQRNFHSYDSLVSFYPGSSAGESSSAYTLHSLFDRLASTSSYLQRSESIKKFNGCSKWRKRGISSVPLVFSVRVRPAPGRVSVLTDGSIVVEVGGIELGQGLWTKVQQTTAFALGQLWPTGCEEGLLDRIRVLQSDTLNLVQGGLTAGSTTSESSCAATLQACNMLLDRLKPVMGRLQSQSGTVSWDTLISQASQENVNLSASAYWVPDKDSNSYLNYGAGTSEVEIDLLTGAITILRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIHEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLAASVHCALRGAIRAARIEFAGSTESARSSLLTFQLDVPASMTVVKELCGLDIVEKYLQEDDLSSTGTAGCN >OB07G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6152772:6154035:-1 gene:OB07G17420 transcript:OB07G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFLLYCFEEVSGLHKVKPWVYRGVTWIRGDGSHIWFWKDVWLGNVRLKTLFPYLYEICNKKEILVQDVIEGGINILTFRCSFSHEDAGHCYELCVLVNSLADNSQDVDKLVWALDSNCKFSSHSMYNMLTFRDICDAQIQNLWSSPIPLKLKHFIWLAWHDKIQSAWQLKKINWNGSELCQLCNAVEDSKHIFFSCPLALFTWCLCRDALGLRKIPCLFDEF >OB07G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6158182:6159658:1 gene:OB07G17430 transcript:OB07G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDATSIELRPPLGAIFFSGMQLRVTTKHALDSCKRIVKEQSGSCYCRGLQSSEFGQFFAVGLEQTDQIDCYSNVEYLIQHPKITVATALNLWKRNAMLTALLNHYTIELEPVHTSRGKHVHYNIGYKSIISRKKEMRTNREIAGNQRKKMDKGVLKGRTAQLLVSMEYRKQNLQLGSAEIGNWLWNDPFDSSCASKGLFFERSKDVASKMPTKEHLQLGNLT >OB07G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6158278:6169571:-1 gene:OB07G17440 transcript:OB07G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MMSPRPSASASPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSIVGFIFSCLVPASVIFLALQKPWKGRPLPNSQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIAGLAAMLLAYYLLANGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINIASATCFLFPFAMWDTILGSASDSIVKLQFPSWTYLSTVLFGMVLIFYVDNIAEEKLHLVFSSPRHLTVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGSFHNQLPISALPS >OB07G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6172521:6179785:1 gene:OB07G17450 transcript:OB07G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTQSDKEDGTCHQRGDGNRQNCQRLPTPIQQLSHSQVSILNSHLTKGSANMEQKLVCPPTYEMEKRSIKIVMLHAYLITTIIEGCFAYINEYAAYEWDSDDGGEFYVGIKATLDVYGFGLEPGQMSGARFFIYNEVRGQITGLQVGWHVYPDLYHDSQTHFFTRWIDTTRGDWNVYCGLNGGGLKYVGYFPRSVIPALGDRPVNISLGGFVGHDNAQEPPPMGSGCVPFESAASFADVRRQGPLPRRRPAAQHAGELLQAVPYLQFPVLLRRPNQLQIINSDPIEFSSFPFQNKRRWVFKVAPYE >OB07G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6188080:6188829:1 gene:OB07G17460 transcript:OB07G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQGSLRIHTFSSAAVVHAVESSDEDTNPPHFSAVPRNGKKASREEIRKKVKRLVSSLRQKHHISKAANNEAIEGRGGGGQSDVETFVSAKSSELCSFRTDDGSEPPSFRLSPPPPIFPAGCVEGQHPASPVKIIKKLPFGYVIGRRLDAPAAAVPSTKLSLNLKNLMPRLIDLQLKSRSKMIRKKVVRALKERFRGGGGRQGRDEHAGEDKESINDGDGDDDEDVFWRKDVRGLRCRRVQDSDLPY >OB07G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6207647:6209686:-1 gene:OB07G17470 transcript:OB07G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAPLAKRRKRDEPDGQGRSEDGDLGGDPDAGVDLISLLPDEILGSVISLLPTKDGAQTTVLSSRWRPWRAAPLNLDADRGLSGQERRRISIVSRILAAHGGPARCLSLRSLYGPPRPLPPSALRSAATLRTAYISGSDFPAAAAAPCLPRLTKLTLHSVAIAEDVLHRLLAGCAVLESLGLEDSRRFNAVRIASPTLRSVGFSVAAETELVIEDAPCLERLMLLDPYSGPKIVKVIRAPQLKVLGYLSNKITKLDLGPVIIQETMVVSSTASLRKVKVLVLESTGPNLDTIVGFLKCFPCLEKLYITSFLRKNMKNTRRYNPQEPIECLDLHLRYVILNQYQGMRPNVNFAKFFILNARVLKAMKFGVVVGCTDKWMENQHRRQQLDHKASPDAQFEFQRDYCWRNILYNNHVRDLPTIDPFDGSIC >OB07G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6220271:6221277:1 gene:OB07G17480 transcript:OB07G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHGRSSAMEWWEPAGGEVGYDPYFIKKLVIVELQAT >OB07G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6222391:6223118:1 gene:OB07G17490 transcript:OB07G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLIQRKDDPTAILKSRLETFHVQTKPVIDYYIKKGIVVNLHAKKPPKEVSAKVQKALS >OB07G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6276256:6276971:-1 gene:OB07G17500 transcript:OB07G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSLVLSLLRFSLTLQVVNLSRCCIHDDLVTRPLHFPKLRKLNLHSVTASEDALHVVISACPRLERLNINDTIGMPSLYIRSASLRSLCIGTTHGLKHEVIFQEIVVEGAPLLEWLIPAFLDDGLAIIRVISAPTLEILCILPSFISRLEIGTVVIQEMPSVSMAMSNAF >OB07G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6277104:6277478:-1 gene:OB07G17510 transcript:OB07G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFSSRPTRKRRSQELEASHGILHTLESKKEKILQDAQSLDLLPVGEAPRKATGIDRLSRLQDEILGTIVSLLSTRDVAHMQVVSRLWCQIWHSTSLSLDMRAFSVNEQKQTIIAGKILAAH >OB07G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6297575:6299405:-1 gene:OB07G17520 transcript:OB07G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFSSKPTRKRRSQELEASHGINHTLESKKEKTLQDARSLDLLPIGEAPKKAAGVDRLSRLPDEILGTIVSLLSTRDATLMQVVSRLWCQIWRSTSLSLDMRAFSANENKRIIIAGKILAAHRGPIHHIVLVSYCLERCITTFEDWLKLPMLNNLSQLDFQFATKNTTTDQEADMTYSLVLSLLRFSPTLQEVNLSRCCIRDDLITRPLHFPKLRKLNLHSVTASEGSLHAVISACPSLESLNINYTIGLPRLCIRSASLRSLCIGTTHGLKQEVIFQEIVVEDAPLLERLIPAFLDDGPTSIQVISAPRLQILGILPSFISRLEIGTAVIQEMPSVSMTMSVPTVKILVLQSVGPNLAAFVDLLKYFSCLEKMYIKLSLQPNVKNDLRNYHPGPVHCLEHHLKTIVLKRYQEKTSVVNFAKFFILNAKVLKVMTFGVRDIIHQNEKWMTNQRRRLQLHNKVSKEARFDFDSKYWCDYPESTKIDDFSISDPFDLSV >OB07G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6312441:6316111:-1 gene:OB07G17530 transcript:OB07G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMDRVVRVYSGGTVSSDGKFDAMKVDMLVFTTSPTWVELRGRICSRVEQVGCADVLRMEEWYDVGIGSKEHYVMMPISREMEWSTYVACVSSSQLRVLDVVVRTCGGHRNMSDPLTVVCGSSVDIGHEPMVERAVIVTEQLVENLTQEVTLLENTTHHPLVEKTLTLEAPIPEIGTQLSPLAFVAIHVEGGEGDIDDCSSLSSSDDEGLTPFYGKKELEKVKKGKDYAVRHHRPYVVTSSDLNKRYTIQCQRAYQLKVWARKTCEATWRITNVDQPHTYGTSKPSGEHSQATATYLARRITGVLLIVSDTSVPTLIEVIFVSTQYRVKFGKAWRAKQKAIELIWGDWKEAYGRVPRKLNTISHFNPSTKYFIHHGNHKEMAGTFPACIEAFKHCRPIVVVDDTFITGKYKGTLLTAITVNGNDQLVPIVFALVEGENNDSWSWFVSILWLEVLGNSCQVCIVSDRHMGIINAVNKKLDGFPDIIHQWCVRHFTGNLWKKHKCKDVIGWRIKVPAAEDAL >OB07G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6316353:6319392:1 gene:OB07G17540 transcript:OB07G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSGGGGGDESTEIGHLPDCLLTTILSLLPLDVAARTTALSRRWPNLWLSAPLRLRDSDLPSPSPHRSAAISSILASHRGDAVLFHLASTRPSPAELDSWLRVLAARRLRELLLQPPPEPLPLPPSLLACRSLRSADLTNCRLPAAAASFPHLHELTLRYAFASSAALHGLLAGCPALASLSLDRVFGCRSLLVRSRSLRSLTEVGDELQDLLVEDAPLLERLLGHDINWGPSMHVLHAPRLEILGYLGMGIPSLQIGAALFRSMRAVRPAAEFPSVKMLALEMVDPQVKPVVDFLRCFPCLETLYITSHMVVPRSMETLKCDNMDYSIECLNHHLKKVVLAGYEGRRRELQLATFLVSKARVLQVMKFLCANDCKPSWLTSQKRRLCLDSRLSLDAQVLFEVYKKGHARFRKHASNITLVDPFDV >OB07G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6321035:6345073:1 gene:OB07G17550 transcript:OB07G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78915) TAIR;Acc:AT1G78915] MGAAGLPAPGCLQKIGHIVDAERFILNISNCGIHKELSFRCFASDGSGFGAGSTNRRKIKSKKRRKDVAQEPSKVISGGSKNKDQWAPELGVGRESKAAKTAMDKQFLEKVEAVRRSALEKKQADENKNYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKQSTVVNKKLSEEEKQTFKTELEGFEATLRTAPNDPTALEGAAVSLVELGEYQKASDFLEKLVKVIPDKAEAYRLLGEVKFELEDYDGSSSSYRKSLFASENIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSQTKIANLVAANADGAPKSQDIDPIQVDLLLGKAYSDWGHISDAVSVYENLITEHPEDFRGYLAKGIILKENGKSGEAERMFIQAKFFAPEAAKALVDRYAQR >OB07G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6350690:6350992:1 gene:OB07G17560 transcript:OB07G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAAAALAVLLVVAAAPAAQAAVCNMSNDQFMACQPAAAATSNPTPSPSADCCTALSKADLSCLCSYKNSPWLSLYNIDPSRAMQLPAKCGLTAPPNC >OB07G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6377889:6382499:-1 gene:OB07G17570 transcript:OB07G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGRKEKNSPYKRILPAGIRGIVQKDIYKAIAELGNFFKELCSKTFKIDVLLRLKAEIPVILCKLEKIYPPAFFDVMVHLAVHLPDEAILRGPVQYGWMFPIERRLGKLKHIFREELEKNSVNDIDTDLRENFQNGSGIMRLRVYSACNVNGVRYHTIDRGKNRKTQNNGIKTHFTVMMKMVWTFKLKWSKIFETKRAKILLMSFIVTAKKNVKMIPWTIHMTMELMVHLMHQLALKKVNKLWDMEGTKCTSLSLMGKFDMNANDEVARATCTNILKDSIRQQRYRLKSKYFNNVPISEVLSKGPPPRVSPEDWAKLVEKWTDPKHKMVNNNDEEPDSIDFFR >OB07G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6410341:6410901:1 gene:OB07G17580 transcript:OB07G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSPLPRWAPTPSPTRPLRTPGTPSAVAGAGSMSSWRSCVPSINFTSVFGSAARRGLAGGESPALPHVVDQEYGYRDGSITTTATADDRPPPPKEEMAMTSSVCRRRGVFLTWDDLCVTAPAAAGGRKSRAVILDGLAGHACPGEVLAIMGPSGCGKTTLLDALAGNQLTSQSSLFNYQAVHL >OB07G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6416405:6422651:1 gene:OB07G17590 transcript:OB07G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDWSHVQTGRLSPNMKMRGDILINGRREKLGFGTSAYVTQENMLMATLTVTEAVRYSAQLQLPEFMAVAEKRARADSAIRQMGLAAVAGVRIGGRVCKGISGGQRRRVSICVELLASPALLFLDEPTSGLDSAASYHVMSRIARIARRDRMTVVAAVHQPSTEVFELFHGLCLLAGGKTVYFGPASDAIGFFEANGFPCPLRMNPSDHFLRMINKDFEESEDGSTVISSRAAEVIQTLIGSFKSRGSLGTGNEACPMIEKGTTIIRQRQATFLTKTFVLTKRSFVNMHRDTGYYWLRFVIYIAICLSIGTIFFDVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSVPYLGIISIIPGAIAYYLTGLQRGVDHFIYFAAVLWACTMLVEGLMMIVAAIVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLSFEDAAGGGGLTVSGEYILKNYLQVELGYSKWVDLAILVGMIVIYRVVFLGIIKISEVVKPRMFHFLCASKV >OB07G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6429242:6432169:-1 gene:OB07G17600 transcript:OB07G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIPSVFDDEHAIAMVDGGEDYGDSWGDHGHGEDDDDQRHAGAKPNGLGGVGLHRRLDKDRDIDAVSPCDSGINRACAARLRGRVAHRRRHPQLLSALLPAYLLILFIQYLKRKASDSAAVGNSSLEPEDHSWEKEIEFDLGMRKEVDDYHPNRRDRVRRIYLQKGPSQPRPFSFPITKISERNKKEDGYKAFVVDGWNGWHRKSRLKEHVGGVSSLHNQAMKNCDALLQRAQHIDVRIQVQTDAARNAYFVRLNGSINVARRLLKQGLPFRGHDESNDSYNKGNFLEFRDFLAEHDVAFGKKDIVECFAKKILHSILEELGHDVFCLLADESRDISCKEQMAMVLRYVDKCGVVKERFAGLVHVKETTSSSLKSSIDALMIDFNLSFSQVRGQGYDGASNMRGEFNGLHSLIMRECPSAYYVHFAHQLQLVIVAVVRKHKGISNFFGMISTLLNVVGGSTKRRDMIRDINHELVSKTLGCGLLQSGKGLNQEQCLQRPGDTRWSSHYKTLKSLLDMFPTIVKVLEFVEEDDRDRANRDQATGHLVYFQSFDFVFYLHLMSTILVITNTLSLALQRNDQDIVNAVKCVRSTRGHLDELRRDGWEKLEADVYTFCDKYDIIKLETEEVYINPKRPRQKTGITNKHHYEVDCFNDVIDWLLQELDNRFNETTSELLVCSAAFNPRESFHDFNVESLMNLAKFYPNDFSSGELRDLSHHLSLYIADV >OB07G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6440627:6440863:1 gene:OB07G17610 transcript:OB07G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYSI >OB07G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6452439:6453151:-1 gene:OB07G17620 transcript:OB07G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRQASIPSPTPTATVVPTVSVLPASATATLRKASVRSPTPTPIAVIPVPPSSPHRPTTTADPEAGFHPIADLDRRHRPLGAVILATLPCRHRRLPLLPRAAVPPLPTPSTVPETESDRKQVHRIEDQEEYNLGSADGVRIA >OB07G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6480347:6480706:-1 gene:OB07G17630 transcript:OB07G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPVQGGAGGVQAGGRGAQPDGHAVVAVLRRAGLGRPAVPVPVQGLRRRPRVDEVVRRRPQPRHDAAGQVRPHLAGALLIYRPVVTSAIQENRWCYKLGSWLLTANAGFQYIILSPTIIL >OB07G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6504097:6504414:1 gene:OB07G17640 transcript:OB07G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAQALQLLVLLAISLDSAARGAHAICNMSNGDFRLCQPAAAVADPTDSPSAECCAALGNADLACICRYRGVAGFWMRIYHIDAARAMALPAKCGLAMPANCS >OB07G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6520971:6527425:-1 gene:OB07G17650 transcript:OB07G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINLRITKFLSHALCVQLVQLIRIPITGRKFLDDLVIAKKNRAKYGEGAKMNKKKKGKYISIDEEDIGSADDIDSSSHGSPTYAESGDSNSPSVDIPNDEDDAQENNTKCAEGYQCLNRYNQRVLMHGLLHLFSCSHVQSNFNLFTFTGLHGVGSINMKFTLEKIMRLKFYHVYLLKTKDEGLCYIKVYRAENEFPIKYAPSTSRKEPILSAESFVLIEYNDQTHEEHNILATQNSKRQRITKSFGDDYIVYLVDATPRTMEETTFLSKELDEKIYMDQPDRHLLEGQQGMVCKLFKCLYGLKISDVDKMKATSGYVFTLRGGSALWKSYKHTMLAKSTMKTELTALDTAAINAEWLCEILMISPCRY >OB07G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6550237:6555490:1 gene:OB07G17660 transcript:OB07G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT3G23710) TAIR;Acc:AT3G23710] PPSSPPAAARHRPPPCLPHSXXXXCLPKTPTPPPPTTTTNPSPTIPNPFLPIQAGLTSFLSSLPLPRAAAPPPWARLSSPAPLSADSALPVAEIEERLAGVPVYALANSSQEFVLVSSAREGGEGGRRAPATVPPPALGLLCFRRQDADALLAQMDGDMAAGSTVVPVALNKVIQLKSDGVAFRFVPDSSQVANAMKLLEDEGQNVNDGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSNWDDAVFIPPGFDLATSSKQSNRNK >OB07G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6554912:6555805:-1 gene:OB07G17670 transcript:OB07G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASLVQLLLIAAVASHFVSSHAGSIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVLNLAGHCDPTNGGCASQSSGIKSCQSRGVKVMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNFGRRVYLTAAPQCPFPDAWIGGALNTGLFDYVWVQFYNNPPCQYSSGSTSNLGDAWKQWLSVPAKQIFLGLPASPQAAGSGFIPTDDLKSNVLPMIKGSAKYGGIMLWSKYYDDQDNYSSSVKSDV >OB07G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6565970:6573956:1 gene:OB07G17680 transcript:OB07G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTQGNCGGNQRTYMEFTTAAIATFLPKLSRLLMEEYSLQKSVKEEITFLKAELESLQVELDMISKVPIDQLDKQIMICARDFRDLSYDIEDNVDNFMVCGGGLEPTKKHIFTRLIDTYHQSLYKLKTHCKIANNDIKYVKKQVKEVMTENVRHKTGDVASKLPVIINTPILKLYDRVTKPVGIDKASGDLMKILSMEDDELSKKLKMASIVGFGGLGKTTLAKEVFNILRVQFGFACFVSVGRKPDIKKVLKTILIELDNDRNMYDLAGLSERNLTDELREFLGNMRYLIILDDIWEISTWEIIKCALVDTNSGSRVVATTRISQVAIEVGDVYNMEPLSEDNSKRLFHQRLFGVDCIDPTSNESIEVIEKVLNKCGGAPLSIITIASVFVNKPMEDWSNKYNSIGFGPEDNEPVHNMRKILCFSYYDMPLYLKNCLLHLSIYPEDCWIEKESLIWKWIAEGFVHVEQGKGLFEVGEKYFTELINKSMIQPVDFDSIDGGTLDGCYIHDMVLDLIRIFATDETFTLVLDRMYEGHNSTLHNRNVRRLALHKSWNQDIENNLGVDMARLRSFNVFECPTSMIPPLVNFLALRVLALEDCSIADFDLKHLGKLRQLRYLGMRNTRSDLPPNIGDLMHLQTLDVRDSGVGPLPVAVYKLSKLLRLCLDEFTEVPAGLGSLMSLQELWVYVSDDSCPNFAMELRKLTELRILHINWYWEVDEVSLKALVESLRGLSRLEDLDFFSCSDAWMNGWEGWDPPRQLRKFCIDSVRVVLPCLPSWLNCNHVPHLSRLDLRVQAIEGCDLERLSRMPMLRFLSVHVEGEEGYSWTVRGGSGLFPNLRCFHTNISLTFLQGAVPALTTVELCVLASRCGGGAACEVGLGNVLLLKTVEVWIACQGATDDQVEEADMVLRRAVDIHPNRPTIEVHKFCQQRLMDEEDGDNKEENSGKDQLQDSCVANEAKRTKFS >OB07G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6579698:6581983:1 gene:OB07G17690 transcript:OB07G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMKNIQVKVEAQTNSISITVRSPRPVCSKTDAQVAYVLGLGRSLYEWKAKKIIFPMDLVPRPNSFRVNGNRPNKLVSRKSVPVLRHRLWAFWAMYNQRSMMAKLAFKEGAKMKLDSDPFSVNMIEFGNKEVFTGSHQTESTKGKNVPLWQLNQFMPCPLMAPFRLEWHAPELRGPVYRKSKSHHGKEEAQRKRTKIWVPIKPKNHSTDLGSCKANFSGVVLSHAVAEEDEIEGAYGLKIGDIVLDKSMVAAVQGSEIQQDVWRQHGVQHEGIMSSRSTSGQLYPESSTPSVILIFWQSIPNVQQCKTMQRYRVLLYRTLGIQAGVSHNQQQQESDKDKSIRWHRKLNSASRHASTPWLWARQRLQYCTQEFWR >OB07G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6591772:6596243:1 gene:OB07G17700 transcript:OB07G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVTSILRIQNRGAKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQNELQGKEDNSKEIKKLRGELATLSEKMKKLKFESEEHERRRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >OB07G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6599608:6599769:-1 gene:OB07G17710 transcript:OB07G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERSPLTLSSFPSPYPSNQLLGCGEGLGISICYEKPTNHLKKFSIPLPLPSFLNC >OB07G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6621091:6621321:-1 gene:OB07G17720 transcript:OB07G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLHASVVRAFICSCTMQLSMNHACEEQCTCLERLAAVTVLVRLLRQTIKQVEGTTKHKLGQTSGELRGATQGAR >OB07G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6634964:6636097:-1 gene:OB07G17730 transcript:OB07G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCRCILFWPSVVILQAVCCWLVVAGGNSSQQVVVAAAASGQIGGAPECLQDPRLSPMSWHARDDLVGDDDDLADHGAAPAQQPEAEAAADAEAGDVDLDGGEVECPVCGKRFRNDKSMFGHLRSHPNRGYKGATPPLNLSTTPSSSLPAAVDDTLLLLPFRDSSNQSMLSVADVCLSTYEKMAACVMATLRYRYRPRRQLQQPQAQAAAAGAGIGEVGTSSTMEGDVIHRDGRRKGKRKLTKEPLREKELKRRHYTCKHCNEEFSTHQALGGHMAGNHKEKRILKEAQLARSAMILEQKQPDMNLGLKEEQPERSRLVLREKQPDVYQDRVIDQTMDDDWEETEIDGSNAVPVAEEEDGHPPFGFDLNVEAPEQE >OB07G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6638717:6645925:-1 gene:OB07G17740 transcript:OB07G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQHCSEHVEGGTSKCGVEEDTNIAKDSKVMKKKKRKESKTEMAAHTKDDRAMKEKKKEREAEMAGNGQVPFGSTAENAGLEHPEVDMGEKEQGTKSKKSKRKHADVEPSADGSPVDEIMTDREKKKRRKERSVQLKEVDQVNMSKVAVKMRGNKKRINESDKFNPNLSNSTSTGGDEVGGDGKNRDDKKRKKKDTFTGRNDVGEHDKNDKKRKKKDTFTGRNDVGEHDKNDKKRKKKDTFTGRNDVGEHDKNDKKKVKKDSLTGGDGKNDKKKKKSKEGNCGRKSEKEKAVQSKDKVRRVSFADAVEVFSVNSGEDEENCKSAESEVVHGKRFTPEENVTIMEAIKNYIEMKQLGENGLEMIRTSSKHPELRGCWAEIAKSLPHRPLNAIYRRARILLFMSDERKWTPEEYEKIRRHVEMNGTSWKSLAEELGKNQIHLKDTWRRIKPKNLKKVLRCCISIKRKDSLQRHWTQDEYQNLFDLVNLDLQVKADQEINAGNRKWRDNIAWEAISDKLTTRNHKTCCIKWYCQLTSPLVQKGEWADTDDYRLVAALQNDDAVCIEDIDWDNLLDHRSGEICRKRWNEMVRTIGGHREKPFIEQVEVLSRRYCPEMLDYRREK >OB07G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6651815:6653428:1 gene:OB07G17750 transcript:OB07G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGVLPDVFTYPSVLRACAEARDLVFGRTVHMHAAGAGMDRHLFFQNALMSMYAKCGDLASAREVFDGMAQRDVVSWNSIISSYAAARHWPQAVELFQRMRDEGAEVNSVTWNTIAGGYIQMRDHRAAVELIREMVRGGAEVDYVTLVIGLNACSRVGWLRLGKEIHGLAVRICCDQVESVSNALITMYARCKDMECARMLFRMLECPGVVTWNTMLSSFALSDCAEEASSIFREMVCRGVQPNYVTVVTYLALCARVANLQHGQEVHSHIIKHDFKGYRLLWNSLIDMYSKSGRLFVAQNVFDSMDDRDTISYTSMIAGYGMQGKGTLALQLFEQMIDSGIKPDHIIMVTVLSACSHSGLVPEGEEIFDKMVRSYGIKPQMEHYSCMIDLYARAGLLEKAEEMLGRTPFPPTSTMWAALVGACHDRGNIEIGERAARRLLEMRTENSGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLGNGFTPFLVGDRSNPLAPEIYEVLDELSQEMRNISDIDILEENIE >OB07G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6659321:6665286:1 gene:OB07G17760 transcript:OB07G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATSLLLGAALATVFFLLYTSVCRDLGPGQPRSPSSLSPPRWAQEQGTAVASKVVNAERGTRRPTKQEVERAAPREEKQTNEAAARGGGDGDGAAGQHQQNQQKIVMPTSQQVRVPPDTSSGHQDDLGELLRRAATADRTVLMTAINEAWAAPGSFLDLFLESFRHGEGTAQLVRHLLVVAMDGGAFQRCRAVHPLCYWFRVDGMDFGAEQTYMKGDYLEMMWRRNRLQQTILELGYTFLFTDVDILWFRSPFPHISPDAQVVMSSDFFVGDPDSPGNYPNGGLLYVRATASSVRFYQHWQASRARFPGKHEQFVFDRIVKEGVPPHVGARVRFLDTARFGGFCQHGDDLGRVVTMHANCCVGLRNKLFDLRNVLDDWKAYRARVAAGDTARLSWRVPGRCIH >OB07G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6666214:6667201:1 gene:OB07G17770 transcript:OB07G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVNMVSSPPAPTVPRPRFVVRLKLPPAWTPEEDALLQRLAKENRFRRWSRIARSMPLRSARICLDGYRIVTDGCYNSNVFYFVCS >OB07G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6667955:6671831:-1 gene:OB07G17780 transcript:OB07G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDRATPAMGEGANGRSFNFLEVLFEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWEGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEVFPENLSALAHLTAGAIGGAVSSLIRVPTEVVKQRMQMSQFKTAPDAVRLIIHKERLRGLYAGYGSFLLRDLPFDALQFCIYEQLRIGYKLAAKRDLKDGENALIGAFAGAITGAITTPLDVLKTRLMVQGQANQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNNRTDSKLRKL >OB07G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6673756:6678626:-1 gene:OB07G17790 transcript:OB07G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF167) [Source:Projected from Arabidopsis thaliana (AT5G63440) TAIR;Acc:AT5G63440] MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAYVLDKKKHLSRLNVKEAGKVLLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELEAAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >OB07G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6684901:6689951:1 gene:OB07G17800 transcript:OB07G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPLFGTPSTTPAFGAPSTTPSFGTPSTPPAFGTPSSTPAFGAPSTTPPFGTPSTAPAFGTPSSTPAFGAPSSTPAFGVAPSPSPSPFGFQQQQQQMATPSPSPFGFTGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVIEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSFRLKLQDEVLVSDADRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELVTERRLLRIMRIVEALENRGYRIPLTKEEADLYERLSAITKQLKGPTGDLHKRVYNLLSTSRLLASTGGAGGPIYIPSSAKVDEQSVADLLEALQQQTEAVAKLGNVMKRDTRDLEIILSEDTDMADDSVGRMALKM >OB07G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6696056:6716465:-1 gene:OB07G17810 transcript:OB07G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67420) TAIR;Acc:AT1G67420] MSLVAYRVIHMRHVAPLPADAPPGEFSEGRVLHHLRHLSVDIPGRQEGSPGLEAAAQYIKGQLEELAARAGPEYRIEVEETLVSGSFSMMFLRHRVTLAYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCGSCVASMLELSRLIVDSRWVPSQPVIFLFNGAEELFLLGSHGFIKTHRWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVDNLLPGSIQARGENLFNLVKAFTNSPMLKGHVRSNEASMPKMDDLRAIFFDYLTWFMVIYPRDISLVLHSLPVVIFLLAPLFLKFPNITLTSWFLTVLDLMRGMLVHAFGVILAIFIPAVAAALRLLFTKNAMNWFAHPYLAFLMFVPTSLAGLFLPRIIWGLSEQTHFWGAFGLYSFITLVYMLAGLSGGFLTFFISMSMLLGHFIYSISRKHWNKESPKLLVGYVVPMIPCLLYGLYYGGFLIQFLIEKMGMMGSLPKPHGYFVADILVGSVIGLVVGWCFGPVTPIASRWLAKTSILHGLLQITVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFVFNNAPEAAKWLKDNSELSFEETYYSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVTQKTFINNGHRRVHLELSLGSLSEIWTSVLNITGPLSNWSFSDMTLPAPQSFSGGPPSYICRLSGESHDNWSFWLEANSSEPLRIDIAVLDQHLLDSTRTLKSLFPSWADITAFTTFFSTYHL >OB07G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6774289:6774944:1 gene:OB07G17820 transcript:OB07G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKAGVTASALTASTIVVTATEGCHILKIDGYSHARLLGNGECLRSNFKAVGHTWDILFYPNGKFIRYFGNISIYLKLVDGSKNVTTEVQFSVLPRASGDEALPYTKQKIIHTFESARRNNKCGHHWPIIDNNQDMLMSNCTEEEEEEEDFIIVRCDIKVLNKAVVHDLNLRSWSIYRCEDDTCKRLHDRSSLVAPSVKSKPGLGIKGEFTRFFSCF >OB07G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6779137:6780648:-1 gene:OB07G17830 transcript:OB07G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMSTTPAHAKREKGAAASSSSSPSSEEEIDEDDFFHIEGPILSTQYSLSVPPAAADEDGGGAARSAERPDPKRIPSSVFARSKSTTPTDWSVTSNESLFSINVGNASFSKDHLFLYGKTGELGNLNDPLPPLPKLSPSSSPMKGGEVAAAAEKASTSREKAGGRGLADRNGEDSADYVHSSSHRSDESTTSFAFPILTGSAKTSGSLKDSHPELARQSTAQLTHPADTRSENDNKETAVVVMEAPKVEQAPAPAVAAAAASPPPPQPPATTKWFPCCSCCPFCC >OB07G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6783653:6783895:1 gene:OB07G17840 transcript:OB07G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTDWGPIIAAVVLFILLSPGFLFQLPARARVVELGNMGTSGLSILVHAILYFCVLTIVVVAIGVHVYSAKPDPID >OB07G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6808125:6809890:-1 gene:OB07G17850 transcript:OB07G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEMAEDDLEMVVVMRIDLHCEACCEEIKRRVLKIKGVEEVVPHMKSSQVTVRGKIEPATLAGLIHKWTGRRAAIFRAEPLLQQPSPSPPPSPPKVDEGHPEAAPEPVPEKEETKEGDQPPSSDDAQEKEGAAAEEKEKEEEEGEPVDEEEEGRGELQNENPIVGAAGSSNGAAEESHTATTTNGHLFRAAVQEPVAAVAPESEKTATGNQLYQCRYYYYPAYTYDAYPCPQYRDQFQQQNYSYAAGYPPAMYGYYPRHVPEAFSDENPNVCSVM >OB07G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6820066:6821410:1 gene:OB07G17860 transcript:OB07G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHNRGYGPLVTTGKGRGQITTLDMEGGSSNEISSAEAIFVGAFSSGVNAPTWFVLKITFLLLTLCFTAMLCLAFFSSDLVITGHVLLLVTISTVLFVLLNRFLAETGLVPVEQQIKEMGIHKTEAAEKNKGI >OB07G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6823484:6826529:-1 gene:OB07G17870 transcript:OB07G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26782) TAIR;Acc:AT3G26782] MYHHCSRPMDARRAFDEIPDPNPVTVTAMASGYLRNNLVYHSLDLFRAMITSVSVSVVDEAAALVAFSASARLPDRGVTASLHALIAKIGLERNAGVVNTMLDSYAKGGHHDLEAARKVFDTMERDVVSWNSMIALYAQNGMSAEAIGLYSEMLNVGGGIRCNAVVLSAVLLACAHAGTIQTGKRVHNQVVRMGLEENVYVGTSLVDMYSKCGRVEMANKAFRKIKEKNILSWSAMITGYGMHGHGQEALEVFAEMKRSGLRPNYITFISVLAACSHAGLLDEGRHWYSAMKQEFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAALWGALLSSCRIHKNVELAEICVKRLFELDATNSGYYVLLSNIYADAGMWKDVERIRLLVKTRGIEKPPGYSSVELKGKTHLFYVGDKSHPQHKEIYSYLEKLLERMQEAGYVPNTGSVLHDLDEEEKESALRIHSEKLAVAFALINSVPGSVIHIIKNLRVCSDCHTAMKFITKITGREIIIRDLQRFHRFKDGLCSCRDYW >OB07G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6830684:6830849:1 gene:OB07G17880 transcript:OB07G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSRSIISFRKAIKKAIELTKKTDIKGVKVKIAGRLAGKEIARAECIKKGRLPL >OB07G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6832410:6832828:-1 gene:OB07G17890 transcript:OB07G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTKKSNRDDAEPDNALNRSMLRAGDIAEATVFLTNDESRHVSGHNLIVDDSVTTSRNAIGL >OB07G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6838255:6845657:1 gene:OB07G17900 transcript:OB07G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLQCTVRLGLCGAAASRRTGKEATAFALLIGAAEPCLRGRGRGAGVRCQRSAAAGAVVVERKDRAVEPAQEGGNAGCAEAELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVDCGATNVSDLDELTFIKELHFGTIHQLGLDKSIVSGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTNDFTNADILEATYPAVAKRLHGDWVTDPAIPIVTGFLGKGWKSGAITTLGRGGSDLTATAIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESCFLPEVDDLLQDDSAAHSNGTIYRH >OB07G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6850425:6853025:-1 gene:OB07G17910 transcript:OB07G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAYPSPPVVLASIRRALSDYTHRSPVVVLDVLVDTYKKSGRAQDAAEVVLLMRDLGLAPSLRCCNALLKDLLRADAMALLWKVHEFMVGVGVLPDVYTYSTLIEAYCRVGDFHAAKKVLVEMREKGCGLNTVTYNVLIAGLCRSGAVEDAFGFKKEMEDYGLVPDGFTYGALINGLCKSRRSNEAKMLLDEMSCAGLRPNVVVYSNLIDGFMREGNANEAFKTTKEMVTAGVQPNKITYDNLVRGLCKIGQMHRASLLLKQMVRDGHMPDTITYNLIIEGHIRQHNKKDAFQLLSEMRNVGILPNVYTYSIMIHGLCQSGESERASDLLQEMAANGLKPNAFVYAPLISGHCREGNVSLACEVFDKMTKENVLPDLYCYNSLIIGLSRVGRVEESTKYFAQMQERGLLPNEFTYGGLIHGFLKIGNQENAEQLLQQMLDTGVKPNDVIYVDLLESYFKSDDLEKVSSTFKSMLDQRIMLDNRIYGILIHNLSSSGNLEAAFRVLSEIEKNGPVPDVHVYSSLISGLCKTADREKAFGILDEMAKKGVEPNIVCYNALIDGLCKSGDISYARDVFNSILAKGLVPNCVTYTTLIDGSCKAGDISNAFNLYKEMLATGVTPDAFVYSVLTAGCSSTGDLEQAVFLVGEMFLRGQASISSFNNLVHGFCKRGKLQETLKLLHVIMGKGIVLDTLTIENIIDGLSKAGKLSEVHTIFVELEQMKASESAAHHFSSLFVNMINQGQIPLNVVDDMIQAHCKEGNLNKALILRDAIVVKGASLDCSSYLAIMNSLCQKDKLSEALDLIKEMEERGIRPSENQCLILLTNLHTSGFIQERNTVFDNMLCHNWLQKDSKICNSASDNLESVS >OB07G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6880565:6882454:1 gene:OB07G17920 transcript:OB07G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFGPLVTRINGPKMAHSGGERAHRFGNPSPTAPTLTSSVLQLIRVREGRTDKFKVDLSDDTRDITKIEGYIEESVKLLKGIEARSKAKSEVLRSLNPQLGDLDALCPDHDKSWGVRRSRRRLGELKKVNTRLQGECARLMAGKAELQAERSQLSATKAMLEVECARLKKGKDTAVAELVEARSRAKSVVEAAEAKTKEVELARDRLVTVLVSQGGGGHCQATDCDEEIAMKLTIIAHGAAKSIADSIGL >OB07G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6893282:6902646:1 gene:OB07G17930 transcript:OB07G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT1G55040) TAIR;Acc:AT1G55040] MTSYVASLLRRRRLRLRVHRTMPTLLLPRLFSSPHSPSPVNSVSSKPPSLSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPAALPEVRPMEGVPSEPEKKEAEAVGGEELERMSVVEVLRREVELVHPWPEWIELMDRLAQQKYFDLGGAGGADEGCMAAAVPMDLAEVAQETGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVMRILLVYGFDHVKETVENKPLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIRKPPPKVKQPPPPPKKRVGRDNVEMKKGDWLCPKCDFMNFAKNNICLQCDSKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHKRPPDEYTNNQMESNQFVPQKRLGKPARRCEVSNAWNFDFDDNESDGADVAAFEFADPSKARESLSMDNTSSRGGSKFSEDNDFGMNETWREGTERRFSERDRLCSSRVGFDDFDDEEDDDIDSYELDLSKGDQADGVSRMTYSDFEDASDSADLNERANIRNSKHGEKQDIIGSLEDDKFNDHPSLRSSHLAASWQQTVGWNCSNKHTESFASESDDGVISDLDEDINDGFKCKESYNKHNPSRAVVRHHVPFPDMDCDMGGRFQSDRRNRFSREFSNEFPARASNSNSRSTKRDTDGRTKMNERFKSSNMCDRSHRASASEVHNGSRDLQNIRRNWVKSGAVDDDNRPLRCLNRNRR >OB07G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6907063:6916874:1 gene:OB07G17940 transcript:OB07G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G67320) TAIR;Acc:AT5G67320] MGAITSAELNFLIFRYLQESGFVHAAFTLGYEAGIHKGGIDGNLIPPGALITIVQKGLQYIELEANTDENDEDIAKDFALLEPLEIITKNVEELQQIVKKRKREKFQSDRDKDKGKEKERMEEHERHPGGEREKERHDKEKELERDKDRAERDREQDKEKEKQYTDRIDKGNPEEDSLAGGGPTPMEISTTAHEISSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTISDGPCGSIAQSSPPCVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGDLKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCIMDFRM >OB07G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6917826:6923422:-1 gene:OB07G17950 transcript:OB07G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3MK63] MALSCMRRFSAGSAAAARRGGPAAAGWRVAAAVASTGVKGATDAGVEKAARSASPSKVENSSPSEIILDDFEDLSPLSENDESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRCLTRLDFVTTILNILRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSDRLFYLSIPPNIFLDVVKCASKSASSANGWTRVIVEKPFGRDSDSSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPKDSITPTFAAAALFINNARWDGVPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLTTEQKA >OB07G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6932559:6932990:1 gene:OB07G17960 transcript:OB07G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCRAVTVLANAVMYSLSIYLIVLGMYKIAPNLKNEMLLDCRVMLYGCVLSPLRLASCHCREHQRLPRIFHASLPILLDNVLLIRLVAMEGDCLYVLIFLSMEVLRDCVTLSGGSCSMSASGISALLTNTVFACRLPPDISG >OB07G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6933973:6938353:-1 gene:OB07G17970 transcript:OB07G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family [Source:Projected from Arabidopsis thaliana (AT1G67320) TAIR;Acc:AT1G67320] MEIVRSHRQLAAAGRGGGGGGGAGGLPTYRVAPELEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHHDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHLEFEAVKDKLSQVARTIGQSATVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTAAIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDQLAKTSFPLCMRHMLEKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGISGHPLEDIMDKVKNRHYQLACTMTFEAAHGISCDTGINHPNQYFSESQKVLKAKNQAVQSQAMT >OB07G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6939664:6940452:-1 gene:OB07G17980 transcript:OB07G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAATAGGEAEVQIAVAHGAAPAAAAGAAGDVNRERSETSSPSRGGSTTTPQRPVIKGSSPQPPPPTAMDKTLSSVANLAKLLPTGTALAFQSLSPSFTNRGACLTSNRYLTAALLYLCVLSCIFFSFTDSFVGGDGKLYYGVATAKGFLVFNYDPSSGGGGGGDDDDDQRRRREVFKDLRRLRIRWGDYVHAVFTAVVFLTVAFSSTAVQTCYFPDSGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYGDPSTN >OB07G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6951501:6955353:-1 gene:OB07G17990 transcript:OB07G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEQSRLEAKTQHIYSLNQHALPVTDVACFHGANAVSSSEDRTCKIWSLSEGRMLRSILFPAIIDSIALDPRSHIFYAGGRDGKIYVTAMGVEVTSPSSDDSSIIGALDDHSKAVTSLASSTDGLVLISGSEDGNVRVWDTRSQQVIRKFKHSQGPVTNVLLVTPKRVNLPSLQSLRKVSSANGESESRAVIVPQPENDVHIAGNSSSNFLECCLDALQPGGSSRLFESGASTLCGAPNQQGVEWRSKYLELQDLFVREVLDQMPSSKNT >OB07G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6957680:6957868:-1 gene:OB07G18000 transcript:OB07G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MK68] MHTNEVKQDANDTLVSENTIVVYHDHYVTYHLDLNVDGTNNSFVKITVTAVRDTSCDTPRRS >OB07G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6959464:6964078:-1 gene:OB07G18010 transcript:OB07G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNQAAAASSAVEYEGELRAPRSSANIGGFSVGELDCRRGRSIDSYGKESPKEDIAGLVVNWVPIDCIGGSFYTEILILIEQCGTFILVILDKNTRKLYKLYPYPLNPIYKNNPNVRYVKKFLYLVKHFSKAMHVECLGSMWIEDLTLWSQKKCSKCVNS >OB07G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6968037:6975293:1 gene:OB07G18020 transcript:OB07G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAPSSAEGLAADLSTAAAISKKQLKKDARKAEKAAQRQQQQQQPPQGDAEEDPYAANYGDVPVEEIQSKAISGRSWTGVGDLDEAAAGRSVLIRGAAQAIRPVSKKMAFVVLRESMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKLYCINRAIPTLPINLEDAARSEAEFERAEQAGEKLVRIGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFFLSKKFVGIHSPKLISGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCQKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDDPAYSNSFDVFIRGEEIISGAQRIHTPELLTKRATECGIDASTIASYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >OB07G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6983026:6986542:1 gene:OB07G18030 transcript:OB07G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIMFWLSLFLLALLAGAGDAAGGRGGGGGGERRGVYVVYMGAVPPRTSPNFLHETHLRLVSGVLTRGKPAENVVVQQYTRVFSGFAARLSEPEAAALRRKPGVVSVFAAPVSHLHTTRSWDFLQQQTAVVVKTDRARRRRSSPDATATATAVSSASSSSATADTIIGLLDSGVWPESPSFDDAGFGPVPSRWKGVCMAGDGFNSSNCNRKLIGARYYDLGVGEVKKRPSARSSGSSPRDEAGHGTHTSSTAAGNAVTGASYYGLAPGTAKGGSAASRVAMYRVCSDEGCAGSAILAGFDDAVADGGDVISVSLGASPYFRPDFSEDPIAIGSFHAVAKGVMVVCSAGNAGPDAATVVNAAPWILTVAASTIDRYFESDVVLGGNNTAVKGGAINFSNLDKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTSKLEKVDELKSAGAVGSVLVDDLEKAVSTAYVDFPVTEITSAAAADIHKYIASTSEPVATITPTITFTEYKPAPVVAYFSSRGPSAQTPNILKPDVAAPGVNILASWIPTTTLPPGEKKPSQFNLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQLNNDKAPMTTDSGSPATPYDYGAGQVSPTGALDAGLVYELGEDDYLQFLCNYGYGASQIKLIASSLPAGFTCAGAGNASKDLISDLNYPSIAVTGLGTAGSRTVSRVVTNVGAQREASYAVTVAAPAGLDVKVVPSKLEFTESVQKLGFQVTFSVSGKNAAAQADLSGSITWSDGKHTVRSPFVVSS >OB07G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:6996933:7011859:1 gene:OB07G18040 transcript:OB07G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTGGGGGAASSVSNNSSLQNEGTSPRGMDYAECSETSSDKSSSESIKPEEIAMSSSMFDKKISIKKKLKLLSRMAILKDDGTVEVDIPTNTEAASLDLSSNDYRNETFSGEPVESSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPGTPSEIPIQRKEIKEIIFSLLPACKDPNIDTGAPFNADAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVESFVWLGIRDIINDLRKRKLKLRPVTYLSSTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEQKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVEAIKFMMDPKVKERAIELAKAIESEDGVDGAVKAFLKHLPQPRSQERPQPAPPSTFMLPFLLPVKRCFGIAS >OB07G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7016358:7019591:1 gene:OB07G18050 transcript:OB07G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAQRNSPATDVHSLLSLRPGQSKTTDWPVTNRKPDTLKQAMEKLIASRSSCSFIVDERGFIQNNKERYTHHAKDRSAGCHPQNIWCLFQHLLSLPISPPLCLPTELFQPGDWEKVEEIKVATPAGAECRRCILPSIVCSPCYAPSAPSHGCSCSPPAAEACNGSRQKVHGAAVPPHHPEGAHHHEPSCTQKKPVKSNLKKAPPAAAQEGRSKVLVVSRKVSWPDAHGKDLAHVLEFHPSILEDGNLKGAARSCICVIL >OB07G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7024061:7025251:-1 gene:OB07G18060 transcript:OB07G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKSNRSTVTSCTALHMEWDRISCPICMEQPHNAVLLICSSYKNGCRCYVCNTSHRHSNCLDRFREMNGDSKVRASHSTTSVISNSNNRTVERSSHYSMIPRQRRTNNANNQEPANSTLSVEDSIVMEECHDAMQSSADLKCPLCRGSVSGWIPASEVRKYLNEKLRACSHESCKFVGTYEQLREHARTVHLLAKPAHVDLSRKRTWDLLEREQEVGDVISAIRSQNPGAIIVGDYVIETRDAMSPDENTGDESNDEWYSPVQDSLESPDNRYVWPHDAPGSSIFWAEERHGLPRFQPQNNRTQPRFSFSDRSSSRSDWHSIRRPSSRNPVRRGFFSRQHMNSSSNYRGFRPQPFDRPNGSNYRSGINRSLDDPSTVPRRQRLRYTHRRHHTRD >OB07G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7043858:7044778:1 gene:OB07G18070 transcript:OB07G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSIASWLALAAAVSCAVVASLSCGGAAAAAGRTGRITVYWGQTSSEGGLREACASGLYSTVIISFLTDFGGGHYKLNLAGHAWSAVGPDVKYCQSRNVLVLLSIGGGMGKYSLASRADAKAVADHLWNVYLGGSSTSRPFGDAVLDGVDLDIELGSSAHYDDLARYLKAYSGRKPAGRKVWLTAAPQCPFPDRLLGEALRTGLFDRVHVQFYNNPACNYRASNAAGFTAAWGRWAASLPGNSVYLGLPAAGPAASNGYVPPETVRSSVLPIVQKSKNYGGIMLWSRYWDKHTGYSKSVKSAV >OB07G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7055692:7068622:1 gene:OB07G18080 transcript:OB07G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRAIGTVSMPPKWSLGYHQCRWSYDSSDKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPNPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYETGSENDVWIRKEDGSPFIGEVWPGDCVFPDYTCERTRTWWASLVKDFISNGVDGIWNDMNEPAVFKSTTKTMPESNIHRGDADIGGVQHHSYYHNVYGMLMARSTYEGMAKANTDKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGMGALFPFSRGHSETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHMKGTPVAAPVFFADPQDPELRKIETSFLLGPLLVCASTVPDEGAHECSHKLPKGIWLPFDFGDSHPDLPVLFLRGGAILPIGRPIKHVGEASLEDDISLIISLDENGKSEGLLFEDAGDGYGFTQGNYLLTYYVAELHSSVVSVKVLKTEGSWKRPKRNLNINVLLGGGAMISSHGIDGEVVHLRMPSDSEVSSLVATSEIEQKKRLEMIKPIPDIDEPAGQEGAELSKIPVDLKSGDWLLKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYEVNRRYLEQSGEEESICLEGDIGGGLILQRHISILKDSPNTVQIDSSILARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEIYPESGEVVLEGDMRPNGEWMLVDNCAGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSKDTPLGICHQYEVRQTN >OB07G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7088040:7103044:1 gene:OB07G18090 transcript:OB07G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSASCAYPVIVFGPFNTPSEVTTSLSHAIGTISMPPKWSLGYHQCRYSYESSEKVLQVVKTFRERGIPCDVVWMDIDYMDGFRCFTFDGHRFPDPKCMVDDLHSIGCKAIWMLDPGIKNESGYFAYDSGSENDVWVQKKDNTPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTTTKTMPESNIHRGDANIGGHQNHPYYHNVYGMLMARSTYEGMKQANSAKRPFVLTRAGFIGLQRYAATWTGDNVSNWEHLHMSIPMILQLGLSGQPFAGPDIGGFGGNATPKLFGRWMGVGALFPFSRGHSEKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHMNGTPVAAPVYFADPQDLELRKIETSFLLGSLLVCASTYPNKGAHESGQKLPKGIWLLFDFGDSHPDLPMMYLRGGAILPIGLPIKHVGEARLEDELSLIIALDENGKAEGVLFEDDGDGYGFLQGNYLLTYYVAELHSSVVTIKVAQTEGSWKRPNRNLKINILLGGGAMVTTHGIDGGELQLTMPADSEVSSLVAASELEHKKRLEMIRPIPDIDKPLVREMAKLSETPIDLKCGDWLLKVVPWIDGRIISMTHLPSGSQWLYNTDRINGYEEYNSVEDSAGCTEQYNVIRRYREQSGKEECICLLGDIGGGLVLQRKISICTKNPEIFKIDSSIQAKQVGADSGGFSGLACLRVRPTFILHHPTEVSVVFTATNGTKHELFPESGEVVFEGDLRPNGEWMLVDNRANLSLVNCFDLSQVSMCKLHWGTDHLSMELWSEPRLVSKGTPLRICHHYEVRKIN >OB07G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7105546:7105956:-1 gene:OB07G18100 transcript:OB07G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSDFDRVQDQFGLALGHLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDH >OB07G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7138122:7138421:-1 gene:OB07G18110 transcript:OB07G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGARRAPAAAYYECTFCKRGFTNAQALGGHMNIHRKDRGAGGKSQGGGGGQQHEGSGGGGGGGGGAGDVHLGLTLGRNEDGVDLELRLGHGHYPYN >OB07G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7150872:7152730:1 gene:OB07G18120 transcript:OB07G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNERVRHGNSGWGRGDGSRWEDESFFHEREGEATSSTGFNLVSSFRGNNLEVAMAGKDGGSRGVFTGKEEKNLRKEPSKESGGKKGAELLNSGVIDVSMSSGGMKNKEVTDEAEGEDVLMEENGTHSAGLEESRDTKSKNGAIMKVCTKCAQRGHVLADCSCEVYCDICDSSDHVNHKCPVLKLPKPVVQAVGFAVDGLGFHHIPHHPLPKNRKGTKKALVRVVGGELSKERLIALLHKVCLAKWNWEPVDHGEGSFVVLFPSKGELQRAINFGGADVKEGGVSTRIRVEFEEWFEEEEGFLLPKIWVRVFGVRKKLREYLPLWAVGSLLGATQMVDMKTTRKNDFWRIFIAVLNPNLVPKMLDVVMGDHYFELKFKVEKKGVDENGEEVEFNFEDGDGEDDGNLEGKEDEKKDEEKERDPKRTKSDDMAIDDSNIGSNEKEERSNKMGGEKPTDGELFEMAEQILDIAAGRMLAETYVHLEREEKVEEREAGLQGKINQLANITKVMVTARRASERLACNNGRHSLEKAESHKAWNLDHNSGTKFKNSFLSFSQDLIVENLNNL >OB07G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7167486:7168766:1 gene:OB07G18130 transcript:OB07G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTILSRIGTSVKVPSKVTPGETDTKAKCKFCHSLYAYKAGGATSHLVRHMSKCAPYLNQLAKKIAQVVLNFIAEKGDSDIPLIVTPSEYNHEETRKLIAKMIIVHEYPFRMVEHTWLNVVIRYLNPSYQFIGRKTIRSECLKVFHSEKENLMKSLRSVGVISLTCDLWTSNQNLCYMALVAHYIDKNWTMQCRVLNFAELDPPHTGNVIGQAIFDCLAEWKIEDKVISITLDNASNNDVAIRGLKAKLAARRSSCYNAKYFHVRCCAHIINLIVNDGLAPLASLTKKIRSTVKYIKKSPSRIHKFAEIAEI >OB07G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7186817:7190164:-1 gene:OB07G18140 transcript:OB07G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGGGSPAVLLLLLAASVLSPGAMSARFMFTSNCRDVIYPGVLTXXXXXXXXXPGFELQPGATADYAGVPDNWSGNVWARRLCSTDSAGRFSCESGDCGTGAVECNGSGNGPPSTLSEFTLRGGTGGATDYYDISNVDGFNVPVQVAP >OB07G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7192910:7193447:-1 gene:OB07G18150 transcript:OB07G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRSDGVLAFLGGEAGELVLGVIDTDVVDVTDLALGGHGEQDLDDGVGADEGDELGVEQLHLVHLLGDEEGVDEVADGLGVLHDEDVVHLDGALTGDEDFRIRFGWRGDAKAESSQVGPLVTAVAHWARRWQWWRHHRRPQDIIPPVQGKR >OB07G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7192988:7193356:1 gene:OB07G18160 transcript:OB07G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRHKRTDLAAFRLGIASPPKPDPKIFIPSECTIEVNDILITICDLVYSLFISKEVDKMELLYSKFVSLICSNPIIKILLPMSPKGEICDINDVCVDDTEHKLSCLTTKEGKHTI >OB07G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7195490:7195669:-1 gene:OB07G18170 transcript:OB07G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPFYLHDGGSWGYPRGTILVCFHWLREFKRHKQCLLHLLRRIMVVLSLLQLIVISR >OB07G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7197599:7199294:-1 gene:OB07G18180 transcript:OB07G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMILFSVIGHNDFEAAPDLILTEDFNGVNAGVFFIRGSKWSEKFLDTWWNLTSFIQLGSTKSGDNAALKNLIYHLSPKEMQEHVRIAQMQCLFNSYPWTPTWKSVRRFIFHHSTTWKGVYSDGDFMVHFAGLDDKLGWINKILREGGFPR >OB07G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7203485:7203918:1 gene:OB07G18190 transcript:OB07G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLRERQFIIFELYGDTIVHAVNLPILAHSHQPAVLTSAGFQVLPTWLAKVELDEQGGKGEKELI >OB07G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7203715:7207510:-1 gene:OB07G18200 transcript:OB07G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVFCDSCGESSLSAVKAAKVRWPGVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLIEIQIPSARKSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHSCACAEEQATCPSATDLRPTLHKIHAICELPALTVYIKPYCMHTTQWSCFTNHHLFLLDELLFTLSSLLIKLHLGQPGRKHLEASRCQYRRLMAVGQYGEVDRWRIEDKAKFDILLGQHVQCSK >OB07G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7213483:7216255:1 gene:OB07G18210 transcript:OB07G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMKFAEGSYVANELDMLSLASSVYTAPLFQTGFDSVYVPECGAVSNSGEGPYPGLFISDGFVFPPSEHENLPIESELVQSNNNNDEGCDEPTKEVDDRSDANLSVSGDLHFANGTTVPDLVPPEIHAEQEKDNTNINCDLPCEGWLRRKSNCLSQRIKGMTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVHRIVGIFSRCKDALPSSQQLKSLIPTRMLPQQPVSA >OB07G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7218638:7218817:-1 gene:OB07G18220 transcript:OB07G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNEKIKEKESLQAPTRRTKNETFLKKIRKIFYPKISIMLRKLYIYKLFIYKLYMHKF >OB07G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7218822:7224101:-1 gene:OB07G18230 transcript:OB07G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRREVGTLNILATHSLPPPRCTTCDECVVPGTAKPLHPRWAAGGGCSCGCCAVEEDRSQAARASSSATGKPNQRPCSIGAAAEGRPRLAPRGARRVEGDDGGGLGSASSGELQCGQQPGKVQVREAKLAAASAEAWAARSSGATCKPMAVVERKQSSDAGGGTEEASATILQRNLRGVERKEMVIPFLPLIVDN >OB07G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7223784:7224229:1 gene:OB07G18240 transcript:OB07G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding XHGKYSFDTASAAWSKAGAPFRGRADEHGLWFGFPVADDDALAAWDLSSSTAQQPQLQPPPAAHRGCKGFAVPGTTHSSHVVHLGGGKLCVAKMFSVPTSRRHACCQCCCDLEPLDTRSFAMLTGVEVVRGRGDKVRIIKHKSCRYS >OB07G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7229045:7231090:1 gene:OB07G18250 transcript:OB07G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGLAPWGVAAAAAAVLLASWAFKAAVHLVWRPRAIARRLRAQGVGGPGYRLFSSGNLAEIRRFCGEGAGLVLDVSSHDFLPIVQPHFGKWIPLYGRIFLYWFGAQPNICLADVSMVRQVLSDRTGIYLKNVTNPHFVRLLGKGLVLTDGDEWKRHRKVVHPAFNMDNLKMMTVTMSACAQSIMSEWEAKLETKGSVIEIELNQQFEELTTDVISHTAFGSSYKEGKHVFLAQRELQYLAFSTFLTVQIPWFSYLPTKKNLKTRSLDKKVRGMLMNIIKSRLANKDIDGYGNDLLGHMLEACMPEHSESQSQLSMDEIIDECKTFFFAGNDTTSRLLTWTMFLLATHPEWQEKLREEVVTECGSEVLTGDMLNKWKLVNMFLLETLRLYSPVSLVRRRAGTNLELGGIMLPKGTLLTIPIATIHRDTNVWGEDAGEFRPKRFENGVSRAAKHPNALLSFSSGLRSCIGQDFAMLEAKVVIAMILQRFSFTLSPMYIHAPIEVITLRPKYGLPMVLKSLKL >OB07G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7235656:7237143:-1 gene:OB07G18260 transcript:OB07G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIAVAFFSERGSSRRGETEREFGGSSSSDAIKKMMILYTRLESVQVRRLRCGWVVIKQVRGKMKMTTASSVVASSDAGGRDEDNPGYVGSLEAVGVGLDWTGRKKRYISLSTSEANGLSKSQSLCQKDVVPKVEVRFSPPSRMPMV >OB07G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7243986:7244634:1 gene:OB07G18270 transcript:OB07G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVNMASSPPAPAVPRSRFIVRLKLPPAPWTPEEDALLQRLAKENRFRRWSRIARSMRLRSARKEKPAGAEDADNDMVEIDKESWVEPESQGRLGLANALARPDDGRQPRARL >OB07G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7249563:7250174:-1 gene:OB07G18280 transcript:OB07G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVLSLCFHLALVIALVANVPDHANGRVIGIRFDPKPTDLKPEPDPTPKPAPETKPTPQPNPQPNPQLDPKPTPQPDPKPDPQPNPQPDPKQDPQPNPQPDPKPTPQPDPKQDPQPNPQPNPKPAPQPSPKVDPRPSPKPKPQPEPSLKPKPEPSPSPKPKPEPKPEPQPDPKPEPKPQPDPSLPKPPPISPAIAIIVPEN >OB07G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7273748:7274254:-1 gene:OB07G18290 transcript:OB07G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVVLSLCFHLALVMGFLACVPNLANGRPIQPRSDPKTAPDPKPEPDPAPKPQPETKPYPQPNPQPDPKPAPQPDLEEGPQPDPQPDPKPTPQPDPKPNPHPNPQPEPTLDPNPEPKPEPKPDPSPNPRPKPDPKPEPQPDPKPGPSPQPKLPSLSPAIAIIMPNN >OB07G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7273946:7274233:1 gene:OB07G18300 transcript:OB07G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGFWIRLWCWFRVRLWVRLGAFFQIRLRRRFWIRLWVRLRVRFCFWLWFWCWVRFRLWVWSCLWIRSWLNRTSVGKVRNTSQESHDKSQMEAQ >OB07G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7304176:7305697:1 gene:OB07G18310 transcript:OB07G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSFLCHDRLVLSSEPSSAALLAEARHFGVESALLASLTPSSDFSRLALQPSALLPLTGRVAPSAVAMSPSPYSASVFAAHGGVVTRFNVALASRGSVLTPLPTIDSLVAVSPTLALASARDFAGVHLCRFPDDAPATAREVLSWLGSPSAPVLSKAATAATEASSPWLFASFKSARRNSSVVVAFDLNSLSPMVEIGRKEVYGADVEAAIRHLGSAGLAATINWFMPADEDLPPVNHIPLPVPAPEPQQANEAEEEINAREDIAAVMPSFVVYPQFWKLLSLCKFLLNVPLVNGPQPISSALVPYRLAIHQVFQFALLASALVWAKRELGQPTRPISAAIANYPCHRHRLGLVLGSFSA >OB07G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7320221:7321909:1 gene:OB07G18320 transcript:OB07G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVPAAAAFFILFLGANGATQVKFTFHNNCPETVWPATLACLAFGTDEYCCRGRFASPAACGPSRYSKLFKAQCPQAYSYAFDDGSSTFTCGNATGYRLTFCPAAANN >OB07G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7348659:7353666:-1 gene:OB07G18330 transcript:OB07G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G54650) TAIR;Acc:AT1G54650] MEGGGGQRGSSKHAAGEAEEYHCHDFQWEDLRAEVEANPAFSYHLSPFAPTAGTSQPPPSSDAWRSFHRRHTSGKFFKERRYLLKEFPELNSKGSENVLEVGCGNGSTVVPILRSSPGITVYACDCSKETLEKTNEIVCNTRGVDVKDRFHPFLLDVSTETFPDWLFCKSCRSSRLNNCNMIEKLPAFLTDDQRCVGGIDFITMIFTLSAIPLDIMPAILERCVSVLKPGGLVLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTFSYYFTLDVVRELFRASGLLELDLEYCCVSSVNRKNSKKMQRVWVHGKFQKPTAV >OB07G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7355350:7356545:1 gene:OB07G18340 transcript:OB07G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKQGISRRHLMKKVKNESSYEKDSEPAGIADEGERHHRIVDVMDREDSDNEKVHDDESTDEKGGNPGYYINARRVKVDGDASSL >OB07G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7357113:7361520:-1 gene:OB07G18350 transcript:OB07G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT3G05520) TAIR;Acc:AT3G05520] MSEGAGAGEELSDRQKREIAVWFLSNAPAGEINYVAKDVRALLGDDAVYEAAAAEAFPEYNKAHLVSLELPDRSGDIIITPYGELDKNNYLDPRTAQVATVDHIKQHCTKLRPAADEELPSAYIEEYRNALDIELSKYVGEAYPKGICAVYCSSGKDIEGPGADFGLVVIISAARRSPQNFCNGSWRSIWTLEFNDGSQFVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTILQSPEDSAVSIINIIRHHESEYLSSLEESYLNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLAKELAIGK >OB07G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7366606:7371636:1 gene:OB07G18360 transcript:OB07G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein [Source:Projected from Arabidopsis thaliana (AT4G39880) TAIR;Acc:AT4G39880] MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLAVSPDLFPIGVVLGERERKATAAAARRKAVEGAEAEGEGKGKHWMEDENVGFSRAGRGKVVYGNPGRLGKKRTGASRAKDEAGEKGEKFPWSGMRLATEKKPTSRKQHYAPKKKGIALKHKSRKGSVQWRPKKVEA >OB07G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7420182:7420595:1 gene:OB07G18370 transcript:OB07G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYYCVTPPPPLHSLLHLLECISRGCALPAALLFPAATELPPPARAALQADGIKARLPVIRFSGSSADAAEEEDEDSDAAASSSPRCAVCLAAVEDGAEVRQLRHCAHAFHLPCIDRWVDMGHFTCPLCRSLL >OB07G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7448681:7449253:1 gene:OB07G18380 transcript:OB07G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWVHMNKLQSLPSSICEMRSLYLLDAHLNELCGLPSAIGKLSSLEIINLSSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDSFGRLNKLKKLNLEQNPLTMPPMDIVSKGVDAVIEYMLKRWLDILLEEERKSIAVAESSQAPITPSGWLARNVCWVFNVSRSLVGYLSGKNKTEKDTYLYQQY >OB07G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7453180:7453518:1 gene:OB07G18390 transcript:OB07G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIERLDFGGEIDRSAARSRWPSRRPRLIDPRQKARRPSSTFVRGPNVFSSMVTKKSNIYIYCVHLFELLTGAHEDEASKKMELTGGSHVQGHFGLYEDGKRDGDGMDLIL >OB07G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7458833:7462652:1 gene:OB07G18400 transcript:OB07G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSLVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPCTLTLVEEEYDEESAVAHIRRLLDIVACTTSFGPPP >OB07G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7465821:7476627:1 gene:OB07G18410 transcript:OB07G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAKLCNGKFVVVEARKKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTPEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHAMEIKDVSASGNIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVVKVNNIEKAKVNSSIKPIDITDQPEGGAHALNINSLRMLLNEANSTGEKKMLNLPQIHKQEELTAAHTFVENLLKESLQKLEEEESGKQPFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKAAKEAKIEGLGKPLKALKNSKNNVDAAEKGSSLGEKAMSDGTSSAESQKIKPSAVELPQGESNASENESFLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDLRQLALAIASALNLLLGVPEPEASTSSHDVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEENKGRDSESIKRRYSSIKVLSNTIGGSNVPSPEVSPRDSAAANVDEEKQIIEPSQDDTVNIVTEAEIKLNLRSVEYPASSEQPVERAEVITDLPEDVVQEEIVEPEDGWQPVQRPKSAGGSGKQMKHFNPTTRKMYDPDNHASQYTSQYKARNSYSNNRYYFLKKRTVVPATYTDPHQHVKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASADQMSGKAESQMAYSQVHNTTIDHKENEPHGTLVASSGNAPSYKDVALARPGTIAKAQIQKLRDDVLQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSVDINNPNEVSNIPEEIQHSGDMKVSDRELDTGNIDKGRLPNDEKSLDGSEPANGHTSQEPVSCSNENVAIEFTESSNSAKDERNRKPDMVIFEETLPTSIEPITVSASAVNADAHGGAGSEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSTEFVPGSAWSSSHPVDFTPTRHVVDPISQSLADTHIQSDAAVVSIGPSLDSSAMAVKEEMEATMVGSGNLVSNKHPGDDQDKQLKDAVRIELSPDMPGEKAHGITATDHSRGNMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKFVYNRVVRENDIFRPSTASFAEVVSSGN >OB07G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7482398:7483018:1 gene:OB07G18420 transcript:OB07G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMLAPLLVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAVLAGVVGAASKLAGVHHVRAWRRDSLATNAASSLIAWAITALAFGLACKEIHVGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGLFGGSGSGGGPGPXXXXXXXXXGEPKGTARV >OB07G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7482602:7483024:-1 gene:OB07G18430 transcript:OB07G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERSDPRRPLGLAXXXXXXXXXGPRAPPAAAATEEAGVEHEHVEELGEGEDDDEGLEHAEAPAAVAADVDLLAGEAEGERGDGPGDERRGGVGGEAVAAPRAHVVDAGELRRRAHHPGQDGEHQEVERRAVPGHACTHARIQ >OB07G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7488838:7490829:1 gene:OB07G18440 transcript:OB07G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFMILFWMYEKTRRPTPLFYSRRHIQTNQEGSYAYHVAMYHTDKGWYFGTRAKISIWRQPYHGRYQESGASILVASNEVEGFNALEAGFHVYPDLYNDNDVHFFTYWTKDNYKSTGCYNLKCGGFVPATGAELVPGQAVSPPSIYGVEDHYISISLHTDPATGDWVLFRDDLDKPLFLGHFPQELCPKLNGGAPQMAWNGFVSYPNNDPGPEMGSGHFPEEGDRRAAYIKNIKFFDSHGHGHEPNTELLLRVLDKPDCYNVSYIDCEVKDRCYFSYGGPSGCIG >OB07G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7505787:7505980:-1 gene:OB07G18450 transcript:OB07G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPHHLARDLYHRPFTARDDDELLRLHRRLGDRWKEIGRVYGRTSRIMRRRWKELRRSGFVAAAA >OB07G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7522334:7522858:1 gene:OB07G18460 transcript:OB07G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGDQVVMASSPPPVARARCIARLRLPPAWTPEEDALLQRLAKENGFRRWSRIARSMPRRSARSCRARWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRELHRSGFAAAAAASKEQPADDADNNMAEGDEESQDTLGFANALASSLASCSLREDSS >OB07G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7526431:7547728:-1 gene:OB07G18470 transcript:OB07G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQEEMTTPPPLPAPRPRCIVRLRLPPAWTPEEDAQLQRLAKENAFRRWSRIARSMPRRSARSCRARPHNLARDAYHRPITAHDDDELLRLHCRLGDRWKEIGRAVYGSAAPPAS >OB07G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7552762:7556107:1 gene:OB07G18480 transcript:OB07G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSTSSAPVDKEKPAEAEAAVATKEPAAAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQGWISSTAKNHHLERLIYGLREECRGRNQLVELVCISVMVVSSL >OB07G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7557075:7565035:-1 gene:OB07G18490 transcript:OB07G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGHSDGDHESDLSADDSPWSEGSWSDDDEEGSLSFEDSGEGSDAESDEPDAAAAEESDSSEDEVAPRNTVGDVPLEWYKDEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKSWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPETLKPKLPSKKDLRPYPRTCYLEFKGHNGQVKSLSVESTGQWVASGSSDGTIRVWEVETGRCIKVWNVGGVVHRVSWNPSPDRHILAAVVDHDLLLLNAEIGDEDIQMKTKGLLQIDESAPEEDTGDKKPAVKWVKHENFDGIMLIHHKAVSTVEWHFKGDYFTTVVPSGDSRAVLLHQLSKKHSHHPFRKLPGLPIAAVFHPSQKMFFVATKKFVQVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVIRLYCD >OB07G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7602175:7603662:1 gene:OB07G18500 transcript:OB07G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDERAEALGLMGVGGLAAVDRRRVRADLRAAALMGDRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDFLTAGQSSSGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHKHCIDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPIGKVYFYDGLYKVVDFWLDRGKSGFGVYKYKMLRIEGQEAMGSVNFRLAEQLKVNALTFRPTGYMSFDISLGRESIPVALYNDVDDDRDPLLFEYLARPIFPSSAVQGKFAEGGGGCECTENCSIGCYCAQRNGSEFAYDRVGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIMSANGDCLVRPNRFPPRWLDWGDVSDVYPDYVAANNPALADLKFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNISYPHLMIFAMENIPPLRELSIDYGMIDEWVGKLTM >OB07G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7618160:7622914:-1 gene:OB07G18510 transcript:OB07G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTESVGPWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPDGGGEGQSDTFVGPRHSCVEPREREIPIEDLSISGNGESKHDKKGWFGNWGKRGQSSKQEGTKKMAPPRSSLCVDEKVSDILIESPSNVQTRPGRHSVDVVRGDENRRGKERDYRRPVASSENGHRRKESSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNCKSPAAQSSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKSKSKKGRNGA >OB07G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7623424:7623926:-1 gene:OB07G18520 transcript:OB07G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDLKLQKGARDLGAETTERESERSSQTKRFHSLSLSSLARLLLLLSQSLSRFQYFPPIPGQPHHERGGGAGGGWWSTSLALEREKKEEEEEEVHTSSSSTLLLLGVVQLRRKRKQQGKKDQGGAFLLERWWG >OB07G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7641300:7641686:-1 gene:OB07G18530 transcript:OB07G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYDRTITVFSLDGHLFQVEYDLGPSARGTPLSASMAPTPSSPPYPQFRSMSKIAGIDTHIALVCTGLKADARVLINRACVKWQSHHLRHLLRLEGQCHWPQPQLHARVPGKELQGDLLCPKLLPRP >OB07G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7651093:7652424:1 gene:OB07G18540 transcript:OB07G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTEPIPQKDSLEAAVERRLLPANDDGSSFTIFRVPAHIREENRRLYEPRLVSIGPYYRGRDELRAMEQHKWRLLRHFLERAGAVPLSDFVRAVRGVEQRARCCYSERTAIFDGDGFAEMLLLDGCFILEFLFKWNRGEPDPLCDVGWGLTLLHSDILLLENQIPFFVLEHLFDTFFRGAVSQDKLIKILLMQLKLNGTVVPRQLPRPEVMAGHFDHLLHLLHENFVPKPVDLEMELPAGTNGLTSLSPPRLLIPCVSLLREAGVTFKKKMSPRDMFDITFDKNRGIMELPRIEIHLANLPQLINLIALEQSRGHRFGTPAPLTNYTALMSSLVRSGQDVSVLQRSDIIDNLLSNDDEAAINFFSRLGDPCTMHYSDNLFAQLFDDVKCYHDSRWHKHRAMFKRAHCNTPWSIIALVLAIITFFFTFFSQSIAIFGLARRH >OB07G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7656321:7660132:1 gene:OB07G18550 transcript:OB07G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYLKQYLYMRDIIASPWANDLSGPLPRRDLNEGPITNPDRKRQAKNAPLLDKFGQPLPSPDNLPNNGPTYYYYCLSQQLLPHLVGVHGAAVRADHRDCYPRRPWLPSRRRARSSYPRRPWLPSLAIVATARAICADPLYVHALDYFTGNNRVRDAITAKLVGYLARAEEIRQALVGDDVARVAAVAAPTAELKKDSKGNDGGGGGDSERANLRAGLHSAIVSGKPDVRWSDVFGLDGAKQVP >OB07G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7659895:7660491:-1 gene:OB07G18560 transcript:OB07G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFGSLFAHLVTHYFIYQLKYLVYSNSISINHLYFKSMTIRTHMSASLFSSPLPLPFPFPLSFPFPFSFSFSRLRQAAYGGAGGGAAQARPAMAARCGMALRAAAGANCGNLTGSMTASYGTCLAPSSPKTSLHLTSGFPETMAEWSPARRLARSESPPPPPSFPLLSFLSSAVGAATAATRATSSPTSACRISSARA >OB07G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7678942:7679148:-1 gene:OB07G18570 transcript:OB07G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGIRVSINLGILPNLNAYCFLLELGSCLGGVNFGQSQLHVSTTVVRPFLWNHPTHVLHQHGKLIIGE >OB07G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7681997:7683572:1 gene:OB07G18580 transcript:OB07G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDGTKALNFIPVPNHPPRIPEPSSVSDGQDHAAEMRRIEGSCCWQSFCGNEQIFQSPSASTLKIRPKAKDERNGKRKSSEGISAINEKLEKFIEARQMATIDREKMADLQESLANKKLETAKLAHKTAREKTKCKMLEMYKELLFASTSQLSEEALAEREKALESMRLSLFNKDD >OB07G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7732235:7733985:1 gene:OB07G18590 transcript:OB07G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGYCMVNDRPVWVMPLVGASAGVVILVIFITCSYLFRERHKLHKMKKKYFRQHGGMLLFEEMKSQQGVAFKIFSEEELRQATNKFHEQHILGHGGHGIVYKGVLKGGDVVAIKKCTTIDEQQKKEFGREMLILSQINHRNIVKLLGCCLEVEVPILVYEYIPNGTLFHLIHGDHGCHISLKTRLRIAHESAEALSYLHSCASPPILHGDVKSTNILLGGDYTVKVSDFGASILAPTDEAQFVTLVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTRKKAFNLEGPEHEKSLSMWFLSMMKENKLMDILDDQIKNDENIEHLEEIAELAKKCLEMCGEDRPLMKEVVEKIDKLRKVMEHPWEQQNREDLDDLLGEWSAQGIVDTGNFSIEKEVVNGLKLGR >OB07G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7758423:7759388:1 gene:OB07G18600 transcript:OB07G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVGDFHVGSGLVSMYFRVGLPWLARRVFDGMDYRNVVSWTAMVGGYTESGMFEDAVDVFRAMWVIGGVLPNRIALISVLPAIEFLANLPAGKQVHCFAVRMELSGEVSLNNALVDMYGKCGALWYARRIFNDGNWCKDVISWCSMIQGYGLHGDGEEAVALFDQMRTSGVMPDSMIGLGVLSACSRAGLVFKGLEIYHSLVKDCGVHPTEEMSACIVDLLGRSGLIKHALVFIKSMTVEPGPSVWGALLDASVIHNNKEVQDLACRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEEVRAKIKQEALKKTPGFSWISPT >OB07G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7771046:7771784:1 gene:OB07G18610 transcript:OB07G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSIYSLAWPRRLSGAGAARSGTSLSRPENYTGVSRAPTSSSKIMKSRKSNLPSLDLTECAADAVHGMDDSSVLALGPADEVDALADLDHTGERACLPKLTP >OB07G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7774429:7777335:-1 gene:OB07G18620 transcript:OB07G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MKD0] MAGARVVWVNGPIVVGAGPAGLSVAACLRERGTEYIGRWLVVATGENAERVVPEIDGADDFEGPVSHVAEYKSGDAYRGKRVLVVGCGNSGMEVCLDLCHHNALPSMVVRDSVHVLPREMLGVATFSVAVFPLRFLPLWLVDRILVLLAWLFLGDLAKLGITRPSGGPLELKNSKGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAAELVDGRRVAADAVILATGYQSNVPQWLKGSDFFTEEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAKAWNHQTATR >OB07G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7775553:7776154:1 gene:OB07G18630 transcript:OB07G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAATRRPSTSSAAPPRSSLRIPGTTSMSPERILASAPMSSTGVLPLLFLSSSGPPEGLVMPSLARSPRKSHARRTRILSTSQSGRNRRGKTATEKVATPSISRGRTCTFSLTTMEGRALWWQRSRHTSMPELPQPTTSTRLPRYASPDL >OB07G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7820991:7829823:1 gene:OB07G18640 transcript:OB07G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQLRECGHRMVDFVADYYKSIEAFPVLSQVQPGYLKEVLPDSAPRKPDTLDSLFDDIRNKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLELPSQFLSTALGGGVIQGTASEAVLVALLAARDRTLKKHGKQSLEKLVVYVSDQTHSALQKACQIAGIFSENIRVVIADCNNNYAVTPEAVSEALSVDLTSGLIPFFICATVGTTSSSAVDPLPELGQIAKSHDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSFLIQSLSTNPEFLKNKVKASQSSSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVDNLQSYIRKHIHLAQHFEQLLISDPRFEVVTPRTFSLVCFRLVPPTSVQDNGRKLNYDLMDAVNSSGKIFLSHTVLSGKFILRFAVGAPLTEERHVDAAWKLLQDEATKVLGNV >OB07G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7840891:7843425:1 gene:OB07G18650 transcript:OB07G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKKTLLLLLLRSSEGTVLPAPPVGVHDTENADDPNPNDDQPAIENIAEQVPKQIDILAEKGPKRDLSIQKGPKDRFSSRFSALFYTRILSNGDEYDRDWLVYSKELDKVLCFSCKIFNKGHRKGNLATAGFNGRAHLSERLREHETSADHVLNMAAWYDLRNRLQKDQTIDKFAQQQLEKEKEHRRKVLFRKVAIVKFLGKHNLAFCGHNSKIPWQT >OB07G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7844534:7844778:1 gene:OB07G18660 transcript:OB07G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEDDMIYVGDLGYMSTPCPSPLSGVNNLYPPKDPNDTIILHPAFVDNDDINIINEDIYNFRYNQSPPRDAQSSTTRFKRLK >OB07G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7845311:7846468:-1 gene:OB07G18670 transcript:OB07G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGKMPARVKRIRFADSQIECEVMLPLSVAGGGASSSMAGDQAAQRKPKRKRCATSADKDASGDDDKWESMGNEDVDFAISDTDDDGAHADGPVGWEVNESQ >OB07G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7855672:7864480:1 gene:OB07G18680 transcript:OB07G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKDDMRSAAQGTIHGSSSSAPTISPVPDYPIPGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVPGISHDQNVASSVGLKDPRGILGAHRTGAENRFDGAIFLTTDIPQTEGIERKSFAENENRSRHVSTTSVPRIPSRSGSSQRLSHSYASSESSDSSRKIKILCSFGGKILPRPSDGKLRYVGGETHIIRINRNISWQELKHKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNIDSNQQNSSRDGSNLYSVSASTTAPTAMVSGPLPVTLSSDSTANLHPYDTHGIHHVQGSDYSLPASNERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSMQSFDQQIYHDSMMEGSMKEEKQSFRVPLQKNELDYFQSLENMSVPVIHHDSSTNYMNSDVPVLTSIQEGLKSSLQPSDSAKSLETYTASKAMSTAQDSECNEDDRHSSGAFASGCSDFQVGVMDHSNKNPPPHPGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVGNESIAEASDPASEGNEKTNLAVQEINLNDPATADSVIPEKECASTVQQTNTFSGQLLGEKRSSTDTSTRNAEKNMHTADNVVAKCDLNEATGDGIETVNQLGDHSAVPNHVSWDALNPAIPADVGCDPFVPSTSSVDDSHKEPIIPKNINKDIIGGMGERTSPDILSDFFANTAAQSLSPFNDPVLSLNMPNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGAYPLAHFEHDVVNMKNVAPQSDAHVETYPVSSGIDLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYASMHYGAIIGGIVNNTLRPPVPATCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >OB07G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7864656:7864856:-1 gene:OB07G18690 transcript:OB07G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFYRRNKKTASMIIANLNTLFLGRHHCQLKQCLKTNGNCQFESLFFSFLVSNKVDGEQTKKTNS >OB07G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7866726:7867896:1 gene:OB07G18700 transcript:OB07G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSVAATLLLVLLGVAVVSTATDGAGVYIVIVQPPAGGADTLAYHTGILAAALGSEERAKVALLYSYRTVASGFAAVLTPPELAALQKNPAVIQVRPDQMYHVVDNLN >OB07G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7889463:7894715:1 gene:OB07G18710 transcript:OB07G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT2G05590) TAIR;Acc:AT2G05590] MMSLLPTSISGHERTSPSSMNMKPLPPESLPKRWRGNDFLWCDQPLELSEESGYESEGEKRNGNSDNEQQILQSYRSRDNSNGNEETSTSDCIDSLCYLTEKSRFVSPKLFGFFQSSLPGTLRGCHWVLLYSTWKHGISLRTLFRRSENLQGPCLLIVGDMQGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTISGEPRLFRPTGANRYYYLCLNNCLAFGGGGNFALCLDEDLLHGTSGLCETFGNSCLAHSPDFELKNVELWGFTHSLGRST >OB07G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7900220:7905800:1 gene:OB07G18720 transcript:OB07G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQIYNSAIPVTVPSPLPAIPVTLDENFPRIPDGQNVSRERELRSTQLPPHQNQSTVAPLHGQFQSSTGSVGPLRSSQEIRFSSVSNHEQYTNANPYNSQTPRTGSSTTVNYGSQYGGFEHSLTDFPRDAGPTWCPDPVDGLLGYSDDVPSENNLTESNSIAATDELAKQSEWWNDFMNYDWKDVVDNTSCPETQPQVRPPAQPSMVVHQSAAQQSVSSQSGEPSAVAIPSPTAASNTSNSKTRMRWTPELHERFVDAVNILGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSDKKAASKEDIPSLDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAEASTPAEGSKPSSDLPESSTAKDVTENTQNGTAKQTESGDTDNEDVTRVSPSCGSEWPLNGL >OB07G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7924006:7928394:-1 gene:OB07G18730 transcript:OB07G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQTFPGVPEPELGCLHSEVSPECANTSIPHIDCRQRATDIEQIEHEQFSFRLLCPVSLAGGLIGKNGVVVKAIEDNSGASVDVGGPVRGCMERAITVSAMENPGQKFSKVQDALLRIFDRMQKVESNSNMHSMSNNPLPCSARVLILQAQFGCLVGPSGAIIKDMVKSTRTRIKIFNETGVPACASQYELVLQITGEQSNVRNALSLISEKLRNHVFSSKRTTYSDGHVPSWDIHELTTSSHSEIDSVQNSINAFHLGSPGSPQIQKPANGNGTEINNPLINELQKLVNGNGSGTKNLNTGMQNDNGINISNHEITCLEETKLLRGIKTAIITRITYEIAVCGDNGNNVTKLREISGADISVHYPPSKTSDILMVISGTPEQAQLALAMFLDMVEKAQ >OB07G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7936727:7938853:-1 gene:OB07G18740 transcript:OB07G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEERQAHGDFVTKISDMVWRRSGTVVEAATEQENSAQQLEVDVPISSVFFWSDFAILRIAGTRDWVSGESSLPVSKSLSATDRQNESERRKELNLLRFEALKDELLLLTTGVGAACSLYSLLVFSLEAAISYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFLKKKVKRIGIRSEDLKNTIEKTLGGITVALLSPRLVIPAIIFGLSTFSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDSEDLRLILPKEDADSN >OB07G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7941265:7941565:1 gene:OB07G18750 transcript:OB07G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSPVMTESERATGVPACVCAPTTHAGSFRCKHHRHASNLDADAKRQEAQQQEVSSAEQEMTTT >OB07G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7948649:7949251:1 gene:OB07G18760 transcript:OB07G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKLKLPIKSSASYLGRGRPPRPHSTAPSSPKSCKEIVESYSNIDMIDAFHHQLDQDETKGIRKIVSVHDAPGNDAQLLGASSENFSHTEECKSQSIATAEDIYGHLQDAQLSCFCGTSVDYVSGTTSSCFAADGCRRDDSHGFREKNLEQDTDEIATKVLMLSSTKAGTKKKILPPFQNKSFFHFLYDSSSYLKKFYD >OB07G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7949500:7951559:-1 gene:OB07G18770 transcript:OB07G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFMKLALEQAQFALDNLEVPVGCVIVEDGKVISSGSNKTNATRNATRHAEMEAIDILLREWQGMGLDQPHVAEKFARCDLYVTCEPCIMCAMALSILGIREVYFGCANDKFGGCGSIMSLHQSSSTELSGKEIPGPKGYKCTGGIMAEEAVALFRSFYEQGNPNAPKPHRPVRIAPQ >OB07G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7952194:7955848:1 gene:OB07G18780 transcript:OB07G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVASAAAPPPPPPAQPHMAAPPYGAGLTGVLSPKPDGEGKKTEENVDYLNLSCPVPFEEIQREALMSLKPELFEGLRLDFTKGLNQKFPLSHSVFMGFLEVLSQSTETIKVPTAHYEFGANFIDPRLILVGRVMTDGRLNARVKCDLTDDLTLKVNAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRNVTSSFGYDYMHA >OB07G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7974837:7976036:-1 gene:OB07G18790 transcript:OB07G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGASGVALALLLCLSGAAVGTWARPVVAPKGDDGSASGDEKSLWLKKSFGKGLGAGIGGGYGKGGGFGGGGGFGGGGGGGFGGGGGLGGGAGFGKGGGVGGGFGKGGGLGGGFGKGGGIGGGIGHGVGGGFGKGGGLGGGIGPGIGGGYGKGGGLGGGIGKGGGLGGGFGKGGGLGGGAGLGGGSGFGGGIGKGGGLGGGFGKGGGLGGGGGLGGGIGKGGGLGGGFGKGSGLGDGIGKGGGLGGGFGKGGGLGGGGGLGGGIGKGGGLGGGFGKGGGLGGGGGLGGGDGLGGGIGKGGGLGGGFGKGGGIGGGFGKGGGIGDGFGKGGGFGGGGGLGGGGGLGGGGGGGFGGGDGSGIGGGFGKGGGFGFGAGGGGFGGGGGGGGGGGGGIGGMH >OB07G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7982407:7983382:-1 gene:OB07G18800 transcript:OB07G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGKMPDNVKTIRFLDSQNECEVVLQYIGGSGRAASSTLGNNVARRQPKWRCHSSSATEGVLGDDQPDSKRLNPARCSAALAIEACRVLSTKHHEILEEIGLSAIACMTLDFLEKPDLIRWLIGSTNPDTMCISIDEDQKIQISPRTLRLVMGTPLGGNDIILAPKKVVLNIHDNIT >OB07G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7987152:7992803:-1 gene:OB07G18810 transcript:OB07G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MKE9] MAEMKTTTMRRRKPWMAAEWVLLPTSMVLVQLFTIGALILTKLSFNVGMAPFVLLAYRNLVGAIVVLPFALWFERGMMKKVTWKILGWISINALFGIVLAMGLHYYGLRATDAAYTVNFLNLIPIVTFIIAVIFRMEKLKLKTCLGVTKVIGTVICVGGTMVISLYKGKLLHLWPTHLLTPAQLHSVGGGGGSPDHHGMLVGTLFLCGSCLSYALWFIVQAKVSKEFPSKYLSTMLACLLGTVQAAVLGVAVDRDPSAWVLRWDLQLLTVVYSGVFNTAASFCLITWAVTRRGPTYPSMFNCLALILTVVLESVLLGTDVSVGSLLGALMIIVGLYAFLWGKGKEIQQQKQIRETNDVDRSKTIDSTSNGEVRIPVDS >OB07G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:7997637:7997813:-1 gene:OB07G18820 transcript:OB07G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSWMQTGDLADMFQGRLWVSCMSSLYSIQVAVPNTLTANTQQHLITRRSIIDHKPV >OB07G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8009394:8012828:1 gene:OB07G18830 transcript:OB07G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3MKF1] MATVDGTTASTGAKTATVASESGGGRYGPPAAPASNSGAQVALRALLFAVSLSALVVLVTAKQTVMVPVVVRPPQFILAPVPAKFTHSPALIYLLAALCATSLYSLVTAISSLRLLSSSACSARTLFLLILLDVFYAGVMASATGSAGAVAWVGLKGNSHTRWNKICNVYGNFCRHIGSSTFLALLASALLLLLAFLNAYSLYRRTPR >OB07G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8017637:8018109:-1 gene:OB07G18840 transcript:OB07G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRSSCVLLLNEAAQQCMVPLPLYLWEFDPVDNLLADNLDTALFSLSYDISGFEL >OB07G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8018270:8019196:-1 gene:OB07G18850 transcript:OB07G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPCGLRAGQLPHPWPPPGAPGHHRPTSPSFPPPELLSPRRRRAPSFPPSARRRDRRREQPGHRRPTSPSFPPPDLLSPYWRCSPPSLHRFAGAAAPPALLRLRSLLATGTTVPPSLPRSSSYFLCSVHTLSLSNHSYPTEDFLTAGGARLFSLSPPRWRFSHVDDLSYLLAWCTVYALTIHCLCLRCLRFMLLLSLFFSPTVLPAIWCSCLCHRLLFACPSCFWYFVLLSVLCASPDICVGVRLCAFCVAWPMTTRDNVFFVCWLCPHTALCCFLYFFLTVVFLLAVALTILTHAFSSLLYACI >OB07G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8019040:8019348:1 gene:OB07G18860 transcript:OB07G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRGHDAGEGRAALAEGRRGMSGGGVRVLPAAAMGAGAGLRGDRKERVPPCRKGSTSLSDIQILGGRGAIGEESGGLTDALLRDVRREVGDSSLRYEPLV >OB07G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8040056:8048521:-1 gene:OB07G18870 transcript:OB07G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:J3MKF5] MVSGNMFYRRKPSWRADDLVSRSTLQLLDFDDGSPPEYAWRRKISSQANRLKEFNVTFREAIKMMRLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSSDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQDSSLPTSVFVYTIVNTGKERAKVSLVMTWANSIGGLSHHSGGHVNEPFIGENGVSGVLLHHKTANDNPPVTFAVAACENQNVNVTVLPAFGLSGESSITAREMWGTLIQDGCFDRDNFNAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQSPILHDDRLPEWYKVTVFNELYFLVAGGTVWIDSASLVVDTEEMLNLKIPEDSDSPLHDSTHKSAVPLIGFTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGNRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARDLGHNDYAERCMVKFAKAKLVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMVLHGMENQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPRVNTMDRTSHISPSTLQFLQDSVRKMTPRNSCFGSSSSFSCEC >OB07G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8055443:8061331:-1 gene:OB07G18880 transcript:OB07G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVRLDAAVFQLTPTRTRFDLVVVVNGRKEKIASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGVVAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDSLGLKSVEDHGGKLTESNEGTRANHNPDADKAIVIYQPGSQPTPPVHDETTAHEENSKVQLLRVLETRKNVLRKEQAMAFARALAAGFDIDNLSYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGDDASKAEHKSGQHMGYQAAYPPWAMHPPPYMQGMPYYPGVNPYYPPYPPVDDPRYHYTGRKSRKHSSDSKESEVLDDGSDSSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSDAGSEDSDDSHSKTRRGKHKSSSSKKKDGAKTTFDSGDDYNKDESSYGQDGDQGNWNAFQSFLLRAEEKTRSNDADLFSGEKEPPSRKKKNVNTSDPILLARGDSDDVYEQRGPGFDPANGRSRAIRLQSNDELMMSGDGRRYMDGEIKEIEAGGGRYRRGTSEDFMVYGQERSMDRRSSLDPLAEARYRNPNQVDKNGHVVADESFMIPFRSGSQDNLGPESRSAIDIDVELPTYTEKASDGKAGAQLFYEPDELMPERGSEDASFGYDPAMDYESNMLVKTIKVEDGNKEDVSQSNDGDVKKPEKKLRNPKDGLDKRNKDALLRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKGFAGADHPSNPTDHSRRRSIV >OB07G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8076389:8078427:1 gene:OB07G18890 transcript:OB07G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLNSMVQVEISSKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQVCSDAYSKTLKKWHGWLASSSFSVAIKLAPDRKKFMEIISGSGDINADIQKFCVSFSPLLAENHRFLASVGMDDLKAS >OB07G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8078427:8078582:1 gene:OB07G18900 transcript:OB07G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYALLMRLISLHRNHLSGCHCLFMCNLYYIFGSVFVQHVADGLNSIAFFF >OB07G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8080334:8081764:-1 gene:OB07G18910 transcript:OB07G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYTDLSGCTIGEFSIRYQGIPIHFRKLRNADWKRVEERFEKNLSSWKDYFQDYSLAPYMGYPPEARIMEYSAYGVSTIGAGGHEVFYPGLWVTI >OB07G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8084914:8086855:1 gene:OB07G18920 transcript:OB07G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKDAQEMQIGFPTDVKHVAHIGWDGPSVPNNNNAAGAPTWMKDYHSAPLDSASFRSDRGGSAASNPWASQEIVVDGGSLGDTSFRETQSEAGSMDITAGDSPPSPNTRRSRRHRSRGSAATSSMDCTGTEAGSEKKEKAKKSSRGKNRKKDKSDKSAAAGDDAAAAGTPRPGPPPRPPPAVPKKSNRRKNKGSSEGSGASAPAKDAGAAAEESAAPLPPAIEEAKD >OB07G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8091137:8103602:-1 gene:OB07G18930 transcript:OB07G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQSKEELLYQQVNYGNADGIRALRAQGAGLEWIDKEGKTPLMVASMRHDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPYITNDDCHTALDLAREKGHVNVVRAIEGRIALFCGWMRENYGPGFLEAIAPQFLTRKIWAVILPREARNQTRPLKLELAIYPELQASKPQAVIKLWKCQLEEPKFNVANPSVTIFDKGTRTRYRLLSVCDGDKQQLQWFYNACCGIAQAASISMIPAQQTNASLPNPLPASSAPPGPSPPSKEDAELVMAINASIQSAIAEGVPNVQPIASTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQASANTSSSSTYNGWDVPGTSSGQSSSKHNKSQTNTLVVPPEAPPSLPVPTAPPLAVETFYDGPVQYPSIDSTPVAVTMPSTEGGTAVSPGNAKPVESDANASSSGNTPPGTCVICLDAPVEGACIPCGHMAGCMTCLKDIESKKWGCPICRAKINQIVRLYAV >OB07G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8151486:8151836:-1 gene:OB07G18940 transcript:OB07G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDWWERCLFSILPLRIYSSSSLLAPLVILFVLFLFFSFFFVWGKKSLGFLGGLDPLCSGWSWIQSSSLSGKRNTRSMEEKLDFGRVSSIFFICC >OB07G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8156927:8158333:1 gene:OB07G18950 transcript:OB07G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3MKG3] MAAAASAARRVPGPEMAAAQRVVAELREACAAGEMEGGLAVEGGGTMKMIVSYVDSLPNGGEEGLFYALDLGGTNFRVLRVRLAGGEKERVAERVVRRGVMIPPGLMSGDGATSERLFGFIASALAEFVAEEEGGLGSGAGGGCRRELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAVGEDVVAALQVAMSRRGLDMRVAALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDAAAIAKLPTGPSSTMVINTEWGSFAAPCLPLTEFDEALDAESLNPGEQTFEKLISGMYLGEIVRRVLLKISSRCSSLLGGDGELATPFVLTTPDVSAMHHDETPDLSVVGETLSHRVGISGTSLETRRVVVEVCDVVATRAARLAAAGIVGILRKVGRCVVPGDGRRSVVAVDGGLFEHYGKFRRCMESAVRELVGEEAAERVFVKLASDGSGLGAALVAAAHSQSRTLN >OB07G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8159898:8162015:-1 gene:OB07G18960 transcript:OB07G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPLLFLQFFNFLVHYISSLSRAQQFINKWELQCMLLASFSLQVFLLFSSGFRKRYSSRVLSVLLWLAYLSADPVAVYILGRLSLRASAADLHNQQKLVLFWAPFLLLHLGGQETMTAFSMEDNTLWKRHLLSVATQMVTVIYVVSKQLQGDSQLVAPMVLVFIFGIAKYAERIWALRRAGSVAPGTSSSTANLVSRASSNAIWDTQGYYSQLCYLIEKKQQRNFKLILAVAAEGFRLSLGFFMDMTPSISLLPEDINEIKRSVEVFKLSEDFVHMSYKLAEINLSLIYDYLYTKFGTRHFHIVPFCIVFHLIINLALISTALALFVRAMAGQKAHDAADIIISYILLVGAIVLEICSIFMSFISSCWAYKTIITLPLTCPLCKKFPGVIAALLSMVRHLHEHNRGEWSRKLAQYNMIEGCIQEKQAGAGLLRRAMRCIGIDDSKAIKHIGVSPEVKKLVLDKLLEIASTSLVLEWDLGVGKFRGQWAQWVVAAKQDHLRRAAEQVLLVSNIQGLEFVSSALLWHMVTDICLLVDEDEDSGAELRGPTRVLSEYIMYLIAECGTMAGSEGHFVLRKGRREVTRWLREKSESGGDRKKLIEEIRNEENSFFANDYYPLLDRARRVSSDLLVIEESGDRWELIAAVWLEMLCHISYNCGAGFHAKQLTAGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >OB07G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8166803:8166970:-1 gene:OB07G18970 transcript:OB07G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTKYHYKLFTCTVNELFGYLILLFMRAWRNDELQLPTFKVNIKAFPFIIYNSV >OB07G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8166547:8170426:-1 gene:OB07G18980 transcript:OB07G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIPTSARPSTPVEEETGIKLNLEDMVDLTAVLYPDTGCRMLPSPVNGQYYPTLLNIWHNFSSKMNII >OB07G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8191630:8191988:-1 gene:OB07G18990 transcript:OB07G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNASCFKNEMENRGGLCLSVPMYIEEPDYIGEGMGTQQRCKHGLRPKRMTACKGEDIGRRFLECPLQEEE >OB07G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8212311:8213492:-1 gene:OB07G19000 transcript:OB07G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTKPRGVALAATLIFFALLFVAGASVKLHRSERRTSAAAGDEIGCLLARKMLRVKARTGEASVTMARQDDDQRRSSRTADAVAPTTSSGGPLPAGIVHGTSNLEMEPMVGDVPEKRGGGARASKKSLLAVPVGIKNKAPVDKLVSKFPAEEFAVMLFHYDGAVEQWSDVEWHGRAVHVAAPGQTKWWFAKRFLHPDVVAEYDYVFLWDEDVEVDAFDPLRYLAVVRREGLEVSQPALGRGSEIHHGITVRSAAGGGVHRRFYRPSGPRCDGESTAPPCTGWVEMMVPVFSRAAWRCAWGMVQNDLVHAWGLDYKLGYWPGGDRTLKVGVVDSEYVFHRGVPTLGGGGGSAAPAFRAAVRRRSYAEMQIFNRRWKQAVAEDASWTDPYPEH >OB07G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8223804:8237714:1 gene:OB07G19010 transcript:OB07G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MHSFQECRLRLVVTSAKRLNTISTWISSGKQAHISCNAAQGSSVISSSEKVDFLKLQNGSDIRGVAVAGVVGEPVNLTEPVTEAIAIAFAAWLLNKKKEDGWRRLRISVGHDSRISAQKLQNAVTLGITNAGHDVLQFGLASTPAMFNSTLTEDETNHCPVDGAIMITASHLPYNRNGLKFFTSDGGLNKGDIKDILERASKIYEESSHGDIQELEKAPKGEVNIVDYMSIYASDLVKAVRKSAGKKENPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVAENKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALISAIVLEEHPGTTIVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAICLNTTGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARLLNPNAGSKVLTDLVEGLEEASVAVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENTISKDKNLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQQ >OB07G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8230354:8230680:-1 gene:OB07G19020 transcript:OB07G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGSSLYPAAPCLPLTGATPYRPARRCRLRYPALSTPRQEKWILLESIHLHYCYMFLFGISQTELFTEKLKDLESGDEESFGDAM >OB07G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8246112:8247164:1 gene:OB07G19030 transcript:OB07G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGARDYIDPPPAPLVDVDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQADASASGADAACGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNNKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >OB07G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8254447:8255843:1 gene:OB07G19040 transcript:OB07G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGAGGVRDYEXXXXXXXXXXXXXXXXXXXXXXXXXXXTHGGAAAGGGADAVCGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGVALVRAFQSGFYGRYGGGANEVGAGYSKGTGLAAEIVGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVFNDSKAWSDQWIFWVGPFIGAAIAALYHQVVLRASARSYGSFRSNA >OB07G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8258553:8262025:-1 gene:OB07G19050 transcript:OB07G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMLDSEEEDAPEDERNGVALDDGAPEIEEEDDTLACECFGSVHAVDDRKAYYNAHRLAQQKSCSRCVYFTWTARPFYYLSTFSKCSMICIHRNKLQRKMANKISKASELERAQEIAEMLEMKKKISTVAADDEYFEIHPDSILIPELEQKFDDEICNDYWGC >OB07G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8287605:8290938:1 gene:OB07G19060 transcript:OB07G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEVMESGGAGEFAAKDYTDPPPAPLVDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDSAVGGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAICGVGLVKAFQSSYYNRYQGGANMLASGYSKGTGLAAEIIGTFVPRLHRLLRHRPQAQRPRLARPGHFTWCHLSSGEHVSCEGGAVGISLGMTLIAVLVEVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNNEKAWHNHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >OB07G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8301999:8305000:-1 gene:OB07G19070 transcript:OB07G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYVLLDASDENPRPEKSAPMNIELSLFDVVEEIKATVGKRCPAVVSRADILIYAMRDASTILSNDRVNFDVPAGIMDLGLVPPPPSVTHRPHLTPHRRPPQPQDRREAVKRGRRERGKEGREEKEKKEREKKIKKPNMWDPADVTSAKPIKNKSILPMGLFMTDYTSLKLLVEAAFEISCSWEKLSQTGPKPVSSPQRGPYHVKNSPERLADPGPFTTSKWSVTNKSPAALGIRERRLVFAFGSVKNSPATAAAAGATASLIPPRLFPREQWKCTTRECRQILRKDTEVFIS >OB07G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8321956:8323200:1 gene:OB07G19080 transcript:OB07G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRVPAVVVAGGCDLGGCCRGGGKVADGEEGKKDERISAVKDWVMAQVVPVDDNASAEDEDEEAEAPPPYVMAVDDSSVDRAIITALLRRSKYRVTAVDSGKRALEILGSVSRYTSSAFLFLSKILTFNQEPNVSMIITDYWMPEMTGYDLLKKVKESSELKQIPVVIMSSENVPTRISRCLEEGAEDFLLKPVRPADISRISSRMLQ >OB07G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8325530:8328679:-1 gene:OB07G19090 transcript:OB07G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILSSLAAACASKLAGLIAGGIAAGLGVEGDVRSMQRRMERVIGAVAEAERHGEGSAAAAWLEELRDFLYDADDILDLCRCRGGRLLADGRPRSGAGGPLVALNLLSSVQRLQARFVIGAQIRRLNGRFEEICKDRLFLGLAVDDPKAEDGVARGAGRVRARTSTPLLDTNVVGKEIKSATDELVEAIMRDDGHGEVEVVAVVGMGGIGKTTLAQRVFNSRRIAGGFPVRAWLCISREYSEADTVKEAIRCCGGDYGRAETLAELHPILRSTVSGKRFFLVLDDVWDAGVWTSLLRLPFHGATGRVLITTRDQGVAAKAGAGHSHQVRHLTAHSGWELLYRTACLDADEIQSLRSVGMAIVAKCGFLPIAVKVIGGLLMTKRRSRAEWERVLGSDAWSMAKLPEEFKGAIFLSYDDLPSRLKQCFLYFSLFPVDFVYWRCHICRQWVAEGFVTESGESTMEELAEECYYELITRSILQPHPGYLADQSRSTVHDVLRSFAQHLSRRESVCGDLQAVNGSSPLVKLRRLSLMNLEEVTTPCKAISDSCKCLRTLFLISIQNVNSRLMVRFSSLRTLFLSDCGINKIPESIGDLMHLRYLGFESVNIQALPESISQLRNLQFLNVKRCILLNALPRTLSRIHSLRRLGIEETPIRLVPEGIGRLHSLVDLQGFIVTSASSSSTMQQGWILAELESLSQLRWLRIDNLERAAIDTGASLDNKRYLKRLELSCTVKPNSDDNPWDKFEVEKIEAIFEKLLPANCLQDLLIRGFFGRRFPTWMESSSLCNVTWLKLVDCKFCPKFPPLGQLPHLTFLKIVRAESIVSVGSEFYGQGGGSVFPKLEFLWIGKMPKWEDWTLQITQETCNSSVQLLPCLRQLELKDCPKLRALPEQLKHAKKMQKLRIEGAHALNKIENFPELSGLLRINGSHCLASISDLYQIKELYVADCPALQVVNNLNALKWLYLEDESMEHVPMWLSRLAEKGEPLSEDGLELELHCSTAMLDRCLMGHQDWTIMKRFTSVAAYCKEAYMCYSRHPVSYHTSKNVSDSK >OB07G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8330237:8331230:1 gene:OB07G19100 transcript:OB07G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAFLKSPEFTSVICNVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OB07G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8333920:8334798:-1 gene:OB07G19110 transcript:OB07G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVGKAASGVAGIVFEPFRSLVDCGCSGVCSGGSWDVSCFMEHLCVVSLFKLFIFLVLSFVMLYVMCKVGLKCVVKRACRAGMSACSCSCHALRFLCHKLRTLKRVRGGRRGRRYDVEEGAWGGSGSGWISSSEECSSEGGGDDWRHGRGRAREGWSPARGRRQSRMRESLGPRKPSFKNKTTRRSYP >OB07G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8353727:8358162:1 gene:OB07G19120 transcript:OB07G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKLLADASPDHDMPAVAALVELNHHVLGYVKSMALKCAVDLGVPGAIHRRGGAASLAAIHADTAVHPSKLADLQRLMEMLSTTGIFSSTIGAADINGDGGGGGGAVAYRLTAASRVLVGSRSLSPEVQFVVCPHLVSSFFSLRDYGLPAPPSLAASGSSSSLFEVAHGCSQWEMAAKDSTLNSVLNAAMAAESQIFLEAVVAGKGRHVFGGLSSLVDVGGGYGAATEVIAREFPHIKCTVLDLPHVVSQAPVTGDGKVHFVAGDMFESVPRADAVVLKNILHDWSDDDCVKILQRCKEAIPGRNAGGKVIIMDMVRGSGPRDKGISEMEATRNLFMMHINGMERDEHEWKKIFCAAGFSNDCQIIPVLGPFAVIEIYS >OB07G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8367932:8368303:-1 gene:OB07G19130 transcript:OB07G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELASTGACLGTWDLSYFMEHMCLVNVARLFIFLVLSFIMLYLMCKVGLKCVVKSNCRAAMAACSSYCHVLHFLWLKLRSTKRVCRGRHR >OB07G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8376689:8381436:1 gene:OB07G19140 transcript:OB07G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3MKI2] MAMGFLAFQRRTLVLLLCVLAAAVPFAGASVASAARPADDRAGRRRRRLVQNGLGRTPQMGWSSWNHFGCNINEMTIRTTVDALSSTGLAKAGYNYVNIDDCWANNQRTKEGYMAADPNKFPSGIKAIADYVHSKGLKLGLYSSAGTRTCSNTMPGSLGYEDIDAKTFASWGADYLKYDNCFRDGSTETVRFPRMASSLRKTGRPIFYSICEWGMMKVATWGSRYGNSWRTAGDIKDTWDSMLSNIDSNDEYAGYARPGGWNDPDMLEVGNGGMSNGEYVVHVSLWAIAKAPLIIGCDIRIISKETLEILSNPEVIAINQDRLGVQGKKVRKYDGEIEVWAGPLSQQRTAVLLLNRGATGSRPITAAWGDIGVGPSVAVEARDVWKHETAPGRFTGGLTADVAPHSCKLFVLTPVVRQR >OB07G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8413154:8414747:1 gene:OB07G19150 transcript:OB07G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVFLVSIVSPCLVAFAAVVVVVAGSTALQWRHDVYSGSKRLPPGPRLRLPLLGNLVLHAPTMASLAPALRRLRRSHGPVVTLWAGAAAGVVAPTDAFRHAVFSFFAVLCFGEEVSDLVLCDLRRAHADILALTVELGAFHLVPTVMMLPYLHRWWKLRGLQRTHHDIVATLISARRSRREKADRDAEDSRSMCYVDTLLELELGDDEMLPTSLKDAVLEHLNNLS >OB07G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8420035:8420655:1 gene:OB07G19160 transcript:OB07G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAKTTSTALEWTMARLVRHHDIQRKLRLDIVKTNGGATPSPYLKAVVQESLRRHPPAHYLLAHTVDRDVPLDDGGHVIPKGAIVNYAVAEIGLDATAWTDPDEFLPERFLEGGEGAMVDAVSCGAVATEIRMMPFGAGRRACPGANVAVSALHFFVGRLVERFEWWPAVGEGKKVAVDLSEKAGLVTVMKTPLRAILVPTTSA >OB07G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8437417:8445505:1 gene:OB07G19170 transcript:OB07G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G24340) TAIR;Acc:AT5G24340] MGLFVWSWGRELGCLTAWRGRGDGTGRKEFGQEGKQRKREKEKGKREKETAEVFVVDLLAVPLADLREPLRELFERPEVLKLGFRFKQDLVYLSATFAAALGCGAGFERVEPFLDVTNVYYYLKEHDIQKRLPRETKSLATICEELLSVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFHQKIRTEGKCLPTDELTSDGHCPPRDTECSSSGDDICFDGYFTSIVAKHGENILLTESDTKPRSSRRKEKQKLAINAKCKEKFEGSTEWQGPPPWDPSAGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRVLLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDKLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >OB07G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8446331:8446649:-1 gene:OB07G19180 transcript:OB07G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYRRCSPGCWSRSFFIVFVHHASSLISSYLPRLHFALLRQLRAASAIPPLRRSRAATIPEGFSASLLQHWHMIHGGPLLSLRGISNTVVGILLELSPGLANPT >OB07G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8446839:8447477:-1 gene:OB07G19190 transcript:OB07G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFHNAVPQFRFVRRKNVLLSIKSDKTDAAEQQHAMERASALLRPHGVSVVEYTSHACTKRIPGQYVIYGHLLGVAADQGCCLEVERFASPTASSTSARLRYWLQLHHPTPRIDRLITRSSTRLQRQLGADRLRLRVSAIKLQVAAMSPLSVVAPLPVVYTSTGCCSAERRPPQHDYITRGLLVAAST >OB07G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8464748:8465745:-1 gene:OB07G19200 transcript:OB07G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRSDGRDDDEATTAKERRPDDDRLHEKLGACILPAPICGFLLSTPLPHFQLHCHPKTPPPLPATPPRSTYNATLVVHHGARWHPLTVSASGIQTDVVATYVASASEPASNSAAFSLGAAGSRREEQTRPRLRSVAASTPDSAATDAALLGREDSD >OB07G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8466729:8468290:1 gene:OB07G19210 transcript:OB07G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRATIAAARAAAGAAVSKXXXXXXXXXXXXXXAPPPAARPLRAGRRTGFSSPSSHDTPTAAGLAFLSSPASSASPNPMPPRSRPSRLRPTAPKPAARSPLDSPRTAASPPSAAAPAPSPASAAVSSVGDLRRVANLQMEALKRRLDALHSRSHTDLDASLSRASKRFKIQNQACQQLTDEVDSEYKKMSDSIKENAEMIKVKYKQIMSEAQSSTSRVRKVTIPEITKSVEKAIDGLRSRYNISMPV >OB07G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8471524:8472822:-1 gene:OB07G19220 transcript:OB07G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPAPAAKEADKKVQLMKEVRAHEVAIGELNNLPPSRAVYQKTGNLFFGKSVKSAVASEQKQLDASKARLQKLDQA >OB07G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8475733:8476761:-1 gene:OB07G19230 transcript:OB07G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCKTQIPEGLQFIAIHRKFIASKRAEEPQRRRCFFYLSRKCRRAAFHFNNKEYKEKRRKGTPPPQQPRHTPNNSLQYIDNWGQPFTEELLECVCPSKTPHATLI >OB07G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8493034:8493198:-1 gene:OB07G19240 transcript:OB07G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVITMLGEARLRGKSGSSTGSGSAKSAAATATVAVVDKDKPVFNTTPDSDYP >OB07G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8493179:8500340:1 gene:OB07G19250 transcript:OB07G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTSRMDGLSRDNLAVPGAEHGDAEHEQHLLPDVRTADAEERVEVLLHRVAPPDRRRWPWRRQLQQAPTGRRSERVQRTISRVRVTVFHITFRPPREWLERRPLFDLNVAHEDWEWQNEEDPEEVVEEQGQKVVVLEEGEEEEEPEEVIMEEATGEEEDEEPVEEIVEEVVVEEEVVAEAEEGEGEGDGDGDGRRKRKDCEVLVGGLPRDAAEEDVARALSDAGEVEEVRLVRDPAEPRLNKGVAFVRFAAAWQARWAADDVRTAMVKGETCGICKNDANETLHLRNICFDWTKDDLAEELKIYKLENLEDINLVEDPDRKGNNRGYAFLDFHTLVDAVDAFFKLKNRNIFLGTDVRAQVSFSKTLSQDDKVMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIQLARNMFKAKRKDFGFVGFTSRQSALDCISTVNKGGIGEGSAKVRIKASLQRPRPTLKKHSWQGTNPMLRVRGGFIGKCCGDRERYGDRERYRDRECYGDRDRYGDRVTHPNRVGFSGHSRRDYSNNFLDDRYGHNMVGRLPHMAIHVERHVSSQEYRSHYRRDSAVSGPSHRYGSARPREACLERRYTNEYLRQRQSRHEESTQRDAYRSKYGHSYLERSHRDSCPDCNQSDHSSSAYYKTDHEPTPSSSQVASHCEESFSQGHKLMASSSPGMCNCGECYIKQDAAPESSQVVPLRHQMAKPFHERSSEPDDRSAYEAEYKERRGGYHLPSRNGPSTNHHSNYGRQDRSK >OB07G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8502828:8519272:-1 gene:OB07G19260 transcript:OB07G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDPPGPAPFLREVELRLLRCTLPSLAAPPAPSPPPVHPLGPVAASAXXXXXXXXXXXPPPSAPESAAQFYGDLATAVEAFLRGDGGAAGDEFECRCAVVLSAAVAALLSFTQQNVTGPQGKYSPFPFWTSSLDEGCYRNLEGEWDAWASARIASTGSHVHGKFSLMQFIVFAEQMLTCIKSLDTTDCCSVSWWLCRLSMVQQNIVDELSSTLFDQVQEYKNKTLAHFGELENVFSYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRIDQSRLHLDSAQEACGLHLSLTGILGFRTIHQVNAKSQMVLVANSSEPAAGEGHATKLTGTQDDAAALKNARSSVPGESDEFCDILRMPRLVENESDSGNESTKDSGKKAVLTAMQQAAVLAECLHVSRRSRHDEMSGWEMAPYIESIDSQEESYFVVRSLCDILRIRWESTRSRTKQRALLMMENLVENIGNDFPVAAQRAKLVFGIQMATLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSIINARISVTPHDPRLWCSLGDVTNNDDYYKKALEVSNNKSARALRSLARSAYNRNDFHASKILWESALALNTLFPDGWFAYGTVAWKDKDLQKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKFKRNSWEVWDNYSKVLLDTGNTRQTLEAVKIVLNLSSNKRFNTDLLEKVMTMLEEQPTHLCDTQETESISSSSGDANQETRKSNQLLDIIGDILQQIVQSGGSNSEIWGLYARWHKTKGNLIACSEALLKQVRSLQGSGLWHDQMKFTKYAQASLQLCKVYMEISSSTGSRRELFSAEMHLKSSLKQAADFLHTPEYKALDDCLAEIKNLIGST >OB07G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8531657:8535586:-1 gene:OB07G19270 transcript:OB07G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G51410) TAIR;Acc:AT5G51410] MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCGAFMARFCPHDLFVNTKSNLGPCTKIHDLKLKESFESSPRHDNYMRRFEAELAQQCEKLVMDLDRKIRRGRERLAQDVALPPPILGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKAAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKAREEERIAREKKVEERRKQREKEYDVGGRDGGPKREKSGDRDHDRDRYYDHNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRHRSDDPRKDRGRARSRSRSPSRHGYGRSSPDQ >OB07G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8539123:8544707:-1 gene:OB07G19280 transcript:OB07G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G47510) TAIR;Acc:AT5G47510] MRWARRSIPPPQVCFSSPRTAGLLTVMVCVRTAGDSHSLPLCLALPYRRLRKQPGLPGEGRIQHAHQVFDGRRCKPATPRNLDFCFYYISPGQRRRRRRRTGYTSGRTVPSLQHSNVELTLLTIHLKLSVSPANRQEKLLISKMSFKSIDRLIRRNSKTKISRNTVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHILLRFLKMRGFNIVKAKEMFLNMLKWREECAVDAIAKDFKFEEYDAVKKCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVTSTDRYVKYHISEQEKTLSLRYPACSLAAKKHIGSTTAIFDVKGLGMNNFSKSGRELFIEIQKIDSNYYPETLNQLYIINAGSGFRALWKVLKACMEARTLAKIQVLGTNYLGTVLEAIDPSNLPDFLGGTCTCSATGGCLLQDKGPWIDQEISRASKGVLGKGQKSFDDMNTTVTSEDFPSHQEPSVGKMHPISGWKRTLGMLLKDNQVENDMNGNIQQSKVSEQISEKIQELENCSAQTQETLQTLLQKQNELANHIEQLRKLLREAVDADNKSSVLILK >OB07G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8552199:8557524:1 gene:OB07G19290 transcript:OB07G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGCLSSLCRTRRGGRAATPLPQPDPPRLEPKEVEDHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTHRAHNWQGLEQDITENVTIGTEYIVAAHVRVHGELNEPVEIKATLKLEGEGSSKNYQSVARILAPKDCWEKLEGSFELTTLPRHLVFYIEGPPPGVDLLIDSVTISYKKTERAASTQVNGTENIIRNYDFSDGLHSWHPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHAKAEVKATLRLHNPDDSTHYNSVGSVLASKEKWEKMEGSFCLANMPKRVVFYLEGPPAGVDLIIDSVNIACSGYQQLKEITVPSGIDTIIKNPHFEEGLNNWSGRGCNICRHEVNAYGNVRALSGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEIRITLWVQEYGRERYVSLAKNQASDKQWTHLKGKLFLHAPFSKAVVFIEGPPAGIDILVDGLVLSPARKLHAAPRPKVENVTYGANVIHNSAFTHGLSGWSPMGSCRLSVHTESPHMLSSILNDPLQQHIKGRYIHATNRTDVWMGPSQVITDRLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADSDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKREIILKFQGSNAANIIGSPIRIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDAVQGWLRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKFIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGAINEAGKRYLALKQEWLTNITGHVDHQGELKFRGYHGSYTVEVATPSGKVTRSFVVHKENAVQVVTLDI >OB07G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8559152:8563876:1 gene:OB07G19300 transcript:OB07G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVHDCVVKLRSNPRRRRDTVCVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLADRYQIMMSGGKGFDPRVKEWMSVLLPLALDREVCIITNMGAVDPLGAQEEVLNLASTLGLELTVAVAYEASSGTFGNSIYSNESTGVGQGRSTYLGAASIVHCLENGKPQVVITSRVADAALFLAPMVYELGWNWNDFEELSQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLLGLSLPYAEVSYKGEVCVGKAEGSGGLINYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFHQISKDKVLCKGAKPSNPCQPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMEETYPGIEEKVISYIIGYDSLKTIGGNKDSPDKQVMDARLRMDGLFELEEHAVKFVKEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWQANMKRSTHPCSQSQKQATNVDKGQMRDQQKHKHPGRGAMGTQHSNTGMDTIMPSAVPAPSGTKMPLHRIAHGRAGDKGNDLNFSIIPHFPGDIGRLRAVITRDWVKNAVSPLLDSSSFPTDRSVRRRNDLLEHVTVEIYDVLGVSSLNVVVRSILDGGVNSSRRIDRHGKTLSDLILCQNVILPP >OB07G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8567551:8569223:1 gene:OB07G19310 transcript:OB07G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKTQGASRGSELMPPKIHGKRSARPRGRNYTPWSPLQGLLNSVKKMKKYRNDQSAASKTMKSTKGDNMPSSASKMAPNLKENNGEDTKFKLLAIKTEITERINPKMRGKRSARHRAKEPALWASQDELLRFETGETKHGDGDQDVCSMKMKTSSKGKEKTGADDDVTENTSADQVKNITTSADPNKKDAVPAPKTPVEFMGNKHAGEDTIEEEDQEALMTDATVAALKDQAAADQVTDVHKPDYGAEAALASIYGSEPSEWDLCITFAVKLLMDEAPIPEDAAEVEEFFRQNMNDANIANIAGPFVP >OB07G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8571023:8578403:1 gene:OB07G19320 transcript:OB07G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVLSPNVPWEVEDIWRTFAAYFFVLHIPFSFGGLGVVAQLLHCPSLDPLTTVSTTVVLQLTELTLALALLQHTTKNDHKVWSFFAGKLCPQQNWIKETVLGFIFLMTMVSFTTVVADKLIGLEDTYDPMLRKILSDSPTSRLLCVFLYCVIAPLSEETIYRGFLVTALSSSLKWKDAVIISSLMFSIAHFSINSSFQLFVIGCITGLAYCRTAKRKTVKLIPFNKLREYLQDKRYLLVIDDIWDAQTWRIIECALVKNNQGSRVTTTRISDIATSCCCSYGDQVYEMKTLSATDSKRLLFRRTFSSDERCPPQLKEAANDILRKCGGLPLAIISISSLLATKPKSIGHWGMVKSRINYKQENSPDIELMTWVLSLSYFDLPRHLQTCLMYLSIFPEDYVTKKDRLVGRWIAEGFINAKQGKSLLEIGDNYFNDLINRSLVQPVDEDDGQAQACSRVHDTILDFLVSRSNEENFLTLVGGSNLIYTPVGKIRRVSFHKNSEGSVSPG >OB07G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8596087:8596671:1 gene:OB07G19330 transcript:OB07G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSAVADATVVLFSLTVAVAAPLIDAQSVLPRHLFPAPLVSLKRWYAREFGDYLMARPPGFLRGLVWLELAFLWPLAVATLYGVLARRRWAATTSLIAGVSTLTSMVTQSHSLPPCSMSLCFAVRVLVAWEDQCAVSASDLQGAEANSGIIFPLFVGRWIPSLRSIILERSRKMDRPHGVLALYRQCIFNLF >OB07G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8598425:8598736:1 gene:OB07G19340 transcript:OB07G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTDRSFDMLLMFDYNHFSLQYLERFWAQRKRHQNCFRCMFLSPFSLSLQFYVDYARLLHVVPLVHHLDLLLGRREPKMLFWFTLCQQALRTTHFHLIISL >OB07G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8601228:8605380:1 gene:OB07G19350 transcript:OB07G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Serine-threonine protein kinase 19 (InterPro:IPR018865); Has 155 Blast hits to 154 proteins in 53 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 5; Plants - 34; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G20495) TAIR;Acc:AT2G20495] MDEPSPSYSSSSGGKKKRPCSPSDSDQHPVHRTQIYTSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSMGKDQDGTEVFEWFERHVIPSKLDVSIDQCELCSLLSLGGDVTDKDITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGQIKTAQTPTGLLVRISKD >OB07G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8609526:8617442:-1 gene:OB07G19360 transcript:OB07G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24970) TAIR;Acc:AT5G24970] MLFADRGFGPFLALYRAATISFHVMKLTIWHLLLGDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAVRTIESQLGARISDLFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGGNAERFATLYSHGGNNCGGGTGIIVPKVYWNYTRKSILTLEWIDGIKLTDAERIAKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVADALHVSFGDGRRQSNDFQGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSSGSSNKSEDGSGEISAGSTASWRSFDMHSVVAATEDLFNFILSRKGWRVRVFLVQDIIKASDAFLQEATFPGIFDEEGTTVELHSERSKMIRRVVHGVRSFRQAISLAPDAWTAMLFRTLLKPESQKFILDVFLALAMNSCYKIPETSWICMSRFLNYLDRQDR >OB07G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8625541:8631879:1 gene:OB07G19370 transcript:OB07G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G15430) TAIR;Acc:AT3G15430] MEEVKAGHSYKWRTLSLETTEPLLASCAIRKRFSRILGGFWRGGGGGQKGPRIRKDSSSPPPQILRFGGRRADFVRHFRSETEEGRSSLDGSDRPRARAARVSPDRRRRRRRRRGGGGGGGSMATGEKSPRAFSMEELPGHLIGEMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCPAFSRIPFPSLSRVVNISASHNHAAFVMDSGEVFTCGDNSSFCCGHGEVATGLSFTVILTADGQVYTCGSNTHGQLGHGDTLDRATPKFIDLFDEVLAPVEQVAAGVSYTFAVTADGTVYSFGSCTNFCLGHGDQHDELRPRAIESFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVITDEGSVFAFGWMGFGSLGFPDRGSSDKVMKPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGHEYIRGCLKPTEIMFQKSMEDIVIAAPSGTMADDELPRDNEHDVLCLFLWDSNAFQRTNARSAPVQRTDAKYFDF >OB07G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8636750:8636971:1 gene:OB07G19380 transcript:OB07G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVFEHLKMVFLEICFWDRREILTGVSLFQNALTLKELELQVCLHKPQPVLLISLDNSILVLFTTDKLTKN >OB07G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8643709:8650818:1 gene:OB07G19390 transcript:OB07G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3MKK7] MEEEGWGEEMGATRFPYSVAEVAEVQLVQFGVLNPDEIRQMSVAQIEHAQTMEKGKPKPRMGSIDGKIKCETCMGGRAECPGHFGHLELAKPMFHICFIKTLLSIMRCVCFNCSKILVDEDDAKFKQALKIKNPKNRLKRIYDACKSKKNCAGGDDLDVQKQQVTDEPLKKRGGCGAQKPNITVDGMKMVAEFKTLKKRNYDQEQLPEPMERRQDLSAKRVLNVLKRISDEDCLLLGLNPKFSRPDWMILQVLPIPPPPMRPSAMMHTYYRVEDDLTHQLAMIIRCNENLRRLEKNGAPAHIITECAQLLQFHIATYFDNELAGQPRATCRSGRPIKSICRRLKAKDGLIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPYPPPGKTGAKYIIRDDGQRLDLRYVKKNSDQHLELGYKVERHLNDGDLVLFNRQPSLHKMSFMGHRIKIMPYSTFRLNLSATSPYNAFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNKPVMGIVQDTLLGCHKITKPEQETHGDVFMNILMWWDDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRLSTWHSEDEAGIISPGDTIVRIEKGELLSCILCRKPLGTSTGSLIHVIWEEVGPDAARKFLSHTQWLVNYWLLQNGFSIGIGDIIADAATMEKINETISKAKNDVKALIEAHKKELEAEPGCTMMESFEKGANEVLNEARDVAGSSAQKSFSESNNLKAMVTAGSKGTFINISQMAACVGQQNVEGKRIPFGFIGRTLPHFMKGDYGPESRGFVESSYLRGLTPQEFFFHAMGGREGLVDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIELQKLDSLKMNKAEFDNVFRYELDDENWRPNYILPLHVDDL >OB07G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8655005:8655208:1 gene:OB07G19400 transcript:OB07G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRNLLLSCIVAQVLSRLPVVNCHYGQNSMPCVPRLYPHLLMWCVHGSLVGLLVRCVESNRLAVC >OB07G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8679092:8683047:1 gene:OB07G19410 transcript:OB07G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILVPRRSLPRARPSPARNRELTKFSHKLQSPAPRSSEKFYAFIDGAAHIDGFRAVAAGEVGAEAVVYRRKESNGGGFQRKQPADGGLGLAGLGGKEKTSSWPKMNEHVGSVETFDVHMQTLLRTEKRNHGRWTTAATAKLIVRAKESTNQLKALLAKLLPAGGAAQGAGGAVEAILSDITAIV >OB07G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8684242:8685240:1 gene:OB07G19420 transcript:OB07G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMVIPTPGGSMGRRTFFVPNLQVKKLSEERSYSRCARCSAKKQEITYIGDRSCGDRPPPSRTTPAINSLGDMAPVMDEAMEKMVDSSNLKSLKPPENARTREFWVGVECVACRHVLPACFWLFVL >OB07G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8686618:8690286:1 gene:OB07G19430 transcript:OB07G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKEWGECAHDLRTTAVARTMFSSGMSRMVLIGGAYLSMSWEERQRGRKGPKRVGEVPGSTHALAGRAAGGGGGAATTGWLIVRAQKSTNQLKALLAKLLPAGGAAQGAAAVEAILSDMSEALSEALASLQAGRTMGGCRRRRRRACWSMDEVLQRSAAAAGQCREGVVVSGEGIRADGSSRRIMLQFGDRGDSYTWRKYGQKDILGARFARNYYRCACAQRSGCSARKHVQQSDDDPTRLEITYIGAHTCDDRPSPPATSPADDEQRSTVVSHLPAVAVAAAAPSTVRKLVERHVPASDMMEACTPSMEMEASWLLIPSPACSQSELLSEAGEVPELRPGASPPAPAERGKAWDGELLALYDSVVPDLVWRPSA >OB07G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8691253:8692205:-1 gene:OB07G19440 transcript:OB07G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:J3MKL2] MKEEGILRREWRRQNALMLEEKERKERERRADIIAEAEEFKKSFAEKRKLNCDAHRTQNRDREKLFLANQEKFHKEADKQYWKAIAEMVPHEIPGLEKRGKRREQMEAKQPGVVVVQGPKPGKPADLSRMRQVLMKLKQTPPPHMAPPPSPPQPAAPTKDDGGDKGAKNDGKGEAADQTAGQAEKSTAAGGGEKDAPVAAAADPPVPAAAAAAEAVQDNKAATEQEQPAKK >OB07G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8694400:8694875:-1 gene:OB07G19450 transcript:OB07G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVLQMEVNSMGCANKIEKAIKKMPGVTAVRPRVGEGRVVVEGTAVDAEALRARLESKLKKPVVVISTGVEPPPMQAPRPARRAAANASAAAGFTAAVRRAAATGVLPVPVRAQPVHFSFI >OB07G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8698303:8698521:-1 gene:OB07G19460 transcript:OB07G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPATHQQEYLYHLLWPGHVPSPFHPLLFKLNESTLTLLHSGDSPPCSMHNCTLISFSFDAKAVTVASQRRSN >OB07G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8700407:8707353:-1 gene:OB07G19470 transcript:OB07G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGKQKKRIISSTNSDQHRTGKKSKVQSSNYVISLKSQIALKWDDHQKRVVPQKEQVGILWSDLSPFIDSRQKHYSGLADVTYIPPEAFSLENLRRVLSYEVWNTCLTEAERKFLMQFLPTETDAEENVHLLLTGQNYHFGNPSLSWSSSLCYGDIHPDAILNKEKQIRVDENAYRINLNNYHSNMVESLKKWKKRWLNADDPEIIFRDNLAKHKQGDMRPKVTSSEVPLKVAQSSDVSKFMSYIEVSRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLKISCNDLPAAFEVLKGRKVTTEKLRNLLGLELLEKNVSIVRKADQLAGITKELGQHGSCENNGSTDLRNALVEHSSQDVLLCGNDHCLSRQDCDDETMHIETSADHHDSLYVKNRDLTVDNGTYTSSQSGESSDLQDQDHKDISCADRSISFCASNLVEQNEDLMDMKFSNDCSNVQDEDFKEISYTDATIIDHSPESQQIKTTCYTGSPIDTLERSNMLAQNLEGITYTGPSICSHKQYQGLRSNSYKIMIDKGHGVNDIPLVNSYPEINAVTMDLKEVENTTVIPSNSSALFSETSVEQIPVEEHHLNDQAAKGGKDVWELPGPDDSYYLPHENNSIYNGSAGLQIGDRRLPAGQQSSVICMENGILSQQQSQVTIASTFPMDNPASFIQPCSNRQSNGQVQTVADDIGMLPYSLEHTECIGQSPGLHSLVNSRFSQSNQFPSSLQEHRLVDQSNSVLHDQLHKNLYSDVSFQTKGNDSIIEQRPFAACGSTDQRYNCIPQEHRPHDNWPAIESNNCLPHTLPVGSSNTDGSLFSALAQYRQPALLHMQSGRSSPSQLLEIRNQVPLPGNFVPRTQGTNFHVPNIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLMTRQFRGPWTR >OB07G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8713897:8715675:1 gene:OB07G19480 transcript:OB07G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPTKQSSSVGAAAIDVVIILIPSPPPLFVFVLSSIGATDLVAVIILISSRCISICLQAKPIFSSRCKPATARARSHHSQPPSIHLRRSPPTEEGVAMTPLPHRESAPSPMAGIAAVEPGQAGAKVFAAGASLQNSSPPMLFIQIK >OB07G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8721355:8724653:-1 gene:OB07G19490 transcript:OB07G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLVSVLLLDVIAFGLAVAAEQRRSKATVTPDMVKEYDYCVYDSDIATGYGVGALLLLLAAQVVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLLAGSIRNAYHTRYRGIFGGNPLSCETVRKGVFAAGAAFTFFTAILSEFYYISYSKSRDAAGGAPYGGSNIGMGTYS >OB07G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8732961:8735400:1 gene:OB07G19500 transcript:OB07G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVFLPRRPEQRAADEARRRCRRRGGGGEERRKPSPAESREWTQRFFRGLGVDAPLPAAADRSDAYSALVRGVLSSATVSAAGPPRVSCTLTISHAAINAYNTIHGGMVMGVAEAVGMACARAAVGDKEMFLGELSTAYLSAARLNIIKCIVNPKFVVHVVCFPSWGIGGRIDYGELAYLIESEMEVEAQILRKGRSVVVTTVEFRLKETKKLCYLSRATFYIMPVSSL >OB07G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8737519:8737752:1 gene:OB07G19510 transcript:OB07G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLAADLIHALHWLLTTRYALGIFLFIMAGSQGNGVANNRLVSLGSTISRYFHRILNLVAMLAADIVKPADPNFEMV >OB07G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8738263:8741233:-1 gene:OB07G19520 transcript:OB07G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKESAAASVARKSATARSRKASAATQGQNGISAPPCNRAPPSPASSAPVHATDPPQISPFSISHQFPGAAPSTGGRFPGAPNSTAAQSPWMPPRPVHPSYFDPSHWDIETVPDGGFLNFIQSQTSQNNENFHFTENISHSTQFNESASYEGSDSSPLLEPTENPEHMSAWLKCSLDSIQETMVSNDIPRPLEQKAAKRALYESKGQQPADGELGEHASLDDLVLDPYQFQGAPCEDQSDLDDVEMGEETPVLDDAEMEEDELSDSEFHDIDPADIYTMEDFMVEEEIMAKIENRIHEKRKVGDQLDEELKIGESTALECLGKFAKGIIECFGSEYLHPPTVQEIEKLVQDNEAQGFPGMLGSIDCMHWIWKNCPKAWRGMFTRGDKGMPTMILEAVASQDLRIWHCFFGVAGAQNDINVLNKSSLFIEAIKGKAPRVHYNVNRNDYEAGYYLADKIYPEWAAFVKSISRPQTEKDKLFSVKQESVRKDVECAFGVLQSRFNIVCRPARFWHREDVVNIMQTCVILHNKIVNDEKELVKIPIDLNENPSASFALPPEVGTSSNPNQKYAALLRRHAHLHDRPTHVKLQDDLVEHIWHRFG >OB07G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8771187:8772912:1 gene:OB07G19530 transcript:OB07G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKATMANVAASTAAMPRIVIFCCYPVILSFSLKDFRRPRKRCVAEAARWAAAAVSYAGRGRHHGPGKDDALCRVTAAATCVGWGRRWAALGGDGLRRMGAATSCAGRRQRRPVPSGGDAPGGGGASRL >OB07G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8774569:8774958:-1 gene:OB07G19540 transcript:OB07G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVRLPACERRAPTDVAPGAAAGAGVGAAVGVRSASATGARAGGTVVDAGASATALGSWGGSXXXXAARARGGEPQPTSSERGGGWRGTLGATGAGHRGQRPTRELGDLERMARELGVVWLGRRRLG >OB07G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8776467:8776661:1 gene:OB07G19550 transcript:OB07G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCTNLTCCSNRDFQKCNITYHLSTIFRNGKKVCRKISRTTGPSHTLVPCSLALFKNNHKSPF >OB07G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8777012:8777269:1 gene:OB07G19560 transcript:OB07G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVGGSENLRWDQRFLVWYVMVLRLASDCLYSLAIYIFASAEHFLINSKPIFFPHDPSIVHQTTSKKQSKQGIKQIPLPRHNF >OB07G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8777510:8777775:-1 gene:OB07G19570 transcript:OB07G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSGARGAANTPPVDPGDISFPIKSAKAYLRMNQYKQCARRCDEAVERGQGAPGREKAGR >OB07G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8779321:8782213:-1 gene:OB07G19580 transcript:OB07G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAELEPENNNEDAVDDVVGAEVDDKEQEKTARPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >OB07G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8786446:8786811:-1 gene:OB07G19590 transcript:OB07G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAGWSLAATATVVAVVVVACCAATAAASSSPSSQLDCGTVTSLLSGCAAFVRHGAGQLPXXXXXXXXXXXXXXVPVHGEAREAAPRPQRVRHRAASRPLRRRRAQARGRRPQHHFLL >OB07G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8788085:8788552:-1 gene:OB07G19600 transcript:OB07G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGARNIFSAISVVGSEFDALDGEAFAFIQVLVGCSSHRTHGTHLARRNFSLRSRGTMTPTISARATVRPQRANEGGEVCLEASQGQKIGSQELDMHEMERIHAGHLLNPGREMRVETQESREEPSKPAYCHIKRMKLPPQNSTTPKIVGTAV >OB07G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8798766:8802138:1 gene:OB07G19610 transcript:OB07G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGGMRIWPGYGREHLSTRQGPLTVLHCELFSQQTAQFLCKTVWFSLILNLYLSRFPRYPLSCLCWRSVPTPPAVRSARPTGPTPSPSSCSTCSGTSPSSPSRPRCSPRRSTRHPPCRSASGSPGTCSSASSTSSASPSSTGVAGRCAAAALGWIRGLRVMGISGSGEGLLGLAPNSIIDPSRCVIKSI >OB07G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8801789:8811740:1 gene:OB07G19620 transcript:OB07G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIWWIIGFYWISAGGQDLSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVDRFLSAEDAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEEV >OB07G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8811845:8814629:-1 gene:OB07G19630 transcript:OB07G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEERSAPSPAYSSEWTQRFLERLGVEGTLPASLDHPGAYSALVRSVLSSAAVSVSVSDSTAPRVSCTLAVSPAAVNAYNTLHGGMVAAVAEAVGMACARAAVGDKEMFLGELSTAYLSAARLNSEVEVEAQILRKGRSVVVTAVEFKLKGTKKLCYSSRATFYILPVASL >OB07G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8837700:8840535:1 gene:OB07G19640 transcript:OB07G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQKQQDGGHAKLAQEQLLQASTELMHHSLGYLNSMALGCAAKLGVADAIPRAGGRTSLDDLHAALSLGPSKLPYLRRVMRVLVASGVFAHEQEAADVDNAGGGGGGYYSLTPVSSLLVTTGAGAGASCRSLLPLVLLHHSPALCVTPATGMAEWLKSGKEETAFEMTHGAGLWSVCSRTPELGELFNDAMAADSTFIMDLAIRGAGQVFDKITSLVDVAGGTGAAARAVAIAFPHIKCTVLDLPHVIGSIPTDHGGDVQFVAGDMMDCIPQADALLLKFVLHDWSDVDCVKILKQCKEAIPSREAGGKVIIIDVVVGSSSQATSQGTQLLFDLIVSTMLPGMERNEKEWCKIFKEAGFTEYKISPVLGFRSIIEVFP >OB07G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8843444:8845963:-1 gene:OB07G19650 transcript:OB07G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVPMPAAKERACAIPIHKTTKDFGTRCEGPRAFAPPSSFLGLLRTSLAKELSWTELQKVPKYLENPEIIAKEYTPKIMGVRWRSWKSELNKKCVQRNRTPFEDYP >OB07G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8848767:8860570:1 gene:OB07G19660 transcript:OB07G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 8 [Source:Projected from Arabidopsis thaliana (AT4G25450) TAIR;Acc:AT4G25450] MAISTWSTRLLLAPSASTPSPSPTRHLAFSPHLGGWAGVWALLSPYRARIAASLAALLACTTCTLSMPLFSGGVFETLIGRGSEPLWRLLSKIAVLYSLEPIFTIIFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKNVVSDNISRDRGLRALSEITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSVLSAEDIDDSLAYGLAKELEDSKGGVHENGTANKHYMSALKSSSTSSCNNLAWSGDIHLEDVHFSYPLRSDVEILNGLDLTIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHQIAVCSNGKIAELGTHAELVARGGRYASLVGTQRLAFE >OB07G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8882928:8884993:1 gene:OB07G19670 transcript:OB07G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTSTTSASSGATVWLAAAALLLTTAALTALQRQRKTRSSSSSNAGAAAVAAAPPVLRGAALVRFARAVARDGPLEAMREQQDKLGSVFTASLLGLLKVTFLVGPEVSSHFYLAPDSEISQGNLYEFTVPIFGPDVAYAVDLHTRNEQTRFYWDVLKPRSMKANVAAMAEEVENYFWRWGDEGTVDLKQELEQVLMLIAGRCLLGREVRERMLGEVFDLYRDLDNGTRLISTLLPYLPTPAHRRRDRAHRRLRDIFTEAVRSRRNSGRDGSTDDDVLQRFIDSRYKIDGRPMTDAEIVGLLIALVFAGKHTSAGTSTWIGAHLLSDSNQKHLAAAVDEQDQLTAAARRDDGAGQHRPRGGVDHDAIQQMTALHRCIKEVLRLHPPVVAMVRQARSDFTVQTREGEKYTIPAGHTVMSTILVNHHLPHIYRDPHAFDPQRFAPGREEDKAAGPFSFLSFSAGRHACAGESFAYTQIKVIWSHLLRNFELKMVSPFPGTNWSAVVPEPKGKVIVSYRRRANNTGAGQ >OB07G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8887697:8888299:-1 gene:OB07G19680 transcript:OB07G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGPHMSSYCLSFSLFLDLSLPLLFSLFPSSLPPATDAGSRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAVERSISNVGPTNGNALWAGQIWPLRGVWPLIWLSHSSREAGCWN >OB07G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8888671:8894030:-1 gene:OB07G19690 transcript:OB07G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:J3MKN7] MVVEDMIEDLRDMCIRLLKMMQADLAVLVASMRTEHAPIEKEEKCNLQMAVEGTTMSSLATVPSSQPSPMEAIVSCHHPLSMRPTTAFLDYIVDALTGLNIGLVRWRNMIGGILWARSMNVEANLMSGSRSRGGLELLLEKSTKVHKVDVQPNGGEGKVMMKGLLSWVKSNLIKERPEMFLKGASVRPGVLVLINDCDWELCGGVDAELEEKDVVVFISTLHGG >OB07G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8896501:8898610:-1 gene:OB07G19700 transcript:OB07G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2 iron, 2 sulfur cluster binding [Source:Projected from Arabidopsis thaliana (AT5G51720) TAIR;Acc:AT5G51720] PRHPRLPPTDQPTHPSPGRVRARRGLVAVRAEAGVGGINPSIRKEEEKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHLKHNKATGDNVGPLLVKK >OB07G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8901363:8901575:-1 gene:OB07G19710 transcript:OB07G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSTGKQLHKLKDTPSVSDASSCASCTCAWHGGSKAHHGHALSSSSHQWHGIHEKLLYASRRKLSC >OB07G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8920868:8923347:1 gene:OB07G19720 transcript:OB07G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKKDAANNPPGGGGAGGAGAGEGESNSSPVTAAVAVGQEGERADREGEEGKEVEVEEEGGVCRDLVLVEDAVPVEDPEEAAATAALQEEMKVLVASVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKSASGKATEGGKKTDDKSSSSKKLAVAAPAAVEST >OB07G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8926997:8930376:-1 gene:OB07G19730 transcript:OB07G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGATNGCGEYIRIPEGVEAGKEAVKGEGECPAVLRWRAIRWWAQVVVLGIFLSGAAAAAVVFLGPLVIKKVIAPAIEWESRTFSRPVVALICFGAIAFFPSVLLPSSPFMWIAGMSFGYFYGFLIITAAMSIGMSLPYFIGSTFHSRIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLTVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >OB07G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8933684:8933908:1 gene:OB07G19740 transcript:OB07G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPCTHGVTSYGPISLGCYYMCTAFPRVPFKFHLIMSWYPETANQIFGKRSLTTYSCTVHRFIRSVSAKLFG >OB07G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8941877:8949453:1 gene:OB07G19750 transcript:OB07G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G00840) TAIR;Acc:AT4G00840] MDCCRHVNPFRACSVLRGLGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGGAAAGAAAVLLAFHLLLAMIIWCYLMVVFTDPGAVPENWRHTSEEDDIDVNSRIISDNWDATYPASDGQSAQRYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCVWVVNCVGARNYKYFLLFLVYTFIETVLDILVLLPNFIEFFRDESKRSSSPGDIAILFLAFVLNLAFALSLVCFIGMHTSLVTRNTTSIEVHEQRNSVSWKYDLGWRKNLEQVFGTKRLLWFLPLYSTEDLHNISALHGLEFPTRSDAVV >OB07G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8954536:8962170:1 gene:OB07G19760 transcript:OB07G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAREHDPKDDVDRLFACFKCGVSPPQSAFRERPRRAGKRSRVASAADCSGGGGCSSSTPTPDSAAEKYGAPSSAAIKFTGRRQMSPVVFYGSPQGVPVKRPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHEYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDPDEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIAAQRAANPNFEKLYITKDRSCTGPSDHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKYKNMNDKELFMKSLICRLDDDCDKLLTCKLDLDCKKTLQFDSEASMIRIQGRNKDSIGTYRSDFPVSNTYGISPFPALDGFIESIASFGNVSGKIRCWYWFSHYGLMIYSMSRSRYCEHIGREHKSNHVMYIVEFQRAAYYQKCYDPDCQGYRSPLRAVPWDVIPELSSISDSAQREYQGEVVAINIEGSNRNEYISNGTKSVFGSGEDDPSWWEEAVKFADSIENTDHADHAPGSCDQEENDSDDADWWMDAERIMVQIEEQIGSQSNT >OB07G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8966584:8967273:-1 gene:OB07G19770 transcript:OB07G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGGLVLLDFWVSPFGQRCRIALAEKKLPYEYSEQELLGAKSELLLRSNPVHKKIPVLLHGGAAVCESLVILEYLDDAFPDTPRLLPAGDPYARAWARFWGDYVDTKVYPVGTRLWKLGRAGEKAEARAELVEALRTLDGELGERRFFGGGDAFGFVDVALVPLMPWVQAFARYGEFSVEEECPRVAAWARRCMERDSVAKSLRSPEEVYDFIGLLRNYYGVRD >OB07G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8968489:8972456:1 gene:OB07G19780 transcript:OB07G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGTPNRRRGRAERGPPTVSDQAGKHGLTIAFTSPDCKMSALQLIYLRLLFMKVKAYLHPYIGNEVNDTFLTSCWINNIKRAQIYMNCFWF >OB07G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8970221:8972270:-1 gene:OB07G19790 transcript:OB07G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTDTTFMDLLSSRSTNEVPESCSQAQHIDASIPQNQKTSSSPSIQRSNKRPRSSSISAWLNNSIDPIEGNPKKGDIYWKQVTQDYNSNSPVDRKRKAKHLKDHWGKINRKVVHFNGIYCRLKEVYVSGQNDEMLMDKALRMYKEETQQNFSFSYWWAEVRRQPKWNRVYTEKENKEMRLRGPSDDINEHEKRPQGTKAAKAKAKGKSQAPPGTLSHDDFELYHESQTLKAATTEKMAEVQLQVSQDQKEAALAKERRELAKKDMKIMDKYTTLLMADTTSFTSSQREEHEIALIRAILPNNTEGGTRDSTEGGTRDSIEVLPCHFA >OB07G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8975740:8977190:1 gene:OB07G19800 transcript:OB07G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGEMSRGEGDDGGVAMSTAALRRAGEDGVEGGRRRRLKTMQPDQLRPTRGNLVSPSDLLTGDGAEVPGLDDITIPMIDETQITNDIPSKAEQQEVLQSPVVPPRHIKKGVANRTENFSQKEDVVICSVLLNAQVNKFCSCYDSIERRHQSGKTIHDKISDACAKFDLSTKYIPVKKI >OB07G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8977450:8978101:-1 gene:OB07G19810 transcript:OB07G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITHDVLPVTAHPFRRSTAFLFGNEGTGLSENECAMCDFFVYIPQYGGGTASLNVTVAASIVLHHFGGK >OB07G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8981747:8983176:1 gene:OB07G19820 transcript:OB07G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLIDQSKCSESGSKRRQLTYKIFVFNMLNIVRVITDEGVLVFKYAPIGDLHESQDPACNVLLDANLDVKLGDFRFAPGLSQKIGGPRAKC >OB07G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8985273:8986455:-1 gene:OB07G19830 transcript:OB07G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVRALVLGMFCFRALDVAAELGLPAYFFYPSGGGAVAVLLHLPSMHPRVNDAGLATAARQPGNFLRMSELMAESSGIILNTFEWLETRAVHALKDGLCVPRGRATPPVYCVGPLVSGGGGGGATEHECLRWLDAQPDQAVVFLSFGSRGTFPKKQLDEIAAGLERSGQRFLWVVRSPTVAINDSNGEDGALAGAPPLEPDLDALLPAGFLERTEGRGLVVRSWAPQVEVLRHRATGTFVTHCGWNSTLEAVTAGVPLLCWPLYAEQRLNKVLIVEGMELGAEIEGYDEETVAAEEVEAKVRWVMESDGGHALRRRAAAAKDAAARALEEGGTSYVAVSDFVEGLRTSNGRTKVSVSDFSGFGTKLK >OB07G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:8996778:8999710:-1 gene:OB07G19840 transcript:OB07G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGCQLLLVDAVDGHIRNTGVLTAASSRNTRRMDNTERGCRCCAWVERTPRDLERAALVFGSRRHVGAENGRRSLDRADARRGNLHPIQDQLAASRRNLDRISHGPCTIYRVPPEILAVDRGAYKPMVVGIGPYCDDKGPGSKLKQLEDHKWRCANKLISKSCCARGHRVTQENLLQNCLQEMKNLETRIRSSYSEEISMGSDELAMMMALDGCFILHLLLKHHTGAAHGEQGNNVVDDDGDDDDDWTQVIGRCWIWNLVKYDLLLLQNQIPFFVIRTLYRLLIVDGEEMEQRLISGGLQLFSTLYPLRKDVNFTVPSDQIHHLLHLVYLSILPPKNSPDFPAQQQNLSEETAQQQNLPREVLPFWIPSVKELMESGVKFTKKKNAQVFMDITFQRGVLEIPELKIFDHSNFLLRNLIAFEQCYPDNHFHITSYAAFMGCLLRSKEDARILHLKGVLINGTTKGEYANGFFSQISSGAHSPSDRNYLGGLTEEIMKYHGKRHNRWRAALRRNYCTNPWVIISVIAAFLLLFLAVTNTVVALLSRFKR >OB07G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9009054:9009326:-1 gene:OB07G19850 transcript:OB07G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAKPLSRARLLVWICDAYVSGLLLVSSKASAMALPTALEVGTASGERPEVVDPPLPTLPLHSTPPHTAGSAWERAGGGSDPPRANATM >OB07G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9009226:9009976:1 gene:OB07G19860 transcript:OB07G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLDTKSRPLTYASQIHTNSRARDNGLATRMRTRSSRCSRNPHPIGALNNQSTKWD >OB07G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9016491:9018131:1 gene:OB07G19870 transcript:OB07G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATACLSLAAVPLGLAPPPPRRAPTATGVRYGETDRPSRTESQWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYKEITGSSS >OB07G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9026980:9027216:1 gene:OB07G19880 transcript:OB07G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELLEGYTAAVARAMERLLSRAPPRIFPRRVRFLVLRSLPFASPTPSPLSPPPPRPPGAVRGSPLRPLIDG >OB07G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9030633:9031978:1 gene:OB07G19890 transcript:OB07G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKTGLLLLLLAFALALAAAATALPARPYRRSGPGGVIQIPPILTDAAAPPPESMARPDRRSGPGEVIQIPPILPDAPAPPASRPPTRSGGIIPLPXXXXSCIDYLNDNRVRAPMAACCGDFRKLVDEAPVCLCHATDGGDVNQMMPEPINVGRLMTALPVACNGPLPMNTLPAADEYSRQMLHVGITVSARRRRTFVIKI >OB07G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9037179:9040426:-1 gene:OB07G19900 transcript:OB07G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRCALFFFFLEVAVAIALLLPSGHARVCPPCGSTEVPYPLSTADGCGDPDYKISRIARARETVADPTTRLSLLEAWSSVQVFLFGVDKIKLWSLTWRIVCGLGGALVVAAAGLFAYRRQQRIRQARERLAKEREEILNANNSSGRTAKNFSGRELRRATANFSRDNLLGAGGYGEVYRGVLADGTVVAVKCAKLGNTKSTDQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLHGALSRPPLPWRQRLAIAHQTAEGIAYLHSSAVPPIYHRDIKSSNILLDERLRGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQRAIDFGRGADDVNLAGHVQRAADEERLMDVVDQALQGGATRLERDTMKALGLLALGCLEERRQNRPSMKEVAEEIEYIMNIEAGNAGLKDLES >OB07G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9060139:9060306:-1 gene:OB07G19910 transcript:OB07G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAASPVSDGTKISTTTYTTLSFSTKIVGALSLLMQTLSNQSIQNVTNHQRIRTC >OB07G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9087962:9090844:-1 gene:OB07G19920 transcript:OB07G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMSEYAEYLFQCWRDEDDKNDKSSGPSWFRGHRWVRNPKSTSGFRPHDFYYGNFKSRGGFEFCASDEDEPETVFRNAFRGQQAYYWSFDSDDFHWRNRRRSHSESSRRWSYESDDEDETSTQTEVSLARQALGLSTSGPLKLEDVKSAYRTCALRWHPDRHNGSTKATAEEKFKHCSAAYQTLCDSLATA >OB07G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9100233:9104038:1 gene:OB07G19930 transcript:OB07G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGHQDMLDQSSCMRGNIPCIRTEGLPLKLPLPASSSDNAIVLKSANEVTTRDDADVDALELILTSSKLSQTAGKSNVALDNALNQTADSALDHLVSADAELNKWFTKFLYRWLFPTFLVLVIMACLVGLTDAGKSCWQFVIRFVKPFMHEDMEHRGKLEGASKRRKTRKKDGLPASDKDNSGTVGSIEAPSSEVHESTNTELPDGLNGRQIGKLRVYSKEIGKGSNGTVVFEGSYGGREVAVKRLLCSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYIALERCCCSLAELIQQHSLPSFSNTKGLDIELWRWDGLPSAQLLKLMRDVVAGMVHLHSLGIIHRDLKPQNVLISKERPLRAKLSDMGISKRLQEDMTSLSHHGTGFGSSGWQAPEQLRHGRQTRAVDLFSLGCLIFYCITKGKHPFGEYYERDRNIINNQVDFFIVDHIPEAVHLISQLLHPRPEERPTAVYVMNHPFFWSPELCLSFLRDTSDRIEKISETDLIDDLEGINAEAFGKNWGEKLDAALLADMGRYRKYSFESTRDLLRLIRNKSGHYREFSDDLKELLGSLPEGFVRYFSSRFPKLLIKVYEVMSKHCKDEDAFRKYFIGSSV >OB07G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9104188:9104772:1 gene:OB07G19940 transcript:OB07G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASASETDLKGWLAGREWAGLVAVDRDITSSWPPPPPPLPSPVWRRRGKTRSSSSSNHSCAPDLDADVDVAGAQRHPSPLLWTSSPLRCPSPRWTPSTGGELEWAWDSAHWQQARAGQAALSNPAKTTSARRRDLSGAHRHGGRERRQRSTVGEAEMRGGEDEGRGSVTESERRHLLIAGDDEDKKQEGIF >OB07G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9112096:9112458:-1 gene:OB07G19950 transcript:OB07G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRLGRMEEMKEWAPALDGGCKKAVASKVGLRWGPHPLLSLLPSISYNFPLCLSFLFFFPFSSLFFRLLNLFSFLLPHSISCLSLLSFLSLLSHFISLLSLTRRRQGGDRGGGGLAGGEE >OB07G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9116761:9120289:1 gene:OB07G19960 transcript:OB07G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSPSPPSAPSCIGRSVLIADFPDAGGRGLAAARDLWRGELVLRVPRAALLTSDRVMDDDPRIASAVASCLPRLSSVQTLIICLLAEVGRGNSSNWYLYLSQLPTYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWQGATPLMKGLGFKPKLLMFKSWLWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPDDDNSSEADEDADDMMHQQTNNMLGQTYFDSSERLTDGGYEDVNEYCLYARKRYRKGEQVLLAYGAYTNLELLEHYGFLLGENPNEKIYIPLDLDLCTIGTWPRDSLYILPNGHPSFALLCALRLWTTPTNRRKAVSHQIYSGSLLSIENELEIMKWLVKKCEETLQQLPTTIEFDENLFALLCKLQNSTSCMLERDQSIFEKEFAAFFRFHSFELDRSMYSKLPFRLIRSLERWGLAVQWRCSYKRTLTKCIAHCKSLVHELSLQQNQQ >OB07G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9127867:9132024:1 gene:OB07G19970 transcript:OB07G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLKGVRIEVTHRGKIRKTYRVSSLTTLSASQLTFKSSTGDDTTVKKYFKEKYGLELSYGHLPCLQVGTDQKPNYLPMEVCKIIPGQRYQKKLNQRQVTQLIASIDKFAHEREMSIRQAVENNQYSSTERAKEFGIEVDSYPTTVNARLLKAPMLKYKNEVGAEVDCEPNNGQWNMSDKKVFNGASVETWACISFCNGSMDPVITNFCNALIQTSLRTGLNFNNSIPQIFHANPDRVQNDLPERYKDACNKLKGQKIDLLLVILPDKDAKLYGDVKRICETEIGVISQCCRKDQLSKTLPPYCANIAIKINAKAGGTNSVFSNKDASLPVVSKKATIIFGADVTHPGPLDDSSPSIASVVASVDWPNVTRYNSVVRTQGNREEIIGDLEGIVKELLNACKSDSNKPEQLIFYRDGVSEGQFNQVLEKEVPDIVKAWKSVYGLEPRITFIVVRKRHHTRLFPPYYTERVNVNVPPGTVVDRKICHPREFDFFLCSHAGIKGTCRPSHYHVLRDDNKFTADDLQSVTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTEVPGAAPEDASRWTLAEIKEEVKNSMFFC >OB07G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9161805:9168597:1 gene:OB07G19980 transcript:OB07G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPAPPPXXXXXXXXXXXXXXXXXXXXXXXXXXSWLAPPSAAAAPRWPPTMAAATTQQHHHNHHHHRTSSSSGADQDDLSASNATITSFTNNTSTNHSGLSMDSSGEAAAAAAAAESHLIWNQVLMGAGGEVGRSMPAVHDAHDDSENFLELLNSRSLAPELFAEPPACDYLKKMEYGGGGGGGGGGGAWPEHQFTAAALEKHLSSAAGYGGALAHHHHHAAGAPERLTANLSDLVSNWSIAPPNHAHHVAPCDNPAVAAAMGTHGGNVMKHEMGGGAMLQQEAAGTGSGGSTGGGQDFLRPMGLAGSYSSMLGLSSRMYGGGTATTMDVPWGSNAGAARSLSDLISFGTGALDKPAAPPPQSSAPARTTTSSADYSNKKQQGQQEISSPAKTSSGGGKEGRKKRSEEAMASEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKSDAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >OB07G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9175037:9177361:-1 gene:OB07G19990 transcript:OB07G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73710) TAIR;Acc:AT1G73710] MDREDALPPTNPAYAALADALAKAGQARESLLLLRHMRTRGVAPDEGSMSTFVRILNGDGRYSDAVALFNNWCNGRFEVGFIDLDYSALDAGGPMQFLLADMCHDNIDDAGASGIQGVPTVPKLAVTYNTMIDLYGKAGRLKDAMDMFMGMPDYGVTPDTCTFNTMINIFGLHGNVKEAEALFASMVVRGTDPDIKTFNVMMTVFASKGDVEGVLKHYCHIGKMGLSPDAVSYRIVLRLLCERKMVHEAEGVIEGIIRSGDCVPEQSLPAVMKMYIDRGLLDKANAFFNRHCRGEEVSSKAFAAIMDAFAERGLWEEAEYVFYSDRGVRRRDIVEYNVMVKAYGAAKRYDRVRPLLEYMNESGVSPDECTFNSLIQMFATGGFPQRAKKVLDKMKDAGFKPKCETYAAVITMYSRSYLVSEAIDLYNEMKASGIEPNVVVYGVLIDTFAETGQIDEALHYSNLMEESGITPNQIILTSLIKAYSKANCWKEAQDLYSRMKNMDSGPDIVASNSMLNLYANLGMVTKVKEIFDCLKRNNQADDVSYTSMIFLYKNMGLLNESVRIAHDLQNSGLLSDCASYNAVLACFVAKGKLRECAELVQQMQEGNILPDASTFGLILSIMKKSQISPEEISQLESSYSDNRSSTSQAIVAFLYLTAGMHAAALNVCEKYMNPVLTVDQCACNVAFKVYASCGEVDKAFSLFTQMHELGLKPDTATYIHLATCYGIHGNGMSESLSSVNGFLGYQNNEVSLHKTLASCRETGSNILAVQPVKK >OB07G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9183449:9187499:-1 gene:OB07G20000 transcript:OB07G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLQTVGRFFRQHPSVFVSLVFLVILYKYFFGWFTLILETSPIFLFAGFFLGIILAYGEPNIPENDHVYKKIEKAYNRNVGGNDKSVGGISAPRIASNEERLAKHNNTGKVTKRRSHNGGSSSESSSSESDGSETNAHQMLHTFHHLRSATSSSRSSQDGDSNDSSIEDGTENQESKEHNENEQKNSKVVAWTADDQKNILNIGCLEIERNQRLESLIARRRARKYVDRNLIDFGSSDSLPTIEELSKFNVQIPAIFAPRKNPFDLPYNEDNFPESAPSAPLKMLNKFDLPFDQANESSSSEGANPSHVDSTPVFSQSQKDTMFRRHESFTQGAPFLSDFWQDMQPSRFRPYFVTEKMADEGIPVPNIEGEASEKSSAEDSDSTSSVTDQESHKLVLEDCSNQNLRPPLSQTEEQFHLAQNARDVTLALNIEPPLLISDSSDDDISLPDGNINDWEEAQESANLNLSQNASLDGPSVIEYPHEMEMTSNEFHQLSPHPNDIDSSSSSTEATELSELNSIELPAKEVEFIDDIPIADPVYDYSPSGSKKPTSVGSVIDVALLQQGNIHTSDVEVRMGEDSPSRIAAHSSETATPNLTSIPESKPIEKSTSEVRERDNSGNDGSNQDSISHANPTNLASAQESKSREKETSEVREQGNSGNDGSNQDSVSHANPTAPDVSSKPTSTSSINAVKAGTKIISSSRKAVFGLFKK >OB07G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9198214:9202933:1 gene:OB07G20010 transcript:OB07G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIKNRRGEVGKLSHLEKKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPTNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFRRVREICRSILIGLDYLHRELGIIHSDLKLENILLVSTIDPSKDPIRSGLKPNLERPEGNPNGEAMLNPIEKKLKMRARRVLAKLAEKRKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAQEFAEFIGPLFDFAPEKRPTAAQCLQHKWLQYNDEKINISDAKTASVACSPGTTASSDSKSIDVKHNIGGTPDTFAERADAKCSTSKKSIVNSNAENSDVQPNTENVGNRNFKSTDVSSNTVSIMNKDSKITGIKPSIKSFANRNARSSDLKTDMGSIANRDGETIDVKPNIGSTTSRGDSSSDTKSNIGSIINKDAKTIDAKPSTSRDSKIGDARSNIEGISNRDTKTTDVKHNIRSVVNSYLRNFDVKRNNAGSIADGDVKHSDLKPNTVSAANVDAKSISAKPSTGNNENCDAKSTSTKPHIGSFENSGAKSISAKASTGSFESTDSRNIMKANTEIDANNDAKNTDVQTNTESVTSSDDNDIDTKPNIGRVAASIQRLESSMGKVQSGRYR >OB07G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9207601:9218927:1 gene:OB07G20020 transcript:OB07G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPAEESSPPPADVHEEPAEAVEEDKAAAAAVQVEPAAAVEEERAAERGSDHAGEGGAERSREELERVVMELSFQNEYLKSQIAASSAEGASRERGAEEGSELVRGLREQVERLRKEVEEQKQTQRATEKALEHVNVAYAEADAKVQDLTAKLTEAQQKMDKEIKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEINQKAEQASSLQLAAQQEVERARQQASEALRSMDAERQQLRTVNSKLRANLDESRVALEARNNVLEKLRQSLLEKEQLLEQTQASLQSAEEKRNTYIAELTAKHQKQSESLEAQLAEVSAERTKASETIKSLQMVLVEKDSEIAEIEAASTGEAARIRASMEEVKGELAHLKDQHEKERQNWETTCESLRTKLEASESACLRSEIESAKVKSQLELELSKQNQLLQSKDSDLQAAKAEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLLKANEEAMMEAEKEISVALAERDKAIHDLQVAQSKYGEDIEARDLALADSDKKLKNAMEKLDSLTSKFLSEKESWEKNLASVEESWRLKCESMKAQSNGHAGDELKKNLVELTLKYEKLKDEHDSFRDIADRMLEEKDREVAKLLRENKDLHSSLEAKAAISNNGNQNPGPVKQDAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPEELTKCQHGVLSTVASSQAAAVPDGGSTPNSFFSRFTF >OB07G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9221068:9224542:1 gene:OB07G20030 transcript:OB07G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVGTLWNGDWILSVPLGTRASSSCSFQLSIARRRPRALTNKKEVTEAQPGRGVSSVILVVELAMTHIVFLCKRKQLLFDFDQVLDPWTAPRRLRPRPHRSAAAARPPVACSVQTTPGSIKKASTPMRSSICALPTACLDPSPRAKLDFAAVPSPARAAAAAGKENRYVKDELARDLAVPAMPTWTAAPPTSPLFERGRLYDLYSARRNERLKRKHGFPVVEEEAEAMAADPCVAVELSKRRGAKKAGAESVRRAMPAAAAEFSYSSRATTTLGLRSSLRTSKEMKKASVPSFTGAKSSVIKDRRASTRSSARRF >OB07G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9234127:9238268:1 gene:OB07G20040 transcript:OB07G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWTEAGARLLVTRFLDGYVSAGNFTLLEEGGTMYSFGEAGKRCQAKCVMRVHDPLFYWKVATEADLGLADAYINGYCSFVDKKQGLLNLLLILIANRDSNKQSSISSSKIRGWWTPMLLTAGVASAKYFLRHVSRKNTVTQTRRNISQHYDLSNDFFSLFLDPSMTYSCAVFKDEEESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGRLAIQVVKQTGCKYTGVTLSEEQLKYCQRKVKEAGLEDHMTFMLCDYRQIPTSRKYDRIISCEMIEGVGHEYMDEFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSASSRLCIEHLENIGYHYYPTLIRWRDNFMVNREEISALGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLMPFADNPYTTFPAA >OB07G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9240101:9240901:1 gene:OB07G20050 transcript:OB07G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKCILIIFVLFTVFSLQPSAAVRDAQVFKPTVAIDVSQDKINADTTQQPSTSLPGLPPLPQLPQIQIPWLQPLPPLSTIQIPGLPPLPHLPTIQIPGLTPLPPFPTIQIPGLPSLPSLPTIQIPGLSPLPPLPTIQIPGLPPLPPLPTIQIPGLPPLPTIPTIQIPGLPPLPPLPTIQIPGLPPLPTIPTIQIPGLPPLPPLPMIQI >OB07G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9243992:9244291:1 gene:OB07G20060 transcript:OB07G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYSGKKQGGIVFSQKYYHTSNNNRLIRAVGGAGEQYHKVKLRSFNVALVSYLMRSAHPYYPESLQEAYRMAQSLAHIVRCVRLSIFNNCFKQLDICH >OB07G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9247840:9248605:1 gene:OB07G20070 transcript:OB07G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKCMLIFLLFTVASLQPSGTPRCSSPPSPSMSHRARSTPTRPSSHQHRCLGCRRCHSCHRSKFHASNHCHHFRRSKSQVSYRYLIFRLSKSRGCHHCHHFQLSKSQLLPHHLVMLDLTWLLPLCQLLPPQLVPHHE >OB07G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9252769:9253098:1 gene:OB07G20080 transcript:OB07G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCYLKSLKLVSWSDSNTGRRYYRCRHVWTARDCRFFRWIDPEYSDFLKTLLLDLHNVVRHLKREVAIEREAT >OB07G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9260103:9261437:1 gene:OB07G20090 transcript:OB07G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGPPLRIMELYYDWPRRRNLNVVRHQLSADPLYDVEWDNGTTFYFDSRSCRAEQFPVGVLRPDWLADGEGVVYLGRQVTGGIECDVWDKLGFVVYFQEVATGRPVRWNFLDVTGIQQFVMSFEIGVEMPEDSEWQAPAHCFPADDDDEETIKSESDRIDGVQDGLDAARLLRKLAGAAPFY >OB07G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9272415:9275478:-1 gene:OB07G20100 transcript:OB07G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSSSFLLLFLAAAASSSAPCASACERCVRQGKAAYSPSLLSPLPAAAAGSGGGGGGCGYGAMAMELNGGFLAAGGPRQHRGGLGCGRCFQMRCRNAEVCSNAGVRVVLTDFHRSNSTDFLLGGPAFAGLAKPGMAHKLKNLDALPVEYIRIPCDYKDKNLSILVEEQSKRPNNLVIKFLYQGGQTDILAVDVAQVGSSDWRFMTRVYGPVWSIDRAPSGPLQFRAVVTGGYDGKWVWADREVLPASWQPGQVYDTGARIADVARESCLDCATQDWK >OB07G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9294336:9294695:1 gene:OB07G20110 transcript:OB07G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLSEISRKWHGGGTSKVSSPTAAAAASAACPRGHFAAYTRDGSRFFIPIAYLASDIFRELLNTAEEEFGAPGDRPIVLPCSADRLDQILAAFRGAASAKKCSSSGGRSGRTKTW >OB07G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9310348:9320678:-1 gene:OB07G20120 transcript:OB07G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGPNCRHAWIHALDRYALDVNVERVDDVLLHKRLLDQAREPMHGLVFDVRHSQAVSPDGSTEVDQSTSFKQEEQDPQCSTFGSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTTDGYSLDIFVVDGWNHEVDVLRDALRRGVEKIKYKAWPLVQSMPNRMGHELMEGSSPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGIYCTQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNLGGTFQLPDVLRIASDVTKGMNYLHQINIVHRDLKTANLLMDDKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQKADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQNDLRPIIPADANPMLAGLLQKCWQKDPALRPTFAEILDILNSIKEAVRNSGHKKRHPGRSYSRQRRSSS >OB07G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9367285:9368864:1 gene:OB07G20130 transcript:OB07G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKKDNVKVVDGEKDYGIVTKGEDQVEVGEFVAISPGVADLVMVDAFAFTLFQTYRSSAFLRRHPPSSILTGLSSGEGGRSDGSDLHCRSVLEVECTWLMKAKDTAVAELMGLLDDQHRRRGLAALEDACGLGRRVARDREVGVQPCPRHLKSLYPRVELDDMCDWFATDCDEETGMKYVNEAQGAAESVADAPGL >OB07G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9377098:9377322:-1 gene:OB07G20140 transcript:OB07G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MKT2] MHAYTVAAVIGHGFIAQDLTIENTAGPVVPASPALALRSDSNNKSLLINRCRLEGHQDTLWAPQNNVPPVLPAV >OB07G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9384474:9384983:-1 gene:OB07G20150 transcript:OB07G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGSSQGGVGPSLLGSRRPYPPEWRQLGGGQQSWQRQPGADSGAVVGSGETMNFVKNGVGSCTVTNGFMASVTATPATRD >OB07G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9403146:9406409:1 gene:OB07G20160 transcript:OB07G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7 N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G06790) TAIR;Acc:AT1G06790] MFVLSQIEHNLPMPPHVLSRPLVDAIKAELERLFLDKIVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDICIPGHLMQYGTARASDGRWMLKTEDGDELYLDTDDEIRFLVSSIKYPPIPVEQKETDSPFAPMQIIGSIKGDGLGLLAWWTADEEEGEGEAEE >OB07G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9408172:9409926:-1 gene:OB07G20170 transcript:OB07G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRSVGDPDAVVSEDLADELPSAGADVAADDVAVLDEEEGGHLDDVHVAEELHAAVVLVAVHAREDGGVGHGAGQLDDGGVHLHAGAAALEAHVEHHQLAALATVLGDERPPGADVAADDVAVLDEEEGGHLDDVHVAEELHAAVVLVAVHAREDGGVGHGAGQLDDGGVHLHAGAAALEAHVEHHQLAALATVLGDERLDVAAGVELHHGGVVVAVVEHVAGELVGADAFLLVHLLGALVEGEVRDGGDPFPAAQLPGGLRLVAHHQQEVDVAAGAAQLHHARVDAAAVHAVARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPAANPPPPSRFFAARSAPSNSSALCTCTTRSSLPFFFAFSPDAGAGPACSVPDTAALTAPRRPAGAKNNLAFLLLLAGVGVAPRPVYVVDRKAAVEAMDG >OB07G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9408182:9409911:1 gene:OB07G20180 transcript:OB07G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic drought-induced stress protein of 32 kD [Source:Projected from Arabidopsis thaliana (AT1G76080) TAIR;Acc:AT1G76080] MASTAAFLSTTYTGLGATPTPASKSKKARLFLAPAGRRGAVRAAVSGTEQAGPAPASGEKAKKKGSDERVVQLSRTCGDVDFLLVMGDESEATRELCRREGITAVPHFSFYKGAEKVHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRGDVEALISEHRGEGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIKDGDIVGRYVGSGGALISEHRGEGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIKDGDIVGRYVGSGRGELVGEILRYNGVRVTY >OB07G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9410968:9411366:-1 gene:OB07G20190 transcript:OB07G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSSSLGVVKKVQGMQSKATHTTCSTKCPNGSGPYVMARSSYFDEETAAPMVILEPDAGEDKDHASCIVTKDLPEVTPTKCSTKCSCPSTSPCLIVANTTCMELVAAANAIEASCIDTPLAIPRSRTPSV >OB07G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9415660:9421702:1 gene:OB07G20200 transcript:OB07G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Histone deacetylation protein Rxt3 (InterPro:IPR013951); Has 34444 Blast hits to 20801 proteins in 1175 species: Archae - 64; Bacteria - 2390; Metazoa - 15568; Fungi - 3729; Plants - 1886; Viruses - 208; Other Eukaryotes /.../9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08450) TAIR;Acc:AT5G08450] MSGVPKRSHEEASHSTPGKRPLDDSSLYSSPSGKLVQPGGSDFHGSFEHDGRFPKVPRIESRDDKRPSLAHRMPIGSSNFVDHSSSSDGRLESKQNKDPRDTKVDVREAKADTRDVYSDSRVEFPGNKVETDVKTDNRTDENEIRADRRTHGDYKGDAKLDKDGHPTAISNIAWKDNKEHRGKRNIDPSDNSEWRFPRPSLQGTDESAKVPAHADERSKDAHESTGENKTEPRTEDKFRDKDRKKKDEKHREFGTRDNDRNDRRIGIQLGGNSVERKENQREDRDAEKWDRERKDSQKDKEGNDREKDPAKESSVATEKDNAILEKTASDGAVKSAEHENKTIEQKTLKDDTWKSHDRDPKDKKREKDMDAGERHDQRSKYNDKESDDTFTEGDIEKDKEALGSVQRRRMVRPRGGSQASQRDHRFRSRVRDGEGSQDKSEVSAIVYKAGECMQELLKSWKEFEATPEAKNAESVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELCFSGEKTRSIGSSSNASDAEPEKHQNSGHHHHHSQNGERASMEHELRDLFRWSRCKKAMPETAMRSTGIPLPPDQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARCCLPGMCCDLVFSRGMLSGDLWKFHGTLN >OB07G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9428945:9429145:1 gene:OB07G20210 transcript:OB07G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRHKVNHRLSGLPDGFYHRSRPTIDNNSLTGFILLCSPKSSSSQNLKTKVLNWNPILRILMVPENPA >OB07G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9437909:9441163:1 gene:OB07G20220 transcript:OB07G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSVIVIQVIGDQKAESHQTFTISAVKNLLKNTQTRLFLKLSPATAQRFPGDLFLLDLRRPAGDQQMGFCLQQPEAKRLNLMRCSPMLAVEACRALSDKHHEVLEEIRLNVVAYMTLYSFEKPDLKQWLSTPILAGCKTSKGIAFSMPLMVITMSSSIDGANGNGFGDVQGGGPDTRNSSGIS >OB07G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9466494:9469221:1 gene:OB07G20230 transcript:OB07G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQYKGFGCHHCFCALCKFFLLCFFFRYPVKKSSLSCVCICQQFYLKALLIACSTFSVELLNAVGSLVGIFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGSTGGMSRDLINKIPRTTFSAATNSDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHERCIDNWLFRHASCPLCRAGVHIDHIHM >OB07G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9469730:9469888:1 gene:OB07G20240 transcript:OB07G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAFFFLMEMFMATNRVYNQQLTTCAVQRNLYSGTGPNPSSPLLVWFCTV >OB07G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9473346:9478576:1 gene:OB07G20250 transcript:OB07G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILGMNFGCVLAALADAKIPEKGCLLPLASKLLGYCIVAASTTVKLPQILKILKHGSVRGLSVASSELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVGIIYYYSPPMGTKTWMKALLYCGLAPTVLGGKIDPALFEVLYASQHAIFFFARLPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVILGSAIGIVMNGTLLGQIVMYQKPAPKKEKKRD >OB07G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9479941:9483928:-1 gene:OB07G20260 transcript:OB07G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3MKU4] MGPTRQSQFLLLALHLLLVGDAAAAASPETFLRLPTSGRPVPTTQLPRSAAVGLIHALNLHPRDADASPSRRSADDDAAPAGTLVERPIHLAAMATGGGSGGGSSVKDLGHHAGYYRLPNTHDARMFYFFFESRGSKKDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSDSRDTRHDEASISNDLYAFLQAFFTEHPDFAKNDFYITGESYAGHYIPAFASRVYNGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYTDYSLDMELITKSQYKRINKIVPTCEFAIKLCGTSGTISCLGAYVVCNLIFSSIRTIIGNKNYYDIRKPCVGSLCYDFSNMEKFLQLKTVRESLGVGDIQFVSCSPTVYEAMLLDWMKDLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFASSSEKSFTVDGKEAGVLKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSDTSSSFQKLDFTM >OB07G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9485796:9493926:-1 gene:OB07G20270 transcript:OB07G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHVSCLHACHLLRRRICHFATLKDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSTEVMKIVNNLMKAPELATTMQEFSKEMTKAGVMEEMINDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRKQRINQASTSRVQEEQQAVAEGADDEEDLEEIRARLAKVRS >OB07G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9494972:9498879:1 gene:OB07G20280 transcript:OB07G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWMKLATLEMFSTAGHFSYTAIQLAFTTLVYPALILGYMGQAASQHQALDSTYQIGYYISVLESVRWTMLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMVLCIEDYRILVLNLELRDDQNFIIAPPILLEEIIDTYLAHLHVSVVNPAMETTLGMIQKFASDVRDGKACAAEVTIEPSRINSILMAKGDTRAKPGAVKLSTLDESSWLQILRYWLCEMYVIESRGRE >OB07G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9501905:9502144:-1 gene:OB07G20290 transcript:OB07G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPVQSLDITYWAPVLTSQMHVHYHRQKANLVQPFSKWSHHHRKNRSAYRIRIIQLLRKNVIQFDNVPVSPIIKHNRF >OB07G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9501598:9504297:1 gene:OB07G20300 transcript:OB07G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPPCLADFVHTSSSSSLYGYSCCIGNLSKSQPLTDSKAKTSVRKKPYHSSAGKRRQCSSGQEAMENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWADMRRQWTGDQEKVPKEASREPIISWCTTYDDLLSTSERFPRPIPLSEMVDFLVDIWHEEGLYD >OB07G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9513886:9514278:1 gene:OB07G20310 transcript:OB07G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHEPKTPIPTMEDPIVLSHDPEMMPGNKIMDQPLGLLEMLANANLSKETPTRFGNGGCHIDLNGLPPEEDQKRADDDDDGAAKISGEANIMAWRNRPRRLALLRALAMGVVESTSIRCQTRRTTMHEP >OB07G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9533010:9534599:1 gene:OB07G20320 transcript:OB07G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MKV0] MAAAGALAAPAMALLLVAAMAGGGPSGAQPSPGYYPSSVHRAMAFSRDYTNKWGPQHQTLSADQSSLTIWLDKTCGSGFKSKNSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAITFFVDDVPIRRYERKSELTFPDRPMWVYGSIWDASDWATDDGRHRADYRXXXXXXGAGLTPRQYAAMRWAQQGHMVYYYCQDFRRDHSLTPEC >OB07G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9539930:9541058:1 gene:OB07G20330 transcript:OB07G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain family cysteine protease [Source:Projected from Arabidopsis thaliana (AT3G54940) TAIR;Acc:AT3G54940] MAAARLGLLLLLVVVVVGGARAGASGSGDGGVIRQVTDGWTSGLLPEAQFAAFVRRHGREYSGPEEYAXXXXXXXXXXXXXXXXTRRSTRPRATASPRSPTSPGRSSRRGSRASPPVTTPPGGRRPPAPAAEGEVAAPPASFDWRDRGAVTDVKMQGTCGSCWAFSTTGAVEGANFLATGKLLNLSEQQLVDCDHTCDAAKKTECDSGCGGGLMTNAYTYLMGSGGLMEQSAYPYTGAQGACRFDANRVAVRVANFTVVQPPDGGGNDGGDGQMRVCPRALVNHGVLLVGYGERGFSALRLGYRPYWIIKNSWGKAWGEQGYYRLCRGRNVCGVDSMVSAVAVAPP >OB07G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9549891:9550601:-1 gene:OB07G20340 transcript:OB07G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYNLLKARGAKIPRNRRKPMMVLNPRDISEYELNPSDLQFKKGDEVVKLYGQQQSE >OB07G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9553856:9560399:1 gene:OB07G20350 transcript:OB07G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNDLLKQFPFTFSVESPASNHTFSKPRCFFLESLKLHQKGFWKSECICGPEITSVKDLSISAEWDIGSSLCPCTEPETPVPKSLSTWEDYYQWRSLPLHSPAAVLLHWPLTLYHCIQLSRLQTSKYDGQDTLRIHYLGPEKELLQLAVFGELRALYPGVRLYIELVGPAVPKSRDGEVINISSYAHCSDESCCCKSSIGPKDLICSSVTFKLRKGLYHERYSDILKDSKPHLIIAPNAGVAAYPTWKPTIEIINEVGVPAFFTDFCEEAAHLASSCINSITGQPLRVPIQVNPFRQPIAVDNSALCLPCYSNCFVFGMRNYQFVSP >OB07G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9561147:9563824:-1 gene:OB07G20360 transcript:OB07G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGFPGFHNHRYDRDYARPLFRVSSFTDNGGGEEQERHASSAPPPAPPRGRSMSHTTSTVAAVQPHLSPTASKLSMKKLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLQPLSPEKKAVWNREMDCLLSICEYIVEFSPKVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLDILESFEKTDFWYIDQRKQSFSDSTKSFQRNEDKWWLPEPCVPETGLSDRMHRELQQKRDQASQIHKMAMEINSGILSEMQVPLSYIEMLPKSGKVGVGDAVYRYMSSGDQFSPDHLLNFLNLSSEHEALEIADRVEASMYVWRRKASMTHVVTKWENVTELNADGDKNLILASRARGLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQTQEFSKLSPQQY >OB07G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9563317:9563739:1 gene:OB07G20370 transcript:OB07G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNTAGHTPAQVKADWYSPTFVLQGLLIHNLLQLLHAQLARRRREVWLHCCHRGRCVAHASPSWWGRWWGRRCVALLLLATAIVGGRLFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGCPRPPPPRHCR >OB07G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9566638:9570355:-1 gene:OB07G20380 transcript:OB07G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGYSHRFYDGDKKFTMMTNSLQIVRSASGPARESVYRSIKWVTCKIVLSGRLARKQELWCHRNSRSRHNTLIEAIENGLNIDENSLGVNNVQGNGDENDMITQELQVPWGAQMDIRGQGEKYDERSLDERLCFTNGDRGIITRSGVPLQGGVNSVWPERMHLGHHSKIANLLCIAFYCAVHQKNVNDDK >OB07G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9574454:9574891:-1 gene:OB07G20390 transcript:OB07G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKIRIDGGQTQIPRTERLKYISQKKKIAMKFQGQKIEFLPRNPTIRLGILQPTQSQHPRSRTPPTTKPHRFYRNCSTPLATTNNELAPTKASLPVKDRHGASQEPVASFGNQPPTGRGRRKTEEGRQKIRPLLLVLTPTSSD >OB07G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9575088:9577949:1 gene:OB07G20400 transcript:OB07G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPIEFEPVPRLCRLILAVYEEDLEHPQWAPPGGYGIEPRWVVHRRTYEHTRGHAPTYLVYVDHQHSDVVLAVRGMNMVKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLKDLLERNPSYTLTFAGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQDDFLPRTDTPLEDVFKSLVCLPCLLCGRCLIDTCIPDSAMLRDPRRLYAPGRLYHIVERKPFRCGRYPPIVRTAVPVDGRFEHIVLSCNMISDHAIIWIEREAQRGLDLMLENERTMKAPELQRMDSEIAITRDHDEEQKAALRRAVALGVADVNVPSTYGTFNENLTPEADEASPILPDSGRRRMVWDEWIARIFEKDESGKMIPRT >OB07G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9584629:9587724:1 gene:OB07G20410 transcript:OB07G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYCEQILHWLLIPPNLYGMRYKALRTSINSLNVKMDGILQKGSELGLVPINQESLNERFEVSLEVLTDDYTVGSIENEANKLIDVLTDRKSGKTLVAIVGGSGTGKTTLAWKIHSDHRTRNAFGMIVWVSLFYGFDDIGLLSAIVTAAGGNPKEAKNRMQLELMLASMLEGKRFLLVLDNVWSHQIEENSLEAHWHVYGHGSRILITTRDENVATKLNASYIHQVKELTFENCWSLLCCNACLNENLHANTLRNMGIMIIQKCNKIPMAVKIIGAILRRKEQTQEAWLRVYESEGWSFNDLPGDVHGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVSQLWISEGLIDQRDNCSSEKIAEEYYEELISRNLLQLEVGNHDITRCIMHDQIRSFLQFFAKDKICSGELKLSTNGTSNEGLRHVWISGNSLTASLEEIATVTSLKTVILYNNPLGNLGLDKLLKELKYLHVLDLGGTDIRYIPRTLEFLIHLRLLNLSLTRITELPESIKYLRNLQFLGLRYCNWLRTLPKGIGNLHKLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTPVPENDPSGWPFEHLKYLNALRSLQILKMEKVSDFSRVKEAMLEMKSQLKELEICCSNDDRLAKVREDDSRMLKQIFDSLSPPQCLKSLKIVSYYGKLLPSWLPRLIYLQRLVLSDCKFCEHMPDLCHLNQLKFLTITGCSKLLTMEQECTSVTQSFLRLEQLHLKDMPKLESWAGFASGDMPSLVKFRLESCPKLKHLPDGLKYSRLLKSMQIRHADSLEVIQDLPVLKELDLQSCNELKKISNLPLLEVLTIRGCPRLEDVTGVHYLSHVRIIDKKLRKLPDWLPIHASMLQTFNIVGVPELLDRLLPNGEDWEIIRHIDKVYANLLDESPFFTYTKSSADFHVDQRIGEINKPPAVLAPGIAHEGPSIPPGQSVEMTSQIGVPRVPVRTSTLRRVIRHYLVPYLIMVMVVMQVLSYYLQNRSTREIWLVQTLFIFFATVFLLLLVFLD >OB07G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9592029:9597055:-1 gene:OB07G20420 transcript:OB07G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILAGYTKDVVMSLGNLAANEIAKVLCVKNEINKLKRKLETMTAIIRDAEQTVVQYETTRDWLKRLRGIAYEAENIIDRCRIEQERLQMFQPQECNPSSLFKCCRDVAVDYMIANDIHELNQELESIKSESTVLHLNPVTEDQIRLDLDVAPDLEPDIVGREVENDCDTLIQLLKRDYITTCPLFAIVGTIGVGKTTLARKVYHRAATMFETRLWVHMSKDLQHLTMWYDGMFKKAETAEQQALLLSYLQGKKFLLVIDDVWGENVWDGRLEIQAQHGAPGSRVLVTSRDERVARRMGAIHLHTVKMLNEDDAWWLLRTRAFLDESAGNMQDIGRRIVQKCNGLPMAIRRIGCHLRDVDPKEDDWRRVCSSDFCGISARIRSTINMSYLELPYYLKRCFLYCALYPEGFVIDRQCITRQWIAEGFIVSQTNSTTVEEEAQRCYDELLGRGLLLPEIEDYDVVGSKMPHLFRSFALLQSQDENFTGNPQDIGDVFKPCRLSVTHGGVEAIRNGIKKLKNLRTLLLSGSAVNDRALSDIFLKFTHLRVLDLGNTRIECVTVSLGRMAHLRYLSFANTQVREIPGTIENLRMLHFLILRNCICLNALPESVGRLKNLRSLDISGAGLNIVSFRFSQMRELNCLQGFLVSPSGAQHRSGWPFQELSSLSKLTSLQMLRIERELNAESAKQSALREKCHLKELELCCSLDEQTTQMGKAENVKDVFEELAPAPSVLSIKMENYYGHEFPSWLSSPALSKLQRLTLAGCSHCSHLPSLGQMSNLKYLAIIDSNLSATIGPELRGNPDNGVAFPKLQQLLISEMSNLKSWRGIEEGDMPSLVNLRLERCPKLESLPRWLEHCTALRSFRIDRADSLKTIENLPAVRELEVHRNKKLKRILNLGSLEGLKVVHCLVLKVVQGVPSLRTVHSDDRNSTELPPWLQPQEPFILKRLEIIGTEELLDKCSSASSPYWSSIQHADHVFAHLPDDTFYFSYTKSNGSFHRSARSLARSSLYSSPSFVMPSVTQGSDVIAPEEDAGRNMQIEIGRSRSQSWVRTELFAVLLFVAAHLFFLSTAPASAH >OB07G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9597516:9599026:1 gene:OB07G20430 transcript:OB07G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTLSRKCSPERSLSPTPSPPVTITIDVVHSFLLVGVIWMYISLYNARFKMTKLAPVFFIVHFLTNLQNILHLLRKIYELNVVGLERIMESFAKERLAFMKNSSNQNNRCVVLIIVIKQIITVCISMIYIVGLQWYRIALICKKVSGLLNLEEPSVKDQLKGGSFINYYMV >OB07G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9604364:9605699:1 gene:OB07G20440 transcript:OB07G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAAASTPSPSPDPPAPPDNQSPSHSSPSAPSPAAAAPPGPGPRELAAAMEAVERDAAAIADSYASLFASLRVALSNVTSTSAENMDCLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLKILRKNVDSLDLAVNQLLRLP >OB07G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9611030:9611284:1 gene:OB07G20450 transcript:OB07G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCVHKGIVIGFRVAISTSVYCPCYKVCVTCQIYSLCPKMTSFFCPPYIYLYKATKTRMSLIFFKHQCICLQLFQIPMHIFLT >OB07G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9612720:9615761:-1 gene:OB07G20460 transcript:OB07G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLKLGVEVTSAHDLLPKEQGTSNPFVEIEFDDQKFRTAIKDRDINPVWNEQFYFNISDPSRLQEKDLEAYVYHVNRTSNSKTCLGKVRISGTSFVSQSDATPLHYPLEKRTIISRARGELGLRVFLTDDPSVRVSAPGQEFDFISTPTTAQEQAATNVIPNPFQETRADQVRQFQHLPKEQHRPQPMTAQPYYPESSYAQQQQQQQQQKSYSAVGNKAEGPPPPVMRMFAQGSQQPVDFQLKETSPTLGGGRVIGGRVIPGEKAGGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMKTRYFEKNQRPEWDEVFAFPREVMQSTSLEVVVKDKDILRDDYVGRVMIDLNEVPVRVPPDSPLAPEWYRLVGKDGHRDRGELMLAVWYGTQADECFPSSIHAGSTPVDSHLHNYIRGKVYPVPRMWYVRVHVIEAQDIIPMENHIPDVFVKVRLGHQMLKTRPARSPTRNFMWNEEMIFVAAEPFEEDLIISIEDRVAPNKDQAIGELLLPLARLPRRADHKPIRPAWFDLRRPGLIDLNQLKEDKFYAKVHLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLIPTKTRHERGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCIHMDSNHSSSHGNMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLLNVFFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEYMSDARSHLWSMRRSKANFFRLMQVFSGLIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISHADMTNPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATSMFLLFCLLTAVILYVTPFPVIALCLVFFFMRHPRFRHKVPSAPVNFFRRLPAKTDSLL >OB07G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9616885:9618161:1 gene:OB07G20470 transcript:OB07G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMVRWTILAPLLEKVGRQKKETNEQRTDARVILVAAARREEKEKKEDEEEMVDKSPQRGGGGENSKVSPRFFMLPDLY >OB07G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9626351:9626518:-1 gene:OB07G20480 transcript:OB07G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTTRYTSILRSKILPSGFWTTNQPRPGGEGLAWQDRGRRRGGRRLARGGGRR >OB07G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9636717:9637211:1 gene:OB07G20490 transcript:OB07G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVERLGETAEGYEDFAASLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIIRARAH >OB07G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9638385:9645669:-1 gene:OB07G20500 transcript:OB07G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFCNANMTLFFVQLTSSETRKVLEHIINYVLYKGTFLPLVVPPNSQQIILWSCWLGLLCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADLLWIKLCVGFCKSCNSKLFWLLFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGADYLDFQKTYKQAAGSFSEWRGRLIRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRTLVASFLKRIKTYTKLRKALSSLDEALPDATSDEICAFDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMDGYSCPTCRRPLFLSPQGHTRSTAAEVGNVQLIAEQLNMGLNQQRVPGQEHPTEHQNPADAVWRGAGLDSSWAPPWSSPGIDNPSSSSAVTSVGLSGVQMMMRQLTSVTENYGHTDATWSLWPDPVAGSSIIPSSSSRSEGASVAGLRLRGTARTVNGSMSEVLSMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >OB07G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9652365:9656403:1 gene:OB07G20510 transcript:OB07G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASSAGALLDDVSAALRSRVTELQELVLARNSKASVRDLPNPFSHSLSPKTLEARGRAPRRRLQEELEIIPKAKKQVNQALKQQRRLQHMLANMPTGMREDVFATPMEHNSSTMLPDSLNFSSAVPEVMDRDLKIKEEPTAAPKKGKGPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADGNAHLVACTKKKLSEDTWEKALELRDIAATESVKGKHFFLETDIKGPGLKLDNTGKAILTVLRHLGRIHETRIGHHRVFILSKQH >OB07G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9656211:9656609:1 gene:OB07G20520 transcript:OB07G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHTVWSGIDVCFRLLILLACLLVQRSPWSELLCIPLCLCTVSLDAGAAISSIRDTPVWLNGMRWFLMATHAHPFAVDYQTKLEITGETAVSRIIMGFLYTFCHHVCVHVFSRPAGSSCGRATLGGRPGE >OB07G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9664390:9672402:-1 gene:OB07G20530 transcript:OB07G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDEKKKEMQDPRTQGIASTIRVVPNFPKPGIMFQDITTLLVNPKVFKDTIDLFVERYTGKGISAVAGVEARGFIFGPPIALAIGAKFIPLRKPNKLPGEVMSEEYVLEYGADCLQMHVGAVQPGERALVVDDLVATGGTLCAAIALLERAGAEVVECACVIELPELKVSSSDLLDGCMLIPNSILSNCT >OB07G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9690730:9693132:1 gene:OB07G20540 transcript:OB07G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MKX2] MGSCSNDSSSENDDPASLENLDDELVLPLKLMPLHTNGWLHDMKLSSPTAVRVSNGSSSGTFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIALFLDYDGTLSPIVDEPDNAVMSDQMREVVKNAALQLPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVGKHDSATDGRSSTNSNEKQGEEVKIFQAATEFLPMIDEFFRLLVEKTKAIEGAKVENNKFCVSVHYRNVAEKDWQLVSQCTNDVLKLYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLDSLDLASCKNALPIYIGDDRTDEDAFKVLRDDKRGFGILVSSVPKDSHAMYSLVDPSEVMEFLKRLVMWKKEEASDSRFLNSDRQ >OB07G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9693402:9697141:-1 gene:OB07G20550 transcript:OB07G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) TAIR;Acc:AT5G64370] MEASNGKRPQGDDDEAPPPPPPAGSIGGYESLHRLLESNLSPDLFKEASRLLLGLNCGRALEAISLPEATSALAEAHDFDVQAFRFDADKEYLRQPRVVRVGLIQNSIAIPTTSHFADQKKAIMEKVKPMIDAAGYSGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIMWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGRPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLVISDMNLNLCRQIKDKWGFRMTARYDMYGSLLAKYLEPDFKPQVIVDPLINKSA >OB07G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9703351:9709623:1 gene:OB07G20560 transcript:OB07G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MQCVLTPDTEGYDQIDSIATGASVLVEGIIASSQGGKQKVELKVSKIIVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDIYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASSYLQYVVKYILENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEGRLDELNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >OB07G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9710738:9712365:-1 gene:OB07G20570 transcript:OB07G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKMKQLQEALVDIETDAEQLLLARHQLVENDRMRNANREALTALRKRAKTTKTSVPTPFEVIMKELEGTSGRQLVKEICPTCGDHDPKEDTWLMFPGSDIFARVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >OB07G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9715591:9729545:1 gene:OB07G20580 transcript:OB07G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MVGDGAGAPGAGDGGGGGGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPPNKTAADGMVGVSELVGKHLPANKKITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGSGNKKKSLFQMFKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTNEVLKPANGRKSQMLISDIIVLKTALDAIPFLSKVLKGTKSFLLRNIYQTICENPKYASMRKRIGDIIDEDVVHSRAPFVSCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIIPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPIDRYTRPEFTDDGPMAINAGRHPILESLHNNFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNISSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSSKAYTVFATHMESLSELATLYPNVKILHLEVDLRNDRLDFKFRLKDGARRVPHYGLLLAKVAGLPTSVIDSATSITSRITEQEMVRMDANCEQYRSLQMAYQVAQRLICLKHSNQGDGYVRQALQDLKYGYAAGRLT >OB07G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9735981:9736244:1 gene:OB07G20590 transcript:OB07G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHHVNQNPVNVDSDDDTDAVRTKSKLNWLQVEDVRLVSSWLNNSMDPINNNDKKAEK >OB07G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9739708:9745481:-1 gene:OB07G20600 transcript:OB07G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTPALPSDTELIQAQAELWRHSLLYLKQMTFKCAIELGIPTAIHNLGGTASLPELSVALSLPPAKQPYLTRLMRQLASSGVFTVVDGGDAMSGTYGLTPLSSILIDGVRIDGDAHQEAIVLALSSKYYVEAAMGLTDWFRKDHATPIPSPFEDVHGAVPFEESMERLDPESAKLFNQALAAHDHMGIGVLLRQCGQVFSGLRSLTDCCGGDGTTARSIAKAFPHVKCTVLDLPQVINNAPPSDGSVTYVAGDMFHSIPPSQAVMLKVVLHFWSDENCVKILSQCKKAIPSRADGGKVIIIDVVIGSSTSGPILETQLLLDMIMLVNFQGRQRDENDWSHIFKKAGFSEYKIVKKLGARCVFEVVLHFWSDENCVKILAQRKKAIPARADGGKVIIIDVVIGSRSSTSGPILEAQLLMDMLMLVNFRSRQRDENDWSDIFKKAGFSEYKIVSNWELDVSSRSIHKVVC >OB07G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9755027:9757272:-1 gene:OB07G20610 transcript:OB07G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQAKTPALPSDTELVQAQAELWRHSLLYLKQMTFKCAIELGIPTAIHNLGGAASLPELNAALSLPPAKQPYLARLMRQLASSGVFTVVDGDAMSGTYGLTPLSSILIDGVRIDGDAHQEAIVLALSSKYYVEAAMGLTDWFRKDHPTPTPPPCGQVFGGLRSLTDCCGGDGTTARAIAKAFPHVRCTVLDLPQVINKAPPSDGSVTYVAGDMFHSIPRSQAVMLKVVLHFWSDENCVKILAQCKKAIPARTDGGKVIIIDVVIGSSTSGPILETQLLMDMLMLVNFRSKQRDENDWSDIFKKAGFSEYKIVKKLGARCVFEVYP >OB07G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9763183:9763712:1 gene:OB07G20620 transcript:OB07G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGYTSKMVGGNKNTHGSMRDERLEQMRKGEREEKRREEGPLAEHDSLTGEESETLSMPWCKARPDTHIYYSVLMFQVCSAKKEEENARRMGGGRGWENVRKREEASG >OB07G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9764285:9771536:-1 gene:OB07G20630 transcript:OB07G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipid transporters [Source:Projected from Arabidopsis thaliana (AT5G07630) TAIR;Acc:AT5G07630] MDPALLAGAGGGDDAGAAVMGVFKYNFAAQFLSRVIPFLYNGWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGDAISDEEILKVAWMVVPFGILVSSISSLFVLRVKKLRLSDTYAKATLIIAFACVLELLAEPLYILSQRKKYYQIRVYTEPVATLLRCLTTFIFITKGHTKMEKLVVFAFSQVVYAACIFFGYWAHFLIFTNTKISDLLPSRLSAMMDYDKQLLHMCMLFTGQTFRKLVLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLNLLYGRRYSDGDATVILRYYCFYVICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSGIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKGYFKGSFSFRHCLPAGWGVLLISGLTTTFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLARIINSLKSHDKLA >OB07G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9782620:9788311:1 gene:OB07G20640 transcript:OB07G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALLRALRRPSAEAALRLATNVRVQGVTGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFSEKLSGVKEDFKVRTKKTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKGSFRLGKEDTSTCKDGSPETSNHECSGTSSHSDDKSQAGTSGYTLFNKLRSTLSSASPVVSRAFAKLRDTRVSTYAKQGYEIFKDELSSSSSRKKRNNTRHASAATTEKSTRTELVIVPTKKSVLGEKWEAFKNKMQGHPVYKRVNEYTKPVVNIGQEVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFIADVQEMIKPVLIAYSKGDVQTLKRYCTKEVIERCKGERDAYASQGIFFDHKILHISDADVRETKMMGSAPIIIVAFQTQQIYCVRDREGQVTEGGQDTIQTVFYGWAMQLMDSDEVPEEESYYPVWRLREIHQVGIKALI >OB07G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9791757:9793300:1 gene:OB07G20650 transcript:OB07G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRKRLRMLLVSSMESVDSVTESPHRVQLYVDPKAAVTDAISMNLRMRPGDRTMAFELHNPEVLRRKVEADAGLRGAIARVERSEEKLRTKIQRTERKILEVEANLNLDRDAIRARASNNRRSLPFQQVIYKSGEDIPEGEVAAPKRKLSKKARRESKTLDVLSQVAYWNANWFMLNEKKNAQEGFLAALLESKEAFLTRCLESRSNIGCGYTDYSFAY >OB07G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9798498:9798899:-1 gene:OB07G20660 transcript:OB07G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANGMMASATTQVTGGKPAPSPARTSLVSKERYATLPLVRSDSRSSRMSPTARAWSSGRPSGMDKNRSRRGDAAEALVGVKERDVQAGGGEAGGEVRHAVDVALRRAREDEHVSRHVRPLALRARLASALL >OB07G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9798872:9799894:1 gene:OB07G20670 transcript:OB07G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCRSPSTSPRSSACPCWLSIRTASACSVLAYLSVPKLLELGELPFPAGGDLDEPVRGVPGMESLLRRRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGAAGSLWRADDGCTAWLDGQADRSVVYVSMGSFATISHQQFTELLSGLIAAGYPFLWVLRPDMVGASQNAAIQESRQGCRREQQGARRGVGAAAGRAAPPRRGVLPDARRVELDAGGRRRGRAGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGGSSAAEFERLVGFIKELSTDGKHGVHQPHSSTQNSDNNEKMS >OB07G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9810184:9815271:1 gene:OB07G20680 transcript:OB07G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small G protein family protein / RhoGAP family protein [Source:Projected from Arabidopsis thaliana (AT5G61530) TAIR;Acc:AT5G61530] MPLPESPQWHQKATDFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKNRWAVFQEARQRRPPPGETVQDRFISAAANTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSTEYLFKSEGERKVLQQLVSLYNEDSGALLPEGANPIDVAALIKCYLASIPEPLTTFALYGELRDARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNTTDWDLLDEDDGDASSQIPLDDALPPDYSSIEVIQSLIEHHNAIFTDANETVWR >OB07G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9819365:9820840:-1 gene:OB07G20690 transcript:OB07G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAPHVLVFPWPVQGHINCMLHFSTGLLAAGLYVTFVHSDQTLPAGGGQPLLAAATSPRLRYVSLPDGLPDGHPRNADGVVQLMESAQAKASAYRSLLAKLVRPGDGAGGGFPPVTWVVADGLLPFAVDIAEEIGVPALSFRTASACSFLAYLSLPRLFELGELPFPAGHSLDEPVRGVPGMESFLRRRDLPSQCRNCTEFQNDPLLEKVIEFTARSRNARALVLNTAASMERAALDNIAPHMCDVFAIGPLHAMSPAPAAAAAAGSQWREDDGCLAWLDGQADRSVVYVSLGSLTVISLEQFTMLLSGLAAAGYPFLWVLRPDMVVDSQSAALREALTEAGPSKAHVVEWAPQRDVLRHRAVGCFLTHAGWNSTVEATVEGVPMVCWPFFVDQQMNSRFVGAVWRTGLDMKDVRDAAVVEKTVREAMECPEIRASARALSQRVRQDGARRRRRLVGGGVQAARGVHRAAQHDEQSGSCGDRRMRNY >OB07G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9824722:9826077:-1 gene:OB07G20700 transcript:OB07G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAHVLVFPAPAQGHINCMMHFATGLLAAGLHVTFLHSEHNLRRLRAAVAKLAADSPRLRFVSIPDGFPDDSARAVGDFLEFMESIRSKGGLAYRSLLTSLARAGGSSASAGFPPVTCVVADGIMPFAIDIAEEIGVPALAFRTISASSLLAYMSIPRMIELGEQTNSRFVGGVWRTGLDMKDVCDRAVVERMVREAMESGEMRASAQALARRLWRDVAAGSSAVEFERLVGLIKELSTIAFINNQG >OB07G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9833115:9833639:-1 gene:OB07G20710 transcript:OB07G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVKKLTGKSITPRGREQRHRRQRQGQDAGQGRLGIPPDQQRLIFAGKQLEEGRTLGRLQHPEGVDAAPGASSASAAAAARNKMERKMVCRRRGS >OB07G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9835412:9837527:1 gene:OB07G20720 transcript:OB07G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G16250) TAIR;Acc:AT3G16250] MGATLQLVVGVASPSPRPAVPFPFPIAAATSTSSCSIGKQRCSCCVRLPPRRLQRQARPSRVLVRAVQTDTAGGGEAEAAAAPEEPPSVDFAFVAPRLLPDGTPDVHYRTACGGQKLRDIMLDGFIDLYGPYDKLLLNCSGGGVCGTCIVEVVQGSELLSPKTDVEKELLKRQPKTWRLACQATVGSPDSTGQMVIQQLPEWKIHEWDKS >OB07G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9844789:9846327:1 gene:OB07G20730 transcript:OB07G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEAPMAGGAAVHVLVFPWPAQGHINPMAHLAVAFAGAGAHVTFLHTDHNLRRLGSAVAAASPRLRFLSVPDGLPDEHPRSVADILEIGRSLATAGRVAYRALLASLLQPQPGGHGGADAGTGAGGGGCFPPVTAVVADGLLTFAIDIAEELGVPALAFRTTSACSVLAYLSVPKLFELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSPFRRHGDDHDVGPMLQPVVEHITQSFKQARAVILNTAASLEGPVVAHIAPHARDVYTIGPLHAMSPAPATASAASLWKEDDGCLPWLDARADRSVVYVSLGSLTVISLEQFTEFLSGLVAAGHPFLWVLRPDMVAASQNAAVQEAVRAAAGNSKAAGVVEWARQRDVRRHRAVGCFLTHAGWNSTLEAAVEGIPMVCWPFFADQQTNSRFVGGVWRTGLDMKDVCDRAVVERMVRLAMESGEIRASTQALARQVRRDVAAGGSSARELKRLVGFIGELAMPIQCAAIHDFELLDNSIQS >OB07G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9856983:9866292:-1 gene:OB07G20740 transcript:OB07G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGWDPLVDSEIHGFLTYADLNYEKLVAEAAARWFRPNEIYAILSNHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGRTVQEAHEKLKIGNEERVHVYYARGEDDPNFFRRCYWLLDKDLERIVLVHYRHTAEENAMAPPNSEPEVADVPTVNLIHYTSPLTSADSTSAHAELSVPEEINSHGGISASSETGNHDSSLEEFWANLLESSIKNDPKIDAAACGGPFASSQEVNNGPKNSGVNTFKTSMASNAIPSFNVASEVYSTNHGLNQVNEDHFGALKHQGDQTQSLLMSDVDSQSDQFTRSLVKSPMDGKVPVPNDVPARQNSLGLWKYLDDESTGLGDNPSLVTQSFRPVTNGLFKITEISPEWAYSTETTKVVVVGNFYEQYKHLTGSAMYGVFGDQCVAGDIVQTGVYRFMIGPHTPGQVDFYLTLDGKTPISEICSFTYHVMHGSSLEGRLPSSEDEHKRLNLQMQMRLARLLFATNKKKIAPKLLVEGSKVSNLISASPEKEWMDLWNILSDSEVTNVPATENLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYYGRERMVAALLSAGANPSLVTDPTSQSPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDAEQSPSKTRLTKVQSEKFENLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPELEASEIVAAMKIQHAFRNYNRKKAMRAAARIQSHFRTWKMRRNFINMRRQVIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAEPASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKVTHEEAKLEFSEGQLGAARRR >OB07G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9871254:9877825:-1 gene:OB07G20750 transcript:OB07G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C chaperone family protein [Source:Projected from Arabidopsis thaliana (AT5G51220) TAIR;Acc:AT5G51220] MSRWRAASARISAAAESRLLSRAFSEVGRTPAPQPPCRAFSKASAAAAASSRSPSAPATSATAGPRPEVRLNSMFLSKPCSLALPPDSPLRVEDPQFEGIKRFMLTLLLFYSKQSKSIRGANVVYHRITSQVDKSDIHDVFQLEKTFKTTFSLLVLHMWLILRRLKEEGKDGVKFGQYIYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDTAISPEARQEDLVNVIWRNVYAEEGSEAMDAAAAPAIQALARYTRREATCLSLTDKEAMFSGNFKFTTLLPSAPSSSKPVR >OB07G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9878168:9882125:1 gene:OB07G20760 transcript:OB07G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MKZ4] MELVSSSRSVLPYRGGGGGLAVAAPSRTASGSSRRRRTSGFGCALGCGGEGKSVAGGTIRRRAALALLLASPAMSVAFSAHGKTKSKNPYDERRLLEQNKKIQEANRAPDDFPNFIREGFEVKVVTSDSYITRDSGLIYEDIKVGTGNSPKDGQQIIFHYIGYNESGRRIDSTYIQGSPAKIRLGNKTLTAGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >OB07G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9890495:9897766:1 gene:OB07G20770 transcript:OB07G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT4G38050) TAIR;Acc:AT4G38050] MGGGEVWNSGEGVTGGGEAVMTDQEPARGPGRADARRANGVDRLPAAVNGGRNGNGVHAAGAEARKKAEEAEAKRKAEEAEARRKKQEEEERDAELAAYYQEQWANEDDGGGDGGVPVASETAPLYGESGLRCGVTENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTILLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSENKFKHIMRELQGAILVGSVFQIILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLLLLCTLYLRKVSLFGNRIFLIYAVPFSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILMDSCRRHLETMRRCRTDASNAWRTAAWVRVPYPFQWGPPTFHFKTSIIMIIVSLVASVDSLSSYHAASLLVNLSPPTRGVVSRGIGFEGISTLIAGVWGTGTGSTTLTENIHTLENTKMASRRALQLGAALLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISMSVPAYFQQYEPSTNLILPSYLLPYAAASSGPVRSGSNGLNFAVNALLSVNVVVALLVALILDNTVPGSKQERGVYIWSDPNSLEMDPASLEPYRLPDKISCWFRWAKCVGI >OB07G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9898107:9898286:1 gene:OB07G20780 transcript:OB07G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPWTAHCGAGGEDSICSVATCCCCCCRIYMGKHIYNIYIHGYAYLDRTILLLLAPKMYY >OB07G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9898625:9902327:-1 gene:OB07G20790 transcript:OB07G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVENRGGAFGSKRSRNDVSVREGDWTCPQCGNVNFSFRNVCNRGACGAPRPSPSLSPRVPPPAAGGYDRPHLFYGGAGTPPPIPLGSGSYGAPYPHLGLRYGYGPPVGPPASYGLFSSYAQPGPMGSPMGGIGYGPGPELGRYGYGFRGSPMPVSSPWSGGQLVENNDNTASRKRRGGPDGLSEDDWVCPKCDNVNFSFRNSCNMKKCGAPRPSPASNAAPSRQEKDAPEGSWTCPDCNNLNYPFRTACNRKGCGSSRPAAPAN >OB07G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9908645:9909823:1 gene:OB07G20800 transcript:OB07G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLLRGCRGECSASDQLKQARDVFAAKEAVLQKKISQEVERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSSNKEDK >OB07G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9921433:9936896:1 gene:OB07G20810 transcript:OB07G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(ADP-ribose) polymerase 2 [Source:Projected from Arabidopsis thaliana (AT2G31320) TAIR;Acc:AT2G31320] MAAPPKPWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPMWNHASCILSKKNQIKFVDDVEGIDTLRWDDQEKIRSYVGSAPAMASSAGAISDKCTIEVAKSARTFCRRYGEKITKGTVRVSSKLEGQGWYHTSCFLEMSPAANVEKFTGWEVLSHEDKGAVLDLVKKDAPKTEQTTSKGSKRKNSDNDMHDCKAPKIDKSISEGAQNKGKVVVSCESNASSTDLQEKLKEQSGTLWKLKDELKKHVSTAELRNMLDANGQDTSGPERHLLDRCADGMLFGALGHCPVCSSFLYYHGGQYHCSGYVSEWSKCTYSTTEPVRSKKKWKIPDEMDNDYLTKWFKSQKVKKPERVLPPMSPEKSSSQSTQQNRSSVGDGLDKLRVSIVAQSKDVVDGWKQKLRDAGANFNATVTKDSSCLVLCGELENENAEVRKARRLKIPILREGYLGECIRKNRVLPFDLYKVETALESTKGGTITVKVKGRSAVHESSGLQDTCHILEDGKSIYNTTLNMSDLTRGVNSYYILQVIEEDNGSDCYVFRKWGRVGNEKIGGTKLEEMSKTEAIQEFRRLFLEKTGNPWEAWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDINNMKSSLAPQLLDLMNTLFNVETYRAAMLEFEINMSEMPLGKLSKENIQKGFEALTDIQNLLGNTDNQELALRESLIVAASNRFFTLIPSIHPHIIRDEDDLMVKVKMLEALQDIEIASKLVGFDSDDDESLDDKYKKLRCAITPLPHDTEDYKLVEKYLLNTHAPTHKDWSLELEEVFLLDRDGEFNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGRTVPLESEFAKWRDDVVVPCGKPVPANIKASELLYNEYIVYNTSQVKMQFLLKVRFHHKR >OB07G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9941750:9946033:-1 gene:OB07G20820 transcript:OB07G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT1G02090) TAIR;Acc:AT1G02090] MAMDAERRQAELIEQFSAQAAALSSSAPQLAALVLEATSHPALFAFSELLSLPALSKLTGTQYASSLDVLRLFAYGTLKDYKSNSGTLPALLPDQVRKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQVRRCFEVQFATGRDLTPDQLNNMIDTLSDWLGTSDNLLHQIQEKIKWADTMSEVNKKHQKEFEDKVEEAKKSIKADIDLRGHDDFLSEPGGIMDFEEDRIRPKRRRQPMA >OB07G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9951304:9952644:1 gene:OB07G20830 transcript:OB07G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03560) TAIR;Acc:AT1G03560] MRLAGVEPSRLIYNCLLDGLVNAGLLDTAVNVFDAMSTEDQVRPDVVSYNILIKGYCRAGRAQDAMARLSDMQEQAKLTPDKVTYLTLMQCHYSEGTYPVCIGLFQEMEERGMGKDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCAANAAIYTALIDSMGKFGREKEAMVLFERMKDSGIKLDSVTYGVIVNCLCRFGKFDEAVACFRNCEEKGIAVNAIFYTSLLDGFGKAGMVDQAQELFEEMIAKGFVPDSYCYNVLIDGLAKSGRMDDACALCKRMEDDGCDQTVYTYTILIDGLFKKHKNEEALKFWDAMIDKGITPTAAAFRTLANGLCLSGKFSQACRILDELAPMGVIPETAHEDMINVLCKAGRFKQACKLADGIVKKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFQ >OB07G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9955800:9956003:1 gene:OB07G20840 transcript:OB07G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVGEYFIFPWLLPAVLNIACWKYTSPALSSLVNIIFRDDKMEDAMENSTKKYHLLQFFKTSHQK >OB07G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9974394:9983122:1 gene:OB07G20850 transcript:OB07G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT1G02120) TAIR;Acc:AT1G02120] MAASGLANSVLSIDCWHQTVPIRLSIEYVVEFLVLLQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFHNAIEIVAGTKRHFFGSFLSRDEAYRVIVEGWEQHVSDARLLLERQDLKSGNSSDENGYVLLEGAKETKQDDDSSPSDRSVNGTAVTSGNNYNGDLDINISKRSSEGQENESEDKCTAATALNPFILGPCDDDAPNVPEPFTLITESKFQAPVEVMFNMLLSDSAFGFVDDLHKRCGDKEFRCSPWHLDEQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRVYKNRHLMMQTSQQIGDAPYGDHFTVEGIWDFEQDSLDENSCYLRVYINVAFSKKTIFRGKIDQSTKDECRDVFELWIKLGHDLLKQDTSCHSRGPSSSTNADDPSGTTRVNEDPLENTDPGSPYAPNEHVVRSIVPPIHGHQPSIGWDSLTSTSQELWGSLLSYIRSNQLGPVLALTLVAIIVLMQVTIIVLLLRSPKVYMVSHETSPTGFSYSKESIDWVQKRLNLLGEEMRMAESHLETMQHEFVWLKSHLERLQRLRSSSSSSS >OB07G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9985112:9987070:-1 gene:OB07G20860 transcript:OB07G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSASAAAATDVLREFSFEEEAPGQEVRAVERRALLEALASRLPPGAISFSSKLRRVAGQGGPDGATELELEDGRRIVARVVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLARYDGDGAGGGQPFEAKVNYIYGRGLRAGFVPVSATRVYWFICFNRPTPGPKITDPAALKREALDLVRGWPEDLVAVMRDTPEDAVVRTPLVDRWLWPGLAPAASRGGVVLAGDAWHPMTPNLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRGARRRGDPEAGQAGPFPRAHQLRLRPARATGDGRAVAMTTDQQSCTQTLSLSLRFKPYRHRRYCTG >OB07G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9989239:9993094:-1 gene:OB07G20870 transcript:OB07G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:J3ML05] MEQSFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFLNVERSFAQQHYAELADKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEAAPGTIRADYAVEVGRNVIHGSDSVDNAKKEIALWFPEGVAEWRSNLHPWIYES >OB07G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:9994516:10006831:-1 gene:OB07G20880 transcript:OB07G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25120) TAIR;Acc:AT4G25120] MRRWKENASPPHHLHPSGSSSLLPCKRPPPSPPPGAAAQSPRRPLADVTGSSLRQRGSGGEGCGYGYGYSTPASKASSSCGFLPDDDDDDDEGMDEAFLREVDAMCEEHERSSARKDKEAVEASASIASGPEGGASGDALQKEKNAICEEGDGQPVAMRQEEMEETDEEDMSELWFGDDSLPPAISIATEGGEFEDAFWKVNATSEVVDHTDSSAKCQENMEGKNGSVTPCYDPSVPSAIHVEEGEGELVDAFLEDADAICPRDAAKGQEELQEMELEIEETEGCVPKKYYEYLQSLNDRQREAACSDVTIPLMIVAGPGSGKTSTMVGRVLTLLKEEIPPSNILAMTFTTAAASEMRDRIGAVVGKAVAKEIIISTFHSFCLQLCRTHAEKLGRTPEFIIYGHGQQRRAVIEAERLLENDINNDLGAAIKHCNGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGNLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYEECQNTWQAIVVDEFQDTSTMQYYLLKTLASHNRITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLVETDNPSGNKITVKECHSEDSQCAFVIDKIIETASSSAEGCDFGKIAVLYRRQITGKAFQTSFRNRKIPFNVHGVAFYRKKVIKAIMAILKTTLPGCADDGPWHQAFKALLPGDREEKKKVINHIEKISLARKCSFISTATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVQREQSVSVIISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVIQFLMDDVSDFLSTHFSSSVETSKTEEKGCASTLKSFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTIDSNRQLLQPSRFLREIPVHLLDVQGEGTPRKTHEQPLHIPFGQPEGDTSVEKPIAVQNETSPFPEIDQSCLANDFLKRFGIDDRAVVSHIFHHWAKKQAFQNPKRLLDKISFVIDERVRGKGYKRKDVLRKLKSFLSGDEAFGYARYVIKWEQIPIDKRSHLMRERQEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL >OB07G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10010169:10014451:-1 gene:OB07G20890 transcript:OB07G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G51150) TAIR;Acc:AT5G51150] MPFLSTPSFDLSAGAEPTLGPRTPRPPPPPPPTXXXXXXXXXXXXXXXXXXXXXXXETIQELQRHHQRGDGDGKGGDEEGEEEGGGGGCGCGHEGESCAAHAAGNMCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILAGSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFALASAQVMYAFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRDSCRGVHVDLIGLSAYLANKKNSNLINLTNSPPIIPCSVIHPDRASCLAHNVSVTSSTFKKTFPLYFSLTFVPFVVLRLQKFLEAPIATCWRALVGAVRSTTFLSAFVTLFQAAICLHRKVATKDHKLVYWYAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNPNASYNYLQKLNELEQTRKETVPENGLTSSEKYNLESIPGL >OB07G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10015960:10022309:1 gene:OB07G20900 transcript:OB07G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) TAIR;Acc:AT4G17050] MMLPRLLLLAFVVSVPPRASAAGGGEGFCSAEPSASSECSGRPPPPPLYWKVTNPTLAPAHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWVNTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSILLSLESGNTHSLLVDSYAYLPANMKHTVVSDELTTLVIFERRYATIEDYHPDPIIGSTDKQPLLETPGEVFELRKLLPTCLHYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWYAALGKTKTRYLLYKDVNRDPLI >OB07G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10024798:10029435:1 gene:OB07G20910 transcript:OB07G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:J3ML09] MPKGRCSEGESESDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >OB07G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10035335:10037898:1 gene:OB07G20920 transcript:OB07G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFYLRERNKTKKQSMSSPTLTVDKDGFNDEMSQKANKSCSSVVAASPRSILELYEGRAHELRSFRLAELRSATSNFSRDLKIGEGGFGSVYKGFLKTSRSQLGLRNDNGNLVVAVKKLNPNGMQGHKQWLAEVQILAVVDHPNLVKLLGYCATDDGEQGPQRLLVYEFMPNKTLEDHLFNRAYPTLPWKTRLSIALGVAKGLHYLHEGLEIQVIYRDFKSSNVLLDEEFIPKLSDFGLAREGPVDGQTHVSTAVMGTYGYAAPDYV >OB07G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10038587:10040940:-1 gene:OB07G20930 transcript:OB07G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit [Source:Projected from Arabidopsis thaliana (AT5G47030) TAIR;Acc:AT5G47030] MLRHAAEAWKKVAPNIEAPATPLSLMQPRPPTPAAIPSKLTINFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPALVQEGLAEFNAKLGSASTDLEKAEAQIGVDVHSALNAALAG >OB07G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10044297:10046237:-1 gene:OB07G20940 transcript:OB07G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17616) TAIR;Acc:AT4G17616] MGAAAASDALPWEAPSRETLLRTIDAALDGGNVDDAVQALANYKTLHGLPEPRVLDRMILTLSYASSRRWLQRAFDLVLSVYRRNGNLLNRGSLTRLALALARDQMPVPASAVVRIVLESGRLPDVDMLTMVFLHMVKSQVGSYLAADVLCETCECFLDQIGDRRQLKKLDPIKSNVTMFNMVLKSCVDFRCMIKAQKILELMSLVGIVADVTTVVIASRVFEMIGQRDELMNMKRSIDSFSSLPFIEHYQHFYESLLNLHFKYNDMDAAAQLLVDLYRQQKPRSFLGDSIHKQGIIQIGSGNLKTGYRIVFDPVKVDKGFVLDTASQFGLIAVIDGNILPSEKALAKLIVGCLKENKVRTLSNFLITLHKEDLKGPSLSDIINACIQMGWLHAAHDTLDDLESAEIPVQTGTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMGVFSHTNPSFTIKDVAEISKDKMPLLNSSLLSSLFEEIEHYSSQEHLTFEFNNSILFFCKANMMEDALRTYKRMREQNIRPSLHTFCHILCGYSSLGMHREITILWGEIKRRVEYGEIDLDRDLLDCVIFNFLKAGYFGRVMEVISYLSKHKMYCDKWKYRQVFLKLHKNLYRNLNSLHEKTEDQSKRIEDVRAFRSWAGIK >OB07G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10047695:10048443:-1 gene:OB07G20950 transcript:OB07G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPRTSDSDWTDSDSNSIQFAYKLAPSSSARRRIHLASSSSHRLKTTVMAPVPFLPQDSELLDCLLRPKIAGAHIDSRFTELVGYVDDVYALPPDQLAARHEGAPGEGGGRVWYFFAPLGTARGRTENGGEGGAELLLCKIFRAPRAARAEPGSPSSCKSVSASPSASCSGTGSGGRKRKAEGDHLEASTTLDARAALPAKSRSTQAGRGQHR >OB07G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10055468:10057565:1 gene:OB07G20960 transcript:OB07G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFETEDDAYAFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHESVAIVSNNASTGASGTHRPGPPPTRTGCQARMVIKITPCRTYRVAKFFAEHNHPLANPDSVHKLRSHKMRARAHELGAGELHRRKQGKGVQLGDVGAALQYLEELQVGNPSVYYAVGMGPDGKSAANFFWADANSMIDFRSFGDVVCFDTTYGLNGYGRPFALFVGVDNHKQMLVFGAALLYDESIESLKWVFKAFADAMRGRQPDTILIDERPECAIAASEVWPRSSHCTSVWHIYHNSKRNLKQVFEGSKSFANALNHCLFECDDEMEFLSAWEKLIEKHDVGENEWLSKLFLEKEKWALPYWKALFSADVLTTLRKDNMINDIKRELSEQEDTLQFLRRYETMLEEHRSKKLQADVDGSQVTLPIPSLRMLKQASSAYTPEAFKMFQGEFEAYMNCMSFPCGGLGTISEYKITLDERPSESIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILRRWRKDARSIRMGEEPSGGSSCSMRSPSEVRFNSMCRFLSLIASRAARSEEATSYIESQSSDLLKHLDDILQTGYPDIGNHALASSSQAISFVGNQLPDHTSQARGVPQTKNGLMGV >OB07G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10058751:10060085:-1 gene:OB07G20970 transcript:OB07G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin-like B1 [Source:Projected from Arabidopsis thaliana (AT4G17030) TAIR;Acc:AT4G17030] MAQLLRRHLPTILSLILFFSRATGDANFTVSRAAYYPNSDTKGTENGASEYGVFGATLNNGDVSTSASLYRDGVGCGACYQVRCTNPYYCSPDGVRIVITDSGASDGTDFILSQHAFARMGQNTDSGAALLTLGVVGIEYRRVSCSYPNQNIIFKITESSNFPHYLEFEIWYQQGNQDIIAVQLCETLNLTCQLLSRTHGAVWAAVSPPSGPLSIRMLLSSGAPRGGDKWLVPTNIVPQNWTAGATYDSGVQIQL >OB07G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10063997:10076583:-1 gene:OB07G20980 transcript:OB07G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:J3ML16] MASAAASISRSLRRSRRGGGGHHLLLLARGFVTAECHRPVVLHKRGSDILHDPWFNRGTGFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDMKRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAVIDEFMEAVYARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMLDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLIEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFNDVDLGDGKLGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMKDEEVHQGIVYPPISRIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELSRLSEEETMDYVKQNMWTPVYPTIVYKKD >OB07G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10084442:10087836:1 gene:OB07G20990 transcript:OB07G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G44610) TAIR;Acc:AT2G44610] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAAGCSC >OB07G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10091529:10091723:1 gene:OB07G21000 transcript:OB07G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPPLPNQNPNPRGEGAPLPPTSSAPAPMATQPPSSAADLYETASQPDPPASAAGDAYTFLEFNT >OB07G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10092042:10102562:1 gene:OB07G21010 transcript:OB07G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNLVGDKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQNSQQAMGGIGTAFSFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNTHPGYSHMGAANDIVSQDPMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGPLQSQAMMNPLYSQSYAHYNTQPQSLQPPPQ >OB07G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10106435:10107151:1 gene:OB07G21020 transcript:OB07G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPFLPQDSELLDCLLRPKIAGAHIDSRFTELVGYVDDVYALPPDQLAARHEGTPGEGGDRVWYFFAPLGTARGRTVGGDGGKRWCSVGSRKKVEGGGAGAGGWYCQKLRYTEKTASGVVAPGWMMAQYSVAQEKGGEGGAELLLCKIFRSPRAEPGSPSSSKSASASPSASCSGTGSGGRKRKAKGDHLEAPPPSTRTQPFPPNAEALKPEGAAPMNSTANSAGSLLLNTSWHFD >OB07G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10111124:10115410:1 gene:OB07G21030 transcript:OB07G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMRMDSEDVLANLAQGMMMYFLCFQADKIIIWGPMEEEYNTESSNEEDMQEDDGEKEGNVTEGDVFKPVDIDPALVPKVGMVFESEEDAFQFYVSYGCHSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGATKSTRKRGTKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKKQLKNSPFLQNPPRISEAPESRLAAAPSSRGGDSGIPLSTQIEFRTKIDRNRKLKLAEGDLDALLSFLNTMQDQNPYFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAIDVRNFSDQYEIQFVSFVGTNHHSQQVLLGCGLLAGRSLGAYVWLFDTWLRCMNGTPPPSVITNYCHDVAIAVKKVFPNAHHRFCLLHILNELPEKLEGTEKKDELVSTFTSLAFDSITMPGFEKEWQEMIEQFHLEGNEWLSKLYEVRTQWAPVYIKDSFWAGMSITERSDSAADYFDGWLMPDTSVKMFVEQYESAVKVKLEKENYEDLRSSQMRPPVMTGLPAEEQAAKVYTIEIFEKFLDEIGHSFHCSYSIVNRNNSVVTYIVSDQIDQTKKVDYKVAYDNVEDDIWCLCRLFQFKGILCRHALTVLRQELVLMIPPKYIIHRWCKDCKQTCSSMSQPVSLSNQETGGYDDLYKLAHQYFAEVVEFGSVNLDSKNYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFNDDALPISLSTKGWDVMQGQSKRTRKKKLATPNVLDTLKKKTKRAYNKRRNATANTLNTAVTTTESIPDGTNMQHNQVNEGWPLTSTGAPEAFPYGVETISFDLSQYNNAPSFHWPESSSRSQLQNLPEAGQEKCLGKGTRGKRCHNSQPATSIMVLPFQGTAGAVAGKKLGAHLAHAPARAPLRGMHSISYRTVTAELSPRLIRAACEARRLVARSSRKETE >OB07G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10120687:10121686:1 gene:OB07G21040 transcript:OB07G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 1 [Source:Projected from Arabidopsis thaliana (AT2G35150) TAIR;Acc:AT2G35150] MGSLRLLPCHPPCLLLLLLVASAAIAGGGVRCAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWEPAAQAVLRDFLASLSPAAGAPFPAVSDWWGRTPRMYTDQTGANVTGTLAVAGEHSDAGGTQCPGKCAYPFAAPDYGGGAGQVLRPPNGDAGVDGMVIVLGHELAELTTNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAADGASYNVNGVNGRRVMVQWLWNPVRGACYGPNAAGA >OB07G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10125230:10128122:1 gene:OB07G21050 transcript:OB07G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEASILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSSRLFFHRRGYGSGEAGGGSDEAREWCKTSGYYADPQMWLEMYDFRPGITAMEPSDVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSCTFTELLDNIPLRSQEVSSSVLSACCHSRPSFEGAQQHSVASQDDEQLLMFSDQEHHIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPHDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGSEMRAAGHGVDAQFQVPMLVNDFMQTEHSANQLFPKNNEPSPHAEQESSYNFVVKRKKDGRKTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRIEIGWPPGVPFVHPHDLPNKSKLRFLEAYEPGWTASQQDFESRFL >OB07G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10128567:10141170:-1 gene:OB07G21060 transcript:OB07G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MMKERSSLCESAADGNWVLKYKRKRSKLTVSPSSEHGASSPVLDSPMNNGSIKKKFKYDNISPSAKKIRGNDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPHNKVSFNTSRGAAKDDEPSAKDNGVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKHDKHESTLKVKKPLQSMELPPKKYKNKKQQSHRESKRSEAKKVKYVASDVDSDSSMEPSTSLEHSESPPPKKKPLDGRTPASSTKKGKKKVKFVDKKHPENAVHVTEKEHGGAGDKIMTQEDRQVDRVLGCRLQTSQIISPAQASSQQIESTNLHLDDMATPNASSLPEPSQAFSKGFHEEVQSSKSDTNVTEDACADELENHGGENHLDCSEAQKDSNVRSHEHKESLSAKEIMNTSLVHSTDQIITVKDAGAVQTNVTASVNGEYETVTDIPEEKNNTKHSVSKAEAEVHTKQEHTPESKLHVKIQEAEGKELEGTTYEFLVKWVGKSNIHNSWISESELKVLAKRKLENYKAKYGTSLINICKEQWCQPQRVIALRTSLDEVEEALIKWCGLPYDECTWERSDEPTMVKYAHLVTQFKKFDCQALDKDMGGKANARDRQELNVLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQIGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPSSFPSLASFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVVIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDGANVKDNNEASSAKNDIADVEFKHRRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNLPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDVPNIDNDGSEQASETKQDATNRVEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYGKLRARQKERIAQRHLIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLNESTAEMKQSSKKTKRYPEIPQELYAKLPGNTASSKHHPKAADISNPGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKKASSELANKSLLTPADHSSEQKNDVQPTSCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPIPGKGIGDPVENPVSSFPSFQGKLGLPNFNLEDSIPLKHMKSVPDLFPNLSLGTSNEYLRNCVPELPNSSLLPSFMADIAGTSKQKNKFMADMSGLLPGLAMNPVQQIHSSMPENHKKVLDKLMMRAQYSSSKVLKNASNKFLKKSPKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMSTATRNSNSTSFPGISDAMMSRALNGSNFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGYSGDFPGTLDKWEKPDIGLIPPFMPNPFMKESIGSLPINRHNSSSIQQNEVGLSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTRLSDPLIENSGDFGSSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFLIPGPRLSLPKDPRSALKKRRVHKVQQTSSHAEHPKITVGQGDQSSTPSALLSKEAARASPAVFKSIDGSSLNLNSPSSSSAGSQGQDVSTPTLEVPHKTMEGQEPAAAAGTCPSTPEAPETGTQSELSGSCRSPVRDTPDPDNLKSKLSGSVNSPTGMSGSPVVDAPGASSKPAAVTLSSDDESTQEGVSYKAVTVSDQEKSTPPDDSKNSGAADSVSTPPDDIKNSGAADSVSAAQTADEDKVDEMLTNEH >OB07G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10147981:10158229:1 gene:OB07G21070 transcript:OB07G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVARRFVVRQDPAGGGGEGEAEVEEHELEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGTVVGDGTDLEAISEGLRLVAISGEDDEEEGKDAAAAEAARAHEKSDEELARMIQIVVEADGSRLNDGTGMGSISEGPCIVAISEGEDVAVAELARMQEKSDEELARMLQAEEEALLLQQYSIRNDGGQEFRKRVEPYMHQVLMYEDPMRQDAARKTVPIDELQEKALISLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAAPCDSCGRETFNVGMGTPLPSELKFGANRVEIYRCNHCSSTTRFPRYNDPYKLIETRKGRCGEWANCFTFYCRTFGYEARLILDFTDHVWTECFSNLCGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVAAILSSITGKYRSGLSINSLTVTENRDRKESEELSKAAYLEVDATISLPGRQSGSVEWRKARAELGQVESLAYSSCPSRKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDTLKCLMQNLKDAKFKSKRATLDKKTQLVFEEFFPSVERLLCAMSLKTELGKDGERSVTTAGNAIHTSLALPVAIDAVDEILSNYKSNAVCTKGHRFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELESYDLMSANDVPERDPMDWVLEGSADGGSTWNTIDARSSVIFDNRFYRKTFTVDKSYKTNAFRFRFLRVRESNGNPRFQIGSIDLYGKST >OB07G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10166296:10166481:-1 gene:OB07G21080 transcript:OB07G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFDVPFHVLLIQNFMSSLSGKKCNAKLSDETNLIVDLIQTYRIKVMTNSFFHVIKHLLIYT >OB07G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10166572:10168095:-1 gene:OB07G21090 transcript:OB07G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 67 [Source:Projected from Arabidopsis thaliana (AT3G12720) TAIR;Acc:AT3G12720] MGHHCCSKQKVKRGLWSPEEDERLVRYITQHGHSCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKSLLHGGGGGGANPSGNNMARFRPNGGGGAAATPFTISSPAKGGFEAAHTGGMPCGNGGFSASMAAFMDEEAAMWASAVEPGMGVAGMDLPQQQQQQQQIQLVQQETAVVGPRPTALMLTDVAATAGAMAGKSVEMVDVSSVVYGGGGGGATAAFDLDLMVESCGMFCGGAGNAMEQLQWDC >OB07G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10186214:10189277:1 gene:OB07G21100 transcript:OB07G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKWDEKLKEDLDKMLERAKQANERRYFDDDD >OB07G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10190235:10193718:-1 gene:OB07G21110 transcript:OB07G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMEMAAAARRFFVVMVAAVVVLGCCAAAQGDGEALMDVRNAFVEDPGGVLEGWGGALGALERLTALMLHSNQLAGELPPSLGALTALQVLRLGNNPALSAPIPAALGKLANLTVLALASCNLTGAIPRSLGRLAALTALNLQDNSLSGPIPPEFGAMAGLEVLSLADNQLTGVIPPELGKLAALQKLNLANNSLEGAVPPELGKLGELVYLNLMNNRLSGRVPRTLAALSRVRTIDLSGNMLSGELPAEVGQLPELNFLVLTGNHLTGRIPGDLCGGGDRAESTSLEHLMLSTNNFSGEIPEGLSRCRALTQLDLANNSLSGPIPAALGELGNLTDLLLNNNTLSGELPPELFNLTELKVLALYHNELTGRLPDAIGRLGSLEVLFLYENDFSGEIPETIGECSSLQMVDFFGNRFSGSLPASIGKLPELVFLHLRQNELSGSIPPELGNCVNLEILDLADNALSGEIPATFGKLRSLEQFMLYNNSLTGAVPDGMFECRNIPRGNPAHNRLAGAPLPLCGSARLLSFDATNNSFEGGIPAQLGRSPSLQRVRLGSNALSGAIPPTLGNAAALTMIDASNNALTGGIPDALSRCAQLSHIALSNNRLSGPVPAWVGVLPELGELTLSGNELTGPVPVQLSNCSKLIKLSLDGNQINGTVPPEIGSLASLNVLNLAHNQLSGEIPATIAKLINLYELNLSQNLLSGPIPPDIGQLQELQSLLDLSSNDLSGSIPASLGSLSKLESLNLSHNALAGPVPSQLAGMSSLVHVDLSSNQLQGRLGNEFSRWPREAFAGNARLCGHPLTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPASCPATSSPATSSSTATWRRTSATSASPRPLPTTARTAPTPPPASPAPTATWPQSVGTA >OB07G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10213444:10214156:1 gene:OB07G21120 transcript:OB07G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVQKYQDRCDWIVRARGVIDVASSGLGVISWMLISVVTVLSFSMVSSTGCAAGLRFSGLCRPLPLSLAIHSQERRPSSSTQTDLQ >OB07G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10220600:10230980:-1 gene:OB07G21130 transcript:OB07G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEDKSMSDDASNGASSSTVKAGDDSESTIEINIKTLDSQVHKLSVQKNASVLALKEKIVEAAGIPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRAAAEGQHSSGAPDENAHANVNVAGNGGLLGDISREIIEAMHSEGMIDDLARSVRDILGSIGLAMPGGMTNATFSVPLGTAPEGANNINVRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDSNTEQPPRSDDAYLSQRFPSPEVLVSVIERAQQLLGGSASSSLSHLAQRIQRDASTGDTSIRSQIQNESAQLGVAMQHLGAMLLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGLSSIPVLGGISGTFGIVDPSRSSGVNTHGNSTTSGSSAGMATASVGTVNDGRQNVERTQGGNPSANSMHGVPTRTVIAAIPARPTVEAPNHVLSVILPVQVRSQVAVPNQSNLSQGSHTAVGSGSQPNATDTIPQASVGGVASVPSIVAQINAQIANALSVNQQSQVSSSEQNTTVQGSRPITTNGADNAQSVASAITQLQTELSDINGGRTSLNAQSYDIPPSNTSDRNSSSEGSRTKHAEMEGIHADNVGKPSGESASANLAGQIAATCTDDSSVNRSSVNSPQKNMPLDGVSSQSIIPSTSSRSEPVGLGGGLQPKRRSRTSKPPGSSSDAGEALNSSRVSNSQDAVSMGQQVLQALASQNSNVNRSSIADSPPPSITSQFSGGMPPRRQGGEGQVDFGSMISNVLNNPVFGNLLSNVAEQAGMGSAGDLRNMVEECAQSPAMMDTMSNIVQNVDGSGRGIGGLDLSRMMQQMMPVVSQVLGGAGAHSAGTNSGQSRLQPQHIDMRVDDASDYGSSQIDLHQACQHIEQHNSPRDIFSAVLETASQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQTRNQS >OB07G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10237158:10239114:1 gene:OB07G21140 transcript:OB07G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSLHAGVRRRGAILILLFPLLLLLLHLVSSPARSPPGPFPGTGGGEPQRRGACDYASGEWVPDDASSGARYGHTCGEIFKGWNCVANGKRNGEELLRWRWRPRGCELPRLDPLRFLERHRNTSIGFVGDSLNRNMFVSLVCMLRGASREVRKWRPAGADRGFTFLNYNLTLAYHRTNLLVRYGGQPIQMGVP >OB07G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10244358:10245413:1 gene:OB07G21150 transcript:OB07G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30870) TAIR;Acc:AT1G30870] MEGVVQRAVKKAIAADYTLAPALLRLFFHDFAVGGVDASVLVDAPGSERYARASKTLRGFDLIDSIKKELEEKCPRTVGVDYWQLAYGRKDGRKSSMVDADQYVPMGRESVTDLVAFCESRWLNVFALVVLSGAHTVGRATCAAVRPRLCGYQGTGKPDAALDARYADFLRRKCRSGGGDDAYVELDAETPTTFDNRYYQNLLHGMGLLETDQKLLPDSRTGEFVRELAAQPSLFSHQFAKSMARIGAVQVLTGNEGEVRLKCSAINGH >OB07G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10274921:10279613:1 gene:OB07G21160 transcript:OB07G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVDAPSTAGINCPRPTQLVVRTVRGRRAMEELVAMMAHRRRCEVAAVAERHVVSRFAHKGRIQSMLRLRLLRQGVKVKDEVWTLPRPVRPRFLKSEPEACIIRNDNKYTDVQDAANFVQENNGKCIADDQHKDAHVLAEKSNDSGNKQYDGHKNTSGNQYQEGCVNMVKLCAQNQEYSEASSFVRYDEHSTVDDVSPSTISTLRELCTPSSRGDTLREEDNQSLNGSWEERALWISSLGWPAPVDAMSPDSWNQDTIENHTQIEFNDRPWIDSPNSWRSLCVATQADSRALSGNADICNLLESKKVSKSLESDFSNKMNNLLLTILHKQRQQHMIDDFEGYYNERLYWRQNDEPQNVNQEVSAPCSLAPVSHLGIHQQESWQHSTFEHQHHENQNFLEMEVRVRSEMAQVHHEIYELRKLVESCIASQVKIQHSIKEEVCSALREAGLMPSQPDTTAKRGSCCICHQTQVDSLLYRCGHMCTCFNCADQLKSSSRSCPICQSPIEDVVRAHMNF >OB07G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10280160:10280372:-1 gene:OB07G21170 transcript:OB07G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSDGIRKSVLRKGVRRAAAAAAARPSVGRMSTDGSGRISARHVRFSLTSGSAPTSTPSYASGVLAGDH >OB07G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10290356:10292402:1 gene:OB07G21180 transcript:OB07G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHKEEVIGKLNVRVMRASNLVIADPLTKTSDPYVVLSYGSQKVKTSVQKKNMNPVWNEVLQLSVTNPTKPVKLEVFDEDKFTRDDSMGVAEFNVTDIYDAAKLDLNHVTDRAMIKTIYPVGVNYLGAESHVSWRDGKVVQDIILELAKVESGVIVLQLEWVHVVPGVTL >OB07G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10294795:10295336:-1 gene:OB07G21190 transcript:OB07G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKADLETLYGCEGDAAEHANDVGDSVAGHHDARDMLDPDEDAVHVDRHDRIEVGEVKQAETALHHGAHDAGVVDDVVEVACVTPTRAAVTTTTATTRRIHYVGSFARVMDTIEQQAVRPRVSETASTAAVTAVTVPSAEARFR >OB07G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10295831:10297817:1 gene:OB07G21200 transcript:OB07G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHKEEVIGKLNVRVVRAACLVTADPLTGTSDPYVVLSYGSQKVNTSVHKKKSNPIWNEVLQLSVTNPTMPVKLEVFDADKFTADDNMGVAEFNLTDIHDAAKLDLKHVTDGAKIKTIYPLGVNYLGVESHVSWRNGKVVQDIILKLAKAKTGMIVVLQLEWVHVPGVTL >OB07G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10301671:10302654:1 gene:OB07G21210 transcript:OB07G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPERAWSLASSRHPPIGTSPPATTAKRGRRTAPSHPSTNPSLQLEPVAQGPDLQNRRVNPKPLIGDLMKTKRIPVLTLALPKDSRRSCATGRGCGRAAPLKIDGITSATPAGKPTPQAEKIEAPNKLKSVIVAKRQEQEVMFHENGRWCARNFGGGGALCRHPQPPELLRAIINRGETWLPEGGVSAAWPKIIASRIAETQSVAFTAGNQAIPPRPAGPLHSVHSRISFASKFSKRNCAYLNLPSAQEFPPLPAKANPSYKSEGTREHGEPAGPTEVPDPRSKFGYAAIPGTEVMEHELEQLRHHGVVISSSLVGHVVSPSEVA >OB07G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10317779:10319818:-1 gene:OB07G21220 transcript:OB07G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTEFIMNQAMFPVQLVRDMPHREPTKRWNLLDQLMPLYSCQPTLNNCGLASMRLMRDHFGSLTIVPKEVMQTRRKRGVLIEPLEPDVSDEVRPLKRKLHCKYRLKFVNKVCEEYYTCNQIKADDGNLLKVALLDESDTKITRGPLSSASVEIVVLHGDFDGEDYWSLEEFSRCMVSPPPGEEASSVLGGDRILFLADGEAGLTHAFFQITSLCARTGKFKMGAMLASAEEERIQEGISESFRVRDHRVKFKASVASRIPVPPIRR >OB07G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10327561:10329790:-1 gene:OB07G21230 transcript:OB07G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYANNWSCISMAQVVMILRSAPRSEINQVQQLPKYRLEFTCEVNDEIEKGKVIETKNQEKTISVVLYDDHNEIVANGPYASAKVMLVVINGEFNKHGNQYNWSRKDFERNIKRPKEGNLSMGDEHQSEVESIVSNCIFKLDGGVKSHSDATILYNSCNKKVRLGAMVLSPTEERVLEGLSNLFFVRGHDRPGRQRSNPRAATLQLTENTVTDAITQASIPRHHVLPPVLQATYTPPAGI >OB07G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10344721:10346413:-1 gene:OB07G21240 transcript:OB07G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAGSSTREIRRAQRADGPAAVLAIGTANPEKCVTQDEYADFYFRITNSDHLPELKDKLSRICNKSGIEKRFMYVSDELMEAHPEFADRHLPSLDARVEIASKNVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPSGDRLLASLLGLRPTVSRTVLSLHGCYGGGRALQLAKELAENNRGARVLVACAESTLIAFYGPEVGCNDIIVGQALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTIPDSEGAITMQYMKGGMDYHIASGVPEMLAGNVERCLAHAFDSVGVAAKWKDLFWAVHPGGRRILDLIEEALGLENGAMAASRQVLSEFGNMSGTTVIFVLNELRRRFEADGAEGADWGALMAFGPGVTVETVLLRVASGLKPNQ >OB07G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10351262:10353722:-1 gene:OB07G21250 transcript:OB07G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAGSSTREIRRAQRADGPAAVLAIGTANPEKCVTQDEYADFYFRITNSDHLPELKDKLSRICNKSGIEKRFMYVSDELMEAHPEFADRHLPSLDARVEIASKNVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPSGDRLLASLLGLRPTVSRTVLSLHGCYGGGRALQLAKELAENNRGARVLVACAESTLIAFYGPEVGCNDIIVGQALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTIPDSEGAITMQYMKGGMDYHIASGVPEMLAGNVERCLAHAFDSVGVAAKWKDLFWAVHPGGRRILDLIEEALGLENGAMAASRQVLSEFGNMSGTTVIFVLNELRRRFEADGAEGADWGALMAFGPGVTVETVLLRVASGLKPNQ >OB07G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10357719:10366411:-1 gene:OB07G21260 transcript:OB07G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVATLFTGATSKDTTNPFTWLDCPSPPPSASPSPPPSSINSTFQSNVFALLDSLPSAAGPTGFASLSRGDGADRAFVRGMCRGDSSPGDCATYLRSAALDINGHCNANRRAAIWYDKCFLSYADTNASTAYESGYRQELYNYNNVSDKASFERTYRALMGRLRARAVDGSAALMFAAGEAEYAPGAAPNGTMYGLVQCMRDRTAAECDSCRCCWGHQGGVVLGYNCYVRVEIYTYYDLTSLEPSPSPQLQPAPAPSTSKRKDGTRAILALAVSIGTILVIFILIAVFIYRRKATQTIIPPENAGEDDTYYVNPATLNLTVLKAATCNFSAENKLGEGGFGEVFKGTLLDGEEIAVKRLSATSSQGFHELKNELVLAAKLKHRNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFDPEKPQLLDWRTRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMNPKISDFGLARAFVGDQSKDITRRPVGTLGYMSP >OB07G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10368959:10370282:-1 gene:OB07G21270 transcript:OB07G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSSPSPAIGGAAAGGRRPGRRLHPPPRADAGGEREVGGRVRRSAAVAASHDGAVGSEGEDHCFHLYRPARASAVELMDSVAEFISPTSCLQTEELPLRHRRRALRHAAGDGNTVASPPCAPRRDQLVLSGGPAKLGRPKAKVGGGPTCKAQRYRLVGMGRPMPGGTYKYSISAAGLRVLC >OB07G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10388671:10390259:1 gene:OB07G21280 transcript:OB07G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAGSSTREIRRAQRADGPAAVLAIGTANPEKCVTQDEYADFYFRITNSDHLPELKDKLSRICNKSGIEKRFMYVSDELMEAHPEFADRHLPSLDARVEIASKNVPELAAAASAKAIAEWGRPATDITHLIFSTYSGSTLIAFYGPEVGCNDIIVGQALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTIPDSEGAITMQYMKGGMDYHIASGVPEMLAGNVERCLAHAFDSVGVAAKWKDLFWAVHPGGRRILDLIEEALGLENGAMAASRQVLSEFGNMSGTTVIFVLNELRRRFEADGAEGADWGALMAFGPGVTVETVLLRVASGLKPNQ >OB07G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10393053:10395230:1 gene:OB07G21290 transcript:OB07G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVGMAICDPLTHSSDPYVVLRLGSQKVKSSIKYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFCILDFVEIAKRDLSHVPDGTVMKTIHPEKENCFATESQITWKDGKVSQDIVLKLRNTEAGEIILNLQWVNIPGVYMFWNTFIAVPYQYATWKS >OB07G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10394158:10400956:-1 gene:OB07G21300 transcript:OB07G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) TAIR;Acc:AT1G28340] MQNRSLGVLHLRWRLWLLAVSASLAVLAADPSKEPYTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGPSWGKGTVLRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWLGVKTLSSSSDDQPLSTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEQERVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTIVVTGTTLTIVLQPVKGTRAIISAIEVFEIILAEKKTLTQEVGALRTLKGSLGLPLRLGWNGDPCVPQQHPWGGVECQFDDTKGHWVIDGLGLDNQGLRGVIPGDISKLQHLQSINLSGNSIKGNIPVTLGTISGLQVLDLSYNELNGSIPDSLGQLASLLILNLNGNDLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAREAPYAKSRTQFTRDMQMAKHHRPHESSRSGNDESTPHLLPS >OB07G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10407046:10413801:-1 gene:OB07G21310 transcript:OB07G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFFKRDENEISPYSWNITGTYKGSWSFAGSTNGSSRFLEFTKLKGDSVLELLSTPTKISGVHYVQGSVTFHDVIDNAHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLSSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPNNFMRIEPDKKWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNSNQPVDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >OB07G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10419857:10422505:-1 gene:OB07G21320 transcript:OB07G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRVKTIDHCGGILSAFHSDAYYVHLLLTGMSGALETLCGQAYGARMYRMLGLYLQSSLVMSAAVSVLIAVLWCFTEPLLLLLRQDPEVSVAAAAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVVCSVAPFLLHVALAHLAVNVLGLGLAGAGAAVSVTFWASCLMLLAYVLRSEKFAETWSGFSAEAFDFVLPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVTVTLKLSVFLAATFVLLLGLGHGLWAGLFSGSAAIVAEFAAVTPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAIFFAFKLHWYTKGLWLGLICGLTCQTCTLMVITSRTKWSKIVEAMQEKKASYLA >OB07G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10426545:10426976:-1 gene:OB07G21330 transcript:OB07G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNMSYYGIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVVRTPLPPSLKLVSTTHQSPSTTRGTVHLWFFRSSLNHACLALRVHRIRRRSRRVACVWVVVADDDDPHAMPPGHIHMGTQSIIDRSLSPLIPAGMHTH >OB07G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10440360:10441823:-1 gene:OB07G21340 transcript:OB07G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3ML52] MASAAANVLLLPEAGSGHLMSLIEAGKLLLGHGVGDAGRAVTVTVLVVRPATAESAAEVDAHVERVEASGIGVRFHRLPAVEPPMDWAASNVQEFKSRFMQLQAPHVKAAAVELGAAALVVDFFATGVLDAAREIGVPTYVYFTSTAALLALMLRLPALEEEVPVDFEEFEGTVDVPGLPPLPGGSLPGFMARKKSPNFTWFVYHGRRFMDADGIIINTVAELEPGPLAAINHGPGVPGRQAPPLYPIGPVLDLEAKEPSNADCVRWLNAQPPGSVLFLCFGSMGWFDAAKAHEVAEGLERSGHRFLWALRGPPAAGSVHPTEADLGELLPEGFTERTKERGLVWPRWAPQKEILSHAAVGGFVTHCGWNSTLESLWHGVPLVPWPLYAEQRLNAFELVSVVGVAVPLRVDRRRDNFVEAAELERAVRSLMGGGEEEGEAEGRKAREKAAEMKAVCRNAVAEGGSSHAALQRMRGAIREGLSPHRHR >OB07G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10455614:10456780:1 gene:OB07G21350 transcript:OB07G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPVAALVLDMFAAPMVDVARDFGVPSYVFMSSTGAMLALMLHLPVLHELVTVEFDEVDGEVDVPGLPPLPPASMPCPVVDKKSPNYTWFMHLGDRFMDATGIIANTADELEPGPLAAITDGRCVPGRTAPPVYPIGPVLSLGSGNAKDNPKPPHECIAWLDGQPPASVVFLCFGSMGWFEAPQVVEITAALERSGHRFLWVLRGPPPAAESGAGAPDGSEHPTDANLDELLPDGFLERTRGRGLVWPTWAPQKDILAHRAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLKVDTKRDNFVEAAELERAVRSLMEHGASSGEEGRKAREKAAEMKAVCRSAVAEGGSSHAALRRLSAALHDGAAVPKK >OB07G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10465275:10466687:1 gene:OB07G21360 transcript:OB07G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3ML54] MAKPTVVLLPVWGAGHLMSMLDAGKLLLGCGGGVSLTVLIMQPPREEYATAVAAAVRREEASGLDIRFHHLPAVEPQADFVGVEDFVSRFVQLHAAHVRAAISGLACPVAGLVIDFFCTTLLDVSRELGVRTYVYYTSNAAGLALFLRLPALEEEVTVEFEEMEGDVDIPGLPPLPPSSLPMPVMDKKSPNYTWFVYHGRRFMEADGIIVNTVAEIEQSVFAAIADGRVTRGVRAPPVYPVGPVTSFAAPSEEKQPHECVRWLDAQPPASVVLLCFGSMGSFAPPQVHEVADGLERSGHRFLWVLRGPPPAGSRRPPDELLPEGFLERTGARGLVWPTWAPQKEILAHAAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHMNAFTLVAAMGVAVAMKVDRKRGNFVEASELERAVRSLMGGGSEEGRKAREKAMELKAACRKAVEEGGSSYMAVRKLYDELYTGASK >OB07G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10468441:10469369:1 gene:OB07G21370 transcript:OB07G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGAEDNSLSCVHEGWWHLRCAEGSNQQGLLGIECVPLHLRYMKDGDGSRQAVLRCMTSDNMDDQQPMLELELVHECMTQIVRMREHASQFDSATDKMNSKTSRSAIEPIGN >OB07G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10472310:10473754:1 gene:OB07G21380 transcript:OB07G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPATATPPTTVVLIPFCVSGHLTPMLEELLPEGFLERTKERGLVWSKWAPQKEILAHRAVGGFVTHCGWNSTLESLWDGVPLVPWPLYAEQHLNAFELVAAMGVAVAMEVDRKRDNFVEAAELERAVRCLMDEGSEEGRKAREKATEAKAACRNAVEEDGSSYMAMQKLTKEMAYPRGYENF >OB07G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10482130:10482765:1 gene:OB07G21390 transcript:OB07G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAPMPCMMVQSAGIRSLRREMETRRRRPELAPTGRVAEKSPKASAPRPRPAAAAELPMIQEGPRVSVRTRVGEVSSGVHLVLSLDAVVVSDEEDGFLDVVYKAKFPLDDPFRPVRVATDKVMVIPPAAPSIASTTTTKAAAPRCSKSADKGGARPATVAGK >OB07G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10489069:10490040:1 gene:OB07G21400 transcript:OB07G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCADVVRYCQGCQRDTSMVLDHATGDAVCIDCVLVLGGAGADACSQPRWRAGSARDSVKGGEEVVAAADADGGAIALPVTPPADAGSAAPSKTTRAAAVPKMRGALPDMSKVLAEGFDAIASMARRLWLPDVVSYRAKEVLRKLEEARAWPKGRGSRDALYAACLHTACRAEGSPRTLKELAAVTPDSAATKRDIGRFINAIKRHHLGQQEEASRDHVDTRSGGGVVVRAGDYLLRYGEAVGMSDHEVAAARSAARRLDESLDVRRNPQSIAAAIIYMAVQRSGDGRGKSVREVSAATGVSESTIKDAHRDLCPHAALLFP >OB07G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10495863:10496432:-1 gene:OB07G21410 transcript:OB07G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGIRRLRREMETRRRRKELAPTGRVAKKSATAPHHPSSSDERSIVLALPAPVPSRRPTPSAAAAAAKLPMIKKGTQVSVRTRVGEVCSGTHLVLSLDAVVVSDEEDGFLDVVYKVKFPLDDPFRPVRVATDKVKVIPPAAPSAASTVTTKAAA >OB07G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10501249:10504079:-1 gene:OB07G21420 transcript:OB07G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGAGGASSSYSNSVAAASTRRGGGRAGGAASGSVGPRCQVERCGIDLTEAGKYNRRHKVCQTHSKEPVVLVAGLRQRFCQQCSRFHELEAFDDMKKSCRNRLTGHKERGGKGAGDRRAGRGGENCRNGADHDGRGHPGPGPGPGPFQIR >OB07G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10503819:10551869:1 gene:OB07G21430 transcript:OB07G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAALLAEALAEAGDEHDGFLGVRLAHLVPPVVLPGLGEVDAAPLHLAPRPDAARRGATSSTAAPPRRRRGHRVGTRAHMRSHGNSSVQSQEHETTPAGCHGRQKRLAS >OB07G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10522783:10524263:-1 gene:OB07G21440 transcript:OB07G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRRRPEVPGAGLRRGPQRGREVPPEAQGVRGALQGGDRARRRPQPALLPAMQPVPCALGVRRLHAQLPAAPAARLNELGSPDSRSNGLVITRNGLNLRRIRNG >OB07G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10532375:10539172:-1 gene:OB07G21450 transcript:OB07G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTAGPGDMGGRGGAASTVSRCTSLGTSRFSRWGNVTTSIGGYLSRLEVGQVLHNPGVPGEPIIRLLETAGSRTMSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVIMAPLYAINSFVGLLDIKGSKTFFTFLDAVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILMITLQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRAKFEKKNE >OB07G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10563337:10569188:1 gene:OB07G21460 transcript:OB07G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIMDLDSTLKRVMDLDDTFKTSSWKFIDLDACLSHIYQTTNGSKEQEKDTAKSSPSSVQSPEIVLKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAANVRNSTLEDKIVHLDGALKECVRQLRHAKEDQDQKVQDALAQQSRQWESKKTDLELRIVEFKAKLEAKTEFSVNIDSDASSRLASLEKENSALKVQLLAKSEELELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRPSFGVELRRAPSSVYAESVTDCQSDCSDSWASALITELDQFKNDKSSTRSASITAADIGMMDDFLEMEKLALANNPPKSEVEDASGQLVKFEEKIKKLTSEKADREKALHEAQRELRNARHRTMVAEEKSVELQRQLNLVKGEKHSIETEMEAIENRRNELEGKLELAHDEITSLMDKGRILEERLESEKALTLELAAKYQKMDELEAKRKELRVQLEASQSEARKLSDQITSLERKLEKEKAFSTLLAAKCHDIEAMEEKKKGIEHELESAREEIASLHNKVSSLELKIQEEKALSTKLAARSRDLESLDVQANELRSQLQSANSEIAGLNEKVKMLEEAEEKHMPLTSGLESQLKLAQNETMRLKDSVRSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEVEELHRKFRLLEDQIREERARSSELGVQCINLKEQIASRALSQPMKPMANKELHIKKEKEVARAAAAGKLADCQKTIASLSRQLKSLADFDEFVPGFENDSLIAESWEEGDLKPFNSANYPAQLGCLAVK >OB07G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10568071:10575376:-1 gene:OB07G21470 transcript:OB07G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGLPDSGSGVSERDRELAWTGSWRQHLAPVSNRELVTMARKMLSEQEFELHLCLYYSDANAEAPFLWPRRRASHFVQHLSVAILLDRSTAYGKRSWQQADEATFSLFDISDMARILVLFFGGRGSASTTDMSKFPSFQVLGNTTTEPAKPRVHGCSICGLELAVGQVLGGHMRRHRAVIADDIGLGLSLGVGFIEQNDDDDRRKKLRARCRAVVEARTKPEGVAKVVETWGAPDASRAVLAASLADRAVDPVLAVARKNGVVELLNPLNGDTLAGVKAAGPAPADSSAEEDPLATLHLFRRHAPDSSMLGTFLTCTEKGKAYVKSVEKENAASDMAVGPSSSWDVSNSGVVQFSSVDAGESYVMFGGKGIEVNMWDITSCSKIWSAKSPRGNSLKIFTAPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRPVISVDFRESPIKAVAGDPNGHAVYIGTGRGDLASFDMRTGKLLGCFVGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTDTRQLLSAVFLKQHLTSVVIDSHFSTEELEETKSKQPDPSVEAEAEVRKQRKEKKNRTSEDGTRMLDHNDNDAEMHAPKRKKSGEKSKGMKKKSKKQQVA >OB07G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10582588:10587463:-1 gene:OB07G21480 transcript:OB07G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGRRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPRAAIRNAYQLTDAKILENAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVVSKNGVAKQLSVDHEPNKERRSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTEFLILASDGLWKVMSNQEAVEEIKDLKDAQAAAKHLTEQAVNRKSKDDISCIVVKFES >OB07G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10592460:10598528:-1 gene:OB07G21490 transcript:OB07G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:targeting protein for XKLP2 [Source:Projected from Arabidopsis thaliana (AT1G03780) TAIR;Acc:AT1G03780] MAPDANAEAAGDTRPQVDEGYEFCAPKFFDFVSGETEEEVRAAERWFEAATSHAPSPFAPRIKESRAEVKPPSLCDFADAEPDHKEVEVEDSAGGATNPSQPSDQEDNDGSFRLVHEANASENSVTDGDHKQQESDSCPDAMSESPPAEEEKKESPKSFEFLPSKQLAADGGSSTPKIQRPPAVKSVTAVPTCAKPIMKTDACTPKVQATNSSRGLAPLTGSKAHPSALKQSSSMGVKRSVVRCPRELLAGKAATAANDIAQENQAVKRQKLDDGRTRQILNVKARTLPHKGRGGLAGSTEMSLSAMRKHRDDSYSLKEVAPYVSAAEMVKKFESGTRDLSIPHNRSVSHDDAATALHRRPKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFRLKTMERATRHADTCSEASSVGTIRSQSSRPLTLTEPKPPQLETALRARPPRVKSSRELELEELEKAPKFKAKPLDKKILESKGDIGVFAHPKAQATAPKEFHFSTDSRLGPPAVADLFDKLSLCSESSSCHFKKDVPRLTIPNPFNLYTDERGHEKERQLAAQLLQKQLQEEKARIPKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHEMEQQRIMEERERMEREEAQRRLVKAQPILKEDPIPLPEKERKPLTVVQPIKLHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >OB07G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10600432:10610306:-1 gene:OB07G21500 transcript:OB07G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSSRDLIGRGSFGDVYKGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILKDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERQSAKELLKHRFVRNARKSPKLLERIRERPKFPVKSSMDATQNGRTHVEEDDGTGTIKVERATRDVVSPSSQGTVRKAAGWDFPDRSEGTGTVRGGIRASQSTSTKDSRFDIPHSPNTPKRTADRENQWRTSSTGSEESISTNVSQREAQSEYGRRESSVEDNDQSSGSRTVVLRSPRASQVYPAATNHTSKPPSRFSSYEDTSISGTVVLNQTEEPETPRSSRSRLGIQDKAPNTSLEDSATNLAEAKAALQAALKKGNARERHVISRHEKESPEPRISVVNNHDAGSENADMEKGRKPRQIHDGQSTHQASGSVSSPAFSSLIIPSLKEATGDKFNGPVVHAFLDSLTNLENELPGSCEVLIDRMLHRLGSSKDSSLQSLQETAISIFTKKSEPPSEPPSHKKLANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >OB07G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10628242:10630452:-1 gene:OB07G21510 transcript:OB07G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASQSVVAQQQKPTGRRPVGSSQIWTRYGVLLPSQQTRKLKEWVLTDEQQQLVNASGLGHLALTTGFTIDRSLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVRRMCLHYLGESPGNGEQLCGLIRLTWLYRKFHQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGSAALAHLYRGLSVAVTPNATTQFLGSATLLMAWIYEYLPLTQPQQKNQNTLLPRACRWNFGGATRGQRKKVMEWRKVFEQLQFSDVNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFARQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPNESITGQDATEYSRSTSQNFSSMMQVEDLKNDLPVIDRYLEGQLLPVEVASFLERVGMMIKSYSPSQSSRRKDQAAQGQNGNVRSKNPRKRGRPSFFQDPSSSPSSRTDRFPGILIPYQDSKCDMVLDGTVPPLSGAEEFKEQGVMNLWQNSHLTTPSCSSLDSSSPESRKRRQQDRDEIRLPRDAENLRRSGRLCVQLKMFKHRDGVGAEATNPIFL >OB07G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10633939:10634373:1 gene:OB07G21520 transcript:OB07G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGPHRRGEEAAGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGTRAREEGRTGGRGEEGGVAGQWWQFSRWVPRVRVSFLTYCGRDGT >OB07G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10637369:10643651:1 gene:OB07G21530 transcript:OB07G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNPPGKDNFKGKQDHSLHTTYFSRVHFDPNVVVAHEFKRCLNKELTLPLSECGISGPDKKPKLFVFVKTKKQVLKPNKMTTQACKKRRAVYISSESEDSGTDSEVEGTKLSKKNGVTTVPMCDHRPTPKNKVETMNTSKSRQCGNILKKLMDHKGGWLFHTPVDPVLYGIPDYFDVIRNPMDLGTVKKKLTSKQYSNPDEFAADVRLTFSNAMKYNPPGNDVHSIADQLNKIFDLEWKLLERKWKDRNPVQQQTPLKGLKAQSVLPKGVAAGTNSVVSKTLTTALSSKVKIKFSVRGPELTSFKDTPLQAVGRREGSLNHSLSYTKDNVKTPKIQSSEERSESTGNELRPSNDASTSPLASSRQEEEYLPEEPLSPSKALRAAMLKKRFAGTIVKAQQKALLDHGKKIDPAKLQFEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKIIVDFVDGIELPPGLGSPLERLGLFMKKDIEEEVEHEMEDSASPSMEIDVEEGEIGFCQ >OB07G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10644370:10652526:-1 gene:OB07G21540 transcript:OB07G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MEGEIDATMTTLGPEDDTAQGLILPNRDRVMYRPPPGKSALGLDLLAHRKREAGGNNAFKLPPQKVVAAATSIDEDEKPGLAESDGKSLASGSVSRRYRGTNSDERSSFKEPTITDEDGRGPSPSHRDGSYRQDTYKSRSSQGSHSRSTPRRYGDYDDRGSCDKRGERERSASFGYSSSGRRGYHDDRESHNRHDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDNGRWEWEDTPRREYRDDRSNSHRQHPSPSPLLAAASPDARLVSPWLGGNTPRYAASPWDHVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNGMDADRSPSAADGDHEITEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPPSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETELGDRVGYAIRFEDMTSANTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKSVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTGIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRVEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMENLRQEQAEAARLEKEREREKRAKQQQPVAMPGLKKGSTYLRPKKMGL >OB07G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10656229:10659208:1 gene:OB07G21550 transcript:OB07G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELRAVDEMRAELAQVRLDIQKLGLARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYAESYEQGQEMQKKLISVASEVEKLRAEAEKRSRAAVSVGNQVYAGGYGNPKAAYAANPYNAGYSTNQANTADSGSQYGPGSTHAPWGAYDMQRASGRR >OB07G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10659403:10665186:-1 gene:OB07G21560 transcript:OB07G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G18060) TAIR;Acc:AT4G18060] MEALRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVAIGSRHVEVGTKFSEDCFRYGGETNASDEALAKAASLYGGALRNVEKEYEDFNRILSSQTIDPLRAMATGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAEHTTKLQQSESKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTIPSHKRAEKAQYFLAEAVHSFNGTTEKELSLISGDYVVVRQIAPNGWAEGECRGKAGWFPAGFVERRENIPPNKVFPQA >OB07G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10680732:10686268:1 gene:OB07G21570 transcript:OB07G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;protein kinases;protein serine/threonine kinases [Source:Projected from Arabidopsis thaliana (AT2G20635) TAIR;Acc:AT2G20635] MVLLERSPAAVPAAAVASSSPLRRSSPRVPPRGDASPPSDPILPYLRSINKAMDELRTGPKFEAAALDRLKTYVMECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKVYKKLEEKRMYLEHALLYDAYALFLFSKGNVLEAVKVYEVGISRKAEPLDHLKKMYTIFLKHMEKIIEEADADAQPKPSKIQKKDPILVDPWSESTMNNLLEKINVGLKKLTGYHKINKVYPGKVPLTSSQNVLRNKVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDIRISDIERPSFGYTHDVHVYADVSVLVCDYLPYGTLLDVINSHIVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGDITDETFKGETRTERNQGLCLVDWGRGIDLNLFPAGTEFHGDCRTSGFSCVEMQEERAWTFQADTYGLCVIAHMMLHGTQMSIEKAPRPGGSYMYQPKSPFKRYWNVELWRNLFSTLLNATSNGNDAAVLRSLRMSFQEYLCSSRQLVGKLNQQLAKQKTSLCLS >OB07G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10686383:10686631:1 gene:OB07G21580 transcript:OB07G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCETRLLVHGRGTAMPVWQPPRCVCICQPRFIASFPDSLMFLPVQSIYSFRNFAAFLPACSSRKKCSLVKHAYWSTDGELP >OB07G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10695341:10695891:-1 gene:OB07G21590 transcript:OB07G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSPSLSLISIHGSHSAAELAIDELELEVGYTIKLALKEWRVGEEQRLDRSELGQCAYAL >OB07G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10716406:10716885:1 gene:OB07G21600 transcript:OB07G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHQQSQVDHLLGLGYGAAAGTQIQAKPWLHDGGSGVGAGLLDGFYAPLLSGSIVPGLEELQVKAEAATGEHQQKSAAGGEQGWDLPTPSSSNVEASIIASDALMAAAASMNPAAVSTTSSTAPSAQSLLYWGNGGIGAAAAAWPDLANCGSSIATLF >OB07G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10732509:10739752:-1 gene:OB07G21610 transcript:OB07G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3ML79] METGSGGGARTRLPKTESAEMRWVAQGGAYEEDEIESSDDGAGAELRRQRLVRTGPRADSLDVEAQDVAGMYRHQEITLGRGIVLALQTLGVVFGDVGTSPLYTFDIMFNKYPITSKEDVLGALSLVIYTLILIPLLKYTFIVLWGNDDGEGGTFALYSLICRNARASLLPNQLRSDTRISSFQLQVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAISVMSAVNGLKVGISSVNEGEVVMITVAVLIVLFSLQRFGTSKVGLAVGPALFIWFCCLAGIGIYNIKTYGSAVLWAFNPMYIYYYFERNPTQAWMSLGGCVLCAAGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYLGQAAYLMENLTDNQQVFFLSIPNQAFWPVVFIAILAAIIASRTMTTAIFSTIKQATSLGCFPRLKIIHTSRKFMGQIYIPVMNWFLLISCLAFVTAFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQINIIVVLCFLTLSLGLELIFFSSVLSSVADGSWVLLVFAAVLYLIMYIWNYGTKLKYETEVKQKLSMDLLMELGCNLGTVRAPGIGLLYNELVRGVPAIFGQFLATLPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDVRKENDNKAFEQLLVESLEKFIRREAQERSLESDQYDVTDSEEEVASASSRVFVGPNGSIYSAGVPLPADLAGTENPTIGSSMSFDGSLDEAIDGMGSLDNELSFINRARESGVVYLLGHGDIRARKDSFFVKKLVINYFYAFLRRNCRRGIATLGIQEAQMMRVAMQYMV >OB07G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10742325:10743532:1 gene:OB07G21620 transcript:OB07G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT5G66360) TAIR;Acc:AT5G66360] MNRAVSSIRSHHVARLGASRTAAASFSSSSSPEAAEAWDGRFRLHKPRGQHLLTNPRVLDAIVRRAALRQGDAVLEVGPGTGNLTVRLLASAAARVSAVEIDPRMVDAVTARVDALGLAHKLTLIAGDAVETEFPEFDVCVANIPYGISSPLIAKLLFGPYRFRAATLLLQKEFARRLVAAPGDSEYNRLAANVRMVADARLLMDVSKRDFVPVPKVDSSLVEIRPRAAAPDVDLAEWLAFTRACFGQKNKTLGAIFKQKRKVLELFTRSHGADERRHSNAGGGGGSRRLVALGGGDDGDIGDSDCNGSYDGAASFSEEEEVTAFKAKIAGALESSELAGKRPSKLSNDELLHLLRLLNEQGVRFQ >OB07G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10743866:10744102:-1 gene:OB07G21630 transcript:OB07G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKLIPTSPQQIVIQDVEVPWIMLQPPRYVSLSSTAFTVACGMPDYIIFSTPPNTFSLHLLAEVAVSAKRRRLIIS >OB07G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10745162:10745434:-1 gene:OB07G21640 transcript:OB07G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >OB07G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10751051:10757797:-1 gene:OB07G21650 transcript:OB07G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGITKMTGVACEVAPPWRRRHCRVAPTPFLWLRVTPLLRSAREPPPVWPANGYMTRVQPSRRSRIRPGALNTASRARLYVAAIPLDHTPQKPHRSEGKKERERESISCCSEILSEFLKGEEEEGFGGDGFRYRFHLVPLRRPPRPQSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIHIEYMFPDAGEVQELVRAKGLFSFYEDGHQECCRVRKVRPLRRALRGLRAWITGQRKDQSPGTRASIPVVQVDPSFEGLAGGAGSLAMEASYLELAEKLGAGSGVKVAKFRADGEQKAFAQKELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >OB07G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10764376:10773758:1 gene:OB07G21660 transcript:OB07G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3ML84] MPARSGKAVAPSRRFIVSNRLAWVEDELMEIRESQEQSSTSLKKRPPLRRGKISPQLVVPEHIPRPSYVGSNIPQELSSLRQVHSAEGIVGMRAACKLAARALDFAGTLVKPSVTTNEIDREVHKMIIQAGAYPSQLGFGGFPKSICTSVNECVCHGVPDSIQLQTGDIINIDVNVFLNGYHGGASRTFVCGEADESIKHFLKAAEECLEKGIAVCRDGVNYKKIGKKISKLAYFYGYYVVERFVGHGIGPIWHSEPLILHHANDNSGRMVEGQTFTIEPILTMEKTETVTWEDGWTTVTADGSWAAQFKHTVLVTRTGAEILTKV >OB07G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10775448:10778979:-1 gene:OB07G21670 transcript:OB07G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFHGWPSTFRARQWRLSYLKSKPRIFRETFPVWPSTSRLGLTICKFFKDLNGITRNYCASAHHTQSLLSLNNAGHDGCCIRFYVQRQIQVATTDVQCNESSTPQISYRELASWNLDDRGSNQKYKNTINLPNHGLDF >OB07G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10776901:10782932:1 gene:OB07G21680 transcript:OB07G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT1G11820) TAIR;Acc:AT1G11820] MGSRSRGGGGRLLLLFLLSLLLLQWSVVSAAGGGGSGEPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRLLSALASSGARAVVGAPNDGLLALGSSPATASAWVARRVLPYAGANSSSPGLITAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFLLPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVQVAVKNLNVTGGGVPVLVTETGWPSYGDRKAEPYATRDNADAYNSNLIKHVSDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGTGGVLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASYAFDSYYQLQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSDGANTTTSQTSDAEGSAIWRLRTGRETGFLFLLRWLLSLMVVFIITNSNFWT >OB07G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10784718:10787247:-1 gene:OB07G21690 transcript:OB07G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIPMENPNPARWKFTNTAGGRGYMEAGINPGLANAMTAAPTIHIATTQLESPDTPAARTRSMERYAQAERTVAALSEARNVVLGGDMSRDGHTDMPFPLPAAAGGGPGDAWTALRPHDGRSSRTTASGTKMYRSKGPVAKAASLRKRTDRFVCKLQDYKLQAIRLIGTERTAGGVLLPRSCHLGMVLTVAVPDEHPVHHHHSTISRPRCTAVRRRLRVRTRNRMELWEEDDDNNGPSPGIRGPTVQIEVK >OB07G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10795798:10797318:-1 gene:OB07G21700 transcript:OB07G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGDGHFMPMIEFGKRLVRASGGAMSVTVLLMPAPTPQAGSDIADHVRREEAEAAAAADGGGGISFKRLPAVEIPTDHTGIDEFISRVVRSHVPHVKAAIEGLACPAKALVFDIFCTPALDASRDLAVPAYVYFASAGATLALFLRSPAIDEEVAGEFEEMDGMLDVPGLLPLPATSLPDTLLDRKMSTYEWFVYTGRRYMDATGFIVNTAADLEPSVLAAIADGRCTGGIPAPTVYPIGPVLALPSPPEQPHECVRWLDSHPRASVLLLCFGSKGVLTPTMVTAIADGLERSGHRFLWVLRGPPVDNRDGARHPTDAALDEMLPEGFLERTKGRGLVWPTRAPQKEILAHAATGGFVTHCGWNSILESLWFGVPMLPWPLAAEQHLNAFALVAGMGVAVPLKVHRKRGNFVEAADLEQAVRALMGGGEEGRKAREKTLELKAVCRKAVEEGGSSRSAFQRLYEEIHGGGAELSSKNESVKADKAEGHVKRATYAH >OB07G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10800111:10800578:-1 gene:OB07G21710 transcript:OB07G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIATLILEDGDGSDGFREERRGRPVESGGVLALAATKLIKMVLTAPREGSEWTALFSLGRRQRERKDWRGRAETVERGGNRVDDDELASSWFGLRRCWTHFERRRVATEQLRVRCLGKASTATRIRRRKRSERLCAQEENREDRWLGGWMNG >OB07G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10808173:10808896:-1 gene:OB07G21720 transcript:OB07G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFTTRAARRQSAVGRMNRWRTRRRGVAQANGFETGQNIEMVSVAQCDDNRNMLPVLSSHHYFGSVLTMARNQTFQIGLIWSKGVPLVLLSFGRDFRDDLEANGSFGGVRILATKHENKLTGINPTETVKETIFNGFLIFFWI >OB07G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10814075:10815593:1 gene:OB07G21730 transcript:OB07G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNAAGGGAAAVLFLVLAVPSALLLVAGDDPYRFFTWTVTYGDIAPLGVKQQGILINGQFPGPQIEAVTNDNLIINVFNNLTEPFLLSWSLRILCYKNDAGEGHEAKYSRANKRISK >OB07G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10821388:10824618:1 gene:OB07G21740 transcript:OB07G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGLMEWNERNGIQQRRNSFEDGVAGTTCPIPPGGNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGGIRVLSRPMIPVPFPPPAADYTLLAGDWYKANHTDLKYMLDSGKALGFPDGLLINGRSWDGYTFDVQQGRTYRFRISNVGLSTSLNVRFQGHTMKLVEVEGSHTMQTAYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSRIISTTAVLRYSGSAGKAPVPLPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMVTATRTIRLASSAATINGKQRYAVNGVSHVNPDTPLKIADYYKIAGVFSVGTISDSPSGGGGGAYLQTAVMGASYRDYVEVVFENPESEVQSWHIDGYAFWVVGMDGGEWSAASRQSYNLRDAVSRYTVQVYPNSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVWTPSTSWRDEYPIPKNALLCGRAAGRRTRPL >OB07G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10826726:10830629:1 gene:OB07G21750 transcript:OB07G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLPPASSSSSSSSLVSRARTAIHSAAARVLTDIKADLRDADGSGGLRAPSSRASADRPAADAGSPRDEIPDITHSPDGDSANIESDSTSSTKTAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYSLFKLEQHFPHDKSQCNSELQHSISLPKDLHGAPPGSFTHQIAETIGNISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRSSIDNSNHLYSNGESPDNEMYVKGTAGDNVLRLGADHPSDNLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCAASSTEAGEGLSTRGRLSERMQTKEGNLWKELWAAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVVLSVCFAAAELVLPAGSKLSKLFYDSKDYIISIYQDDMSKEKLDEICKIYETMEAIVTHPEETLQIMEAPDEKSLENKNRFKLKLNFIGKDRQPLWKRAPKDLKDEKKSSPNDERKSPEERSTKIFSNLLDKKVSIFSKRNSKSPEVPPPPPPPSAPGPFDDSEWMIL >OB07G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10835265:10836344:1 gene:OB07G21760 transcript:OB07G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRHTVLLLLLLGVAAELSSVALAGFGRGPFGHGCKFGGCHGGGFGDGGGGGFGGGGGFGGGEGGGLGGGHGGGFGGGGGLGGGDGSGVGGGGGFGGGGGGGIGGHGGGFGGGAGAGGGAGGGLGGGGGFGGGGGGGLGGGGGHGGGFGAGGGVGGGAGGGIGGGGGFGGGGGGGLGDGHGGGFGGGAGVGSGAGGGVGGGGGFGGGGSSKATSTSQHRLHHHMPATEASTGGASGGLGGGGGFGGGGGGGLGGGHGGGFGGGAGVGGGAGGSVGGGGGFGGGGGGGLGGGHGGGFGAGAGVGGGAGGGVGGGGGFGGGGGGGGHGGGFGAGAGVGGGAGGGVGGGGGFGGGGGGGF >OB07G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10842481:10843593:1 gene:OB07G21770 transcript:OB07G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQLSKLVCVLLVAFLCLSCFARAQFDDDRYGPGGGFGHGPKGFGRGPFGRDCRFGRCRGGGGGFGGGGGAGGGLGGGGGFGGGGGAGGGGGLGGGGGKGGGFGGGVGGGSGAEGGLGGGAGGGFGGGGGGGVGGGGGQGGGFGAGGGVGGGGGAGGGLGGGGGGGFGGGGGGGLGGGGGKGGGFGVGGGVGGGSGGGGGLGGGGGGGMGGGGGGGLGGGGGKGGGFGAGGGMGGGAGGGGGLGGGGGGGMGGGGGGGMGGGAGGGFGGGAGGGAGQGGSLGGGSGLGGGGGGGLGGGSGAGGGLGNGAGGGLGHGGGLGGGLGHGGGLGGGGGFGVGVGVGVGVGFGAGAGGGAGAGGGAGAGGGGRR >OB07G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10845615:10845824:-1 gene:OB07G21780 transcript:OB07G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVWEDLMVDVVSSTPPPQQRTMNSTIHPMFFLTMAVCKYIKGEQTPCVSSLLKTTQQTSVRPFIPSS >OB07G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10850601:10857595:1 gene:OB07G21790 transcript:OB07G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKTIQGISLVLTARRLRPPTPPPPHQHASSSSLRLGQSKRWVGCTLVVCPVVAVIQWAQEIDRHTAKDSVRVLLYHGGRRAAQKRDFNEYDFVITTYSTIEADYRKHIMPAKVRCEYCDKLFYPDKLKVHLRYYCGPDAQRTENQAKQESKKWDNEKGTWKSSAQKKKNGSDGEDFVECDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAVFALESEYKWALSGTPLQNRVGELYSLVRFLQIFPYSNYFCKECNCEILDTLLKKQCECGHSSVRHFCWWNKNISTPIQFGSASDEGRRAMILLKEKVLKGIVLRRTKKGRAADLALPPKIVSLRRDSFDRNEMEFYEALYTQSRTQFDSYVVAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTADGDRGKSEGNENMKSQCGICHDLAEDAVVTSCEHVFCKNCLIDYAATLGNVSCPSCSIPLTVDLTTHNSGDKVTANVKGGKRSGILGRLQNLAAFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIAEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVENQAQDRIHRIGQFKPIRSTRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN >OB07G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10876943:10883679:1 gene:OB07G21800 transcript:OB07G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPLPDRKALDMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPTENQIKSEQKIRPNSCNREPIKKPVLRYSDDDLGFMSHKEQVSRPNSKNLGDDLKFKDQVKKHISRNSEDVLSSSFQKERVKKSNFRNSDDDLSSSFHKEQVKRPISRNSGDDLSSSVHKEQARKVISRNSENERVSSFHKKHDKKPTSRNSKDELPSQEKHIRKPVCRNSEDADFLSNKDSVENPVCTNGEHVGVLSPKRLVEKPICQNRDDVSHSQKKELNNKPICGDGRDDMGYSCNTETVKKPVSMNSQDALGSDVSAATVASAGDGSNGLSMSQANAAEPLGCMATNGFMDKDISSPLDEIRSEKTDDISARESSVKPSYKSIVVDETRRKTYDTYEEQPSSESDAIFDVFSEEPKELASVGPHSDHSYARSLARFAGSLGAQGWRLASERIQQVLPTDVKFGRGWVGEYEPPLPSILFVENQSRSLVSSESNIQKSASMTRNNERMRPTGSVNPKEMSLSLNQITTGNNMVGVPGPLESPEIKPRLFGVTAEPQQRNTNASSLHENHRVSGSVAKTKRAPSEQTRKGSSSSSSRTLQKQPQRPECSKGPSGVLDMPPLNKMAGQPRPFFQPAEAAVTQQMRKSESSKNSHPLEMAHQRLECAKGATSGVQDMPTLNSASGQPKPFFQSQEAAVPQPRNENTWVYHGRPGDGKYGTSDKSRPMNSMAFITKNQPVNAASFAMNLNGQKNVNDNVKSVGSTVMPGQVNTANRGPDSSRNIFSAFPAAVRENQSIPSAPMAQSWISFGTSSESKPTIVSPTFHDSNSGWKMPFANARPDEAKITAVPQFFRQQPSQMVRESSVQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPHMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >OB07G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10880962:10887631:-1 gene:OB07G21810 transcript:OB07G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arogenate dehydratase 1 [Source:Projected from Arabidopsis thaliana (AT1G11790) TAIR;Acc:AT1G11790] MTLLINWLMVATIVRCAIGPSPATDQSLDNGSANVRVAYQGSSGTATEEMLLKAFPDCIAVPCKKFVAAFEAVESSLADIVVLPIENSSAGSFHQNYDLLLGHNLHIVQEVQVDIELCLWALPGVKKNDLRTIFSHPEEFAQCEHSLSSLSVVKKNVDYCAAGAKIISMQNLGDAGVIGSAQAAESYGLNIVECDFQDALPNLTRYLVLAKTADIPKEYGQYKTSIVFGLEEGSGILFKALSAFWMRDISLAKIESRPNKRKPMRTQGTEKHFNYIFYVDFEASTAEARVQNALKDLKEMVTFLRVLGCYQMRETVFTAPSCELSVSSA >OB07G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10896114:10896305:1 gene:OB07G21820 transcript:OB07G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFFFWQIEKNASNSVSSGFLIFSNFSYPLVCAHNMGVGVSLNVQTNTTLGFSRGSAYNFLRVIF >OB07G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10902595:10904558:-1 gene:OB07G21830 transcript:OB07G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRTSVDVPRRLPACASVGRGEDGVFGRHTTTGGAKWKEEETVKTLRPTVWLTEDFPLSVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLASPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVNLSQVADNTDPFTIPSDYTWVNLGSKSQDKKASKAKKGKNKET >OB07G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10912003:10914821:-1 gene:OB07G21840 transcript:OB07G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:J3MLA2] MAKTSFKLEHPLERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGCA >OB07G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10917555:10918001:-1 gene:OB07G21850 transcript:OB07G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARRREEEEEEFDEGEVWDVLLQHQDQSSKEAAALAVRKVKNRWQHEEAGAAATAAAAARRSKGRSSAPVAIPAAGSSSSRRGDEDEDEEEMKMLPPHEWLARKMERMSAASPPPDIGRGRSKGREMRKVRDAVLPKTAFSSEQQ >OB07G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10932860:10934041:-1 gene:OB07G21860 transcript:OB07G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDVPPSSPCSPATPPQVRASHSPISSRARIERCRVVQGFCLLPSSTSTRTRTWPAGHKSKRTPCRLRPHSPSPSTDPRTQHCSSMAHRLRSSDRSRSLGVDAKGRVSKKTSSGSPVNKSSSRGETPAAAPVSFKTGDQVRVRTPVGRLLLSTLRLVLWLGAFVVSDPGDDDDGHLEVLYNGNFPRGDPFRTVRVAIKAVKLPAVDHTAPPSSDSTATAAPRRSKGGGKCKLLLLKEMQANSDAASTRKIKICLHASDAFFIKERIKWVRSMATELFAQHEKGSSLSRFDDSNIKVAPNEHIISRLDSWIRKTDELIS >OB07G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10936521:10937016:-1 gene:OB07G21870 transcript:OB07G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEKMWRNLDITETCFLAACSSWFMQFASLSLQDAEYIYYWTMSVGQIRTLLTPKFR >OB07G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10937206:10939467:-1 gene:OB07G21880 transcript:OB07G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05670) TAIR;Acc:AT1G05670] MLLRRAISAAAQRSSRLTHSHPLLRHVGPSFSRRTTTSSPRRLGRRRAPLADCDALTATAAPRPFPDYSPPLPDSPVDDDLARRLAAAVLSSPRPASLPPLPFLPLLRPLHLLLALPRLASHPDLPSILLPLLLLFPSGPRPHPHLLQSFAVAAHLAAVRDPGAARAILVRALRFPSPHRHFVEQFISTYKAFSADPVSFDLLLSCLPSAPLLRRLRQYGISPSAESCNAVLSRLSLDEAIQLFQELPDKNTCSYNILLKAMCSAGCIKDAHQLFDEMASPPDVVTYGIMVHGYCALGELETAVKLLSEMGTRGLELNPVVYTSVIALLCDKGQVSDAVRVVEDMVMHGVVLDAVVFTTVISGFCRKGNLVAARNWFDEMHKRGLAPDAVTYTALISGLCRAGELKEAERVLQEMEDKGLDIDAVTYTVLIDGYCKVGKMMEAFLVHNKMVQKRVTPNVVTYTALSDGLCKQGDLQAANELLHKMCNKGLELNVFTYNSLINGLCKAGNLDQAMRTMIDMDKAGLKPDVYTYTTIIGALCQSGELDRAHSLLQEMLDKGIKPSIVTYNVLINGFCMSGRVEGGKRLLEWMLEKNIRPNTTTYNSLMKKYCIDKNIKSTTEIYKGMLSQEVAPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFKLTASSYNALIRLLNKKKKNIEARRLFEKMRKEGLAAEPDVYNFYIDLSFNEDNLESTLALCDELVEATLVKSKAAVDNDFAEEHICK >OB07G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10941736:10943660:1 gene:OB07G21890 transcript:OB07G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGACSRITPTPPSSICVTRGPNRLASVTAARPSSCRKYITLQTQCCTAFPIIFVTESSQKVHPFEDEITSFVNNPPGFKNFMPDGQCPEMSSSYNWIDTEAQLDNMARLLDDEKAFAVDTEQHTLRSFSGYTALMQISTQKADYLIDTIALHDVMGMLRPVFANPSICKFCLK >OB07G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10950264:10959579:1 gene:OB07G21900 transcript:OB07G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGQWPEMSSSYNWVDTEAQLDNLARLLDDETAFAVDTEQHSLRSFLGYTTLMQISTQKADYLIDTIALHDVMGMLRPVFANPSICKIFHGSDNDVLWLQRDFHIYVVNMFDTAKACELLSKPQKSLAYLLELYCGVITDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLALELHAKNYDSPNDKINFFFEARHRSNMVCMQLYAKEIECPPGSSSAASIFSRNLQNHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYILSDQAIASLAVCVPRGPTEVCSAILETDISNSTMSPSLPSPSPIVVAHIEELRCLIEDATVSMDAVFKKLLEKYKDPSGLCRLSVYNYNLVSQLSLKQTNMFSFASSGEKLLMAPPNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDHKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKREIAKEFGVPLFVQKIVNSGDISLIAGASSSEDKLNGTGVSPLQLRTAAMALLRHGSNMPLKRCEQLMQIVKSYYGGRDVTPEDLEMALLIGMSPHERRRLSKKKGFSYRSQAQNVIRKNNSNNIVANNQHDSENGYALPEQFSKDGVESNSQPDIDENNSQLDVDDTTSQPDIRSNNHLHDPNLSQESTSYPLSIEDPMSICNMETETVHQANANVGGNPANGDLDRDPCSGDNSNQTIPQNGDKKISLLGHGHHGKQVVELLLSTGGEEAINQFCQRWRQVFVESVHPRYLPSGWNIKHSGRRDFGDFSVYKPSKNAPAADQSETLAATAP >OB07G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10963689:10964207:1 gene:OB07G21910 transcript:OB07G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSSSKGRVSKKLAVEAKGRAVSKKPSGSPAVSMASSPAPALPRNPPDASVSFKTGDQVRVRTPVGRLLPSTLRLVLWLGAVVVADGSDGDHDGHLGVLYNGNFPRDDPFRTVRVAIKDVKLPAVDHTAPPPPSDSTATAAPRRSKGGGKCKLLLLKEMQANSDAASTR >OB07G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10965451:10965687:-1 gene:OB07G21920 transcript:OB07G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLENFAENDELLNAKRVFSELNESKYCRNSFVYNSLLKAYVKAKVYEPDLLKAMILRGVMPDAETYSLVGLIEQLKT >OB07G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10969097:10969615:1 gene:OB07G21930 transcript:OB07G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSSSKGRVSKKLAVEAKGRAVSKKPSRSPAVNMAPSPAPALPRNSPDASVPFKIGDQVRVRTPVGRLLPSTLRLVLWPGAVVVPDGSGGDDDGHLEGLYNGNFPRDNPFRTVRVAIKGVKLPAVDHTAPRPPSGSTATAAPRRSYGGGKCKLLLLKEMQANSDAASTR >OB07G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10974900:10982889:1 gene:OB07G21940 transcript:OB07G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] MGFDRRKAAALAALASPAPDKSPKGGVDAPIAPLLDAINSHPDLFTTSSCSGRVSVLAQPPPAPQGEAKAKKKARGGGWVYVSHDPADPEALVELLFGGKEEGGGAGGGGDELVFRFEPMIVAGGGGGAGRDAAAAAALVSTAVAAGFRESGITSLVKRVMVALRCSIRMEVPLGQTEELVVSPDYIRYLVRIANSKMEANKKRMDGFLDLLQIKSSLEASYLESQDPVLQNGAKHGFGNAKRHVLISLSFYPVHISPHGVILTQKEGLPTLSGNTSHYLSTAALEITGEPVEKLFLWGQSACPLTVGREHHILTFGGFGGPGRHARRNYSLLLNHRSGLLTELKVTESPSPRMGHTVTVVGHDIYVVGGRGGPSEILNDVWVLESTKNIWSNVDCTGDLLRPRHRHAAAAVDHKLYVFGGLSDDGICSCMNIMDTESTQWNVISSDEKWPCARHSHSLVSYGSKLFMLGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKVWFYVSIPSLSQCLCVRSSSVIIYDDLVIVGGGASCYAFGTRFSQPIKIDLHLLEPIFKLAYSKDKEMAVEHDSVSTIDLEGHEENCIPSHNVKAVIDTAALVSAPLVLQLEKKYAKLSKDILKKFGWLDITRKARVSGDNIHVLFPVTKTFHTLIADKDIKIQPDDSCIFEEQLALSERKLVGANISLEKALEILVLCHGSILKDELAISRKASKTPQVIMRELVSSLLDRKGLPFELLEQLPTRWETLGDITVLPKTCFKDPLWESVREDLWPLVAKSLGAQRLARQGKIAPNGTRDSTLELLVGNNGWLTHHENGIYYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALRRNVMDNHVADRCIILEGDNRMTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDETCWLDKAVESICNIAKTQGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRPT >OB07G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10981967:10986055:-1 gene:OB07G21950 transcript:OB07G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCCSRATSPDSGRGGANGYGYSHQAKSAQAPPSYNHPQPPPPAEVRYTPPAMNPPVVPPVVAPPKPTPDTILGKPYEDVRSAYSLGKELGRGQFGVTYLCTEIATGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKRRISSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDSSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGVQPPRLK >OB07G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:10987200:10987454:-1 gene:OB07G21960 transcript:OB07G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LENCKLSSFSTSCRAASALLCAYVFLSPLSFFSLLPPHQPFPHLVFSSNSCTISRCHDRSREGVATPPNLPPPQPPRFDSVNTPA >OB07G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11022628:11024191:-1 gene:OB07G21970 transcript:OB07G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIAKCRTAYSSVIFPLIFHYAIRISHGAGPSLAGVLQKIFLDTTRLIAGKTNNLLEELWKYIEDGKLLKSAVLLLAAHKQIRGTVA >OB07G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11026250:11027041:1 gene:OB07G21980 transcript:OB07G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQLEVGEGEESGLDEELRKQAVRETGRGSASPAGRKVTFGFHLVEGKTPHGMEDRHVAEFRRLEDGNEVGLFAVFDGHAGADVATYLREHLFDDILREPGFWTDTVDAIRRTYHRTDRRVLEMTEEEGDGEERRRRNAGSTADVGAGAGTEFVVLASDGLWKVMSNQECVDAVRGTADARKAAAELVGEALERGSADDIACVVVRLH >OB07G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11029612:11031802:1 gene:OB07G21990 transcript:OB07G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoribonuclease L-PSP family protein [Source:Projected from Arabidopsis thaliana (AT3G20390) TAIR;Acc:AT3G20390] MQLFFFKKNCVCRLTLLIVCWLISIERTKMQCGLAFTPITKIENVKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPETGKFVSESVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >OB07G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11069448:11069747:1 gene:OB07G22000 transcript:OB07G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCLQRSRGRGAGDDASHCDAEKVLVEEEEEEELQKGEKVTEVKIRITRRQLEELLRRMEDGKGGGAAVSELLCMTSSCNFRHRPEQWRPSLHVIPE >OB07G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11086764:11090856:1 gene:OB07G22010 transcript:OB07G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVDGRLEELLSSGGAAGEAARRVVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSAVLLTAAGVPLAALYACSERVLLLLGQSPEIARAAAGFAYGLIPQIFAYAINFPIQKFLQAQSIVAPSAAVLAASFALHLPLSWAAVHGLGPGLPGAALALSATWWVLVAGQFAYIVWSPRCATTWTGFTWAAFDDLAAFARLSAASAVMLALEVWYFQVLILLAGMLPDPQIALDALTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAFIAAIAGVVVILLRDRLSYIFTQGEAVSRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVEDARRRLDKWDDTKQPFLVTDNQ >OB07G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11094781:11095695:-1 gene:OB07G22020 transcript:OB07G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQGHAYITFLHAKNNLNTQYKIRSFNLSKKKIRSFSTERTPLEPSLRATQAAARTSCLLSLQTCMRRELKNMSRENDVVRRKTKANQGEPSCCAMDPRTISRLRLRRCLRSPRQRRSRTLRAASLSRLSRTPICLALAPPLLAKNAAAAGLLLPLAADARVLQHLVDQVLRLQVPRLLGVHLLHLRVRERRRRPQQQHHRSLARRRRRRRARRGGEEEKEASCGWASCL >OB07G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11094874:11095432:1 gene:OB07G22030 transcript:OB07G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRAPAPFAYAKVEKVDAEEARHLQAQYLIHKVLEDSSAARGRRRPAAAAFLARRGGAKARQIGVRLRRLRLAARSVRLRLCRGLRRHLRSLRRLIVRGSMAQQLGSP >OB07G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11099278:11102963:1 gene:OB07G22040 transcript:OB07G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQPPPQQQMPPPQYAPPPSQQYAPPPQQYAPPPPHYAPPPQYGAQMAGGPAPGGDDVRSLWIGDLQYWMDENYLYNAFAPMGQQVASVKVIRNKQSGQSEGYGFIEFQSRAAAEYALANFNGRMMLNVDQLFKLNWASSSAGEKRAADEGSDHTIFVGDLAADVTDSMLEEAFKVHYPSVRGAKVVIDKVTGRTKGYGFVRFGDENEQTRAMTDMNGTMLSTRQMRLGPAANKKNMGTQQTYSTNGYQSSQGNDSESDPNNTTIFVGGLDSNVNEDHLKQVFAPYGEIGYVKIPVGKRCGFVQFTSRSSAEEAIRVLNGSQIGGQQVRLSWGRTPHQNKQVNPKNYPQAPQQDASQWNGNGNYYGYQQSYEASYYGAPNGQDPSAQNYYGYSGYSNYEQQQQPQQQEPLQQQPPPQQPPQQVLRLKPKSIISTFASSYATETHF >OB07G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11105318:11109105:-1 gene:OB07G22050 transcript:OB07G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G54910) TAIR;Acc:AT5G54910] MRRPQSRGAAKQTRLREADEIRLLEAWIDAGKPDRGTRPPPLSKSSSSAAAAAAPKRGGKGGASKAAGEHAEYGACTRFDELPLSRKTQDGLRKAGYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWGPEDGVGCIVLSPNKDLAGQIFNVFQKVGKFHGFSGACIVGNRKGLDEEKAAINNMNILVCTPGRLLQHMGETANFDCSKIQILVIDEADQVLDKNFQEQVDNVVSQLPKVRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEASTATPDTLEQFAMIVPLEQKLNMLWSFIKRHLNSRTLVFLSSVKQVKFVYEVFKKLRPGISLRCMHGRMKYEVQQAIVAEFKEGNSVLFSTDIFARGLDIEDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEERMLEKLKAAESKIPIHIKKPNTEQLQQISQNIAAVLVQYPNLQQLGKRAFITYLKSVYLQSDKEVFDLSRFSMENFAAYAASLGLPVTPKIRFIRHKKNVPKKYMGAIDVKQMKRSSKSEVIEINPQVKSNLIVDDGDDDILYPKEPKADVNMDDGLDDVLYPKESTVDANIEPEKITQPIVFVRLGSKSMKKKKLKINLHRPLGTRVKFDDEGNTIAPLASIAEEVGSGDRYELKINMQVSTLSLSTVSQRYAEMLREMREHDKEDKLEHKKILREKKLQKKLKLKRKRSEEEMDAGSEDSGSESDRDQKTAASRGKKRYFDSDDEGEDAAKDGGVLAKQEALALKLLSKMHS >OB07G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11109506:11109673:1 gene:OB07G22060 transcript:OB07G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSFFLLMGKSLFQGFYRNFIYETEIVTFQKIRTKDIGLSMLHFSAGGRKDQTDY >OB07G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11116082:11117543:1 gene:OB07G22070 transcript:OB07G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDRSDRTGVAAESAMDYYYPMEEEEVVVHEHPRFRRPAHHPWQRQWQWQLLALLSSSSSSPAAAAAAAQRSNHVSWEETAAAHLYSVNLPGVRKEEIRVEVEDARYLAIRTELDGGGGRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRQRHLGRVHPRRAQGNRPEAAHAGPPRRQPRRRRRPGLRPRRPSSLISGRYYQQISSIIAANYISLSLGLGSGTSTLDCTISQAYFRHYK >OB07G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11118129:11118356:-1 gene:OB07G22080 transcript:OB07G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSELPTTGEGVGAGGGCNELHCLGGMRLHVLVAKTPSPAGALGGGTALAGTGVGAIVLGAGGEVGVLTLNLAGL >OB07G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11121985:11122357:1 gene:OB07G22090 transcript:OB07G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAVRPLSLHVRCCSPAAAATTKPPPPPPQDRRRRSTSSSTCTFDEESIRAIRLKKVEELRRNGAPTAGLPPPAP >OB07G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11123238:11128499:1 gene:OB07G22100 transcript:OB07G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Non-SMC condensin II complex, subunit H2-like (InterPro:IPR009378); Has 249 Blast hits to 211 proteins in 82 species: Archae - 0; Bacteria - 0; Metazoa - 145; Fungi - 8; Plants - 30; Viruses - 0; Other Eukaryotes - 66 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G16730) TAIR;Acc:AT3G16730] MEGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEINGEDAAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKQDQENNSTQTNENDPSTVPNDEDDVFSGLDDVPVEARTTLDNNVDRDDLQRKIMRPPANLLVFEGDCLDSEASELDSYLLATCVFFGDFLLLDPCDAPAVSDFLGGKHSAKKDIFAGRGSSARSKSRSNVFVSPNGRSGGTGRRPIPGKVQEGNPEQTQESNPDQSQEMNATQTQESIDDLNVNDNHWSDHSVDHDFPDNDKPHPEDADTGCPDAGNDSDSDDDPWRPLNPHEPGNLKIRPYRKVKGFARQVTGAPKRKMIASLFPMAKMDGVISPDLAKSFEVHLSQQETSHASESPPLYEKLRRSLEHGERESQHVFGDLKDGNEADIGLNDFDDIHEPDMPDDVCDMDVDMDIPTYPDKNNDATLDGTQGTQDSTDAHESLEDLCRSHLDALLASIAEAEQQTELDARVSTWKERIEHALEEQDRNPPFDIGSYGEQIIDTLSSRAENEGIASFCDIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQATNELVCYTASNPFHVMLIGPNQRPEIEARFARKRVKSPLQNKGGDPSPTQQKLPKKQTHKNGKVPVKTAIKLTPDGKRRRRSTQMLRPINLESSG >OB07G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11128922:11136208:-1 gene:OB07G22110 transcript:OB07G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAMAASLRQSLREVCSLEDVTERMGRYMSTEACEEVLVMMCQICKNIDDGRLKMKEQCPLVSDLRLRDKAIRIFMCYNPEWLRIGLHIVLGGDSLIHNGSQKMDKEVAFLKFVLEKQLFAQMTVTKSSAPTKMAEGLCRAGYGEAVGNITLKRIFLLAAALDRAKVESALPLESGIDGLDGGSPLLFCCQTQIKSSRQVVQESLGEVMHGEGDLLMHLTTMGYKLNYQQKVIAPSDTSKKRLHNCTMAIQYMKQAGIRLSDADGLSISAEDITNGDKELVLSLLWNVFISMQLPVLVDQTSVAHELSRLQASASEQPVSETKSQIGLLYDWIQVICAKYGISVESSSQIDRRALNYFINYYLNINIPSFPLKESLSDCRKELFGCCKPDTMAVVTTHPFNNFGEVLAQFLQDLPACDILANDVIFDEKSATILLAFLSSHLTSDRRLEQLKDLINSKLDQQSPVTEVSARRRSRGINDMKCQFPQIDETDGSHISKESTAIVIQTQVRRINAMRKYCKIKNEAQLRHTGHDPVASSSPQKNIADSSSIDSAIKLVCEDDVDCSSDSYQALFYHEHPISTKVNFLFFRKVMAARKIQFAYRRFTHRIFSRISASIKIQSHWRGFSVRTHFKRKIQYIIAIQAVARRVLCHRAFQKQRCASIVIQRIIRGWLARKKLLGSWLPRSCTDLCALDQNQHKISHQSMQLKIMLRSVLRIQRWWRKALLYRSIRISVISIQSFSYVKAYLVRKSSKQEITDIRCRLQKSSEQVDDSMRLINRLIAALSQLTQCRSISSIRQTYATLSMATEYSEKCCQTIVNAGAVEILLKQIHFLNRGVPDQEVLKQVLFTLRNIARFPNLRPVLIHTPQAVATVFQELLRNKADGFFIACDILKRLCEYKEGHEIAQALQHHIRRLGNLVQELEKKVELDRRNGRTGVSKENNLRRLGEAVTLHHLLTNDR >OB07G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11139202:11140636:1 gene:OB07G22120 transcript:OB07G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAAAAASPSLAKAVDTYRKAVATAATMTAYAVLARGMVRELVPYDLREAVSWAASLVRARLKPLPAERRTVIINRLSNDFQNLDDNCFYVDAHVYLASRIDPRWTSVPGDGNETEASLELSFDVEHTDMAMCRNVPFIREEVEKARRQDRELKIYMNEGFSWRGIVHCHSATFGTLAMDPDLKKSILDDLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFDLYDLDLSEVRGNYSLQKLLVRMPNKSILVVEDIDCCFDAKPREDCKAAALEQAAASGNTSGSDDDSDAPPPCRPGGELQQQKITLSGLLNFIDGLWSTSGEERVIVFTTNYRDRLDPALLRPGRMDMHVYMGYCGWDAFKTLVHNYFVIADHPMFPEIQGLLGAVEVTPAEVSEMLLRSEDVDAALVGLAEFLEDKKKKAIA >OB07G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11144641:11145799:-1 gene:OB07G22130 transcript:OB07G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDSTTDVFDGVEFRWTSVETGGDDGGGKKSKGGGHRAPRESLELSFDAEHTDTALERYVPFVMATAEQLQRRDRVLRIFMNEVRSWHGFNHHHPATFDTLAMDPDLKKSIVDDLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNVALQRLLISMPNKSLLVIEDIDCCFDAKPRDRHRAAAALEQAVDFDYTSDSGGDDYGAPPKPYRPPGAELQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYRDRLDPALLRPGRMDMHVYMGYCGWDAFRTLAHNYFLVDDHPLFPGMQELLAEVEVTPAEVSEMLLRSEDADVALRLLREFLHGKKRRARKEAAEIKNEEAVETKNE >OB07G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11145209:11145664:1 gene:OB07G22140 transcript:OB07G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVNVLDDEEGLVGHADEQPLQRHVDAHLGEVEVVEVEAEVVGHGGDEAGLAGARRAVEEVVDDGLLEVGVHGERVERGRVVVVEAVPGADLVHEDPEHAVPALQLLRRRHDEGDVPLQRRVRVLGVEAEL >OB07G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11151537:11154701:1 gene:OB07G22150 transcript:OB07G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGVAVLGGGVAGYLKRGSKASLAAGAGFGGALILAGALSAWAFARGHSGNLFATVLQTVCAVALTVVMGIRYIKTRKVMPAGIIATISALVLIFYVYKISNGGNKVYVPVSAA >OB07G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11154376:11154531:-1 gene:OB07G22160 transcript:OB07G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIISVSHGCNKISLSDSTENMIFSLFFLLLFSCPIPSYSKKACSIIFFL >OB07G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11156451:11157170:-1 gene:OB07G22170 transcript:OB07G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCSPLTCLFFMPLNNSVRVGFRKALEDVEFDGYVIPKGWQVFWAASVTHMDGAIFHEPARFEPSRFETSHQPPPAACSFVAFGGGPSICPGIEFARIETLVTMHHLVRRFRWELCCGEEDTFARDPMPTPLHGLPIRIDPWSGGLQ >OB07G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11166830:11169341:1 gene:OB07G22180 transcript:OB07G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASILFALLLALFIPILLHLVIRHKYSSYNLPPGSLGFPLIGQSISLLRALHSNTDYQWYQDRIKKYGPVSKMSVFGSPTVFLTGPVANHFVFSNQDLIFTQTKAVNALMGRSILTLSGEELKQVRSVLQGFLKPEMVAKYIWKMDEEVKRHIDLNWVGNKTVTAALLAKRLTFNIICSVVFGQGAGPIREALVTDFATMIKATLSIPVNIPFTKFNKGLTTSHRIRNLLRRIAREREAALQQGLCSSDDDFFTYMLSLRSEGVHSLTVEDIVDNAIVLLTAGYETSSVLITFLLRYLANEPDILSKITEEQDEIAWNKRPNEPLTWDDVSRMKYTWKVAMETLRTTSPLFGSFRTALKDIEYQGYHIPKGWQIFTAQTVTHLDAKYFEDPNKFDPARFGNQSSIPPYCFVPFGGGPRMCPGNEFARSETLVAMHYLVRQFRWKLCCKEEGCRRDPMPNPVLGLPIELETRTPPEHPRS >OB07G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11179941:11182041:1 gene:OB07G22190 transcript:OB07G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRSEGVHSLTVEDIVDNAMVLLIAGYETSCVLITFLLRYLADEPDILGRITEEQEEIARNKRPDEPLTWDDVSRMKYTWKVAMETLRTVPPVLGSFRTAIKDIEYKGYQIPKGWQVFTAQVVTHLDEKIFDDPSKFDPARFDNQSSIPPYCFVPFGGGPRMCPGNEFARSETLVAMHYLVRQFRWKLCCKDEGSGKILLRCLFLGSQLNLRPEAPLNMLMLKLAHSLLCTAIRFSLLLSTDG >OB07G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11186003:11187133:-1 gene:OB07G22200 transcript:OB07G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRRPCSSRSRRALRACVRACIVVRGVSSFLAEGSGGDVVYVLALGHGGEGGRVVGEVADHEGHEGGGGVVASGEGDDGVVDDLLLGQQRPAAVAVAVAQADEVADEIVRWERPAVLQAGLLLPHGRREQPASPGARPEAPAERGERQVQRHRPYALQHVGERGGELLPDAAAVEPEEDRGDDVERQRLHQRQHRDGAFASPPVGEVAPDLAIDLAHAPPQHVRPEELHQSAAHAAVVVADELQHVPPPDDRRQAPGLLGRQRLAEEHHLVRRRACHEHRRRAEQRQLRHGAVPVDPVLQPPLGGVPPHGAQEAQALPDQRQAEGARRQAAPRRRRRAPRSPRQIDGQRRQDGDEEREDDGDSHCGDDGKAGST >OB07G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11186023:11187615:1 gene:OB07G22210 transcript:OB07G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVILALLVAVLTPLAVYLAGRTRSASPSPRRGLPPGSLGLPLVGQSLGLLRAMRRNTAERWLQDRIDRYGPVSKLSLFGAPTVFVAGPAANKVVFLSEALAPKQPRSLATIIGRRNVLELVGDDHRRVRGALMQFLRPDMLRRCVGKIDGEVRRHLADRWAGERAVTVLPLMKTLTFDIVATILFGLDRGGIREQLAAAFADMLEGIWSVPLDLPFTAFRRSLRASARARRLLAATVREKKASLEHGRSFPSDDLISYLVSLRHGDGDGGRPLLTEEEIIDNSIVSLTAGHDTSATLMTFMIRHLANDPTTLAAMAQEHDEIGRSKDGGEALTWEDVARMKLTWRVAQETLRMVPPVFGSFRRALDDVDLDGYRIPRGWQVFWAPCVTHMDPAIYHEPIKFEPSRFDSQAAAPPPPPYSFVAFGGGPRICPGMELARVETLVTMHYLVRHFRWRLCRGEKDDTFVRDPLPSPANGLPVELDHVAPLLPVRGDS >OB07G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11189157:11195455:-1 gene:OB07G22220 transcript:OB07G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLATLCAAHPDAAAPHLQKVMAHLSRRLKDTNSDTSVRDACRDTVGQLSAVYLRPLAASSAAEAGNATVTLFVKPLFEVMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKLAGDDSNDGKSADGEGKLDSKRSMQSLDSPNNNDKMKGSSIAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRTSSNFQNIRDRWAGQRGNRNKDAKVRTSDVDDRNESGAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGSMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRMGFAERYFSGDGMASGVRSSEPWDSYAYSGSRSSMNARRGLDSVSSDNRVPRNERGNDQAGPRRGWEKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGASRAAARVAIPELDGETLNDDNQGDERGPIWESWTRAMDAVHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLTDLVMENGSGYLGIPLDAKNDLLLGLHEATAIELPEDWEGATPVQIMKQLASSWRIDLQQLIS >OB07G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11210135:11210452:-1 gene:OB07G22230 transcript:OB07G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIQFCHHNTTINAMMAYNTGIKKSQQDVLKLWSKYLQELWKAMSKTICHLCDINDFKDKLTSNFKLWTCTMLKLPFWPLFCPFFSALISSLHLQFYTLLMPTF >OB07G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11211232:11211684:1 gene:OB07G22240 transcript:OB07G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQQARCRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAKPTTRRSSTAASSPMLRSDGVLVDRSSKPRKKKAPAASCRVAGVEVVDVRCGNPMSSRLRRLGFSKLSETFA >OB07G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11215571:11217179:1 gene:OB07G22250 transcript:OB07G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G50900) TAIR;Acc:AT1G50900] MASIPCTFQLSARAASPSQRAGGLRAPPRLGWFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDKPKIEELLRAGAKYDVKDVDGRTALDRASDDTRDFILGFAKTLA >OB07G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11216986:11223851:-1 gene:OB07G22260 transcript:OB07G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipases;galactolipases [Source:Projected from Arabidopsis thaliana (AT1G61850) TAIR;Acc:AT1G61850] MLISVPAELRQCVLLEELSLEHNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENSSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQIAISKEENAVRQLISMISSDNRHVVEQACLALSSLGSDISSAMQLIKCDIMKPIEAVLKSFDEEELVSVLQVVVTLTFVSDHVAQKMLTKDVLKSLKTLCAHKNSEVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMTLDQCEEIYTKLGKLVFAEPAPKEEVATWKEKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSSVMTESPSISSVGTPVSGAPVGIKPINTVGAAVSGAPVGIKRGAFMGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLLSIGCGSVPTKSRRGGWRYLDTGQVLIESACSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPSIWLKLEAATDEYIQKNYQDFKNVCELLVPRYQEEEKSSEITKSMSFSRFKPSNSGFSESNPTLGWRRVVLLVEASYSPDFGKKVNHARSLETFCSQNGIRLTLMNNASGFGKAATTLPTPITSPLFTGSFPSSPLLYSPEGAQRIGRIDLVPPLSLDGNAKSSPPTSPLKSWQPSVHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSAMLHGCKRKGVYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFVDSGAKAVISSSIEPPDSQSIVYHGMDVNGNLENGKFVIADDEASESEPEPVSPTSDWEDSDAEKNGDRSKDTDDEYMAQFICLLYDKLFREGVTVDTALQQALRSHPKLKYYCHLPNVL >OB07G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11228199:11230526:1 gene:OB07G22270 transcript:OB07G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAKFQPIRLALFTPAISAAAAAPAQTRPSADAVLSGMGKYQSNTRFVPFRDAPFALRGALGSSNSSFNNMDYLNQSSSSGQARSYTSSPLGAVRPKMSPSGTRPLHTSRPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >OB07G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11236694:11240627:-1 gene:OB07G22280 transcript:OB07G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGACLLVSTAIIKVVWKLRSYLSNQKDSYKGTLDMLDLLESKVQIFCIEHPLHVKPDKQLAAAEWQKNYCTTRQNNAGGSKASHVSFDACDLISAQVVDWLRYDNDNLLRMKSTIGRLASICAEGGSIIDMPNMEETDLVDLNKEGTSVASDISGNETKFTPDDAFVIGRDKEIDMILDMVLHDGRYVTSSLEGREEADKLQISQKGWVIKTLENVDLLDANQVHSEESPYQKEMWSKDALTSTIDLHVELQSIIEGRRFFLVLDDVWDDIHAIWSNLRRILSKGAPGSVVLVTTQLYDVASFMATTCPVVLDPLESYDLWKLIKHYAFAKPCNYQYAEALELIGINIAAKLHGLPLAAKIIGTLLRSNVDEGHWNRLLESWWWKISNKIVCANIISSLGISYCSLPEYLRQCYLFLSIFPRNYVFEKYKLSQMWIASGFIQPNNISGSQRLEDIAGQWFDELVNLAFLGPSGCKTGFVMHDLVRDFALALSSNEFRGVKTVNDSSQILQYLSIEMDDLNVKLSDFEIKHLKPIMFFADSGQCSSSDVPYKVEERPKSLCILDFSCSKWCAPTSYLGQDTGATIRTINAISRLRHLKYLDLSFTGINILPDSICSLCHLQVLGLRGCKFDKLPGNMNRLINLRHLHASSDTIAQINGIGKLAKLQELHEYRVKAEDGHRITELSKINDLRGSLRISDLEIVTDAEEARVANLDQKVYITALELRWSDALSIDTRPYLSNKTLGCLRPPRYLQDLKLDGYSGFDFPEWVGDMGQLRHVRAVELSSCKNACNLPPLGQLEHLKILKLHRLPGITAIDSKFCGSSDVVFRSLEELSFKDMESWVSWTYEGGRDFIPNLQKLQIRSCKHLRKVPFESLGSATKEILIDCGLYDDTGDMVSRYLQGLNGLSRLELCGYNYQWSGSKLFLPCKQLMSLEYLDIKEFEDVCIIGGLWHIRNLKDLRIIGCNIRIAELDEDKQAPIQIDRVMYSLTHLTLGGTKRHVLPVPENVFPHTPSLRNLRLYGLHSLTSITEKWLQHLTSLQELELSWCHGLPSGLASLSSLNRFILKHCGQIHSIPSSSLPGNLKEMRIEGCSHQLEQHCRNPSDEVWQQQGQRMLYWRNSKIRDWPRAKMHEREDRRHPWFHQERTDQANLWSRKHQVDEQSFSEVENPSSVDAQLEEEEQEWLKEEERKFLATMGKDWPSICHVPYIRVNGRIVQNLYT >OB07G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11241171:11244083:1 gene:OB07G22290 transcript:OB07G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESLIVGIVGWFAGSGISTGLGKLRSIYEDHQELKDGAEKNLRKLSTLVHLVRERLREADRRVTAADANFKPSLLVIKGYVSEAEDLLDELEVKKILIKGEVSENLTMDDKCIKRLKTVSEKLDGSRADLESILGILKSHNNYEYLIGLHPRLSTTSKPVHGRDTQIEQLLDMVLHNKSNSVHPPESTEQEEAGGRSCMGHPRLILIQGAAGVGKTTLAQVIYNHHFVKEAFGIRGWVFVHKCAEGTICESVVQSFKAEQQHSSECNPASILEGKRFLLVLDDIQLNFLNIWAELSSILTKGKQGSIVLVTTRDHVAERMGKIIEKNDCISLPHLPESTLWTILKDHTLQEQENESLQSIGQKIAGKLHGLPLLAELIGPLLGQKLNVEHWTNVSDSIWWKYAVDRDNLAFPSVKIVCEYFTLPLKECLCYCSIFPSGYLFEKNRWMHKWMSNFAHQEGIESMKELFLDELISISFLKPVHWKNMYAMHDLLRESIDRDELYDATERGRGKRALDHHRIIYIKGCTLSLIHKIGQLTNLQELEEFHVSEKNQIVELGNLKKLGGQLCIANLEKVSLKDVAHKAELCRKTNLKTLELKWNNSIDPTSSDSDNCRTILEALEPPRELKELKIQGYRGSTFPKWAETNQDFKCLESIHISHCKNLGCLPPLGLIPNLKFLLLADLPSIEKIDDAFYGNYGTAFQSLEEITFQRMSACDGWSALERRKSMPQLKKVTIESCPKLKEAPLHCFRETLLELVLSDCGSILMGEGCLHGFRKLKHLRVCKYSGAINLSCPSLTSLSVLNVSNDGSKIDLGGGTEQLQNLRCLVINGEVLPSNKIKW >OB07G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11245001:11246232:-1 gene:OB07G22300 transcript:OB07G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPASVPLQEIHARTWVLARRSSQRGFFFASTLTIASKSIISKVAGASGKGEITHVAGKGKLIGSVSGGVGAAAHAISGISTVDTERKEH >OB07G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11245419:11245745:1 gene:OB07G22310 transcript:OB07G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSARDIGPVENIRKSKANRRRTPTMLYEELDEVQQQVNDLTLSISGKNSIARKKLLCEDAKALTMMGRQLLEPCDEIADKMLLIRFLRTIKVARFQLHSLLGQQP >OB07G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11247026:11253949:-1 gene:OB07G22320 transcript:OB07G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNMVEVEMIYERTHVRSPIPTPRGRRSSNVLPLGGGRGRRPEDIDRAADPKICATIVKYEKRWRYCVSFFQVDKEDIFNTFTRRRPEKEKVASIIQAIGGHVRDPPLPEDDDDTEVRVVRIEWKLRMDSATNELEDLLADMEAAVEAEKKGRWWWVPWQRCRAATEVVAGWLGSDAKNKVKMELAVGRLAGVYVQGGELFDDIVKYEKRWRYCVSFFQVDKEDIFNTFTRRRPEKEKVASIIQAIGGHVRDPPLPEDDDDTEVRVVRIEWKLRMDSATNELEDLLADMEAAVEAEKKGRWWWVPWQRCRAATEVVAGWLGSDAKNKVKMELAVGRLAGVYVQGGELFDDVRGP >OB07G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11258716:11259450:1 gene:OB07G22330 transcript:OB07G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVSSGYESPWTREVLDAARAGDVERFKHLRRQRGRSSSFAVAQAPARCSAAAAFSPLCHRGADVVPSPGLDGARTCGGNTALHIAAGPGHAHLALFLCQAEWPLLTERNRAGETPLHCAAKAGNARIAGYLLHYLPADAAVVVRAVNRSGETALHEAARGGHEDVVKLLLDKDEDLAGVVSNEGVSALYLAAMSGHEAVVRWLLHRSPEGTKVTPSAVSSAGVDGQTALHAAVLLRNKGK >OB07G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11270921:11275168:-1 gene:OB07G22340 transcript:OB07G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMDDNGWSLPAAIARVVGKLRLYLGNIGDSHKYKGTMKVLDSLEDKLKILHGENLLRVDADRDEEMAAWLRQVKEAAAEAEELVKAMEAEAAAGESSNLLLRVKYTVGKLVGACCEVESLLAVPDLDVDRLESRGDDIASLTPDQPFVVGRDEEIGIILDMVLNDACFVADESGEGRASADGSRISQKGWIIEALRSIDLSDQRKQAAEAAACQKEMGSRVKYTRVQNGSVSRMCNPTIIPIVGVGGVGKTALAQFIYNDSRVQEHFSGQSAWVYFTDSIRKEELMAQIFVSLQPEHNILDHAFSLNSLRIQLQSVTEGKKFLLVLDDVSDEIRAIWGDLRSALKKGAPGSVVLVTTQMYSVASFVGTTTPIFLDSLHCDDQWKLFKHHAFAGDQCTEALESIGRKIVDKFHGSLLAAKFIGASLRNCLHEAHWGRLLESWWWNISSSSFDIHIISSLGICYSELPAYLRQCLVFCSIFPRNYLFEKYELIQMWIANGFVELDNTTGPRILEDIAGEWFDELVNKCFLQPTVWKVWYIMHSWLRDFVIALSSNEYRGVDSTLGDLPLSVRHLSIDMDVMNVTWTDYGIKQLRSLILFSGFCHTNSSKGFNNVDIILDSSYDAVDSISERSYNTTDNDTIDNISDWSSFTFEDEVDLVAIILKRYCDIIGSILNRSRSLRLLSLSNLRANSATACVGDYPLEEDGIAQFVEFTTAHQMLPYLTHLRYLDFSHSGITKLPDSICSLCNLQVLGLRGCRFAQLPRRMNSLVGLRHLHADADTVALIHGIGQLTRLQDLYEYRVKAEDGHTLIELKDMRYIQGSLCISDLQLVANRAEAIQANLGSKENVNCLVLKWDRNQSSRGKHNLYGMKLNQYDRGQKEPLQASLVEKISTPSDMSGCLINPLEIIKPDQDMEILECLSPQRNLQKIHFFGYTGLAFPDWVVQLRYIKVIEINHCTELQVLPPFGQLEHLSKLILHELPSIKDVSSDVYGNSYVAFRSLEILSFESMAKLEKWADAGNEESFSNLQNLQINRCDNLRELPSMSLGLATRRLSLTGCGSYAGTVSRYLERLTSLTHLNINDCSQKLILPCQHLIPLEYLHLSNCKELYFEGGILCLNNLKSLHISGCRKIISDLEEEINQLFSNWEIRLGKDQSLVLKSRLLKLAKDLGTKRRELPLPGSPPKEDCIHALQSLTELTMDNLSQSLNLDNFLCKLSALRTLCLHKIHVISLQQEKWLEQITSLEELEFSCCYVLRQLPSNLAALSSLKKLNMQSCFQIHSLPLKGLPGNLKELQILGCSPILEARCQKLDGETWVEKKRGEWQKETINEYRQKKTCEFWNGWLEYEEEWVKSATGDLNDKGGWLNEEEDWLKCNTEELENNEDVWLKSRGEDWPKIAHIPYIRVNGDIIQNSYL >OB07G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11278876:11282711:1 gene:OB07G22350 transcript:OB07G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPELTVGGRFAGIVISSFVAKVRSIMEHHAALRAAAGDMLYSVEAALPRIRILVEATERRAISSASFAPWLQQFKDAVAEAEDLLDDFETKRIQRALRGKASSAMSLALRFVRNIVLSDGDLERLKDVLTKLNKITSDVTGFHDILKLANDDEGAMRAVLPATPPAVIGRDDEKQRLLNKILLPAADTVPPNPQDGADSSTGVYVISVVGAAGVGKTALAQVIYNDPNVKEAFLLRGWVFASWNCRPRGLEQDIIDSFASEQEENLQRKSVSSEGCLISVIQNKKFFLVLDDVQHNLHDQWGPLRSTLARGANGSVVLLLSQSKEVADSLGATAQIPLGYLPSPVLWRVFEHHAFGNQKRASLESIGKKVVQNLHGLPLLAEATGRLLRQRLDKGHWQKISSSPWWLFSEDEDDVALPSVAIMCEHLCDHLRKCLCYCSIFPSGYLFEKNMLIHMWIASFMQQHDEIGMDEMEKKWFDELFRRSFFQPTIRKNRYIMPDMIRKALCSIAGKECHATSEMGEQKRRLQDYRHLAISFSDYNVHLGLQKDNKLRTILFFDGRRTIKPHEAFANILLHPSGLRVLDFSYTEAKLEMAPHFIYKFTHLRFLDLSFTGVTVFPDSLCKLHLLQVLGLRGCQFKELPRAINELVNLRFLYAEAHTVSLIYKIGKLTNLQGLEEFPVGRMDGHKITELKNLNEISGQLCIGNLEEVASTDIVGDAELFKKRHLKKLVFRWGLAARQPIAASDHFMRTLAGLKPNTNLEELQIQCYMGIEFPAWIAEQQYLINLQRIYITECKQLLTLPPLGQLPSLVILILQGLSAIEKIGREFCGNGYRVFPSLKEVTFLDMPNWRTWSDIEELQDSRIPPFPHLKKVQIKNCNVLIDMPVCCLKASLQELEISGCNEIFSCNPSCLEGLNSLARLKIHHCLGKIYLPCRLLESIEILNLQRCQVYFQGGREHVMKLTRILTKDVHDLSLDETKAVRKELLVLEVLFSEGYHNLPSCFLNNWNSEAYSVP >OB07G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11301410:11311901:1 gene:OB07G22360 transcript:OB07G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFKAVEDDNERFLRRFKDRLDRVGIELPQIEVRYEHLSIEADVYVGKRALPTLLNATINTLEGLISQFVSSNKRTVKILKDVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFSEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQNNIVTDLILKALGLDICADIIVGGSMIRGISGGQKKRVTTGEMLAGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYDLFDDIILISEGYIVYHGPRENILEFFESTGFRCPERKGVADFLQEVTSRKDQQQYWFLEQDHYRYVSVEEFAQHFKTFHVGQKLQKELQVPYDKSKAHPAALTTRKYGLSSWESLKAVMSREWLLMKRNSFLFIFKAFQLLVLGFITMTLFLRTKMPHEKFSDTNKFVGALTASLITIMFNGFAELQLTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIVLTYYVVGFAPAAGRFFRQFLAFFCTHQMALALFRLLGAVLRSMVVANTFGMFVLLLVFLFGGILVSRRDIKPWWIWGYWTSPMMYSNSALSVNEFLASRWAIPINDTSINASTIGKAFLKSKGYFTEEWGYWLSIAAMIGFMIVFNILYVCALTLLRRTDGTENRRSQKGMVLPFQPLSLSFNHINYYVDMPAEMKAQGFTEDRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTNGMIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESLVFSAWLRLSSEVDENTRKAFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGLHSHILVEYFEAIPGVPKITEGYNPATWMLEVSSTLAESRLNIDFAEVYASSALYRSNQELIKQLSIPPPGFQDLSFPTKYSQSFLNQSLANTWKQFRSYWKNPPYNAMRYLMTLLYGLVFGTVFWRRGKNVESVTDLSNLLGATYAAVFFLGAANLLTLLPVISIERTVFYREKAAGMYSPLSYAFGQGIVEFCYSAVQGALYTILIYSMVGYEWKADKFFYFVFFMVGAFAYFTLFSMMLIACTPSEMLASVVVAFVLSMWNNFAGFIISRPLIPVWWRWFYWANPVSWTIYGVIASQFADSDRTVTVPGQSTSVVVKDYLEENMGFKHDFLGYVVLAHFGYVILFFFLFGYGIKYLNFQKR >OB07G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11320308:11336458:1 gene:OB07G22370 transcript:OB07G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGILRKAVEGGDGEGGLLKAGEVDIANLDPREGRELMERVFKAVEDDNERFLRRFKDRLDRVGIELPQIEVRYEHLNIEADVYVGKRALPTLLNAAINTVEGLVSLFGSSNKRTVKILKDVNGIIKPSRMTLLLGPPSSGKSTFMRALTGKPDKNLKVSGEITYCGHTFSEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELARREHNAGIKPDPEIDALMKATVVEGKQNNIVTDLVLKALGLDICADTIVGGPMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNSTVMMSLLQPPPETYALFDDIILISEGYIIYHGPRENILEFFERAGFRCPERKGVADFLQEVTSRKDQQQYWFHEQDHYRYVSVEEFAQYFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSNRESLKAVLSREWLLMKRNSFLFIFKAFQLLVLGILTMTLFLRTKMPHEKFSDTQKFVGALTASLITIMFNGFSELQLTIKKLPIFYKQRDFLFFPAWTFGLANIILKVPLSIMESTVWIVLTYYVVGFAPAAGRFFRQYLAFFCTHQMALALFRLLGAVLRSMVVANTFGMFVLLLVFIFGGILITRKNIKPWWIWGYWTSPMMYSNNALSVNEFLADRWAIPNNDRSINATTIGKAFLQSRGYFTEEWGYWLSIGAMIGFMIIFNVLYICALTFLRPLGGSNAVVSDDAKKNELDNQEQMSDGTSGTNGTENRRNQRGMVLPFQPLTLSFNHVNYYVDMPAEMQAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTTGMIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESLVFSAWLRLSSEVDENTRKVFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGLQSHVLVEYFEAIPGVPKITEGYNPATWMLEVSSTLAEARLNIDFAEVYASSALYRSNQELIGQLSVPPPGLQDLSFPTKYSQNFLNQCVANTWKQFRSYWKDPPYNAMRYLMTLLYGLVFGTLFWRKGKNVESETDLYNLLGATYAAVFFLGAASLLTLLPVVSIERTVFYREKAAGMYSPLSYAFGQGFVEFCYSAVQGALYTILIYSLVGYEWKAAKFFYFMFFMIGAFSYFTLFSMMLISCTPSEMLAGILVSFVLTSWNNFAGFIITRPLIPVWWKWFYWANPVSWTIYGVIASQFADSDRVVSVPGQSTTVVVKDYLKDNMGYEHDFLGYVVLAHFGYIILFFFLFGYGIKYLNFQKR >OB07G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11338067:11338288:1 gene:OB07G22380 transcript:OB07G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDTPSHQTAASRSSPGKSSPNLEPERGSSVRSSRRRLRRGRRWPPRAWASIGTMASARQIHDLFRFTGIFFF >OB07G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11338665:11342411:1 gene:OB07G22390 transcript:OB07G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MLF7] MDMASALLAALAVCVCILASGAMADGQNVTGDGSRPAELRIGALFTFHSVIGRAVKPAIELAVADVNADPGVLPGTKLSITMQDTNCSGFLGTIEALELLAKDVVAVLGPQSSGIAHVISHAANELHVPLVSFAASDPTLSSLEYPYFVRATTSDCFQMDAIASIINQYRWREVIAIYVDDDYGRGGITALGDTLAKRKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGIEVFSAAKSLGMMSSGYAWIATDWLSAVLDSPEHINSDRMQLTQGVIMLRQHVADSDIQHSLLSRWRNLTRNEGHSCLCSYSMRAYDSVWIVARAVEQLLSEGNAVSFSADPNLRDAKGSNLQLGSLRSFNNGEKLLEKVWHTNFTGVSGQVQFTMEQDLIHPAFDILNIGGTGYRTIGYWSNVSGLSVVAPERLHSEPLKSSTNYIELHGVIWPGQTAEKPRGWVFPFHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKAAVGLLPYPVAFDFILFGDGLKNPSYNDLVQKVSDNYFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREVESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVQGLDSLISSSSSIGYQVGSFARSYLVDELNIAEGRLVPLNSPSDYARALDLGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSKNGNLQRIHDEWLTGTECSANDKDIGSNRLSLSSFWGLYLICGFSCVLALLIFFFRIFCQYSKYNNQVGLERHEPEVVTRPARLTTIKSIISFVDKREEEVKHVLKKKPNDCLQPRRGSTGEQSTLPL >OB07G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11360667:11361023:-1 gene:OB07G22400 transcript:OB07G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMMLPSSSSLSSSAAAGCPAYVGVVLGLLAAQAQAQGGRWVSFHGRGRERGDGARVVDDMSGDGVVVVVVAAARARERREREKRMRRRPGEGERETGRGVGVVDPFGRGLAVAIAR >OB07G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11361263:11365431:1 gene:OB07G22410 transcript:OB07G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) TAIR;Acc:AT5G54840] MTTTSTAMNVSKAVTQLCAPGGGSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDREAADVEDVVSLKVSLLGDCQIGKTSFMVKYVGDEEEQNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILFMFDLTSRCTLTNVIDWYERARKWNKTAIPILIGTKFDDFARLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >OB07G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11368223:11369481:1 gene:OB07G22420 transcript:OB07G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWNLLRPDNLVMTNISILSEDPIKVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OB07G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11370633:11372625:-1 gene:OB07G22430 transcript:OB07G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASWATKIAEAPTTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSSPHIIKSGEPAFTVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGRSVSGMNYYACLSMLSLLILLPFALAMEGPKVWAAGWHKAVAEIGPNFLWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQAKQ >OB07G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11386071:11386259:1 gene:OB07G22440 transcript:OB07G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPFGFFFLFLVLCRSLPRSGVGWRLEACWSGVGCYTAIIRAARTGNTLIPLLKFKRLLCCLI >OB07G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11392415:11394059:1 gene:OB07G22450 transcript:OB07G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSGASTVRSVLSCSSSDEEQEAAAAQPEESTWTDYFVDFMMSEEEKKRQEDDASYCTHGDGGDGAVVYGDCSDQKVDQEEEEEGEEDSMISDAASCAPAAAAALPDRYRELRKLKKKVFKALDHDDSLEDTASSPVNSPKVSALSQLELSPKRRCNIRDLTKGVAVCDDHGREMDYGEAMVEGVGFVDQSQKSITPCAELKDKGLCLFPLSMLLHYHG >OB07G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11407719:11414289:1 gene:OB07G22460 transcript:OB07G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIIAALAMVFLFGMELSNYLAVSTSTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPTVSKHGDDVEENNEDGSVSLSSRNFESYSHQYPVLVVNFFAPWCYWSNRLKPSWEKTAKIMRERYDPEMDGRIILGKVDCTEELDLCRRHHIQGYPSIRIFRRGSDLKENQGHHDHESYYGDRDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPLTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSAKMYNELKRLTPYVGVHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRSSKELKLVEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >OB07G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11419607:11429675:-1 gene:OB07G22470 transcript:OB07G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRPALICCCALLAAAAVLAAVLVALCFTVFRPRSPRVSATVVGTRVSSFALLPAPALNLSFDVAVEAYNPNRAAFEYGEVVTVVRYHGDGVGRAVDAARVASSPYFPGEAIAGALPFETATTVAGRAVVLRVLRIRARSDVTCGVTVYPFRKESTPPQCASTVHVG >OB07G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11419742:11429675:1 gene:OB07G22480 transcript:OB07G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRLERQRAGDRLPGEVGRRRHPRGVHLHRRVRRPPTPXXXXXXHRPPHAVAVVPHHRHHLAVLERRAVRVVRLHGHVEAEVQRRRREEREGGNPRAHDRRRHARRPRPEHGEAERHEHRGEDGGGGEQCAAADQGRPLPGH >OB07G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11432298:11432921:-1 gene:OB07G22490 transcript:OB07G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKSGTRKEHKAACCCLAGVVAVLGVLALVFYLVYRPRPPRVVATPVEVTIELFSVLPPKLKAVVGVHVVVSNPSNSPYRYGEALASVTYHGEPVGASVVPPGEVGGKATKVIEPATVVDGVKVAESPHFASDAVAGVLPFVAVTRVEGKALVLQSFEVSVSVEVVCYVQMYVFHGESSSSCASTVRTATETISSGPSGRAAPNT >OB07G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11439606:11441394:-1 gene:OB07G22500 transcript:OB07G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MLG8] MGSGKVYATVVLVRLIYAGMHILTKASFNEGASTTVFVFYRHAVAAVFLLPFAYFLEIRKKQAPPLTLRLSGKIFVHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNMKTTHGMAKASGILLCVGGVVVLALYQGPELRSFNHHQLLRHAGAAARAHSGKDWALGIFLMTTSVVIWSLWTVKQGPLLLEYPSKLMNTTLQCVFASLQSLVIALVLERDFSRWMLPGVVSLVGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMVIASFLLGEDVSLGSIIGSLLLVAGLYNVLWGKSREESSGGGAVAGGGGGEKEVVAAAASSPADVVMAKV >OB07G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11452450:11455392:-1 gene:OB07G22510 transcript:OB07G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MLG9] MGSGGGSGAKAYAAVVLIRLMYSGMHVVSKVALDHGMNPLVFLFYRHTTAALVLIPAAFVLERRKAKPVTFKIAGKMFIHALYGVTACGDLFNLGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKFHGNVKAAGILFCIAGVTVLAFYEGPMYKSFNRHHFFQQGSSSSSAGDAHSKKQWVLGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQICCASVQAFVVAVAVERDFSKWKLGWNVELGAVIYSGVVVTALSYYMQMWTIAKRGPVFLAMSMPLTFIFTIIMSSFILGDAVSLGSIFAGILLIGGLYNVLWGKSIEEKDEMNKIGAGKTALELHDSEAQVPAESADTDAAKV >OB07G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11468752:11475841:1 gene:OB07G22520 transcript:OB07G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGIGGGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSADGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSQNTSDSTSTSSKNAIEVDDSATRITDKHIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASSHKSKISKSKLRGVRHFTKRDKDKDNTKNGAEDTEGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQAKKPQTVLFNLTG >OB07G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11475663:11476288:-1 gene:OB07G22530 transcript:OB07G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSQTVLPETEHVLNMRLTEHGLDGHISIKDLIPLAAGKAEENLTDAFRQLGLAAVEWNDMFWAVHPGIPSILDRIETALRLDPGKLAASRRVVREYGNMLGASLMFVLDEQHRRMEEEGDGAEWGVMMGFGPGFTIETMVLHSPEGIRTYMVHMKL >OB07G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11489849:11490199:1 gene:OB07G22540 transcript:OB07G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCGGRRSVTLVESEGEDHGFHLYSPLRATSRKLMDAVVQFINHQGVFLGRLDSQARRGPIATQMNSSVTVGQTRVSKRGFGLFPTWAKPNKFRASNGPLLASVSWSAMSTKSLF >OB07G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11497516:11498751:-1 gene:OB07G22550 transcript:OB07G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAVDELRRAQRAEGPATVLAIGTANPANCVAQEDYADFYCRVTNTGHVDGLKHKLNALCVSASGSQKRFFYHTEEMISAHPEFVDRAKQSLDARLELTVLSFREPDRAHTVTCQALFGDGAGAVIVGADAVRPVEHPMFEIVSVGQTLIPGTEHVITMQLTEHGLDGDIAAKELVPLAADNVEQCLHDALGPLCLDGGEWNDLFWVVHPGSPLILDHIERALGLKQGKLAASRKVLREYGNMLGSTLIFVLDEQRRRMEEEGDGAEWGVMMGFGPGFTIETMVLHAPERRNKN >OB07G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11511617:11512113:1 gene:OB07G22560 transcript:OB07G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNGHAASDVAVNLYPFIRKYTDGRVERLLTSTYVPASEDACRGGVATRDVVIDPGTGHPIPAAYDDAWAAFRWVESLSDPWLAEYGDRGRTFVAGDSAGGNIG >OB07G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11512537:11512851:1 gene:OB07G22570 transcript:OB07G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXGSPKTILSELDEWRSTYDDGAAPNSSQILLGMPTRAYKAIFIDASEKKASTGHGSSNTAVVASLTIGRSRSASKTSYGIPWSATTAAHSYRGVAAAGSL >OB07G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11524764:11530183:1 gene:OB07G22580 transcript:OB07G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MLH6] MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDHGMNPYVFVFYRHGTAALVLIPATLILERPKAKLVTFKIAAKMFVHALYGVTACGFLFNVGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILSCITGVTVLAFYQGPMFRSFNHHRLFQKGSSSSSAAENTHSQTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTTLQISWAAVQAFVIAVIAERDFAKWKLGWSVELPTVIYSGVIVTAVSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDATSLGSIFAGILLIGGLYNVLWGKNIEEQDELKNICVAKPGLELKGKEPQVPDDDATTKV >OB07G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11546994:11550211:1 gene:OB07G22590 transcript:OB07G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAAADGSLGMVRLLTKTYRNDDEDEEVPVLGSCTGRGGRTVLHAAVLTSNEMAQGLLQWNPTLVKEVDDSGSTPLHYVASVGNIPAMKLLLGYDTSAAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCPDCDEMLDSKGMNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAIKNADQMIVSLLMSNKAVLPNIVNNKGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDQFIDEFNIGKTSGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVLAFALGAYVVLSPVSERIALLVCLSTFTTLLLRNPSNWQLGFLFMPIKRRLGWRGAFQAHLPQETRSRLTVGVGSNFASLIFWRMLGMLSTYSFIFLLALL >OB07G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11552898:11555983:-1 gene:OB07G22600 transcript:OB07G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEVDLADCFSVSGPVHMMVKNAAGSASRLVDIDWYKEDHRRCVAACLVKGVYMLEDDINQRRVHTNNQLAPPWWESFGFRRVKEIKDDHNGVDKFIIGAIYEHVSPHSEPAHPARGMW >OB07G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11565514:11566767:1 gene:OB07G22610 transcript:OB07G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNSKNKSDYGLEDFFQEVQEIEIFLDKMSNINHKLQEANEESKSVTKASLSKAIKRRMEKDIDEVGKITRNIKVKLEEMDRNNLENRKMPGCGKGTGVDRSRMSMTVALKNRLKDRIKDFQVNVRV >OB07G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11568017:11568283:-1 gene:OB07G22620 transcript:OB07G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVDTDKARALSNICLPEFSKEPVLTNNTRYINCFFLVFYLAQGFKIESTTIAAMTRSMMMAMNMHFLDFFCRLFASRSASLPPCR >OB07G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11567800:11570634:-1 gene:OB07G22630 transcript:OB07G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase [Source:UniProtKB/TrEMBL;Acc:J3MLI1] MEEEGRFEAEVAEVEAWWGTERFRLTKRPYAARDVVLLRGTLRQSYASGDMAKKLWRTLKAHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSLSRAERAHEPYVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLATILSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVKDAIASLNTTDQHKQRKLQEWSAATSYDKCVSLERARDIAASLGVTSVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPDIAECTTFAEGVKAASPGTMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGGISSTAAMGKGVTEEQFKGSWSGPGSESISQHVAAKSRM >OB07G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11624615:11628096:1 gene:OB07G22640 transcript:OB07G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSQILDASSPSHPGCARQFPRQILSLALPTRQFLSCHPSGDCALSSIFSPSFSPLLDGSRSSLIAGAIDEGCSNLALPCMGDARCKMSRRSRREVAPPVAAAAATDVAGCFDSSIAASVQIDGAMDVPPKAQPAWTNGPMPKTNSFGYASAPDCGMDLRQFLGYFQIGQQPFIRPHPNVSFPPPWPPTAPTTNSGTSKSNSKTVINVDDGDDVRTAKRLPYEIDEDSRLATSIYTSGYSEDQLKDIALKFYLDDYPKKGPFTLLHCWKILRDEPKWHAILEEPDKSNKRSWDDGDTVRLEDIGEKERPMGRNEAKKQRNNKGKFKDDDPSLHEEMKKYMDIQVVASKRHEEFIETQQRISDAKVEAARLRREFVLLKSYQKLLTMDTSQMTDDMKAEHVIGLKILKDKLLGNTKQNILANMSDESDDSIDPAEIYTPDMFMAEQSVLNSFAGRIDAKIIAKFDEGPSRRISGQRKYINRNHEGAHEQLVADYFAEDPLYSDAMFRRRFRMRRHLFLHIVQELGRWSPYFTQREDCTRRLGHSPLQKCTAAIRMLAYGTAADALEVAWKGQYTRGDQKHPTIILEAVASYDLHIWHAFFDIPGSNNDIYVLNQSPLFIEAIKGEAPRVQFTINGTEYNTGYYLADGIYPEWAAFLKSIRSPQLEKHKLFAREQEGKRKDIERAFGVLQARFNIVRRPTRSWSQKVIRKIMQACVILHNMIVEDEGEMAEHPIDLNTIPGA >OB07G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11634144:11634775:-1 gene:OB07G22650 transcript:OB07G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREGPIFFAVGLVHYIVLLVMLYQRAPTNVQLPKEELQPVFFLFTAVPTVASIGPWVRLTGEFSFGARIAYIVARFLYMSLAVRVNMFRWIRFSLARWAYTFPMMSAAIATVLYASEVTSVVAVVSRSLAVGLSGIATVTVTGVRKDLFPNDVPIAITLRTQVQQDLAHLRSSGADAKELVFSISKNGASNSDDSASAVSNDSNSSG >OB07G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11640076:11640684:-1 gene:OB07G22660 transcript:OB07G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGVSTQAMLWKTLATEPCTAFLGASPDVNHALWWLSVVFMVLVSAIYLLKVVFYFEAVRCDFHRPIRINFFAPWAWIACLFLVKGLPRPVWTIHHVVWFLLMASIFLLDLKVYGQWMSGGEPQLAVQGGVTSADYDDVELKGTEEEAGRRASSLRVRRPNSRVIGPCKPACVWSGWPMRQVPCLLNTGGVRQNGLG >OB07G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11641696:11642996:1 gene:OB07G22670 transcript:OB07G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPAMDATQGSTRSAFLYPQLTTTNYTSWVIRVQAMMEDQGVLEAVEPAAGADVDANKCKKARSLLLRRVCGSQIRHYSSRAAASDPPSRTTASDPPIYVAALLSSPPAHRIGAKAAAAVQRKRDEGGGRIDRGEVGAWQRDEDDNGVGCDADLSRALDQDLRRWNYGPA >OB07G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11648929:11650206:1 gene:OB07G22680 transcript:OB07G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MLI6] MAGSCSKTTVLLILVVSAHANFLRIEAAAEIGTAAGWVRHKLALLGGATTTGTGSVDGKGINTAVVAKDGTGNFTTIGQALEAAPPGRGWYGVFIKAGVYEETVNISRHSVVLWGEGIGKTIITGRRYSVRQKHTDMPWTATVTVTGHGFIAQDLTIQNTAGPLVPASPALALRSDSNKSLIHRCRLEGHQDTLWAQNNLQFYLRCDIAGTVDFVFGNAMAVFQECRLLVRRPPGATHNVITGQGRDSPGHASGFVFHRCNVTAMDGDNLTGVDTYLGRPWKNHSRVVFMESYLSSIVNLTGWVEWDRGHPVPETTKTVEYLEYGNTGPGAKTNHRVNWTGFRVLTAAEASRFTVDNFINGNRWVPSFVNGQQINYTRTV >OB07G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11657897:11659481:1 gene:OB07G22690 transcript:OB07G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine thiazole synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3MLI7] MAAMATTASSLLKTSFAGARLPAAARSTSSVSVAPRASICNSISSSNPPYDLNAIRFSPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMRALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIKKAPSATHPELILASKDDGETVDA >OB07G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11664955:11666492:1 gene:OB07G22700 transcript:OB07G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 8 [Source:Projected from Arabidopsis thaliana (AT1G11545) TAIR;Acc:AT1G11545] MGSRTGMIVAAVCWWCCLVFSREAAAADPSFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKQRYLFGWFSMNLKLAGNDSAGVVTAYYMCSDVDAAPERDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWVDPTADFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNTFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKAPFISSYRDFTADACSWGAARPARGLRLGGAEPRHLRLLQRPRAIPLAVGGVRAPDNQLVRPPAHRRHAAAAVHRRSMMCGQDRIGPPIVRLRFLLDRIGHRVTL >OB07G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11670777:11672188:-1 gene:OB07G22710 transcript:OB07G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >OB07G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11676835:11685816:-1 gene:OB07G22720 transcript:OB07G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosyl-DNA phosphodiesterase-related [Source:Projected from Arabidopsis thaliana (AT5G15170) TAIR;Acc:AT5G15170] MSSSSQVRVGTLVPIAEGNAGSSNGTVLSIPIYLGANVIGRNHLVVVDKRISRKHLSLHATADGSTEVVVEGPNPVVVRSEGQRRKVCAQERVKIVHDDVLELIPGEYFVKYVNVGDDRRSSALMGSSELKKGKRHSEEDSVVVKRNRQIMEDEALARSLQEGFAEESATISGLGCDQISRPLDSTGSSERIQSVGPLKDVLSLTLRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMVDIEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIYVDWNNKTQGLWMQDFPWKDTKDVNKNVSFENDLIDYLSAIKWPEFRVNLRVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCTFEQQFCKSPLIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWKADHVGRCRAMPHIKSFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLQSVSQFSCTGKNNLNLAPGKEIKTKLVTLCWKSADEKEPSTEIIRLPVPYQLPPKLYETEDVPWSWDRRYTKKDVYGSVWPRHG >OB07G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11692434:11692868:1 gene:OB07G22730 transcript:OB07G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKDDIDFLEPSVLLDETHYQAGFKNGYSDGLVSGKEEGRQVGLKNGFQVGEELGFYQGCVDVWMSLVSIDQNAFSARVRKNIEQLAALMRSYPLSNPEDEQVQDIMEKIRLKFRVITASLGAKLEYQGHPTSSKQDVEDL >OB07G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11693333:11695350:-1 gene:OB07G22740 transcript:OB07G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWTTLTGCLVLLIAERLLANDVTDYIRFRAVCNSWRQHTEDPRVGDGLEPRYLPRSWIMLEEAPVAPFRHRFLNTNSGGVITVDIPELEDCDVLGPSLGGLLTLREKRTHALGLFHPLTSYITQLPPLVSLLHPVANDPTLVEPEYHQPTAIGFSDGWRTVAIFCKMVDKVAVAKPGDWNWRWISVRPYLMKSAASVAGYFFLVSKTTLFELSSDGAHGHGLPRVTPVADVPVPGGVVPSSCRHTLVEDDGDRLTLVKEKNRTSEESDRLDKFFSEVNITLIVSRKA >OB07G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11701093:11709098:1 gene:OB07G22750 transcript:OB07G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVVGVGRDEKAKSIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGVDDMDRLIEIVKQAAKEGALLLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFSQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRVAPIRVYDYLSEMVNTHVEYQKIFARDF >OB07G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11706355:11710060:-1 gene:OB07G22760 transcript:OB07G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQAVLAVSDLGQSNLDASPVTGAGAGGGGGGGGFIALDVSALSSLASEGQDTAAATATATAAPPRTPRTPKVVRSLSRKGERKPAADGDGNGAAGTGKRPPLSPLFVHVAAADDMSGLRPVHTPVAGTPGGKSRRLGRQPAPWLDPRRVVFFFATLSSVGTLILLYFTLSMSKMGGGGGGGGSDAR >OB07G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11711633:11713336:1 gene:OB07G22770 transcript:OB07G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTPLDESRNIEKQSKKYQCETRIGFEMNKKTVLKNKSIICNYIFLEAVDRLEQLLGQLLAEAVFGKAAAGRSCPKQAHCVDECPKLLLAHPYE >OB07G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11721201:11721584:-1 gene:OB07G22780 transcript:OB07G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWAGEDDGTGEDDYWGAAAAEFSGGVAQGALSTRKEKDGGGGGASSPTRRVRIRMTKGQLRRLLDGAGRGSAVEDVVAEIMSMGDVHVEPVEADTHGPPPSKLETIQEDMDE >OB07G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11733848:11735486:1 gene:OB07G22790 transcript:OB07G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MLJ7] MQQRQLMKLLALSAVAVVVLSAGTAVSTCDKLKVGHYRQSCRNAESVVRDTVKYYFSRDQTVTAPLLRLHFHDCFVRGCDGSVLLNATAASGPAEKDAMPNQSLDGFYVIDAAKAALEKECPGVVSCADILALVARDAVSMAAGNISGPSLWQVPTGRLDGRVSSAAGAREAVANLPSSFADFAALKLNFAKKGLNVKDLAILSGAHAIGNSHCVSFAKRLYNFTGKGDADPTLDVHGYAALLRAACPPQFDSATTVEMVPGSSTTFDTDYYKLVASHRGLFHSDQALLQDGEAAAIVAAMASTTKQDFFRRFAVSMVRMGNVGVLTGTAGEIRKNCAMVN >OB07G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11733848:11735340:-1 gene:OB07G22800 transcript:OB07G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRPGAAPGQSGTAPCGWPPACSSPCRTSSSSPAPSRRWWRCRTAAGRRRGGALRNGVPGYQGQDVGAGHDAGALLLQRRLGGVDDVEAVEALVRHRVLLRRPARRRRVDGVAHDGLGVPARLPVVADLELVAGGHGRAGGQHDDRDGAERQELHELPLLH >OB07G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11739670:11740266:1 gene:OB07G22810 transcript:OB07G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLSRRERPEVRCINFLCAVLLTLVLVAGIIMFVLWLSLRPHRPKFFLDDFAIPNLNRQSGAVNLPVSFTVGEHNPNQKVGIHYDEIFGTVYYNDLVVASGTVYRPFYEPPKGDTPLRGQLTATGPTPGDPAWQRFAADAAAGSVALRLLLNSTVRFQVKVWDTKEHHMKVDCEFRLRGDGTLQQEGKNKQCTLYF >OB07G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11740889:11745280:-1 gene:OB07G22820 transcript:OB07G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycerol-3-phosphate acyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G60620) TAIR;Acc:AT5G60620] MASSSVAGDIELDRPNLEDYLPPDSLPQESPGNLHLRDLLDISPVLTEAAGAAVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGILFPLRGLTLLVGWIAFFAAFFSVHFLFKGQKMRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLKEGETAIQFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLQRLEES >OB07G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11745620:11751068:1 gene:OB07G22830 transcript:OB07G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NUC153 (InterPro:IPR012580); Has 3663 /.../t hits to 21323 proteins in 1057 species: Archae - 109; Bacteria - 2369; Metazoa - 13796; Fungi - 4858; Plants - 1657; Viruses - 489; Other Eukaryotes - 13360 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G01160) TAIR;Acc:AT3G01160] MAPPANSDELARSRKAEKSRYREERERKKGKHERPAATGDAREGKGKKRKEKEKDGKVEEEEGEHGKRRPKERKGDGEAVVVEAGGEETRRGDDKVRRAMEDERFAAARTDPRFRPMRRKEAKVELDSRFTSMLTDPRFSSSAAPVDKHGRRRKKGENPMVQYYLNQEEEEVEKEKAKAKLIEEDEEEEAEEELQDKEESSSSDDDEEDEEEDDDEVYSVGSDVAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVSSVSIYPSEFGLKCMKIESTKGPAALVDANGNDGENSDDNDDNEEEEEDSSDTEHDSEAENNKLRSYELNRLRYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPNYKEPNFETRALQHSRVKLTWDDDEPERKKVLRRKFNDDQLDDLDMYLASDYSASDDEGADNHGDESLQNGSKRKLTREERLALLLGGDKSEEEQTEGEDMEITFNTELDGLGKRILDRKISNEKTVWEKHQEKMKEKRKARKKMSKDDDGYSSEGGSDEHDDFFDEEMSDDEIRTEKKQKAKAKHKAKRKGKDKLPEKHLEDEATREELELLVATDKDAAKSAKGYNLKRKKGKKGKKGKEQSVEDELPEIDLSKDERFSAMFTSHLFALDPTDPQYKRSAAFMCKQAGRKGTQEPSPRGRDALPPDDVPTDTDQRPDGTSTEKLDTISAVTSLKRKLTAFKNTSTSD >OB07G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11752332:11758530:1 gene:OB07G22840 transcript:OB07G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGAHDTVRLDGDLLRALTTGDMVRLEELLGKAGHGGGGDHPGDGNGNGSPPHRKIPQADGLGLELAINLADGDAAPVVVEAALAGYPRRCIAACLSSMARWSCILGVTSNGNTALHLVASRGHADLAEIICKQAPSLVAAPNESLDTPLHCAARAGHLEVVTRLLNVPTDESAAAELAAAAEAALRVRNCLGATVLHEAVRHGHTEVVTHLMTRDAQLASVTSDDGVSPLYLAATTGSVPMVQALLRPSGDGTRSPASFAGREGRTALHVAATKSAELAAEILTWEPSLLTRVDSAGRIPLHFAIQHGKLGVIRLFLKTEASVARVCDGEGLFPLHRAAIAGERVIIDEIVGKCTDFHELVDNRGRNFLHCAVEHGQDNVVRYICQDIRFAMLLNATDSDGNTPLHLAVKYAHPGLLSSLLQSATVKIDIINKDGLTAADLARRALPRGRSFYFLDPHALIWDCLHCVRAPDTIDGVPHLDAAGDESAEGEKAQNEQDDMRKTGTIASVLIATVAFAAAFTVPGGFVADDRPHAGTATLARRFAFRSFVVSDAMAFAFSIVATCFLVYAGAKDIPRSHRLWYSSIASGLVPLAAQFLIAAFGFGFHLVLGMANRGLMIFVYLLCSASVLLCFPGIWIPWHLGLGKAILRRVGWRGLASVHSRPSSFEQLCYSLSCSFLFANIRRPLFAVLITATFLVAIALDVALPNY >OB07G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11760606:11761912:-1 gene:OB07G22850 transcript:OB07G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALILLLLLLLPQITLAGDLHGFRATLSRIHQLSLGKHAEAVRRDSHRIAFLSAAAAAAGEAATNSSVSFKAQLESRAGGYNMNVSIGTPPVTFPVLADTGSNLIWAQCAPCTKCFPQPARPLQPGSSSTFSKLPCSSSFCEFLPNSSRACNATGCVYYYPYGSGYTAGYLATETLEVGESSFSDVAFGCSTENGMDNSSGIAGLGRGPLSLVSQLGVGRFSYCLRSDGDAGGGDSPILFGSLAKLADANVQSTPFVKNPESRPTFYYVNLTGITVGATDLPVTASTFGFTQSGGGTVVDSGSTYTYLAKDGYAMVKQAFLSQMANLTAVNGTRFGLNLGVNIDAGAGGDVLVPRLVLRFAGGAEYDVPSKGYFAPVEVDSQGRVTVACLTVLPTTDNLPVSIIGNLMQMDLHLLYDLDGGMLSFAPANCSEV >OB07G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11766947:11767981:-1 gene:OB07G22860 transcript:OB07G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIGTPPVLTFSVLADTGSNLIWAQCAPCTECFQRPTPPFQPGSSSTFSKLPCSSSFCQFPMTNPYGTCNATGCVYFYPYGTGYTTGYLATETLEVGDASFSSVVFGCSSENGAGNSSSGIVGLGRSPLSLILQLGISRFSYCLRSDMAAGASPILFGSLAELTDGNVQSTPLLTNPAAPSSSYYYLNLTGITVGPAKLSVTSGTILDSGTTLTYLVEDGYATVKQAFLSQMANLTAVNGTSFGLDLCFQTTGDGGEVPVPTLALRFRGGAEYVVRRRSYFGVVAVDSQGDVVVECLLVLLSSTNLSISIIGNVTQMDMHVLHYLDGGTFSFAPADCAKV >OB07G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11771228:11772559:-1 gene:OB07G22870 transcript:OB07G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAAAILGLILLLLPPITLAGDDLRGFRATLTRIHKLSPGNHSEAVRRDSHRLSFLSYATAVGKASNSSSSVSIKALIENGAVSYHMNLSMGTPPVTFPAMIDTGSDLIWTQCAACTKCFKQPTPLFEPARSSTFSKLPCNSSFCQSLPTSFRTCNATGCVYNYRYGVGYTAGYLATEKLTVGDASFRNVAFGCSTANEGTRDNASGLVGLGRTPLSLVSQLGVGRFSYCLRSYKDAGDSPILFGSLAKLTGANVQSTPLLRHPDMPDSSTWYYVNLTGITVGSTDVPVTSSTFGFTQTGLGGGTIVDSGTTYTYLAKDGYAMVKQAFLSQMANLKALNGTRFGLDLCFLPSGGDVPVAVPSLVLRFAGGAEYVVPRDNYLADLVDSRQGNVVGACLLVLPAKDGWSISIIGNRMQMGMHVLYDLDGEKFSFAPADCAKV >OB07G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11776028:11777598:1 gene:OB07G22880 transcript:OB07G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGTPPVTVQAMVGSSDLCWVECSPCSGCGSGSTPRAAGARLYDRANSSSFSPVACTSRSCKILQKETRTNCTGDAACGYRYVYGATDTDNNYVQGILGTETFTFGSSKEVTMQNFVFGCTNTIYRNDLFNGHVTLSSAYISQVCAIGLGRTNLSLVGQLGLERFSNCLSSNPKVASPILFGSRAQMTGGGISSTPLLYHYMDYSVNLVGISVDGARLPIPNDTFALDPKTGAGGFSFETGAAATLAVDPAYTAVVEAFRERISKTHRVVNGSSLLCFLVDGGASGDVAVPSMTMHFDGMDMELRQKNYFASGKLESGDGAMCLMIGRSKTTSIIGSVMQMDFHVLYDLKNSVLSVQRADCSKI >OB07G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11778144:11783483:-1 gene:OB07G22890 transcript:OB07G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPNRGVRLELTHADDRGGYAGAERVRRAADRTHRRVNGLLGSVDEPSTGKLRSDGAAANVHASTATYLVDVAIGTPPPPPAAAVDVPRLVLHFDGADMELPRESYVVEDPSAGVLCLGMVSARGMSVLGSMQQQNMHVLYDLRRGLLSFEPAKCGDL >OB07G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11787529:11788852:-1 gene:OB07G22900 transcript:OB07G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIDGMSVKMAASLRVAVALVCAAVAVADAAAGGVRCAPCGKQCFKQPSKLYNPSSSPTFRVLPCNSSLNLCAAEAALAGATPPRGCACRYNQTYGTGWTAGVQGSETFTFGSTPAHQVRVPSIAFGCSNASSDDWNGSAGLVGLGRGSLSLVSQLAAGMFSYCLTPFMDTQSKSTLLLGPAAALNGTGVRSTPFVPSPSKQPMSTYYYLNLTGISVGTAALSIPPNAFSLRADGTGGLIIDSGTTITSLVDAAYRKVRAAVQSLVKLPVTDGSGATGLDLCFALPSPTSTPPATLPSMTLHFGGADMVLPVENYMILDGGMWCLAMRSQTDGELSTLGNYQQQNLHILYDVQKEMLSFAPAKCSTL >OB07G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11791097:11791369:-1 gene:OB07G22910 transcript:OB07G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIFPTQAAASPPAAAGVRVRQAEQRSPREEGTLVLGRAYTGDNAHAAAPGGVRRRAWHGRRTTFAIERAGKGQRRRDRLRRASTGGG >OB07G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11791164:11796116:1 gene:OB07G22920 transcript:OB07G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 15.0 kDa protein [Source:Projected from Arabidopsis thaliana (AT5G52970) TAIR;Acc:AT5G52970] MANVVLLPCQALRLTPPGAAACALSPVYARPRTRVPSSLGDRCSACRTLTPAAAGGDAAAWVGKIGGAIPWKAAVSGALALTLSFTCFVGTVNAKAGVNKPELLPKEFTTVIDVAGFLSAGQENRLRQEIEDLEKETGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFYWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >OB07G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11800944:11803946:1 gene:OB07G22930 transcript:OB07G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGSLAVSLVAGATELHSATGAVGTGSDRVYGAVLCRGDSTGADCGRRLREAFDRVVNSSGSGATVCALRRDVALYDELYHLRFSDQDFLSAFSNSPEWVDFTNLNLVPANDAARFEGVVGELLGALADAAARQPERYAADEARWPSQERDRTVYGLVQCTRDMPPERCRACLDGVIAERRKKIGGGEMGGAIHGVRCSLRYESDNQFFTATGQKKGHATMIVATVYSLSIVCTRLFFWFLSIRRKQKRAIINSMEQTTNMDEVMRLWKREDSGSEFSLYDFNQIVDATNNFSASNILGEGGFGPVYKGVFPDGQELAIKKLAARSRQGLVEFKNEIQLVAKLQHKNLVRLLGCCVHEEQKILIYEYLPNKSLDHFIFDPIKRASLSWKIRTKIVEGIAQGLLYLHKHSRIRIIHRDVKASNILLDSELNPKISDFGMARIFPLDASQAKASRLVGTFGYMAPEYASEGLLSIKSDVFSFGVLLLEIMSGRRSAGFQHYGEFQNLLEYAWGMWKDGRWYEFVDESFGDEYGQGDMMRCLMVALMCVQEKAVERPTMSDVVVMLSSDNITIPEPKKPAYSHVRVDVSRDVNASCSRNDINITAIDGR >OB07G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11805073:11808817:1 gene:OB07G22940 transcript:OB07G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSLLVLFISSSVLSAAQELCSDYNGAMYMPNSTYRSNLISLAATLIATATELHSATGMAGTGPDKIYGAVFCRGDSDGSNCRKGLTEALDAAINSKNGNYYFPQNKNVAYYYDQDQAQIRFSNHNFISNFSKEPVCTVNTNLNAVIASVAKQYEFEDLVTKVLRALADAAVSRAERYAVGRQRFEETGQTVYGLVQCMRSMPSEQCMDCLNGIISDRKSKISTAQMGAAILGVWCTLRYEMDTQFFSDTKMLLLDVLKSEHKGKKAFFRRENTALVSIGGFILVVSLSCLLFHVWIKTQQQREQALSKLQRLSSVIKTVIYLWRTEGTNSDFFLYDFSQIKEATNNFSIDNKLGQGGFGPVYKGQLSGGLKIAVKRLEICSLQGLLEFQNETQLIAKLQHKNLIKLLGCCTQGDQEKILVYEYMENKSLDFFIFNNVKGAQLSWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMAKLLYSNMAESNTTRIVGTHGYIPPEYAFEGVCSTKSDVFSFGVLILEIVSGKRTAHIYQHNGKLYNLISFAWQLWRDGKWGDLVYCPPGNKHQEIERCIHVALLCVQESAECRPSMEQVVMMLNTQNVSIPVPMQPAYFNVNPSEEEVSSCNITVSITLER >OB07G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11817972:11823015:1 gene:OB07G22950 transcript:OB07G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTLLVLLLSSPEPLAGEVQPPICSNANTMYTPQDAYRSNLISLARTLIAGVAEKRSHSAGRTAGTGPDKVYGAVLCRGDSTGEDCAGRLPQALGKAMNDTAGGGSSGHSQKAMSTFYDDDYQARLSFSDEDFLSGFTNAPECTLRAYLNPPPSTDDPDRFTKLVAELMEKLVPAAAERPSRCSTGQGWFDPRGKIVYGMAQCTEDMPAAACRECLAGVVEQGRNTSGLTTGGAILGARCSLWYQTGIKFFAGDPEVSLHMPTPKQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSGENKLGQGGFGSVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNKSLDVFIFDVVKGTKLNWPKRLHIIDGIAQGILYLHNHSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYSYDGKLYNLISYAWQLWRSGQGHELVCFGIGNDHQVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPKQPAYFYVRSSGSDASSCNSTISITLAR >OB07G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11834847:11835317:1 gene:OB07G22960 transcript:OB07G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKDVTVYHDQYQLRYSDQDVLTGAVNSPETAAWNMNNVSDPSNVAAFDALVAQLVNAVADKASNASRRYAGGQAGFEPEMMNIYAIAQCTPDLSPAQCRSCLAGIIGQMPKWFSGRVGGRILGVRCDFRYEKDLFFKTTNDMVLLTPLPAPPQG >OB07G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11840838:11841496:-1 gene:OB07G22970 transcript:OB07G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANGVAAELYAPLLLRLLIHRKHPKNPKRSTATGTTIATIQIPVLLLPPLLPACQIHVTNSCSNPQKNVTCIMLEFDKSYMVATHATRQMMMKQSVSVVGPPAATARQVPNAKRPMAKPWLASEWSPPRRWTTTPSRRSTAREKGIDS >OB07G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11841565:11843497:1 gene:OB07G22980 transcript:OB07G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVSMPTMSMEMEQVLKLWRIEESGSEFSLFDFDQIADATDNFSDANKLGQGGFGPVYKGELPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQAEEKMLIYEYMHNKSLDCFIFDTEKGATLNWDKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQDGQWHELVDPALGEDFPAAEVMKCVQVALLCVQDSADDRPNMSDVIAMLGSEGVTMPEPRQPAYFNVRISSLAVSSSSFGESYCMSNVTLMDEEGR >OB07G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11848136:11849242:1 gene:OB07G22990 transcript:OB07G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPMERNPADGLFDELIFEILRRLPIRSLCRCKCVCRSWRGLIAEHEHRKRLPQTLSGFFYRSMNRERCPVSAYHFTNVTGRGAPLIYPSFSFLPPCAGLTVLDCCNGLFLCRCDVSLETMRFNYAVCNPATKEWVMLPESSCDVFETRIASVCFDPAISSHFHVVGYVEDEDEYVRRLEIYSSKAGSWSLHESGWDDLVYLDPSIDRRSVFLNGFLHSVTSADIVAVDMEAKKWRRIPLPDVGGDTGVIHKSQGRLCAFIHLLETFKLSVWVLEDYGTDNWIFRHTISPLSLFGGMNYRLDVKYHVVAAHPDCNLIFFVYGSNNILMSYQMDSKKLRVIRMLGHDLYWPYLPYVPLFSELLTNGH >OB07G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11852573:11855747:1 gene:OB07G23000 transcript:OB07G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPVERNPADGLVDELIFEILRRLPLRSLCRCKCVCRSWRRLIADHGHRKKLPQTLSGFFYRSYSLDRFPYWAYHFTNVAGRGAPLIYPSFSFLPPFAGLTVLDCCNGLLLCRCYVSLKPMRFNYAVCNPATKEWVMLPDSSGSVAVDMDAKKWRRIPLPDVDGDTAGIHQSQGRLFAFIDLLETFKLSVWVLEDYNTDNWILKHTISSLSLFGGKNYRLDVDYQVIAAHPECNLIFFVYGSSNILMSYQMDLKKGAAPLLLLQNHLFAPKENQISLLGDEVSTFDAGLYVGSVPELPTQTRTK >OB07G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11859553:11860641:1 gene:OB07G23010 transcript:OB07G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGQPRRRAHLRDPPPPPHPLPVPMQVRLPVLAPIHPDHGHGKKLPQTLSGFFYRSYSLDRFPHSAHHFTNVTGRGAPLIYPSFSFLPPFAGLTVLDCCNGLLLCRCSQSGGAGPFHYAVCNPATEEWVMLPDSSYSIGEIRIASVCFDPAISSHFHVVGYVVLDEDDDYVTQVGIYSSKAGSWSLHQIGWDDEVYFVPSIERRSVFLNGFLHSVTYVEIVAVDMEAKKWRIIPLPDVDGETAVIHQSQGRLCAFIDLPETKLSVWVLEEYGTNNWILKHIISTSSLFGGKNYRIDVDYHVIAAHPECNLIFLVYGSSNVLMAYQMDLKKVRAIGILGHDLYLPYLPYVPLFSELLTNGR >OB07G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11870983:11871977:1 gene:OB07G23020 transcript:OB07G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLYGRRRNPAASLTYELVVEIVRRLPIRSVCQFKCVCRSWRRLIADHEHRKKLPQTLSGFFYRSVNVERCPESAHHFTNVTGKGVPLIYPSFSFLPRCDDVIVLDCCNGLLLCRCSQSDGARPFHYAVCNPATKEWVMLPDANHDENQAMLLNTKLAAISSHFHVLEYVEDENESVVGVEIYSSETGLWSLLESGWPDDTVIRNSVNGRSVFLNGFLHSVTPFDIAVVDMEGKKWRTIPLPDRDGDVFVIHQAQGHLCAFVDLADGSKLSVWILEDYDTDNWILKRTVRSLDLFGIEEYQLGYD >OB07G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11884852:11891951:1 gene:OB07G23030 transcript:OB07G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAARFLLFLFLCSPLAVAVTGAYTEYSCNGTFGNYTAGSGFGRNLEVLAVELPASASSSRSLFASAAVGATPDRVFGLALCRGDMRDAGACSACVSGAFQRLRALCGWDRDATYYHDLCVLRYSGDDFLSRPDDNSPVINALDVNASTYFGWDGRNATTRSFFLSLVGTLFGEMAMYGSYNSSVRRYASAVMFVNPQLPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDAAPSPTGSNGTNHRKTLIIVLCVSITVFCLMLAGCFILTKRLRKGDGKTNRQLEAHSRNSSKTEEALKLWRIEESSTDFTLYAFYDLAAATDNFSEDHRLGRGGFGPVYKGELSDGSEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPRLDWKKRLHIIEGVAQGLLYLHKHSRVRIIHRDLKASNILLDRDLNPKISDFGMARIFVSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGFAWQLWREGRECELIDPTLGESNGAAAGAAAATAAIARCVKVALLCVQDNATDRPAMTDVAAMLGSDGVPLPDPLPPPHYQLRVSSDYGADDVAGDGDRRARGGGTPPRRPHNDVTITTIEEGRSITQPIILLEWSGVAYLS >OB07G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11894572:11895620:1 gene:OB07G23040 transcript:OB07G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAAALLLTVLALVAPPLSAAATDALDDDDDHRSAFHACGNQGQYAPNSSYEANLRYLAGTLPAKVNGSSSSFASVLAGERPDEISAYGFCNSSSGCAACLATAFRHAQLVCPYSRRAMADLRACRVSYHNVHRREQVVRVVPAVAIFGDRMSSRWLEILVDDFPMMLLLQVMGIACVLFMFLQEWRASRKGAASANLLP >OB07G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11899116:11904160:1 gene:OB07G23050 transcript:OB07G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSIGHHVSLTWAQHHYIGSRCVRIVNSSSSRVKLSPTPVSVGPTHSHLFFFSSSFIALSRLRPRPSSSNTMATCRGHLLPTRLAAAVAATLLSFLLPPRLVAGQPLGNFCGSSGNYTRNDTYHANLRRLSATLPKNASSSRTLFAKESLGAVPDVVYALALCRGDVANASACADCVATAFQDAQQLCPFNKDATVFYDHCVLRFSNQNFVASPTGGGGAFLILMNTQNVSAPAKVFDSAVGVLINATADYAAANSSKRFGTGEEVFGGIKIYGLAQCTPDMATADCRNCLGSIIAMMPKYFSGKQGGRILGLRCNYRYETYSFFNGVSLLQLPAASLGPPPAPSPAPVNVTPTVTTEGGNRTGRVLAIALPIVAAILAAVVICFYLRKRKRERARKPSIPYQTDPEDIEDIDSLILSLSTLQEATNDFDESNKLGEGGFGAVYKGLLPGDREIAVKRLSQSSRQGIKELKNELVLVAKLQHKNLVRLVGVCLEEHEKLLVYEYMPNKSLDTILFDPDRSSVLDWWKRSKIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSEFNPKISDFGLARLFGNDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRKNNVSYDSDQSVDLLSLVWEHWTAGTVAELADSSLAGRCPRDQILKCVNIGLLCVQEDPIDRPMMSVVNVMLGSSTVSVQAPSRPAFCVQKSSVSSDTYSEPYRGGSQCTDRLPMSPNEVSITELEPR >OB07G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11907295:11907624:-1 gene:OB07G23060 transcript:OB07G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSSRRWRGSSGWRSGCSRGRSRRSPPCRRRTAAARRGTRRRRTRRRRRSSSRRGRARGRTPCPAGRRPCRRRRGPGRRRRSWGGPRTGARGWTGTCCWARSRRCSRRTA >OB07G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11907995:11911051:1 gene:OB07G23070 transcript:OB07G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAGRKRTAVRISASLACSIVFMLIISGSVFICLKRRKSSREQNTPIIGAPNRIQRGKCAVFDLPTLKNATDNFSNSNKLGEGGFGTVYKGKLSDGQEIAVKKLSQAQYTREGLSQLHNEVQLLAELQHKNFVRLLGFCSHQDEIMLVYEHIKSGSLDKFLFDTSREKTLNWEQRYNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDEKMNPKIADFGLARLLGGGHTQTKTARVVGTYGYMAPEYALSGKVSPKIDIFSFGVLVLEIVTGRRNSSSDNTYSAVNLLTEVWNCWTKGTALQLADQSLDGYSTSRVLRCIHIGLLCVQEIPVDRPSISSVILMLTRRRIELQEPREPAFFFGGDFSSVSQQYRHRNYMYDKSSVIVEDRFSVNDVTNTDPCPR >OB07G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11912843:11917109:1 gene:OB07G23080 transcript:OB07G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGRRHRVWFRLAAALLLAPLLASAQYPFCGQSGNYSANSTYQSNLAQLSATLPKNASAARTLFAKDSLGAVPDIVYALALCRGDTANGSACESCVGNAFQEAQQLCAYDKEVFIVYDSCYIGFSNKNILSAPDNGEPKILMNTQNVSAAAEVFDAAVGVLLNATADYAVGNSSRLFATGEEAFDSSDPTIYALSQCAPDMTPDDCRSCLRGITAMMPQYLSRKRGGRVIGLRCNFRYETGPFFSGGSMLRLPAPGTAAPTAPTNTTPLATAGGRKNKSGIVLAIALPLVVVLLGTVAICLCFQLQRRRSRSKHQPSYSIQMEEDIECSDSLFINLSTLRAATANFSESNKLGEGGFGAVYKGFLPSGEEIAVKRLSMGSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDIDKREELDWEKRLRIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGMARLFGSDQTREITSRIVGTYGYMSPEYAMRGHYSVKSDVFSFGMLMIEIVTGRRSSGSYTFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDKPADRPMMSTVNVMLSSNTVSLQSPSKPSFVVPKSGTNSNIYSESYPLTSQSTHRSGVMSVNDVSVTELEPR >OB07G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11924310:11928842:1 gene:OB07G23090 transcript:OB07G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRRRHRVCFGLAAAMLLALLRPPLAAAQGAAQWRFCGQSGNFSANSTYQSNLAQLSATLPRNASAARTLFAKDSLGAVPDIVYALALCRGDVANASDCRSCVPNGFQDAQQLCPYNKEVFIAYDLCYLRFSNLNFLASADNGSPVMLMSPTNASAPAEVFDAAAAVLLNATADYAVANSSRLFATGEEAFDRTNPTIYGLCQCTPDMSLTDCRSCLGGIIAFIPQYFSRRQGARVIGLRCNYRYEVYTFFSGGSMLRLPAPATASPPAPTPANMTPPASTATGGRKKNKSGIALAIALPLVVLFLGTVAICLYFQLWRRRSRPKRQRSYSIPMADDIETADSLFFDLSALHAATGNFSESNRLGEGGFGSVYKGFLPSGEEIAVKRLSMGSGQGIEELKNELVLVAKLQHKNLVRLIGVCLQQHEKLLVYEYMPNRSIDTILFDLDKRKELDWGKRIGIINEIARGLQYLHEDSQLRIIHRDLKASNVLLDSNYTPKISDFGMARLFGGEQTREITSRVVGTYGYMAPEYVMRGHYSVKSDVFSFGILMIEIVTGRRSGGSYCFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPAERPMMSTVNVMLGSNTVSFQSPSKPSFFIPRSGTDSNIYSESYPLTSQSTHRSGVISVNDVSVTELEPR >OB07G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11934053:11937029:1 gene:OB07G23100 transcript:OB07G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDACVVRFSGQRFMDFLRPDQWQVTEMLWAPDQASESVQLPAVAGWFDDAVAKILAALVDHAVAATGNTTTNAKKYFATGEEDFDPKIYGLAQCVPDLTPAQCNDCLGSLVSHANASYRGKSPRWVGVDSVWCRVMYGVQPLFYGGQAAMLQLSAPPPRADETPVVTPDPGAGKRKGATGIAAGVVSSVLVSLILSFFAVARFRRRTKAAETDHPLRKIRRAQCFVFDLPALQEATENFSEKNKLGEGGFGTVYKGVLSDGQEVAVKKLLGTTGHGLDQLQNEIQLLAELQHKNLVKLQGFCLHQGETLLVYEYVKNRSLDNFLFDASRGNALNWEQLYNIILGIAKGILYLHEDSTLRIIHRDLKSNNILLDEDMKPKIADFGLARLLGEGHSHTRTTRIVGTFGYMAPEYAIHGNVSTKIDVFSFGVLVLEIVTRRRNFNSDDHDPMNLLGDVWNCWTKGTITQLIDQSLQGQFRSQALRCIHIGLLCVQSDPDDRPHMSSVIFMLNRENMNLQPPAPPAFFCDRDTNSASQHSVSEQSVYDRSNVIYAEDMSVNGITLTDMYPR >OB07G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11939617:11939885:1 gene:OB07G23110 transcript:OB07G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEVWNCWTKGTITQLIDQSLQGARSQALRCIHIGLLYVQSDPDDRPHMSSVIFMLNRENMNLQPPAQPAFFFDRDSNSGSQHSICL >OB07G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11944261:11948762:1 gene:OB07G23120 transcript:OB07G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLILSVAIVAVALLVRRSAGYPWALCDDGTGSNFPARRSTYLANINLVAATLPRNASASPDLFATADYIGAAPNQVSALALCRGDATPSSCLSCLTQAFRDLPNTCAYNRVATIFYDSCLLSYSNASIFPSDFSAKIPAYGNRDSGSVMTEAAQFNRVMATLVNATADYAAYNSTRRYASGEADFNQEFPKLYSWAQCTPDLTPARCRRCLAQITGRYIPQLENYTGGFVRAVRCSFQYSTSPFLDGPMLVQLPGSPPPAQAPAAGGAPSETMRKIAVATVAAVLTISATCFYAWRKAKRTSAKALQSYPTSADDIQNICSLHLDLSVLRVATDDFAEHNKLGEGGFGVVYKGILPEGQRIAVKRLSQTSRQGIAELKTEILLVAKVNHKNLARLVGFCLESHDKLLVYEYMSNRSLDTILFDSTKKKELDWGKRLKIIDGIARGLQYLHEESQMKIVHRDLKASNILLDSAYNPKISDFGLAKIFAGDQSHIVTYCIVGTYGYMSPEYAMHGKYSIKSDVFSFGVLVLEIVTGRRNWDFCDSEQDADLINDVWEHWTREKAIELIDPSLTNDCPIDQLLKCIHIGLLCVQQKPSDRPVMSAVNFMLSSKTVDRLPSVSRPAFCRQQTSANSIKVSSNGLAGQNSNPEVIG >OB07G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11950790:11954895:-1 gene:OB07G23130 transcript:OB07G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMVVVVTSDTTSSEDIQSIDSLLLDVSALRVATDNFAEDKRLGEGGFGVVYKGILPDGQEIAVKRLSQNSRQGIGELKTELVLVAKLNHKNLVRLVGVCLEKHENILVYEYLPNRSLDIVLFDAQKNKELPWAMRYNIIEGIARGLQYLHEDSQMKIVHRDLKASNILLDSTYNPKISDFGLAKIYGGDRSHIITKRIAGTLGYMSPEYAMRGQYSIKSDVFSFGVLVLEIVTGRRSYGSYDYEKGTDLINAIWQHWIREKVVELIDPSLRNNSPIDQLLKCIHIGLLCVQENPADRPLMSAVNFMLSSNTVQLPSLSRPGFCTQEICANSTEVSSNELSITKLEPR >OB07G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11961924:11963332:-1 gene:OB07G23140 transcript:OB07G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRLILSLAIFAVALLAPRTAGEPWVMCGDDFGTFTLQSRYYANLMRINATLPSNASASPDLSATAVDVGAVPEQVSAVALCRGDVGAASCLSCLTQAFANLANLCGNTKDATIYYDRCTLSYSSVHFLSDGDDPRQIPAYTVNNHAGNVTSEPERYNRLVAALVNATADYAAYNSSRLYASGEADFNSQFPKVYSMAQCTPDLSPERCRSCLAKIVSQEIGTYKDTIGGRTMAVRCAFRVETVPFLNGTMLVRLRLPAGTSAPSESPAPAPAALVERTSRWKIAVYVSVATFAAILTIIAACFSRWRRITNTAAKQLQPRKFS >OB07G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11967604:11967759:1 gene:OB07G23150 transcript:OB07G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYVTKEFHTVGMNDTYLAKPQEKLVQIYQLLPNCKDFINPPHYCIDGG >OB07G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11967773:11970369:-1 gene:OB07G23160 transcript:OB07G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTHLLRSLLLATALPLFSIYRVEAGEVGVCYGRDANDLMDPSAVVNLLKEHGITMVRIYDADQAVLGSLSNKGIKVMVALPNRDLASAGRDEGSALDWLETYVVPHYHRGTQINGVAVGNEVFQQAPDLTPQLLPAMRNMQAALARLGLADAIKVSTPISFESVRASHPPSSAVFQDSIAQSVMRPMIDFLEQIDSYLMVNFYPYIACAKSNGTISLEYATFGPNAGVVDPNSDITYYSLFDAQLDAVYYAINKVSSDSVRASMPQERVRGHLKSRVSVKCSECGHPSGGRLSMLTTQADAEEDVPTKANAQAFNNGIIRRALFGASGLPNVSVYIFALFNENEKAGPSVERNFGLFYPNGTKVYEVDFNGGSVCPMKASWCVANYAIGNARLQSALSWACSNGADCSSIQQGKPCFEPNTIVAHASYAFNDYYQRMGQDDKACDFAGAAYIVYEPSKSICDPNPSWCVANPAVGDARLQSALDYACGSCADCSDIQPGARCFEPDTKVAHASYAFNDYYQRVGRASGSCDFGGAGSIVYQAPEIGNCVLPPMIELDKQY >OB07G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11971618:11974486:-1 gene:OB07G23170 transcript:OB07G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWPMACHLALFVAIIAVALLVPRTAGEPWPVCGDDFGTFTPQSRYYANLMRINATLPSNASASPDLSATAVDVGAVPEQVSALALCRGDVGASSCLSCLTQAFANLANLCGNTKAATIYYDRCTLSYSSVHFLSDGDDPRQIPAYTVNNHAGNVTSEPDRYNRLVAALVNATADYAAYNSTRRFASGEADFNRQFPKVYSMAQCTPDLSPARCRSCLAKIVSQEIWTYKDTIGGRTMAVRCAFRVETARFLNGTTLVRLPGPSGSPAPAPAANVTPPAVAASGLETKYKVPRLVLVILLPILAAINLVVCFCVWRRRRPATKAKQTNAKYYAEAEDVDSADSMLMDISILRAATGDFAESNKLGEGGFGAVYKGVLPDGSEIAVKRLSKSSTQGVEALKNELALVAKLRHKNLVTLVGVCLEEHEKLLVYEFVPNRSLDLILLDTEKREKLEWEKRYKIINGMARGLQYLHEDSQLKVVHRDLKTSNILLDANMNPKISDFGLARIFGRDQTQAVTNRVVGTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDLYSSPQSLDLLTTIWDRWTDGTVMEMVDPTMSGDASASDVRKCIHVALLCVQGDPADRPVMSAVVMMLGSETVSLRVPSRPAFFTRNGGAQSVAASDDSTASVTVPTVEQDRS >OB07G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11981581:11984368:-1 gene:OB07G23180 transcript:OB07G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLVFSVAVAAALLMHRAKGYPWALCGDDTGRGGNFPARRSTYLANINLVAASLPRNASASPELFATIEGAGAGAAPDRVSALALCRGDANASTCLACLTQAFLDLPNACAYNKVATIFYDSCLLTYSNASIIAGDFRAKVPAYGNKDSGSVTTETARFNRVMATLVNATADYAAYNSTRRYASGEADFNQEFPKLYSWAQCTPDLTPARCRRCLAQMTGRYIPLLENSTGGFVRAVRCSFQYSTLPFLDGPMLVQLPGTPAPAPAPAAVVPAVNATPPAATPTPEGANSGRKYSVPGLVLIILLPTVAAMNIVVGLRFCRRKRPVTKAKRTYGNYSNETEDIESLDSMLIDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFGTESYTEKSEQLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARTFGRDQTQAVTKHVIGTYGYMAPEYLMRGNYSIKSDVFSFGVLVLEIVTGRKNNHCYNSQQSEDFLTMMWERWEAGTVLETVDAAMTGCFSESDLVRCIHIGLLCVQGNAGGRPVMSSVVLMLGTDTVELLAPAKPTLFARNGSDRSGVDTGMSIVSLEEQS >OB07G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11988905:11992243:1 gene:OB07G23190 transcript:OB07G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSPWSPHSCCRRPWRSRPSSSANWASPTHTRPTPPSPGTSTSSPRASASPAGYAVGNAGAGFAFNTTGTAPDQVYALALCRGDANASSCSACVAAAFADGKDGCPGIKGISMYEDTCVLRFSGQRFMDFLRPDQWQVSEMIWLPNQAAASGRVPEVGWFNAAVAELLAALVERAWATAGDNTSTATTKKYFATGEEDFNPKIYGLAQCVPELTPEQCKECLRSLHDQAKIIYTGKSLRWVGTYSVWGRLMYSVRPFYGGRPMLRLSAPPAIIETPVVTPDPGAGKKKSAAGLAAGLACSVLVLLILSVFAFVRFKRRTKAVESDHPLKKITRAQCMIFDLATLQEATENFSENNKLGEGGFGSVYKGILSDGQEVAVKKLLGTSGHGLDELHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIRNGSLDSFLFDANRGNPLNWEQQYSIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRKNCDSGENDLVNLLSEVWNCWTKGTIAQMIDQSLDGDSQSQALRCIHIGLLCVQPDADDRPHMSSVIFMLTRDNMELQPPAQPAFFFGGESASSSPSWGQRSYVYDRCGFVNLSVNGVTLTEPYPR >OB07G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:11993995:11996115:-1 gene:OB07G23200 transcript:OB07G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNNFLRIGLHGAVLALMFFSPAEAGEIGVCWGTVADNLPDQSSVVQLLKKNSITMVRIYDADRKALTQLANTGIKVMVSLPNELVSSAAASPSYALQWVKNNVAAYYPATLINGVAVGNEVFDQAPSLTPQLAPAMRNVQAALAGLGLADAVKVSTPVAFDAIKVSYPPSSGEFKDELVPVMSSVLDFLQQSSSYLMVNIYPFFAYAAQPDKISLAYATFGSNAGVFDSTSGVTYYSLFDAQLDAVYYAIDRVNSAGGSRRARASFAQARAGRPSRRVPVRASETGHPSGGKIGAMATIADDDDSSSVATKANAQAYNNGLIRRVVSGASGMPDVSAYIFALFNEDGKGGASIERNFGLFYPDMTKVYEVDFVHGAPGSSPGTAASWCVANPSAGDAQLQDALDYACGHGADCGAIQRGGRCFSPDTKAAHASYALNDYYQRNGRAAGACNFGGAGIIVYQAPNLCDPNQASWCVAKAEGGDARLQAALDYACGHGADCSAIQRGGRCFDPDTKVAHATYAFNDYYQRNGRATSACDFGGAGSVVYQAPTM >OB07G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12009869:12012632:-1 gene:OB07G23210 transcript:OB07G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALTHLLLAVALPLLLLSRADAGKVGVCYGRDGNNLMNPSSVVNLLNGNDITMVRIYDTNQIVLQALANQNIKVMVAMSNKDLASGAGDDPSSALSWVDSNIVPYYPATQINGVAVGNEVFQQAPDLTSRLMPAMRNVQAALATRGLADAMKVSTPISFDSVNVSFPPSSGVFGSIAQSVMSPMIDFLQQTGSYLMVNFYPYIAYANSNGKISLDYATFGPNAAGVVDSRSGITYYSLFDAQLDAVYYAINRVSGGNIRETMAMQGGRPSQRVPVVCTECGHPSGGRLPRLATLADAEEGVATKANAQAFNNGIIRRALFGASNMPDVSVYIFALFNENEKGGASVERNFGLFYPDGTKVYDVDFHGGGRGCPTKASWCVANPDLGNDQLQGALEWACNNGICDPNPSWCIAKPEIGDAQLQSAIDYACGTCADCKAIQQGAQCFDPDTKVSHATYAFNDYYQTAGRASGSCDFNGAASIVKQQPKIGNCVLPPNNL >OB07G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12014592:12017244:-1 gene:OB07G23220 transcript:OB07G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHLARLLLLAAALPLLFVSRAADAGDAGVCLGMDGSDLMDPPAIVNLLKRKGITMVRIYDTNRTVLQAFANQNIKVMVALPNRDLASGVGQDKDSALNWVKSNIVPYYPATQINGVAVGNEVFQEAPDLTSRLLPAMTNVQAALARLGLADAIKVTTPISFESVTHSSPPSSAVFQDNIAQSVMGPMIDVLHNTGSYLAVNCYPYIAWAKNPSKISQDYATFGPNAAGVIDKAGGDGGSGSRGCPTKATWCVANPTVGNGRLQAALDWACSNGADCSTIQQEKVCYAPNTLVAHASYSFNDYYQRKNQASGTCNFNGAASIVYKPSSSICNPNPSWSVAKPEVGDVRLQSALDYACRTCTDCSAIQQGAQCFDPNTKVAHATYAFNDYYQTAGRASGSCDFNGAASIVYQQPTILFFKKNARPIVMGQFVSQLSQFNMGPVCPEKAEG >OB07G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12033174:12035578:-1 gene:OB07G23230 transcript:OB07G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALARLLLLAAALPLLFVSRAADAGEVGVCYGRDGSDLMDPSAVVNLLKANGITMVRIYDANPSVLRAFANQNINVMVALSNRDLASGAGQDEASALNWVNSNIVPYYPATQINGVAVGNEVFQQAPDLTSRLLPAMRNVQAALARLGLADAIKVSTPISFDSVKGSFPPSLAVFQDNIAQSVMRPMIDFLQQTGSHLAVNFYPYIAYANNIGKISLDYATFGPNAAGVVDTRTGITYYSLFDAQLDAVYYAINQVFGDSVRATMAVRGGRRKQRVDVKCYECGHPSGGQLPRLAKLTNAVEDVATKANAQAFNNGMIKRALFGASGMPDVSVYIFALFNENKKGGASVERNFGLFYPDGTEVYHVDFHGGDSGGHGCPPKATWCVANPAVGNDRLQAALDWACGNGADCSAIQQGKVCYAPNTLIAHASYAFNNYYQRKNQASGTCNFNGAASIVYKPSSSICDPNPSWCVTKPEVGDARLQSALDYACGTCADCSAIQQGARCFDPNTKVAHATYAFNDYYQTAGRASGSCNFNGAASIVYQQPKIGNCVLPPNNV >OB07G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12050667:12051469:-1 gene:OB07G23240 transcript:OB07G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGGVVPVKCSECGYPSGGHLSMLATQADAKEDVATKANAQAFNNGIIRRALFEAPRMSDVSVYIFALFNENEKDGASIERNFGLFYPDGTKVYEVDFHGGGGSHGCPTKVTWCVANPVVGNVQLKLRWTRHAITVRTAVQSNRAKCAMHQTPWSHMHLMRSMTTTRARTRLVVRATSTVLLPLSTSLHQASATLTRAGALRSQRSGMHSYRMH >OB07G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12051500:12052395:-1 gene:OB07G23250 transcript:OB07G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHLARLLLLAAALPLLFVSRAADAGEVGVCYGRDGNDLMDPSAVVNLLKANGITMVRIYDTNPTVPRALANQNIKVMVALSNSDLASGAGQDEASALDWVNSNIVPYYPGTQINGVAVGNEVFQQAPDLTSRLLPAMRNVQAALARLGLADAIKVSTPISFDSVNVSLSPSTGVFQDDIAQSVMRPMIDFLHQTDSYLMVNFYPYIAYANSNGKIPLDYATFSPNAAGVVDPWTSVTYYSLFDAQLDAVYSTI >OB07G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12058759:12060727:-1 gene:OB07G23260 transcript:OB07G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARFATSRLAPGLPRLGAVLPVLALLPVLPFAFSSIHLRTISAFFLVWLCAFKLLLLAAGHGPLHPALPLVRFVACATLPIKVIDEKKKPSSSRCFAPGFVLSYAAKAGVFAALVSLRCYREAMPAYAVVAFDGAHVYLMLELFLASAAALARGVLGAELEPQFDRPYLATSLADFWGRRYQGYEVHGKRYPLTIMDLDQYEISS >OB07G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12061901:12066844:-1 gene:OB07G23270 transcript:OB07G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSLHGVLLPVLVVLALALPLASAQPWPICGSNGNYTANSTYQANLKQLADELRKNVSSSLFAAGAVGSVPDAVYALAICRGDANASACADCVGTIFQDAQQLCAYDKEVSIVYDTCYLRFSNVNFLSSTDNSGLVNLYNGQNVTGEDIARYDRAVTGLLNATAGYAADNSSRRFATGELAGFSEQYPKIYAMEQCRPDLEAGPGAGAVPAVPRRPEKRRNGAGKILAIVMPIVAATLAATVIGFCLWRRRRPAKTPPPGPLRYASRSEEIESIDSLILDLSTLQIATDNFSENNKLGEGGFGVVYKGTLPYGQDIAVKRLSQSSLQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFDSEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDFNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLILEIVTGKRNSGSYYSEQSGDLLSVIWEHWTTGTITEMVDRSMAGGASGREIARCIHVGLLCVQENPASRPTMSAVNVMLSSGTVSLQAASRPAFYIRKGGDGSTGTYSGGSFVGTLPSSGRSAPMSPNEVSITELEPR >OB07G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12066116:12066844:-1 gene:OB07G23280 transcript:OB07G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSLHGVLLPVLVVLALALPLASAQPWPICGSNGNYTANSTYQANLKQLADELRKNVSSSLFAAGAVGSVPDAVYALAICRGDANASACADCVGTIFQDAQQLCAYDKEVSIVYDTCYLRFSNVNFLSSTDNSGLVNLYNGQNVTGEDIARYDRAVTGLLNATAGYAADNSSRRFATGELAGFSEQYPKIYAMEQCRPDLEAGPGAGAVPAVPRRPGGAAVADVRSEHQGRQERRRAVQH >OB07G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12067779:12071837:-1 gene:OB07G23290 transcript:OB07G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISISGHSSLSRPSTLSDPLGRFQPSPAAGPATIGFFSSRRRTALRPSMRELSLARSLSKALALNHPLLFLLVSSSSSPNLSTHTYDHRAFLLIDSRLVPTSLDVANVGPGFRDQYHSFAPESPMPWLPLPWPSPSAAADGTDTIGEQNAVDGMVGGFRLGKLQGIMGSAAAQVAEIDGMYVGMLRRLEKLAREVEKSNLLVLKQENRNLLLRSSYRDYCQ >OB07G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12072894:12077605:-1 gene:OB07G23300 transcript:OB07G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKRKSSWSQIVKNGRPTNLSVSARNLRPQDLGAVIFGCTNNTIAECHSRQLFGLPRTHLSYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWTQDGKGKTSYPAQVAMRVKVWCVPLAESQFREAILANYYQKMPGAPGQKLHFFQFEMDHAQTSALMDMFTPSPSTNNFWTPPVAKPANEPVRSSWAPLYEVNNGLKLEKVVKSYADVVSKNKFEQGGTGNVDAEHASSGNESLNGFDDLGCGDTSPDPVSEECVLPGKEVQVQQQQEQVQQQQQQSGQQDKLSFKRVLEKLKSLSVQQRNSDFYANATQTECVDAYSCKHVQDVECAILDGHSNLPDNLDSEVDQLSWDPNLLMQPLVSESCTETKLVQIVKELYERIVVIEKNQAWSNKELKYLQGVNERLLKRVVELKGTVKTLNSKIDPLTLDDSLSQFVEQCLGSEDVIYIVGGFDGFSFLPSLDSFSPSLDVLTPLKPMAVGKSYASTVALDGKIFVLGGGDGACWFDTVDCYDRRRDDWTTCPSFSHDKGSLAAVSFNGKIYAYGGGDGNECFSDVEVFDPAYGKWTKYRPMLEKRFALAGVELNGAIYAVGGFNGIQYLSSAERLDPREPGWKRLPNLSTGRGCHTLAVLDDKIFSIGGYDSEAKAMVATVELYEPRMPSWVMVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADAILDVVERYKEGCGWVTTESRSVGRRCYCSAIVL >OB07G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12083681:12083947:-1 gene:OB07G23310 transcript:OB07G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIAGGGPVGKRESVGRLPDRERGASAHVGLLCVQKNPEQRPAVSERDAHQRHGVAAGRRSPGRPSWDSGAAAAAAPVSPNGVSMRP >OB07G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12088435:12088851:1 gene:OB07G23320 transcript:OB07G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQRRGDAAAHSMVRHVRAERRLEVEVDPDRWGPPIGVPCEGEGPRWTSGAGREKRERRAEQAVALVPAQWERGKERRGEQARPGERRRGDGPKWSEPGGREESEKEWAEGGDGDFERGLGHGSGKLRTECTVAKWN >OB07G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12092664:12095317:-1 gene:OB07G23330 transcript:OB07G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRRWRRADNFSGLNTAEDFETIRSNLLSLSSLQEATDNFDENKILGEGGFGAVYKGLLSGQEVAVKRLSKGSNQGLEELKNELILVAKLHHKNLVRLVGFCLEEGERMLVYEYMPNRSLDTFLFDAEKRRQLDWATRFRIIEGVARGLQYLHQDSRKKIIHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSMKSDVFSFGILIIEIVTGQRNNRPHFFEQNEDVVSTVWKRWSEGTVAKMIDHSLGKNYPEAEVLKCINIGLLCLQENPIDRPTMADIMVLLNSNASSSLPAPAARPTFFLVDGSSRYSQTITQLSAR >OB07G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12102134:12103368:-1 gene:OB07G23340 transcript:OB07G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIGRRRNLTLCYKLAGVALLIAFLHSPHAEAQPLPWQICNATAGNYTEGSTYQDNVRFLARTLPGNASSSPAFFAKDVAGGAPDRVYALALCRGDTNASSCAACVSNAFDSAQQLCAFNKRATMFDDPCILRFSDQDILANVTDNRGMFVAWNYNNVSSGRVKVFDSASGQSVNASGDYVSAVFDAFSGMLVNATAEHAAEDPARRFGTGEMGFDVFNVPYKKIFSLAQCTPDMSAADCRSCLGDIIRRMTPKYFVGKPGGRVFGVRCNFRFEAYSFFSGRPQLQLSGLPPAPPGLPASPEPPPPAPPGPPPPAPSGTRQDNQTVDTG >OB07G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12104220:12104477:1 gene:OB07G23350 transcript:OB07G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDAVATTVGAPAAPHTCVVLSQQFHSWAHENPHMHPRRRGAVGHRRARVARPAHVHHWTPYNNNYCIVSGVWNELLDRHTVFEA >OB07G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12105521:12108985:-1 gene:OB07G23360 transcript:OB07G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITRWHLTLCYNLATVALLLAFLHPPTAEAQPLPWQLCNATSGNYTEGSTYQANVRALARTLPGNASSSPALFATDVAGAAPDRVYAIALCRGDTNASSCASCVANAFLNAQQLCALNKRATMFDDPCILRFSDQDILANVTDNRGMFVAWNYNNVSXXXPPRARPTPPPAEYAAADPVRRFGTGEMGFDDATYPRIFSLAQCTPDMSEADCRSCLGDIIRRMTPKYFAGKPGGRVFGVRCNFRFESYSFYSGRPLLQLSGPPPAPSPANVTPPGNSGGKTTHRTGRILAITLPIAGAVLALIVLTCFCFWRKRTTARKASSVPYSTDPDDIKSIDSLLLDLSTIRAATDNFAETNKLGEGGFGAVYKGVLPEGREIAVKRLSQHSTQGIEELKTELVLVAKLRHKNLVSLVGVCLEGDEKLLVYEFMPNKSLDTVLFDSERSKDLDWVKRLKIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDLDYNPKISDFGLAKLFGWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVLILEIVTGRRNSSFANSEHSVDILSLVWEHWTMGTIEELLDPAAGGCSASDLLKLINVGLLCVQDNPADRPAMSAVNVMLSSSTVSLQAPSRPTFCIQDMDSADVDLYSGSGSRGAFQSAGDSKTKTVASPNEVSLTELEPR >OB07G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12117019:12121282:-1 gene:OB07G23370 transcript:OB07G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAGIGGSILLMLSHGLVSSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNGKVRNFPYHYFRECEDFVTFLHFFLYTSGVALSAGELLFFDLNLPPVDEAPPSREILDLDLNAPLPDPEPEVEIVPGDDQHVPPIQEDNPITFHLQNDEVHIILLKIYQRKEENPLYTLNLESNLS >OB07G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12121695:12122518:-1 gene:OB07G23380 transcript:OB07G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVMTAADITNQLPDLLLAVFSNFLASIVDSSLHQSTAGATFSLHQFVTSAACSLHRGGDDDGDGGGYDEDNGGGDGGDDDEDDSNKDNYLDDDGDDDKDDSNEDNYLDDNDDDCGNNARRLRTDSGGRLYLQCR >OB07G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12126739:12130250:1 gene:OB07G23390 transcript:OB07G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHRPPSYLAGGVTAATFLLAVLHTPLTAGDDEPPPWYLCAPYPPSGNYQANSTYQANIDFLSTTLPKNTSSSPDMYTTDTVGDVPDMVYGSALCRGDANASACGSCVAAAFRDAPRVCPLVKDVLIFYELCQLRYSNRNFFLDDDYFVTTYTLRRSRLVSAPSAAGFDAAVRLLVNATADYAAENSSRRYGTGEEEGLDKSNRPKMYALAQCTPDKTADVCRTCLATIIGQLLKVFSGSTGGGMFGVWCNFRYEVFPFFSGHPLLQLPAFVETPPPAPSATRGGEKKRTRIGTVLAIIMPTIAAILLIVLAWFACSRRRRPEEQTFLSYSITSDDIQNFDSLLLDLPTLRIATDDFAETKMIGKGGFGMVYKGVLPEGQEIAVKRLCRSSTQGIEELKSELVLVARLYQKNLVRLIGVCLDEHEKILVYEYMPNRSLDITLFDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYNPKISDFGLAKIFGGDQSEDITHRIAGTYGYMAPEYAMRGHYSIKSDVFSFGVLVLEIVTGRRNNGSYDSGQDADLLNLVWEHWTRGNVVELIDPSLGNHPPIDQILKCIHIGLLCVQKKPAKRPAISSVNIMLSSNTVRLPSLSRPAFCIQEASATDSSNGYLERYARTRHSGYADNSTAVSSNDVSITELWPR >OB07G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12133241:12136462:1 gene:OB07G23400 transcript:OB07G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSQTLRASSPHSGRLTSPASVRPHVTAYHCRQPPPPQCARCKASLLTSPPPRPSSSPHAAGEDEPPPWYLCDPYGPSANYPENGTFRANIDRLSTTLPKNASSSSYPVMYAVGTVGDVPDMVYGSALCRGDANASACGSCVAAAFRDAPRVCPLVKDVILFYDLCQLRYSNRNFFLDDDYFVATYPIQRSALVSAPAAPAFDAAVGLLVNATAEYAAANSSRRYGTGEEEGLGSSSRPKIYALAQCTPDKTAEVCRTCLTTLTAQLPKVFSRRTGGGIFGIWCNFRYEVFPFFSGRPLLQLPAFVETPVPAPSETKSGDKRRIRTGTVLAIVMSTICAILLIVVVGFCCWRKRPKEQTFLPYSVSSDIQSIDSLLLDLSTLRAATDDFAEAKMIGKGGFGMVYKGVLPQGQEIAVKRLCRSSRQGIEELKSELVLVAKLYHKNLVRLIGVCLEEQEKILVYEYMPNKSLDIILFDLNTNMELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDSDYNPKISDFGLAKIFGGDQSEEITHRIAGTYGYMAPEYAIRGRYSIKSDVFSFGVLVLEIITGRRNSGSYDSGQDVDLLNLVWDHWTKGNVIELIDPSLGNHPPIDQMLKCIHIGLLCVQRKPASRPRISSVNIMLSSSTVRLPSLSMPAFCIQEASASDSSNLYSERYPRSGCSNNSAALSSNSVSITDLLPR >OB07G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12138235:12145191:-1 gene:OB07G23410 transcript:OB07G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCCYLAAACVVVVVFLLHAPLTADAQPMPWQRCNVSSGNYTENSTYQGNIRYLATSLPAYASSSPSLFAAGSSGTPPDAIYALALCRGDTNASSCAACVAAAIQTAQRHCPLVKTVTIYDDPCIVRFSGEPFPISPPYNKGMFVAWDSNNVSDAAAPAFQAAVVRLANATAEHAAADSVRRFATGEEAFDAVVYPKIYSLAQCTPDMTVADCRSCLEDIIGRMIPKYLVGRKGARVLGMRCNFRFETYPFFFGEPLLQLAGPAASSSAPVTGEISKQKRRTVIGTLVPSIAVVAFAAWFCSWSWRKRLATRTLQPIPKEYSQDDMPSFGSLVLDLSTLRVATDDFCEHKRLGEGGFGVVYKGDLPNGHEIAVKRLAQSSRQGIEELKTELLLVARLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDAEKIKVLDWEQRFKIINGVARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFRRDQSQVFTHHIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSEHEADLIYVTWEHWTKGEAIKLIDPSLGSHYPADKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSAVRLPSLSRPAFWFQEIGASSDVNSEQNLLYPHNPTKMSQNEAPITELEPR >OB07G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12149554:12151199:1 gene:OB07G23420 transcript:OB07G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPRPATSTYSDRMQFSWFSRLEDIVEHPREGRRDGQQQREAVLVVVGKYDHISSSAATSAICCVTIAPFVDISADLNAPAMAAVTGSYDTDMPFSELKLTLELLKPPSSSLTSSSPSSYCRCSSTPPSVPYPIHMTDSQSTP >OB07G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12151557:12163154:-1 gene:OB07G23430 transcript:OB07G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRRRPASVVEEADFSKDWKRWDKEEGPGGSHCGWYRHTTRRLQCSLSRDKSWRLFDGQEPENYWYRVCDGRAAKVIISIYPSCDLQLNASTSPTLFASGALGSAPDTVYGIILCRGDVSSSDCYDCGTRAGQDVGQVCNRTRDAILVYNQCYARFSDKDFLAATNNSGQVPLMNAANITSADVAGYDRAVAGLLNATLLYAVENTTRLFATGQRVGADPGFPNIYSAAQCTPDLSPAVCRSCLDDLVAAWWKTFPLNTLGARIVGTRCNLRAEVTQDKFYTGAPMLKLWADGLSPPATSPDAVPGTTGGKKKSVKTILEIVLPIVAAAILVAISLCLWNMRKKRRWRKADHLTGADAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGAVYKGLLTGQEVAVKRLAKGSNQGLEEVKNELVLAAKLHHKNLVRLVGFCLEEGERMLVYEYMPNKSLDTFLFDEEKRKGLDWTTRFRIIEGIARGLQYLHQDSQKRIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTNRIVGTFGYMSPEYVMHGQYSTKSDVFSFGILIIEIVTGRRRSNGPYFYEQNEDIINIVWRHWSEGNIVEMIDNSLGRNYSEDEVLKCINIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPALVVHRPASSSDGSSGYSQTVTQLSPR >OB07G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12166559:12167359:-1 gene:OB07G23440 transcript:OB07G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTSTLVHAVLLLAVVAAVALPLAAAQPWATCGTGGTGTYEQGSEYESNLQSIALSLRDQASRSWILFSTSSLGAAPNTVYGLLQCRGDVSQSACAECGTRVRSDAGPACRRTRDVALVYDECYARLSDSDAFLANKEGPGLETRLVSGTNISSADVAGYNRAVTELLTATVQYAVVDDTSRKMFATGRRVGTDPGFSNIYSTAQCAFDITLESCRRCLEGLVAGWWDMFPRNAEGARVASDRCHLRSEVAPFYNGEPMVLLHE >OB07G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12169631:12171991:1 gene:OB07G23450 transcript:OB07G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFTALLLLISGGATGWLAKALGKVDYHNQLVVRGNHFISFEAEANGLPVSVDLESQGSCDGSQCGWIGRESIDKSLSGVGCSYEVPRVTEVTTMGFIQ >OB07G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12172514:12176195:-1 gene:OB07G23460 transcript:OB07G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFSIVHAVLLLVAAGALPLATAQPWPTCGTSGNYTAGSAYESNLRSLTSTLRANASSSPTLFASGVAGTAPDAVYGLLLCRGDVSSSDCFDCGTRVGQDAGRVCNRTRDAVLVYNQCYAQFSDKGDFLASTNNSGTYSLLISGTNISSADVAGYDRAVTELLNATVRYAVESSPRLFATGQRVGTDPGFRNIYSMAQCASDLSPAACRRCLDDLVSQWWDGLSFPKNGEGARVAGPRCYLRSELGGGPFYTGPPMVLLRADGLSPTSAPAPDVTPATTLGKKNSASKILVISLPIVAVAIVSAISFYMWNMRKKSRSAKAEHFSGVETSEDLESVKSTLVSLASLQVATNNFDESKKLGEGGFGAVYKGLLFGEEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERLLVYEYMPNKSLDTFLFDAEKGRQLDWATRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFSQDQTRDVTNRIVGTFGYMAPEYVVRGHYSTKSDVFSFGILVIETVTGKRNNGPCFSEQNEDLVSIVWRHWEEGNIVEMIDHSLERNYPEAEVLKCINIGLLCVQQNPVDRPTMEDVMILLNSDATISLPAPVAHRPIDFSNGSSGYSQTITQLSPR >OB07G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12179553:12184342:-1 gene:OB07G23470 transcript:OB07G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRCLAVHAVLLIAAIALPLAASQPWPLCGRNANYTAGSTYETNLQDLALTLRAKASSSPTLFASGTNGTAPNAVYGLLLCRGDVSSSDCFDCGSRVAQDDGQACNRAKDSALVYNQCYARFSDKADFLTSTYNTPEFQTTYLISGTNISSTDVAGYNRAVTEILNATVRHAVENSTRLFATGLRRGNDSGFRDIYSMAQCVPEMSSTLCRTCLDGLVGQWWNTFPRNGEGARIAGDRCHLRSELALFYTGDPMVLLQDVAPVNAEETVYQITNLPVDTTDDFESVKSTLLSLALLRLATDNFHESNKLGGGFGAVYKGILSGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDTFLFDTEQRSRLDWSTRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFAQDQTREVTSRIAGTFGYMSPEYVMCGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILCSVWRHWEERTTVKMIDHSLGRNYPEVEVVKCINIGLLCVQQNPVDRPTMADVMVLLNSDTTCSLPAPAPRPIYLIDGISGYSQTISQWSWR >OB07G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12186896:12187153:-1 gene:OB07G23480 transcript:OB07G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTVEDRGGVRSGGEAEGAHTEGRVRGGCVQGEGWRDRTTGGEGIGRMHQEEEIVHMGESWDRTRRKAQRRRGSTTGGGGDGD >OB07G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12193662:12198872:-1 gene:OB07G23490 transcript:OB07G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFFSVHAVLLLAAVALPLAASQPWPVCDSGSGTYAAGSTYATNLENLALTLRANASSSATAPTLFASGSLGSAPDTVYGLLLCRGDLSSSDCADCGTNVWRDAGPTCNRTKGAILVYNQCYAQFSDKGDFLASTNNSGKYSLLVSGTNISSTDVAGYDRAVTELLNATVRYAAESSPRLFATGQRVGTDPGFRNIYSMAQCSRDLTAAQCRTCLDDLVGRWWQTFPFPLNGEGARFAGPRCYLRSELGSFYTGDPMVRLPAKVDGLTPAPAPDVVPATPGGKKNSGSKILVIILPTVAVAIIAVTSLCIWNVRKKSRLTRAELLSGLDTSEDFESVKSTLLSLASLQVATDNFHESKKLGEGGFGAVYRGILSGQEVAVKRMTKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERLLVYEYMPNKSLDNFLFDSEQRKRLDWMTRFRIIEGVARGLQYLHEDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFAQDQTREVTSRIAGTFGYMAPEYVMRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVWRHWEERTTAKMIDHSLGRNYPEAEVLKCINIGLLCVQQNPVDRPTMADVMVLLNSDTTCSLLAPAPRPTYLVDGISGYSQTITQWSGR >OB07G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12206876:12207530:1 gene:OB07G23500 transcript:OB07G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSVAVLAAVMAIAAVASTAVAKDYTVGGSYGWDTYIDYDKWQGAGKTFMVGDTITFKYEVYHNVLEVPASDYDSCTATNPVSVHSGGNTTFELAAAGTRYFICSIPRHCLNGTMHVKVTTVPYSASAAAAAANARSPSPXXXXXXXXXXGPSRAPAPRTAASAPRHLQPAVAVAGLALAALSFAMAA >OB07G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12208764:12209976:-1 gene:OB07G23510 transcript:OB07G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHVSPRSESVSQPSSKACREKLRRDKLNERFLELGAVLEPGKTPKMDKSAILNDAIRVMTELRSEAQKLKESNQSLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSLVPHPPVIPAAAFAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDPKSCPPVA >OB07G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12213635:12216205:1 gene:OB07G23520 transcript:OB07G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3MLS0] MMATTPSSAQPVRVQVQVQVQQGWMYRSRAASPAPPLLPPPTTGRRLAVAGYRRRTTVASLRAVAAEREQDSPLPEPPPLLADADEEERMLANYVPVYVMLPLGVVTADNELEDAEALRAQLRRLRGAGVDGVMADVWWGIVEGAGPARYGWRAYRELFRMAQEEGLKVQAIMSFHACGGNVGDAVSIPLPRWVRDVGDGDPDVYYTSRRGARNQEYLTIGVDDRPLFHGRTAIQLYADFMKSFRENMADFLDAGLIVDIEVGLGPAGELRYPSYPESQGWEFPGIGQFQCYDKYLEEDFKAAATETGHPEWELPDDDAGEYNDTPEDTRFFAADGGTYLAEAGRFFLTWYSGKLLEHGDRVLDEANAAFLGCTLKLAAKDYCPDPARYGRPMRHLERSGPVVPVERLLDATAPEPPYPFDGETDMSVGGGLAELIDWVFDKVEWIFC >OB07G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12231914:12232665:-1 gene:OB07G23530 transcript:OB07G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSADGGSILSYQLNLDGGSPMEERLVVHPKGEVLDVPRPAAVGVEDVRVALADVAHPPRDRDVDDVADVAAALVERHDGLQLQPGVPHRPEQLPVGVPVVRPGCLPLHQPPPHVHHDAVDAGLPQLPQLRPDLVALLELVVDAHRVQLHNTSRNGSKGS >OB07G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12232005:12235349:1 gene:OB07G23540 transcript:OB07G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3MLS2] MAANLLANYVQVNVMLPLDAVSVDNKFEKGDEIRAQLRKLREAGVDGVMVDVWWGLVEGEAPGAYDWDAYRQLFGAVRDAGLKLQAIMSFHQCGGNVGDVVNIPIPRWVRDVGEGDPDIFYTNRGGARNVEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAEAAKAGHPEWELPDDAGEYNDTPEKTQFFADNGTYVTEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLNVACENALGRYDATAYNTILRNARPTGINKNGPPEHKLFGFTYLRLSDELLEGQNYSTFKTFVKRMHANLDHNSNVDPLEPLKRSKPEMPIGKILQAAYPKLEPFPFDENTDLPV >OB07G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12238742:12239497:-1 gene:OB07G23550 transcript:OB07G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLRPGEFTESTCGRPLGLRFHNTCGNLYIADAYKGLMRVGPRGGEATVLAKEADGVPVRFTNGVDVDQVTSEVYFTDSSTRFPRSQHERVTATGDSTGRLMRYDPTTGYLDVLESGMTYPNGLALSADRTHLVVALTGPCKLVRHWIKGDKAGTSEPFAELPGYPDNVRPDGKGGHWVALHREKTETPYGSDSHLLAVRVSRSGKLVQELRGPKDVRPTEVIERDGGKLFLGSVELNHVAVVKATPTK >OB07G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12247389:12248021:-1 gene:OB07G23560 transcript:OB07G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPRGGEATVLATEADGVPFRFTNGVDVDQVTSEVYFTDSSTRFPRSQNERVTTTGDSTGRLMKYDPTTGHTAVLDSGMPYPNGVSLSADRSHLVVALTGPCKLVRHWIKGAKAGTSEPFAELPGYPDNVRADGKGGYWVALNREKSESPYGSEDHVIAVRVSRSGKVVEKLRGPKNVRPSEVIERDGGKLYLGSVELNRVSIVKATS >OB07G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12251780:12252412:-1 gene:OB07G23570 transcript:OB07G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPRGGEATVLATEADGVPFRFTNGVDVDQVTSEVYFTDSSTRFPRSQNERVTTTGDSTGRLMKYDPTTGHTAVLDSGMPYPNGVSLSADRSHLVVALTGPCKLVRHWIKGAKAGTSEPFAELPGYPDNVRADGKGGYWVALNREKSESPYGSEDHVIAVRVSRSGKVVEKLRGPKNVRPSEVIERDGGKLYLGSVELNRVSIVKATS >OB07G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12255373:12258505:1 gene:OB07G23580 transcript:OB07G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGLSQGVLPAGAASRGRAGRSSGRIAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGQVHQAGTLNSDQARDVELPLKERFYLQPLPPAEAAARAKESAKDIINLKPLIDKKQWPYVRDDLRLKASYLRYDLKTIISSKPKDEKKDLKDLTGKLFATIDGLDHAAKIKSPAEAEKYYTETKSVLGDVLAKLG >OB07G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12255775:12259774:-1 gene:OB07G23590 transcript:OB07G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT4G31460) TAIR;Acc:AT4G31460] MSFRAREMYKKVVRRVGGEGKLPAELMESVKNMLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIKVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKMYSQLAQMEVGFFPPEEEAKIEQGFEEVRAAKREHRREARKALAKQTQLEAGNAGPEQTAGASSDVAVKS >OB07G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12263682:12273805:1 gene:OB07G23600 transcript:OB07G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIIAMDVVAGVLGIHAERAQHQGRRLRILFIECRQPVRQAIVVVVGLALLILGALPNAKRKAAECGLPRHRFLSIGGVLCFVHALFCLVYYVSANAAKREEAR >OB07G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12278756:12279880:1 gene:OB07G23610 transcript:OB07G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3MLS9] MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >OB07G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12280711:12280875:1 gene:OB07G23620 transcript:OB07G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKLKSTVHVVPFSSFLTFYLYIFLSVVVQLCNKNKITVSDYQSLIPALTWN >OB07G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12280655:12293935:-1 gene:OB07G23630 transcript:OB07G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MLT1] MRRCRREWLLVLCLIALLLLIPIGCEGVSPPALTPPLINQVDQLVEHVWVKCGLDKRALEDVRKHFNYNHVFEILRRMSGQDGKGTSPDTEDEGASVLSPEIRNSILNCLSKQNLLSIVGQDGLKALSADYIKALVASLRSDINQGSSAPANPSPEQAATQETGQAAPGKTPPVPKPAEKPAGSGSSPSDSSSKSAPAEKDSSSKAAAEKEESSGMPATAIIGLSIAAVALICLCCCMFRGNKDSSDDLRDDKPLLTLNLSNLSAASKSSQGNPIDVNKLGALSLKSEAGQNGGVKLNNPQAGANSAVHPHPVGSVSASSSSSSSTTTSPDAGTVTLTGGPAPAPAPMTPLQASPAPPHPEPSLPPPPAPSAAPPPPPPRSTGPPRSTGPPPPAMPGSSKTRPPPPLKKPGGAKAAAADPAEAKAKLKPFFWDKVTANPAQSMVWDHLKSGSFQFNEDMIESLFGYNSTDKKGGDAKKDLASKDAAQFVRILDPKKAQNLAISLKALGVSPQEVCSAVNEGNELPSDLIQTLLRWVPSNDEELRLRLYTGELFQLGPAEQFLRAIIDVPYIFQRLDTLLFMANLPEETSNVKQSFATLEVACQELTNSRLFLKLLEAVLKTGNRMNVGTFRGEAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSSVSSVSTDDQTGDDNEQTEDGYKQLGLTVVSSLGDELRNVRKAAILDADQLTMSVASLGHKLVKTNEFLNTSMKSLDEDSGFHHKLKHFVEQSQVDISFLLEEEKKIRSLVKTSVDYFHGSAGKDEGLRLFVVVRDFLAMLDKVCKEVKEASKVAPSKAKAKQPSQSLQSFRDPRVNLFPAIQDRRVDSSSSSSDDES >OB07G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12316302:12318017:1 gene:OB07G23640 transcript:OB07G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLFKYRLSGANIVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQAGILLRENIQVGADPLYCTSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRHPLPGVGRPQFSSGGYPTASYEFRPEKRQRELREDPQIIVKQLLTRCAEALSEDRTDEFHKLVQEARGVVSITGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPDSKELLSYMKILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGAGLDIVGKLLKSMSEEFNIPLEFTPLPVTATQVTKEMLDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMDYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIVACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLEEKDGAMLLGWKNRKLISASAWH >OB07G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12328839:12332580:1 gene:OB07G23650 transcript:OB07G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18975) TAIR;Acc:AT4G18975] MAWKREGVLVVVVVLVEEGEGRRMDCRLALEIERTVGHTDKVSKKPNKQHHLWIRKDSAGSGKKALRLVNTVSKLPNEKEAVYGALDKWTAFEPEFPTIAAAKALEMLKRQRQWLRIIQVTKWLMSKGQVLTWTTYDTLLLALFMDGRMDEVESIWNNIIQTHTRSVPKRLFSRMILIYDIRHCPDKVLEIFADIEELGVHPDEDTTRRIGRAFAALGQEDKERLVLKKYLKKWKYIHFNGERARVRMGGPLA >OB07G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12334088:12337471:1 gene:OB07G23660 transcript:OB07G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQKRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESDNLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENHLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVLPNPDEVADVKYVSREELKELIRKADAGEEGLKLSPWFRLVVDNFLMRWWDHVEKGTLNEAVDMETIHKLK >OB07G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12338728:12342123:1 gene:OB07G23670 transcript:OB07G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASAVEKVISGRWHSSKPSSPPAPVSIPVVETHVAPPEMERPKSVGVRELDGGMERGVAPVRPASHEGRVGEARDVEVPERPRASDVPERPRVGDVPERPKLKLLPRSKPVDATEPSPVYVEEKQVHQVPVVANAVLGEVTHDVHQNMLAGKTVVVVADAESRAVERPRLNLKPRSSAVGQSDESAPKERQSLFGGARPREQVLRERGIDALANDLEKTSPIGRSKNEFAKVEQKVEAMSINPSGEKAESFPTGSRGPRNADKKDYRRDTDRTDVYRSTRREDNRRVARDVEKPEPPRPEPETWRKPVEPPKPEVTAPRFGKGASAMELAQAFSKSMSDTVPQSRLTSVPSPKVPPSPGTRDQVGFSRLTDNRALHSSTSQRKINGY >OB07G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12342333:12342638:1 gene:OB07G23680 transcript:OB07G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSNLLKSQLELITYTPFFWRTSGIIKRHFETESHNASGGSAAADTCTSSEASEKLQRISFASPDLCTERLSSRHGQASEPHTLEHPPTPDQLQDLPAGPPS >OB07G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12364776:12368862:1 gene:OB07G23690 transcript:OB07G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT3G22104) TAIR;Acc:AT3G22104] MACVLEVDVDGEELFLVDKDVLAPFCGRIKNLAGRGGGGGGGGGEGVVGAAAPARGSRRVVLRGFPGGAEAFELVARFCYTGGGGVAVTSSNACALRCAAEFMDMAAAAEVSTPPSLVKMTEKALDEMPQWSWQSVVDAVKQCQRLLPVAESTGVFDRVVGALVSHMAVLPGDATPTSSSPESSAFRFSCDTKSSCLSTRCTSRTWWFEDLVVVLGPGMLERVARALVAKGTDHGVVSRFLFYYLKCRLAGATADDKRAMLEAAVAVMAGLDRSSVSCKGLFGILRISSPLKLAASCHDSLVAMIGGKLDHATLDNLLVPSPAGTTSSLYDVTLVLRFLDAFLHAAGAGAGAARDEPTRLKKVGKLIDLYLAEVAPDPSLRPAKFVELATALPAAARDCHDALYRAIDVYFQVHTRLTDEEKMRICKGINYEKLSPECCKHLARNASFPTRAAVQALASQHTVLKSLLRGGGPDQQQLKPVSVSSSPPPARLKHRGGEEHDDLVGGGGGVVLYARRLDLSLESQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKAARRGAAGGGAGRASSRSLPRMCS >OB07G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12380063:12380491:1 gene:OB07G23700 transcript:OB07G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASLDALLLGSSSSSRQLVLEVGSDEGVQLAVHDAGDVRRLAAGADVLDELVGVEDVVADLLPPLRLHRVAADLLDLRRALLLGDHQQLRLQPAQGLYLVGQLAPFLCEVLGNGKMEKNMTHAAPVLDANAFQWHSQVHI >OB07G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12380075:12382781:-1 gene:OB07G23710 transcript:OB07G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 109 [Source:Projected from Arabidopsis thaliana (AT5G36170) TAIR;Acc:AT5G36170] MQDFYALRKDVELAVARVNEVRQSAAMDQLEEEIASLEKKSADSSLWDDPSKAQEILVALTEVKDRVKLLNDFKSQVEEAETIVKLTEELDSIDTSLLEEASKITKALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSASIELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDVAGVMDGELDAFVRAYLKHKLSAAAAAAEEQSVK >OB07G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12386525:12388564:-1 gene:OB07G23720 transcript:OB07G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLASSSSPPPPPRRFPSLRQRSSSAFHHAEADPRDQGLPPDGEEEGRPVGADQEDQGRRQVQGAVLQVPLHPLRLRRRQGQQAQAVSPPRFDRPGGLSIKARPCYL >OB07G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12396592:12399962:-1 gene:OB07G23730 transcript:OB07G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVQGGDGGERASRCRGRRSGRGYQGPDLISSLPDDLLYHILSFVTTPEAVRTSALSRRWTGVWTRVPRLLLLDEESKDVDRMLDSFDGVLRRYATDVDIADLTISYHWDCPEVTEERATSWAGFAARRVTGRFDLSVKVQSAGTDEHFLELPWFERTAEISLDMSGMRVQLPPAAAAGNFTRLTKLQMSHLLFMDGGGGEGISDVVSRRCPRLETLELEHIDDVEALSLSSESLLCLRLVSLFPLRRLDLEAANLRRMQLEDCFAGHGGWNGGTAMRLSTPVLEEVVWEDEYPDVVEVYSEEQWNLIHQMQLPYYSELDLVVTTNGHRSYGSNIIHFLKRNSSIRNLTLALWTYDKFFQYRARNNPAAVRCMPEHCTCHERSKWWDQEAISLDSLEQLVIRDISGELEERQLTYFIVRNSKALKKLVVVFWRRVTGSRRRFLRNLRKLSASGCTIEFNFPRVRKRTYRDRRMGKIVIKV >OB07G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12404644:12416851:-1 gene:OB07G23740 transcript:OB07G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEKRGASGSRRDSLRLPRRCSRSAASGGSRVLSSDARSPVRVALAAHGEHGATDIRLLSVTSPYGATADAAASWLRVAALLVSGELRFCNWSSIPLRRRNKELLDDIVEERGAFELPCFTRATTIRLSLGFLGLSLRPPGVFAELRKLLVDHVQFHGECTLDDTMMPFLEVLQVYCARGLSSLTLRLKHLIWMDLFHMRGLRRLNAEAPRLKKLAVVDCFRSSFSQDGACIVAEDLEALWWQDWYCPSLVNFNKIPRLQQLIVSPFYGEWCNIYNPTCDGLLKLLPRIHCLQMFIPIKPVSAASSFGSSTAYSSKIKLQMETQC >OB07G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12417875:12419212:-1 gene:OB07G23750 transcript:OB07G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFLEVLQIYCARGLSSLTLRLKHLISMNLFHMRGLRRLNAEAPRLTDLFVVDCFRSSFFRDGACIVAEDLEALWWQDWYCPSLVNFNKMPRLQELIVSPFYGERCNYFNPTCDRLLKLLPRIHCLQMFIPIEPYSVTDMVLKESITGLPNIRILCLKLIHLRHSYGATVLHFLTMCTGIVKLII >OB07G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12419852:12421103:-1 gene:OB07G23760 transcript:OB07G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVPFDGGEADGVSSTAAPDPFPVDGNGDGDRRDRGGEGESGDRRDRGGEGDSAGHISGDGDNAALVHAAGDGGGGRDRLSDLSNDLLACILAGLRDTHAAATTNVLSRRWHRVWTPPLLNRLCPSSKPSLPNPASSNPNAMVVLVPFDGAKQMAVAPRPPPIPFP >OB07G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12420801:12425451:1 gene:OB07G23770 transcript:OB07G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42310) TAIR;Acc:AT5G42310] MGVPEAGQNAGEEVVGEIAEAVPPASSVTGGVDESGVIAITRDVAGAIAFSAAVAPVAALAFPAAVAPVAVAVAVHGKGIGSGRGANAICFAAVEGDEHHHGVGVEGGGPGRAVPSFFHKIHGDSPRPVYPHNFLPDLASYSHLLASLLNTRDPPDAALLERLLGDLRESRLEPDAPLFPDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISSLGLARRVPEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEQVLDEMSRCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGEWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIDPDVVTWNTLIDAHCKGGRHDRAIELFDEMRESKCPPGTTTYNIMINLLGEEERWEGVEAMQAEMKEQGLVPNIITYTTLVDVYGRSGRFKEAVDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRIAEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFEKVPVVYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >OB07G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12444178:12450089:1 gene:OB07G23780 transcript:OB07G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSTKARLSAPCYAKYDDGDLAFFDTVVRGNLSFGALRGVEGLSQEELFVWLPVKGIVVADPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSASAETDAADAARWKDRQEGTKSHAKFPSLNLFPFVTGRCSWPEVEGRGIRRRKPPGPEVKELQEM >OB07G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12451511:12456234:-1 gene:OB07G23790 transcript:OB07G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNNMENCYAFLYIKEEKGKKKRVLMKCLVIGDVLAIDVLDLEAQDKGPYNIQINVKDFFSEEQPKNYGDMYKNFAGLIETMNSNALCKLDEKDAGAAKNSEVETSSSIHRSENPGLRTAEPAGLVYPPIAPLGHDDAFPGPGAGIYPYSGIGGGGSMHVGPNDPRFFPSNPFPAPFGGPGSIPPGSRYDPIGPPDVPGFEPSRFVRRPRPPGGSAHPDLEFFQPGPHGPF >OB07G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12459661:12460032:-1 gene:OB07G23800 transcript:OB07G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFCLVSSQLAMTAVMGRPFPVFPGGGGGGEPASIADAPSSSSSSAAAAAGGHLLHVYSLLESGFAESPLGSHHRNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKTTEPKS >OB07G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12464523:12467298:-1 gene:OB07G23810 transcript:OB07G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46870) TAIR;Acc:AT3G46870] QTTRKQSRPEEGFACLCPRHGRGLSASPRAPPPPPTPPPPPLLQGPPYPPLVLLLVDPWAPDEALGEWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEERLAEFVRRHVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDVFMYKDLIVALAKCKKMDEAMVIWGNMRDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >OB07G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12472409:12475688:1 gene:OB07G23820 transcript:OB07G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARATRGGGEDGEEVKVEEDFVDSVLNFGAGGWGEEGDDGEEQQAAAAAPAAGKEFKSKNLVAERKRRGRLNGNIFALRAVVPKITKMSKEATLSDAIEHIKNLQNEVLELQRQLADSPGEAWEKQGSASCSESFVPAENTHYQGQVELISLGPCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTIEVNGEQDVVIVELRNLLSSIVEVPSI >OB07G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12477219:12483352:1 gene:OB07G23830 transcript:OB07G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MDHAELTTEQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEETVEYVLALIDEMLAANPKRAALFYDKSLSGEDIYDPFLSNWFVQEKSCKILTQIISARPKIQNGIIPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETSLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENGEVRKNALLCVQRLFLGAKYASFLQT >OB07G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12485554:12487857:1 gene:OB07G23840 transcript:OB07G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGFRRMFSVSGFTPPPPPAARPAAEPCNNLFVSGLNKRTTSEGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVIEAGEGIKGMDGKFLDGWVIFAEYAKQRETQQPAQPSSGYQYSN >OB07G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12488966:12489994:1 gene:OB07G23850 transcript:OB07G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNMRNPSRLAAVNEPLKISDDSHHKTRKISTRFPLPPPRARAPRSTPPNPNAPIRASTAAPSNGQRCPPAPPTRTAPQSDGSPLPSRLYIYPSSPNHQLEAIPSKSPPPKSNPPVPLPSSRSPSSGEQRRRSRMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGGKRISGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPP >OB07G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12489235:12489510:-1 gene:OB07G23860 transcript:OB07G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPPPLLASLRIAGLVIPWMLSRSTFLCRFAPPLPSPFPPFPRPDILERRRCSPDDGDRDDGKGTGGFDFGGGDLDGMASSWWLGDEGYM >OB07G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12493863:12499920:1 gene:OB07G23870 transcript:OB07G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLSFLTSVRDSGGTNSSEIRIITPKELTQQHMRNSSNGGGAGDGGDDDVDRISDLPEELIQSILIRLPSTAAAARTSVLSRRWRDVWTRLPSLSFTWECQHGRPDAIEAALAAYSAPTCQHGRPDAIEAALAAYSAPTVPRLAISLGCPYPPRSAVAGWLEFAAWRVAGSLTLNFPRYYCSVDLPVCERATSISIHGQNSLRLPPVGSFAALTALTITGAFLEGGGFEDVLSSSRCPRLQRLKVRGVMLRTAADDDVSIRSGSLERLDFFVHGVARLEVAAPRLRCFRAALEARGDGVPEASIAAPMLEAVDWHGAFDAQRHLFLEAGRRLQRLAVFDVPTAALMRRFHIVDELVLSFGIAPGTQGYRMFLDATIFFARCEVLDLHMTTRRHSFTSAAFHLLNRSAGVRKLMIHLPRMGNKSCIEGCPCSLPDSCKTDKIQLDSLKEVEILGFRGDVHHLKFINLLLKCHVPILKKLYIKVSKDVKSLNKRTSHKIRRIIDDHPDIDVEFNLPLEESVEGYK >OB07G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12501753:12506366:-1 gene:OB07G23880 transcript:OB07G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MLV6] MAAAMRWPLALLLPLLLLFLFFSPAASASDTILANSSLSDGQTLVSAGGVFVLGFFTPPGSTERFLGIWYKDIDPMTVVWVANREAPVSGTAGSLAVNGAGGGGGGLVLGDGAGRVVWSSAPSNVSGGNNPVAKLMDSGNFVLVGGGAAGEVLWQSFDYPSDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDTRGAPEGFIRRNGTAPEYRNGPWNGLQFSGEPEMEPNNTSFRFEFVANRTDVYYTFVGADGGDNGGVLSRLVLNQSSAQRYVWVPQAGWSLYWSLPRDQCDQYAHCGAYGVCDVAAPSMCGCPAGFVPASPRNWALRDNSAGCARATRLNCTGDGFLLLGGVKLPDTTNATVDAAITVGQCRQRCQANCSCVAYSASDVRGGGSGCIIWSSPLIDIRRFSYGGEDLFIRLAASDLPTNGDDSSRKNTVVLAVVLSLSGVLLLALAAFFIWGKFFKRRGRFQSTQRLTSFESSNHLNPVQDRKMEEETSHSNDLNVTLFDINTIAFSTDNFASSAKLGEGGFGAVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIDGEERMLVYEYMENKSLDNFIFDKAQSAQLNWSKRFDIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDRDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEIVSGRKNRGMYSGGEQASLLSHAWRLGGGGRARGLLGQRAGAAGRGGGRRRGRRLVQRVGGAAVCAGGAPMRAGAAGGAAAHGGGVHDAGQPERRGPAAEAPGLLR >OB07G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12510960:12512446:1 gene:OB07G23890 transcript:OB07G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLAFIVTRSAPELVAPSRATPRELRPLSDIDSQDGLRFYRSGLHFFRGGGAGAGAAAPAEVVRRGLADALVHYYPVAGRIREVQPERKLVVECTGDGVVFVEADADVSLSDFGDVLCPPFPCYQELLCEPDGNCATVVGRPLLFVQVTRLRCGGFVFGLQMCHNIADAAGAVQLLRAIGEMSRGMPAPTVAPVWARELLMARSPPVVTHRHPEYDETAAGGNHDKLVNNEPLVQRAFFFGAKEMSALRELVAAPAGAVAGAGVRISRFDMLAAFLWQRRAAALEYADDDEVRVMFVVNARGRSPPLPAGVYGNAFAFAVAACTAGRLRESPLGEVVAMVADAKARAASDGYVQSVADLMAQRGRPRFGRAARTYLVSDVTRAGFEGVDFGWGEGLYSGGPAAVTLATFHLTAKNASGEDVIAVPMCLPAAAMERLELDVHMSLNH >OB07G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12514320:12520258:-1 gene:OB07G23900 transcript:OB07G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAIAALALVLFLGGGGSGGGDGVDAAASLSQGQSLRGNETLLSASGAFKVGFFKPAGGDHGRVYLGVMYAQAREQTVMWVANRDAPVSAASAYSATLTASGELRVMEGDRVAWRTNSSGGLANHTLTIGDDGDLVIAGSDGAGKEQVWRSFDHPTDTFVPGMEIALRQSNGSSISRTLYTSWRSDGDPATGDFTLGLDSSAQLYIWRSQDGKNSTYWRSGQWTNTNFVGIPWRSLYVYGFKFIGDPLLGGDMFLTFVPFNSSLYRFVLRPDGVETCYMLLDSGDWEVVWSQPTIPCHMYNKCGANAECAAADDGQPICTCLKGFTPKSEAEYNSGNWTQGCVRSAPLTCSGGGNVTGGDGFAVVQGVKLPDFAVWGSVAGDANACKKLCLDNCSCGAYSYSINSCLTWGQELVDVYHFPSSSGVLYELYVKCRPFVPDADSFWKSTHTGNMWDKSCFDHAGDESKVREQLMSEAASILHSVEFEIKNFETNTRANIDKPVHIIVAEKLCIGRKKIDLPLLRPGRDANKDFSGPAQSEHEKPDDGKNCELPLFAFEALATATDNFSSSNKLGEGGFGHVYKVLDRLPARTNSCLNASVISVGRLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFDPERRGLLDWRTRFQIIEGVARGLLSLPRDSRLRVVHRDLKASNILLDHDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYVSYRAHTIPSTLCAAQINADELKLLVACLQAWQLWNGDRGEQLIDPAIRGACPAKEALRCIHMALLCVQDHAHDRPDVPYVVLTLGSDASVLPMPRPPTFTLQCTSSSSGRDMYCCRDKEDGSHSSNDLTVTMLQGSWGGGDRIFFDCFLCTWRNFLLPPVSSIGSRQQVAVGDGKRRISLESRVMFMGVGGLAVEGEEAKQKTIGEEDNTHRGQGVGCVGDEHAGLADGAVPDDDTLYEPRGSHPHCY >OB07G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12530356:12537715:-1 gene:OB07G23910 transcript:OB07G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMRITVRTPGKGPSEMMRFTSWSSETDPSPGRYALGLDPNNSGQAFIWRDGNVTIWRSGQWTGQNFVGIPWRPLYLYGFKPANDPNLGAYYTYTASNTSLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTALQDGKAQCTCLKGFQPKFLDQWNMGNWSQGCVRSPPLGCQVNQSGDGFLSIPNIKWPDFSYWPSTVQDENGCMTACLNNCSCGAYVYINTIGCLLWGSDLIDTYQFQSGGYTLNLKLPASELRSHHAVWKIATIVSAVVLFVLLICLFLWLKRGRNIKDAVHKSWRSLHTSTRSQQNSGMLDISQSIPFDDDREDGKSHELKVYSFDRIKAATSNFSDSNKLGAGGFGPVYMGKLPGGEEVAVKRLCKKSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIEGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDMDMNPKISDFGMARMFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLMLEIITGKRALSFHGQQDSLNIAGYAWRQWNEDKGEELIDPLIRASCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRSLETSRSSEKDQSHSIGTVSMTQLHGR >OB07G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12547851:12551780:-1 gene:OB07G23920 transcript:OB07G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFDAFTASKADDLAKPLKDAGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVAEGGDGGAAELAVGEEMLHPVPEEDAEYHDATEEHKDT >OB07G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12555060:12562670:-1 gene:OB07G23930 transcript:OB07G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAGRGGSTDAGLTDPLLRGGGGAKDKYWVPADEEEDEETCCGEEDGRPPPLYRTFKVSGVLLHPYREKLKYRVIYGPIWENFSQLKLFPEATFVRSASNGRNTVHQILLNLSPPFQFNSYEVYQTHVAVAVCSSILLLQSISFLSSLNYGRLLTVVRLIAVVLFLAWRMKHRNSDAMWLWWISVAGDFWFGVTWLLNQASKLNPVKRVPDLSLLRRRFDGGDLPTGGRGGSLLPGLDVFVNTVDPVDEPVLYTMNSVLSILATDYPAERHATYLSDDGASLVHYEGLVETARFAALWVPFCRKHRVEPRAPESYFGRAKAGPYAGPAVEEFFADRGFVRREYEEYKARLDALFADIPRRSEACDGSANAKAGGGAKPTLMADGTPWPGTWIEPAENHKKGHHAGIVQVMLSHPSGERQLGTPASSDRPVDFSAVDVRLPMLVYIAREKRPRHDHQKKAGAMNVQLRVSALLSNAPFVINFDGDHYINNSQAFRAAMCFMLDRRDGENTGFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGDDPPRWRPGDDDDGDAKAMGCPGRYGNSMPFVHTILVAANQERPIASAAASLDETAMAELQKVMTCAYEDGTDWGDDVGWVYDIATEDVVTGFRLHRKGWRSMYCAMEPDAFRGTAPINLTERLFQILRWSGGSLEMFFSRNCPLLAGRRLHPVQRVAYTNMTAYPVSALFVVVYDLLPIIWLSHGEFHIQKPFPTYVAYLVAVIASIEVIGLVEIKWAGLTLLDWWRNEQFYMIGATGVYPTAVLHILLKKLLGLRGVRFKLTAKQLAGGATRERFAEMYDVHWAPLLAPTVVVMAVNVAAIGAAAGKAVVGGWSPAQVAGALAGLVFNVWILVLLYPFALGIMGRWSKRPCALFALLVAACAAVAAGVNDNRFVIISREMHQTQPIKSAKNLKSLCIEADS >OB07G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12566209:12568825:-1 gene:OB07G23940 transcript:OB07G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWWISIIGDFWFGVTWLLNQVAKLNPIKRVPDLALLQQQFDLPDGNSKLPGLDVFINTVDPINEPMIYTMNSILSILAADYPVDKHACYLSDDGGSIIHYEGLLETVKFAALWVPFCRKHSIEPRAPESYFAVKSRPYTGSAPDEFLSDHRCMSREYDEYKVRLDSLFTIIPKRSDANNHAQAKEGVKATWMADGTEWPGTWIDPSENHKRGQHAGIVQVMLNHPSDQPQLGLPAGTDSPMDFSIVDVRLPMLVYIAREKRIGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGLDPPRWRPDDVNTVDSSKKFGKSDSFINSMPAAANQERSIISPPALGESILKELDDVMACAYEDGTEWGKEVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPFLAGRRLNFMQRIAYVNMTGYPISSVFLVFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIIMTEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPTAVLHIVLKFLGLKGVSFKLTAKQVASSTSEKFAELYAVQWAPLLFPTIVVIAVNVCAIGAAIGKAVFGGWSLMQMGDASLGLVFNVWILILIYPFALGIMGRWSKRPCILFILILISLIIIALVDIAVQAMRSGSVRFHFRRSGGASFPTSWGL >OB07G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12602000:12604585:1 gene:OB07G23950 transcript:OB07G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRINAGLRADAPDDGADAQNGARPPAARRVNGGGGKDDVWVPVDEPDTPDAGGARPPLFRTYKVKGSILHPYRFLILARLIAIVAFFVWRARHKNRDGAWLWTMSMAGDVWFGFSWVLNQLPKLSPTKRVPDLAALADRHGSSGDLPGVDVFVTTVDPIDEPLLYTVNTVLSILAADYPVDRYACYLSDDGGTLVHYEAMVEVARFAELWVPFCRKHCVEPRAPESYFAAKTQAYKGGVPGELVSDRRRVRREYEEFKVRIDSLFTTIRQRSDAYNTKHAGENATWMADETQWPGTWFEPAENHRRGQHAGIVRVILNHPSCKPRLGLPASADKPLDLSGVDVRLPVLVYISREKRPGYNHQKKAGAMNVMLRVSALLTNAPFVINFDGDHYINNSQAFQGTMCFMLDRRGGGGEDTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGAEPPRWGAVASQIEAMDKANKFGSSTSFVGSMLDGANQERSITPLAMLDESVTDDLAALTACSYEDGTSWGRDVGWVYDIATEDVVTGFRMHRQGWRSVYASMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQPVAYLNMSPYPIVTVFIFFYNLFPVMWLVSEQYYIQQPFGTYLLYLVGVIAMIHAIGMFEVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVG >OB07G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12607685:12614182:-1 gene:OB07G23960 transcript:OB07G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMSAAAVTRRTNTAGFRVEITSNGAGGGGDGQDGRWPPASRRVGDGGGRDDVWVSVDEAEVSSGASGGGGGRPTLFRTYKVKGSILHPYRFLILARLIAIIAFFAWRIRHKNRDGAWLWTMSMAGDVWFGFSWVLNQLPKLNPIKRAADLAALADRYDGPSSGELPGVDVFVTTVDPVDEPILYTVNSILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVARFAGLWVPFCRKHGVEPRAPESYFARKTRAYKGGAAGELVSDHRRVRREYEEFKVRIDSLFTTIRKRSDAYNGAKNGKDAGENATWMADGTRWPGTWFEPAENHRRGQHAGIVQVILNHPSCKPRFGLAASVDNPLDLSGVDVRLPMLVYISREKRPGYNHQKKAGAMNAMLRASALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDGAFVQFPQRFDGVDPTDRYANHNRVFFDGTKLSLNGLQGPSYLGTGTMFRRAALYGVEPPRWGSAASQIKAMDSASKLGSSTSFVSTMLDGADQERSITPPAVLDESVAGDLAAVTACGYDDGTSWGRDVGWVYNIATEDVATGFRMHRQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLFSEQYYIQQPFGTYLLYLVGVIAMIHVIGMFEVKWSGITLLDWCRNEQFYMIGATGVYPTAVLYMALKLFTGKGIHFRLTSKQTAACPGEKFADLYTVRWVPLLIPTIVVLAVNVGAVGVANHVT >OB07G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12617288:12619148:-1 gene:OB07G23970 transcript:OB07G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDQGAPNATWMANGTQWPGTWIDPVENHRKGHHAGIVKVVLDHPIRGHNLSLKDSTGYSLNFGVIDVRIPMLVYVSREKNPSYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQALRAAICFMIDQREGDNTAFVQFPQRFDNVDPKDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHWRQDSITPKASQFGDSTLLLESVSEALNQERCTSPPSLNDTYVAEMEKVVSASFDKKTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTLKHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGRRLQLLQRVSYLNMTIYPVTSLFILLYAISPVMWLIPDEVYIQRPFTRYVLYLVVIILMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTTADTNDKFADLYEMRWVPMLIPTMVVLVANIGAIGVAIGKTVVYMGVWTIQQKRHAAMGLLFNMWVMFLLYPFALAIMGRWAKRPIILLVLLPVIFVIVALVYVATHILLANIIPF >OB07G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12621015:12621323:-1 gene:OB07G23980 transcript:OB07G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASGAAGAGDDDNAGRRPSSSLIDPLLVSRTSSIGGAERNAAKGKHWAAADKAERRAAKESGGEDGRPLKLKGLSFPSLEDTESPKFIIILLSKINYSI >OB07G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12624535:12624789:-1 gene:OB07G23990 transcript:OB07G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQNTYCPCFALNCGITQEKRTFNLSQLLGELIHISLTVKPDRQSPLTFHTSIQNRIWVVSPAIFTSSSVTACATTESRFEPV >OB07G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12626836:12627818:1 gene:OB07G24000 transcript:OB07G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDEMVDFFSQSPTTRLFSQGASSSFGGGGGSGGAGEQGAGGVGGDGFDLNSQADGYPEMEYYQELLQSEGGRLPPIRAGRRSSGMPVTPPPINPARGRRGRAAQGGRGRGRSMRAFVPPGSASLAAEGFVPGRDRGVAGRGTGGFGAFGGGGGRGSYSGSSGRGGSSPSRSHGASVDDEEEDDDDEIDDNGQRMTHDKANWTEENTYIFCEIACEEMRGGKIGR >OB07G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12632879:12635282:-1 gene:OB07G24010 transcript:OB07G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MLW9] MSPPSKRKICSSFSFLMLSIFHLYTSAASTDTISTVQSLSGSTTIVSKEGNFELGFFSPGNTGNLYVGIWFRTTSKKAVTWVANRDSPVTSSTSPELKISEDGNLVLLNKFGEPKWSSNATWKKPRKSTVAVLLSNGNLILRDKGNYSDVIWQSFDHPTDTILSGQGFGMDKITGEYQNRVSWKDPEDPAPGPFSNHVDLVRLNQYVSLWNQSEVYWQSGNWTGQAFTSVPGMPLNTEYNYVFINNSHQFKFIYTTKDVSKITRIVLNVNGQLQRHTWSNESEEWIVQWSLPASLCDVYSVCGPFGVCRTGSDEKCYCLPGFRPVSSRSWDLGAWNQGCVRRTDISCVDSNIHNVQQENHAFLKIANIKVSGNPIQLNAQSTEECKSICLNNCTCTAYAYQRECIVWNSELWDLKQLSDGNIDGIDIYVRLAASDLQGQDNENKIHHARPMLIAVLGSIFVTLCVFGAVVWTFQKRNANQKAFSSDDPLILYSYSLLQNCTKNFSDKLGQGSFGSVYKGSLPNSQLIAVKKLQGMRQGEKQFQTEVRALGQIHHRNLVRLKGFCLRGAERLLVYELIAKGSLDSHLFNDVKVLDWYTRFHVILGIAKGLHYLHEECHECIIHCDIKPENILVDEDFSPKVADFGLAKLMDRNFSRALTTVRGTIGYLAPEWIAGLPITSKADVYSYGMMLFEIISGKRNTELMESGTIKYFPVWAADKIRNGDISEVFDHRMNDTDVQELDRACKVACWCIQDNEAHRPTMQQIVHILQGTRDVGLPPVPAFLQHLVEEYDPGSYSSNV >OB07G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12636821:12639328:-1 gene:OB07G24020 transcript:OB07G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFPFLLLLLLFSQILLLCTAVDTINSTTPLSGTQKIVSNGGRFALGFYTPPLGNTTASTGNYYIAIWYNDIPLQTTVWTANSDAPVSDPTAAALAIGGDGNLVLLDRSKNDTRLGSTNVSVASRATAAVLTDGGSLDLVDAADPSTTYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLPPWRDSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXILAAVLFVLFQKRRRDRSLRISKTAGGPLIAFRYSDLQHVTGNFSEKLGGGAFGTVFRGKLPDSTAIAVKRLDGVHQQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYELMPRGSLEQQLFHGETAPLSWAARYQIALGTARGLNYLHEECRDCIIHCDVKPDNILLDDSFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLFELISGRRNADHGQEGELSFFPTLAANKLHGGDVQTLLDPRLNGDASPDELTRAFKVACWCIQDDESARPTMGQVVQILEGFLDVNMPPVPRSLKVHDESPAAIVFFSDVSSSQASQMQNSAASSRTKSSTSDGSQFQCS >OB07G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12647694:12650903:1 gene:OB07G24030 transcript:OB07G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT1G11380) TAIR;Acc:AT1G11380] MAAAAREEEEEEVASTAAREREWEGEGEAMAVLDFDMLCASVAMAAERRKDSAAAAAAVAATVEGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQAMVYFISLVAILVSLIAFSVTRHNIYLYMGLSSVLLIAIYTGYFRRRIRKQFNIRGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSSGANEHEPLVPSAQPEQ >OB07G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12656565:12657224:-1 gene:OB07G24040 transcript:OB07G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFACFSAAAVAPELMXGGLAATGAVVAGTAVAVPGAPRRLSAGSSLEGGKGGRSTPSPRRRRRRRWPGGGVVVVGAASASSSSAALSPALSLDSACSLSSSSSSSTTTTACCSGSRSSFSDALPPARRSEERRRTTTTAAGPAAVILCMVMVMLCGRVGATVLASAAFYLFPRLLPVSANEADSAVASPESDLPPATEQKTMKSRVVKEGFFVRNRKK >OB07G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12663467:12665746:-1 gene:OB07G24050 transcript:OB07G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:J3MLX3] MAASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNAAMAVTGVCQLSRKIRHDYFPDEKDDAATSKE >OB07G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12666304:12666603:-1 gene:OB07G24060 transcript:OB07G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGLIAAVHLRTNRLRFLRSPCAGSMPPDHVCLSSSSVATRDAFPLDQNGVADREAGSRRGKKADASLLSTTTTTILASSASAPAKTTPPAAAGGSLR >OB07G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12669548:12670216:1 gene:OB07G24070 transcript:OB07G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARYTTKLVAFVFSTVTRQWGIAASCSWSALGAEEPPNRYGLGCFDCVDGCFYWTVPWVDKILVLDGLKMEFSIINYAHRVEDGLRAPVAVDREGKPGMLTVGEYMGNGKFRLSRIAKQSDSESPNERLSENIIELPNYDNEYFTLGAAEGFIFLRGIPGDEKIEDSSSEDFYIDPEEIEYYSVDVKTAEFEMICAMDMDKCYFHVCPYFRFAPPSAKLCV >OB07G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12676994:12684478:1 gene:OB07G24080 transcript:OB07G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 4G [Source:Projected from Arabidopsis thaliana (AT3G60240) TAIR;Acc:AT3G60240] MSQRGDRGEGHARRPARSSSFGGHRGGGGVGGAGKGGGGSSGQPPLSSNRSFRKSGNGHGGHQRVVSQADTHGFQPAPAPAPLQASPRPPTPQNAPVQVPVPAPRPQQQDLSGVRAPTLPPSGENAANVPPPKSIPHAAPRAPSRISTAAASQGASKGEAITLQFGSFNGLNGVGPGGSILFPARTSSAPPNLDEQKRMQALPEGNKISTPGPVPTAPKQQQQQQLMQQQKQQPQPPPQQARKDAVSSSQSSKPINPHIPSQMKRDVHIPPSVPSIAPPRPPVQQIPGLPISMPYHHQPTLQFGGHNPQIPPQGVVTSSLQMQMGLHGANAPQVAQQMYIPAIQHHQLQAPAMMHQAQGIAYVNSATHPLTTQLGNMMNVGVGPQFASQQPTKYVGPARKTTVKITHPDTHEELKLDKRIDSAGQRGLPSVQQQSQQVSSYGSMGYYPQNSYSQSPIFYPTTTGVSQVPTASQGPRFIAGQTVSFMNQPMNTGSSSNKDSVAGPTAPGHPQVPGKLHLAGHMEKSGVHAVTISAPPGKTDAKLKPTEGSLSHRQKDNEAVSGVTKSGSENESRAPQITEKHSTSGSQPIQSVVASPETTAPATSAVNPLSGADGKIKESIPRIESFKDSNKNAVKDTGNLSQEPQSASSAEDLKVTSLKVVCDGISPMEDKGVNKGLELTNTAEADSKTLKAADASSVDRSSARSTSESTEKPQKVAKTDVAIGESEQTGIAHKISSDLTKDEISSDSTLNESHEVCTLDLPEQSSVGASNPDNSDAMTSLTDQEQLPKEPTPSVSDENPMMNRLLLNEEKMSGSADDTIDSIAISGTSSEFIIQNANAKGDISGETGSAISSTISDVLSVTNSVASEGPLKPESVLKDQSSSAPATSVRPVSREKPSTEANRTKLTAGKKKKRKEMLSKADAAGSSDLYNAYKGPEEKLDFIDATENLDSSIVDHELPDESSEKEVNMGEDEGKRKAELDDWEDAAEMSTLKLERSDSSNQATEANGRKRYSRDFLLTLAPSCTNLPVGFQMCDAFSVLFHNLAGKSYVVDHPSPGRGADRPTSRGDRRGAAMEEDRWKSGHHFGSGRDIYLDNGPPTMNHRGAPGGNHGLRNPRGGPLVGLNAPQMARSGSDADRWQKGLIPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCYHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKNLLGNCQNPDEENIEALCKLMSTIGEMIDHIKAKEHMDAYFDIMQKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLARGSVVGSAPRRGAAPMDYGPRGSAAVLASPSSQQVGHRGMSSHSRGFGTQDIRFEERTVPLPQRKDEPTLTLGPQGGLAKGMRGQPLISNAELSSAVDNRRMVSGPNGYNSASTAREEATSRIPDRSGRMAPNTQFAGPSNRPASQEGRSGNKSYSEDDLREKSVSAIREYYSAKDEKEVALCIEELNAPSFYPHVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSQLTEGLVFVLASLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEQGGEEPGDLVHHGIGADVLGAVLEYIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSKLDPFMKA >OB07G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12695956:12698325:-1 gene:OB07G24090 transcript:OB07G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPFLVLECLASVLLLLLMMMMKRETLEESNAVTLQIGRQWMAASGVGVGRSGSGPSALHLLWLPAWTTLLVEADLSFTLDAIRLGEGPTVDSLIVEPDPSFTLDAIRLDEDPTMTLVVEANLNSTLDAIGLSCGGRTQWQGRIRKRRAVRGGASSRIHSTCTTGEDS >OB07G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12717657:12719547:-1 gene:OB07G24100 transcript:OB07G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGEEVVNDEYGYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAASSAARREAAAFISEVRYDGELNVQPRVAYLALNYVDRFLSKRQLAGDGHNPWAPRLLAISCLSLAAKMQRAAAISVADIQRGDPMFGEATIRRMERMVLDALEWRARSVTPLAFLGFFLSSCFPQPRHQPPPLDSARLSLFAPVHFAEVKMAEFSPSVAAGAGPLPPQVAGAHLPAFQAGVAACHFVNSEKLRECGEVMAAACGVGPGWTAAAAAGSADTPVTVLGHHRSASSESERTTTVGSAVNSTDAKKRCMGPPRQWG >OB07G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12717682:12717906:1 gene:OB07G24110 transcript:OB07G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFFASVLLTADPTVVVRSLSELALRWWPSTVTGVSALPAAAAAVQPGPTPHAAAITSPHSRNFSCSRKVQAK >OB07G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12733205:12735699:1 gene:OB07G24120 transcript:OB07G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit [Source:UniProtKB/TrEMBL;Acc:J3MLY0] MASTALSTASNPTQLCRSRASPGKPVKGLGLGRERVPRTTITCQAASSIPADRVPDMEKRKLMNLLLLGAISLPTVGMLVPYGAFFVPGGGGNAGGGTYAKDKLGNDVIADEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNNAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGENPWWS >OB07G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12736794:12737918:-1 gene:OB07G24130 transcript:OB07G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWVPPEPNGESICHCVYTWPGPTPRTLSLSRSLAIPKSRCLRFAISPPPPPRPRQQLSPPASRRRPCRRRPRPPLGPAGEAGAAGGGARRXXXXXXXXXXXXXXXXXXXXXXXIVPVPRAATFEVLVAALAKVAPALFPQGGTWPALRYQLPQDDLDALISITSDDDVDNLIEEIDRFQGLAAATLKPPRLRLFLFASSPDHSAAAFGSVLSGACAGDASSDQWFVDALNAPAPAPIERGRSEASSIISEVPDYLFGLDTTSDEPSPGPGGARPKVETEMAHGEDDALSRSTPPAPYVTETTPWPAPPPPYMTQQVYYVPVRPVHHYLDPSSQGGYMPGPVYHIVGGGGSEARGDLYSTTGTGGVYGVPHPM >OB07G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12748497:12750359:-1 gene:OB07G24140 transcript:OB07G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQSSTSATILPVTVSSAMAAAAAAAARNEPLRSAHAEASSSLGRLFIVDPRGRIYRWWQKFLIVLVLYSAWASLFELAMERAAASLPLVATDLVVDAFFAIDIVISFFLLPYRDTSAGLLVTDRVKIAIGCLRRPRFALDVVSTIPFQIIHRLVTDERRGLWGFLNLLRLWRLWRVGELCSELEKNVRLSYLWTRLTKILCVTLFALHFAACIYLWMAFNYGVKELTWIGSVVGGFEHRGVWFCYTYAVYWSVATLATVGYGDLHAANTGEMLFSIAFMLFNMGLASYIIGNITNLVVHETSSTFRMRDMVRRASEFGSAKRLPEPMREQMMDSVQLRFGAEEQLQQEVLSELPKATRLGIMQHLFRGAIESCYLFHGVSDSLIVQLVAEMKAESFPAKANIILENESSTDCYVVVSGEVEAWTATLADGKERHVMRIGPRGMAGEIGVMLGVPQPFAVRSRTLTQVARISRAHLLQTVRPNTADGCVVFSNFIRYLESMKLQTKEAAFVSDLLWNGKPTTILGRAATMLDVDESKETARKMLPCKEPKRVVIHEQLLSETGAASRGKLVLLPDSLQELMKLFEKKFGKAAGGILTLEGAEVEDIEVIRDGDHLFFSL >OB07G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12762409:12765010:-1 gene:OB07G24150 transcript:OB07G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEIAGAGAPQAQGKFLGILVCWLLGNGSLFAWNSMLTIEDYYQILFPTYHPTRVLTLAYQPFAFGITCILTYHEAKLNTRKRNLFGFALFLVSSFALIILDVGTKGRGGLGAFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSSLRLITKAAFENSKNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAASEGSKTVASDLAAAGLNTEQAGQVEEDPKKFDRLSTKQLLVQNIDYALDIFLIYVLTLSIFPGFLSEDTGSHSLGTWYVLTLIVMYNVWDLIGRYLPLVKCIKLTSRKGLTAAILARFLFVPAFYFTAKYGDQGYMIFLTSFLGLTNGFLTVCVLTEAPKGYKGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGW >OB07G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12770028:12772233:-1 gene:OB07G24160 transcript:OB07G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKEDQTGKHWGILICWLLGNGCLFGFNSMLTIEDYYTFLFPKYHPTRVVTLTYQPFVLTTTAIFTYHEAKVNTRLRNLAGYMLFFLSSFAAIILDVATSGKGGIAPFVGVCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRLITKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVAADLAAGGIQNRPNPLSEEDPSCVERWSTKQLLFQNTDYALDLFLIYILTLSIFPGFLAENTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEHVKLRSRKCLLIAAVSRFFLIPALYYTAKYSDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKGPEQNALGNLLVLSLLGGIFCGAILDWLWLIGKGW >OB07G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12773030:12773257:-1 gene:OB07G24170 transcript:OB07G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYARRSPLFGFFSPIFDLVSVSECIQCCVSFMSSSSFQLNTLSRVLPVFVCARSGCEPGHELTCLTQRERVCKR >OB07G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12776405:12778969:-1 gene:OB07G24180 transcript:OB07G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSYSAIPGNQRELITGCDEDDHGLAKTQGKNWGILICWLLGNGCFFGFNGMVTIEDYYVYLFPNYHPTRVITLVYQPFVLTTTALFAYHEAKINTRMRNLAGYALFFLSSFGVIILDVASSGKGGIAPFIGLCLVAAAFGVSDGHVQGGMTGDLSLCPEFIQSFFAGIAASGAITSALRLLTKAMFENTRDGLRKGAMLFSSIACFCELLCVLLYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQSDPLAEEDPDYAERLTNKQLLHQNMDYALDVFLIYVLTLSIFPGFLAEDTGTHSLGSWYALVLIATFNVSDLIGRYIPLIEQIKLTSRRWLLIAVTARFLLIPAFYYTVKYCDEGWVIMLTSFLGLSNGYLTVCDITQAPKGYKGPEQNALGNMLVFFLLAGIFCGVVLDWMWLIGKGW >OB07G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12780672:12781094:-1 gene:OB07G24190 transcript:OB07G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVILSSAHSIGITLAAPASQINLATAYRSLLVSKCGNVSPTLSSNLAMVNNVCDEEAAAVARSLLGFVPRLKKAKDTATITTTWLWWSPSTLTAWALLTGKEACGHVMEYAENGTNLDFANALVKLSKLPMPVGSKE >OB07G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12782335:12787376:1 gene:OB07G24200 transcript:OB07G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKEGAAEEEEEEEEEAEAEAEGDEDRSDEMDAEPGAGAAEAEAKEEEKDAEVEGEGAGSDSEREAEETDGEYEEEAAATDGDNEEEAAEADGEREEEDEEETAEAASENEGEGAESDPEGEASDAEEAEEEEEAEEDASEESPPSPPTRGRRRKRAATPDPPPEDDEEEEGTPVQPRRRRRRKAGERGDSPPPLPDHLRCRRSDGKKWRCQARALPTVSFCEYHYSRANKGKKPPADGEVLAVALQRQKKNRRKGRRNVNASPSSPPAANSDVTRDLPNGLMRISPGSSEPAASLPSPVTAKVGVDIPVPTRRCYRSKNAEPMPVGPVKVVPRAMGMTKATQKTCHHCGMKKAARIVQCKDCDNMCFCNSCINKWYSGMSKKDIKTRCPVCRGCCDCKQCTLGQTKGAISKESSGDQDKLISIKICNHQLYKLLPVELNQEQLDELEIEAKIQETKLSSVRVQVADEQSGPLDCNNCKLSVHQFLRSCPRCPFKLCLSCCEKIRDGSISATTPEDKFKQRLLQQESAHEDGSISCPSIELGGCGDSLLNLVYVPPSDQSEEVSSGDELDGQGNHSGVKDAVAESNGGPDRLSVGQQETVSS >OB07G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12792973:12796373:-1 gene:OB07G24210 transcript:OB07G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVPVALFLALPPPAAGTDVPSYPLSQAQSPANTSSGGSSSTSPPCHLDLSAELFGGVAAACGAGGGLGSLDRGSGFHLQFVCLMQQLKGNVSREVAGGDRARRMLGRDCQLMGLTWLLAKNKTVYIPTVSAVLRAMLYSARPTDACGLADVALDVMRGM >OB07G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12804420:12809170:-1 gene:OB07G24220 transcript:OB07G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGIGERLLAVGTNRQAAYFSQPSHRSGYYTAVKDNGLSTKRKIPAVFSRMFSHYKVIVRKNKAGDLKGRNRILKGYRTFSGTIANAQATQQAQLAWKRLSHTCSYRGPRFPFISQAAYALSFSFTRFHVVPGVMALALGKFALSRPVLADSPYMPTMEGIVTNARDTRHFLSSMVLSIWEGVTLLIRAVHLAFLFFPATALSPFADSFSIGFRKRWLRLVRHTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHTAAPMHEFAYSKATIEKAFGRKLSEIFETFEEDPVASGSIAQVHRATLRDQHPGKHVAVKVRHPGVGESIKRDFLLINLVAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDQIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQGCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLIDKDIKQPVDFFS >OB07G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12821235:12821420:-1 gene:OB07G24230 transcript:OB07G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFLSSSWLSLEASHFFPIIITIVIRIITINFHVWCLSVWGNMAQVASYDWWRRLLSLSLAS >OB07G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12821527:12822872:-1 gene:OB07G24240 transcript:OB07G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 74 [Source:Projected from Arabidopsis thaliana (AT4G05100) TAIR;Acc:AT4G05100] MGRAPCCENSGLKKGPWTPEEDERLVAYIKEHGQGNWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDILDLTSLLKPAAAYYPTQADLETLRALEPLASYPDLLRLASTLLPAATTGAAAEQTQLLLPWLLQAQMAQQAAATMAQQQVTPPPLATAAEQFLQASTACQMPGLVHASPTQQLAQQPQDHMAAACHGAVQLPSYDNQLDYVPALMQMASDAFNLQQWSSTVSSSNNNNNHNVNSGVSTPSSSPAAAGRMNSSSTTTTTTYGLNLSGDVVITADDDAGLLNRHLSELLDVSDYM >OB07G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12832826:12834324:-1 gene:OB07G24250 transcript:OB07G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLATNFQTEEKDTQKVCIFANLAPFQDNDLQETSKATIEQPELKEEGQTVLLAAALSSYQLPHPDGK >OB07G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12833876:12835911:-1 gene:OB07G24260 transcript:OB07G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPNWANDNIASRKEDGAAARPDCGILMIAHVGCGTWSRHLSIEIGQFTTAQDTWHRCLVDSCSFVHMARFCIPDSQTWDMIPLSVLFNPTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWTGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >OB07G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12840258:12844515:-1 gene:OB07G24270 transcript:OB07G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLPPHRLLLVGRRRRRRLPLLLPPAPRHSLVCVRAAAASVEVDVAAGEACGLPFPSECAPHHRELAAAAAAVERACRLCVDVKKSLLSCETKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNTNDNSSNVLVESISSAVADNVSNTGSPLTHDDVLRAIDKGGKDAASFDSNRATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGCPNWANDNIANRKDDSISSRYDRGILMIAHVGCGTWTRRLSNEFGQFTKAQTTWNRCFVDSCSVVHKALYCLSDNQTWNMIPLSLVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGQITDWRGEPLDLEADLTGRRDIYPYGGILITNGVLHSNLLELIKANHS >OB07G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12846896:12848683:-1 gene:OB07G24280 transcript:OB07G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3MLZ6] MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGGGKKAAAKKVAKSPSTTDRPLWFPGAVAPDYLDGSMIGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPERRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >OB07G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12849553:12854362:-1 gene:OB07G24290 transcript:OB07G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center PSB29 protein [Source:Projected from Arabidopsis thaliana (AT2G20890) TAIR;Acc:AT2G20890] MAAVSSLPFAALRRAADCRPSTAAVAVSGAVVLSVRARRGSRSVVRCVATAGDIPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFALGFVTVYDQLMEGYPSNEDRDSIFKSYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSRDGEIEAILKDISERAQGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCFSLNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGNLYSMRH >OB07G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12865497:12870128:1 gene:OB07G24300 transcript:OB07G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQTRPALPPWVSGNFFSLQLLLLLLPHGDLRGGQVRGGRRLRRREALEPLPHALLAARRRDGAGAFVVLVGRDPPLRRAXXXXXXXXXXXXXXXXXXSVAKSLLPARRRLRLDPPNKLYFPYQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >OB07G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12871828:12872990:-1 gene:OB07G24310 transcript:OB07G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVTAMYALLAIANLIVSCSAIALPVTEDANKHLNFESSENLVNNGCELNCDVVDGDQSYHWCHGQLGKMAKPACATVSVFLICLCLPDKLAGQLSDQATSFCSQVDVTFRKSVKLKWFYNALG >OB07G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12872935:12873303:1 gene:OB07G24320 transcript:OB07G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAMASKAYMAVTLGAAIELKEQVAKPCSSAAKRGVSVLAARPSPAGRGARXXXXXXXXXXXXXXPPAPPRSRLGWSCTSAAGVQAKAANWQPCQTLHGHDESDQKHHRADRADSGLSSWP >OB07G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12879398:12880147:1 gene:OB07G24330 transcript:OB07G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFDLQSSIDSGGSVAVAQKSPSLPRAATSPAGRPASRLLLAGLITTQVAGAFNLPSFSAFVCRSHLVAGVRHETGERRRRFQERVKRVVQTHEAPWTRCRFGPRFHVASRGAGAIAVAGGAPRDGWRRLRPAGRGTGPRRSDLCLRTFCFSFFFLLLFLRMERRCSSLAAGAVCCSYVLGAQVDDGIHGILNSVGIRSSEDWSSYLTRIFAYAVKIRRPPNLYGAQFTGAKLKLVLSGTAAQQQVQL >OB07G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12881622:12882544:-1 gene:OB07G24340 transcript:OB07G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFQRANPSTLQASFTGTLRSRMEGGGGSVVVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASARKTVSGSVQFPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNV >OB07G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12885910:12887102:-1 gene:OB07G24350 transcript:OB07G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVGCAIECDHDRRVDEDARIRGGNGRREVFGVPSTHAAVCAVTRPAGAGWLACAARVEPRRQRLWVGAADAGGWVLTGVAKSESSQHGEKKVTLAPGLAPPGPRRPPSKELRLRGPTSCAGHHHASRGRDAPLHRRGGGAVYMWRMNQEHQSFDEVAALNGHDKAVVSLRTAASGSADGWVTGDASDEVKVVK >OB07G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12890086:12893000:-1 gene:OB07G24360 transcript:OB07G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPSVYRKEQEAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVAGRKWSMFGGGVTFLVGAALNGVAKNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDIEEEYNDLVAASDESKLVAHPWRNILQRRYRPQLTMAIAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVAEIPKAYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLPMLCRFKFILFFFFGAWVVIMTLFVAFFLPETKNVPIEEMVLVWKAHWYWGRFIRDEDVHVGADVEMPAAANRSGKVDPAKLAN >OB07G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12905530:12907308:-1 gene:OB07G24370 transcript:OB07G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIYASGALTRARALVVSRPGAGASREDVRFYVRDLFARLRVGGAEMRREAAAALTEVLHDDEKCVRVVVSDVADGIGVLVWLLECPEACVQEEVLEAVSVIAGFEAYRSDLVVGGVIAPVIRVLDARARPVAKERAARLLCRLTENSDNAWAVAAHGGVTALLNVCADYGASGGELVCAACRVLRGLVGVDEIRKYMVAEAGAAPVLVSLCQGAADEAAHMQAVELLAAVASGDNSAREVMIQEGAVESLVCALDPSSPRSSKAREVALRAIDALCFSSPASVSRLVGAGFLDRVLFFLRNGDSTLQHCALKAAHRLCHVSEDTKKAMGDAGFMPELVSILHAPKSLQAREMAAEALCAMVSVHRNRKRFVQEDRNVAQILQLLSPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHVRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWTLQKA >OB07G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12916583:12917885:1 gene:OB07G24380 transcript:OB07G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNEGTYPASGFTNFLQSNCSPENFHLVGNITSSSTISPTAPSLKRTLPIESNRKEKKTIDVDADETIEDGRTEKRLNWMKEEDIRLASAWVHNSKDPVDGTDIPSDQYCADVHEEYNKTTEVCRRRNRNQLKIHWDRVKKPVTEFHGCWVQTNKVYSSGVSDDQLKEIAEKMYASSNNGKDFMLKHIWNVVRGERKWSAHVKKIEQEKEKNKGATNSPATVVNLKDKLTVRPIGHKKAKDERYGNKKTPEAYSAISEKLDKFIEVSTLARKDREKMSETQEKLANSKVEAARLNEKTAENQLKCKMLDTYELLLAPTTNLNAHALAEREKTLEGMRLALFAPDS >OB07G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12920298:12920678:-1 gene:OB07G24390 transcript:OB07G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRHPSSTTGATRPGGRPYSTVAAAASRAPERVRGDGVRVVGGERARPGGDPRGVRGGVGAGGDGPHVDLRLRLRQLQRQRRRRRLPLRRRRRDDRDRAGGGQPHRDRHLQVPVMDCLPILPGQSL >OB07G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12928689:12929411:-1 gene:OB07G24400 transcript:OB07G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQAKTKSSFATSLSFSSSGSTRILGRKRVAVSPAPSPSGPHSPARTLRKQRSIRLHMGGTVSLLESLPQDVLIKVLCKVNYSDLRQLLLVSKQVSAATLVAKEQHFAFATPSSKPVFRGGEEEEQEAPWAPKQRRVARSPILGKKNLASISVNLFESFISEA >OB07G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12933782:12934021:1 gene:OB07G24410 transcript:OB07G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELKGTRITANCVAPGATATDMFFSGKSEERVESAKATNPMERLRGGRGHARGVGFRCADAAEWVNGQVIRVNGGSV >OB07G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12939699:12942717:1 gene:OB07G24420 transcript:OB07G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFANAGTAVLLCSHVDVGMEWTIICDAETTLDYKGPEKKKRTETNFDQYMTPQFTWIGEDSTYSTATRDRSIGILDQLIDRPRATRQPQPPAMSVLLGPQQKQQQQQQQLRRGAETGGMEQKPSGGTRRQLGKAPRIIWPMEALSWRAKQAARSASRCGLAAPGRSLPGWCPAGACLPPI >OB07G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12948058:12949791:1 gene:OB07G24430 transcript:OB07G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLVSMSVAGVLTALSPNVWAYAALRFLCGFGRSMVGTCALVLSTELVGKRWRDTVSVAGFACFTVGFLSLPALAYTFREASWRNMYLWTSLPSLCYSILLYFLVQESPRWLLVRGRKHDAIETVREIAALNGGGITCSFSMLHACATEREDAGAGGDGVFATLQSMWERRWALRRLAAIMTASFGVGMVYYGMPLNVGNLGSNLYLSVTYNALAEFPSSILSWLLMGKINRRSSVIALTSVAGLCSLACVVIPEGSGERMAAEVLSFFATCTAFNIILMYSIELFPTSVRNSAVGLALVLGGVAAPVLVALGRDRSFWSFGVFGLAVGCLGLFAACLPETRGRSMSDTMEEEEYKEAAATSDGMDNNADLV >OB07G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12958324:12959922:1 gene:OB07G24440 transcript:OB07G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKAPSIDDAIETYIGATGATQLLKAMLLAFAWAFDAQQVFMSVFTDAEPPWHCTGVPANGDSSCSPAAASPCALPPGTWEWDRPAETSVVSEWALKCSGPALVSLPASSFFAGNLAGGFLLTTLADTHLGRRKMLFLSLVTMSLAGVLTAFSPNVWAYAALRFVSGFGRSIVGTSAMVLSTELVGKRWRNTVSAAGFVFFSVGFMSLPALAYTFREASWRNMYVWTSLPSLCYSVLVYVLVQESPRWLLVRGRKQEAIEAVRQIASLNGGGGGGMMSSFSMLDACAVELGEDRGAGGEGLFATLQSMWERRWALRRLAAIMTASFGVGMVYYGMPLNVGSLSSNLYLSVAYNAVAELPSSILSWLFMGRFNRRTSVLVLTTASGLCSLACVVIPGERTGVRMAAEVCSFFASCTAYDVMLMYSIELFPTSVRNSAVGLVRQAVVLGGVVAPVLVAVGRERSYWSFGVFGLAVGCLGLFVACLPETRGRRMSDTMEEEEQQTEAPTSGMDNNGELA >OB07G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12963410:12966267:1 gene:OB07G24450 transcript:OB07G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll B-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G54270) TAIR;Acc:AT5G54270] MASTIMAPTSRVLAAKTPFLGQPRSANGAATLRDAAASANGRITMSNELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGYRINGLPGVGDGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFAPGS >OB07G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12963691:12973369:-1 gene:OB07G24460 transcript:OB07G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAPVMAPAPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLFSWAVMLSAAAVMAPPPAAGKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGTVKGAVVDTVMADKKPGVEKKICSQEVKEENVVKTHIFGTKRKFSNGELLEESPYVDNFHKERKEMMVSKEPPSVSPRAKTNGKPVFADTMDYILQKKLRVWCSSSDATWKLGQIQSVSGDDVEILLVNGEVLTLSPDRLLPVNPDILDGVDNLIHMSYLNEPSVLYNLQFRYSRDLIYTKAGPVLVAVNPLKEVALYGKDFITQYRKKLNDDPHVYAIADLAFNEMLRDGVNQSIIISGESGAGKTETAKFAMQYLATLGDASGMESEVLQTNVILEALGNAKTSRNDNSSRFGKLTEIHFSETGKLSGAKIQTFLLEKSRVARRATGERSFHIFYQLCSGAPPLLKKKMFLKEANHYNYLKQSACLRIDGVDDAKRFSMLVDALDIIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEIVSNEGLAAAAKLLGCSTPQLMSALTTRQIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWIIEQINHSLGTGREYTWKSISILDIYGFESFNKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLSLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFKGEQEGAFKICHYAGEVTYDTSGFLEKNRDPLNSELIQLLSSCKCELPKYFASVMVADCQNKSTLSWHLAADSQKQSVVTKFKAQLFKLIQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMSHQQFAERYGCLLLHSITSQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVGALENAKSQMLHGALRIQKNFRGLHTRQEYQGLKKGAIILQSFVRGQKARIHFDHVVKRRRAAIIIQKYARCRLAATIYVDQLKYVIVLQSVIRGCLARNKYKCLKEEKDSKFSQSKVIHARNNVSQARMYHEMNGDYPRQPVIGELQGRVSKAEAALRDKEEENAMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTSDDVATRAARTDAAPTHAHYDSEDTSTGTHTPEGTEFKYQTHNPEARVAAPNSDRRLNAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGNHEEKSRKRWWGKKSSK >OB07G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12977247:12977438:-1 gene:OB07G24470 transcript:OB07G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPSGGGTTSAMTSRHSSSPFYFFGFTEKVLTEPPAPAEGTRPSPRPQSTTICHACSDVVG >OB07G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12985551:12988876:1 gene:OB07G24480 transcript:OB07G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRKNKGKKGGGGSGVAAMDTSEGTPVASTAAAAPEPMDTSEGKQKPSSASIALTSVNRKIKKGVQIKRSQNVRKMKAVARAISKNEKAEEKVLKTKSKKSRVQSAKSLYD >OB07G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12989073:12989225:1 gene:OB07G24490 transcript:OB07G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNVDARFHPDELVAATGVVIRGEHGQMIGGKSKWYASVPNALMAEALAC >OB07G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:12995382:13001362:1 gene:OB07G24500 transcript:OB07G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMAEPQAAGAKAEGLSKPVRIGGSAAEATLSGGELTWRASGGGERVSLELESEVLGVRVDGRVLKVATFGGGDEAAAAGRSSPSSRPVTCGGGRDGGGGRVREVVVEMESEEAAAKWGDAMRDRLASLGRPKRLFIIVNPYGGKRGGRKIFQTEVVPLIQAAGILYSMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGILVEVVNGLLQREDWNTAIKIPLGIIPAGTGNGMVQSLLHFAGESFSVYNAVLAIIRGHKRPLDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRMVSLRRYNGRVLFVPAPGYEGFGDPVEQITSCKPDGASTGIQGDTSNDFNDETCAYTGPSIDEADLEWRSLDGPFVSVWVSGVPFASENVMAAPEANFGDGYLDVAIIKDCPRSALIGLVFQMKDGSYVKSPYVEYFKVKALRIEPGMRVGSSTKGGIIDSDGEVIARGDGSHTGDEIEHLMAYGPPIQLTVDQGLATIFSPR >OB07G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13014553:13025699:1 gene:OB07G24510 transcript:OB07G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGSTWSDRYVVVISVEYAGVLCKFWSHSFEHGGFCETFHLDVAGWRNCESCGKRLHCGCIVSVHAFVHLDAGGVECVMCARKSHAAVAPNQIWSSSMHMAQVADRKDNFVKSWRPPAGQYASQWRQNNMWGVQTIQSDLQQRLAYEFDRPSGSEKLLPGRTFIQAHEKKFDDMHDRPTTPAAMNQIMRERYANGHTQHNSLDPTYAYTIYHREGSNPNLHDHGHHGGENDHLSARKGVAPDPCSSVSTTFKLDSHHPSILKDDPTALPPGLSPNFSSANGPKDHIRIGPQQQQQMASSSLQKQFYSHTVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGEYPKFSISNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVPPPPEANIKVSAPNCSPNTAVPRLDKVNTEGKSSSPVEQATACKIDKGALSQKEGPGTSSSSPGPVKRKATSVGPKIKRFRMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTHFVTDQSGSSCDSAQEINTEELAEMIPIKPGAAKKSKGKVDTDNIDVSDGLDTLANLAILGEVRRRFRTLMMRREKRQRSEKDSETPRRKETGQSSEPAPQAGSAATQTSTTSSPQKADTNGDGPEDMAIENKRTTSPIKNQIDLNSQPEREDEQSPKSDADLANEGASIGFHWREKARAIGSTLLGIGRDKQKHGLPNQKSLRPVNVAINLADDPRLTQQPCPGHVAILPPHTPWAVHVPIPPAPLSPANPQQNGDVVVADVSPAIDNCDEKMLPKVDMLFDGENEAYEFYNVYAEMVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKRGTKEAKCPRPETRIGCPASMTIRLNANGKYRLTEFVPNHNHQLATASTMHMLKAKKIRLKARAVRENLVDDTVRTPEFESEDEAYEFYSMYAGKIGFNVRRASMTMNAENIITRRMFVCSKEGFREKKRGAKGVKKPRPETRTGCPACMVIRLASNGKYHVTEFVTFHNHPLGAAVPCDLVATSQSTETGQDDGMDVVDGSADANIHKQNLISDNTMAASLESRINKRFKCTKVPHNGDIGATLEYLQKMQHDKPSFFFAVKSDDDGNLTNFLWADSKSIMDFVHFGDVVCLDSAYALQGYGRPLALFTGLNHHKQTIIFAAALLYDESAEAFRWLFDAFKMAMNGTQPKTLLTDRSAAISEGAAASWPATAHRYCVWQIYQNALQQLSQAFHGSRTLEYSFKKCLFDCEDEPEFLTAWREMLEKYDLEDNKWLADLFSLKEKWALPYGRDAFCADMKSVQQKESLGIELKKHLSLEFGLLSFFQQFERVLCDRRSAELQADVEASQSTKKPPPMRVLRQACNVYTPSAFKMFEREFELYMDCMLYNCGEMGTVSEYKVTIEDNQMDHFVKFDSLNSRLSCSCKGFEFIGIPCRHMLKVLDTRNIKDLPPQYILRRWRKDAKSGSSNGSYSFPFDSDPQLAQTKRYNLLCRIFSIAAARAATSVDTSAYMENQSSILMDQVEQALETRPPDMAAIIGAHSNQTQNPIDNIITGLHNHTNFINGSADGSLTFPFTLGAGALDYH >OB07G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13029823:13030618:-1 gene:OB07G24520 transcript:OB07G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVPVVPVPEVLAPELIPVEEVTVPEVMKTAPEVEETEENFTISRSTWRPRRRSTEVEVENEVSPFADLTNEVSPFADLTNSGEKPLVSARIGRKPAKASPEGSRALGVSRPRKEQTLESTWKAITEGRAPPLARHLKKSDTWETRPGRRPSGGEDAPPPAPAMRKAETFNEAGGGRKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQGALKHYNDMISRGSIHY >OB07G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13041418:13041872:1 gene:OB07G24530 transcript:OB07G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSRDTGKEENPPNAPMLVALPLVKALRPVNVAINPAADPRLTQQPCPGHVAVLPPHTPWPVHVPITPAPLNPANPQQNGDVAVSDVSPAIDSCDEKMLPKVDMLFDGENEAYEFYNAEDVPL >OB07G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13044333:13049238:1 gene:OB07G24540 transcript:OB07G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein [Source:Projected from Arabidopsis thaliana (AT5G13680) TAIR;Acc:AT5G13680] MKNLKVVTRVVQKLQLQLDGETVVVSAVDAERRRAFFVSSENFLYSVDLPAPTQQPLQWSETTLVSNAEEVVLEPGDYIVAMDYLMEKEALLLGSSTGCILLYNVDEKTTEVVGRLEGGVKTIASSPDGALISVTSGFGQLLVMTNDWEMLFETSLNPDSDPAGEINCPSGQIQSSVSWRGDGKFFATLGGLEGSSQKLTIWDRESGNMHSSSDTKAFIGASLDWMPSGAKVATVHDRKAEGKSPLVVFYEKNGLERSHFSIDEPAEAVIQALKWNCNSELLAALVSCGQYDAVKIWFCNNNHWYLKQELRYAKKEGVRFYWDQTKPMHLICWTLDGQVITHRFAWTTAVSEASIALVIDGSNILVSPLNLGLMPPPMSLFHLSFPCAVNEVSFLSDNSKNHIAAYLSNGGLCLLVLPVADTWEEFEGSGISVNLCFSESTLNNYMHLTWIDTDTLIGICCHSDSCSSTIMNSSGVSGLPEKHNSPYFVNEIELVCSEDSLLGSVCSSGWHARISKKMPLQAPVIGISRNPAKGGSAFIQLSGGRIVEYCSNVNLFRMSSPIHVSEASSDYAFPTSCPWMTAVLCHENGIVMPLLVGLDDSSKLHVGKRLLSSNCSSFTFYSSAYGATEKVVTHLLVTTKQDLLFIVDINEILLRNGEVTIDNHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDDAAVIMQTTRGNLECIYPRKLVLVSIVQALVQGRFRDALDMVRRHRIDFNMIVDYCGWKVFVKSAADFVKQVNNLSHISEFVCSIKNENVSSKLYETYITFPDQCATSVADAVNSDGLLSDNKVTSVLMAIRKALDVQIEESSSRELCILTTLARSEPPLLEEALNRIKAIRELELLGIDDSRRKLYPSSEESLKHLLWLTDPEAVFNAALGLYDLNLTAIVALNSQKDPKEFIPFLKSLECLPPAIMKYTVDLRLGRYESALKNIVSAGNEYHEDCMKLLNSNPQLFPLGLQLFTDPDKRHQILEAWGDQLSEEKCFADAAITYQCCSSYHKSLKAYRACGDWRGVFTVAGLLKYKNEEIIQLAHELCDEFQALGKPGDAAKIALDYCSDVERGVCYYVTAREWEEALRVAYMHNRQDLVDNVRDAALECAALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDNISEVSTSFSEMSAYTTRSTKESSASVISSNASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMSLTSSALTELKSLLVVLIQLGKEETARLVQLAGDNFELSQRAAVKLAEDTVSNNKIDENAHTLEHYVKMVRAHQPADSEANCWRIKALSPPRIGV >OB07G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13051390:13056762:-1 gene:OB07G24550 transcript:OB07G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5 [Source:Projected from Arabidopsis thaliana (AT5G54310) TAIR;Acc:AT5G54310] MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSRPSSGARDEKSPEPQASASRGGHNQRSSFEQHRTSPAPVSKIAPVASRIPTQASHQPKTKPVPKVSPPQPDKTPVKVDATPPKVEKPSVPPPPKVDYATDLFSMLSMDGATEKEPESSSNDDSAWEGFQSAEPLPSSDKKDSAKPVESKPQSTSGIEDLFKDSPAVTVSSAPVVPQANVKNDIMSLFEKTSMVSPYAVQQQQLAFMTPQQLALLSQQQALLMAALKAGNAPQMIPGNAGLQNGNGSNPANGNLPLHTWTNIGYQNPGLTPAAGQNGVTKVANNSQEFSPGNFNFSTPGAYNISPSVPANGAASAAANKSTPSSTSSSLPSQSGKDYDFSSLTQGLFSKR >OB07G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13056661:13057566:-1 gene:OB07G24560 transcript:OB07G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRFEKKKRRKRKGNRERGRVEASERKVKPGRTRTHRSLSLSCISPSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLPLPTRRELGGGGEGRGGEGRGGRDPEPP >OB07G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13059541:13064601:1 gene:OB07G24570 transcript:OB07G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSQPSTIRFLFSFISSRQNRVKRAVLGHASWADTGSRLTCSPYSLGWSRIPLLPPTRGLLPLAIRRRMEAQATHRRAGERGGGGDGDGGCSASIAKHLANQGQVLKWLQDFSDRVEERAKGAAAEVNGLLDEVGALELDMKTAMTFFNKLTHQRFIEHKISDEDSMKLKTMESMRGSMQSQVPAQDYERDILPRYKQALHIGLSSCKDHFRSKGRSTTSAFRAMSACGLLPHIIGSEEYIHDNSCGLADDVQPLNDDFGWLRDFQSESSDSWTTNISESQTTNISESQISGAQRSYEKGETDSVVSAAREFKAMLEAALVNPYKLYDDDATITAQDASVEKELSTSEDPVMLTGTSEATSGRSAQENSDDKGLFASLQSPDMNPHDIYSALVREGLFDSGDEILSMDTGESAGTPVSALASGLGNLAIAHPAERSYSIDETTNEGHLIEGDDPSPSEKDEDNQTDAHGVSSPETEDGVFRPS >OB07G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13065186:13077628:1 gene:OB07G24580 transcript:OB07G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTIVLVPAWGSGHFMSALEAGKRMLGSGGAAVSLTVLIMRAPTETKAAEVEGHVRREAASGLMDVRFRRLPAVERPTGCVAPEEFTSRYVELHAPHVKAAIEGLASPVAAVVVDLFFTPLLDVAHELSLPVYVYFASTAAFLVLMLRLRLPELREYLTVGFEGKEASVNVPGLPPVPPSHIPVCLVNKTVKNYDWFEYYGRRFMEAKGIIVNSSVELEGAQLSIHQKKREKLIPPCTLCNRASMAGTTIVLVPSWGPGHFMSALEAGKRLLACGGGAVSLTVLLLHAPTETLASEVEGHLRREAASGDDIRFWRLPAVERPADCATYEEFTSRYVQLHVPHVKVAIAGLTSPVAAVVVDLFFTPLLDVAHELSLPAYVYFASTAAFLALMLRLPELREILADGFEGMEGSVDVPGLPPPVPPSHMPVCLVNKPVNYDWFEYHGRRFLETKGIIVNSSVELEGAVLAAIAAARPDPAIHAIGPVIWFDSPPPDQPHECVRWLDAQPPASVVFLCFGSIGFLDAAQVREVAEGLERCGHRFLWVLRGPPAGDVRYPTDANLGELLPEGFLESTAGRGMVWPRWAPQKDILGHAAVAGFVAHCGRYPGTXXXXXXXXXXXXXXXXXKSPPPPPAAVAGFVTHCGWNSVLESLWFGVPMAPWPLYGEQHLNAFELVACMGVAVEMIGTTTKDGDAVRSFVGAAEVERAVRRLMPGGGSEEGRKAREKAAEMRAACRTAVEEGGSSHEALQRLVREILEGHRRP >OB07G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13088308:13089313:1 gene:OB07G24590 transcript:OB07G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPPTDANLGELLPEGFLEATAGRGMVWPRWAPQKDILSHSAVAGFVTHCGWNSVLESLWFGVPMAPWPLYGERVNAFELVASMGVAVELAIAKKSGLPFVEAAEVERAVRRLMSGGGSLSSDSEGRKAREKAAEARAACREAVGPGGSSHEALQRLAPQACHLMPAVNCRDQYLAEIN >OB07G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13090068:13090238:1 gene:OB07G24600 transcript:OB07G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTPANQIGIKLFFHSAYMYIYIHMQDEYMMMHAKSQAAACMHAKRLHESTKPTIN >OB07G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13090402:13090626:-1 gene:OB07G24610 transcript:OB07G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICAGFGGCASVADMETATRVCYLLTVHRATWRANICTFCGAMLQSYRHYRLY >OB07G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13099667:13100331:1 gene:OB07G24620 transcript:OB07G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT5G63690) TAIR;Acc:AT5G63690] MAAMAHKGPDTPGGSSGGGGGSTVQLKDLVPAAMNTVNTTFIVLDKAARAPAHGRGEAREETCLALVADETAAVHFLLWGAECDAFEPGDIVRLTGGIFSYHRGNSLALRAGRRGRTEKVGEFTMLFVETPNMSEVKWARDPSDPKMMVQESVVSPHSQVFKPLQ >OB07G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13103653:13105569:1 gene:OB07G24630 transcript:OB07G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRIARGSQLTQSLSRIAAEGSAAATPAAYALRNAAVLGQRAPASSSQLHSLALAGLADKYAAAAVAAGRLQPGRGISTTPPALRPAATATTECSDADESAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCSGIDTRRHEVYCTAADSDGLPANPYNFKVAYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKQRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYTHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVRPTEIELSDGSRVPYGLLVWSTGVGPSEFVRSLPFPKSPGGRIGVDGWLRVPSADDVFALGDCAGFLEGTGRPVLPALAQVAEREGKYLARVLSSVAAQGGGKAHCAGRAELGEPFVYKHVGSMASVGRYKALVDLRENKDASGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAGNWGPTLVFGRDNTRIG >OB07G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13106631:13106867:1 gene:OB07G24640 transcript:OB07G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDLFSRLSSFIGHVWHMPAPTEIFGDDSVLQFGGGTLGHPWDNTPGAAANRVALEAYVQARNEGRDLAREGNEII >OB07G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13107118:13112253:-1 gene:OB07G24650 transcript:OB07G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3MM33] MVGRYEDNPFEEEDVNPFSEQARGKPGGQPSYGSGAFYMPNTRNVHSMANSRLSPLPPEPATFGVMVDISLDSSKDLKNREKELQAREAELNKREKELKRREEAATRAGIVIEEKNWPPFMPLIHHDITNEIPSHLQRTQYVAFASFIGLVCCLSWNIIAVTSAWIKGEGVKIWLLAIIYFIAGVPGSYVLWYRPLYHAMRTDSALKFGLFFLLYPFHILFCVFSAVAPPIVFEGKSLAGILPAIDLISTNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMHVAF >OB07G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13116815:13128820:-1 gene:OB07G24660 transcript:OB07G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDAIKGAAGQEEGGDAAPPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLVAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVPPASSPVKEEPSSSTEESGNGEVSTGIQADDKITLGDALSMNRATEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGTFAVLLLRFRESLKGEIGVFFPLIVLRSLDGSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQSADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSKQGNVAEAHEDDSSARSLSSDEIKSQEDGRNQFERAKAHKSTMEAAISEFNRKPARGIEYLLSNKLIENNAASVAHFLKSTSSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAVREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTVSDAEESAPKDMLEEIYDSIVKEEIKMKDDSPDTAKTNKPRRETEERGLVNILNLALPRLKSASDMKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEYIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDIPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSISLGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGVTSISHNGEQDGHPEINAQTSLDNSEGLPSPSGRAQPAVSPRSQTFGQRIMGNMMGNLLVRSLTSKSKGRTDDIAPTSPVKALDADGAEKTEEEEENPMMETVRSKCITQLLLLGAIDSIQKKYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVEHDGNGSTEDTNGHVVESDGHEKLKNLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >OB07G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13132105:13136674:-1 gene:OB07G24670 transcript:OB07G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLHLLVLCLVIIFLVYNMASYQHRQTTLEAKSRPFDTITVSDKVPGKVPKRSADRVGFLPHGIVEPYSDMELKPLWLTRSAQSKESSQNDRCLIAIPAGINQKKSVDAILKKFLPENFTVMLFHYDGNVDGWNDLPWSRSVVHISASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRKVNRGNKDCSKEGPPCSGWVEGMAPVFSKSAWQCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGSSSKRTHGKNIDPHGQTTVEAQQVRTGLDMRTKVRRNSRLELRDFQKRWERAAREDRAWVDPFARSRRKGKKNDKQ >OB07G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13141697:13146391:-1 gene:OB07G24680 transcript:OB07G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGGGGGVKKEEEETEEARGGGGGGGPAGAWGTWEELVLGSAVIRHGAVAWGAVAAELRSRSPCAFSPEECEAKFSEIQARYSACDAWFEELRKRRVAELRNELKKSENFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCSHNENAADTNSSSKELSKDRSSAASFTEEASNSQKSEKAQHCSTDSVQVDNASAETSAKPLVEKKICAEDGLLWGSRKKRGVRERRVLLMADDSCRAGENTSTSYAQRDGYSEGCAKKGLKTPKVESNVSVGERAKPNLAEILKSISTQSDCYMLQRRLDIQRKRARYKKMIRRHIDFRILHSKIKSGATSCTKELLRDILLFVNNVLAFYPKATLEHMSAIELRNIAFKIVQKSSGMPSKSCGVTRTASTPLVKKNTRSVQVQPGNHGPRDAKRSKVSSKETGSTVKQIEAKGSRGDSSVTGNAKTTQRSPPAKKRGVGRPPKNGQKRAAAQQDSPNKGRKKSRR >OB07G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13147365:13151938:-1 gene:OB07G24690 transcript:OB07G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKALTSLHIQNNQITGILNVLQDLPLQDLNIENNLFSGPVPVKLLNMPNFKKDGNPFNTSIAPSASPPAASTPLPSVSPPAGHLPTKEPSNSSNAAEGNTPSRKHTVSAVKFVGYILVGVVSVVVLVLMVMFCLSKYKERKSRDDAYTKKQLGRSPQKLKEPKIKEVSDIKEPPVKLKNNAGKASNVISHVREEQKLNVSTAAASDAVYNAKEGRKPGSSLPAAPRVVAMKQIEHVIDMEKSDNFVEEPLHPPQSAVLRNEKVNVNPSVRTRKGRVPSVGKLELTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKVYLAELPDGELLEVLKISAVNSRIPVDAFLELVVNISELTHPNILRLVGYCAEFDQRLLVYEHCSKMTLHDELHYVDDSNKALSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSVVLLNSTLVVHISECGLAALSSKSASQLSGHMRTLFHYEAPEVHESGSLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWASSQLYDIDAISKMVDPSIRGQCSEKALSRFADIISSCIQHEPEFRPSMSEVVQDLTRMVSDSTKASM >OB07G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13158059:13158529:-1 gene:OB07G24700 transcript:OB07G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLSSHILHQRPAPLRGSSGPRISPLLSLAPHQIQASVAMLNTTPPFDGKPAKLLSESPENGIPSVPPLAVPPALRRNSGAQQFKERFFLYVQFLDLRSEASFCCPCRIVGGGGGRAAGGGSMEFAADTTCSAASVRLPLFSLSMLLLSLSRFPD >OB07G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13160532:13161941:-1 gene:OB07G24710 transcript:OB07G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEHFLRAPAHRGPVGMGAPPPGGILSRLRLLLHRRGIGGAGDAALPGGSDLEAARKLDGRASPENPHL >OB07G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13167794:13170471:-1 gene:OB07G24720 transcript:OB07G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNWSILREEFTFLAGSVPFKTCHASTIVEVEKNMFLVAYFGGTQEGADDVKIWLQKYYNGSWHTPEVVDEVPNVPMWNPVLFQLPSGQILLFYKVGKTVESWSGCMKCSSDGGVVWSKREQLPPGILGPIKNKPFLLEDGHLLCGTSVESWNSWGAWVEVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEIGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNTTSRGVLKVAVSKDDGDTWEDVLTLEETHGMEFSYPAVIETSDGLVHVTYTYNRTQMKHVILQPGKV >OB07G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13171363:13174678:-1 gene:OB07G24730 transcript:OB07G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYQFSGRKFAATGYTLLISLFFFLYAPHGILLNKKPSNFKIVPSRSLREISISSRSVQRVNNSIEFVTNLNERNAEIGQHMEDSTHNIINATANVTSEWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSMEGAPDVKIWLQRYIDGCWHTPQVADEQDEVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAIKRSLDGGITWSGREQLPPGILGPSKNKPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYLTANGTIRMLLRSFETIGRVCMADSSDGGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNEVMTLEDTEGMEFSYPAVIQTMDEFIHITYTYNRTQIKHVVLQPSGIVRL >OB07G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13177046:13181443:1 gene:OB07G24740 transcript:OB07G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G15520) TAIR;Acc:AT3G15520] MTTTATATTAPRHHHHHHHHHHPLLPASQPTGNPFKSTHTPARHGEGVVVELLKGAVAALAVIAQISVSLPANAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDTILASIPVELKEKGSKLYTTLLEEKGGLQTLLKYIKENDPDKLSVALASSLDTIAELELLQAPGLSFLLPGQYLEYPRLTGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQIVVDGYSAPLTAGNFAKLVLDGAYDGVTLKCASQAIIADNETGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPLSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPTAPAES >OB07G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13184660:13185016:-1 gene:OB07G24750 transcript:OB07G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSVQALLVLAVLVAAAACSTTVAARSVPAEEKKTAAAGGEDVKQPELLHEGTVLVPGLGRFELGSNYVPDITGFDHSVPAAESGQYLPGADDTWVPNPGFEVPNPFHPGSASP >OB07G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13209064:13213490:-1 gene:OB07G24760 transcript:OB07G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRIFSNDGRSRTATVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDSLPLRLMSAARANGVDMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSREATGSGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVSSCPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIDDGSVADPNEPQEQEPTLTRNFTVRTVAGSAQEKNLAGAGVASDHNWSGLDGVTRVNSLVQLPRFSEERAIG >OB07G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13232917:13234533:-1 gene:OB07G24770 transcript:OB07G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRALRRQQQPGGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGSSREKVGVKKALVFYRGKPPKGIKTNWIMHEYRLTDTTSSSLTAAGVATTRRPPPTITGGSRGAVSLRLDDWVLCRIYKKTNKAGAGQRSMECEDSIEDAVTAYAPSQPHATAVGMAGPGGGAGGAAAHGGGDYSSLLHHHHDSHEDNFLINGLLTAEDAAGLSTGASCSLSQLAAAARATATATTCDTTRQLLAPSPTPFNWFEAFLPRGKEFPGGPNRASARDIGDMSLSSSTADRNQLQAEEVAVDIDTGDATHGANAMPAFINPLTVQGATYQQHHAIMGATLPSESSVAAAAACNFQHPYQLSRVNWDP >OB07G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13252606:13253457:-1 gene:OB07G24780 transcript:OB07G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRLSSQSQRRVASRLSASAEVAVGASGSGSSKGRKKKAPVARRGVQYYDDDEAAPGQAAAPFDCFINHRGADTKRTVARLLYELLEDGGVHAFLDNKSMRPGDRLEERIGAAIGECSVAVAIFSRHYCDSEYCLRELAMLVESRKTIIPIFFDIKPSDLILPQALVDNVAHPRDIERFKFALREAKNTVGLTYDPATGDLAELVSDAARAVMENIDRQEITQGRQMVMSRL >OB07G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13263119:13267131:1 gene:OB07G24790 transcript:OB07G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRLPRKPRQSPIVVPPPPSPPPPPRLLAFLLPRSLLALAARGMPSRRPSPLLLLLLALALAFIFLLLSPSSPSASHLSSSLAAAAASSVSTSASSSPTPVKIYMYDLPAKFTYGVARXXXXXXXXXXXAAAASAMPDDELRYPGHQHSAEWWLFKDLRRRGPRDHPVARVDDPAEADLFYVPFFSSLSLVVNPIRPSTAAANASNVAEPLYSDESMQDELLEWLERQPYWRRHRGRDHVFICQDPNALYSVVDRISNAVLLVSDFGRLRSEQASLIKDVILPYSHRINSFQGDVGVDSRSSLLFFMGNRYRKEGGKVRDTLFQVLENEADVIIKHGTQSRESRRMATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYVELPFEDVIDYSDISIFVETSKAVQPGYLTSLLRGISSQRIIEYQREIKKVKHYFEYEDPNGPVNEIWRQVSLKAPLITLLIHRDKRLVERGPNGTNCSCICSTPKELLSK >OB07G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13272555:13276257:1 gene:OB07G24800 transcript:OB07G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSAAVWSTIAVLLTTILVARMVKRNTVTAAAAAAAKYCQPGMAIPHDPLRGCRQYPAVSGVALAIPVITRGLQAVAGELHRKLGSVFTVSFLGMIKVTFLVGPELQGDFFSRLDSEVCQGETYKMTVPVFGRGVLFDVDQATRTEQIAICFEALKPARLRSNVETMVREVEEYFSRWGEQGTVDLKSELNLVILMVASRILLGKEVRETMFDEFASLFGELKDNSMHLVSHYSPTLPISRHRRRNAASAGLKALFARAIELRRASGRAEDDALQRLLESRYRDGRPVSDNEVTGMLVALLSAGHVTSSNTSTWTGAFLLSSPRHLAAAVDEQRRLAARPVDHAALSAEMDTLHRCIKEALRLQPPVPLLLRSVRRGFAVRTREGKEYEVPRGHGLACYIAFNHRLGRVYRDPGEYDPDRFGPERKEDKAAGKFAFTAFGGGRHACLGEAYAFLQIKAIWSHLLRNFELELVSPFPEVEVNNMMPGPGGKVMVSYKRRKLN >OB07G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13284554:13285388:1 gene:OB07G24810 transcript:OB07G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGGRPEARARARRDAHRKQVPVRRGGPVQDARRGPDAPPRAQRQHAPRHHRLPVPPDPGAPPARQGARQARRDIRRDREVPQERRRRRSRPPRHAAVPHRRQVQGRARHDGGGGRRDARLGALRGAAHELQHQHVDRRRLLTHPEHLRAAVREQEELVRRQPQAAVDHRALQRMEHLHRCIKETLRLHPPSLMLLRYARRSFTVRTRDGAAEYEVPAGHTVASPMVLHNALPHVYEDAGAFDPGRFAPGREEDRAYGADHAYTAFGGGRHAAGG >OB07G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13286799:13293710:-1 gene:OB07G24820 transcript:OB07G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha 1,4-glycosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G19900) TAIR;Acc:AT4G19900] MCCQVLHRWRVSSLTVEVVGGAAPSARLPHLEPAHRIAAAAFGSDDEPVDLELRVEISSIAGVEDALLLKPASAVAETRLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKTASKNFERRSLQSSESKQGMGVTEKEQQRRWGYYPGIDPHLGFSEFMEKFFEHGKCSMRVFMVWNSPQWAYGVRNQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYRVAVALPDLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNTLSNSIGVVKQVSENSSFSGAVLAFEKHSPFLAECLKEFYATYDDKLLQWNGAELMTRVIRNMSDNADQNNGHLDIKFEPSIAFYPISSADITRYFSEADSTDERAQHDALFSRIVNESTTFHLWNSITSSLVPESNSLVERILNRNCLRCLDVL >OB07G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13294331:13296919:-1 gene:OB07G24830 transcript:OB07G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILDCYHTLKNRLLESKLLGLQVKRGIEVAWWGATLFMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLAEDDIKLLAAFVKSQAENGWPKIDRDGD >OB07G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13299537:13299857:-1 gene:OB07G24840 transcript:OB07G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGGGGRHGSEGGSAAVHAPGPCAASQRALAECHRAAARGPLKPEVLCRHLNRALAECLVTSCCPGETEAVRTLCGSAGTALKRSQCQRARIDLSLCLEAHQDP >OB07G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13304325:13304480:1 gene:OB07G24850 transcript:OB07G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTDADATAAGDAHHEDHNS >OB07G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13307145:13308444:1 gene:OB07G24860 transcript:OB07G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGNYAPPGYPGNFDVGSNPSRTVQTQSRGDKAFLEGCCAALCCCCLIDMCF >OB07G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13307895:13312672:-1 gene:OB07G24870 transcript:OB07G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: exocyst complex component 84B (TAIR:AT5G49830.1); Has 132 Blast hits to 132 proteins in 25 species: Archae - 0; Bacteria - 0; Metazoa - 7; Fungi - 0; Plants - 117; Viruses - 0; Other Eukaryotes - 8 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT1G10180) TAIR;Acc:AT1G10180] MESSSEEELLEEDFPGHEWITPQSSIRAAYQSHTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKYCKDENVEEKDLQTELDEILSCDTQDSKVSFLDKLDILLAEHKIEEALVALETEEKKYMAMDDSGKELDAEISAYKTALSKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKNVEGFLPTCSIYTETYSATLSKLVFSAISKVSKESSTLFGDSPMNLNRTIQWAEYEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLMVLLHPYVEEVLELNFRRLRRKVIDSAKNDDILLPSPQEGSRLSSAVAPNIMLTSSGKKFMSIINDVLDQITPMTIIHFGGTILNKFVQLFDKYVEALIEVLPGASEDDHLVESKEPIEFKAESDAQQIQLIGTAYTVADELLPAVVSKFFDIQAEKKRIGGSGEGLGSGSIFSIEYKEWKRSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWESDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDESIQLRPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIITRAIAAFSARNVDPQSSLPEDEWFLDMAKVAINKQLGTSGSESELEEPVAVHDEISDSEESTISSPSTIGSEESFASANNDDLETPVYFTDPEA >OB07G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13314476:13318765:1 gene:OB07G24880 transcript:OB07G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLLLLPLSLSLVVSAAAVTARARLSQVDAGRRLKEELWGRNKGHEMVGSWRDGDPCSPSPWEGFSCRWKDGDLVVERLNFSSKKLQGPIPTAIGNFTELDELDLQDNNFTGSIPESFTGLTHLLKLSVKCNPFLNNQLPDGLSIHVEFSYGGCGYHSPPGVANRRISVIGGVAGGSLACTFALGFFFVCFNKREKNPQKTDCSSTTNPVFEECSTRKATNSAVQQLSLKSIQNATCNFKTLIGEGGFGSVYRGTLAHGEEVAVKVRSASSTQGTREFNNELRLLSAVRHENLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLAHLHSFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASIEVRGTAGYLDPEYYSTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRDEWSLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFASFDATKGHLQTMPSSLPR >OB07G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13318897:13324002:-1 gene:OB07G24890 transcript:OB07G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLEVKNDEEYQMDLTEIKDSEEHSVEMLVEQPRFLEPICSEEVNEDTRVYPRVGDEYQVEIPNLATEEERLKLRSCLIDDKGIFGFDYPVGVGLAIPVTWTQNTSDHVKNEQTGFSGRNSCSSHDDCDSHIIENIPRNVPGCKVECDEQDGKLLKSAGQDMHCLQNRNANDGIPLPGMVRYFWTDEEAQTFLLGLYIFGKNLVQVTKFMQCKTMGEVLSYYYGEFFRSDAYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAKEVRDTLLEVFKTFNEGTSTFEEFILSLRSTVGAQILVEAVGIGKGKYDLTGFALDPSRNHGISNRVEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGGNGEGGFKIENGWIHDAELDKGTITDKKSPCYNRPGEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSGDSGSDSSEEHSDSEDSSQSYEHINTNQNKTDAKYDSVKKCKPPTGDRMDVDVLQKNSTFSGTLTSTNGHMSIDQGFSIINSSCPSTATILPVGTQRVHATNSSTEINFQFDQRVIPEPQVYLAASMSKRRRLVSCKSERTGRRNTAASKRQHGKQVVNTPQHDVSGANEAIAGAKPFIWGSIPNSSTTINFDMGNINLCHRQLYNVPPTDEKMVYKEKSQDKHFIDLNIPQVPSDYEPAVSYVVPSEKNTHSMDRSIHSSETNGVDDCLPDMNAPCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEAIFPSSSRSSRTVRRPRRLTDATVPFPSDGEGSSSQLTDPALIVNEWRMSNPQYQVLDSTPTDKSADKGARELFGADKPADKGTRELFGANKSADKGSHELFGIP >OB07G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13323900:13324115:1 gene:OB07G24900 transcript:OB07G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFTSVNEKLSDPEIGAHLAARLSRPGGDRVGSGAGARRWRRRGPHRTGSARATTTKMRHPPNLDPGAR >OB07G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13331741:13333753:1 gene:OB07G24910 transcript:OB07G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVAVPQPPLAGGRRDRLAALLELAAADDVDGMKGALMEGGEEATEVADGVGLWYGRSKAYEPRTPLMVAATYGSAGVVSLLVGLGCVDVNRRPGVDGATALHCAASGGSRNAVAVVKLLLAAGADPATPDCAGRFPADVILAPPASPDALGDLEVLLGRRRPLAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNGGTGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAPHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSTGLSIRPTIAPSNLEDMYASDIAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRAIDPSSLVHSPFGGMSPRSPRTMEPTSPLSARVGATATQRDMFEQFPSLNKHQLPSVGSPRNSSTSWGTVGSPMGKVDWGVDSEELVRLRRPAQPGLGEEDSDISWMQPLVSPADLNGKRGEIQGMPGASALMNRPELNNQGDLLDQTVIGAWLEQMHLDQK >OB07G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13334706:13339800:-1 gene:OB07G24920 transcript:OB07G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein K [Source:Projected from Arabidopsis thaliana (AT4G36720) TAIR;Acc:AT4G36720] MALLAPAISGEVGLRLLLAPLSSNVVIRTASCAIGVGLPVYSTFRAIEKKDQREKERWLLYWAAYGSFSIAEVFADQILSSVPLYYHVKLAILVWLQFPSNCGAKYVYGRYVRPFFLKHQTKIDRILNILSKELTKFVSSHEDEIHFIENMAARGASTANYIINGPEQREGVNATIEGPNSTSTEEAGAPRNET >OB07G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13340835:13343507:-1 gene:OB07G24930 transcript:OB07G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCHGLSAGNAQLLAFPAAKHNLCFARRSCLRTPAGSLSLNNDRLHAERKSFSVGAVRASTGFAQNIMLMSIQRDDYIKGTLSLSRWHNYLRRPEVGCRASSSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLFPGWLLLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMAIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR >OB07G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13350742:13354410:-1 gene:OB07G24940 transcript:OB07G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRRKQQQQQEKEKERKKEPKQQQQQKKGKKPNPFSIEYNRSSAPSGPRLVVLREPTGRDIGARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVDIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVKGMLNPDPRRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKLGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNKSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTSTQ >OB07G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13372216:13373211:-1 gene:OB07G24950 transcript:OB07G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASVMLAVLLLVAVAAPARATRCPPGDKQALLRVKQSLGNPATLSTWSPASTDCCEWDHVRCDEAGRVNNVFIDGASDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSNNRLTGPIPNSFSDLPNLRSLDLRRNQLTGPIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNDLTGDASFLFAASRPIGKVDLSWNNLDFDLSKLVFPPELTYLDLSHNRIRGTVPRSLAALSTLQTLDLSYNRLCGPLPRLHGVIRHGCRPYEHNQCAGGAPLGECHRL >OB07G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13378250:13380332:-1 gene:OB07G24960 transcript:OB07G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIGLNQAQLPRSKIFRYVIHTTAARMQLRLVLFPAIAVGGGSSRFLADTPIPLRAGRGRLCSDVVAFSSSEKGSEAEREPRAEESLRRLAELDSQLEGLSEPRKRPQAPPLPPDPYMDRDVITGRGSADELPEFSPTYVAFSTLALVILTIFTNVMFNLYIKPSVDGVDQPVRIERVPMVNPADQFK >OB07G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13380445:13385129:1 gene:OB07G24970 transcript:OB07G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSGGEHGRPWTASATWAPAGGAAVEGAVSFETSADDAEGVPSAVVLKGPAPGGDGDAPPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSCKDYLCTVRCGLAVKEPLPSAEESMTQWSSDVSTSEKHEHESKSVSSSIDEDSWVEVKIPELHVEKNRSKSQEPNAIGATQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPIEAVNDEPETRTGNLGGSSLLAMLVPSLMQMSKSRNQNRDDKYFSDASRTQLSQDCAMEVNENVVRQAAPCGTNLNFKSAGMESKQTATDSGTITNGKGNHYESQLQDSRSPLPVQTTENTQVPLVRNQSVSNIDQPVTPLTDENPNPYSRIEGKLDTLLSKLEKMESYCSKFDDGVMRPLSSIESRLQRLEHQFDAFSVDIQSLRASSARISSPDGLSDTTNPRDKTDNDGKAENSASATNRQPGLVVRAPEFSLEESFSYDKSNENPVTLRGGPSMVPRLLVKAPDFVCESELACEKLHDRSSSPVDFALSSEKEPKTSPGLIVKVPEFPNDEDDEEEEEKDAEVGDHDYGHTKSDDAPRESDNSKSKTPVSVDGALASVLEALLSSTKKTSSQSVACPASSSTAENTNDSSTCSFSGTCSDANKVNSIIACQEADAVPQIYLSEANLDERDEVNDLNNDLNSNMTTFVASTGPLCVPQHHTVEESNDFGSQANQKNDGSNSDMTPSVANTEHTIAPSQPPAVLGSFNDGSQFNDDRSTLSLADFLVARNFNSSKNVISEAFSSNGGSEMHTFKRTPVESVSSQLRLVQKALEVNEDDSDKFSVSGGMDSCCPRESNDSFTMKRWTESSSLEASFNVSFTKSEVEHLSDLSSSELFSEQPAGEAVSSGSVTTGRNFVDDLFTGNSSVNQAAREELQKVYDLLYEYKGDVLGMAFVAKGTSKISPSLEVLLAELSDLEAEIDAVHTDNTVGFGSARLCSTFSSSDDEAPPTDEPIIDVVDLPIPSDAYASSKNFDDEPLLDMDDLPYASVLSDTHQAGIDDQSKPSGTLAEEGSGDYPDSLI >OB07G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13389786:13391642:-1 gene:OB07G24980 transcript:OB07G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEQTAASGSSSSSSSRGAEGDIVVSTGREIVVSSGGEEREREREREERQEEVVVEEEPEFRDIHALSPPPTPTPRHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDEVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYEVTAIKNNHLIDRFNSDWTTPLIFHQSSYARKF >OB07G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13396037:13396733:1 gene:OB07G24990 transcript:OB07G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRSFPLRNNRKFEKDIIIPGRRHGLRRITGGQDILDVSIDRSRTKCELRLGDSVAVSQCA >OB07G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13403826:13404338:1 gene:OB07G25000 transcript:OB07G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPVVADTAASASTFAAISSDSESDDLHLLLPNLLPSAAAASKSDAQLLHHFHLPDLPSPVTVRTLPSLGLTFQLWPSATTLLRVLPASPHLLPPAPAPGSPLGILELGSGTGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRRGRFSVRPRRGVGRGLLRGVG >OB07G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13405559:13407628:-1 gene:OB07G25010 transcript:OB07G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTKAACLFALYFSLSLEIAHVSPLSFKLNFTESNHNGSATVQFQEDAFYNKAVKLTKDELNGKITQSVGRAVYTDPVPLWDSTTGQLANFTTRFTFKLYAPTNDSSYGEGLAFFLSSYPSVVPNSSIDGYLGLFNNSNDQNNPLNQIVAGEFDSHKNTWDPDGTHVGITIHSIVSVANVTWKSSINDGRIANAWVTYHANSKNLSVFLSYQDNPQFSGNSSLSYSVDLREYLTDKVAIGFSASTGKFVELHQILYWEFDSTDVHLMKTGKTKAILVISLSTSASAVVCSMGLVYFFLHFRTVRRIRRSREKEEEKLDCDESIDSEFEKGRGPRRFRYNELIAATNNFAEERKLGEGGFGAVYQGFLKDQNIEIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHENGEFLLVYEFMPNRSLDKHLYDSGNLFAWPLRFKITIGVASALLYLHEEWEQCVVHRDIKPSNVMLDSGFTAKLGDFGLARLVDHDRGLQTTVLAGTMGYMAPECVTSGKASKESDVYSFGILALEIACGRRPVVPKEDHDKISLVQWVWDLYGRNELLNAVDRRFDGEFDEREVVSLMVVGLWCAHPDYNLRPSIHQVISVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSYSSNGMLKELERPNGYGTTSSSSATNDSCAPPSVRLPEVGY >OB07G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13408495:13409913:-1 gene:OB07G25020 transcript:OB07G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRRLGRGGLVIVDEFGGQYEEGFEDVHTEIVNYFTYKATSTVLHQLYEMNPPAYTWLYNYVVVNDPKEGKHFLVALAKERQDLAERVMITRLHLYSKWIKKFDHAKMYEKISNENLEIMRQRLMETVAWPTDDTNTSDTAK >OB07G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13413065:13413247:-1 gene:OB07G25030 transcript:OB07G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAYQVHVIVMTLEHEHTFKGTALGVSPNLGIELWIMDYGSLGSPWSTVHFQTQFSVSV >OB07G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13416268:13417062:1 gene:OB07G25040 transcript:OB07G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLLAGPRDPPVSASPASGGRRDAVARRPVDRFPHPRGTRTASSLAAQWESYGSRQSVERKALNVMVVGSNPTMTNTQQHLLNVLRKISSRLHTIPWFDVGNRLI >OB07G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13420424:13423258:1 gene:OB07G25050 transcript:OB07G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGRHCSVGDCNQIDFLPFTCDRCDHVFCLQHRSYTSHKCPNADQKDVTVLICPLCAKGVRLNPNEDPNITWDSHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPDSTFPFGNMLRRSQKAEPRSNSSSNNSSSWWSSSLLTVASNFKSSAEAGMQKLSTATTQAIQKAKDGISTGSSSSSSSGDLVEQCVQCPARFPTVGALIEHCEKSHQSNSQPNRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >OB07G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13427000:13465430:-1 gene:OB07G25060 transcript:OB07G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPRGTDAPAGAAAKGDAEITRPRNDKRGYRRVVLPNALECLLVSDPDTDKAAASMNVSVGYFCDPEGLPGLAHFLEHMLFYASEKYPTEDDYSKYIAEHGGSTNAFTSREHTNFFFDVNNDSLDDALDRFAQFFIKPLMSPDATLREVNAVDSENKKNLLSDLWRMNQLQKHICSERHPYHKFSTGNRDTLLVNPNKDGLDTLDELIKFYNSHYSANLMQLVVYGKESLDNLQTLVENKFSDVRNTGRERFSFHGHPCSSEHLQILVKAVPIKEGHTLRILWPITPNIQHYKEGPCNYVSHLVGHEGEGSLFYVLKNLGWAMSLGAWEGDWSYEFSFFSVVIRLTDVGNEHMEDIIGLLFRYIALLQTSGTHKWIFDELLAIHETGFHYRDKNPPMYYVVDISSNMQIFPPKDWLIGSSVPSKFSPDAIQSILNDLTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVTPSIIQKWVNKAPMAVDLHVPKPNIFIPSDLSLKNVEEKGNFPFMLRKTLFSRVWYKPDTMFFTPKAYVKMDFQCPLSCSSPESTVLTDMFTRLLMDYLNDFAYDAQVAGLYYTVRPNDTGFQITMVGYNDKMRALMDTVIGKIAEFEVKFDRFAVIKETIIKEYENFKFRQPYEQALYYCSLILEEQTWAWDEKLAAVCHIEANDLQNFLPHLLGKTFIECYFAGNMQPSEAEGVVQHVEDTLFNAPISFCKALSPSQHLAKRIVKLEKGLRYYYPALCLNHQDEKNSSLLHYIQIHQDDLKQNVLLELLILVAKQPAFHQLRSVEQLGYITMLRQRNDSGVRGLQFIIQSTVKDPSNMDARVEAFLKMFEEILYQMPNEEFKSNVKSLIDMKLEKYKNIREESAFFWKEISEGTLNFDRKEAEVALLRDLNKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYERTVHDEPQPHSYQITDISSFRRSRPLYSSFKGGVGQMKL >OB07G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13447888:13465392:-1 gene:OB07G25070 transcript:OB07G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPRETDAAPADPSDGGVVPRAVKGGAEISRPRNDKRGYRRVVLPNDLVCLIVSDPDTDKAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFYFDVNNDSLDDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMSQLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVVYGKESLDNLQTLVENKFCGVRNTGRGRFSFPGHPCSSEHLQVLVKAVPIKQGHTLRILWPTTPNIRHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFGVTIKLTDVGHEHMEDVVGLLFRYITLLQTSGTPKWIFDELQAICETGFHYRDKSPPIHYVVNLSSNMQIYPPEDWLVASSVPSKFSPDVIQSVLNELTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPMEDLHMPKPNMFIPSDLSLKNAEEKVTFPCMLRKTLFSRAWYKPDTMFFTPKAYIKMDFHCPLSHSSPESTVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAVFEVKADRFSVIKETMTKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAAVSHIEASDLEKFLPHLLGKTFIESYFAGNMYPGEVEGVMQHVEDILFNSPINLCKTLPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSCLLHYIQIHQDDLKKNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQKNDSGVRGLQFIIQSNVKDPASLDARVEAFLTMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEAEVAALRDLNKEELIEFFDNHVKVNAPQKRILSIQVYGGLHSSEYEKILRDEPQPNSNQITDIFSFKRSRPLYGSFKGGVGQMKL >OB07G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13466260:13466681:-1 gene:OB07G25080 transcript:OB07G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWLSPLKLVVHDMQRDAKSFTLFTLPHVRKGFWDLYKGYLDQKVKGGNARLCFYGGVSEKDVKKVLPSAE >OB07G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13468833:13471055:-1 gene:OB07G25090 transcript:OB07G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLSSLFAASGTSSAPVSRAAREHSRRLDAAYAAFRQRLRSRTLGPEDARQLFDELLLRAPAHAHAFNALLADLARAPPSAACRDGPALAIELFKRMDRRASPNIHTYDILISCYRRAHCPGLGLTVYGRLLRTGLRLNLVLYNSLIDCFSKDGKVDKAYQLLHDMKELGIMPNVMTYSSIIYGLCKSKAMDKAEMVLEQMVDAGIQPNNMTYNSLIHGYSTSGMWKESVRVLKGMSSSGLKPNVSNCNTFMSALCKHGRIKEAKDIFDSMVLKGPKPDVISYSTLLHGYATQGSFTDVHNIFKLMVTNGIMPNHYVFNTLLDVYARCGMMDKVLLILEHMSKQGFNPDVVSFGIVISAFCRMGRLDDALNKFSHMIDIGIAPNMAIYHTLIQGHCNHGDLVKAKELTSDMIYKGFPPPSVIFFNSIINDLCSTGRVAEGKDTMDLITHTGQKPDVITFNSLIDGYCLVGKMEEAFALLDVMASVGVEPNSYIHDTLLNGYCKNGRIKDALTLFKDMLHKRVTPTCCSYNTILHGLFQAGWTVAAKKIFHEMIESGMAVSIYTYSIVLGGLCRNNCTDEVIMLLEKLFAMNLKFDNFIFNIIITAMFKVGKREEAKELFAAMSTYGLVPSIHTYCVMIINLIKEKSFEEVDNVFSLMEDAGFPPNSHLLNKIVRILLKKGEIAQARNYMSKIDEKGISLEASTSALLISLFSEKGKYREYLKLLPAKYHVFEGVNIS >OB07G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13477558:13482571:-1 gene:OB07G25100 transcript:OB07G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRRASAVAMAALAAAVMSAGLAAAAVYEVGDKAGWTIMGNPNYGSWAASKKFRLGDIVVFTYNKQFHNVMAVSKADYKNCDASKPIATWSTGNDSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMPRRRPSAAAPSSRPSPCPCCPLLLPG >OB07G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13484217:13489273:1 gene:OB07G25110 transcript:OB07G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome recycling factor, chloroplast precursor [Source:Projected from Arabidopsis thaliana (AT3G63190) TAIR;Acc:AT3G63190] MSIRAGPMRFCTTPLVLQNSDKRAVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVSLKSIAQINNPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQELMKI >OB07G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13506528:13506740:-1 gene:OB07G25120 transcript:OB07G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLKLWLNTRVWTEHHSTCRLKSIKHQRWLRFHGTSIAVDISTYFTQIVGLCSHIHPDAPLSRSIDPSSW >OB07G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13506854:13513203:-1 gene:OB07G25130 transcript:OB07G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKAGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGGSGSGAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGGSGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKPVESNHKLEPRGDATEVKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDMAEPSSRPEPLPASRSEAKASVSAATDSGTTTATAGAQHEASREPEKREDHRIRDSELRAGESDRRDRRTESRPEPPAPAPSSSKPDGESAAVAPEARNGEATAATKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDVDKKGLDSEMDMVGRGNSEKKDGERPRRGLEINLEDDKVVQRILADELAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQQQKPPKNEIKHEKSAMPAVTPPMPIPVGNWLGSFPPFSYLGPVPALSAAGLHHPMDVKPGSSAGLQHTTLLTPPPVRQKRCATHCFIAHQIQNYQRFAKVNLWSPPAAAAAGRSAAAPFFGPRPFSMGVVPPPEATSLLVNPMQGSYPVRAHTPLQDAKPPSMATSPFQGNLSKDKAAMNNAAVAETSQRKQPSVHEAQQPSPVPNMLQGPAFIFPFNQQQAAAAAVAAANAARVGDTKPSGGSNAMPPSAAVHTSAANPGATAMNLSFANLPPADAQFLAILQNGGYPFQVAAHAGGPPAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASQSVQKTPMSNMSTSSQKHQPQQSQGLLGYAPNANAAAAANNSQSYSSGNQRPVLLPGLAHRQDSEKAVQDGPSGDDKSSHHQKGGYDHHNYAVPVHLQNFTLMPPASAGGGSQGDKKLSDHHPQQLPPASRGQGVRIDLASSQPFVVPFGPPGSAPGGLDFSSLAPNTLFQSHQEAGRHSYPQLNFATAQSVQAGQHKPQHQVTGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNESSSRTLSLIGSEPSNAFSLGGKSANASAPATTSAAAPSPSSIPQQQQQQQQQQHFLQLHKHPQQLIPHHHLNSRPRSAAPSNTSGYSERLNMASFPGMMYPSGAAQGGVPSQSPQLKPSSTRATGTPGGVASPGAAPSNLIVMKNSGHHQQQAKTLQSLSTTNHQPQSSLNMSSSKMGPSVTNISTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPSVQLPSPQQQSVKNPASTSGAKSTPTNHYSMPMPSILGQQPNVSPASNSGSKQQSHIPSLKQQPFPQGGHFFISNAYTPQAAGAAGGVALGLYQKRSADKTQQPSPHQQSAMSAAASNNMKTLHPPAGNFMHLSAASQSASGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGK >OB07G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13513562:13513933:1 gene:OB07G25140 transcript:OB07G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGPPATLPDPVHLRSETCLLDLRQITSFPSTPHLAGLPPKPHHQHPPQIQANSSQIETHHLKMRRKAGSLSPPSTKSTPLSRATPTTPASLLSSSSSRPPPPSSFPAALPSSPLLSLPPPVWFA >OB07G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13516172:13516594:-1 gene:OB07G25150 transcript:OB07G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASRREPVGGRPEHGLVDWLAIADLGGVSRRALLGTRSSWPAGALCITGIGITAAQPSQKKKKEDQNASPKAVAMHPSPKSKIHVRSPACKWYYSCCLAYPVAVAAAKSIAFKVRSVFWSLIICSSPSGFSTSSLFGC >OB07G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13527304:13532059:-1 gene:OB07G25160 transcript:OB07G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAAGAEDQALSELHEKHRIDLERLTLTSQPFRTFALFALAIGESIKSTCVCVLKESARLKFLVFLVVSAWVMLLVTDGPHEKHVQELLWYVRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCSRTDLKSAPYDTIVLKMRPSWLEKDCLEFGPPMYQDTIPFSKILHEVHLEAFLWGIGTALGELPPYFLSRAARMSGRKLDELGELDASITGEGFLSSTLHRAKRWLMSHSEHLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKVYIQTTLVITLCNNQLLELLEKRIMWVFGNVPVVSSVLPSLVGKLKTAKKKFLSASVAASASSAVKETKWNLSFSLIWNTIVWLLIMNFIVQIITSTAQSYLKRQQELETRKKLSATCTVSEPSPVL >OB07G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13534029:13536343:-1 gene:OB07G25170 transcript:OB07G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLQIVYGRLSIRSVRSAFEKSVGSRLKKFGGSDTNELLQSFVSLFKDEYKLPKGSVIELSRESGHVLKITIEGEELGSIQSKLLCKSILDLYIGEDPFDKNAKDSIQENMASILKN >OB07G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13541033:13541422:-1 gene:OB07G25180 transcript:OB07G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSLPPGTLTYVPKKRVNKRLNNSETALLIIEEGNSGIDEDTRSKPSGITALGESWSYDLIRSTFLLWFIYLANYFAYYGVILLTSELSNGQSRCTSVRTKFMQPKDANLYKDVLVTSMAGMNVHLC >OB07G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13546788:13547731:-1 gene:OB07G25190 transcript:OB07G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAEEETYTTDDALTRAGFGRFQALVLAYACVGWIAEAMEVMLLSFVGPSVKAEWGVSGAAEGLVSSVVFAGMLIGACLGGLISDRYGRRIGFLCTASATGTFGLLSAFSPNYGTLLALRFIVGLGLGAGHVLSTWFIEFVPAAKRGTWMVVFHCSWTVGTILEALFALK >OB07G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13549770:13550279:-1 gene:OB07G25200 transcript:OB07G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLWRSSTRGRAGWTSRGTWTMSVTWRWAPSRRSRAPRTTASRGGPVRGYESMARRRLVAILREARAAHALRRLAVAHPLGTVPAGEASVFVAASAVHRADAMEACRYVIDERRPCPFGSKRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGCCGSKVRVNES >OB07G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13556538:13558568:1 gene:OB07G25210 transcript:OB07G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25360) TAIR;Acc:AT1G25360] MISAFARASLAAPAVSVFRTLLGSGPLRPDDYSFTALLSAVGQMHNLATSQCTQLHCSVLKSGAAAVLSVSNALIALYMKCDTLEALWDARKVLDEMPDKDDLTWTTIVVGYVRRGDVSAARSVFEEVDGKFDVVWNAMISGYVQSGMCADAFELFRRMVSEKVPLDEFTFTSVLSACANNGFFVHGKSVHSQIIRLQPNFVPDAALPVNNALVTLYSKGGNIVVAKRIFDTMKLKDVVSWNTILSGYIDSGCLDKAAELFKVMPYKNDLSWMVMVSGYVHGGLSEDALKLFNQMRVEDVKPCDYTYAGAIAACGELGALKHGRQLHAHLVRCGFEASNSAGNALLTMYAKCGAVKDARVVFLVMPNVDSVSWNAMISALGQHGYGREALELFDKMVTEGIYPDRISFLTILTACNHAGLVDEGFHYFESMKRDFSISPGEDHYARLIDLLGRAGRIGEARYLIKKMPFEPTPSIWEAILSGCRTNGDMEFGAYAADQLFRMIPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGSKTHVFLVGDTKHPEAQAVYQFLEVIGARMRKLGYVPDTKFVLHDMEPHEKEYVLFAHSEKLAVGFGLLKLPPGATVTVLKNLRICGDCHTAMIFMSKAVGREIVVRDVRRFHHFKDGECSCGNYW >OB07G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13563100:13564444:1 gene:OB07G25220 transcript:OB07G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACDPCSGLRERLGYVQCNLCDTVLLVSVPCSRVLRVVAVQCGHCSGILSAVSLPPPPLPPPASVEIRPQELDVEPPPREYSDESSGDDREGGDAEDDVPAAAAAANKPPGRRQRTPSAYNCFVKEEIKRIKCMEPNITHKQAFSTAAKNWAHLPRIQQKRG >OB07G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13564918:13567601:-1 gene:OB07G25230 transcript:OB07G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGQTNDFLRDMVELRKRQKAGRDTYVKGKGKRAGGGDGGEGGAAGDNADDGDGDSRRSGDDADADKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMAELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLHALLSEEKHSGNPPSEDRLRLMPASKE >OB07G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13567754:13567924:1 gene:OB07G25240 transcript:OB07G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSRPSPPSASSPAPSSEDPSVRASGDGTPRALLRALAVSRGDRRRRRRRGSQFGRT >OB07G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13568177:13570849:1 gene:OB07G25250 transcript:OB07G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02730) TAIR;Acc:AT4G02730] MSSGAQQQQQQQQGAVSCVRFSPCGRLLATASLDGTVALLSPSSLSAIATLRGHADGVSDISWSTDSFYLCSASDDRTLRIWDVRPVLSGLNPGGGAQPADPNADRCIRVLKGHANFVFSANFNPQTNNTVASGGFDCTVRIWDVKSGRCVRAIDAHSDPVTSVHFIRDGSIIVSGSHDGSCKIWDARTGSCLKTVIDDKMPAVSFSMFSPNGKFILVATLDDSLKLCNFATGKFLKMYSGHVNRTYCLQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKLEGHNDTVISVSCHPTENKIASGGLDNDRTVRLWVQDS >OB07G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13571933:13572214:-1 gene:OB07G25260 transcript:OB07G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFYTRGCFVIDSGVSVSCFGVCIRFVPGGLFNYSYGEPLSRCHSFKKIYTNITRKRDSNYINYQFCICGCTCQLVNLDQWNEITFLPLGK >OB07G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13572523:13576478:-1 gene:OB07G25270 transcript:OB07G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSASHGAMGSLLAKLGDLLTAKYNLLKEAKGEIRFLQAELESMYAFLKRISDAEEPDEQDRCWAKQVRELSYDIEDSVNEFMLRVESKSSSKLHGFTGFVKRSIKLLTTMNTRHGIAKEFEGLKIRAKEVSERRARYKVDDDAAVKPKNTAIDLRLLALHAETASLVGVTGPRDRLIKLMEGEDVPAHQLKVLSIVGFGGLGKTTLANEIYRKIGEQFQCRAFVSVSRNPSTGKILRTILSEVGFRAPTDSNIEMWEETKLISELHKFLVDQRYFIVIDDVWQDWAWDIIKCALPKNKNGSRVITTTRIATVARACCSDHVDYVYEMKPLSEQDSTRLFLKRIFGSEDACPAYLKEVSAEILKKCGGLPLAINTISSLLASHKQNNSKEHWVYVRNTLSANFDVSPSLEGMRQILNLSYINLPHYLKACMLYLAIYPEDYTIRKNDLARQWVAEGFISKVHGIDPEDIAKGYFNELINMSMIQPADIVYNGDVMSCRVHDMMLDLILHKSAEENFITVIDDMQQLTGQRDRIRRLSINLDGARDDREAGSIQLSQTRTLAVFSSPSQFPSYQEFKHLRVLTIEHFKYDLSSPLLDLSRISHLFQLRYLYIGSNHPVVLPSKIGGLHQLETFEIYAKIYSSKGEIHSKLPTDICRLNRLMHLIVSPEVIFPSGIGNMKSLQTLRSFSLENSLDNFKGLSELTNLTNLEIGTCDMITSIDEKTARYREAMRTCLEKLCNLKWLVSYAPWKDSCLDMSNLVPASFHYLQVFHPISPAFSRVPVWIGQLHNLCDLYLTVKEVLEDDIGIVAQLLSLIRFDLSIRGIPKDKIIFRGNGFPVLKYFEVRCRRIWYLAFEVGAMPKLEGLQLCFNARGWDRYGGAAPSGMEHLLGLKEIVANIGEYGANKSNIRAAESALRDLAVMHPDFPKVNIQTLGSNAYYEFDSMDEEEEEEEEEEDSDTSCDITS >OB07G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13578049:13578858:1 gene:OB07G25280 transcript:OB07G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWMDAIDSLLKKQGEQLDQVNFKVDLDEFGGQSAVGAGGAVKGEQNGTLVIGDGNMATLIAARRDEQRPLHILFLPFLVPGHLIAMGDMTVLVAARGVRGTVLTTPSTPLSSARHGSTGG >OB07G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13579905:13582751:1 gene:OB07G25290 transcript:OB07G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MM97] MDDVLCAVFPVGWFGGEPPRRRVAKVLCFVAGATANFYARPLEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGKVGPPYTGTAPAPGVVVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHNADAGGAQRRQVLYRGFVSEIFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGGDCPANAAYLDGYFSGQDGNPVEGKNMICVFERYAGDVAWRHTEAGFPNQLITEVRPDVSLVVRMVVSAGNYDYILDWEFKTSGSIKLAVSLTGLLEVKGTAYTHANEVKQDAHGTLVSENTIAVYHDHYVTYHLDLDVDGTNNSFVKSTVTAVRDPGGDMPRRSYWTVRREVAEREADGQVDLGAGGPPADLVFVNPGKKTRIGNDVGYRIVPAGATAASVLDDDDFPQRRATYCKKQVWVTPYSRSEKWASGLYADQSTGDDGLAAWSGRNRAIRGEDIVLWYTVGLHHVPCQEDFPVMPTLSGGLELRPSNFFERNPLMRTRPARRHGQPIGNCSCAGASS >OB07G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13588248:13589102:1 gene:OB07G25300 transcript:OB07G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCLSQSGVQVAHSSSPGGQNMVQCTYLARLRGKSCSVTVTWSKMTMGQALSIAVDDSSNRCLCKTEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMPKEGDHRVSSDVSASSAVMIARKEHIYGKKVYSAKARFLDIGQLHHITIECDTSGLKDPSLEIRIGKKRVMQLKRLAWKFRGNQTVYVDGLPVEVLWDVHEWLFGSSSGCAVFLFQSGQSMEKLLLRSCSQNEKELQAHRFGFTLILHAWKIE >OB07G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13591538:13592957:1 gene:OB07G25310 transcript:OB07G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLLAPIKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGHHHPQPLRLKQ >OB07G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13596711:13597626:1 gene:OB07G25320 transcript:OB07G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEGLPLLSQSHAPHGVRLLNPLTGQLTDLPPFATLLTPEQLNDRQGDKEFLVRGVAVADDSTVAICLTFHRGLAIAKPGDERWTSVSFDHRLRLYSTLSFAGRIYCASAEGTMTLEISSDQPLRLLMAAKMATTPHYFMAMTDSLHLVDNGGELLLRHRMIRADGGHKLKRRCAASLTPVESLSGRAVFVGSSRSVSLPASMCSSSIRGDTVYVGFDCDEKGKIDGYHVGDGTTQPSRLTKHTWRFRPWTLADCLSWCI >OB07G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13600480:13604595:1 gene:OB07G25330 transcript:OB07G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPSVSDEDDDLETLVPQNHAKPPSPSSRSPAAASFHVSALRAAVPSSSSLGRLLWSRRYLLLFVALPVLFLVLFVSLGGASSLRLPASIRLPSAAPATDPAASRMREAELRALYLLRSQRSGLLSLFNRTASTAPTTDASSSTAISYSDLHDALLSQIKINREIQSALLSAHRSGAAGNVTDDGLDLDLPVAGCRRRELPSNRRTIEWNPKKDRFLFAICLSGQMSNHLICLEKHMFFAALLGRILVVPSQKVDYQYDRVLDINHINDCIGRKVVMSYEEFTEKRKKVSIDQFICYAASPPCFLDEDHIKKLKGLGISLGKIQAAWPEDAKLKEPKKRIVDDIMPKFTTDAEVLAIGDMFYADVEEEWVMQPGGPLAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETNVLQSLVVFNDRQVPLVRRPEHHSSEKWDALLYRNHMGGDSQVEAMLDKTICALSNVFIGSSGSTFTDDILRLRRGWGSASHCDEYLCQGELPNFIAEQD >OB07G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13619209:13623400:1 gene:OB07G25340 transcript:OB07G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKANAAAAGDCPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHPNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATAPRRLSARELLKDPFLQVDDMVFCPGDGEYSLMNYLQQPYLEHAYSNVSMISNGFSESIEEDTPTEDRWDYEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTMYCHNCGSNVSSCGSLYAYMSSAARGCQCSQLHGRFEEITFQANGEQSDLQDSGGSSDDGGGHTEQLIKDKEAIHSNGFVQMGRRGPDQFCFSSFQEQSCSPRHYEYDTNHQSKGFDMKHEVKMAKYKARKMAQLKRAIHPSLDFDNLNGERRTKSSLNKLQSFHIGKNHNFRIPNCERNPGARDTDDHHDMNNQECHSRHPDPRAQMACLCEVDAQSSPDLMFTARSYYTGAQLPTNLPRTKSVTLNAVDA >OB07G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13626230:13626685:1 gene:OB07G25350 transcript:OB07G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMYSSGKGMSCSVLPYRRAAPSWVKTSASEVEEMIVRAAKKGQLPSQIGAVLRDAHAVPLARGVTGGKILRVLKSRGLAPEVPEDLYSLIKKAVAMRRHLERNRKDRDTKFRLILVESRVHRLARYYRLNKKIPASWKYDSTTASTLVA >OB07G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13630172:13638204:1 gene:OB07G25360 transcript:OB07G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQYQAAAATSSTAMACEEAEFMPQGLISCFGRSLSRASSGRHLEYCRDLSGGGGGGGEESRRMAQERSARAKLRWKAVAQEIIMARRSGGGGGGGGSRRRKPTAFSYDSKSYALNFDDQAGADKTWLDSLVATGKRNTPTRQTILSWGSGPPTDGGRPPVPPPRCLLLLLRGASRGPPRASSSTTPARRRPLVDEDKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACALGRELHTRGNLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASLISPYRRDRESCRALISEGSFIEVFLNMSLELCESRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHE >OB07G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13643799:13647740:1 gene:OB07G25370 transcript:OB07G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20110) TAIR;Acc:AT1G20110] MQTGGSGDYASSAPPAGHYYPQQYAPNPPYPPEAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPSPAPFHPEPSPAPALFHPEPSPAPAPYYNYPPTAAQHAPTAEPNPPPLPYDAPYYGGYQPPTAGYADDDYLNEGAYAYSGGDGGSEPDGARGTAPTRSGAAMFDDYGRSIGLSSGGAEQRPTGGDGGRVGAGSFGKIARAVPKADSQEDASGGPQKFRVKLLPEGAGSPTDVFCQIGLDGIRMLDPSTIRTLRIYPLDTLTKWDVLDSTVFAFWAKTPVDFEPKRIRLKSNSYTSSNLLDIVTAATVQFKEIGGDARVRGTVDSSKPVAQPNEKKKGFDWMFAKPVDEVKDHWVPDEAANKCHSCAADFNPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKDEMERNRRSSGSASGGASGTRMREVACPTCTVHLQVQVPSSGSETVECGVCQHAFLVSAN >OB07G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13657215:13660770:1 gene:OB07G25380 transcript:OB07G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQCFLRRVVFFLLAKDFSFRFGRWRWKSMEKGGAFVRIQQSPDGDIIDCVHISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQNTQTITQMWHKNGVCPENTVPIRRTKKEDVLRASSIRRYGKKRHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNANCP >OB07G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13662935:13664578:-1 gene:OB07G25390 transcript:OB07G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRWHFIFQRAENMVNAIKGLFISCDVPMAQFIVNLNASMPASEKFILHMLDPTNMFVQPHVAEMIRSKISEFRDQNSYEKPA >OB07G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13664231:13664503:1 gene:OB07G25400 transcript:OB07G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGEDAAENSRTTALLLAAAQAAGELARQETRRERVCGSDADGRNILEGKFLGKITAAICHLSKFLFLLVTCAAEFFALLFSVFCTHIF >OB07G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13666440:13671156:-1 gene:OB07G25410 transcript:OB07G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQESYDALSQKDIESPVERSLSSTSATSSLSTAGASKGKNSWKLKSIVTLLLTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLIALYRTWNSQGVTEDNRLSTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVSMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQPQK >OB07G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13673290:13678223:-1 gene:OB07G25420 transcript:OB07G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDRSVRGVLATHSQEHVGYPSGSMVDFACDQDGSPIVAVSSLAIHSKNLSGSPKCSLLVAKDPEDRTDTVITVYGDATPVSDQDKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFTATEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQHSTTVKVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKGVKALIVEMLQAAKASSSSAE >OB07G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13683753:13684031:-1 gene:OB07G25430 transcript:OB07G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRREMTINIKVSVTMYAARCSLKKESNKSSQFIPRKEKKKSKYIWSAPQRNGAKPPPPPRPPPRLVTYTTPSPNHATTTTTTPTETRTRGR >OB07G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13687176:13689019:-1 gene:OB07G25440 transcript:OB07G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFHHCSSSSFLLLFLFLYLLTWCVRGEVANGGHQDLPPLLSFRAYNPGAAALESWVGGNPCSGQWIGVRCSRGRVVGVFLDNASLVGSVAPLLELAQLSVLTVRRNSLSGRLPPLDNSTSPRLRHLLVSHNRLSGGLTLSLPSLVTLRAEHNSFHGGLRALSVPMARSFNVSRNKLDGEISGELSRFPSSSFGGNLALCGQPLPRCVHAYNALGDSGTNASTSVSQSPSAAAMDTSGGGSLSSSNGGLSKLSVTALMATGIGNAVLILISVTISVAMFVYVRRKLRCRKDASDAALSFEEEEKVRNGEEKAQKSGGGGLVCFEGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPGRSKAFDRHMRLAGRLRHRHVVSLRGYCNSNGERLLVYDYLPNGSLQSLMHGGNGACRAVSRRSIAIDAMREVFLIGWCCCSFVGGGGGARSLDWAARKAILFGAAQGLNYIHTFPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPDGGSGGDGGEEETMGWVKIGMLCTAEAPEERPRMAQVLAMMGELV >OB07G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13699379:13699711:1 gene:OB07G25450 transcript:OB07G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGTVAVKVEKADDGKTPAAGEFVTLKVQDTDGRVVYRTMRRTDQLQGLMDFYYDRVQEWVATGTGRFLYDGRRLRGWQTPAELLMEDGDEVDFFVELIGGAGGHAV >OB07G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13700211:13701317:1 gene:OB07G25460 transcript:OB07G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSRERLSGMSMATTAGAEASCPWPPEADPGPSKLITLRVKDSEGVRITRTMRRTDALRDLIGFYRAMALPGDMAFVDAGGVFMHYGTVVAGDKTPADYGMEDSDEVAFFPDRVSTVPITLTVKDGKGRRVTRTMHRFNVLNILFGLHYEMLPPGAPKEGFFVYHGREVHCVMTPDCCKMEDGDEITFVPISKPSAFVTLTMKRTGTNDVDDHGSSSAVTRTMRRTDALQGLVDFYFDMVPTDEEHGAEWDVVYCGKQIDGEKTPADYEMEDGDQLRLVPASKRSAFVTITLAGVERATHTFTIRRTDKLQGLVDLWSRMAPRRYQHGFILLFDGRRVSGSPPPGTPDNLELEDGDTIDVVAVQVG >OB07G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13709904:13713357:1 gene:OB07G25470 transcript:OB07G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:J3MMB5] MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTSVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDDAEDY >OB07G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13716268:13716438:1 gene:OB07G25480 transcript:OB07G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNIRIELFLWTKGVSQTPKIPMELIQITAVTSNSRFESFTHKFTSSWALIYFRQMS >OB07G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13717272:13730978:-1 gene:OB07G25490 transcript:OB07G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKGFILAGNRGMTALKSHLLSALQSDPPKPIAKKVCDAVSELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLSTALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIGDVVGAMLQISEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDEPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVHYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVNKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAPGRDESYVKQLSDYIIPALVEALHKVFFQTTTIQEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKAAFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNIIMHPEAKHADNIMAYDNAVSALGKICQFHRDSIDAAQIIPAWLGCLPIKDDKIEAKVVHDQLSSMVERSDTDILGPQSQYLPKIVSIFAEVLCNGTELATDETTKRMRYLGICGTGRAKDSQHLESCVSSLTKHEFPNIWKDAADILDKFIQSLNRSMNHAMVFRIRITENTKAAVGPVGGGDQGHDALLRGAEARTNFAPRISPDCPLAVRIRGLLHHKKLKKARSAATTASSGAASKKRSTTAATPTTTTTSNSNSNSAGSACGGSSSSSTDSCDGAAKQGGGAPDVSEVYRRDFGHTGAEELDSWMFDAAFGQFPALDSFAAVDAVTPPPAASPEDSNAGTPPAEMAEFERIKVERRISASLYAMNGLQEYFDKVFDASACDPLWDLSPLCH >OB07G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13734548:13760144:1 gene:OB07G25500 transcript:OB07G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCCDSFRGCCRVVHRTALHIPGRVLHQSGWMGSARTPRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSRRGRSRGSRTTPTRRWRGTRRARSAWCCWRRCSTSAGSSSPAGSPTGSRSPPGPAARPPSTPPCGSWTRSAGAPRRRAARGAGSGCRRRRGRPGWRXXXXXXXRARARLLRVEASSMADPVEERQPAAPAPDAPLEPQPQVRTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIAALAMTFRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGLVGLQAAVMEPQKCKGIFLLNISLRMLHISKQPWFGKPFIKSFQSLLRNTVIGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPRVKCPVLVAWGEKDPWEPVELGRAYASYETVEEFVVLPNVGHCPQDEAPDLVNPLVESFVMRHS >OB07G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13734570:13736377:-1 gene:OB07G25510 transcript:OB07G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLETFSQLAWWAGRERALLVAAGVRVEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPIELPSEPALAEKGSYGEGMRYTVDDVKLIVDFAMSRGVRVVPEIDSPGHTASWAGAYPEAVSCAGKFWLPDASDWPSRLAAEPGAGQLNPLEPKTYQVVANVINDVTSLFPDGFYHGGADEVTPGCWKADPSIQAYLAAGGTLSQLLERLVGAAHPLIVSRNRTAVYWEDVLLDQAVNVTPSAIPPETTILQTWNNGANNTRLIVRAGYRAIVSSASFYYLDCGHGDFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGEGSARSPSSRFGAGPARECATQSGEPPGNNP >OB07G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13747763:13749844:-1 gene:OB07G25520 transcript:OB07G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKFTARFVLFLVVSSGNVVVRSATAAGDGDGQFAYQGFAAANLTMDGLAAVTSSGLLALTNATYQAKAHAFHPAPLRFLGESSAGAVVARSFSTCFVFAIVSGYDGLSDHGLAFVAAPTANLSAANAGQYLGLLNATNGTASGAILAVELDTIMNPEFRDISSNHVGLDVNSLVSLQARPAGYYGDDDDGAFRDLRLNSRKPMQVWVDYDGQAKQLNVTLSPMQVPKPKKPLLSQAIDLSTAMADEMYVGFSSATGVVFTHHYVLGWSFSFDGPAPPLDLSKLPRLPRFGPKPRSKALDIVLPLATALLVAAALAAVFFLVRRRRRFAEVREDWEDEFGPHRFAYRDLFHATDGFKERNLLGVGGFGRVYRGVLPDSNLEIAVKRVSHDSRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMVNGSLDKYLHERNGTTLYWAERFSIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDGTMNGRLGDFGLAKLYDHGTDPQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVACGRRPIDHHTDEHKKRAAVVLVDWVLEHHRSGSIIGAVDPRLAAAGEFDAEEAALVLRLGLMCSHPLPGARPDMRKAVQYLERGGGRPTPDLSPSYVSYRVMATMQSEGFDSYVVSEAGRSVTSIGTVSGASSMTILSQGR >OB07G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13752372:13754817:-1 gene:OB07G25530 transcript:OB07G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLLTVAVRGPGCSVLWHQAISASAHAPQYADLSSHGLAFFVSASRDAFAAALPGQFLGLFNGTSAVGNRSAGGVFAVEFDTLFNAEFHDPNSNHVGVDVNSLTSVKAADAGYHDDGTGQFRNLSLISRKAMQVWVDYDGGSTEVTVAMAPLGTARPKKPLLQASVDLSGVAPGAAYVGFASATGILFSRHFVLGWSFALDVPAPPPNISSLPPLPPAWPKPRPRTLEIVLPIASATLVFAVAVAAYAMARRRAKYAELREEWEAAFGPHRFSYKDLFHATKGFDEDNLLGTGGFGSVYRGVLRKSAMDVAVKRVSHKSRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDDGGRRPLSWPQRLHVVRGVASGLLYLHEDWEHVVIHRDVKASNVLLDGEMNGRLGDFGLARLATPSTDVFAFGAFLLEVACGRRPIEQDDEHGGGRVVLVDWVTEQWSKGSLMNAADARIPGGFDPDEISLALKLGLLCSHPLPSTRPTMRQVTQYLDGDVALPDLSPAYLSFASLERMYNSREFNHNAISYVSSASAGAISDISGGR >OB07G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13762862:13765447:1 gene:OB07G25540 transcript:OB07G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKWCLPCLPMASTAALPVETPFSLPAPLPAWPSSSSVFDLIITALVAFVKVSDGGFAKGRINLGGLEVRQVATFDKVWSTAKGGEDGLGATFFKPAAVPAGFCTLGHYAQPNNRPLFGHVLVARDASGGGTGALLAPPLDYTLVWSSPDGAGHFWLPAPPDGYRAIGAAVTATPEKPPLDEVRCVRADFTDACEAEPKEIWSGDGFAATALRPAVRGVDARGVHTGTFATAASASALACLKNNSAAYTSCMPDLAQVNALLAAYAPQLFLHPDDPYLPSSVTWFFQNGALLYQKGGQTPTPVAADGSNLPQGGGNDGGYWLDLPVDNGQRERVKKGDLAGAKVYVQAKPMLGATATDLAVWFFYPFNGPARAKVGPLTIPLGEIGEHVGDWEHVTLRVSNFSGELLRVYFSEHSAGKWVEASQLEYLDGNNRPSAYASLHGHALYEKPGLVLQGNSQLGVGIRNDCARGSRVDTGGAGREWGPREEYDIGREINRVAKLLPRSTREKLRKLVESVLVGEGPTGPRMKGSWRDDERDEK >OB07G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13766800:13773135:1 gene:OB07G25550 transcript:OB07G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) TAIR;Acc:AT3G11945] MASLASPLPCRTPTPLLRFCALPPFPPRRRTSPSARRRPAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQSAWLLVILFAAAGFSIVVTNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSLPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYIAAIAVAFLMPQAFRRTVMVPVHAALAAGLIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >OB07G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13778598:13778867:-1 gene:OB07G25560 transcript:OB07G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGWRWTAGAVHYRRMEAVRNGKPSLQLTHSPTHSLTQTRSTQRIRGGRGVCAHQSIGGSLGSWFGFLLGVASVSRRLHGSRFAIKSS >OB07G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13778389:13783446:1 gene:OB07G25570 transcript:OB07G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MRSWMLEHGSMWPMSLKTVVILLTLMVRNVSGSFVGINVGTDISKPPSASDIVSILKEKKIQHVRLLDSDHQMLTALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGNEVLTTVPNAALVLIPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMSQYLQFLNNTGSSFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYSNMFDAMVDATYSSMQAMNFSGIPVMVTASGWPWNGGQNEKAANVDNALAYNTNLIRHVLNNSGTPSQPNNQVSTYIFELFNEDLRSGPVSEKNWGIMFPNATTVYSITFEDMATANIDSPALRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGKPCYKSDDIVAVASYAFNDYYHRTQASGGTCNFNSTAIVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSQDNFATQIQSCLLIHLIGTLVLILVFL >OB07G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13784835:13787591:1 gene:OB07G25580 transcript:OB07G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSFPNSRSPFPSGAGGGGSSGGGGGGGGRGWSGVRPWGSSGGTSVSSSGKRIQKELMDLNVSDCSAGPKGDSLYHWLSTIIGPQGSPYEGGIFFLDIIFPIEYPFKPPTVIFKTRIYHCNVDSNGNLSMDILREGWSPALTISKVLLAIKAIITNPDPYCPLVPSIGRLYL >OB07G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13788639:13789625:1 gene:OB07G25590 transcript:OB07G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVSKSRRFDLGMSRRTRRSTSLIACYQDQHVPPLAQQLRQDAKLKTLFQCQDTELQPPCLYEAQELNILEAPLQSQGDEQETPGRYHDEQEVKLRHYLDEEEEKELHHYLDEEPEKKLPDCLDEELEKKTFQDQDRERKTPKQYLDEDQKTWQEYQDEEEKVPNKHKDEENAPGKYQHEEQKTAEQREEEDDDEEHKSLEAQQQCEDTEQKAPGQCKTAKTKLITPPPPCADDVPRFSLQDLIQEKQLLVGEAKATGKLGNGEKAIADRKLPAPPPAAGGATLAMVIKRPDGGKRSMGVIRRCVKALNQMVKAKHGSKKNKPF >OB07G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13792345:13794169:1 gene:OB07G25600 transcript:OB07G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 2 [Source:Projected from Arabidopsis thaliana (AT3G61470) TAIR;Acc:AT3G61470] MALVSASSSSTAVAALPGAARASSFLAGAGRGGGGRLLLRQAEPSSARSASFAVRAAAPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >OB07G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13795914:13801443:1 gene:OB07G25610 transcript:OB07G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3MMC9] MVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMLD >OB07G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13808649:13813157:1 gene:OB07G25620 transcript:OB07G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIMDNQSSIEEQLDEPLGRVTILSYGSGHMLNDITSSCWFTYLLFFLTDIGLSPSDAAVVMLSGQLADGFTTIFVGELIDRFGHFKLWHAGGSILVAVSFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQVSHMSMVNCMTSNPTSRVSLISCRNAFTMIANLSLYGIALLIFSLLQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTKEPGLNQHCQNKRVSRISWTHWFKKVLYYQVALVYMLTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKKYFSVGAILWILSGVGIIALPSRMHNFMYALSITIGVGNALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTSTRKSLESASGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLAEPLLA >OB07G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13816911:13820007:1 gene:OB07G25630 transcript:OB07G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSKPLQSLALFLLLAQLTNSAFVPKPKNRIEHRPQLSNTYVVHANHLLKPPRFATLEHWYISMVATHSPRAATNGTVASAAGRILYTYDTVMHGFAVRLAADEARRMSRVPGVTAVREGRMYYPQTTRSPGFIGLDPEYGLWSDTDFGDGVIIGFVDSGIWPESPSFNDSGLGPVRRSWKGGCVGLDATLCNNKLVGAKDFSSFSAAEVGGPSSPRDEFGHGTHVASTAAGSEVHDAGLFMFARGTARGVAPKARVAMYKCGGHIGCSDAAIIAAIDSAVKDGVDIISISLGGFPVPFYDDSLAIATLGAEREGVFVALAGGNDGPGPYSVSNVAPWVTTVGAAAVDRLFPANLTLGSGEVLIGQSLYTMKATHTTMTPLVLLTSCDEQSLLPDVVMGKVVVCLRFGGVYVARLLQDAGGAGLVAVDGHEWHGDGVVAEAFTLPALTLSYSKSEKLMDYMESEANPVASFGFACETVTGENRAPTAVGFSSRGPNSVVPELLKPDVLAPGLNILAAWPSDVPVSVFDPDTRRSNYNIMSGTSMACPHAAGVAALIKKRHGDWTPAMIRSGMMTTAATLDNSGRDITDQGVQQRVANATFTSATPLAAGAGHVRPQLAADPGLVYDAGVKDYVDFLCSLNYTVEQLRVFVPDTTGCTTTLPGGPANLNYPSFVVAFNGSTHGHVRKLTRTVTKVYEKPETYRVAVSAPAGVKVTVKPATLEFKEKNEKKSYTVEFRSVKRGHAKSQSWDFGHIAWENRKHQFDPSYTRLTISIYLRNYNMKTKSLIKPMVKHSKVILYGSNNEELLQLASALQHTYTPKYMSINMMMDHKRVYQLCQLRDSHSE >OB07G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13821533:13823791:1 gene:OB07G25640 transcript:OB07G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFKPLQSLALLLLLAQLTHSAFIPKPKNSTEQLSNTYIVHANHLLKPSRFVTLEHWYISMVATHSPRATTNGTLAAAGRILYTYDTVMHGFAVRLAADEARRMSRVPGVTAVREGRMYYPQTTRSPGFIGLDPEYGLWSDTDFGDGVIIGVIDSGIWPESPSFNDSGLGPVRRSWKGGCVGLDSSLCKNKLVGAKDFSSHVASTAAGSEVDGAGLFMFARGTARGVAPKTRIAMYKCGGAAGGHMGFSDAAIIAGIDAAVRDGVDIISLSLGCYPVPFYIDSLAIATFGAQREGVFVVLASGNRGPRPYTVCNVAPWMTTVGAGAVDRLFPANLTLGSGEVLIGQSLYAMKATRTAMTPLVLLRTCDEETISPDVVMGKVVVCLRLGGVYAGMLLQDAGGAGLVAVDGDEWHGDGVVSKAFTLPGLTLSYSKAEKLRDYMESMANPVASFGFACETVTGENRAPTAVGFSSRGPNSVVPELLKPDVLAPGLNILAAWPSDFPVSMYDRDTRRSNYNIMSGTSMACPHAAGVAALIKKRHGDWTPAMIRSAMMTAATLDNRCRDITDQGVEQAVANATFTSATPLAAGAGHVRPQLAVDPGLVYDAGVKDYVAFLCSLNYTVEQLRVFVPDTAGCTTTLPGGPADLNYPSFVVAFNGSTHVRTLTRTVTKVYEKPETYSVSVLAPAGVKVTVKPATLEFKEKNEKKSYTVEFRSVKRGHAKSQSWDFGHISWENRKHQVRSPVVFMWN >OB07G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13825792:13826076:1 gene:OB07G25650 transcript:OB07G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAYSIKTTCLCRTLPDAQTSNIINQREREREREDQSRRQVAICILAHQGLPCGSFDLAKHSKKECDETEGRIIEVCYAFSHSGLVESRVQGR >OB07G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13832590:13836081:1 gene:OB07G25660 transcript:OB07G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEVLSPNSEHDGHAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPLDASGNTREEVLSASSPVDLFLLPGQAFDRTGRRLGRGGGYYDTFLMKYQELAEENGWDQPLLVALSYSVQIMEEDIIPVNSTDVPIDALVSSSGIIPISPAALARM >OB07G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13839276:13840397:-1 gene:OB07G25670 transcript:OB07G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGETPPNPSATTCRAEPAPSRKIQLRIKPARVAAPEPGEDAGRVAERRRVREKEELQMEPLSTSTTERRREGEEGNGASKDEEASETEESVSCISTTTSPEAAASCKKEATLPGAHAAVRDDAPCDQEPQDRREEAAEGLQEREASSRLPRTPAAVNRRRRASTTMRRGEGEEGSGASKEPEAAACKKEETTLPGAHAAVCADAPCDQELLDRQQEAEAVEVLLKLARMPRREEEDGEGARQCTRMSSPCVQPSPTSSPSPSPSPSPSPSPSPSPSPAAQQDPDAAENSLSAAIVTLQREREAARRELAKVVRTIEFNDPYISPQDVLKP >OB07G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13842212:13842904:-1 gene:OB07G25680 transcript:OB07G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPCDQEPQDRREEAAEGLQEREASSRLPRTPAAVNRRRRARYASASNPPESRRGQGRTPAGWPSGGRGCEKEPLPMEPLSTSTTKRRRESEEGSGASKEPEAAACRKEETTLPGAHAAVRDDSPCDQELLDRRQEAAAVKGLQKRARTPRREEEDSEGARQCTRMSSPCVQPSPTSSPSPSPSPSPSPPQPPAAARLDPDAAENSLRAAIDKARPVMRRDVTLQRQR >OB07G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13846622:13847875:-1 gene:OB07G25690 transcript:OB07G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFNSFSDCDAILWNSMLASCAQNGSVDEALGIFCQMLQAGVRPVPVTFSSLLPACGNLALSRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVSIARCVNGIQPPDVVSWTAMIMGYALHGPGTEDFVLFERMELGNVNPNHITFVAVLTACSHAGFVDRGWKCFNSMSHYGIVPSLEHCATLADTLGRAGELDEAYNFIFEMKIKPTSSVWSTLLRACRVDKNTILAEEVAKRIFELEPRSMGSHVILSNMYSASGRWNEVAHLRKSIRNKGIKKEPACSWIEVKNKLYCFVAHDKSHPRYDNIDAMKVYSEEMIRQGYVLNGDVLHDIEEEQNRDVLCGPSEKLAIVFGIISTPPGTTIHVMKNLRVCVDCHMTTKFISKIVAREIVVRDVNRFHHFKDGNCSYGDFW >OB07G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13848242:13848466:1 gene:OB07G25700 transcript:OB07G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELGIEVEEGIGTKAVSKGVGADGQCVERRSEGAEAKGSAGLECSGEGGWNRRSGATELRICRKRETAWRNWP >OB07G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13850219:13850884:-1 gene:OB07G25710 transcript:OB07G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAMSVPDVTKKTSGVALEDLPASSATGRAPPSAAADRDALSAVIASARQFQDKQRDIARRREEARRELAEMVRTVEFNDPYISPLDALKP >OB07G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13859487:13860327:-1 gene:OB07G25720 transcript:OB07G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSSTQLLSAPSSSFPSPVPSYHASPASSSFPSPSRLDNASPSCLLPFLRGLPNLPPLRVSSSAPIRKPDWDVDPFRHPFFAVSAPASPTRGRRLEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPTYNLVNPGASNSNSMEIEGAAGRGGPEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >OB07G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13865101:13865565:-1 gene:OB07G25730 transcript:OB07G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGATAERGQGVRLPLRRAGAHLSPFVLLLALTNQAGTVGLMRARHVRSPAGAGRWESFFPKAVDVATPLAAVALAAAVFVQQLWKWNYSIIRALAKPEPKLHHIVQRKE >OB07G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13870395:13871319:-1 gene:OB07G25740 transcript:OB07G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARVDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSSETVPLDRLEYIHLHKTAALLEASVVIGAILGGGSDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAREQLLGFDQEKAAPLLHLANYIAYRQN >OB07G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13876634:13880319:-1 gene:OB07G25750 transcript:OB07G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSAGYAFSFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCFMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWVLFDSALTVKNILGMLLAVMGMVVYSWAVETEKKATAPIPRNKSDMLDDSEDVPLKARVSGLPTSDLEEGEMKS >OB07G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13887395:13890974:1 gene:OB07G25760 transcript:OB07G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:J3MME4] MAELKGLSESRDLTRIERIGAHSHIRGLGLDSSLEARDVSEGMVGQLPARRAAGLILQLIRQGNIAGRAVLIAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAVASGSSAPSGVVAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVVATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALSCQKRKGKIVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGDDAMQS >OB07G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13891608:13899730:1 gene:OB07G25770 transcript:OB07G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQSNSAAGGKSQNSSVPPVEGVAGGGTSYGWVDGGLQGSSLGNGAIDPTKVHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSTGDRLVIGQSVTLRRVVPMLGVPDALVPLDPLNPQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFITAVRAEAESRGESLTKSERYQLYKELRSCIDITEPRDFSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDVMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTESWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSETHWSKSYFYLWDEPLNMEQYDVIRSISNELHTYASDVRILTTYYCGPSGSELVPSTFEAFAKVPNVLRPHTQIFCTSEWVFGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSASHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGEVYRTCRS >OB07G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13904755:13909698:1 gene:OB07G25780 transcript:OB07G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQLAIVVAYRALLPVYVCPEPNCVHHAPTRALGDLTGIKKHFCRKHGEKRWACQRCGKRYAVQADLKAHSKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPELEAEENADKDKEEEEKKELEENEDSPVAEVDESPHSQPVVEAPQQCAPSPPPPILQEHQQPVVAVVPNADEQEVVAETTVIAKQEVEDDRGEDTCFHEVDRFKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGTGSSVTNSIAPATTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAPSTSSSPPSKLSQGRFTGNTTPSQPPQGRFMDNAPPTKLPHRMFTDNSVQQWHHRSNQQMEMEPGPMLSGGLGLGLTYDSGNSVLPDLMMGPSTLYGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPAPWEEAKRKTNGHTIL >OB07G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13922566:13923560:1 gene:OB07G25790 transcript:OB07G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGEKQQQQLFASYEDASLLVAGSGGAQEERPRARKRRRRAARCGGGGGGGGEVDGGGDPKKRRLSDDQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFTKLKQAHDSAILHKCHLENEVLRLKERLVVAEEEVRRLRSAGTTSHTASAEGGDLVGRGVVCAGSPSSSFSTGTCQPPGVGGGDHLGDDDLMYVPEYGYADNSVVEWFSLYGLI >OB07G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13941194:13942842:1 gene:OB07G25800 transcript:OB07G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVAPKKKGNFKFAFACAILSSMTSILLGYDIGVMSGASLYIKKDLKLSDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGALLMGFSVNFGMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLRLGWRVMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTPEEAADRLADIKAAAGIPEELDGDVVTVPKRGSGEEMRVWKELILSPTPAMRRILLSGLGIHFFQHASGIDAVVLYSPRVFKSAGITDDNQLLGTTCAVGVTKTLFILVATFFLDRIGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCALGVASNRVTSGVISMTFLSLSNAITIGGSFFLYAGIAALAWVFFYTYLPETRGRTLEEMSKLFGATDARPEADDTAKEKQKVEMAATN >OB07G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13943698:13945310:-1 gene:OB07G25810 transcript:OB07G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTELPAMSVEPKKKNVKYAFTCTILASMASIVLGYDIGVMSGASLYIKKDLKISDVQVEILMGILNIYSLAGSFAAGRTADWIGRRFTVVFAASFFFAGALLMCFAGDYATLMAGRFVAGIGVGYALMIAPVYTAEISPATSRGFLTTFPEVSINLGILLGYVSNYAFARLPLYLGWRVMLGVGAAPSVLLALMVFGMPESPRWLLMKGRLADAKSVLEKIADTPQEASERLADIKAAAGVPNDLDGDVVTMPKKRGSEELRVWRELVVSPTPAIRRILLAADGLHFFQQASGVDSVVLYSPRVFKSAGITGDDQLLGTTCAVGVAKTLFVLVAAFLLDRVGLATGLAAVGRSPDAEVPWAVSLCVASLLAYAAFFSVGLGPMTGVYTSEIFPLRVRALGFAVGVACNRVTSGVISMTFLSLSDAVTIGGSFFLYAAVSALAWVFFFTHLPETRGQTLEEMGKVFGTNDTAMEAGDASAEPGYSEGLLLTSA >OB07G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13956811:13958357:-1 gene:OB07G25820 transcript:OB07G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLNKYTLVSAVLLTATPFLLGYDLVMVCGSAVLSEAPDVKLLACIAVASCLLGAVAAVGAQCLAGDRYTVLLSAAALCAGALSRGIATSFVGFAAGMFVNGVGMGLALMAVPAYAGDLSPSSLSGGLTSHPEGFVYLGCIAGALCYSKRFLNLPVHVAWRVTVASGMAIPALLGFAVVLMPESPRWLLATDHVNKARRVLSRTSVTLEEAEFRLLEIKMELGRTPHDSCGETVATPARQSRWRDECGLWLELLARPTEPLRHAVVSALVAKVFQQASGIRSMFQYVERAFRAVGVSSTAQTTRALVAFALVVAASFSMSLVLVELGWLVPVGDHAGVADSAGVGHAWPRAVGGRVVPHGCPRWLWTAAADVNRAVSAAIFSSFVWAYEVTAVHVNLLVCPAFLVLAWFSLYACIRGVKER >OB07G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13961022:13964137:-1 gene:OB07G25830 transcript:OB07G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHFATSATRPHLPNFLRSSVESLRHPRPSLHRVPAPRPLTCVRRPPITMTTSRRLSDRKVARFEKNITKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSSLFQIIRTAQNAGYF >OB07G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13966918:13970534:1 gene:OB07G25840 transcript:OB07G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT5G55580) TAIR;Acc:AT5G55580] MDASCLGSDQRQDKGDDKNPRNRRPQRSTPPPPCPAATRRGCAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEDDDEEEADEFEFEEEDDYEGDDDLSGLEYPGVLYSNNPRAPIKKLVREKPALKQNWEGRQPKTRSRYDTPEKIDALHAKSKASRSIGLVDTDNEVELKNESISRSMFHKLQEEYDFDDKWLPLVDYLCTFGLKESHFTNMYERHMACFQISQASAEERLEFLLSVGVKSKDMKRILVRQPQILEYTLSNLKSHVAFLVSIGIPSVRIGQIISAAPSLFSYSVEQSLKPTVRYLIEEVGIDESDMGKVVQLSPQILVQRIDSAWKSRFLFLSKELGAPKDKIVKMVTKHPQLLHYSIEDGLLPRINFLRSIGMRDTDVLKILTSLTQVLSLSLEENLKPKYLYLVNDLRNDVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCKQWAGTSLEKYHTFRQSMLLKGFAGKTGRRTLTSRR >OB07G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13972600:13975497:-1 gene:OB07G25850 transcript:OB07G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCVLDEEGICALGEQPDEEGKQEMEFALLKEVQRSEPNGIGGYTHSTGSYPQIRQCAFSPDEQLRSLTTVLPPLASQELPSPYWEPQFQSSDSYQGIQAQRRPVDQTGALQNPLPESIYFKEVKEQLQSLTLTNDDGARSSLNSGPNVVRYPQSSSKSRSRLCHFHFFRGYCKKGANCQFFHGRASEVHTARQAEPFVSLKKLDMEIRELLTGIPPPVPVDLLPSMYFEMYGGPLRPDGWLTESQRHERAGCRLTSLLSQLNTIRVIEREHGQYYVVLVEDVPKYMDCLGFCPSCNLMDTGTGSNQIYMTFPVHSKFTEDDVENYFKRYGPVSGVRIPYQEKRMFGFVSFLYTETVRLILSKGTAHFICGSRVLVKRYMEKPELRKLSRKNKHIDYREHRISGCNVTNEHNIGFTMRKLSHKPDCLDETSAYKDR >OB07G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13985723:13986013:1 gene:OB07G25860 transcript:OB07G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYVISFLISHRNSLSASGRTSDVKLNQKFSRTTHAVSKPTEIMGGDTLRLHLTALVLFTHRLVKVVVYDGCTVPVMTLFYGQRYDTLAAWFTCR >OB07G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13986651:13988288:1 gene:OB07G25870 transcript:OB07G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNISSQNLKQFQYSDNSQHPCHPYRGPSDTHVVPQHHYSLKSHSPDAGCESQAAPNKYTLDSSEGARCMRNDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTDDPTDLKQKLKDLEAVMLGPDSHIVNSLENSVANQLSLEPEKWVHMMGIPRGNLKELLIACARAVEEKNSFAIDLMITELRKMVSVSGEPLERLGAYMVEGLVARLASSGSSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIAGLCKVPFEFHPLAISGSQVEEAHLGVLPGEAVAVNFTLELHHVPDESVSTANHRDRLLRMVKGLSPRVLTLVEMESNTNTAPFTQRFAETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLVACEGEERAERYEPFGKWKARLTMAGLRPSPLSSLVNATIRTLLQSYSDDYKLAERDGALYLGWKNRPLVVSSAWH >OB07G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13986482:13993047:-1 gene:OB07G25880 transcript:OB07G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G26640) TAIR;Acc:AT4G26640] MAAIMSKSANPDILPSPRDKLAGNAHEDGGSRDFEFKPHVNSSSQSVVPALNDPQKHEPSMQNESLNTAASSNDMMIDNVPPCSRESTLTVNVSSAPNQPVGMIGLTDNVPAEVGASELHQMSSSGNAIQETQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPNQGEERYDGVAATEDKSSNVLSNLGNQVHSAGMIEPVPGSASDDDIDAGGGRPYPGDDATEDDDLESKRRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSAPPMKPVVHPINSSMPGLGGMMRACEPRTFANQYAQAAESDTISLDLGVGISPNHGDATNQLQSSVPDQMQYQMQSMASVYSNMGLPSMPVPTMPGNAASSIYGSREEKPSEGFTFKATPMDHSANLCYSTAGNLVMGP >OB07G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:13993300:13993503:-1 gene:OB07G25890 transcript:OB07G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWSEVGAVVCAVGGIILCCIHLVARGTNHHVAFSLWQLERALLKGTLPLASAAIVLVVCVLRNGHLS >OB07G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14002204:14005924:1 gene:OB07G25900 transcript:OB07G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:J3MMF8] MGLLFVESLPGPKVFKCKFCGVDSASPDAIVSKEFRGRHGRAYLFDSVVNVSLGPREDRLLMTGLHTVNDIYCSCCQRLLGWRYEKAYSEDQKYKEGKYILEKHMMLKEGW >OB07G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14007524:14009248:1 gene:OB07G25910 transcript:OB07G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55560) TAIR;Acc:AT5G55560] MPPNPTPPRRTTTSTTAQGRGRREEEEEEEEGGMAAVAEEEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGIVERLHAEVRLLRSLHHEHIIGFHKVWLDREAGVLNFITEVCTSGSLREYRDRHRHVSVKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIERCIGQPRNRPSAAELLQDPFFTGIDDDDGSAGTLVVG >OB07G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14016103:14018502:1 gene:OB07G25920 transcript:OB07G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G48130) TAIR;Acc:AT5G48130] MEPEVEVEMSPAAKGLAEGVFSPYSSPSTALLLQRRVVAWYRVFGALVSLGWGSVDLIGLDWVGLDCRAKETGLPATVSVRVGERSFSLHKDPLVSRCGYLSQEALMIRSGDGEVVELPASFPGGNEAFEVIGLFCYGDAVALDPFNVAAVRCAAEFLDVAGLGARCDLYINQVVLQSWDDALIVLQRCQLLLPVAEELLIVSRCVESLAFMACMEILDPDEQRRDQPGLAAAARGLVGRRWDAELVKELAARDLWIKDLIALPLEFFRRIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMASTDNEAGGDGETDANRRATAILEGVIDLLPPESSPASAATGGAIPVSFYFALLARSITLELSDATETRLRELVASNLQFARVDDLPLPEQDAGRRSIADSSELRAMESIVSNHVSMQRRGAEAVAELWDRYLTQIVGDPKLRQDRLGELIGVIPAGDRKSHDHLYEAIDTYIVEHPGLSGEEKASLCGHLECRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVPGVGGGAAAAYTPSPWCAVIPTSQPLSTSSPYTDTRAAQDGRKLRALTRDRRNDDDDAATSDYETASFRIQALEQEIISLKQTLQRHNTVKGSSRKEPSFRVDAATPAAAAAVRRRAPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGGRSSSRGKQSKCRGSAEQLSSVACRTKHRARD >OB07G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14024470:14028546:1 gene:OB07G25930 transcript:OB07G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCGGVVDKSGFCPHLVWLAGINWACVVDCRGRRGGEDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFSDPGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYSMSRVHNFLNGFNQGYNPNPLGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFANNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMARNVWGNGNLNYPSNPTNMSSFAPSGTGGQMGVTGDGINWGGPTGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNTTGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVEPDIKTNIPGSYMGNYTVNNNQTSRGITS >OB07G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14032781:14034367:-1 gene:OB07G25940 transcript:OB07G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASVEVRCPLFVASGTSFERLQGYRWSSHSSFYGITNMDENMSPTKFFK >OB07G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14033633:14048419:1 gene:OB07G25950 transcript:OB07G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16490) TAIR;Acc:AT4G16490] MDVARVRRCPEKARAVGWANLGWNIGMSSWAKVLCPAMFQKYNQIDTAVFVAYCAITVANHAALGPDAAPDARRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAPAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPVREREFAVVALLQLCTECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRSVEPVAASSLARYMSSSILGLDCFISKQLLPASGPLTAAHNVENLAYSIITILLKTIAGQSMISINCQIVVSRVWLGRHHLSAHFA >OB07G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14064716:14066029:1 gene:OB07G25960 transcript:OB07G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQGKAVSAPAANGSGGELIGYVDVLVQSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSLRLGVRAGDVDGALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLSREFPLSTNDIFHSHAGFLQLELSYIGVVPEVVPISPTPKPALADTEEPEVAGAGAGDANGKEYEKIEFPDLNLVEENQIMLSEYIGLPCSAVEPQSSGSLLTSEDGDGATAETHVAGVRVVESFSTDNSTADSVGTFRTDTPVSSVSTTDSPAAVAVPATPQSNSEPSSGNAMSSAEQKEKAADAEVESSRTVQSSPAVNSPGAMSESAVDKPPVISVNIEQEVKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGNGSSSNKSSTGAGAGSAASDGADSSAAAKKPTAGGPEKSPKVFYGSRAFF >OB07G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14073167:14073667:-1 gene:OB07G25970 transcript:OB07G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFDLTFSSRLASPPRDPEWRSRKTSSSRVCRTTSAGVRGLDLKRPFILAPSSAAASAEAEAGGTRGGEGKGEAAGLLRPPDPKEKQPRKSATGPAAVKASPTPSPPTPPPSLLRDAGDEPRRDRGGPGEIVTRSARARRRGPRSSPYFPIHPPVRAGGGSLGP >OB07G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14073431:14080193:1 gene:OB07G25980 transcript:OB07G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLVFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSNNYDWFFSEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPPEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIMEISAL >OB07G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14082144:14082891:-1 gene:OB07G25990 transcript:OB07G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMWLVVLLLTFAVVGAAARQPPAARVQELKPAAAAAAARQEPSEQVLAGDQDAATTTTSTSTPPVGSLFPGLPPLPALPPLPPLPPLPPLPPLPPLPPFPSAGTTPRPPPAECLTSLVELLPCVDYLTNATTPVAPPSTCCAGFRSLVGSALICLCHGINGDMSRLLSRPIDPVRMVLLPAMCSTVLPPQSLFMCYTETVPPLMPP >OB07G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14088450:14090857:1 gene:OB07G26000 transcript:OB07G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYNQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPPAQGYPPAGYPPQQGYPPPYAQPPPQQQHSSGPSFMEGCLAALCCCCLLDACF >OB07G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14092451:14099000:-1 gene:OB07G26010 transcript:OB07G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAGGGAAAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMPRYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDDKPSLNGSSPLGAFAASFHNGLGFNNGNGIYSGEQGFAIGGEERLSRSNDYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALEAFYVVERDGSIIACAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLEKLFLLTTRTADWCDGMAWYRRRMDTESLWSYVQIIAKSFSFDFSKYYDI >OB07G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14109334:14110923:1 gene:OB07G26020 transcript:OB07G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERKKKERWRISGEVALVVSEPAAPLPETCMGFSCSAKIAWERDGKWSSVHAPCDATRLQCESRDYVFAWRFRTADDPCPTLRRAGDA >OB07G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14111743:14116859:-1 gene:OB07G26030 transcript:OB07G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related [Source:Projected from Arabidopsis thaliana (AT5G67590) TAIR;Acc:AT5G67590] MAAPLRRSLPSLGRALLSPAPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKPKSYAENFKWRGPPKAEQA >OB07G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14120936:14121781:1 gene:OB07G26040 transcript:OB07G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCGTGSFKDVDKAAAPEAVKKKKQAGGKKENPYASRGLDKFSTVLSELESRREKILRRVGSGAGEGGGGGHVMVRFVQSEGKGWVPVIVKLPPEEQEQQRRAGGKKRKQAASPTSSQSSTPPTSEPSSPREDVKVPAPAPAAGAVAPVRRKAAAVAGERWSWSWGKNVRPRHYMPFVAVLLLASLVVFGRVFAICCTSVWWYLVPILTTGRNGAGGEAHGARRATKAAVKVLGKKASDKKIAGEKPVAAPLLAPSHGKKGSSGVHELISPRSHPHGKKG >OB07G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14122647:14127536:-1 gene:OB07G26050 transcript:OB07G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 [Source:Projected from Arabidopsis thaliana (AT1G59600) TAIR;Acc:AT1G59600] MSSSISRCSVRLLLSTSRAMSTTAPPWPPPPPSGRPSRSPSPPAPPRPPEPLPLPSGLSLAPRLKLLLTFFRADLSVRPVDEWQLKSALLAFLRDPPLSLPVLPDSDLSVRSLPDLHKRRREEPVASGVLHVRDLSFLRPRSRKGDGEAEGMSPEQEEEKYFQWRSSLVEKLAGIELNLEGVKFQMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTIILRGVPSRWFAETRISSKASTLVTHTIFSTLGKIRNLNISSDDEWGAKQDGSNKVIISGLNCKVWVQFENYDDFNSAVKALCGRSLEKEGSRLKVDYEVNWDREGFFRNAQYEPVRNNLEERDSLAQGRKKHHTSRIESDHRKRFRD >OB07G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14134361:14139557:-1 gene:OB07G26060 transcript:OB07G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT1G67830) TAIR;Acc:AT1G67830] MAPPCSAAVVAVVVAVMLPLVLQASVGAGSADCRFPAVFNFGDSNSDTGGLSATFGAAPPPNGRTFFGMPVGRYCDGRLVIDFIAENLGIPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGIYRQLLPKSEYFSQALYTFDIGQNDITTGFFMNMTPEQVIAYIPDLMERLTTIIQNIYGLGGRYFWIHNTGPIGCLRYAMSHRPDLAVVKDGAGCSVAYNKVAQLFNQRLKEAVASLRKTRPDAAFTYVDVYSAKYKLISEAKKLGFDDPQLPCCGDDNVGCGGKAEVNGTWVVGKSCDNPLRRVSWDGVHLTEAANRFVFDQIVAGKLSDPPVPLRQACQTGRGR >OB07G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14149089:14155331:1 gene:OB07G26070 transcript:OB07G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) TAIR;Acc:AT2G21470] MASSAAAASSSSEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLEQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIHGTLPEEAIWQNGSSRDCNNQQQEPSAMVSLGLRNPQEIWNLADNSRVFLEALRLFFEKREKEIGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLQGDYKRYRMTYCLEHPSRKMLLMPVEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIDKIIKSKLGMNLPLVMIGSTLVFEDGDGLEEDEAANYALNLEKILAELPAPVINGTKITVEDFQQEFSCSINIKHRDEFDAEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGTDYPDGIVEDMSTKPGMKRKLDEILESKENCDASSSAQVVEDDDDDDIVMLDEDPKLAKKKRLQ >OB07G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14157995:14158687:-1 gene:OB07G26080 transcript:OB07G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQIQITQESGEQSTRTPPSPPARSAPQHATTRCSNANCPWRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGWFGLGGSSRRRRRATPAEELAALALSLALGDRLAVLADYWNASGVGQALGVWAAVSRRGGGRGPRGGLRRLAALLLGIAFCALVCHLRGASLVDALARTAGGRKLARIFLH >OB07G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14159105:14162348:-1 gene:OB07G26090 transcript:OB07G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAVPARLKREDCPRTKHDSLFSPWNVLVGPSDWEDHAAGKEGVQRYRVLNLPENFPGLYELGVARASDEGIRARRNGPGGVVVVYLGQADNVRARLQQYGRTGSHLNAGSSLSSAGKAGMDPQVTGNGLFREVFARGYSMVFRCALMGNKQQAEKTEAQLLRVFDYAWNKLQNSSCRREEILIKLEQGAVNHRSSLLRRVQNFKQEMFREKAGIKISRNGPADVSSGIMKNMLPRIRTFVGFRPQLVNSGNDVDKEIGIHQKKTSGGNSCCNKQARKSEGYKVKKVDVMKRKTVPEQDSNTVCGVMLGDGSSCLEPPVQGRKRCELHKGRRLGRITINPKGSSYSYQVEIPSVESIPPLTENESKSDRAPQTGELLSKLLPATVKEPSRPRNSFEAKETETREAPIEKGTHETSGIINICEAKERDNSACNNKVVLGSKTCQLHNGCEEEQFDSFEKTDLLQNEEILHRMAGDKHCGEEICHAKSQSLENQPSGRMWFELIKLQNSTSTPSSKGLGCHRRVTPDVATMCGALTENGCCKTTPMAGRERCDEHEGIKVTDASSVPFSGSSGWPSICGARASDGSPCKNQPIAGRKRCPLHKGQRACRTPQSNE >OB07G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14169463:14174702:-1 gene:OB07G26100 transcript:OB07G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQANYMTTGCSAWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRYRLVHELVDERDGSVFGAIFEREHQAAPPAARFGSENVCLCGHSLGAGFARQVARMLASSSPRHHQQPAAAAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKAVRTGVAAVGRWHGKALKNVAYANCILGYTRLESSRRL >OB07G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14181666:14200960:1 gene:OB07G26110 transcript:OB07G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYTTISGRSWTMHMAQGRSWGEEKNGGEIDHAPFCKDSWGIMDIFFQGLFARLTTSGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEVAAAASSETQRFHILDLSNTFCTQWPTLLEALATRSADETPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLREGGATTALAVNCVNSLRGVVPGKARRRDAFAASLRKLDPRVVTVVEEEADLVACDPDASEEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAAAWARRMRSAGFSPVPFSEDVADDVRSLLRRYREGWSMREAGTEDSAAGAGVFLAWKEQPLVWASAWRP >OB07G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14217460:14218919:1 gene:OB07G26120 transcript:OB07G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDLSWTMFLLQAAALLDEIIILKVDKPLEAKAPSDIYGLMPKNDDVPSQVPWFQHNHLRHLEVSCSQEELHWRLVELVKKRAVKLQSIALHDECQGCDIATREHVLSGRFRNKKPVLLYVSQMIRSPIFT >OB07G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14219299:14223857:-1 gene:OB07G26130 transcript:OB07G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MMI1] MTQSRLIPAVHLHLISGASTVGLLHHRISLHTVRPHFKAKQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALGCVCAFLYPDGLLSRSSEAALHWPRQADSVACETNEGVTNLKSKLASLERRNAEFRKQINELTMKLQLAGQGKDEVQYKAGPFGTVKALRTNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRAGISNYLVVALDDSTEAFCKLKNVPFYRRDPDEGIDSIGKAGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDSDIESMSDGHDNRTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPCIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDAQLRKLKPVIVHLNYHPDKSDRMKAVIDFYANGKHNALEHFPDGSE >OB07G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14226317:14230437:-1 gene:OB07G26140 transcript:OB07G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARFAHDHDLMYTIVDHYLENHKGKLTEEEKSRVCYSVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGPHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRHRPR >OB07G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14234079:14237544:-1 gene:OB07G26150 transcript:OB07G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSRSWQELGVVDTIYEDDHEEEEEDEEGEECFDSPTMSSSPAATSRSCSPAPAADARPFTTPPVLKDAVREWSRANGPCKPDVIVRVQEHCFALHRDPITSQSSYLKRRLSESSDIAVDLPAGLTVDAFIDAVASCY >OB07G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14242306:14242566:-1 gene:OB07G26160 transcript:OB07G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDAIRRSQEHSGYRCVSPDGSSHGGGGSSRRHLIDYRELAGAAEEASVPATGAGRRTAEDERGRPPAAVAAGAAYRPK >OB07G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14250027:14251236:1 gene:OB07G26170 transcript:OB07G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRRSSSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASDGTVSSLVAELESPSSSLDSLRRAAMELRLLAKHNPDNRIRIAAAGAVRPLVALLSHADPLLQEHGVTALLNLSICDENKAIIVEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGSAAASNGRAGAIPLLASLHETGGARGKKDAATALYALCSGARENRLRAVEAGAVRPLLDLMADPETGMVDKAAYVLHSLVGIAEGRSAAVEEGGVPVLVEMVEVGTPRQKEIATLCLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAEALIEMLRQPRSASLRARPAAVVAAE >OB07G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14252489:14252644:1 gene:OB07G26180 transcript:OB07G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVDARPRRAVAVAARMRFFSFLHESVLSCSYNNTLDAARTASPWSARRSYP >OB07G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14263490:14267497:1 gene:OB07G26190 transcript:OB07G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGGGGGGDDLIDSSDADSLGSSSTALSDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTREACLASRAIGLLALTLGAGSSSHEVMEESHAQLSRVLQTWPDASKMISALDCLAVITFVGATDLAETELSLKAMWDVIHPKSGSNVGTVHKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMSAGEALALCFELNLLDVSFGEDDDVENGGTDGSKSKLFLDMQGLKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDTTETLSSTNKKIFRSGEEKGRALKLNKDRRLAQERKNAAMLLDE >OB07G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14275541:14284894:1 gene:OB07G26200 transcript:OB07G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MMI8] MESLFLNSSGRGGGGSSDTAARRVGSGRQESRLLDPKRLQNVAIMLKSLNVTADEVIGALVRGTPEDLRSEFYETLAKMAPTKEEELRLKGYSGDQSKIDPAERFLKDVLVVPFAFERVDAMLYRVNFDNEVSYLRKSFGTLEAACEELRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGRTTLLHFVVKEIIRSEGFDSDQGAVNPGSGSKEQFKKDGLKLLAGLSSELSNVKRAATLEMDTLSGNILRLEADLEKVKLVVQLKETCSDEGSSENFFQSIDVFLRRAGAEIETMKTAEKNALRLVRETTEYFHGDTTKEEPHPLRIFMVVDEFLVILDRVCRDVGRTPERVMMGSGKAFRVTAENSVLDLNSWALDILFQIRQQMSRSISNLSETGAAARSSSAAVLGKLRKISVTSIDGTSTDDDDESDVSSLSSARRNWDVTGSIKDRRPVAPRRRGNSVSPSKSGPNSSVTQNDAGNDPMESVRRKAEKAFKVLSKRASAKMSRESSCMAATPQSASASSGIKWCENNVMWSSLSSSLMKYGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEDDPKELIDRFLKFSQELDHAIFIAQSQTKIRQVKACGSNPTSSTSTKAALKAALDRKQSAILWIRAAIEADLSPFSSYTRPTEPPKLLLSESKPVTPLFCCSKPKCNCNKRTSRKTSDGSSEGSNMNAAMDLAIALRSECNCWFLKYIDKFLDDIESETVYAPCDSQVAGLLQQLKRVDDWLNRVVRHDRMLSIDRSNKDSMFSEEEENDACERVRRKIYGALLRHVQYAAMALEGLNGVTDEEKEERK >OB07G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14286133:14287573:-1 gene:OB07G26210 transcript:OB07G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADVMMAQLLGAHGDRLFAYESVAESMEAMAAMFLPSLDTDSNSSSSCLNYDVPPQCWPQHGHSNSVTSFPDDPVHGYESFEFPVMDPLPPAHFHAHCGIPYLGEDLSPPQCNHPSARVEEAANVTTPMANKRKSNIAEFIQASKKSKKGGKRDPIGSDEGDNAYIDTQSSSSCTPEEGNLEGNAKSSSKKTGARANRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGVNISNIDLNISSLQK >OB07G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14298551:14298868:1 gene:OB07G26220 transcript:OB07G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVRRDRGGEGAPVLHLPPHVPVRAGAGRAQAAALRGRRRGRRRKDQGSGGGGGSDRGAERLRPEPAGCSDAGGGRGRELTAGGQESADAAARVINPRRRVVLMV >OB07G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14306468:14306983:1 gene:OB07G26230 transcript:OB07G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLATSLLMLAHGVRNKVNDTSGLGHVQDMGSTPVAVEKPSQQEYKCSVCGKVYMCYQALGGHMTRHRKLLAQVVTDHKLFSNGSEATKMHRCSICPLAFTSRQALGGHKRVHYGGGVAKDFVKEKNVVKTKSMGEPKAVLKDFDLNLPAVATTVGDKAKNSPPEAKRV >OB07G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14312875:14318758:1 gene:OB07G26240 transcript:OB07G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDLLEVEKVVDKIQGNVPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQNYTGPQLRQLLNWPRPLPIEEERVRLLHEVGLELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFDDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNIALSHHRTLSIYY >OB07G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14324043:14324717:1 gene:OB07G26250 transcript:OB07G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDGAEAQGPAPVFAPKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGTPSMNSEGSVTTCGGGAGEREPELLNLFV >OB07G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14342737:14343549:1 gene:OB07G26260 transcript:OB07G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPTLIDMLASRAEGPPILRLTVADVAPSAPPPALDMSYEELGAKLVNFARSRNMSMDFRVVPTSPADALTSLVDQLRVQQLVSDGGEALVVNCHMLLHTVPDETAGSVSLSQPPVSLRTMLLKSLRTLDPTLVVVVDEDADFTACDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRGAGFRAAAFGEEAAGEVKAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >OB07G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14348302:14354676:1 gene:OB07G26270 transcript:OB07G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66240) TAIR;Acc:AT5G66240] MVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPTYVLHSSKNGWDDSLRLLSLVNNCFLRYFKGHLDRVVSISLCSENGNILSGSLDRTVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARKFEKGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNNIATYNVKPVVSNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDSEPPLIKWAPGSLMYLTASSELSCWVPDLSKLGSFGVTK >OB07G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14359190:14359972:-1 gene:OB07G26280 transcript:OB07G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPEPQPRADAGGDDFEFCVVSSGGLGAAGAADMCVADEVFSQGKLLPLRPSAAAAGDVAGLGLLPRSESVASTVGFGSRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGHLPPRRRRRPGRVPAAARRVQERRRCGESRHQQECKVRAAERRRRRRRQEARDGGPLRRQLRMQVLARRRGRARHLAGGGEEDGQDQGRSQERSQRSSQQDPGLARGANNHQGKK >OB07G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14369636:14369848:1 gene:OB07G26290 transcript:OB07G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding FATGQALGGHKRFHYLHGPSVSCPAGTASVGAFDLNVAPVRDIAGEQRSDEEADDEVESSSPAKKTRRRP >OB07G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14379599:14391338:1 gene:OB07G26300 transcript:OB07G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02860) TAIR;Acc:AT5G02860] MVDNGVQPALVTYNVVLHVYSKMAVPWKEVMGLVASMKENGVAPDRYTYNTLISCCRRRALYKDAAKVFDEMKASGFEPDKVTFNSLLDVYGKARKHDEAIEVIQEMEHAGCPPSVVTYNSLISSYVKDGLLEQAVALKQEMEVKGIKPDVVTYTTLISGLDRAGKIDAAIVAYDEMVRNGCKPNLCTYNALIKMHGVRGKFTEMMAVFDELRTAGFVPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDLAMQIYKRMMEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMEERDCKPDEFSYSSLLHAYANAKRLDKIKAISDDIYSERVQPHNWVVKTLVLVNSKVNNLAEAEKALLELRRNQCSLDINVLNAMVSIYGKNRMVKKVEEILSLMKESAINLSAATYNSLMHMYSRLGDCEKCESVMTEIKTSGVRPDRYSYNTVIYAYGRKGQMKDASRLFSEMKCSGLKPDVVTYNIFVKSYVSNSMFEEAIDLVRYMVTQGCKPNERTYNTILQGYCTNGRLVDAKRFVSNLPQLHPGISKQEQQKLFEVLAKHTRR >OB07G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14395732:14396814:-1 gene:OB07G26310 transcript:OB07G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPAGVAPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAVAVAAAFLRCVFLVDSFAWLHHHSSSASHV >OB07G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14403277:14407037:-1 gene:OB07G26320 transcript:OB07G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKEEVVRGLSPARSRGKSPARSASPGRMLTPRRRKEKQPPPEKLLQQYFAEPLISRSGSLRPGGEALAPLIEGPDPERLAAGDFAGGEESARREGFGRWVLGQLARTPSVASSAAAGGPGGSSDSFRRSDLRLLLGVMGAPLAPIPSKLADPLPLLSVKGTPIESSSAQYILQQYMAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAASVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSALEDYRPVEGIMVAHAGRSAVTLFRHITVYKLLLVVPVHVNVDLAYKLTKLLYLGSFGVHLASDAEDSVAHRHHSPAGSRSPGVTDDWAGARTRVFEIMDDHDCTQTLTCNWISAERTSEEGKKPGSSSNWDDATESQQYLGSWWHWGAGAAGARLSRHIIVASVAEHAAPLVTVIKFYT >OB07G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14405352:14406141:1 gene:OB07G26330 transcript:OB07G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSPRRPTLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLLVLAHGGLAEPEQRHRGAAGVRNHDALDGAVVLECGADGGLPVDGVTAGVRLDAGEVGVLDVNDQAGALAEVAEQHVPDDLRRPLAPLLQRLRVGGELEDEAVLPVHLLLADAPRLREQTGRRERIETLHRRGGAGARGPWRRGRRARACGAIRGDRW >OB07G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14412982:14413769:1 gene:OB07G26340 transcript:OB07G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILQSSLLYYSIHCASVVLAASHSSHCTSVVLQRFRFHSSSLCVVSSRSKRRKRSKKDQEKMRDLPVTVAALLAILLVAASYQDLVLTVAADGGGVVPDGVCDAKCQKRCSMKVAGRCMGLCKMCCGKCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCP >OB07G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14416581:14418314:1 gene:OB07G26350 transcript:OB07G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCSATKAYFDHCAEVGDRQEPFFRPTSTKSSTWTLLNSTPKSQPNPFVETQYKQLGIRRRQRRRRATDRPQSCGLQVQKLISFGDGCLCESLNECRKEKSGAMGALGGLAYHFSTASSCQRLPGKVAVITGAASGIGKATAAEFIRNGAKLARSGVRVNAISPHAIATPLLVRSLARMNPGVSDEQLKEMVERGMSELHGAVLELEDVARAAVYLASDEAKFVTGQNHVIDGGFTVGKPIDMRLPR >OB07G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14418000:14418314:1 gene:OB07G26360 transcript:OB07G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVAGELARSGVRVNAISPHAIATPLLVRSLARMNPGVSDEQLKEMVERGMSELHGAVLELEDVARAAVYLASDEAKFVTGQNHVIDGGFTVGKPIDMRLPR >OB07G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14418869:14428361:-1 gene:OB07G26370 transcript:OB07G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSRRLGSLACLSAVGVFFFFFFCLLASVAAAGSPDRPRAPLGLPAXXXXXXXXXXXXRRGLLGDGRNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDHSSTYSPVKCSVDCTCDNERNQCTYERQYAEMSSSSGVLGEDIVSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDVGGGTMVLGGMPVPPDMVFSHSNPARSPYYNIELNEIHVAGKALRLDSRIFNSKHGTVLDSGTTYAYLPEQAFVAFKEAVTSKVNSLKKIRGPDPNYKDICFAGAGRNVSKLSEVFPEVDMVFGNGQKLSLAPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIIVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSPAPSGSSGSEGDMAPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIRWGIFPAGSSNSMSNATAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLPRRSWFQDHIVSILIGILLVVLLTLSALLVLLVWRNKFRGQAAYRPVDSVVPEQELQPL >OB07G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14428360:14433868:1 gene:OB07G26380 transcript:OB07G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREEEWEVSSRRAEPRREGGGAEAPPEGDDLLEEEEVEVEGQFGNFPPASPSRSRRRVNIRRTWTPGGGRAAEAESVSSRGCAEPQPDWPPEMARRRGPTGEGVRVGGTPRTVSPRRGVAEARVRAGCAAAGGVGGLPD >OB07G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14429260:14432085:-1 gene:OB07G26390 transcript:OB07G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:J3MMK7] MATEDISQISGSFDSTTMPLLEQLAEVFGRLKSHTETSLQLQNGINWENIKEHFLNLEKSYKSKCDELEEKQRALDEKKAEGCRLIAEKEANVSAKEHAFLNQLQELRDTAVSALAEARQTYKVELADILDSNGSKDKKVRTSTNDMNASCASEENTAASGLGEPSEASPVEVKPRPVLKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALRCATDPARFVLGSLEGFFPSDQTSSPGNKQNALQGQRRSCIILMEAITPALAMKESGDNHSWSSEIKELAKAIAEEWKSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAELCRSLGLNERIPDIITDLVNRHRQIDAVQFIHVFGLSESFPPAPLLKAYVEELKDSLGNNGDANAASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKSKGEKRPIVEAGRHNAKKPRTFGNPAARRPPNSVGSAGRRSAGPANTWQRPPPPMPSYDRYGPADRYHYTAPSASYDPPAYASYSEAYGGTKPYQYTPGSVAAASYSPNQFKVAYGGPGAPPPAGGYASYNDAAGQSSSSYTGYAGSGYHPSQPRL >OB07G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14439721:14441661:-1 gene:OB07G26400 transcript:OB07G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTAIRNPASSPAAGAGGGGEADVEKLRFIDEMTSNVDAVQERVLGEILGRNAGTEYLTNCGLDGATDRATFRAKVPVVSYDDLQPYIQRIAEGDRSAILSTHPVSEFLTSSGTSAGERKLMPTVVDELDRRQLLYSLLMPVMNLFVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPFDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRLGAVFASGLLRAIRFLQLNWEELADNIEAGVLTPRITDPSVREAVAAILRADPELAKLVRAECSKGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDETGAASGDASQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSHACTKRIPGHYVIYWELLLTKGAAGAAATAVDADTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSTHFSPALPHWAPARRSD >OB07G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14450495:14452718:-1 gene:OB07G26410 transcript:OB07G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWKLCDFLAAHLSLLWAFWWPPRACSVVDGSRFLILNLSLLKLYIAYIGGFQMTSAPFRVRQLELKWIGDSYSGSLIRKGASIEATTGLTFSFHQNSDLNSDSLNAPNRSSAVVALVGLIFVTSSKWASIMPKVTVEKPNAYKHKQKRKYENIRIIFSAAFPTEYVLVSGILFFQGTNSYHVVQPARRNGGGQKIGRLQAPSGFANRHLDRHISSAICSAEIPTVSSSSKTIIFIFPSLS >OB07G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14452417:14452968:1 gene:OB07G26420 transcript:OB07G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPEVVQQKAANQAAEVVLVDDNTSKPWLKLGFEFDALTREEGKLPDAKPVAAPTPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHSFQQLMVGLYPTASFLQHMRVNPHSTILKGHGDSADVVVAKFNEGQMSSWLPFAVEEGRGSVWPGSFKASSQEQKKHQEENIDLSLRL >OB07G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14458910:14460997:-1 gene:OB07G26430 transcript:OB07G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMASQRLLLLLALLLTLLASTKAAVVGGDGGGGGGGSAEEAKPPDDGAAAAAAATIDVRARRWPRFPATDGQLVMGESKRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVSKHQEIEVTDEELAHMLAKL >OB07G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14482816:14484545:1 gene:OB07G26440 transcript:OB07G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEMSELKKIGLGLTGFGVLFSFLGIIMLFDKGFLAIGNILFVCGVSLTIGLQSTVQFFTKPKNHKGSISFGIGFFLILVGWPFFGILAEAYGFVKLFRGFWPTAAVYLQKSPTFGWIFHHPLVTSVQRKTCPGVIVALRPITLKGMPDSL >OB07G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14484475:14487721:-1 gene:OB07G26450 transcript:OB07G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALAAPQSPPFSAQASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSMPSSSPSAPAVPDAWELVAPTATVVVAGAAAPVGGGLDDCDDVFPPRLHEGLGVEGEAAAKEGEEEEEEDEDEDFGDEWLWGWGRCRAAARRAWAAGVGAVREGLMVHGTCGCPAVRPAVWSAAGAAVVVGGLLYARRRDKRERDLLVMLSQEKDKRIAQLLHQIALMSDVRSGGEAVKIIRNS >OB07G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14490730:14493753:1 gene:OB07G26460 transcript:OB07G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G26660) TAIR;Acc:AT1G26660] MASVEMRVRQEKVRKFEEFVDRRLKPDLVNAIAQRDKVFQQQKTFLDLKKNIENLEKNGITSMQSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELLELPAE >OB07G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14497357:14497818:1 gene:OB07G26470 transcript:OB07G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPPDIVVCAGFVTVLAFSVAYAHTIIVCNLGGVISVLEDIAGVNALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSVVFYFTCRSSNLEILDEEGGSVEELEMMMGSNSVVR >OB07G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14499771:14504785:-1 gene:OB07G26480 transcript:OB07G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G69420) TAIR;Acc:AT1G69420] MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDVAVGLYTPLVSCVFFLYIWCAAADPADPGVFKSKKYMRLYGSGKHKHPNELRQGISDVGLQIEGTGGKQEHEFAATSEKSMTHFEDKKPSSCSSTLSYFLLIFYPLYFVFSCCQPREWSEQQTSEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYMRFFILMTSSLFLLILQSAIGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVSSCTILAMVASLPIAQLLFFHILLIKKGISTYDYIIALREQEQDEGGGQQSPQMSHISSYTGLSSTSSFGARRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSASKRKEDEPRRKKGSGGVKISPWVLARLNAEEVSRVAAEARKKSKVLLPIRKDEYTLGNETDSSYGGTSSSRIDLGPDNKRRTSRRGRPHTDLSLKPVAKISADAIDTHGSDMIPEALSSLAPLQLEARSAFHPSRAASSANAGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTALTAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSAGSSGILVPKNRLS >OB07G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14504858:14505142:1 gene:OB07G26490 transcript:OB07G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARGGSPKKQELASKAAPFGYPGHGTDGFDATPPPHHLSCYRNRIRGPPERGTKEEEEQQEQEQGETEGGGGIKCSSAQIYWRKEGRARGGQ >OB07G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14512510:14521960:-1 gene:OB07G26500 transcript:OB07G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MML8] MGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEWPPISRPLILDSVILHVVPRFDAEGGCRPYLRVHGQDSSPGNKSSKILYEMPKTKKHLQRYGQGEVPVKVGAFCRVQGDVVLECIHIGDNLEHEETMFRVMFNTAFIQSDILGLNRDDIDVSWNANNQFPRDFRVEVVFSDPGSFKPAAATVEELDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSIDSRTSVGDAELDGGVSSEESGSLEKHRADEDVKIVISQNLGYMNDRPESAPAVSLGNPGGLQQACENQEKSKLSNQSDQEDNAVQDIQVVAASVDSEGQKEDMKGVIAQTLVTTVDPSCSDEVQCQPDKSAKALKYPDLDYTGFNSPRTLSSVDEDTCLGTISNGGLQNADVKIITESTVIVDNELVVYEEKTIVDNGNLMQEVKNVVNEESAISKLDRSVIESMDSQDNSNHKMEVAKPADTSDSKMEQTNLKSELEDVISLKKTTVQSSIVALPASEIATKVKIKREESGGRRDVGISLPQSKIEARAKSPRISNDRRQIPNKVVPSKKMPLDNEPEPVLLEEKLGNSEQSEEQSMAVKPKTIRRWVSPNKESESTSVHRPSHPPSRYDSSPAALAIHSVHTNNKFNAGKDASLVSSGAQAAAHTPPPPPPPPPPSFASSSSLSNHLGSATKQQPPPPPPPPPPPASSCLSSIPPPPPPPMSFGAQTRTFAPPPPPPPPPPPPPTAPSSRTFSSAPPPPPPPLRSAPPPPPPPPISHFNAPPPPTTRSNAPPPPPPPPITRSGLPPPPPGPPPPPPPPGAHPGPPPPPPPPGARPGPPPPPPPPGGHPGPPPPPPPPGGRSSAPPPPPPPGGRASAPPPPPPPGTRPGAPPPPPPPGAGGRAPPPPPAPGGRLGGPPPPPPGGRAPAPPRGPGAPPPPGGNPSALLGRGRGAIRASGSGFGAAAARKSTLKPLHWIKVTRAMQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKLDDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLQKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPHLLNFHVDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYDASESDGPVSEIFRKKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVITTLLTFVTMFRKAHEENRKQAELDRKRAEKEAEAEKTKAQLASKNDSKPSNPSRQAKQTLDKTRAASRRVKDTG >OB07G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14518242:14518835:1 gene:OB07G26510 transcript:OB07G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLIQCNGFNVDFRAAAAPKPDPEARIAPRPLPNKALGLPPGGGGGGGGGPGCAPGGGGGGGGPGGGGGSPERVIGGGGGGGGALERVVGGGG >OB07G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14537380:14543244:-1 gene:OB07G26520 transcript:OB07G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNTVSVTPAVDSSGALRERSLPRAPEPAATVVSVTASSLRCSSAAARRSEKKKDEAEEPAEKAVIAAATASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAELFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYPSTLQDVFKEIPANALSLLETLLSVEPYKRGTASGALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKAGRGHGPEASRKSRLSRAPRETATVNKQTDSKEEAKTKENGTKDSTILDRTKVNGDARLFADIQPVSVAQVKERARHVKNDSREEIPFSGPLIVSSSSGFAWAKKQPEDRSFARSRTRSSSRSQFTAGLDQDNKLPAKENQNLGLKEQPNRDGHVARVNSKVREPHDAAKRTALKKWSQLERPDSFDSCDTYHSQNFSNAMYLGDTLSSKNSIKGDHEQGERVEYSGPLLSQSHKVDELLEKHERHIRQVVRKSWFSRGKK >OB07G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14542805:14543383:1 gene:OB07G26530 transcript:OB07G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKELQLKMTDELLKLNPGTFSSFSKESALRGIHPWMASPATALSHGGQPAATCSPSRLLRRLRSRKLRDAVAAAMTAFSAGSSASSFFFSDLLAAADEQRSEDAVTDTTVAAGSGARGRLLSRSAPDESTAGVTETVFLDATQPIAAAHHTKPVTHSGIGRRRRRGLPLSLPSSPKGSPHGASTATVKHR >OB07G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14556807:14559542:-1 gene:OB07G26540 transcript:OB07G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAIECSWDDEPGNFGVFPEQDLAPLTVTAHSKCTAVSQAENQSFVPLAASPLISQHVGSSVNMTPFQEMLTLPSQISNVNTESSGVLQGLPTSSIVLDRPDDDGYSWRKYGQKAVKGGEYPKSYYKCTHLNCLVRKTVEHSADGRIVQIIYRGQHTHERPSKRRFKDCGSLSDDLDDFSANTGSSARTQTDYEDYCRKPIIPNGTTVGPLVKKMEDGDDQLSGSSDNQEERDDEMRTADAPAGDSSAKERNVPAPGQKIIVSTTSEVDLLDDGYRWRKYGQKVVKGNPFPRSYYKCTYLGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARKKIHDVPNASVLQNTKSNTYCTEQAFRTITC >OB07G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14564765:14568817:-1 gene:OB07G26550 transcript:OB07G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:J3MMM3] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLGQIKAGFGEGKDLVVTVMSAMGEEQICALKDIGPK >OB07G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14572564:14573271:-1 gene:OB07G26560 transcript:OB07G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHVILLSFFSLFLSLSPSFFSLFLVLSPREQQRQAAATAWDGVSGDGDRLGVRRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWSSAGRCSTAWWEEEGEIDCGPHVGPTDGKSVWLVKFG >OB07G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14573945:14577334:1 gene:OB07G26570 transcript:OB07G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G39410) TAIR;Acc:AT5G39410] MERVEAEFHDAAAKNGSLIISACGFDSIPAELGFLFHSRQWAPPSVPVSVQAYVSLESDKKIVGNFGTFESAVLGVANASELQALRRSRPRRPRPNIPGPPPPKGSLVEHDKTLGLWAIKLPSADTVVVKRTLSTVTEHPEGLPGVEESADFAEHRKNFWSSVKPAHFGVKLTSKSLLGIVQFIFTGLCIGLLGGFSFGRSLLLKFPSFFSAGWFRKSGPTEEQVSSASFKMWFVGHGYSDAARTPERGSKPDREIITRVSGPEIGYITTPIVLVQCALVLLSQRCNLPKGGVYTPGSVFGPTDIQQRLQENGLSFDLVSTRTL >OB07G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14581217:14584409:-1 gene:OB07G26580 transcript:OB07G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCLLFFFAGEVVVAAVAAGSDGAGAGGGDREVLVELKRFLQANNKFNRGAYDRWSESDPSPCGWAGVTCDGDGRVTALNLSGSTISGGAFGNFSRLTALTWLDLSDNSIGGEPPPQCRGLVHLNLSHNLIAGALDLSGLTGLRTLDVSGNRLEGGIAANFPAICGDLAVLNVSSNRLAGNVTALFDGCPKLEYIDLSSNNFTGELWPGISRFTQFNVAENNLTGGVPATTFPGGCKLQSLDLSANHLLGGFPDSIASCSNLTYLSLWGNNFAGKIPAGIGKLAGLETLILGKNRFDQRIPPELTNCTSLQFLDISSNSFGGDMQEILSKFVTLKYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNQFSGELPLEVADMKSLKYLMLPGNGFSGGIPPEYGRLTELQALDLSYNNLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGKIPPEMAEIGRNPAPTFAKNRRDLSVLAGSGECQAMRRWIPASYPPFNFVYTVMTRENCRSIWDRILKGYGIIPICTNSSSPVRSNTIAGYVQLSNNMLSGEIPRQIGAMRNLSLLHLDHNNLTGTLPPEISKLPLVVLNVSKNGISGRVPAEIGHILCLEILDLAYNNFSGELPASLGGLTGLNKFNVSYNPLLSGVVPTTGQLGTFDEQSFLGDPLITLQDRGPRQQKTPPAAIRGHRMSRRAIVLWFVFSLIIAFIAGTVLFIMPNLRARFPVDQDPDPESLFGENPKFGGGDGGKCAAFQMSATSSPPSGSSCVTGCSSSSEGVKVFRLDKTAFTYRDIVAATSGFSDDRVIGRGGYGVVYRGVLPDGRDAAGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGWGTWLRSTARRGAPRPRATCTATACCSWSSPRAAAPSTPARRSA >OB07G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14595054:14598007:1 gene:OB07G26590 transcript:OB07G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT5G15390) TAIR;Acc:AT5G15390] MKLQRRADGDLPAGGRRRWFPYLDAFRAAEGVVELGSREVVEVLEPHILEARRERIRRAVESRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWNSTAECFDALKRRGYRIATTYLGNDSVSVYDMDWSHPTAIVVGNELMGISDAALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGHHGDLLPDESRILLAEFYLRHRESTAGIVHEYAKRKAGNFMAKF >OB07G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14601470:14602216:1 gene:OB07G26600 transcript:OB07G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCTHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKMLIEKRARFHPKEVCPYCKARLWSMLEANMLPRSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >OB07G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14606290:14619309:1 gene:OB07G26610 transcript:OB07G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07810) TAIR;Acc:AT5G07810] MGITEEQRLRIEANRLAALERRKRYAEAAAAAADADASAVWRLAKCPRFAPPQPPPPPQPPVGFKVVLEVCSPEDFSVAVGPADGVAYPGEAECLRAVQDCVASAVPFSTTQSQSGHLFSVFKLMDHELVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCASDKEVDELLTKLPQHLRDALLPFQLEGVRFGLQRNGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGHQGSLERLGACPRAVVISYQMLSRLRTSMMNRRWALMIVDESHNIRCTQKKHEKNETQAVLELAPNISRIVLLSGTPSLSRPFDIYHQINILWPHLLGSDKFDFANKYCLPHTVRGFKGRTYRDFSKGVRLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLDASDIKTATSSCIKGVNTSNEIPTIVSPDNSNDCEEVNLEEADCCKKSPRDLSAQEIGIAKIPGFSEWFLNHFIMKEPADNDSLNSQSCQKTIIFAHHLKVLDGVQVFVTEQGIKFVRIDGSTFPRERKDAVDSFRLNPEVKVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTSDELHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKAEGKTQHLCLPLENHNADCGNGPEQNLESDDMSIENLPGLNEIDFESDFFSIRTIPLHFEDESLHTVMQSNPSPTAREEIACNSSISQASVVCPSISSAKSVKARRRLSEIFQTLGLPATTDCHIQVKFLRFEVSQHTGRIHVYICVPGHDSRPRPLFENFLPEEVDSPLCSDNAKKARNLLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYQNDNINHSTKGLLKGGSKRRVTPLCDVSNPLPENAEWRNVVLHNGTTKERVYTQAWTVHDEPLCKLCQEPCNGEIAKSPEYFEDLFCGLSCFEVYRSRTSNRFLRKELFEVEHGICSKCNLDCQKLVKHIKPLCKEKRAEYIRNVAPNIAGRSKLLDKLVREPSEGNAWHADHIVPVYRGGGECTLENLRTLCVACHYEVTRAQQKERKELTKRAKELLKNTVNNQQKDEASEAAEELDDKYLLVAVPGSAYS >OB07G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14619731:14626892:-1 gene:OB07G26620 transcript:OB07G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVLPLLAALLVPFSGLQPAAAGGNAAAATVVVKAATAIARTDDNFVCATLDWWPSDKCNYGMCPWYNSSIINLDLDNPILHNAVKAFNSLRIRLGGSLQDQVSYKVGSHFGHCRSFRRDDGGLFGFTDGCLHMNRWDELNVFFGKTNTTVTFGLNALRGRSKAAGGNDTLYAGDWDGRNARDLMRYTVGKGYRVESWELGNELSGSGVAARVAAAQYGRDVARLKRMMLRFSGAGAVDGVTHHIYNLGSGKDSDLIKKMQDPSYLDQAKKTFRDMATTVRDAGPWSSPWVGEAGGAYNSGGKDVSDRYVDSFWYLDQLGMSAAYGTKVYCRQALVGGNYCLLNTTTFVPNPDYYGALLWHRLMGPVALKAATAGGGGAPYLRSYAHCSREQPGLTVLLINLSNSTAFDVSVSGGPLGAAPCGGRREEYHLSPHGGDIQSQCKRFDLCIMFFAITYESVDAVCD >OB07G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14638050:14640442:-1 gene:OB07G26630 transcript:OB07G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRRLGRSRPLLLLRLPGHARSHGSTPVLLRAVAASPSSPRSHSAGRRPPGLQSTLWPLGHPGTLLVPEIERWAAKPGNRLRPVELERIVKELRKRRRHRQALEVSEWMNAKGHVKFLPKDHAVHLDLIGEIHGSSAAETYFNNLSDKDKTEKPYGALLNCYTRELLVDKALAHFQKMKELGFVFSPLPYNNIMGLYTNLGQHEKVPSVIAEMKSNSIIPDNFSYRICINSYGTRADFFGMENTLEEMECEPQIVVDWNTYAVVASNYIKGNQREKAFSALKKAEAKINTKDSDSYNHLISLYGHLGDKSEVNRLWALQMSNCNRHINKDYTTMLSVLMKIDEIGEAEELLKEWESSGNAFDFQVPNVLLTGYRQKGLLDKAETLLDDFLKRGKMPPSTSWAIVAAGYAEKGDVVKAYELTKNALCVYAPNTGWNPRSEIIEMILKYLGDEGDPEEVETFIDLLKAAVPMNSAMTDALSRARMREEKNVKDAV >OB07G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14641734:14644860:-1 gene:OB07G26640 transcript:OB07G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIWFVNIGLDPHFGYLVCNCITMTIRALISQDNVILMSSLHFLSIRAYPLLAVQMSSSSNGNGHYPINGAKVPQKRENKQEKMQLDKNAAFRACQKDRHYIEKLELELRNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVIDDELMRSDSQCWLLMDEVRCQEEKLKKAALQIEKLENVNLDSQCEIESLKLDLTTLEQRLFDADSFGQHVSADKAIAENKLSEYKLQLQEAQRTIDHLVLENKELKQLFHGGAAKQSALTSDEQLEETIEKIDGKDYEDGAILEKVAKQSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSGALRRLQESHELAHKQSMEIKKLKDSLGRFNSAMNLRTVCRSCSCGFCAMLIELSNCSIEGPVDVRSSDEKPQNQALLEWRPDEPADGETG >OB07G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14644897:14645106:1 gene:OB07G26650 transcript:OB07G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQQESVYDYEQARLIATRVRSLRLQRRPPCTGNRIATVLGSTTASGLSSRRPTAMEVAGRGLAVGE >OB07G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14650480:14651820:1 gene:OB07G26660 transcript:OB07G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAVLSGGAGSGMRRCRVCGKGFSCGRSLGGHMRSHVTFGDAVAEADGGGGGGGGGGDGDGEVNGGRCSNGVVGYGLRENPKKTRRLSEFDEEDEVVEEGGDGGELMACRECGKLFSTWRSLVGHMRRHASGGRDRGDEDDEFDGPEAEEEEEVMVAPAAVTVIAAPPRRRRRSMRVAAPAPAPPPVPGGGVEKEQEDVALCLLMLSRDTGMWRSPVKAVEPFEMVEQKKKKATAKQPPPIPRNGYGYNSDEDSALLQCGDAKTNNTGRGGGDVAKCRKRRASYYAPNSISPKQQQQQRAAAPAKRTRYECPGCGKVFSSYQALGGHRASHKRINTSCSAPKAAAATATAPEPSSETYASLGDGTLSPSASPDSVATAIGNSKTNKSAAEKFGGQQVTGACSDDGELYASSSGNVELELEQHSPPAATAFLDLNFPPASSSEVA >OB07G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14657781:14662458:1 gene:OB07G26670 transcript:OB07G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin biosynthesis CobW-like protein [Source:Projected from Arabidopsis thaliana (AT1G26520) TAIR;Acc:AT1G26520] MDDDDECPPLAVDLSPETSRDPPPPGPSAASPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEVGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRLQIDEHKKSSSFPEAFHQIAFADVVILNKIDLVEDNVEDLERQIREVNALVTLVQSVRCQVDLNKIFDQQAYGAKNSSQLQELLEYSKSVPPNLRHDNSISTLCICEHDSVSLTKVESWLEDLLWEKKSDMDIYRCKGILHVRNSNQVHTLQAVREVYEVLPAREWSETESRTNKIVVIEICLGAENYGINMNLAESDSRFHAREISRSAAGKFAAFL >OB07G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14663596:14667625:1 gene:OB07G26680 transcript:OB07G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEMKQLFEFWVRSLDPATGKPNRPDVDLFNHYLRAHLMSGALPIEMLDLAEQMREFEITPNTASHNLVLKSMVQAQEAEGAEKLIERMLQTGTSPDDESYNLVVNLLIKLNRVDSAMKYLDLMLKSGYTISSTVFADYVRACVRSGRLDTLASVIEKCKATDQNKVLCPQWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTYSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENTYGSFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSYADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDVHSYNALLHAFGKRKRTEEACNVFQHLVSLGVKPNSTTYSLLVDTHLVNRDPKAALAVIDEMVGAGFTPSKETLKKVRRRCSRESDFDSDEKVQSLVKQFSYRMGGENRREMLYNIDYSREFGNSSEY >OB07G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14669168:14673729:-1 gene:OB07G26690 transcript:OB07G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAAEGVIHTLLGKLGAIIVQEAQLLGGVRRELQYLKDELESMTSFLQDISERDECSKKIWKKHVREMAYDVEDCVDEFKHQLGDSSSGGGSGPAAFFCKTIHILQTTRARHQIAKQIQELIRRTMNISARNSRYSGNHLIYGAARNSMGAHDSQANLLNIDTRITALFPERRQLVGIEPRQENLVHWLLEEHVQQLRVVSIFGFGGLGKTTLAMTTYQNLSARNGPFQCQAFVTVSQSFDVKSLMREILLQITQPVNQPAVAGKGPLEDLLKGMEAWNVGQLASILRQQLENKRYLIVLDDIWSITAWEGIRFSLPDSDNGSRIVVTTRIRAVAHTCCFHEYDQAYEIKPLTDCESRDLFFKRIFGSTICPEQLKEISAKILGKCGGTPLSIVSIAGLLSSKPVHSKDLWEKIYSSLGSELETSPSLERLRRILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKTILRRWIAERFVTERRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDMMLEIIVSKSIEENFITIAGEQHNVFPQEKIRRLTIHSRGVKYIATREILCHVRSLSIFANGELLHFGWMKLMRILDLEGYELLRNRDLNNLCGLFQLAYLNLRRTHITELPTEIGNLQKLKTLDIRDTSIKHLPPGITGLPHLANLLGGRRSYNHTGRWPISEFLGLHVPKRLGNLDSLTTLAQVEIRVSTSHYISELSKLSRLRKLGVLMFVDDDRTWASLISALEKLSGSLCTLLLWRPDGALNFDVINSLPIPPIFMRSVNLRGQLRQLPWWFLLLSNVTELTLRATELSAQEDLKVLGRLPSLLYLRLHHSAYIGTEFVVLAHEFPSLRLLVIHLSTFEAWKARFEEGALPKLEKLELSLFEEASIQEISGIEFLPSLKKVSIRACRSNMVNVEQIAASLMADADKNINRPIVTFEEKQWVPMRSRTDPPLDHMGNLLSFDDV >OB07G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14674784:14676289:-1 gene:OB07G26700 transcript:OB07G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26500) TAIR;Acc:AT1G26500] MPPRRFLLRLLLRRRCRLLSTAVAAPGRPTDPALLLRLCTVLYQHQHAPDAALRRRLSALPLPSAEDDLRELFLQASARFPLSWRPVHRLLDHLTAVHGFAHSPATAARFVDVLAKSRNVDLLHCTLLSFPPELRSLAALRAAIRGLAPAREVGKVSALVTLFPDADRRRTLSFITDVVCSICKLPDVAEKVIKQAEHRYGVSRTGRCCELLVIAYCRAGMFSDACRVWNGMERRGLEPGAPAYEEIVVTLFKNNRVPDAMKVFDGMRRRGVSDGGKGGCYRAVVSWLCKEGRIVYMVFAEMVKRGMEVDGEVMGNLVYGLLVRRRVREAYKLFHGVNEKDVALYHGLMKGLIRIKRAGEATEVFREMVARGCEPNMHTYIMLLQGHLGKSGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWSGVDVPRFDYNKFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNGHLTEVQDYI >OB07G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14682846:14686416:-1 gene:OB07G26710 transcript:OB07G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNAMPSSVLFLLALLLLSWSSMSNAARWLEEGYPPHYPTVPELPKPEPEVPHPAVPEMPKHEEPPHPAVPELPKHEEPQHPVVPELPKHEEPPAHPVVPELPKPEVPHPVVPELPKHEEPPHPAVPELPKPEVPHPVVPELPKPEIPHPAVVPEVPHPVVPELPKHEEPQHPAVPEVPKPEVPHPVVPELPKHEEPPHSAVPELPKPEVPHPVVPELPKHEEPPHPAVAELPKPEVPHPVVPELPKPEVPVPHPAVPEHEQPPKPESHYPEAPHPAAVPELPKHELPPHPTVPELPKPELPPHPTVPELPKPELPHPVVPELPKMPELPHPAAPARHQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYIYIYIYVYMYIYIYIYVCMYIRAQD >OB07G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14689410:14689799:-1 gene:OB07G26720 transcript:OB07G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHKVPSALLLVGVLLLSSGSTGSAARRLEEAAPASASEEHPAVPELPKIPELPHPVVVPELPKVPELPHPEVPKVPEVPHLTVPELPKPEVPEIPKPELPHELPPLPKVELPPKPEFHFPEPEAKP >OB07G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14691731:14692315:-1 gene:OB07G26730 transcript:OB07G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNSMSSLLFLMALLLSCSSMSSAARRLEELPELPKPELPKVPELPPHPTVPELPHPAVPELPKVPEPTYPLPHPEVPELPKHPEVPELPKPELPEHPAVPELPHPXXXXXXXXXXXXXXXXXPPAARELPHPEVHVPEVPKPELPEHPAVPELPKVPELPHPAVPELPKAELPPLPKPEFHFPEPEAAAKP >OB07G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14694481:14696037:-1 gene:OB07G26740 transcript:OB07G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKMAMSSSLLLLLSALLLSSSSAARMLQEVAWAPYDYYYPKPDQPPQLPTPDGMPNPYQPPQPTPGVPLKPDLPPMPKPDFDIPWKPDQPPQPNPNGPPKPDVPPIPKPDGPPNPNGPPKPDQPAQPCHDEPLRPNQSPQPIPNGPPNPDVPPMPKPDGQPNPYEPLKPNQPPQSNPNEPPKPDVSPILNPYFPLNPNQPPQPKPDDVPPMPKPDGLPEPNQPPQSYPNGPSKPDVPPMPNPYVPFNIEQPPQPNPNMPSKPDQPPQPNPDMPPKPDQPSQPCPDGPINPDQPLPPKPDGPSKPDQSPQPNPNEPPKPDQPPQPNPNGPPKPDKPPQPNPDMPPKPDQPSQPCPDGLINPDQPLPPKPDGPPQPDQPPQPNPNGSPKPDQPLQPNPNGPPKPDQPPQLNPDMPPKPDQPPQPCPDGPINPDQPPPSKPNEPLQPDQPPQPNPNGPPKPDQPPKPPCHELPPKPDAPQMPSLPNGELLPKLDFQPSPNGELPPPKPELNGELPFSP >OB07G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14696284:14696679:-1 gene:OB07G26750 transcript:OB07G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQVYISIYSKVGFLIFLPSSPYGHLQPKSLEWLNSSSFCFTWHGAAADGEGSTHRRRSTHASHQSPPFHCSISSSTYSNRRDTSTVDLVCTSAHDRVRRRHDRSIARLMGFLTTTHAIITRRQYISTYIA >OB07G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14697492:14697789:-1 gene:OB07G26760 transcript:OB07G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAVSLALAALLACAAVSSAARRMEEEHHQPAAVFPEHELPPLPRPVHLPPKPELPPFPEVDLPPKPELPGIPDFHFPAAKP >OB07G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14698873:14703290:-1 gene:OB07G26770 transcript:OB07G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDAAGASDPSRQVRVRFVTKLPPPLRAPHTSIAVPADLTRMGLSEIVNSLLLAASAGHQTQPFDFLVDGELVRLPLQEFLLAKGISAERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPSFVLTGCYDGLARIWKDAAECTHILEGHSDGITSASFIKKGCETEDRLHVVTASKDRSLRLFKFDASVTIPKQTGAYKILRGHTSSVQSIAVNPSADMICSGSWDNSIKLWSIKGSEEDGGTISVKKRRTNSDSSEPEESQFEGSATSTFLGHTQCVSAVAWPEQQTIYSASWDHSVRQWDVQTGKETWNMVCGRALNCFHCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCVDWWKGDSVISGGADSKLCIASGIEIV >OB07G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14707449:14708728:1 gene:OB07G26780 transcript:OB07G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGVPSDGTKARISVTEMKTLMTSITAFTQRLNRIIKNFCNRNENSTNTLPFFFFEGTALLFIGVYFEISGVQTSQKGEGGNNWSGVVALPEGEGGGDWSGIALPEALESIGARAVQLPLFSHDMWVQPGLAIEMRWERLVKFGY >OB07G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14709995:14713430:1 gene:OB07G26790 transcript:OB07G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAAVVLLMSSSLVASDWCVCRSDQPQAALQKTIDYACGAGADCNSIHEQGQCFNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASAAGTSTTPITGGTTGTMTPGTFTPGTGTGTTTGTGMGTGTTTGTGLGGLGPTGTGNMDTAAAGLNPRAGLATFCAVLLSLIAFA >OB07G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14715060:14721065:-1 gene:OB07G26800 transcript:OB07G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGCIASWLVSKLLARGCVVHATLRDLGDAKKTELLRRLPGAAERLGAVGGGVEGAAPFEPAIAGCEFVFLVATPLQHDPRSTKYKNTTEATVDAMRIILRQCERSKTVRRVIHTASITAASPLREDGAGGGYKDFINESCWSPLNLTHDFTNAYLDGYVSSKSLSEKALLSYNDEPPAPAFEVVTLACALVGGDTLQPRLWSSIPVIVAPLTGDEGYHNSLKFIQALLGSLPLAHIDDVCDAHIFCMEQPSIAGRFLCAAGYPNMKDYVDRFAAKFPDIEIKLKEITGEGVRVQADTNKLVDLGFKYKYGVNETLDSSVECAKRLGEL >OB07G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14725620:14728743:-1 gene:OB07G26810 transcript:OB07G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVCVTGASGYIATCLVKKLLQRGCVVHATLRNLGDETKTAPLRRLAGAAERLGLFEADMYDADTFEPAIAGCEFVFLVATPIFHGPLSTKYKNTTEAAVDAMRIILQQCERAKTVRRVIHTASVTAASPLREDGGNGFKDFINESCWTALDKSHGYSNDVMDAYASSKTLTEKLLLSYNESGSRGFEVVTLACALVGGDADTTQPYHSLSIPAIVSPLTGDERPHGTLLKFLQALLGSVPLAHVDDVCEAHLFCMDRRPPIAGRFLCAAGYPNMQDYVDRFAARYPEMKIKLDEVVDKDVRVQADTNKLVELGFKYKYGVDETLDASVECAKRLGLL >OB07G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14732809:14734357:-1 gene:OB07G26820 transcript:OB07G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWRGYETNTPHYPHHQYVLGLDLTQRKKSGRGMGIARRKRGRAYTGEERACGSILNLNFGAAATTLYTTARTTEAAVDAMRIILRQCESSKTDDGGGGGYQDFINESCWSPLNLTYGFTNAHLDVITTYDASINPA >OB07G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14734714:14734953:-1 gene:OB07G26830 transcript:OB07G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFMAMVLFTSPLSHVSMLRPLISPTLAQHPLRDNTTNASSSKDEVLDTREDDALATNNSLVSRTTTTHFPLHASITE >OB07G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14737264:14741048:-1 gene:OB07G26840 transcript:OB07G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLASSPPALGLQPSTRARLRVAASADAGGGGGGAGAGFPAFLPRAVERIRDGPAIRLAKRIERVPVQTGFSESPILSSCVRPLEQQRSGDPLVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSHLGNRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFLSASVYAEGPKDMTRVPRFVPYAGAFLLKSLPLRYFATCLAFYKIAGGPAGIFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQIHKVKHKCLILWGEDDEIISNKHAYRLQQELPDAILRQLRECGHIPHVEKPMEVAKHILDFLGTKKAEKAEQGSSLPSTVGLRCTRHAHYLTAAHVRPVDVVAKLQCSVHAVRR >OB07G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14746818:14753187:1 gene:OB07G26850 transcript:OB07G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3MMQ3] MAAAMAAAGGVGLLYDERMCAHATPDGEDHPEKPERLRAIWRKLSADGVVSRCMIMKAKEAEDKHIASVHSKNHIKLIRSISSKEYDSRRNKIAKRLNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELRSAIALVRPPGHHAEHNEAMGFCLFNNVAVAADYLLNERADLGIKKILIVDWDVHHGNGTQKMFYDDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGAGKGYNINVPWEHGRCGDADYIAAWDHVLLPVAEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRYDAPDMQPFESSWRVIQAVRDELKTLWPVLSSRLPENVSLRSRPTQTELYSSSGSESDVEELPDAIASVNFIQITDGVISESLSRLRLDEDKIATTATSSNITVEQSPADLAEPQNVGSTAVSKEIPSLSWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDPSPPCGTMWKTVPHRLFFGRSSTPCWGIGGVAFLNPEINDSENSYVCMYKITLEQFNDVLFQENRLVKENNESGKTESPNSPLIGLSEIEFVSSNKAVHLAPIKDSWYSNVLYLGEEDKLPILTMTCPSSDVERCKSGELPLSPPSKTYATTLIKGLVEGKHLDSDAATSYINAAATRGL >OB07G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14755449:14756903:-1 gene:OB07G26860 transcript:OB07G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLVEYVEVVDVALVVMEVADTTMVVAMVLEIMAVAVDMALVSMAPAVVDMALAVVDMLAMVDMVAELLNMVVMVLVDTTVMEATVPLVLITVMLATMATMLFLMKMLVAMAAPVGTIVPTPMELATSAMVVKPVADLVVTVVELEQTASLDTMTMLPTHMPLVAMAAPLGTSTILPTPMVLATSATVVTPTVALAVTVLDLAIMDNMVPRVVLVAAVARPWATELSRSSTTAKTICWVMTSSTR >OB07G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14758146:14759328:-1 gene:OB07G26870 transcript:OB07G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGAGWGADGDRSGGRRAGGGRRWLAGGALMVGDGLGGGKLTSLLQEWSASRDSERKLDLGLLLTGVLINQFEGFSDPSEQWAFLLSAWGFSRRRGAPHAATSASCGWVTAVDDHRYSPSSFAFLPATADMVRERGSGPGEGRYSSSSYPT >OB07G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14765779:14770170:1 gene:OB07G26880 transcript:OB07G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLRRLSLAYNRLGGAIPDELGAAGCRSLQFLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGPVPAELGGCVELSVLVLSNPYTPIGGLNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMMSLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVTGNRLSGAIPVFDQKGCPSSQLPFDDLVSEYSSSFSYQALTGFRSSSFVLSKDLTSYHSFAQNNFTGTVTLLPLAADKLGMQVSYAFLADGNNIAGQLRPDLFTKCNSSRGLIVDVSNNLITGGIPVEIGSLCRSLVVLGVSGNRLSGLIPTSIGQLNYLVSLDLSRNQLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGDIPGVLADLTNLTALLLDNNKLTGKIPSAFAKSTSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSPTDPQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTDYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >OB07G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14770302:14773606:1 gene:OB07G26890 transcript:OB07G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASILLKATLLTVSLGKELIDPDINLVSAGFDAALGDPLGGCCITPNGYAPLLTKLLKKKLLGFAQWRIVMALEGGCNLRSIASSVSACAKLSLGDKFRYDKPYMHPFESSWRVLQAISVIILFLPTPLTRLFVDTLYFDELFENADKTHHVYTDVLELYPSSGSESDVEELPDAMAFVNVIQITDGVISESLSKLRLEDKIAMTATSSNITVEQSPTDLAEPQNFGSAAVSKEISSLSFTWRSELSKVYVWYASFGSNMWTPRFLCYIHGGKAEGMNIPCFGSHDPSPPSGTMWKTVPHRLFFGRSSTTCWGIGGVGFLNPETNPSGNSYVCTYKITLEQFNDALFRESFSE >OB07G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14776649:14777837:-1 gene:OB07G26900 transcript:OB07G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAWCAASGGVAVARPLVGGGGGGEAGKTKAPLQTMRAYNIAHRGSNGELPEETAAAYLRAIDEGADFIEADVTATKDGHLVCFHDTTLDDTTDVADHPEFAGRRRTLEVQWANLTGFFITDFTLAELKTLRVKQRYAFRDRSHNGESRIITFDEFIDIAVSAERVVGIDPEMKNPVFVNKQVRWADGKKFEDKFVAALKRRGYGGRYMSPAWRAMPLFIQSFAATSLIYAADLIDSPKVFLIDDVGVRTEDTNQSYDEITSDEYLDYMREYVVGVGPWKDTVVPPTRDNKLAAPTDLVARAHARGMQVHPYTYRNENQFLHFNFRQDPYAEYDYWINDVAVDGLYTDFPASLRRYQEWTATGREG >OB07G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14783223:14783528:-1 gene:OB07G26910 transcript:OB07G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >OB07G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14784980:14785603:-1 gene:OB07G26920 transcript:OB07G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGGEVGAAGGKAGSVAGGESDDIELSLGLSLGGCFGGADQAREFKKPRLVRSSSIPRRPRRRPLMRTSSLPTETEEERWRRREMQSLKRLEAKRKRLERRNSMNSGRSGGGGRDDGQDTMYPTGFQLRRSVASQGSTSSCMPEPGSTCDTVTFHFFYLLKHFICCIINALISTVLINPFFSQKDIFLPKKNVLRELFH >OB07G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14801485:14805377:-1 gene:OB07G26930 transcript:OB07G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGDSVAPLSPQMIGNAFVQQYYNVLHSSPGQVHKFYHDSSTLGRPDSNGAMTSVTTISGINDEILSTDFSSCLIKLENVDAQSSLSGGVLILVTGSFDHHGTVNRKFSQSFFLAPQESGGYFVLNDMLRYLPETPSTEIKDSLDNHVDDNTHSVTTSEPETSGNVNETADLELPSAENVNDKVENLPANDNSPKENVIMACTEVVSQSAESIPEPTPAPHASAQKDVTKQSYASIVKVTKESTATLPVAKPKPKPKPKSTAKVADNAEKSVSSPVIPHATDTTSPKDKTVLVEHGYSVFVKNLPCNSTVKAIEEKFSKFGAIRPGGIQVRNRQPDGFCFGFVEFESRQSMVAAIEASPITIGSKDSIVEEKRTTTRVVNGVTHAENNGIARGGRFQQDRGGYRGDNFRGREAGFMNGNYRDGDNMRNGFRNQNEYSGHGRGPQGNGYHQNGNGYHQNGNGYHPNGNRYQNRNGYHQNGDEYYQNGNAFRHNGTGYYQNGNGYRQERSFHNGNGNGRSGRFNGPRQTAVQVEA >OB07G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14807344:14809011:1 gene:OB07G26940 transcript:OB07G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14050) TAIR;Acc:AT5G14050] MSLISQNPLQKRRLERSEADDDSDEGLGSPVAVGDEDGNETKSEKNRKKEKKRKKAKAPEAKENKEREEMKKLESSLFGALYALPQFGTEVEAADPDRDAPSFFVDRSAGDGEDDLPAYEEGLSSEDEGDNMVGRERRPAWVDEEEMTEVDISKVARLRKLRKEVDERVISGREYEARLRGQHAKLNPFTGWADMNRKAHFPGFSDNESDEEGGVDDILRNNDELVVKDNAKLLPGMLGFSRLIDANAQEPSNGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSMFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNATVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSHNSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQHA >OB07G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14811380:14816323:-1 gene:OB07G26950 transcript:OB07G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTRVVGGGLADEAPELERQMGCMAGIFQIFDRRQRMLTARRGGRPARKMLPPAAAGSGHNLPRSSSNVTGQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINDQLFAQRPLKRSPSLKDSAMDTKSEQPNIGFRDIVKDSINRDTGGLTVKTSVKEARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPVNAAESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRPKELPRLSLDSRKESLNPSSRQKNFSYRRTDDSLLDALKPQDSPGHRRASSVIAKLMGLEEAPNATGVLTADSYESARSPRPAEEMQNEHPVPSPRRSCQDPCEYLPKDESSAMKTKPPPRILTEAAPWRQQEKLVNGSKALQCRDAEVRPRTASLYAYIERRGGGHEFLECNKDFRALRILEALHAKDAKRHSDGNGALTVAAQQAADALNTSSRHFQPPIVVMKPARSTEKQPGVSLASADPLAGFRSLRKLQARDETCTGEHDTSTNEKIHSRIARAQSKSDEPVSRASSPRPTGSSSPRPVQRKVESERRSRPPVSPKSPSKKSNEAASPGGRTRTKPSQGKNHRDNEVSKSPRSRISLAKEVDVSVMDFQKPLVISSSFVQTSNTASTPSHKGTPSVLASDQKISSLENSPSPVSVLDTSYYHTRLSYSFKDGEIHTSEECWNPNSLPDTPQSKASSEVSQIKPDNFEALIQKLEQLQSMNDEAANTKDHQYIYEILLASGLLHKELSFVVMPGQAWPSSCLINPELFLILEQTKPDFGSSTRTVIKSSKANSEKLHRRLIFDLVNEITAQKMSIHCSASQSAKLLRLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLSGVEDWSFENGSPSIVLEIERLIYKDLIDEVIGGEATGKMQSGQWKLRRQLSFSSTS >OB07G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14810970:14815455:1 gene:OB07G26960 transcript:OB07G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTMSLKPMFGCSDLVSMAESFRLGDLFSGLCAKSWSLIYGSSCWIDLLPSSDEKDEHEEEEHEALDDSIERLLFSVMLLLNVFSCQVSAKSYPSGYFWTPKSTSVLVLVSMCALPYYILTEMLL >OB07G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14838190:14838603:1 gene:OB07G26970 transcript:OB07G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKILALFALLALSASATTAITTMQYFSPTVVANTMDPCRQYMMQTLGMGGYTTMLFMSQPTALLQQQCCMQLQGMVPQCQCGTSCQMMMNMQQAICGGLMQPQMMMKMAMAMQLPNMCSSMAPDYCQFSPYGCC >OB07G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14845164:14845562:1 gene:OB07G26980 transcript:OB07G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKILALFALLALSASATTAITTMQYFSPTVAANTMDPCRQYMMQTLGRGGYTTMLFMSQPAALLQQQCCMQLQGMVPQCRCGTSCHMLLDMQQAICGGLMQPQMKMAMQLPNMCNSMAPNYQFSPYDCC >OB07G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14847736:14848113:1 gene:OB07G26990 transcript:OB07G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPYRADHVRMACVNKQCRNAVRKEPTSRDRSLLPRHGNPHIAVPAGHLLSAHERIEIHALLLPRRPLRGSSSFEGGIAPRQPSNLQSIPGAVARCEVRAPGWEVTGACDGRVRGGCHRGCLH >OB07G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14849614:14850027:1 gene:OB07G27000 transcript:OB07G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKILALFALLALSASTTTAITTMQYFSPTVAANTMDPCRQYMMQTLGMGGYTTMLFMSQPTTLLQQQCCMQLQGMVPQCQCGTSCQMMMNMQQAICGGLMQPQMMMKMAMAMQLPNMCSNMAPGYCQFSRYGCC >OB07G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14862299:14863581:1 gene:OB07G27010 transcript:OB07G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFPSKVAKAVFLCAAMLKNGHSALDMFQQQMDTNGTLQRAQEFVYSNGKDQPPTAINIDKSLLRDLLFNQSPTKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTDDNAIPLHLQQGMCDSNPPEKILRLKGSDHAPFFSKPQALHKTLVEIATMPPAQTS >OB07G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14873619:14880936:1 gene:OB07G27020 transcript:OB07G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAVPSPAARPAGRGHRALQSRRAPRARRDVPHRRGRGGAHVLLRRGHPPRRGEPSGHGEGDPRQPGLRRPPREGRGAAPAVPPGHGVRAVRGRALARAPARLRRLPLXXXXXXXXXXXXXXXXXXXXXXXXXSLDHVMATVFGKRHGDLSPQDGALLEEMVSEGYDLLGKFNWADHLPLLRWLDLHGVRRRCNRLVQKVEVFVGNIIQEHKAKRSAGDVADGALGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQAELDAVVGGGRAVTDDDVASLPYIQSIVKETLRMHPPGPLLSLQAVTDRQTQTSEDCVKASGISQDTPKWLLLEDPILA >OB07G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14884746:14888192:1 gene:OB07G27030 transcript:OB07G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSAAAAAGHHASLLVKNDASCSGDESQSLLEARESQLKTKQSDWRAPPIILGLECLESMAFNGIATNLVVYIRSVLHGSIASSASTSSLWYGTSFFVPILGATIADTYWGNYKTVLISFIMYLLGTILITVGSLMPSAPALCNTESCSSTNEIKHLVYFSGLYLTAIGCGGVRSALLPLGADQFNSGSSLDMQKRRNFFSFFYICVIFGVITSGTIVVWIQENVNWAIGYGIATSCIALALIGFLVGTPLFRRNEPHGSPVKSVCQVIVASFRNISLELPADSSLLYEIRSKSEPRLAHTDDFRFLDKAAIMSDLNLDQGSCTSPWKICTITQVEELKILIRLLPVWATGIFFCAAISQMHTTLIQQGTVMNTTIGPLSIPPASLYSFEVICVTLWVFIMNKAIIPATRTCLANGAEMTQLQRIGVGRFLMIFAMAMAAFLETKRLESVREEGEPLSIAWQLPQYFVIAGAECFTIITQLEFFHSQAPDSMKSMLTAFALLTTALGNYLSSAIITFIARVTRTWHGPGWIPDDLNEGHLDYYYWCLAVISSVNFIVYVYFASKYRLKKAVIQV >OB07G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14890112:14890762:1 gene:OB07G27040 transcript:OB07G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAEKFLVDMEANGIPRNAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLVKSLYQAARISEGDEMMTWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLARHNLGDRANALFREAVARGVTVTPGVYKIDKKYVKVKKEKKLKKRPTLPEKMRLKSKRLYKLRMSFVKKPRRRMLRG >OB07G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14906948:14912230:1 gene:OB07G27050 transcript:OB07G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISTLYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGFFAIALSGGPLVSLLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKIRTIGVSESNDCPKFDLILLVMGSDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIVIVATGDDRSEAVHLAISDNADASDTPSSLPARMVQPTDGKLVWFLDKAAASSLEAGNDDAFGQHHREY >OB07G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14913310:14913651:1 gene:OB07G27060 transcript:OB07G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRCNVSRASFLVTLVLIATLLSPTVCYAHDQAKTVCTEMNRCTTERCQAKCLRDGREVASEYCGESNSECCCTFSL >OB07G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14918433:14921980:1 gene:OB07G27070 transcript:OB07G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPPPLLLPDALALLVVVAFSLVASSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQSPGWKLGWVWAKKEIIWAMNGGQATEQGDCSKFKSNIPHCCKKNPEIVDLLPGTPYNMQIANCCKGGVLNSWAQDPANAVASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGSAKLVRPTKFFSQDGRRTTQAHMTWNVTCTYSQIVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQDNKPGSCVEGNSPYLASVVNVNNKDSLAPLVQCTSHMCPIRVHWHVKVNYREYWRVKITVTNFNYRMNYSQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFKKDPNTFTFQKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRVMSSLLLPFTAVWTALTFLMVYA >OB07G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14922398:14924497:-1 gene:OB07G27080 transcript:OB07G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHSSVLPLFLLAATLAVAALHAAHVLDDSACARIAAAVAYDPLDPNGNITIKWDVMSWTPDGYVATVTINNYQMYRHIMAPGWTVGWTWARREVIWSMVGAQATEQGDCSRFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCRGGVVPAYGQDPAAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNETIVPCAKCACGCEHKPCVRSERDTKRLAVTGKKHDDHANGRAHAGGASSSAAALLQCTTHMCPVRVHWHVKLNYKEYWRAKITIANFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTAMFYGLKYFNDQLMEAGPHGNVQSEVLMRKDARTFTFSQGWAFPRKVYFNGDECQMPPPDSYPYLPNAASPSPAASAAALVSSLVLFVMAWA >OB07G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14929240:14930724:-1 gene:OB07G27090 transcript:OB07G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 17-3 [Source:Projected from Arabidopsis thaliana (AT5G11690) TAIR;Acc:AT5G11690] MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGERLVGGAQAVRMNVPRIAGGWGVWCTLFSTCDCAMVLACQKEDPYNAIIVGAATSGILAICQGLCSVLSASVQGGVLLALVSGFGIMMHKLPERDIGSMPVDDPTEESHVGG >OB07G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14930139:14930351:-1 gene:OB07G27100 transcript:OB07G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGIPGIPQASVAPPLPEAASSSGGGGWLGGLFGKKEEEKKPSPSAGKSEILESFDTPSAPIPSFEYK >OB07G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14934957:14935719:1 gene:OB07G27110 transcript:OB07G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMSFVTGLCTFQLTRNVFMNPDVRVNKNNRQSAVLENATEGERYSQHAFRRFLATQRPEVFPAANRFFAGPATVAKSDPRD >OB07G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14937606:14938769:1 gene:OB07G27120 transcript:OB07G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVKPDVYPLIAAMSLVGGMCVFSLTRNVFMNPDVRVNKNNRQSAVPENAEEGEKYSQHAFRRFLGTQRPEVFPAINRFFAGPATAAAAAATTSDRDD >OB07G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14952045:14955244:1 gene:OB07G27130 transcript:OB07G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reversibly glycosylated polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT3G08900) TAIR;Acc:AT3G08900] MAAAPSTTPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNSRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSAALPKEATTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDELNPPKGAVATTNGPAKSK >OB07G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14683462:14970857:1 gene:OB07G27140 transcript:OB07G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAREISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDEKAALDPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEHSLKHIRSKKNQLLFESISELQKKEKSLKNQNSVLQKLMETEKKKINVAANTHREEQNITTASTSSPTPVAAPESTPTINAGQNQPRGSGESEAQPSPAQASSSKLPPWMLRTVSNT >OB07G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14972342:14972719:1 gene:OB07G27150 transcript:OB07G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTREVVGGQLNLVKNMASAATATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATMNAPGAAGYVISRAAFLANPKLYFHLLRTKGAVAAAAVFA >OB07G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14974985:14975212:1 gene:OB07G27160 transcript:OB07G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVAMGFIAGATATALVGVGAVCLMWPVAAPLVMMTAPGGAGLLISRLAFEANPRLYYQLLRTAGPAAAAAAFAA >OB07G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14976227:14977554:-1 gene:OB07G27170 transcript:OB07G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MMT5] MSTHASYAVLPASITENSECKIIYIHRQPKDMLISYWHFMKPKLGEDYTATSISDVWRSISEEKYFGSPIWEHILGYWYASKTKSDSVLFLQYDELLHNPVKNVEKIAEFIGQPFSDAEREAGIVDAIVRLCSFEMLKDLGVNRTGFTGVGNARVPNELFFRKGTAGDWVNHVTPEMSESLDRFLSEKFHGSGFSLQ >OB07G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14979894:14980962:-1 gene:OB07G27180 transcript:OB07G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MMT6] MAAATGPVPYKEVGDEGTAARDPLPRDEFAGLVASLPRKEQFLDGRLYQGFWLPEHYLPGVVAFQRRFTPRRPDDVVLVSFPKCGTTWLKALAFADVFTDGHEAMLDRLPSPRLINTHVPYPLLPEAVTGDGGCKVVYICRDPKDMVVSLHQFLRRLQPDLSFADMFDSVAVDGTAPYGPMWDHVLGYWRASLARPDRVLFLTYEDLLQDAGEHVRAMARFMGRPFSPAEEAAGAVEAAVELCSFEKMKGLEVNRRGTSGSYKSMPRDAFFRKGVAGDWVNHMSPEMAARLDEVFRDKLRGTGLTIPRGPRHG >OB07G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14981849:14982286:-1 gene:OB07G27190 transcript:OB07G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MMT7] MVISFWHFIDRSSKYNNNTIPLPDAWESIREVAYFGSPIWEHILGYWNASKTKPDRVLFLRYEEVMRDPPRRSRSSSGCRSRTPRRKQGSPGVAELCSMEKMRATGANSAGSRQLMANEYPNEAFFRKGVVGDRHHAGDDGREPR >OB07G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14985208:14993681:1 gene:OB07G27200 transcript:OB07G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEERELQVRALDGRSTAVTLAAAASVRDLKAALRSSFPPAQVSPCFHLFLKGTKLRMEAEIGTLAVGQGEFIVLVPFTRKSLQRCSPVAMADQRQITDSPRSSQVATGANAARKGIVDKLSSIPSSQHDEVAPRYFSSGANSAWQDIMDDLSSISSSPQGDSASKNLYSSSNIPCSGNSVEDVSTGGSTHTGNSKKRRKLRNENGSVFKEMPTGAVNSVAGQPSMDKKTGVARSAAASCQDMCHLEPAEMVDHLKQGLGKGGQIVHIEEIACRDASFAELPNHLSEATREALKSIGISRLYSHQSQAIQSAISGRHVVVATSTSSGKSLCYNIPVLESLCQDLLACALYIFPTKALAQDQLRTLLKMKNALHADINVNIYDGDTPREDRIWIRENARLLITNPDMLHVSILPCHAQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNVYGSHPTFIFCTATSANPREHVMELAKLDHIELIQNDGSPCGFKYFLLWNPPLHMAKEGSSKDSSLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTISVYRAGYIAEDRRKIEADLFEGKLLGVAATNALELGIDVGNIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNIKVLEQHLACAAYEHPLCLQYDADYFSSSLNSVMKALRGKGYLINNPSGPSSSNMWSYIGPEKRPSQTVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYEGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDVSVLGGEFAHLPLIMCNTNRVKTTAQANDCKVTTKWFGFYRIWKSNNKISDCIGLHLPPFSFSSQAVWVRIPHSVKITVEERGLQFRGGSHAASHALLNILPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQVKALFGELLLAALELVSECDCTSSAGCPNCIQSLTCSEYNEVLDKEAAVLILKGVIEYERLYFETEDVSGQGVR >OB07G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:14993915:14994094:-1 gene:OB07G27210 transcript:OB07G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVITSIGISTFKKIGCFAWSRFSVRRESARILTSPFFSFVADAKTDRCLLRVWIET >OB07G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15000804:15004479:-1 gene:OB07G27220 transcript:OB07G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNAEFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIEPLKLYLHKFRELEGEKAVGVAGAASSGSGSGSGSQSQQHHDASRNNGGSGGYGMYGGGVGGGMIMMMGQPMYGSPPGSAGYPQPPPHHHQMVMGGKGGYSHGGAGGPPPPSGDGRQDRRGIGWQGQVRLDSIGQGKISSVKLDDSGETIVTLLGSEDPG >OB07G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15014175:15015143:-1 gene:OB07G27230 transcript:OB07G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNSATTTSSAPAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVSRTPPPCLSPSGAPRRGERISRLERVRRACRGPPCETARATS >OB07G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15018192:15019331:-1 gene:OB07G27240 transcript:OB07G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGPFDADKVCQKLCCKAGRVIKDMQIKGKENKDKDAGDKAKAAEKEAGGGKAEKKGGGKGDSKEAKADKAAAAAKPEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAAKPEKAEKGGGKDAKPEKKVKFDLDEAPAPALKPGKVPFPAGMTQADLAPLLEKLKIAKLGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAPAPYDHQGWYCGNRHPYYQQQQCYEDPNAGCSIM >OB07G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15029532:15032939:-1 gene:OB07G27250 transcript:OB07G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRPAWSPPHLAVGAASTSLSPRAGTPSFLPLASRHGRTLPAPVRRIRFRSRCLKSPSQPEPGREHEDDNAGDEDVAASIHKMIHKFQEEFRAALGLRTPADMFLKEKSQISVIERKLYSSRSNVLNSNVKAVAFTVCRQAILALDLASEVMDVAAFGLGRSEISQCTTDQMVRTYAAIFCEASKDAYHNRGKKESILTFLDALGCLGSITHILVQDTVDKLPEGTFKDEITRDLHALRHVFNKKMKKLAEDFTEATRIDGDEIVERTVHKTLSNGTTYTGSYISELIKRRRAALLRGNHW >OB07G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15042834:15050009:-1 gene:OB07G27260 transcript:OB07G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTCNVAAVREFVRMFCQLGISHLNALFAQSLKDLYEKHNDTADGRIRVWFGVRQIINVTNHLLLGKTDAGQKLNTGIHMTRCNSICWNPREPMNFTAGNEDTHCYSFHAGKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTFVTTLLSMLLQRAINLPPPTPNVAQADIKIEFQCFVQIRNGPGADGSIGCPITGPICYPLSQTIVRMEGSSSFVDLLTGDGAKVTGLDDITIPMNDETHVTNDIPSEAEPQGVLHSPVVQPRRIKKGVAKRTKNFSQKEDEVICSAWLNVSKNPITGANQSRSTFWSRVHAYFETNKTTTEVRTESSAMHRWLTIQAQVNKFCSCYDSIERRHQSEKTIHDEISDACELYKGLDQDNKAFTLIPCWTKLKDEDKWKARMVEIAEQEMQTSNKKQKVNVDSTPTNVEDANTEEEIQLSHPEERKKPQGVKKAKEALKRGGDEACMEALDRMWAKKEAFDVREKKKDERFLVSLEIEKKRPSIEEKKAESELLREENEIMSVNMSSLTPLQKQYYETMQQKIIARRLANQIYFTCFSSF >OB07G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15051014:15053614:-1 gene:OB07G27270 transcript:OB07G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAFLRTTAPALPPAPLLGRSGNRPMLVSVGPDAGGHAAAGRRALLCLSCNYRRGDGVKAKCSKYEGQDDPFLAEADIGGMVNALAVHYGSVLSRMGPGPALSEQEEQIRQELLTVVEDSVELAKKVITTLKPEPNSPEFVELFKLTADLVRIAQTCKHGYAPIGHALHVISDLDALRRQEVVFWVLESVLKSVKNDIERMISDH >OB07G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15062255:15062602:-1 gene:OB07G27280 transcript:OB07G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEHRGGIHAAAAHRGAAQEPRHGAAHHRRHRRQRLPRLPGAQRHGRQLRLHLRLRPVAQHPNSIPCNTCIFQLEQVRYIYSSSLLVKCGRVWRAWSEFGAKMENSIRVLDKKNL >OB07G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15065531:15065728:-1 gene:OB07G27290 transcript:OB07G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGEGPPGISRNPRAGGGTLGAQGDLGAVLAGQIGLKRKGFRLSRKHSMKQPPTAAAATARALSPK >OB07G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15065959:15069601:1 gene:OB07G27300 transcript:OB07G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:agenet domain-containing protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55600) TAIR;Acc:AT5G55600] MAKEEDGPEFVRWREDFVSQERGSRVVHYYLEDAAGVSHLAVVGTERSLRHMLYVVSEDFREAKGCGGVDGGSGVFARKWRSRREVVDWLTSFLPAKSLAPKLPKFGPHMGNDIGLDGYSESDSFMCHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCSNTLPNSSMVGIRFCFRQYSKNKFKRFDLRSLRGYFSQAVVLSLKIPPEQEKDDGSDIIKTVENWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPISKQSPGRHPSVKFIGPQNQYMSTYNVGDRIEVLSQDSGIVGCWFRCTILKSCTNHNKLKVQYDDLQNADDSGRLEEWVPASTFARPDKLGLRCPDRLRVRPRPQQNSLVDGINLLPGTAVDVWQFSGWWEGVLVSADNISADSVQVYFPGENFFSVCQLKNLRISKDWVKNHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVGRPDSGSTAMSGQELAAVQTNSSEDKQTGADQPSEVSLTDMASAFAEDEKQTVLGKRPRDDAEHDCNGEVGVDVGKL >OB07G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15069792:15070082:1 gene:OB07G27310 transcript:OB07G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSHDLIFCCVRPDVPGMSMSHSHDASPLLLHTCKLSSAMIGWSNKSHLTTYGLCACQSLLHGTSLHVSCYPLATYTVYLQRSLELLKNRHGRL >OB07G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15069937:15072979:-1 gene:OB07G27320 transcript:OB07G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MMV0] MGTGRTVITWHSRASDVDASGHQVGTFYSASVAVEADYFCASHITFENSAPAAPPGAGGAQVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPAEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIVVPQGWSDWGDQSRTKTVLFGEYSCKGPGASTAQRVPWSRALTFEEARPFIGRSFINGEQWLRL >OB07G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15078800:15079931:-1 gene:OB07G27330 transcript:OB07G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADHHHHGGFHSSTPATKISTPLSAGGGAEAALLGKGRYKAWALAAIALLALWSMFAASVSIRWSSGDLAATFGDLPDPLIDDLDPLEMEEREKLVRRMWDVYTRTGDRVRLPRFWQEAFEAAYEELAGDDMQASEMAVSEIARMSVHRPELEQSSNKY >OB07G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15086911:15090097:1 gene:OB07G27340 transcript:OB07G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGDGGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLGALRDLDLSNNRIQDVGDLAPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVAMHPVEVNGVIDVDEDESDADEVVPNGGAEHHHGANGFRVAAVGGAPDEDEYVEEEDDDDEEDYDEEDDLGEEIDEDGDDEDAVIEVHDVPSSSDEEEDGIEDEDDEDDEDEEEVEDDGEEAEPEISGRVALPVGDGVGEEIDGHEHGEGEDEDENGEIGEEDGERLEDDRVYGEENDDEDEDVDDEDEDTEYLVQPIAQPQAMAIGNDLDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDNPSGSGDDDEDDDGVEDLRPFKHH >OB07G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15093861:15096042:1 gene:OB07G27350 transcript:OB07G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQPLRLVRPTPLARGRCKCSAAVPLVFGRQRLPLLVAFPRGSGSGASCSAVQESSAAAAATTVSEKKDAADDKKEATAEAKPAASKPAAKPKKPPVKALPEMMQEEIIPPLMAALEAEDDVSQVELSFQDNRLEGSFVKDDVPYYFWAFFPNGDLTGPKGFALSSYATEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEGEGESAE >OB07G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15097359:15099505:1 gene:OB07G27360 transcript:OB07G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAAAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRSPFLSHEIGDDGAGDSDLGFSKWAEKLRGSAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSKEEGRRILKEIALVLSEDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLELVLRMSASI >OB07G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15099951:15103623:-1 gene:OB07G27370 transcript:OB07G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLREMEDHRVHAMPDYDFFSEDHQMKQLGNKMYDQDSPSSNSGQSHQEESAMNDSSPNERHTSTQSDNDDDHQKQDQDKTKSISSLGNPGAAFLPPTLNYSQSFACIPYTADAYYGGVFNGYASHAIVHPQQNGTTNSRMPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQEEEASGGASSTKAPGNRASCLQSGPGCTPPSASAPSEPASVSASREMLGNHEQNHLPPAGFRPTMGFRAQNAGDGKLVANNAMHQRVSMMR >OB07G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15113300:15118079:1 gene:OB07G27380 transcript:OB07G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20520) TAIR;Acc:AT5G20520] MIYSKPFAKLYTKRTTRTRTLVRTRPGTSGPDDTTSRNSEQQHQASLAAAAPTTCPTESHPTGRRASVRHCGGGDGGAAGGAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHVALQERLVYVPVLPGVARAYPITPDRLRLLYENVWLRAADGVRLHSWFIRHSPTCRGPTILFFQENAGNIAHRLDFVRLMMQRLQCNVFMLSYRGYGESDGSPSQKGITDDAQAALDHLLQRKDIDTTRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPILFLSGLQDELVPPSHMRLLYDKASEHNRNCRFVDFLSGMHMDTWVSGGDRYWRTIQLFLDQYAPEVQSCDASCKSEIADNDRDQFILKFPIVFTALDFSDADRCPFST >OB07G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15121972:15127661:1 gene:OB07G27390 transcript:OB07G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQYNPRTVEEVFRDFKGRRAGLVRALTTDVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFSMINDLPTIFDVVSGKSKSKPPGANNHSNSKSKSNNKTKSSEARAKQPKPPPQAKNEDLRDEDGGPDDEEGAGGGGGREEEHGETLCGACGESYGADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSGSNGGAGAGSGNAPFTLPAYNMSPWQPKFIKQSWKMIVGENMNMINPTAHFPLIDIAGNTFGRNAQSNALGRYTLSCRVSRQKRNMICVMLSINYHCIKATETK >OB07G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15127946:15129782:-1 gene:OB07G27400 transcript:OB07G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAQISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFSFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHAPKDEDEYSKPLPAEIPVA >OB07G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15131408:15133668:-1 gene:OB07G27410 transcript:OB07G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVAKPQ >OB07G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15133863:15139663:1 gene:OB07G27420 transcript:OB07G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 10 [Source:Projected from Arabidopsis thaliana (AT2G26350) TAIR;Acc:AT2G26350] MRTSAAAAAAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGAAQPEVMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRMVARGIALTDSQLDDHPESDSSSRGTSAQSSINPSRSLSFSYLSRLRGRVHTMWQWVLLKWPSMLPFAQDFIQLSIRANLMLFYFEGLYYHLPKRAAGIRYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNLSTIASSINQISSGSYPSFTGRGVPVLNEDGNIISDTRHGKTADLASGSEASSSKSKCTLCLSTRQNPTATTCGHVFCWGCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >OB07G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15143689:15143922:1 gene:OB07G27430 transcript:OB07G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPGSGGQSTGGSAGGSHSTGALKPSRSSRVGEFILEAAGRVAFDAATDRLASAGLGPLALDSAGGIDSASSLEG >OB07G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15146492:15149810:-1 gene:OB07G27440 transcript:OB07G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIMHSSHPGHQLRKTKLKRPFTCNICTQSGTITCYRCKSCDFSIHVNCAQASVEGDPNLQDGPPVEMPKCGRPRELGKESKMSKDVGVQGPPKEKVAPRKKKVGAPNPSLQKARVIGSSEKRVAALCPPRKKKADPIDLPKGSSQIKAFSITNARTTKDLEIKQTTLANAKKTEDLCIKGLEVAHAIDIPKKKLRVQGHVKKKAGVSSKIKVEVSCAPKEKIGASNVLTKGDSHIKGSTLPNIGMTKDSHKKRANVVMAGDSQNKGSKETNVSCPLKEKVGVTSPLQKKGGSCVDPPKSKMEASCAPTHKREVLNDQTKDSSHIKGLSLANVEIKEDSHIKGSTTTNVTIPSKKKLGISNPPQKKEVSRSARKRIEDSTDLTKEDVEDKESKIKNIGITRGSHVKDPTTPNVRNTSGLKSTKSTATNVRMNKGSQSVPANIKVPGGSQTEGLATTNIGMTEDTQIKESTVKNIGAKVLMQPKEAEAQGKMDVGTRSDSWQGLVPTKKTGLVGAAMLGAGMLGGGAIISQVAMNMNEKNETKVGLEEDKKCEVEEEQEGVEEEEFVGDGEKDEAKKNPRVKYEDGKEYVVDDEEDEDEQNQEVKYEGEDGEEDMIEDEEEDEAKQNRRAKYEEDGEEEEDVEYDNKEDNEQVLEVGVEEEDGVDDDDNEEYEEEEEQGETVEEDEEEDNFEEDEDEQLKEAEDDDEEEDNEEEYLNVKVEEEEEEEEEEEEEEGMDEDGSEEDEDEEEREEGVEVVDEDDGNEDEEEQGDGLIDGEEEDKLEEDEGEQLNETKDEDEEEEEQEHEVEDKEEEMEEDGEEERENGDEEVEEENNTKKKNKNEVYE >OB07G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15160812:15164902:1 gene:OB07G27450 transcript:OB07G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22990) TAIR;Acc:AT3G22990] MSHVRSTPTGKSGGSGGSTPAKRGRPFGSTTGSGAAAAGGXXXXXDAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTTIPPDDQTKTDDSTVTKKRSAGFWFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPVIPQIYKRLVDLLSVPAFDAQGAAISALYNVAEVNMDCRLKLASERWAVDRLLKVVKAPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVMAGQAIWGNIN >OB07G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15165891:15168404:1 gene:OB07G27460 transcript:OB07G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGRGRGRRGGGSGYDHAAKHTPHEDFPDIALPEMTCTRATTEEKALIQSTLKLEEFWRTSCYYLEEDVPKKKNEDKEIERYSDRKHKTQSKREALISYLKLTPSNFPAELVQGSRRAQPSNKKLRWDRGSDEQAFEVFEKLEENHKDGDKKTEKDGDDEDEQEEEEVEEDENSDDDYNQNIEFDDDDDDWNQEDEAHEDYYD >OB07G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15169369:15171434:-1 gene:OB07G27470 transcript:OB07G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPERMRRLLDLAYHRPRRFSFTPSFVLRDLAQYLYTDRIEEKKELIKGITLGDIDKFQLTPLPQEVLVLWGEHDQIFPIEKAFKVARKLGANARLEILKNTGHMPQEEDSKKFNEALLNFLLPAPNSSL >OB07G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15174682:15178642:-1 gene:OB07G27480 transcript:OB07G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRDELVRRAFCAAEVAHRGQMRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGMGYGSLSVQFGAGVADLVKGVSNLSHLSKLARRNDTASRVDEANKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKVKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPELYEELSSNLLEFYNQDMIAAAIRRLEQALQVRGLCYHTISGRNKSMYSIYSKMARKKLAMDEIYDIHGVRVILDNKADCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEETLPLEIQIRTRDMHLQAEYGIAAHWRYKEGVRNCCSPVPEMVEWVRWVVTWQCETLHTDHPSSLADGAPPRAICSFPSHSDDCPFAYSKRCDHSGPILVILLENEKMSVQEFPQNSTILDLLKRTSSYDRQFRLRLNCHVVHNLNQELKMGDVVELIPSTPCKPGGYAREFHRMYDHRLTVSQS >OB07G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15182610:15183053:-1 gene:OB07G27490 transcript:OB07G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPAVNVERLWSMVPAEQAAEAGAGAGKAPMLDVSQFGYFKVLGKGMLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >OB07G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15185406:15191071:1 gene:OB07G27500 transcript:OB07G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72560) TAIR;Acc:AT1G72560] MFARVLVSLDDDLLSQEYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAGSLRSADPAVAAVALDAARRCISWIDVSLVANDVFVPLLFDIALSPGSVAPLAAAAVGCLSAVAAKRMDARAKVALLRSLLAAQKGFGSPDSGLKMAHLVTAYAFEALECYRKLGSSDADGTAALEMLEEVLPAVFAAAESCDDDEVDSGSVLDFLSGYVSTMKAPSEKQLAHLGRILEVVRMQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLVVLFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVTLTLFYRLGEIVGEEEIRNGTGLLRELVSMLLSAKFSCHTHRLVGLVYLDTINRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAVRLLKAKLVPYLDTILQNLQDALGKFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMTSKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPLRSKIMSFLHRMVEILGISVLPCIPIALQQLLVDNEAKDMVEFLYLINQIICKFNSSANALLEDVFPAIASHLSVMLSQDVFSNGPASNTEEMRELQELEKTFYSFLLAIATHDLSTVLLNPSYRNYLENIMQFLLITSCSHKEIPHRKTCIQIFVNLIKDWCSSSEVEDKLPGFRVFMIEKFAAGCCLHSVLDKSFNFRDGNSTALFGEIVTAQKVMYERFGENFIVTFVAKLREAHCPPDLTEQYYQKLQGNDIKAFKSFYQTLIEKIRQQQNGSLVFR >OB07G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15199998:15205452:1 gene:OB07G27510 transcript:OB07G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDCKVVAAAARKKEKEAAAWPWSLWGFLLVGCFGGKGKKKSGGKKVRPRGGGDLSVSLVGSNLHVFTVAELREATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLKAQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEIMTGRRAVDKTRPNREQSLVEYARPCLRDPLRLARIMDPALEGRGEMEVPLRCFHGAKVAAGDGEGGRAAVPVPAGKKGGAGVARGVKVVVRGLVGMAGKAFGQSIPAARFGHLAYIR >OB07G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15210182:15210772:-1 gene:OB07G27520 transcript:OB07G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEETEVACDCCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEEMGRASPPISPAEALDRHAFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARADGELLRRARRVKTTKKW >OB07G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15210606:15214195:1 gene:OB07G27530 transcript:OB07G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEARPISSPTASPHRPQIQRPPYRARTPAMYGAVHSSVNPQQSHATSVSSAASIASFNPHTAISTSSYSLPGGGRFCYSGDGDDKIIFERISKTIANLDRIFYTFPDHETVGMPVVKRPAGRLPSHERRHACITSQDRGGEQRADKI >OB07G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15217181:15220012:1 gene:OB07G27540 transcript:OB07G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFLGAAGTGALAVAAWRAAHVAIHCPIQPEGPWPPRPSAVDRFPPNNLLQNLEKLGEGRLSGPEDVYVDAAGEVYTATRDGWVQRMRANGSWERWGFGPRLLGIAPSADGAMLVCDTDKGLLKLEENGRVTLLASVVEDSTIRLANTAIEASDGTVYFSDVSTRFSFDNWFLDFLEHRFTGRLLKYDPRAGKASVVLNGLGFANGVALSPDEAFVVVCETMRFRCSKVCLKGDNAGQAEIFVDNLPGNPDNIRLGSDGHFWIALLQLRFSPWLDLVAHWSLTRRVIASFPALTERAKAMVKGAMVAQVSVNGEIMRVLGDSDGKVSSLVTSVTEFNGDLFLGSLTANFIGKLSLDKVPQQQGDAIP >OB07G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15225768:15227415:1 gene:OB07G27550 transcript:OB07G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding WGLVGGTGLLGIAPSADGAVLVCDADKGLLKLEENGRVTLLASAVEDSTIRFADAAIEASDGTVYFSDASTRFNFDNWFLDFLEYRFTGRLLKYDPCTGKASVVLDSLGFANGVALSPDEAFVVVCETMRFRCLRVWLKGDNAGQAEIFVDNLPGNPDNVRLGSDGHFWIALLPVH >OB07G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15229361:15230230:1 gene:OB07G27560 transcript:OB07G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVVALYGATNGDGAAAALQKHKSATFSVKVGLAQMLRGGVIMDVVTPEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDSHHINKHNFRRGGAGTGNVVEAVRHVRSVMGDLRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDSVFVGSGVFKSGDPARRARAIVQAVTHYNDPKILAEVSSGLGEAMVGINLSDPKVERFAARSD >OB07G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15232266:15232424:1 gene:OB07G27570 transcript:OB07G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPQILLGLLNPNRNICCDFFLLIPPCAGQIGGGYFARLSDIPFIPQKKRSF >OB07G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15233816:15237526:-1 gene:OB07G27580 transcript:OB07G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3MMX6] MADGGAVAVGVSGGGGSCSGRSSAAPAKYERIVTLFSPEGRIRQLDYACNAVKLAGTTSVGVRGADCVYVVTHRKEDKLQDKTAISHLFAITERMGLLATGMPADGRALAHEARNAAAEFRFQWGYEMPPRMLAQWIADRAQIHTQHAKIRPYGVVSMIFGIDEEEGTPQLFTCDPAGQFFGHKATGAGLKEKEVINFLEERMKSNPSLSSESTFELVKCALEHVLWGDFYTQEYEIGVISKEDPTVRLFSEKFVRGQS >OB07G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15242246:15242506:-1 gene:OB07G27590 transcript:OB07G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHGHDDADIALAAAGDAVQPPPRADGDGGLVERAVDGEVDLLHLQRDQRRRVEAAVHVGLVAGHVGGVDRPRVGHHRVRHRRRRQQR >OB07G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15242267:15249028:1 gene:OB07G27600 transcript:OB07G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVVSDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAVDCALDEAAVTVRARWWLHCITRSRECDVRIVVPMGERGSILGAEVTAGRRSYNTQVIEVEDHASENAAKAENGGLLKPQLFFLTISQIEGGADISATIRWSQKLHYDSGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFTFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGSGNKKVFRKAVVFVIDTSGSMQGNPLENVKNAMSTALSELKEGDCFNIITFNDELHSFSSCLEQVNEKSIASALDWMNINFVAGGGTDIMHPLNEAMALLSSAHDLLPQIFLMTDGSVDDEHNICQTVKAELINRGSRSPRISTFGLGLYCNHYFLRMLASIGKGHYDASLETGLIESQILRWFRKASSTIVANISIDARAHLDEFEIDSEYIPDISAKCPLCISGKYQGKFPEMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKHLEREVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGADEPQRIPLHGLKLGFGNKAATRDNLITAFDDVKPPETFEILNKAAGRLXRPPPCLCCMCCIKACNKMNDQCAIVMAQICAALACLGCYECCSEVCCGGSDS >OB07G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15253472:15256613:1 gene:OB07G27610 transcript:OB07G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWVQNRLNAKQDRRRNEAAAAAAVSSSARSRGESCRQEARDQEKIAGDCWPVGGAHGLLSIGTLGNESPPPAAEEAASAAQDVADFTIEEVKKLQEALNKLLRRAKSKSSSRGSTADHDDDQLLLPLDRFLNCPSSLEVDRRISLRHAAADGGQNGEFSPDTQIILSKARDLLVNTNATTTIKQKSFKFLLKKMFVCRGGFSSPSPAPSLKDPVESRIEKLFRTMLHKRMNARPSNAAAASSRKYYLEDKPRRKMQSEHLHDDEDDDSGEDIFKWDKTDSDFIVLEILHDGGPGVLIDAKENSHYFDAIIIPGQPPGTFVYVCAQLWEKKVNHSDIGVLIVSLWELAVD >OB07G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15257417:15259378:-1 gene:OB07G27620 transcript:OB07G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFSNVSSEAGLKKLDDYLLSRSYITGYQASKDDLAVYSAFSTAPSSSYTNVARWYTHIDALLRLSGVTAVGQGVKVESSAVPEAATPDVVDAKAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKI >OB07G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15265873:15268553:1 gene:OB07G27630 transcript:OB07G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTPSMGRRRLLHVAAAVAVVVVSCAVLCAEASVHEYSGERFASVGNGFVLHGGSEGVYASPSAESFVRFEKVAFRRTPEAASVAEEDGNRTVTVTAVIFEAGDRDAVGGSDVGGERALCCTPAMARQGGARVAGLGAAYLAAAEVLEVGENVGIVSDHSPARRLFFILPVAVLNTVFIYWIFSSLSRTLTKLKARRMTAKLEMYRKFTNSLIITVALSLGWITFEVHFKSTDEHSERWRVAWVIPAVWQLISFALLCAICLLWAPSQNSMRFAYSGEESEEDADHHDDDDVEDTRPLIRPGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEELKRV >OB07G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15273352:15274225:-1 gene:OB07G27640 transcript:OB07G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLLKRQIEQSLPEWRDKFVSYKELKRIVSSISGCPPSPAEEAMFVAALDADIDKIDSFFLEQEEEFVIRHRDVRSGSSTHGRHSLPPLTLPDSDWLRSFQPPSPIPIQ >OB07G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15278820:15281000:1 gene:OB07G27650 transcript:OB07G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALATRNRTHVLRFTSLACCLNTLDHQEPTHSTISSDYRSQCLLPLITLVVRTSNWEIARNISFRECERLYGLPQSIGLFALLIQSFLPRRIIEVRSLIQSIVDYCGNAGPELFELAPMLVNNLGGSITLLQVYAAFMRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKGNQVMYARSLFDDMKSTGPSPNVYSYSILMSMYTHGDKLCLEEAFDLLCEMKIIGMEPTVATYGTYLYGLCRARQVKSAWDFLQVLRQRGYPCNSYCFNAVIHGFCNKNQVHEAMKVFDEMKKCGFVPDVHSYSILVDGLCKQGDLMSGCVLLAEMARTGVSPTPTCYSSLLHGFCKAGKVEEALELFECLKSQGFKHDQINYSIVLHGCCRNLDLMVAYGIWIDMVNHNFVPDVYNYTSLIYAFCRHRYLTEALELFELMLDNRINPNIVTCTILVDSFMKEGLISEAFLFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGNMIKRGYIPDVVLYSIIIDGFVKALNLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMIPLLKNMVLEGLTPDRILYTSLIACYCKCSNMKKAMEIFRDMENGGILPDSFVYTCLIGGYTKVRAMDVAGLLMREMETKGLAPTIVTYTNLIIGYLKIEDEKSAYRTYHNMIQKGITPDAKLSCILGLDNDVDDSVKFQKEKDVP >OB07G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15285277:15286151:-1 gene:OB07G27660 transcript:OB07G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTCAGAHLRVQDSVTSPPPLSFSSLQPRTRVWGVHSPPVRRHSAADARNGRRPPPALVLHPVLVAGPVVAILLLRRPPPLSSSAELVVAVLVLRPDPPPRSPPPPGSSAVGWPLSLIASVEGSGVAARGRVWASASGSFEKDRTGGDDDVLASLQILEESNVDLLKILKSANTIL >OB07G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15285790:15286305:1 gene:OB07G27670 transcript:OB07G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRGHPTADDPGGGGDRGGGSGRRTRTATTSSAEDDRGGGRRRRRMATTGPATKTGWRTSAGGGRRPLRASAAEWRRTGGEWTPQTLVLGCREENERGGGDVTESCTRRCAPAQVGAARMLAFGQAKQIRSIPPFKVTESLATEPVLHLLALTRAATVAGIGGGMASDRR >OB07G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15293380:15296567:1 gene:OB07G27680 transcript:OB07G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPGGGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEAEPQRAPATMSLLPGAEADEVAEKKKETMELFPQSTGFGQQDAAAARYGEQEQEPEQEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASKGSPVAQNVTAPAPTTVTDNTKVHAPLSSLPAAQVEAQKPARANASDLPIARKASLHRFLEKRKDRLNAKTPYQASPSDATPVKKEPESQPWLGLGPNAAKAIERSQ >OB07G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15304715:15310156:1 gene:OB07G27690 transcript:OB07G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFDTCFGARTVLRRFGGSVVLMIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGRQIFGQLIRVNWAYASGQREDTTDHFSIFVGDLSPEVTDSALFSFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASSGEQTSDSKNVADLTNNLTEDGKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGVIEEVRIQLGKGFGFVRYSDHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSLTAADILEYQRTLALSKMASTQALMQAQAQQQHLKPAMGMGPGASQAMYDGSFPGVGIGASQQHQQQLMYY >OB07G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15311331:15313222:-1 gene:OB07G27700 transcript:OB07G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSPCLLPAALLGAAPPPPRAPRAAPPAVAGPPPPGSRYFFVPKPGAVGVHSAVLDDDDDPAAPAAAKGGAARRVARPARPSARSIMEGTHRQSSGSYCTVPWCGGCAGNPHGIAEFLLSCNLCGAPLAGRPAFIYNGEKAFCKAECRSRYLEAELRRAREEKRRHASSISPSPELKKTTAAAAAAATKAGEECKEGSIFFICPLDPL >OB07G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15316243:15317581:1 gene:OB07G27710 transcript:OB07G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPPPVTTARVAADEQSPSLLVKPTISSQNKANYIMSCPWKYCGVSNGMYPPCSMSQVTQVVVGSWYPVAQQETGDGFIAIHILLGW >OB07G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15315824:15318741:-1 gene:OB07G27720 transcript:OB07G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3MMZ0] MSALKFCGECNNMLYPREDKETRTLLYACNSCEHQELATDTCVYKRVIRKSAGVPKDILKDAASDPTLPRTRSIRCYNCGHPEAAFFQAPTKGERGLTLYFICCNPSCGHRWRD >OB07G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15318970:15321840:1 gene:OB07G27730 transcript:OB07G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMLVAPDVGGGGEMQPYGGGAAAPLEQELELHRGNADDGLEGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEASLAKLVPDVGMEFESEEKAYEFYNKYAGHVGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKADHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQIGFLRSISLLPADYKNYLRSKRMKAMQVGDGGAILKYLQTMQMENPSFFYTMQIDEDDKLTNFFWADPKSREDFNHFGDVLCLDTTYKINGYGRPLSLFLGVNHHKQTIIFGAAMLYDESFESYRWLFESFKIAMHGKQPAVALVDQSIPLTSAMAAAWPNTTQRTCAWHVYQNSLKHLNHVFQGSKTFAKDFSRCVFGYEEEEEFVFAWRSMLEKYDLRHNEWLSKLFEERERWALAYERHIFCADIISALQAESFSSVLKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQAAHTYTPVVFEIFRKEFELFMDSVLFNCGEAGTTSEYKVAPSEKTKEHFVRFDSSDCSCICTCRKFEFMGIPCCHMLKVLDYRNIKELPQRYLLKRWRRTAKSTNEENQVYAANGNGSSLNSIVPPANHHGLQGFSAMIQDTPANMHENSFHRSS >OB07G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15330174:15337863:1 gene:OB07G27740 transcript:OB07G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLGGCCCGGLKVGDFRCRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQAAAPPPPPAIRLQLERRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAQGVAGGATSDGADVAESAAAADITKKELLRAIDVRLSALKQDLVTACARASSAGFHPDSVSELVLFAEHFGANRLSEACNKFMSLCQRRPDICPRYSLPSTSSQWKSFDDGNVRGSSSSDMSLDETQTDQGASNNKSITGSSVSHIHRSNSQHSIDVPPEPSAVQHPKPTIQQTVEKQEKETDAPPASAGGGSRRLSVQDRINMFENKQKEQTPSSGNNSACTGKVVPGKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDNSSLTEKRDNGTPGGTPTSATLEANSKARADQDASELKHAVTSCQKDTSDALPMDSSATDVFSSSTLNTTSPSLLSAIASSPQKQTTSCVEDDMVITSSIDSESSFRKEVGTNQGQGDMRMSDHTVLSVSTRARLKKSPRPTLPENNGTLSSPPLSEEHAQMMDEETIPTAHDVAVTRGQVVQKDNRGSRLRSKEIHAEADVIGRKDRPSRTIGKTSSVETKTRTTSNPRLNFRGPSVRDEAASTETEVHDVNLQRKSLPRKVEDAGRKVAANSEIPPQSEFSGRQGTNLSRQSSNSEQELSLHGGKVKLISDGNAVPLEQTRVMRPTKGSQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQIENTPKVSEVKTPPVLPEKVYTKQIVKENITNEFDANELLKMVDTEGYSSYMPQSIISLEESRGKFYDQYMQKRDAKLKEDWKLQREQKEATIKAMRASLERSNAEMLAKFSSSTIPDSTNVSRCAHKFPPLQSVIKDKDQGIDSFLVEEETNSDYLSGDGSSRSADSRKHFSNKVASNQKTPIVPVHKHSSRTVRSGYGNRRNLLDNPLAQSVPNFADLRKENTKPSAGLSRAAARTQPKGFSRSKSIIEESKSISKDQSRRSQSMRKNLSPEELTDTTLVNDVMYNWAPSGISKDQIDGAFAYSTRTAGVAKSFLRKGNETHPAVGIAGFAPPMFANTYNDGEDDDFLDQEEDSPDEIKDEEYESIEENLRESDFPADSDSENPGRSHEFGNSDNPGSENDDVSSPSEAPNLGGTKFNAFAGNMHDTPGEVPAPWTSRPHLFAYANDNSDGDGFADSPNGSTSPWNSHTLDQITDADVSRMRKKWGSAQMPFVGPNGSQQPRKDVTKGFKKLLKFGRKNRGGDGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSPYDGFVDNDVFAEQDQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLR >OB07G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15339194:15343592:-1 gene:OB07G27750 transcript:OB07G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:J3MMZ3] MAMAVALQPQRKTVEKKPDVKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSKFPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGSGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADSGVAREEINYVNAHATSTQSGDVKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAVQAIRTGWVHPNLNLENPEKTVDVGVLVGSKKERCEVNVALSNSFGFGGHNSSILFAPFK >OB07G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15352988:15359089:1 gene:OB07G27760 transcript:OB07G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPVNLCEYQELAKKALPKMFYDFINGGAEDEQTLKENIAAYGRIVLRPRVLVDVSKIDMSTTLLGYNMPSPIIVAPTGGHKIAHPEGEKATARAAASCNAIMVLSFASSCKIEEVASSCNAIRFYQLYVYKRRDIAETLVRRAESCGFKALVLTVDTPMLGRREADIRNKMVFLQCGNLEGLLTMDDHNTAGGSQLERFARETFDPSLSWKDIEWLKSITSLPILLKGIVTAEDARKAVDAGVAGVIVSNHGGRQLDGVPATISALEEVVKAVAGALPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELELAMALCGCRSVAEITRXGAPSSPAATGSGPCSDDLSLSSGISLPTP >OB07G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15360195:15362584:-1 gene:OB07G27770 transcript:OB07G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:J3MMZ5] MERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPHDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKEQEEEEGALVAPDYGAVAEYAAPVADTWGGEWGGDAAAQPAAVPAQAGGADWTAAPAPAAGGWDAAAAPAPAPGWEQGSAPAPAAAPTPNWGE >OB07G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15362761:15362979:1 gene:OB07G27780 transcript:OB07G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRWDSARAAAAPPSATILAAGGFRASCAFARERAEEEEEDEGGGGARDREGLGGWENLYGRALTFGIKP >OB07G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15365151:15365342:-1 gene:OB07G27790 transcript:OB07G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPDTMGCRLFLQTGASCCLQMQSISLLFSLKKQLFRSLCCRPLRCRPQMSSQLLQCKRVVP >OB07G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15366446:15371771:1 gene:OB07G27800 transcript:OB07G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:J3MMZ8] MGEATGELALSRIHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAISECEREKLKDTAFEDVLRGAQEAIVIPPWVALAIRPRPGVWEYVRINVSQLGVEELSVPEYLQFKEQLVDASTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLNALQGALRKAEKHLAGIPADTPYSEFHHRFQELGLERGWGDCAQRVGETIHLLLDLLEAPDPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTEHGTVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHAEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMVCTTSRFPPSVSAHPMANYTYSSTVSLLWCRQPLFRWLLRERRRPNDLALPGGKNGQWLLHCCTADSGAEETEIEGMKQSIEFPRFC >OB07G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15372825:15376513:1 gene:OB07G27810 transcript:OB07G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNEVTSYSSLSKIDNSNQGGHISSLVPANNYPAHDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKSQDNTLQQQSLSTDVSFLGFDKDGDVSVHPSVCVPSAPPLIETNALQYSAYREVLLADPPEWLPDSSTNVCLQCSSPFTALTRGRHHCRFCGGIFCKHCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTINKYCQVARLNPEKSIPSSILKGAKGLAVLTVAKAGAVVTYKVGTGLVVARRSDGSWSAPSAIASIGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGMSAAAGPIGRALEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTSDILFGKVDNPRAAQPLYSALDDLFSKMVC >OB07G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15378379:15380329:-1 gene:OB07G27820 transcript:OB07G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILGDLYSPARRTVHAGDLWADSGSKGKNGGDSLKRKESSWDFDIDGDEDDFEADFEEFEDDYDDDVVFGHDDQESDMNGLKLAGLSAAKLGLGSRKRKTRYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFGTAEEAAMAYDVEARRIRGKKAKVNFPDAAAPKRPRRAAAKPPQQQKANSSSPPESVNVSSDGSKSNRVSSAGSSTDSAAAAIDDVKLELPPETDPLPMAAAWLDTFELNDLDGSRCKDTNGAVADDFAYYEPSYMMQLGYFEASAYDSIDALFGGEAVQDGVNIGGLWSFDDMPMEFRAC >OB07G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15383641:15384231:1 gene:OB07G27830 transcript:OB07G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MN01] MAASSTSSLVQLALLVVLVMASASWSSRTVDAAGGGDGGNRRAATHIRLYIHETFSGSNATVAPPVVPSPLGANATFGEVGVLDDALRAGEDPASDLVGRFQGLFVGTDLGSPSYMSAVTLVFTAGEYSGSTITVQGQFSFDAADGSAVERSVVGGTGRFRMARGYSLMEVVSTPTPESVVFRIDVFVLLSRHGQY >OB07G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15387021:15387548:1 gene:OB07G27840 transcript:OB07G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MN02] MASSSSLLLFFLLVLASSAAGDDGGKLTRIRVYMHETFAGPNATALAVVQSPLGASETFGRVAVLDDELRDGPDPASSRLVGRYQGIFAGTALPGTQPGSFQSAITFVFTAGGYGGSTVTMVGPVLGFTGGIERALVGGTGAFRMARGYCVMTAVATTAKSVVYEADLFVLMYEA >OB07G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15401459:15402630:1 gene:OB07G27850 transcript:OB07G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAKCGGGKKRKCGGEDGALGEMHDDVLERVLARLPPASFFRLRGVCRRWRAAAASPTAVYDSSKDAWEDAVALSRKPEASSPEREAEGGIGGGDETVYFLSKSGDVMATNMQRSASRQYSSVVTCGDGGEAVAYFLSHSGAVVACDLARRVFSELPRILPVYFEYSIDVVACGGRAYVVVLWELLDTASLRVWEFAGGAWRQVAAMPPSMSHAFHGKKADVNCVGHGDRLMVCVSSGEAANGCFMCDVTTNRWDELPPCAAAAGDGQAMDFVAAFSFEPRMEVAV >OB07G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15405471:15409969:-1 gene:OB07G27860 transcript:OB07G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVRAMSTAPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPESLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSEQDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEAQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSADVREQIYKVASVNLCSNVSGQILASLIMNPPKAGDESYESFMVEKDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRIHLPQKAIGAAQAAGTVPDAYYARRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISKFKEFHEKFMDEYRD >OB07G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15413315:15416556:-1 gene:OB07G27870 transcript:OB07G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMMAAVAAVFISFVLCFYIFICAKRYRGGAAPPGEGGVAARLWFLFGGGGGGGGCMDNIYTVTFQLHPDTERTILGDMGLKLTLVLVSFTLKLSRLQIKSQACADKTTNSTVQTPPLNSEDGKHKAEFQRGCPSQNAAFFLFFWNLQAIIKAANANDGSKSQSLFGMTAS >OB07G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15425759:15426336:1 gene:OB07G27880 transcript:OB07G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKSATTTSMDAARTKSLIIASEACPLCRARLSVLVAHMPRHWDKNGRK >OB07G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15428496:15435396:1 gene:OB07G27890 transcript:OB07G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAGAVVLFLAAATLLGASANTDSDDVNALNVLYTSMNSPALLTNWVSQNGDPCGQSWLGITCSNSRVTTIKLSGMRLNGTLGYNMNLLTALVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLTTTNDMFNQLTNLTTLDLSYNSLSGNIPQSFNSLTSLRKLYLQNNRFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGNGPSPPPPPYQSPPYKSPPYKPPQPRQPTAPSTTVNNSPSNDGGKHSKLSDGAIAGIVVCLVVVGSIVAFFVIKRKYWALPRGGDPEHREPLSPIASGFKDSLKQMKSIKIISTVGKEELQKTVSMNLKPPTKIDLHKSFNENDVTSRSDTRKISLSSIRTPAYTVADLQVATGSFSPDNLIGEGSFGRVYKAKVNDHKVLAVKKINFSGFPSHPSDLFIELVANISRLNHPNLAELVGYCSEYGQCLLAYEFYRNGSLNDFLHLVDDQSQPLSWNTRVKIALGSARALEYLHETCSPSVIHKNFKSSNILLDNELNPHLSDSGFGGLIPNQESQASDDNSGYKAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRPEQSLVRWATPQLHDIDALDRMVDPALQGLYPSKSLSRFADAIALCVQPEPELFGPPMSEVVQLLVRLVQRANMTRTCGADGHSWRRDGESGDHEP >OB07G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15437475:15439145:1 gene:OB07G27900 transcript:OB07G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHAKETLRKMYSLAMSYCGGGGLAAPDALSACDFGHALKSSHRASGRAVPCKARSFARCSLGDGSAEQEIVIALGSNVGDRVGTFERALRMMKNSGIRITRHACLYETAPAYVTDQPRFLNSAVRGTTKMEPHELLRRLKEIEKDIGRHTDGIRYGPRPIDLDILLYGDSRISTENLVVPHERIHERPFVLAPLVDLLGSSTNDDVEKSWHSLAKCTGGFFELWNKLGGESMIGTEGIKRVLPVGNRLLDWSERTLVMGVLNLTPDSFSDGGKFQEVEAAISQAKLLISEGADIIDIGAQSTRPFARRLSPDEELERLIPLLDVITQIPEMEGKLLSVDTFYAEIAAEAVKRGVHIVNDVSGGQIDPRILEVVAELGVPFVTMHMRGDPSTMQSEQNLVYGHVCNEVASELYTRVREAELSGIPLWRIVLDPGIGFSKNAKHNLEVIMGLESIRTEIGEMSLGASHVPILLGPSRKRFLGEICNRTNPIERDVATVAAATAGILNGANIVRVHNVGYGVDAAKVCDALRKGRR >OB07G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15440878:15443964:1 gene:OB07G27910 transcript:OB07G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPPPFQESAHCDVCGCTFTTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSPSHGGVGNAGSPGSVSSAADSFSGLNLGKDDASSPTKNSTFHSVAPVIECKCGMPLCICEAPKPEPAPVKQIISTTSSSAPSNPRPKKSSTNQQSSESSAKKASATSSSNSSSFLNLGLMSNDNNDKDLSEYDVTGEGLREAIKSGDIKAVKKLLSQAALFNQTEIALILIDNGANVQSKNGQGETPLDCAPAMLQYKMRQRMEELAASHTAT >OB07G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15445684:15452596:1 gene:OB07G27920 transcript:OB07G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLREMVRLPDVLVVCTSVGWTNEKHMLYLSLLEESFLSQLHDSEYSFKKLFNHSSGACIHKVSSKGHVKNTKAEQEHMDVEGVDRAESWIKVEHVRSPCAQSSMCHLGKKIHSPSRSAEGSDQNFVDEETKGSGQPTGRCSKKRLKSTAIAMDHQSTVKGQTRRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEYMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTSYGY >OB07G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15458903:15461998:1 gene:OB07G27930 transcript:OB07G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKESSVNPSSSSIESSGTFVDHPTPPTTKEVDDSSDHEKTNAQEEKSAQPAILKFSNGLTDRIGCMDSPSPVIEMPLPDSAEHHMESNSPTAEVPEIHSGLSEAFKHSIEDEADNSSSVDAAEVNHLSDSASAGSETMSTDEMSSKEDWIDQTNAAAKPKPIEEQGATPESPYKGLIDTTAPFESVREVVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSHVVNELEETRRIIEELKHNLEKAQIEEVQAKQDSELAVLRAQEIEQGIADEASVIARTQIEVAKERHEKAITELNSVKKELKAVHEQYVTLVNERDTAIRRSEEVISAGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALAKEQDCVAWDRELQQAQEELQQHNNKLLSKSDVKLNLDANLCKLRSLKSELAAYVQNVLSEEAEGLAKEHGSDDARQISGPLKEALASTQKELEEVRANIEKAKNEAKLFRLAAATLRSEMENQKSSLVALQEREGMASIAITALEAELNRTKQEIECVRSKEADAQERMVELPRILQEATQEAEDAKMVAFSVQEQVRKARQQAEKTKTAAATVNTRLGAVLKEIDASRVSEKIALAAVQALQESEETGDDEDSPRGVSLPLTEYYALSKKAHEAEHLAHESVTAALAQVELAKASESNSLERLCEASKKMNEKKDALERALQRAERANEGKLNAEQELRKWRADHEQRRKAHEAARHAVNPLSSSPRRFAEEKDPFHKVSVHSYEDLVPNRKLRRKKSFFPLMGSLLSRKT >OB07G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15464393:15464668:1 gene:OB07G27940 transcript:OB07G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAIPSSREMTVEDFKEWLKQFDTDRDGRISRSELQEAIRRRGGWFSGLRAGRAVRRADMDGSGFVDDSEVENLVAFAQKTLGMRITAW >OB07G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15468575:15468874:1 gene:OB07G27950 transcript:OB07G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKPTGQEDAEATRVRLEHFKTWLMQFDADRDGRINWRELREAIRRRGARFASLKAWFALHLADKDGNGFIDDEEVRHLMDLAHKDLAFNKMCCYG >OB07G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15476721:15477710:1 gene:OB07G27960 transcript:OB07G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAAGVAGGDLPSYCYFYSSAPTSPTRASYSAAEAAAVGEGDAAFDFAFGFSGQLRESTPILAAADELFEGGRIRPLNNPHPSILMVDENTWASPRSPGRRIAGGRRAGEAAEVSSSSSQRGRSSRAAPAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRCARAAGRRRRPATCPTRSAGRPPRRCAGVRRRRCRSIGTASSATSAPTRPSTASAASSAAAPPPIAASLPLEQGTPWRHHDACSNTMLSRNEEIVRNIRPG >OB07G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15485540:15495655:1 gene:OB07G27970 transcript:OB07G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT4G12750) TAIR;Acc:AT4G12750] MGSVIGAAWVMGVGVGILWVVSFSVWLVEFEGQISIWLELVWVVMVANSLEAKRENTGTKKSPLQIQMLERFYSEVQYPKSEYIAEYATSVGLTYSQVRIWFKERRRKERREMESLGAHMEKQLNARSNGFRCNSSSSRFASFSQSTIDHHVDNGMSFIGEKHALRPQVLFPKDYILRKVFRKDGPPLGSEFDFLPQSAPRHLRDTTDYHFYQNRRVMKKRKIVELNTHRSSVPCEDSGRVRKHGVGKGLMTVWQAMYSHSGKIQDGSNFIDETGCLRSLRPLDDYGRIEDCDDGKLIQKKVLARKKVDKRSRPPSNRRKVQCSRVTAPKKHPPVECHLSVDESQSSELRTNQVTLVDDEELELSELQAGPNPLRCSVHRSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTRPWESSPEMVKKLFQVVRFIYNRFGYMDVHPFTFDELAQAFHDKDSMLLGEVHVNLLKLLFVNTGRGSSDVFVPRSSKDCRFLSFLNFVREQEFDLNFWIKSLNSLTWVEILRQVLVASGFGSKHHMLNRDFFNKVLEKNQMVKYGLRPRTLKGELFALLSKKGSRGLKISELAKSPEIVDLNIPSMEVDQLIYSTLSSDITLFEKITPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDDSDASSGADESEGSHETSFSEHEHRITRRKRKNGHENVNRCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGADTVPRLEEPPRVLPSIPRAQPHVSGGKIKKSTRNICQSSDECFNTSGSMHGLDSSMYQQSGSLRSHDYVAYSGRNDSSTGVAHQPQVVLLGSDRRYNNYWLFLGPCSADDPGHHRVYFESSEDGHWEVIDSPQELLSLLASLDGRGIREAYLLASMKNRQTCLFEAMKKHCEDRSVVGPEIPSETSHSETSSGDGASPKLSSGDGASPTSDIDYASVPTDLVDNIVNPSSAISIEVGRRGDEKVLKWERSQAFDKWIWTNFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDVYDVPNHKVLPSQLQALKAAIHAIEARMPEAAFAGLWMKSSHKLWIKRLRRTSSLAELLQVLVDFVGAMDEDWLYKSSSSVSFSSYLDDITIYFQTMPQTTSAVALWVVKLDALITPYLERADSDRALSKDSLQTRT >OB07G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15497105:15501153:-1 gene:OB07G27980 transcript:OB07G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGEAACRRIADLLRAGKNLDGIKLVECKAYLKKNGLSQTGDMATCVERIILHWRCKYEDPEKIYPRSSFCINCKGDVCRGDAVLFKQKVYEKSGKRHSKCIGKRVVAGRVIKESYGKEKQQHTFTVQVFWSKGVGKLPPLCLLLVKGRNLYRMMTFRQLWGNEVDRLKTLDEKHSRGDAARRVRALRRPDAAGNGKKSTQNGKHQSQPGRRDSGTNINKGNKRIMQSSNPDLPTKRSRNEENLVSSTKQCTGGRKAKTDRACLVKNDCTTRVRMRERKADSQQDLTGGSGSHAQFGERYAGSGSNTQPAICREHMVGMQQPHYEIMRPQGAPPFREVGNAWQPHIDGRSAACPHPRMGFQHRNAALPGWHPPAYSMGTLPNQPGASFASSGVPQTVHRPLNQFGSSFASFNVPQPLYRPCPEGHAMPHFRYSGGSNGFPR >OB07G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15502714:15507375:-1 gene:OB07G27990 transcript:OB07G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASAGGGSTRASASTRGSRRSTTWATRSGGATSATPAPRRSRRGRARGRRAPPRASPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDEDSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILASLSALQYRRMDFQEFCAAALSVHQLEALDRWEQHARSAYDFFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >OB07G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15518243:15518995:1 gene:OB07G28000 transcript:OB07G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEADEPVVNVSATASVLRELLGTREEVARAREAAVKAWLSSMPLGEELERLRAERAAPKTRLAATAAEIPPLKSAVVSTNDAVVAKEEEAGRKTAAVEELRRRVGHRRAELRRLRSKAAAAREEKGALEQRTLVRRQAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXR >OB07G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15520665:15521415:1 gene:OB07G28010 transcript:OB07G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALRCPGAGCRRAFVATEIPSAPPIVPGTDMYYCAWGFYPMGFPKEADVSTNWKPFCPMYPWNSASPQQAPVGDGNVNKQNVEDNGGNIHVNSTPSNTQQADKSAGSGAGVGPSRGRIKKTTARKKVGGGSKKNASGGVESGIEPSLLGPESWNGLADGGSTVGARGININEVATAPDGSSMMHFGADEEIGFDLDVDATDAILGNLQHLPFLRDDDNARRHSKEVVYGNLVQGIPA >OB07G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15524880:15529618:1 gene:OB07G28020 transcript:OB07G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGILNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMIDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRTGSSTYDYRSSSGGGTVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIIVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRIEWNLKKIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQVRYLQIAKKSKAYNPYRWVRYVTQANSYVARL >OB07G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15529870:15533130:-1 gene:OB07G28030 transcript:OB07G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLMYGLWQHVFSKTEFHVLILGVHKAGKTTLLEKVKSIYLKGEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAASSFEDAKSALEKVLDHEDLQGAPLLIFANKQELPAAVTEEELASRLHLKELDERPYMFQAGSAYDGTGIKAGIDWLVEEMERSKRTEVLRARTETAEKI >OB07G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15533696:15534172:1 gene:OB07G28040 transcript:OB07G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRKGLTTWEDLDPSPEEVTRRGATDDGVSLRSLLVVGGGEAAASVAVACVRLVVSARPTTRGGLYTNRPLERGEIANGGGPGQRSVRLTCGAQLCRAHVSVRACRHRTLIRAAPQLGPFDRTAPGQFGWNRPLTGGTMSFCRKWVPMSVSTGSSA >OB07G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15537914:15539038:1 gene:OB07G28050 transcript:OB07G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: methyltransferases (TAIR:AT5G01710.1); Has 93 Blast hits to 93 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 92; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G58120) TAIR;Acc:AT1G58120] MAMMKKAARDGRLLRLVARAMLLAVVMLSLASLRLALSPTPTVGDGGDGGELSLPVLLAELSDRGYLRHSGRAVFVGDAGSWAPFLERHHVAAVGPRQLREVADGSVDVVLFDGDAVWLSLVNRVLKAGGVAAGFATSESTLQQTYNYKAVFAHRSEAAIAFAVEKTGGSTAAAVTAPVGPHRKLLALPASKKDALAGLEAVLLEPPQKHHRRIIRRLRLRYLPELTGDSLDGYRRRTFIDVTPSRTGASTGAASWFKKHYPRGKHEFDIVRLNVAATASAHEAAKGIAEWLEGNVREEDYVVVKAGAEAVEEILRKRAAVRRVDELFLDCDAASAGADESSSARRPYWECLALYGRLRDHGVAVHQWWELMNA >OB07G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15545791:15547985:-1 gene:OB07G28060 transcript:OB07G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGFGASNILLCAEDSSSVLGLGGDEAVAEVGCGLGFLDSGAGAVFPVDSDEFVAFLVEKEMDHLPQSGYLEKLELGGLESSWRKDAIDWICKVHSYYNFGPLSLYLSVNYLDRFLSSFDLPHDKSWMQQFLSVSCLSLAMKMEETVVPPPVDLQVYDAKCMDARSIKRMELIVMKALNWRMQAVTPFSFISYFLYKFNEGKPPSYTLASWCAELTVGTLKDSRFLSFRPSEIAAAVVLAVLVENRFLVFSSALAASEIPVNKEMVMRCYELMLEKALVKKMGNSNVGSSVPCSPNTVLDAACFSFRSDDTTLGSSQSNNSNKNYNSQDSTSASKRRRLSTTPI >OB07G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15553563:15555131:1 gene:OB07G28070 transcript:OB07G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPRPACRPNAVSYTVLMRALCADRRADEAVGLLRAMQSGGVRADVVTYGTLVRGLCDAGEVDKAAQLMGEMCESGIEPNVVVYSSLLQGYCSSGRWDDVGKVFEEMSKKGIEPDVVMYTGLIDRLSKEGKVKKAYGMMDMMVKRGLEPNVVTYNVLINCMCKEGSVKEAVSVFKKMVEKGVAPDVVTYNTLIKGLSDVLEMDEAMGLLEEMIQGENMVEPDVVPFNSVIQGLCNIGSMRQAFQVRAMMEDSGCTVNLVTYNLLIGGLLRVHKIKEAMELLDEMTSIGLEPDSFTYRILIKGFCKMWQVDRAQGFLSTMRNCGIEPELFHYIPLLQAMCEQGMMGRARNLFNEMDKNFALDVVAYSTMIHGACKTGDLKTAKELLKSMVDERVTPDAVTYAILINMFAKSGDMEEANGVFKQMTESGFVPDVAVFDSLIQGYSTKGEMNKVLELIHKMIAKNIAIDSKIVSTITTSLAASNEGKALLQGLPDFSAEISKGNIISPQELMKMLHNVCPQTT >OB07G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15556870:15560094:1 gene:OB07G28080 transcript:OB07G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPDAEAPTEAAAPSGHAAYPRLSPEDPPAKSRYHQPLHLHLLLLAVAAPVLETIQAAALTVSGFVCLLGSVAAGARENLREKLDEVGKRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKLAFCSDSPVAYVTEDNMTQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHEFWFMGFVNYDGAVKSLQETVHGAA >OB07G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15562554:15574371:-1 gene:OB07G28090 transcript:OB07G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGKAPAVAEEVPVEHSSPEKSSDSTVPEVFPKMDVETPTADDTTAVVEEESKTSEGTVSTENSEEEEGSNTAAEETADEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEDAPECEKFAKYYRSLCPSEWDIKRGLFFGNYCGRRGAEPTAAAAAHELWSLRLEGLHLLTPDVPYPALASHAAPLIASLFAAHTSRLIDSDLVSLTAARSSELAASIQTVKRRLAARNRIGDFEQLQVQKRTLEAEKDLVDAKIAEYKAAMRSIRRALLRGMEDKDEEAEAEEGLEVFGIGGGGEMNFARLHMIMLRECRRLKEGLPIYAYRRNIVDHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIICTQPRKIAAMSLAHRVNEESTGCYGNNFVLSYSTFLDYHDFSSKIIYTTDNCLLHFCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADSNRLAEYFYGCQTFHVKGRSFPVEIKYVPDLSEEASFNTVPNHLRGSCATASYVYDVVRMVGIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYHGKRKIIFCTNIAETSLTIQEVKYVVDSGLAKESRYVPSSGLNVLKVNWISQSSANQRAGRAGRTAAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIRDVQSFEFVDAPNPEAINMAVKNLEQLGAVEYKCDGYELTDTGRHLVKLGIEPRLGKIMLDCCSFGLRKEGVVLAAVMANSSSIFCRVGTDEVKNKADRLKVPFCHQDGDLFTLLAVYKEWEDAHENRNMWCWQNSINAKTMRRCQETISELENCLKHELNIIVPSYWCWNPEESTEHDKLLKKIILTSLSGNLAMFSGHERFGYQVISTDQAVKLHPSCSLLIYNSKPEWVVFTEILSVPNQYLVCVTAVDPDALCMIHPMPLIQRLEKHKLQVKVISGLGNNLLRRFCGKSGQNQQKIISFLQQEFRDDRVTVEVDFRNNEVVLFAKEQDIEKVFGIVNDAVECEAMMLRNECLEKSLFPGKPGDCSLALFGSGAEIKHLELEKRCLTVEVLHQNACELNDKELIRLVDTLISGVANFYKLFGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVQVCSFNNRGLPFPAVRAKVSWPLKRSRGLALVTCANGEAEFIVKDCFALAVGGRYINCEVSTRHENCIFVKGIPLHVTEPELYDAFRSTTTRTIVDIHLLRGTPIAPPSASLCAEALIREISSFMPKKNFPAQNFRVEVFPPEENDSVMRATITFDGSLHREAARALEHLEGHVLPCCLPWQIIQCQHVFHSTVSCPVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSAVQLLMSRDGVADLKSVEQETSTYIMYDRQSLNIKIFGLQDQVAAAEEKLVHALRQLCENKPLDIRLRGRNLPPNLMKKILKKFGADLEGLKRDVPAADLRLNLRHHTLYVRGSKEDKQRVEELISEVVTSNTHNGLVQLPLENACPICLCEVEDPFKLESCGHTFCLTCLVDQCESAMKSHDGFPLCCLKDGCKKQLLVVDLRCLLSSEKLEELFRASLRSFVASNAGRYRFCPTPDCPSIYQVASANAESKPFVCGACFVEICNKCHIEYHPFISCETYKEYKEDPDATLLEWRKGKDNVKVCPSCGFTIEKADGCNHVECRCGSHICWACLESFRSSDECYGHLRSVHLSY >OB07G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15576690:15582321:-1 gene:OB07G28100 transcript:OB07G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNTAAAKPIRCRAAVSRVAGQPLVMEEVEVAPPRAHEVRIKILCTSICHTDITFWRMEGIHPSIFGHEAVGVVESVGEHVQEVSAGDMVVPVFTAQCSECPDCLSDRSNLCSKLPNLPGGMPRDGTTRFSSASTGDPIRHFIGVSSFTEYTVVDVTHVVRLPAAFPPAMACLLSCGVSTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGAKRIIGVDLNADKFEIGKRLGITDFVNPNDTGGKTVSEVIKEMTGGDGADYCFECIGSASVMAEAFQSSRMGWGKTILLGVVSNAAPIAIPPRDILRGRSVVGSLFGGIKPKNDIPMLAQKYLHKELELEEFVTHQMGFDEINGALELLTQGKSLRCIIWMDGARENGRAT >OB07G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15595740:15600355:1 gene:OB07G28110 transcript:OB07G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGYIPHVSLSSHFDFSSTLFLLRLLPHQKQSPTPSTPSTPTHLAFLPSLLLRGVTFPPTRWGQLGGQEVCLRLARRMVLGEVEMERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFARICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYMVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSLQMNDINTPSQSLEEIMAIIQEARKPGDGDGSKFSGQVPGLGSMELDDIDTDDIDVEDSGDFVCAL >OB07G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15601283:15603581:1 gene:OB07G28120 transcript:OB07G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:J3MN30] MKFNIANPTTGCQKKVEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYIFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTT >OB07G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15604672:15608022:-1 gene:OB07G28130 transcript:OB07G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:J3MN31] MKPAAPGKWAVDSWKSKKALQLPEYPSQEELDAVLKTIETFPPVVFAGEARHLEDRLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNVNGDAFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMGHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRSSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGLNNSLPLPPLAF >OB07G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15617510:15620571:1 gene:OB07G28140 transcript:OB07G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVHVAREMGIPGYLFFTPSACGLLAYLNFDQLVKRGYVPFKDESCFTNGYLDTRLDWVAGMIAGVRLRDFPTFIRTTDADDVMVTINIKQSELDAPAADGILLNTFDDLERAALDAILARLPNTFTVGPLGPEVSPASYLPSLTSSLWKGADRGAAGLAGHADGAVLYVNFGSITVMTREQIGEFARGLAAAGSPFLWVVRPDMVTNCRYACEEWGVGVEMAREAGRREVEAAVKELMGGGERAAAMRRRASEWKEKAAAAVAPGGSSQRNLESFVAEIARVKR >OB07G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15624836:15625844:-1 gene:OB07G28150 transcript:OB07G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKKVGGIKCGKVIGSDYMQEKTELLYALINERQLSTLPKISQPTLIVWGERDRVFPMELAHRLKRHLAENSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEPVREALNDEKMSDE >OB07G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15629585:15634167:-1 gene:OB07G28160 transcript:OB07G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASPSLGEPPLPAPPHPNQREDEGRGNRGARRRRHRRRREGVETASEQARARGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAVVVVTGVVTASVRKAVATYGFGILGIAGVLLPDWEFFDRDYSEWLTPMPASRRTAAEAAADREHDVWKFKPYPLRVAVLTTIYSFGLYKWWMLDRFSEAMMNEKSDAKLDGPAHDREDEAHHRGEQPRAAQPAWPARCAAQLTEETKEMAASNRDTRAGTWRAARPSSRCHGVGAWSCS >OB07G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15632303:15636521:1 gene:OB07G28170 transcript:OB07G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIVNHVLSELGLIYLGVSLPQEARTQPTSTITKIQNLEVDRGLFEVYTLNWGVGLMMPWRQLDHNGTLARPRQAGSCPHTLHDYSSAFATASLGSHKGLTQNARKPSSLLFPTIFSKLVSPSINDKLNFFPTQTMFAHQAPLYQANVLYTKQRSHRNHRNANSRQTKPSDGNKGRRGRRGLLPNVVLAVRGGFGGRAAGGRHGGEPLGVVAVEELPVGQQHAGDAQDAEPVLVCRDANNFQLPNFDIQMGRSQHTN >OB07G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15643732:15644133:-1 gene:OB07G28180 transcript:OB07G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEAEPNADFLRGMLPKIDWAALVAATQALGLPELLPGAPPTDAELSAEGAAEDEGSVLRRLHRALLEIHIEEGTLVCPDTERCFPISRGVPNMLLHEDEVRN >OB07G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15645661:15652916:-1 gene:OB07G28190 transcript:OB07G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNHKKFSIAPEPPNKPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGLTNIVLVHYLEVKGGKQSFSCSKEAEESAGLSNADSPVYSNSFASQSQVASQSMDAESPISGQISEYEDAETDSCRASSRYPPFIEMQQPVDGVMMDNMLGVSAPSTSVNNPDVGYHGKMQNATDNSYNHFAAHYDIPGVFNEAGAEVRGVSKTLHDSLRFGEPYPEYPAEFMEPAFYSSIAAMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGITSSQVENNTYTDGIRYPLLKQSSLDIFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSNTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRTKVLVTGTFLANKEHVENCKWSCMFGDVEVPAEVLAQGALRCYTPVHISGRVPFYVTCSNRVACSEVREFEFRDSDVRQMDTSDPRTAGISEMHLHIRLEKLLSLGPDDYEKYVMSDGNEKSEIIDTINSLMLDDKWSSQAVPSDEKEISTARDQNIEKMVKEKLYCWLIHKIHDEDKGPNVLGKEGQGVIHLVAALGYDWAIKPIITAGVKVNFRDSRGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFSSGRTAADLASENGHKGIGGFLAESALTSHLSALTLKESKDGNVKEICDIGATEDFAESSSAQLSYGDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNTKPGQNDGLPHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSFRKIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSQIQQLEGPSHIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRLANCVTELKDPQAMIQDEMQSDMAHGGDFMDDLEGL >OB07G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15657401:15659907:1 gene:OB07G28200 transcript:OB07G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCDGCAASVKRILESQPEVASATVDFKEAKAVVWTTAEAKVAEDWQKQCGEKLANHLGTCGFESRLQE >OB07G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15660904:15663226:1 gene:OB07G28210 transcript:OB07G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGDVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGRIMETVPKSRGRSRSRSPRRGYRDDYRERDNYRRRSRSRDRYGRDRYKEKDYRRRSRSRSYTPDDYRRRGRDSVSPARRSPSRSYSPDDYRKRGKHSQLPACRSPICRLHAEAPAFHPHAEAPPFRRHAEAPVFHLHAEALVALLAGHHLLKRDLLSSTMMSLGALVALPHKSEYRENTSNI >OB07G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15665013:15667315:-1 gene:OB07G28220 transcript:OB07G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSCGPNRPGPWPIRPLQPNSIISSGLLSPIHHTRRPRQRAREAPGEAARACSLRPRGIRGERERERGAERSGEERMRGGGGADGMDEDGRPKYAQIPTSFGPELRACLRCRLVKTYDQFMEQGCENCPFLEMDKDHDNVVNCTTPNFTGIISVMDPGRSWAARWLRIGEEVHSWVLYASCRGGTPGGVPRDLPREQRAILPSQACLNKPYVVPSREQHS >OB07G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15669959:15673226:-1 gene:OB07G28230 transcript:OB07G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLTWPEGASQELAAGATPTPNRRPHQVPASSSAAIVQGRGFALTRSFIFSSLLVQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDESANASVTPIRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLQSEDDSKMKRQISNAKSKELSGHDIFAPPEDPRPRNSANGSTSQTPGQNAQVSNITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >OB07G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15682171:15682608:-1 gene:OB07G28240 transcript:OB07G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSCCVGGATATVSHLRCEINSVKVTGLSCPGDGVLFLRCHVPASGGRTIQIDSHGAEAEACVNGDGGSSVVAASWRDVASLKCDGSAASVRELVDRRSLVFEVRRRRRRPLRRIRAILGPAVGSSELLGRAEVAWRDIAAXXA >OB07G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15685277:15685981:-1 gene:OB07G28250 transcript:OB07G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTAGDSHSLPSSPATSPSVFLDDHPSFLRSPSSTPTFLDQIAPSSFYLPGVVPDAAGAREYSPPLGQLAQAARKPTRKRPRASRRPPTTVLTTDASNFRAMVQEFTGFPAPPPLSSCLPPHLLSGGALLPSGSAAPAAFQPTLASSPTTNATSLVLDALALLAKTKAIAAAAAAAPPSSGSELYSSYGPVLARAIPFDDGFEVADGESTGAGGGHGLLSSTTPYAGERRY >OB07G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15706032:15709958:1 gene:OB07G28260 transcript:OB07G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MN44] MTNHAGFAAEDAVTGAVPAAAQAGRHFSPFMAGRRGTDCKKAAAPPVHVDLAGAGVTIPGSWLDSMRASSPRHAKPVVGAEHDEWMEKHPSALAMFESIAAAAKGKEIVVFLDYDGTLSPIVADPDRAVMTHDMREAVRAVSKHFPTAIVSGRCIDKVFDFVKLEELYYAGSHGMDIRGPTAAASEYHHSMNANQQGGGGEDAVVTCQPAAEFLPVIDEVYQVLKGRMASIAGALVENNKFCLSVHYRCVDEAEWGALDAEVRAVMEGYPDLRLTKGRKVLEIRPVIDWDKGSALQFLLKSLGYKGRNDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKFPKETTASYTLREPSEVKEFLRKLVKPTPKSNETKG >OB07G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15716326:15717162:-1 gene:OB07G28270 transcript:OB07G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTWHTSQLVGPTSRDAARYLCRIGVDFAVPAGCLDGVVSRSRDADTYLPDVASNLTDLVRNFCHKNFIVEELIILSGRGGSGEELAAVRAEAEEGEGHSYYHNNLAMAVTFHPDGMGAAHRKGGVRPRHGVCRERHAVEPGLR >OB07G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15717146:15720248:-1 gene:OB07G28280 transcript:OB07G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPAVVVEEGVAENMLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGYIIISIHKFLWNKIGSTSIFSTRMFLRIYCYFLIYRTMIENMIKEGKIVPSEVTIKLLQEAMIKNENDKFLIDGFPRNEENRAAFENVVSSSTSCTKLTTHSLLADNSVAFFPLPLNLDVNFYDRLLFHIYQTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNAKDKVKKIDAAKPIAQVFEDVKAIFAPYAKALLECDCISVIMNP >OB07G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15725180:15725740:1 gene:OB07G28290 transcript:OB07G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNREAAGEKLVPEALEKAAPEAAVEGADEREEKGSDRMIHLKSNDGRPYDVSEAAARMSKFIAKMIDDNCADPYIPLYNVDYKTLALLMRYCDKHTADTADEEGLKAWDKDFINGLDKDSIFKVIMASNYLYIDVLLDLACKKIADMIRGKTPEEIREAFNIRNDLTEEEEKEIRQEHAWAFV >OB07G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15728610:15729191:1 gene:OB07G28300 transcript:OB07G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGVEMAVLPVEKTGEVSEEAVEKVAEEVEKVEPEVEMVVFPVGKVPAPAPEAEGTGRMITLKSSDGALIEVAEASARLSKIIGKRIDDGRTDPYILLPAVDTATLEKMIAYCNQHADKKSDTVEEKEYLKNWDKTFIDELAGDTGFLVKVIVASTDFKIDGLLDLASQRVTEAVKEKSLEEILKAFNVSE >OB07G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15731544:15733009:1 gene:OB07G28310 transcript:OB07G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGEAAGASAAEKKVECAMVSEAAEEVAAVDEVVLDAAEKLQPEVVEEVLKVEVGKREEEEESGRMITLKSSDGELLDVTEASARQSQIFTQLIDSGSADPHILLPIVDAETLKKVIEYCDKHATDSDNEELENWDETFINELYEDRERLFEVIKASNYLCIDGLLNLTCDKVADTLKTKTLEEIREAYSIHNDLTEEEKEEIRRENAWAFKRRSKGVKRMQSDHREESGRMITLKSSDGALIEVAEASARLSKTIGNKIDDGRTYPYILLPEVDAATLEKIIAYCNQHADKKSDTVEEKEYLKNWDKTFIDEVAGDTGFLVKVVTASTYLKIDGLHDLACLRVTEAVKEKSLEEILKAFNVSE >OB07G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15738469:15739170:1 gene:OB07G28320 transcript:OB07G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGGAAGVSAAEKKVECAMVSEAAEKVAAVDEVVLDAAEKLEPEVVEEAPALEVGKREEEEEEEKESGRMITLKSSDGELLDVTEASARQSQIFTQLIESGSADPHILLPIVDAETLKKVIEYCDKHATDGDNEELENWDETFINELYEDRERLFEVIRASNYLCIDGLLNLTCDKVADTLKSKTLQEIREAYNIHNDLTEEEEEEIRRENAWAFKGQSKGVKRMQSRKP >OB07G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15739769:15742083:-1 gene:OB07G28330 transcript:OB07G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPILLLTAFLPARPALCYVGIGATLQKNATKSSASGRGSGTYIVLVEPPPHGHGDGEDDLHRWHESFLPLSHLSGSGDDRRLVHSYSEVFSGFAARLTDEELDAVSKKPGFVRAFRDRTLQLMTTHTPEFLGLRRGAGFWRDAGYGKGVVVGVLDTGIHASHPSFDDHGVPPPPARWKGSCRSSAARCNNKLVGAKSLVGDNDTSDGVGHGTHTSSTAAGNFVDGAAMDGLGAGTAAGIAPGAHIAMYKVCTDEGCSGAALLAGQDEAIKDGVDVLSLSLGANQVFQYDEDPIAIGAFSAVAKGIVVVCAAGNNGPGTATLSNEAPWLLTVTAGSVDRQFSAVTRLGSGKLIDGEGLNQTTRNSSSKSYPLFYSEDQRVCYLVGNGSINGKIVLCQLTSGYPAEALVGNMKGAGAAGVVSVADGDSIAEYAASGKAVATITFNNTLLGVRPAPTVTSFSSRGPSVLNPGILKPDILAPGLNILAAWPSPVGVGAASFNVLSGTSMATPHVSGVAALVKSAPDTGGPILDEQHSRAGAFSTGAGHVNPTRAADPGLVYDLGVTDYAGYICALLGDHALAIIVRNASLSCKDLPKVPEMQLNYPTITVSLEGKPSTVNRTVTNVGPARSKYTANVTMPAGSSVTVRVSPEKLVFSKAGEKKTFSVTASGRMSGQQQLVEEGSLSWVSRKHVVRSPVVVTAGASIPPPGPGGSRRSDD >OB07G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15740489:15740791:1 gene:OB07G28340 transcript:OB07G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDKTLKDAAPTPTGDGHAARMLRPGARMSGLRMPGLRTLGPRDENDVTVGAGRTPSNVLLNVMVATAFPDAAYSAMLSPSATDTCTTPELKSSRTVV >OB07G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15744315:15744905:1 gene:OB07G28350 transcript:OB07G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMQGEAGAVAPEAVEMQGEAGAVAPEAVEKETGRMIRLTSHGGKTYDVTEASARQSKTIGEMIDAGRTQDISLPDIYSGTLEKMIEYCNKHATVSDREELDSWDEAFINKLDEDGRSVCAVIVASSPDHLDILGLHDLSAEFIASKIRGKTPQEIRDLLGIENDYTEEEEREILLMNALRFNDPITRMLSHDP >OB07G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15747735:15748247:1 gene:OB07G28360 transcript:OB07G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSGDEVPAEGSVGGRMFTLESNDDELIKVRDASARLSDTLGELIEVASAVDIIPLPEVDSKTLKTLIEYCDRHADDKSDTDEEKKELRKWDEAFVGELDKDRDALLDVVMASSYLNISGLLDITCQKVAGTLKGKTPEEMPAAFNIENDFTEEDLEEIRQENERAFQ >OB07G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15751154:15751804:1 gene:OB07G28370 transcript:OB07G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGEVPVVPEAAEGKGEGEGKVAAAVEEKEGEVSAEAVTVEKEREGAVVSEVVEKAPAKAGMITLKCNDGKLIEMRAASAMLSKILGEMVESGCADGVIPLTEIDSKALKKVIEYCDKHADFAANKKSGTDEEKGWDKGFIDELDKNRNLLFSVIMAANFLCIDGLLKDTFVVTIKGKTPQEIRKAFNIDNNDITDEELEEIIQQCNRVYGQY >OB07G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15755813:15756509:1 gene:OB07G28380 transcript:OB07G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGEVPVVSEAAEGKGEGEGKVSAAVEEEEEGEVSVEAVTVEKEGEGAAVSEVVEKAPAKAGNRAEEESGGMITLKCRCSDGELFEVRAPSEMLSKILGEMVESGNADGVIPLTDIDSKTLKKLDKDRNLLICVIIAANFLSIRGLLKDTCQKVADTIKGKTPEEIREAFNLNNDLTDEDLKDISQKCECTDFSLNP >OB07G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15758785:15759507:-1 gene:OB07G28390 transcript:OB07G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELTVSRFDLNLPANTTHNYDRTTIARRGHYEYTIYGFREKLVLPEDLFVLQAYLLQVLSGEVVLDVESLADLLGGLALDRVGDLLAAVFEESTDAEEVGGHDDAEEEVPVLVQLVDEALVPVFLLVGTGLVVGGEVGVLVAVLDHLLEGLGVDLRERDDSIGVAGLDHFPEDFGQHRRRRPHLDQLAITALEGDHPAAPPPPPDSPSSPVPSPPPPTPPRPLPPSPRPPSQLSPPPPRA >OB07G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15758917:15759375:1 gene:OB07G28400 transcript:OB07G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLKCSDGELIEVRAASAMLSKILGEMVESGHADGVIPLTEIDSKTFKKVIKYCDKHADFAANNKSGTDEEKDWDKGFIDELDKNRNFLFCVIMAANFLCIRGLLEDGCQKVADTIKGKTPEEIREAFNIENDLTAEDLKEISLENEKVFG >OB07G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15760246:15762734:-1 gene:OB07G28410 transcript:OB07G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding XIAALTGCLGYIKPGSADAKPSKECCAGVKTALASPAAVACLCNALGQDFGIPVNYTRAAALPATCGGDSSALSKCNTPVPSSSGSGSGSTPATGTPSSTKSAATRSPVSATLILATIAATLLSYYYL >OB07G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15760782:15760949:1 gene:OB07G28420 transcript:OB07G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAETGDRVAADFVEDGVPVAGVDPDPDPEEDGTGAATWMHNFEMAKEHIFQQF >OB07G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15765584:15767183:-1 gene:OB07G28430 transcript:OB07G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRNDLLKNDKDAITQNQREKFDWEEVGMGEEALEEYDIDMLGGGSGGQERVMQWEAGLPGADKLSPLSQPLVPVGLAAAFRIPLEPRRTLLDVNRASAATVSWLRRASSSSSLFLAFVSKGAGVETESESGATAGGAGGGGGGGGAVSEGGSKRARLVWTPQLHKRFMDVVAHAHLGTKNAEPEGKKMKASWARRENERDATRPWSFFWSREIHIYKRFIYNLFFIYKMSFKTKQSLASLFVEWPES >OB07G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15771094:15771540:-1 gene:OB07G28440 transcript:OB07G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT4G37660) TAIR;Acc:AT4G37660] MTLLSSKFAPLIPRLRRLSTAAAAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRSGLPKEEAEALAAKLKTAGAAVALE >OB07G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15773725:15777339:1 gene:OB07G28450 transcript:OB07G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFNCLAILIMISVNFFAVTMSTYPVLDNRSIDQWKVTELKDELRRRELPIKGLKDELVKRLAEALQGEVIDGGNETVSGTPPVEEQKEDKTLVSTDASNYQASTERNVDEGPSGVAEQNEDLVPVTEVSNDSAIAPTDDNQEGVVATAEVSAGTLVAVTEVSEAPVIDVAETDEISLDDAQATKGDDLESVPSDSNVMKEAYSHADVNCEVIAEKAPDNGNSKKMTVNDIPSDDTGTDNKLDESSAKGEPCSDIGCDIPEQEVKPSPPDGISSHADAVADAQEQNAESLILKKKISDNNLMYENDQKDSEQTSSNCKPIQSGPKDQVSEVKQDLESQSEYMLISHNTLSVSEKNYIEGCLNSDNSDSELESKQDIVKPSPINPSISDNVQILDDDKDLPLINVPLQDTDMNLDNKERSPDNVYPEKLNLDRSSDDDSMDDALMETKHGNSNAKCDYLEGNTDVTFERVVEEVILPDTVTEGSYVPKNEVISEEKPLVPTEKRKAEGQEAVANNEPIKRQRLQNVDNVKLEQPTSKLSDSDALKHVHQPARRRSFGRSDSTAHGDSPKERIVPPPQKPATTSLRIDRFVRPFTLKAVQDLLGKTGSVCSFWMDHIKTHCYITYSSVEEALATRNAVYNLQWPPNNGSYLVAEFVDPLEVKAKLEPPPPSPAPISPSTATTPKAAPSQQSKANQTMPLHAAVTSRGLLPTPQGHYNTKGSTFPTLAKPPSTSDNGPVTKMLPPPPKNLEPPVVTLDDLFRKTEASPRIYYMPLSEEAVSAKLAARGKGKKEV >OB07G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15779153:15781560:1 gene:OB07G28460 transcript:OB07G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNAMKAAQMGLMATTSERFKMQSKVIKQLCRLFPLRQVITDGEQKDGYSGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLNIAVPILSAPALHVSGFGVSCSRVWQRSSYWSTRQSQSKVYPLFIPRQNNCSVGDDNSWTESGSGNFGVHSVDSEKKSFFDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYCYNSLGMDVPSNLSTFEAFAKLLHMLSSLKALLATLESNVASRSEKQAQQLNRSIWKASSAISSNSSLMDSVNTAIMPSSLDNPLLNSNASFLYSGKPTKHGGVPDNILEGWDMVERDILPPPPSRVEDVAQWERAHTFDRSGSKKK >OB07G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15782200:15785255:1 gene:OB07G28470 transcript:OB07G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77930) TAIR;Acc:AT1G77930] MSGLRAISTLLHTCAAGSAGSARRLALAPLPPLVRSFRAPSRAPSAFVFDEVERAAPGGERRRVSTRAASWDSEKSPYETLELDRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDQRRRAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQHREMTLRARRLSRSKIDPEEERRLFAKEKKASMDFYSTTLKRHTLVLRKRDIMRRKAEEDKKKEISRLLALEGLELDTDEDENKNFLK >OB07G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15786914:15791858:-1 gene:OB07G28480 transcript:OB07G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G10020) TAIR;Acc:AT5G10020] MAALLVFLVLVVFGGGGGAAGDDVAALLEYKKGISDRGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVCDGGAAGDDVAALLEFKKGISDRGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVCDGGAVVGVALDGLGLAGELKLVTLSGMRALQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSRNNFSSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLTQLRNAEYIDLSDNQFTGAVDLDLDSLSSIGNTVRYLNLSNNKLQGGFFRNETVGAFKNLEVLDLSSSGIAGVVPRIDAWFSLAVFRVAGNALSGTMPEALLQNSMRLVEVDLSQNGFSGPVPAVNSTTLKLLNLSSNTLSGSLPSTVGKCISVDLSGNQLSGDLAILRAWDSTVEVIDLSSNKLEGSYPNDASQFQNLVSLKLRKNALSGSIPSVLGTYQKLSFLDLSLNSLGGPVLPSFFLSSTLTVLNLSGNKFTGAIPFQSTHSTESLELNSQSVLRIVDLSSNSLSGPLPPDISNLRKLEFLTLAMNDLSGEIPSEINKLQGLEYLDLSHNHFTGSIPDMPQTSLKIFNVSYNDLQGTVPKSVEKFPLSCFRPGNDLLVFPDGLPAGNYDDTGVAQSRSSHGQKAGVRVALIVGCIGAALLVIFIALAVYVVRSQELCGRNGFRGQITFRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTSAARSLSGQKELLAEAAVEYGYADPKEVTEPSSSGMAETSAAVPVLESSPRTALPTSPHFIDSRFHEEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLMGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTTKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCSITV >OB07G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15812494:15817506:-1 gene:OB07G28490 transcript:OB07G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MN67] MWGPQNKIIRPNGDDKDPTQSPNAGQEAHKPPNRTLPIHSDDQMGSMEASTAPENGTAGAAAAAAAAAASXXXXXXXXXXXXRRLRSSAASASWASHLPLEVGTRVMCRWRDQKLHPVKVIERRKSSASSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >OB07G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15820331:15820905:1 gene:OB07G28500 transcript:OB07G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSPETVSPVSSVTLDLSMAAARELRRTEPSGRRSASCDARAPMPASGSALSPRARRLNTSSKSREDVSSAGSKNIPARNGRRNRSTMDGENPSFSRCRAVVVSGDERITSSGGRGAEPGEADEDLVGKCADRGEDGGDGAQRKAERVGQQVERLTGAKEDERPRVERPETQRREVKEAPALRVGGEED >OB07G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15825203:15834505:1 gene:OB07G28510 transcript:OB07G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) TAIR;Acc:AT2G22010] MSVPGRSGFSPGLAVLLSGDQSKGSSQKSHLVSYHDEIGHQDIERTIEHIFDLPHKSVVRPRGPIDVGFVRSILRNQAQKFDLGCGKDNRKYDDGVLIVDKDAGQMKVVLDDSSICGKFKSIWGPLLVESSASFSSARANACVWNGKWMYEVTLETSGVQQLGWATFSCPFTDRKGVGDSDDSYAFDGRRVTKWNNDPKPYGQLWAVGDVIGCCINLDSGEISFYRNGNFLGVAFDGIRKVGPRKGYYPAISLSEGERCHLNFGSHPFRYPVDGFDPIEAPPDSWMFAAYLLRCLLRLLEIQNLEKSESAYFEKLRRVKKFAPLRELFRPISEGICAEFFSAIEGSQGCSEYITWGSFITFLTDVFRAREPHDFLCLDQVLEVFLQFPGCNSLLQELIVALSCMCKAAPLVLTECPFSGPYPFLALACHIFRHKDVMHLWWKSEDFVFSFEGFLTMKIPNKQDLQYLVPSVWWPGSSEDEVSMTLSMTTLSDAIKKIEEKHHELCSLVICFVPPASPPQLPGSLFRSFVQSSILKARGGDHRMTSSGSFNDTVLVSLYTVILHLLSEGFSLDSSGPASSSGINYGNGVGFLHKGGKRRFPVQLLLRNDAYYNVIPRIGGSLNHLLMFYQLDAKEDEVQWDEGCMNDEETRITHNTVQKPCCCSITDVTVGLRFIENAKYIPSTSKGPCKPMHERSAHVAAECSGRSLGDEIEDKPSTSAQSEIEYGYQTLHNLESMPITDQFSSEALKEEELLDFMLLLYHLGVSPNFRQAFYFMSQQSESISLLEETDKQIREKSCMEQVRRLKEARNSYHEDLVDSVRHCVWYRATIFSSWKQRGMYATCMWVVELLLVLSDSSSIFHYIPEFYVESLVDSFHALRRSDPPFVSPAVFLKHGLASFVTLVVKHFDDPRIVSADVKDLLLQTISVLVQYKEFMFVFENNREATNRLPRSLLSAFDNRSWIPVTNILFQLCKGLGFASSKNIESSSSATFQVLLRETCIYEEQLFLSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDISCSLARILEFCTREIPCAFLMGPDMNLRRLTELIVFILNHIISTADVEFFDMTLRRPGQHQDKTNRTMILAPLVGIILNLMESTSTSGHMELNDVIAVFTSMDCPATIDFGLQYLLSYNWSNVLRGDNSLAKLAQLEEFSHYFRRITLSVEGDEQQGFSTGGDEEEDYCCICYNCDSDTTFEPCHHSSCYGCISRHLLNSQRCFFCNAVVTSVTRVADSCSQSQSPLAP >OB07G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15845420:15849639:1 gene:OB07G28520 transcript:OB07G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLSSPAAAAAAEAPPSSSSASAKKDRHIVSWSAEEDDVLRTQIALHGTDNWTIIAAQYKDKTARQCRRRWYNYLNSECKKGGWSHEEDLLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDDLFKENGQSCSSANAKRVLAQTECHTSGAAAPPIKQMRACNSDFKENIVPNMRLLGQDKSTQQDSRQPLATVCQNKHGDVNMMDTQNLVAKTAAKQLFGGEQNCVKREGNFLNKDDPKIATLLQQADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEDDQKLREQTEQIDVQNEQNPSQTSMEVTSEMTPNNKMEDCLNDKNMEDNNMEPCPGADIPTSENLNEATIEDSLLHCVEYSSPLHIIIHAKADADIAASENLSEVLEQCIKSVSPAHTTTQAEADTETPASEKSCEAIKCNKPLCMEFTSPAHTVPTFLPYADDMPTPNFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >OB07G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15856595:15856954:-1 gene:OB07G28530 transcript:OB07G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIQSLLHICFAFLQHYMLRRISLCACVLGGQWQGRVVLFEERRDGRDVCSHGGVCVPRYDLRSPASVKKAARESLEMGKQAVEHAAESAAETLGKTTVKLKRKVSPPPGRHLDGDL >OB07G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15860711:15860884:1 gene:OB07G28540 transcript:OB07G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRSSSSTRRTTSTAMPATTGCKIKSISPKLHHLYSSLYVYTIIIPTKNRSKVVVYT >OB07G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15861963:15864192:-1 gene:OB07G28550 transcript:OB07G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPILLYWVYAGGYQLILHRRPLERYRLHTRAEEEEKNLVSLPAVVRGVLLQQLVQAIVAMILFMVTSDSTAVVVQPSIIVQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDVHHQLQGSKYNYSQPFFSIWDKILGTHMPYNLVRRTEGGFEARPLRD >OB07G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15866330:15871890:-1 gene:OB07G28560 transcript:OB07G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT4G39520) TAIR;Acc:AT4G39520] MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKVQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTHLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEMIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKRKTVEDFCDRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKV >OB07G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15873115:15875011:-1 gene:OB07G28570 transcript:OB07G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARVRDLVEALLVRQPILENDPTFLRRALVVEGVSFTVSPVRLDSLLGGYGDVMATVLVRDAARRRRAGMLVFSEESDCLNAAEAEAEEAAARQGIYQRISRVDEEILQDSEQLLKDAVEQQSRRSSTAEAFRLMISRRYLEVDDQEDLHLRCLLLRMGEASTETPSHMYGVATNTLRGTGVARALVVYRSRRMAMVVFDDSGGIEHLARYDSGLFPLVADGAVGHGTAVVRDLLPLFCRSPEFLGRVVLLQEPGNARRDAGDLCHRIEASHTVEALMVHRAERIAVVVLRSGADARALMTESDQFWTLTCGRRPVTTLVGVPSQPFSPRQGLFPEAVNLAVAHIHARMLECSGDDPLGLGRGLVELESLRAPSFVRGGKLAQRPLLELPPGFRMEDQLKNLLSQFGQIESCVAYSERAAALIIFSTPEEAAGALRSPLAEEHGHPAPRDDRVMECLYLLRYRLLLFTREVLHSMELGEAAGPLAYEDALPQTIASDVLPPDA >OB07G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15880818:15882255:-1 gene:OB07G28580 transcript:OB07G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVPDLIAAILERQPILAFDRTFLRRALIVEGVSTIIPPRFLEMLFGLHGDVTAAVLLRDHHRHERIGMVVFATDRDLLFAVDAAAQADGRTSYRSISMIRDHVLRDSENVVNAAVEQRRIRSSTAEAFRRTIPQRYVDADVRGDLPLRCLLLRMTEGNTAMETPGHLYAVARTTLRATGRARALAISRTARVAVVVFDDTRGIERCGDWCLDLMGLGLSLYDSGLFPLGAYAAGGQGVAVLDLIPRFCRSPEFPGSVVVLRGPGIGERDAGETCQCIEQRHPVEALLAHRAEQAVVVVLSSRDGADALAAESGEFWRRAFGTQLITAQVIGAPSPPSTPPPLPSPDEANSAPGPHPRQD >OB07G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15883194:15885838:-1 gene:OB07G28590 transcript:OB07G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARILIRQRHIRVGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGDDGEEEEE >OB07G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15886026:15888896:1 gene:OB07G28600 transcript:OB07G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26900) TAIR;Acc:AT1G26900] MFFLLTCRRSRRGLRSGRAATGASGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALSLFAAIPSPTLFHHTTLLRAISASPSAESLAASLPVFASARARLPELDEFAFQPLLALCAKRHDAAAASLGRQLHALVVLYGFSGVVSLGNALCHFYCSCCGGSDAGMADARRMFDEMPERDDVSWNTVIGGHVRMGEVMEAVQMFSEMRRYGVDVSVTALIAIVGCCWQAETLHGFCFKVGFCINAKVAAAMVRMYVRDNSFVCARKVFNEVTKRDTILYNCMVDGYAKAGQFEEAMDLVDRMRQEGVRPSSGTLVGVLSACGASGAISIGRRLHDIALEAGIELDTALGTALMDMYFKCGYPSEAVAVFNMMQDRDVKAWTAMIMGSGVNGRASEVVSLFCAMGEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGLSPSTEHYGCIIDLLGRAGRLDEAYELIGRLSSQGDATAWRALLAACRVHGNVKLGKMVQTQLDNMGNYHPSDAILLSNTYALESRWDEIARVRDSEEKKIVKDKKEPGCSSIEVLYSFLSSATCFVAWKV >OB07G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15886944:15890292:-1 gene:OB07G28610 transcript:OB07G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAAADGRLVQSGIANKKCDRKAPKRIHKSEREKIKRDKQNDLFSELGNLLEPDRQNNGKACVLGETTRILKDLLSQVESLRKENSALKNESHYVALERNELHDDNSMLRSEILDLQNEIRMRMEGSPVWSHVNTGPALRVSYPTNGMFPVQHLPHLPVTTTVAFPQQQPVVIEQHYATTPRELQLFPESATSEEDSEPSQEHGISDHVTRPQARYPAPGATVPVNLFPVLPGIQDQQCSSGTTGTSSKTA >OB07G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15893535:15896279:-1 gene:OB07G28620 transcript:OB07G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) TAIR;Acc:AT1G26840] MPAIASRLGLSDSRPVVRKAAELRRLCDVTFDSSVLGIGEVCKAIICLEIAASKFQVMFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKATLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDSKSNRELLDVLPSKRKHDDDSDSSGESSGDDQDELDLPTYKRHKKMEKEAYSAWKSSVLSSNKQTKPDPTKPRKQAQLNFKKKPSDIALEVSSAAN >OB07G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15898308:15901978:-1 gene:OB07G28630 transcript:OB07G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALAVHVLAFAGLLMAMAPLAASYPWQVCNGSSNYTANSAFQRNLGALAATLPGNVSASPGLFADATAGDAPSTVYALAFCPPIDNQNVSGCRACVAASFADAQTLCPYTKGAHIIYDNCVLSFSGRDFLGSATNPPDLERKLRNTENVTVSNVGEFNRVIYVLLDATAEYTAGSAARFGTGEITFDPTYPTIYSMAWCTPDMTPGNCRSCLVEAIAEMHAFFNPNAQGARLVGARCAARYEIYPFYQGGGMVQLPANGPATHGKKGKTGKVLAIVLPTVAALLAGTMICFCCWRRRAKATKRPLSYASRSEDIQNIESFIMDLPTIRIATDNFAENNKLGEGGFGAVYKGSFPGGQEIAVKRLSQSSGQGIGELKNELVLIAKLQHKNLVRLFGVCLEGDEKLLVYEYMPNKSLDTFLFDSEKRKQIDWGKRFTIIKGITGGLQYLHEDSQLKIIHRDLKASNVLLDTNMNPKISDFGLARLFGDDQSQETTNRVVGTYGYMAPEYALRGQYSVKSDIYSLGVLILEIITGRKNSDSYNNEQPVDLLSLVWEHWAMKTITEMVDPYLRSDSSSLDEILRCIHIGLICVQEDPVDRPTMSVINVMLDSNVVPTQAPSRPAFYIEMAGNIIGSNMYSQPYPVTDSTAKHSTAMSLNDVTITEPEPR >OB07G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15905579:15910117:-1 gene:OB07G28640 transcript:OB07G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGVRTLALVVLVAALAAVAPPIPAAGEQDFLAAMNNSRRKTVVAGSQSQKLVPSVSGSFYRLAGELLDATVDYAVANSTRRFATGDVGVAGYFADEPFSKIYALAQCTPDLTPEQCRACLASAMAEMTRQVFPTNSPGGKVIGERCGLRFEVFSFYNIEAMVQLQVGLQGKKKSTPVLAIVLPIIFVALLTIILAGLYIWRRKRLPAKTLLIENTEDLEDFESIFIDLSKLQSATDNFDESNRLGEGGFGVVFKGVFPDGQEVAVKRLSSCSNQGLGQLKNELYLVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTVLFDPERSKQLDWGKRFMILNGIARGLQYLHEHSQLKIIHRDLKASNILLDADMKPKIADFGMAKIFGDDETRNATSRVIGTLGYMSPEYAMRGHYSTKLDVFSFGVIVLEIVTGRRNSYSVISEHCEDLFSLVWRHWNDGTVTEIVDPSLGKHYPRGEILKCINIGLLCVQQNPTDRPAMSVIIVMLSSGTVSMQAPYRPAYLFGRNRSYSETMDILLSSSEPHSSITVLEPR >OB07G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15923222:15924361:-1 gene:OB07G28650 transcript:OB07G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQGGGGGEGEAAPAPASTFDYETKPTLTAAVAAADDTQLSAIESWLFADADGIESGSLLDAAMDYTF >OB07G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15940263:15941162:1 gene:OB07G28660 transcript:OB07G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTARRRVGNFALAATMKLAVIAVALLLPLVAGVADFDFFYLVQQWPGSFCDTQTGCCFPDTGKPAPEFGIHGLWPNYAKCRAAGPELVEDVAMVVDGTTAAVDAVERRQKCWPENCDDRNPLKPWEIKDLVAELDANWPTLSCKSGRSMEFWSYEWKKHGTCSGMGQHEYFAAALELKKRHSLAAILADAGVVPSDNESYFLSSIRDAIAGATGAVPNLECNRDASGETQLFQVYQCVDRSAKKLVDCQLPMQGKCRDRVKLPTF >OB07G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15942799:15944004:-1 gene:OB07G28670 transcript:OB07G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSTLNLISSSPLNKQLRHGAGATVDADHVNGFSSARPAPPMCCSSSSRWQAVKMRPRLRPWRRAGSRVVAQAGSYKVAILGAAGGIGQPLSLLIKMSPLVSALHLYDIANVNGVTADLGHCNSPARVAGFAGKEELAGCLDGVDVVVIPAGVPRKPGMTRDDLFGINAGIVRELVEAVADHAPGALVHVITNPVNSTVPIAAEVLKRKGVYDPRKLFGVTTLDVVRANTFVAEKKGLPLADVDVPVVGGHAAATILPLLSKARPKTSFTDEEVEELTARIQNAGTEVVEAKAGSGSATLSMAYAAARFVEASLRGLDGDADVYECAYVQCQAVPELPFFACRVRLGKDGVEEVAAAELRGLTEFEARALEALKPQLRASIDKGVAYAQQLPAVATG >OB07G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15948384:15953376:1 gene:OB07G28680 transcript:OB07G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELWVRVRGGVIAPTLQVAVWVCMVMSVMLVVEATYNSAVSLGVKAIGWRPEWRFKWEPLAGADEEKGSGEYPMVMVQIPMYNELEVYKLSIGAACELNWPKDRIIVQVLDDSTDPFIKNLVELECESWTSKGVNIKYAIRSSRKGFKAGALKKGMECDYAKQCEYIAIFDADFQPEPDFLLRTVPFLMHNPNVALVQARWAFVNHTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTAAINEAGGWKDRTTVEDMDLAVRASLKGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAVDVLVAKDVSLLKKFYMLYSFFLVRRVVAPTVACILYNIIVPISVMIPELFIPIWGVAYIPMALLIITAIRNPRNLHIMPFWILFESVMTLLRMRAALTGLLELSGFNQWIVTKKVGSNFEGTDAPLLQKNRKRLRDRINFPEIVFSVFLIFCASYNLIFHGKTSYYFNLYLQGLAFLLLGFNFTGNFACCH >OB07G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15953707:15957334:-1 gene:OB07G28690 transcript:OB07G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MEGIVSAFSNNNTREGLAEFSQAIDRMEGLRDAIFNDIPKLMSTLDLDDALRFSIFYDFVFFISRENGQKNISVQRAVVAWRMVLSGRFRLLDRWCNFVEKYQRYNITEDVWQQLLAFSRCVNEDLEGYDPTGAWPVLVDDFVEHMHRNYSSCDCSSAMESQLSISNTFGGINPLPGSKRKCATHLKSNEDVDLSDNFTRSVHLAPLKRLKGSPVITKYGVWEYNAGTPLVHSPDYCEDTNLHNPRGCLQNSPSIVEDCLSKGFDGCISMKCSF >OB07G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15960314:15960544:-1 gene:OB07G28700 transcript:OB07G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREASPEPADRGQRRRSAGSEPPTEGGFRGRISPEEQLKAARRKTGNRGARSCQEGFGGRRKDRAFTPVNETGQD >OB07G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15960461:15963082:1 gene:OB07G28710 transcript:OB07G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:J3MN89] MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNNVEDEDGA >OB07G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15963905:15964393:1 gene:OB07G28720 transcript:OB07G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANGGERAAAGILRLLLGMGMMGPSVAMPGGGGGGQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVARRGRSHGTLG >OB07G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15965118:15967910:-1 gene:OB07G28730 transcript:OB07G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAAGRLLVILLAVLLLAACCDAARFARSYRSATMVEAPARPGAAGSSEDDARTTDEIVEEMFGRMTLQTTDYPGSGPNDRHTPKAPGT >OB07G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15973925:15974551:1 gene:OB07G28740 transcript:OB07G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPLLRRVLSFREPLLLIPYLIGFVAAAASGFFHSYSSFLHSFARSVVPAAAACAVVKCAYSSSSAAESCGEAGVAAAAAQEEEEGEEALRPLSREEVEEIMERIGLGVAGHGEGLRPRIGHDEVSRLFDADEPSFAEVRRAFAVFDGNSDGFIDASDLQLALARLGFREDAAACRAMIAASCGSIDGRMNLFQFVKFLETGLC >OB07G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15979430:15982548:1 gene:OB07G28750 transcript:OB07G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSRTFLPEVEAEESQGGEESEGEFAEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRQTGRSRGFGFVTMSSSEEAGAAVEQFNGYTFQGRPLRVNSGPPPARDEFSPRPPRGGGNNFDSSNKVYVGNLSWGVDNSTLENLFSEQGNVLDAKVIYDRESGRSRGFGFVTYGSAEEVTNAISNLDGVDLDGRQIRVTVAESKPRRQF >OB07G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15984184:15985030:1 gene:OB07G28760 transcript:OB07G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRCSLVTVAALLVQLFFFLAGPAAAAGQVTVFWGRNKDEGSLREACDTGLYTMVVMSFLNVYGHGDYRLDLSGHPVSDIGGDVKHCQRKGVLVSLAIGGPGGAYSLPTNQSALGLFDHLWNTYLXXXXXXXXXXXXFGAAVLDGVDFILEHATPAERYDVLAAXXXXXXXXGAGRALATGAFERVHVRFYGDGGGNCTLYWEDAWDRWTAAYPRSRVYFGLPAAPPEQGGRSGYIYPKTLYYSYVPALQKAANYGGFVLWDRYSDKQSGYSSYVHSWA >OB07G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15985670:15986062:-1 gene:OB07G28770 transcript:OB07G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRPKRKEPEPEAASTEGPSDWIHTAASAFWLVQEMNEQAKLDEERLREDRENAAWVMATLPEFRKKFNLPVRTPEEEAEYTAAALANLDRLRDDDGFDDYLDLLVRPEDRALSKRRAVDDGALRRYH >OB07G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15987515:15987838:-1 gene:OB07G28780 transcript:OB07G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPDGNSGGGDGHVVVDVGASSCAVCTEPLEWAAVGPCGHGDVCPGCSLHIRAFQNNRLCCICRAPCHFSSSPNTTPSQPPPPSGPPSPRGYRGLRATGTRRSRDG >OB07G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15989559:15991331:1 gene:OB07G28790 transcript:OB07G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLPPVLALVLVGEKEEFDMNKSVKHSINQVAAVRVDLEREFDLTGIGSMFSHVIPPTSFNGRMPENVQNDTQANDDEVYNGAMDTRSYTDLLMSGSQESHDDTPTSEATIHQAHATAKSSQGRIKNFSTEEDILLVSARLNVGMDPILGVDQSQGTFYEKNT >OB07G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15993312:15994014:-1 gene:OB07G28800 transcript:OB07G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEFNQFVMNEVIDPSSSDDENDLFFGAANMIIEDSVNNLGRIGSVEGHAVIDRDRLLWHGLLYRDYFSENPTFGAKTFRRRFRIRQHVFLRIIKAIEEHDDYFKQKRNAAGVLGLSCLQKVVAAFRMLAYGVPADALDKYIRIGESTALEALRKFVSCVVEVFGSEYTRMPNEQDTTRLLAIGVSRGFTGMFESIDCMHWT >OB07G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:15994730:16003264:1 gene:OB07G28810 transcript:OB07G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MAIPAEVRRYWLPILLSAAGFLFQLLVLPHSFPPTHYDALGIERFAPVERVVEAYELLSKEWLAETNQQTTADIIKIRYAYELLTNPILKRDYDLFGLDHHKNVLEKVKEQYQKEHFLKIDLPLLKDSSVYSTDYAVNVLTYESFMHTIAQEHPLLIMVYSKGSPRCAQFIEYWKQIGNRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPSNCRSPSCYMRYPGELLVDSVVDWVATSVIGLPRILYYSKETLGPQFIGKSSHHKVKVIFFSSTGERAAPFLRQAAQEYSTYASFAFVLWKEDESQIWWNSLGVESAPSLVFLKGPGAKPVVFHGTFTKSEFTKIIEEHKHQELRQLRSDTSLELGCDARGYSRAGNDTAIWYCVIVAGRPGVELSKRKQILRKAQDQLFSDVDASTSGSMDSSVVASSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDSDKSELFIVRFQRNATYEALKAEKTNNLMEALQGQHIPDASQLVARYKGPDEIEEINKWISQIIKDGDTREIPYFTSKVPDLVPEETNKEWLKSTKSIRSAGSSVKHRIQNSGFHFKDYLTDPRIGPALLMSACISMGVIWFKSNQPTQTTPGGEAPPKDKTKRRRLRPKLSTSLFGEPTESTDPEPKDARQWEMTDSDSD >OB07G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16004565:16007978:1 gene:OB07G28820 transcript:OB07G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPALSLLLLAAVLLSASPRAARALRFDLESGHTKCISDEIKVGSMAVGKYHIVGPDPGSPDAPLPDSHRISLRVTSPYGNSMHYAENVPSGHFAFTAAEAGDYLACFWAPDHKPPVSIGFEFDWRSGVAAKDWPNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNRQTNSRMAWLGFLSLGICMSVAGLQLWHLKTFFERKKLL >OB07G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16005072:16020327:-1 gene:OB07G28830 transcript:OB07G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQRWERCSILLLVFLLSNAYGSLLESSSSSSTDAEAIGSESAPASEAAGGEGKRRSLATGLFCVALQNADPAALQEGLNWACGQGHANCAAIQPGGPCYKQNNLPALASYAYNDYYQRNAGSGATCSFNGTATTTAADPSSGQCVFSGRISDIQASVFSVWYGIQLSAVAAAAAAFSRRNFWKSAMRPTSTSLFIFSASLCRTCMPQNLQDRPKKSRNVLADGVVRRRTYRLGDAAHDVLRNEAHRRRQDAGDGDLESHVRTIRRSSTPSRWRSCMTTTGCTVRRRNCSGSIWTLHSSCTSATRSRNSVSSSVVAGEIAGGLLTHVAEALAPALVGEHGAEHARAVEESTTARSTDYLNDAGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRNAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVIIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVAEGHRPPFRSKGFTNEFKELIDVCWAGDIHSRPSFLEILKRLEKIKEHFAAHDHHWHLFSQ >OB07G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16017068:16018510:1 gene:OB07G28840 transcript:OB07G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYEPPGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRITEWELRGLERGYAPASFDLGVRGSLYADRSRGRRACRLRGHLKISITCVLPPPMRLVPKDVMRGVAESVLQRLAEKMKRDVDVGLIADFQKFRREKAAAAAATAES >OB07G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16024075:16027053:1 gene:OB07G28850 transcript:OB07G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYSYSPSPPPRSYRRRASSPIPRGRYGGGGRDLPTSLLVRNLRRDCRPEDIRRPFGQFGRLKDVYIPRDYYSGEPRGFGFVQYYDPDDAADAKYYMDGQTILGREIAVVFAEENRKKPAEMRARDRISGSRGRSYDQRYSRSPRYSPPPRGYSPPRRGRSPYRSPSYSRSPSPRYARRRMRERSYSPVDSRSRSRSRSPIDEGYGGSTRRERSLSVSG >OB07G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16028466:16029162:-1 gene:OB07G28860 transcript:OB07G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDWFYRVLVSLGLWQKEAKILFLGLDNAKKTTLLHMLKNEIDNVVYLGEDNRM >OB07G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16028804:16029142:1 gene:OB07G28870 transcript:OB07G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHFKPETFIKILTQQQPQNNTNLFTYKKGSKKKKSAKHDLVEPASRSPNNQHRKMSDFYSPFLFFSFLIPHDQPTSFLSMWRSVVFLALSRPRNRILASFCHSPSETSTR >OB07G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16043274:16045888:1 gene:OB07G28880 transcript:OB07G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDDGAVENGLAAAAAAGNAAEPTVLRASLGSGKLRIEGSLSFKTREQQQSLLQVETKISITSPRAAPAPMPRELLRTRFADAASPAAAAPESPKHEAAAVTLQKVYKSFRTRRRLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQESAVSKWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKEPFFYWLDVGEGKEINLEDRCPRWKLLSQCIKYLGPQEREDYEVVIEHGKFMYKNSRQILDTSGGPRDDKWIFVLSTSKNLYVGQKKKGKFQHSSFLAGGATSAAGRLVVEDGTLKAIWPHSGHYRPTEENFQEFQGFLKDNNVDLTDVKMSPTEGDEEFWGRLRSIPSDRCADADNTEEEMNSSEQAVHHCQTPEATKTSHEKEVSSQQEEAISHPSTLKILPRVDSSEGTEMSNARDNGWPEQEEANHDQLQDPEEDDEPSSSSSSVPREKILERINSKKEAKSYQLGKQLSFKWTTGAGPRIVCVRDYPSELQLRALEQVHLSPRSGGGGGRAASSRFASPQRSSSPMARGCSEPLTPGEAFRAHLQRTVC >OB07G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16047459:16049772:-1 gene:OB07G28890 transcript:OB07G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHVPPDAKELYLFYLLSKMKEDNIRSVIVFVSTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSSKVPVLLATDVASRGLDIQTVDLVINYDIPRYPRDYIHRVGRTARATRGGLSIGFVTTQRDIRLLHEIEDVVGKQLGAYDGEMRDVNKNATKVFKARRLANMKMADEGHEDKVHARKEQKKRAQERKRKHEGL >OB07G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16053565:16058589:-1 gene:OB07G28900 transcript:OB07G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium ion binding [Source:Projected from Arabidopsis thaliana (AT4G08810) TAIR;Acc:AT4G08810] MRVVADRPLTSAAAHRHRPVRYRRRRCPADLLPAHVGCRTSSASHADPSATERISRRLCSVLQSRPPPRLALQRPGAPVRPWAFTGVCLSSGSSRKAMAVNRAMRVSEPEPALDGCTLASVLKACRAEGDKQCGSESAGFNMNSYSRWCATEIMKRIMKDVQVKVEAQTNSTLITGLAFSARPSVFRHGGNQSRRGVAIVGLKKPDRRMSGFRPNRLFIRTFRKIGNPSSHHMMTVVASFVAFNAKAFFRKSIVFLSYETPVPGPKPGECDVAWRFRNRREKSWRRYRDYRRFALTPGDGCALDITRVGKFRSGANAARPPRPKGSKNRNPRVAPPPVDAEINDTIPIVGSEAEFRKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTDNGKDEDGKDFRYYFDFEHLKETASVVEEGDFLKDWKRWDKKKGPGKITVRKVPSYKVTPMQLKRDKSNIIWRLFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKQMYPNLDADTSPETLVNKVTKLIKPMRNLYIATNEPFYNYFDKLRSHFHVHLLDDYKELWSSTSEWYNETTTLSGGRPVLFDAYMRVIVDTEVFYRSKTQVETFNNLTRDCKDGINTCNL >OB07G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16059079:16060223:1 gene:OB07G28910 transcript:OB07G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRAAHSTSSQIWSWSSPLSATAGLGQEWRGAERRRKEMGGVEQEQSLSLGVLIDIVDEQWMRDTLPADDVPVPPAMAVKTEEAEEPAPANQESQPAQGDVWRDFALENL >OB07G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16066811:16069026:-1 gene:OB07G28920 transcript:OB07G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVPVPVAXAPHRWPMAMARSPGSWMRLVVGGLLVGLIVFAFYEWGLPLLSQKVLVPIMRWEARSFGRPVLGVVLVASLAIFPIVFLPSSPSMWLIGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLFRERLHEWLEKKWPREIALVKLASKGSWFKQFRVIVLLRISPFPYSMFNYTVTVTQIKYGPYICGSVVGMVPDAFVNIYSGRLILTLADLKYHNHRLTTVEIVYNVISITVAFLVATGFTIYAKRALDDMERSEGVCPPEPSGIAHGSTELRVHRQECSNSSSVPIDVV >OB07G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16071232:16073414:-1 gene:OB07G28930 transcript:OB07G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQVHCKANDTGAKEKLGCSKMQSLKPGKYISKSSGHANVKSRRPGISSSQLYTENQMPLKGGTIMEAQFCDSKNFSAPQPNHSGKIKLQLFPINEAIQKVLQQEKRNPYLELILAPRKRISSVVQHLNTKWGHSQCARGELMLFPYDAKLDNISGSGKWTHSDFCTAADVHVAVGSPSTFRLRYGWFGPDFEQQSIEPILEPEYSVDQIICDKPLDPVLRDQETVHFSEFPSNFAAPFDVDNAVNIVGNQSEVTPLSWIDCISDTSFGALLSEAAPLQDSKQILSQNITSFSEIPINFDSFDADIASLIGCQRASNQPKMSNPSLWDVEETCQAFPLHNQTSARTFGSAPSHCSAITSSILSTIPEFVTDVDQQCSAEVREEEPSPPHIPLLCNNNVTPDISVPESTGEPELGASCSRLLNSADSLGLSGLLANSLDPWVHFRNSLFSDPFEAQLLC >OB07G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16080065:16080238:-1 gene:OB07G28940 transcript:OB07G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVYIQFAGTPGNKTLCIQQENRVSNASGIIWNDQEVNQQPVIRVLGKEFHIWNA >OB07G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16079089:16081409:1 gene:OB07G28950 transcript:OB07G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A5A [Source:Projected from Arabidopsis thaliana (AT5G47520) TAIR;Acc:AT5G47520] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAEAQGLFFMETSALDSSNVTEAFQTVVKEIYSILSRKVFQSQEQKRSEQSLSNGKPVMLQSDSNGPTSGGRWCCSS >OB07G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16085007:16086719:-1 gene:OB07G28960 transcript:OB07G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTAGAKFDCLLFDMDDTLYPLSLGINLACRKNIEDYMLNKLQIEETLVPKMCLDLYREYGTTMAGLKVLGYDLDYDDFHACVHGALPYEKLKPDPILRQLLLSLPQRKIIFTNSDKAHAATVLKKLGLEDCFEGIICFETLNPSSPEEHVEEESDNTDGGSSSPGSDSSASHHHKRILCKPSLESMEAVIEIAKLDANKTVFFDDSPRNIAAGKAAGFHTVIAGSAAAVAGADVALESIHNIKEALPELWDAGEHVRAVDLRSAAVEATVLA >OB07G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16098235:16102627:1 gene:OB07G28970 transcript:OB07G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:J3MNB5] MGSWALAAAVLGFLGVVAHGSEPWLNQTQVYSTNANSGGSNGVFVGLTLIQSAAAKGGLCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCMFRKNNRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGERIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFPSSTKVKCLADAGMFLDTVDVSGQREMRDFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKKADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDSVRGFSGSRQNGLFINSCFAHCQSERQDTWYARDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLVFRGDY >OB07G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16117424:16119305:1 gene:OB07G28980 transcript:OB07G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEELQAEVDKLPQARPQEGSILTGRRRPHHPSPFHARKQVVSDCGAAAGEDGQRGEELLELVHQEEAPAARHRPGHPQAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRWWRPGRGGYPVGVELQHAELDGWPKPRGHHHHHHHRRAVQQQPRPRQPHQPRPPMAGAGPEHRRRRRRRPLRRRSGRAQVVRLRLRRRLRPAPPVPPRHPRTVHLWRQQGHGGGALRRPWPRQLVLARTAASELAIITQSIRRHNCMPHATSYHVRIHCYVRTHTLHGFSSIYIRTYTRTSVRN >OB07G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16126034:16126780:1 gene:OB07G28990 transcript:OB07G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDIIKSRLVNKDDKGYTSDLLGLMLEACAPEHEENQQQLSMDEIIAECKTFFFVGYDTTSHLLTWTMLMLSTHPDWQEKLREEVVTECSDKVPTSDMLNKLKLVNMFLLETLRLYSPVSLISRMAGSDLELSGIQVPKGTIIKILIAIIHRDKELWGEDADMFRPERFKNGVSKAAKHPNALLSFSSGPRSCIGQNFAMIEAKVVIAMILQRFSFTPSPKYVHVPTSVITLRPKFGLPMILKSLNV >OB07G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16128564:16129142:1 gene:OB07G29000 transcript:OB07G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEVAFFVRRGRQCYCFPWHSHQRVGGAARVEEESWWQRAVDAVLKVQKWSEPRPPLGDGGRRGKSHRIQARLGPRRRCNAAGLPPPPPPPIMAAVATRTTYRHHRSALEL >OB07G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16133028:16134980:1 gene:OB07G29010 transcript:OB07G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAWMVAAAVAAAVVSWVFNAVVVSLVWRPRAVARRLRAQGVGGPGYRFFSGSLGEIKRLRDEGAGLVLDVSSHDFVPIVQPHFRKWIPLYGKTFLYWFGARPTICLADVSMVRQVLSDRTGMYPKNLMNPYFARLLGKGLVLTDGEEWKRHRKVVHPAFNMDKLKMMTATMSDCAQSMMSEWESELRTKGDGVEIELSRRVEELTADVISHTAFGSSYLEGKQVFLAQRELQFLAFSTFLAIQIPGFNHLPTKKNLKTWSLDKKVRNMLMDIIKSRLANKDVEGYGNDLLGLMLEACAPDHEESQPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVATECGDEVPTGDMLNKLKLVNMFLLETLRLYGPVALIQRRAGADLELSGIKVPEGTILSIPIATIHRSKEVWGMDANEFKPERFENGVSKAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQKFSFTLSPKYVHTPTDVITIRPKYGLPMILKSLKV >OB07G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16139871:16142324:1 gene:OB07G29020 transcript:OB07G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLKVKADNSISLSKLQSINKNQKCVVVRCAPEVLLLSPPDRFPLSAQLSVKLTARNGQRVGARRRGRRVFLCWFGSTPEICVGDVGLAKRVLSDRTGLFPKNVTSPVLLKLLGNGLVLANGDEWQRHKKVVHPAFNTDKLKTMTATMAGCARSMVARWEEGGVASHGGRAVIELSGQFEEVTADVISHTAFGSSYAEGKQVFMALRELQFITFSTLLSVQIPGSRYFPTKKNLRVWMLDKKVRSTLMEIINNRLAAKEKAGGYGDDLLGLMLEASAPAPPEHGESRAPLLSMGEIIDECKTFFFAGQETTSHLLTWTMFLLSTHPEWQEKLRQEAVRECGCGGGDRLPTYDMLCKLKLMNLFLLETLRLYSPVPLIRRRTRAPVEMGGFTAPAGAILTLPIATMHRDEEVWGTDAGVFDPTRFDGAAGRRGGKKAALLSFSLGPRACIGQSFAMVETKAAVAAILRRFRLALSPEYVHAPTDVITLRPKHGLPMIVTSVHT >OB07G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16143913:16145844:1 gene:OB07G29030 transcript:OB07G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCSSFEEARKLHAVVLVGGHRYGTVLVAQLVRMYAKLGEVGQALRVFDGMPRRNSFAWNAVIKGLVDAGRFSDALEMFWEMVNDGSVAADGFTYPPVIKACAMLGAVEEGMKVQEIVEADISRGNARHNVFVQCALVDMFAKCGCFEEARNVFESMQVRDLASWTAMIGGAVHADDWLDVVNLFNHMRSEGFGVDSVIAATVISACGRAGELQVGTALHGCAVKSGVSGDLCVSNALIDMYCKCGYIEMADCLFWSTNSKDVVSWSSLIAGYSQNGMNNVSVSLFCEMISSGINPNSSTLASILPCLSELKLIRNGKEIHCFSLRHGLERSVFVVSALIDLYSRQGLIMVARTVFWLMPDKDLAIWNSMVAGYALNGYSDSAFCTFRSLQKVGFRPDHVTVVSVLPLCNRQYMLIQGKELHAYVVKHCISSVCSVSNALIDMYCNCGFLEVAKEVFQLMTERNTVTFNILISSFGKHSHEDQALLFFDLMKRDRITPDKVTFVALLSCCSHAGLIDKGLHLYHSMLQDYNISPEKEHYSCIVDLYSRYGKLDEAWCFISNMAEEPEIDILGGLLGACRVHNRMDIAELVGKRIFEQNPNDPGYHILLSNIYADAGMWSDVTRIRNMIQERNLTKETGNSFT >OB07G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16147365:16147667:1 gene:OB07G29040 transcript:OB07G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSEVYSEDNNVAYILIAFLRPIPFPRIDNLVEEEFVSFQILLLIEKKEGGRGIDKNNRGSGNRKGAISPFVYKIRIYADRNKVVCVQWFKCILFGTA >OB07G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16148445:16150959:1 gene:OB07G29050 transcript:OB07G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSMWTDKDALRMSYRPTPYLHAAATDTNITHQGLLVFVRGRLLPHSVVESSVSARVFCPIMGMEMGNEQLHCAGRVPTRPNLVIMQALLVMILQKKGIKENRWEKMADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >OB07G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16153226:16154260:-1 gene:OB07G29060 transcript:OB07G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDVEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWLRNVALPTGGDAMIASIAAAPEEAKVKAEPDVVVTEEVKEKKKKKKHKDEEDASDEGRKRKIEDDDAAASVPVKKIKVEESAEEVEGEKSEKKKKKKKDKSEQGSGDADLKEEKGNLSDEEKGGSEKKKKKKKSKEGGDVVDPESAANGDGTDAEKSAKKKEKKKKKSRDTEEA >OB07G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16154707:16154970:-1 gene:OB07G29070 transcript:OB07G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPAAAAASPSSELTKSKKKKSKSKDADAVSAPAPSLAEAEAKTDGYIIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPS >OB07G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16157758:16158888:1 gene:OB07G29080 transcript:OB07G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAAAAMSFPDADVDDDSDDGDFTDAPLIDPTFPNPTSSSAAAAPVPPAAGGGGGGVGSSSGGGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGSAFSFRSPHEQAIFEIARNIWRPANKHGREGDSDDEDAAAAAAVTPAAIPVNNTSPNGEVKSPTSGRQRRRRRVADFAAAAAAAPATVPATTNMVQPLHPVQVPVSVPVKMDDMLPALSQTTMPITVTMEGSEPLRLPVMPPQPAILDAEKSCLTPLFKEMVRAVINIGSNPFGAQLPEPPHGLPMEGEKWRKQRILELEVYLKRIELLKDQVKATLEELKSSAPGT >OB07G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16163300:16174877:1 gene:OB07G29090 transcript:OB07G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MAGLGGGGWGAEYDDDDDWGLSAEQLDQLEQDAYRKLAERKASSSAASTAPATSPLPTRAAHAKPSIMPMIVFLIIQVESLSPCRLSQPNGLVNAVNNSQGNSSKVSVHLFLHSTCVVAAKFQYNQKLVDAFHKIPKACWNGKERIWMFPPSSLSIAEEVLNAVPGIAVEVQKLDPLVKRALTAALAVKDLQGLYDKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWAFMIQHWLNIPKEDILVVLPQTGGSNKAGYRLVYSNTKGDFHLDGVFNVISYDVVPKIKDMLLKLDFKIVIADESHFMKNALAKRTNASLPVLQKAEYVVLLSGTPALSRPIELFTQLQALNPDVYKSVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLSEKEMRHIRALFHELETVKIKIKSSDSKETMDSLKFAQKNLINKIYTDSAEAKIPAVLDYLGTVIEADCKFLIFAHHQPMLEAIHQHLLKKKVKCIRIDGQTPAPVRQTLVTDFQNNDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSDTRPSPSKQKTLDAYLKRCSNSTEAQPRLKNPRF >OB07G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16176048:16178411:1 gene:OB07G29100 transcript:OB07G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:Projected from Arabidopsis thaliana (AT4G01790) TAIR;Acc:AT4G01790] MSKKKSKSQLPAVKAPLIDGEQGVTSDYIGGDDLDDLLSKLTRSVEVAKASRGGLPEKIWMKRQFAIGVNDVTRVLERMPAAAVTQSGPCSSEAQTGKAPLTAPSVSLQAVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKESSLRLGQVVNVRTALAIGVKARDSIINKAVDEALRTANLVANES >OB07G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16181434:16182483:1 gene:OB07G29110 transcript:OB07G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARARRHVARQLRPAPYPIPSYRWKAMKESNRKKALPSMQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPANTIGTNLDSAPLAAGEKPESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFLGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITSTMGRAIVFGDYVLDLEDDDDDDLDDTESNDDDNANGHGTADNTRRMLLFLMRQVARHHHNQRLQNANVSSGSAEDSYAVGGVANGTAPYPYTLEGDEEDGLVVAGGGSTGLMSRPERRRRRRRRHRERLFLGAN >OB07G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16184383:16184604:1 gene:OB07G29120 transcript:OB07G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGNRNKRIGFLIHFCTFIGASKPVVKQTHIGIEMPKKNNKHHIRNKSCITSIHARVYIYIYIYTYIHTEREEN >OB07G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16185055:16185681:-1 gene:OB07G29130 transcript:OB07G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MND1] MTMDDGRRVTWSKASLIVTAIFLLSLSSSASVAAHGRSSKRFVRSYDEPCKKMRLYLHDILYDYSNSTANSTAAAVTKPTALSTAVSKSGYFFGRVVVFNDPVTEGKALPPALEETAVRAQGLYLYDNKEDYTAWLAFSVVFNSTAHRGTLNLIGADPLAEKTRDISVVGGTGDFFMARGVATIRTDAIEGFKYFRLQMDINLYECYV >OB07G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16200573:16201181:-1 gene:OB07G29140 transcript:OB07G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MND2] MATWSKASLIVAAAVVLVGLSSASGAHGGRRGRSFVSSYGEPCKEMRLYLHDIIYDYSNSTSNSTSAAATKPTALSTAVSRSGYFFGEVVVFNDPVTEGKALPPALEETAVRAQGLYLYDKKEAPNAWFAFSIVFNSTGRHGTLNLMGADLMSEKTRDVSVVGGTGDFFMARGVATLRTDEASSDLSYFRLQMDIKLYECYA >OB07G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16209483:16215016:1 gene:OB07G29150 transcript:OB07G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MND3] MRMGKYEMGRALGEGHFAKVRLARHADTGAAFAIKILDRHRILAMNIHHQIKREIATLKLLNHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKSKLSEKEGRRLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQWKDGLLHTTCGSPNYIAPEVLLNRGYDGSLSDIWSCGVILYVMLTGNLPFDDQNTVVLYQKILKGDARIPKWLTPGAQDILQKILDPNPVTRLDMTGIRAHDWFRQDYTPAMPFDDDDDDVDNNIGDDSPNMTEHQDNEGKPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESIVTEKGFQVQKNSGKLKVIQVCKEPANPRGHGTLLISAEVFEISESLYVVELKRSSGDCSLYRQLCASLSEDLGICKSQQLLGKDSTRQDLYRYNSSF >OB07G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16213988:16215517:-1 gene:OB07G29160 transcript:OB07G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAAADLFVRTALVEMYAKVGRVDLARAAFDEAPQRDVFLCNVMLAAYVARSEVAEARKVFDGMPARDLVSWNTMIHGYAMRGEVGMAREIFDGTEDRDAFSWSSMISAYAKSRRSKEALDLWREMRAAGIMPDCITLVSVVSACSDLGALVTGAEVHNFVESSRIELDLKLGTALIDMYAKCGDIESSQKVFDRMPVKDVQTWSTMIIGLANHGLGHESLSLFSKMISEGMKPNGVTFVGVLIACTHVGLVTEGKKYFRSMNQVHGVEPTVEHYGCMVDLLGRSGHVEEARHLIRSMPFEPDAIIWRALLGACRIHKNVDIAEEAMVRLRVLDPLGDGHYVLLSNIYAQANSWEGVAEMRKTIRRDNIQRIPGRSSIEWEDKIHEFVCGDRLHPRSKEIYGMLEEMMDRLKQAGYKPMTSLVLQDIDEQSKERSLVEHSEKLAIAFGLITTPAGSTIRITKNLRTCEDCHSAMKFISLAYDRKLIVRDRNRFHHFSEGLCSCNDYW >OB07G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16216079:16217512:1 gene:OB07G29170 transcript:OB07G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62190) TAIR;Acc:AT3G62190] MRSSEAMELLGLPAHSRPSPSEVLLSVKAAYRRMVMESHPDRVPTHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGYGRSNKTLVKAPFLLIIFAAVSLGGFSASRAYQRQKAVCSSQNPFLP >OB07G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16218251:16222005:-1 gene:OB07G29180 transcript:OB07G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNASACVGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYISSTASGNMVELDASMNINSDSSDTTTNTNPQMQNGFQSDNQKGGNGKTDKQSKVKQPRRNQSDNVPKPESNEENSVDVADNSKENTANDQSQSSMASSSSSSSSESQDGVKVNQPGKPKVQPFSLPKKPGKPVHSHHKTAPHESFNSKKSGVSAESAAKNGTPDFGNGSGHYNPKNHKPHTFQSPRPPNPVTHPHSGSGVFHTLSSQRTNSCPPPAGHNGVPTAPLQSWPSAPPYHSPPVNYPDMSQINISGYPRGMHDNQGLNMNYHPNHSGAHNVQPAYNSYRPPTPHGMPNNMQNAEQWGVNQGCPQPPSDSQVLIRNILGALEILKTEKIPPTEQHISDCIRYGDANLPNFDVKKALEVAIQHQAIVMKVLGSVSFYLGKNQNLWKCVNIMDINAKYPKGTLDAVHRFISSTTGSSAIKNSRSKYQAAIILKNQCLKYLALGEVLQIIYIIINTNKWFVPHSSGWQPLLFNIIVVDATTGAGGKA >OB07G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16225854:16229670:-1 gene:OB07G29190 transcript:OB07G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLHKELPIRIARRALELDSLPFGLSRKPAILKVRDWYMDSFRDIRFFPEVRSRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKQEQCRTKKIPSGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKLSPIQVAQAASEDARSICLREYGSAPDINIYGDPTFTFPYVASHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDGPCEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >OB07G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16238878:16242523:1 gene:OB07G29200 transcript:OB07G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKNNSADVNKQLNDKKQLMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDNNVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALTQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNRKLLSIESFGSL >OB07G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16242939:16248485:-1 gene:OB07G29210 transcript:OB07G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09450) TAIR;Acc:AT1G09450] MATRQGAAAHTPRPGPPAAAEKPSTPSGHHAGGGGELWNAETWRIFGVPNWHYSCTGRTAKRTLGGAPDLRKEKTYFEEVDVFELMEESPSPKNFSTWTREMEQNYVVHDLSAILERWKISKIANFVASKPLFDIIETPLLPSVQSNFTLNDSYRTPEKDRGSRTNPTRRTIPSEFTGNSLKTIVSETSIISSFRELNIKEETNDANISSLSAEAMTAFAQLLLVCSQSAPVTLAEAFSTYCTPGSIVKLGEGTFGEAFRAGSTVCKVVPFDGTSLVNGETQKKAEEVLEEVLLCLTLNNLRADRGDNVKENSCHGFIETKDFWVCQGPYDPSLICAWEDWDAKWESENDHPNEFSNEQCYIVFVQADGGRDLEKFALLDYNEARSLLVQVTVSLAVAESACEFEHRDLHWGNILLDRDETQDKNHKMGFTLQGKKMCTRTFGLNVSIIDFTLSRINTGDAILFLDLSADPVLFEGPKRDKQAETYRKMKQITHDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLAKYDSARDCLADSFFSDLLSEEDSQQSAAGSVS >OB07G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16251437:16252033:1 gene:OB07G29220 transcript:OB07G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MNE0] MQARIATIFVFLLSLSSASVAHGRRSSSRRLVMSHGDEPCEKMRLYFHDILYDVSNSTANSTSAVVAKPTALLQATMNASVFGTMMVFDDPMTEGKALPPALEETAVRAQGLYFYDQRRAPSDAWFAFSVVFNSTERRGTLSVMGADIVAEETRDLSVVGGTGDFFMARGVATLRGDAVEGFKYFRLQMDIKLYECYA >OB07G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16254990:16260714:-1 gene:OB07G29230 transcript:OB07G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFPQNNHSVSEHVEKAMKVSIKKEKIIYRLQSELQAAQRMGREVKEKLHILRGATLAITEAQLFDSAESQEALKPLDLTHQKDCVVQEQKCKMKPKSCLFAEEAEEFSCLAFHLPDNVGTAEVTQYRDGSVVNQANTLCQSKLEDVLHLVEDKSNKVLALFSNFEEAQETMEEAETMLSSLLKANEELKLEKDNCRQAVELLLVEKTSLINDLQELETSNSFTALRYDKLHEQVNECVAEMTNLATIIKESFHQIQRITTVELFAFCSEVINFGQDLRKWVYESRSYLINMGALLEEQGNYHTEQIHRTNSSTYAGVSQQVESCSRQLGGTNGEFYQGTSMVLDGKKKPSVHVVPIGSSAELEDSNVEKTFDMDYASLRREFDRKSDVAEGLSFDLKLLQESTSQVKDMKDKADEISDALVSVQRELEKKNSAMESMLKQKKALEKELAENGAALLILRSELEHSESLSSELFKENNDLKVMLEEETMMISQTKTLLEDKSKIIEGLEHQILLLNSSDEGRLMSHIKEINDNLKVISIDKGNLEEEILKLTDKLEMAVALAEENEAASIEARQAAEISKVYAEEKVEEVRILERSVEELESTITVLEEEVCNLKEEVKSYQIYKQSEAEQAQEMFIVESTSKCDAKEQLCPGRCQLEKRLKAEIIAHQDARRKIECLTVEASCKDEEIRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNSDSGHTKIEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLVSNKQKEICLLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLIASQQQIEQSKSKDVELYMLKEQFGHLIQERDSLLDDMDQRKADLLESQLLVEQLEQREQMLEAQNELLQMEKDNLQQRVMEMDEKIEMLAVSSQAIAEPTLQMGENHRSAGSSEFSRRLAQSDMLLSHARHEHSRIHAAKPSRTHHRGRHQ >OB07G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16261375:16265476:-1 gene:OB07G29240 transcript:OB07G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MNE2] MFTFDHVACETISQEKLFRVVGCPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKLGNELSKDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECSVSSVKDVMMLLLQGVANRKMAATNMNSESSRSHSVFTCVIESHWERDSMTHLRFGRLNLVDLAGSERLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKMIQNNAKVNEDASGDIMALQRQIENLKDQLTCLKKQQNVPGSPSFQLLKSGYDNEFNSLGGVDDQSACDLDLLKQKVSHLEDVLVGSLRREKSAETEMRKLECEIKRLNRMVNLMESDARQLRMTVKLRDEKIRRLDLLAENLISSDGYLMDENAAMFQEIQLLQEQINDNSQLAQFALENKRLIEQVRTLEKFCKQGEREMLLTEISLLRNHFLHILEQKYARPPKNIDAQGDVIIKELNTCRKELDACLENNVLLAREVNKLRCELKQYQNCSTDQDLHMSYIFFS >OB07G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16271167:16273326:1 gene:OB07G29250 transcript:OB07G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tolB protein-related [Source:Projected from Arabidopsis thaliana (AT4G01870) TAIR;Acc:AT4G01870] MEPTGTIVFATVGVTSFGFDVFSSPVPPPPLQSTAPGAERRHTDGVSVNFNAQFVDDAAEEVAFVSERGGAAGLFVCRPGDERAEPLPAVEGSLFHDRPTVRGGRMYFVSAHERPPVPFRSWAAVYVTDLARKETARVSPPGVVDMSPAVSASGELVAVASYGHRPWAFDFRVLETEVAVFRAADPAGRVVVAARGGWPAWHGEGTVFFHRVAEDGWWSVFRVDISPETLEPTGAERRGRAQRHVELFDLETEQFTPLTERLNPELHHYNPFFSPSGHRVGYHRFRGAGAAGDSVVPHLQPVRSPVRSLRMLRVNGTFPSFSPDAAHLAMNGDFFKTPGVSILRSDGRKRWVLTREPNLFYTAWSPTESGVVFTSMGPIFETHKATVRIARLEFDAGELAPDRDEVAATLKVLTRPESGNDAFPAVSPCGKWIVFRSGRSGHKNLYIVDAAHGEDGAGEGTIRRLTDGEWIDTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDAGGRAAGVAGAGRPAARRRRGRVARRVRRATVAHLRRVTQ >OB07G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16275568:16276788:1 gene:OB07G29260 transcript:OB07G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTIPDLELDSTHGKIRIHDFLAGPADYVVIFSHPGDFTPVCTTELAAMAGYAKEFEKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRVTYPIMADPNREAIKKLNMVDPDEKDSSGHLPSRALHIVGPDKKVKLSFLYPACAGRNMEEVLRAVDAVQTAAKHAVATPANWKPGECVVIPPGVSDEEARSKFPQGFDTADLPSGKGYLRFTKVD >OB07G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16279354:16280249:1 gene:OB07G29270 transcript:OB07G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPDLELHTTHGNIRLHDFVGDAYAIIFSHPADFTPVCTTELSEMASYAGEFERRGVKLLGFSCDDVESHKEWIKDIEAYKPGRRVGFPIVADPDRAAIRQLNMIDADEKDGGELPNRALHIVGPDKKVKLSFLFPASTGRNMAEVLRATDALLTAARHGVATPVNWTPGERVVIPPGVSDEEARARFPRGFDTAELPSNKCYLRFTQVD >OB07G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16283005:16284282:-1 gene:OB07G29280 transcript:OB07G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MNE6] MAKLAVVVTLLALVGSVTCQGYTGNASPPPPGLSPPNPSLSLSPSTPYPPPSPTHPSPAPSSRSPPTTYPSPTLTPPSPAWSGPSPPALGLRIGYYKTTCPKAEQIVKDTVKNVVYANAGIGAGLIRLFFHDCFVEGCDASVLLDPTPANSQPEKLGIPNFPSLRGFEVIDAAKAAIEKECPGVVSCAAVVAFAGRDAAYYLSGAGIDFAMPAGRYDGRVSLASETLSNLPSPFAGLGQLKKSFADKGLDTDDMVTLSGAHSIGVSHCSSFSDRLSTTSDMDAALKTSLTRACSSSGDPTVAQDFKTPSKLDSQYYRNVLSRDVLFTSDAALRSSETGFDVFLNVVVPGRWEKKFAAAMVKMGGVGVKTSANGEIRTNCRVVN >OB07G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16286356:16287410:-1 gene:OB07G29290 transcript:OB07G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MNE7] MAKLAAVLALLTLLGSVAGQTGGYGGGGGTPPPTTYAHRGYGAPPAAGGLRVGYYDDYKKCPGAEDVVRKVVSYKDAGVKAGLVRLFFHDCFVRGCDASVLLDPTPANAEPEKAGIPNLSLRGFEAIDEAKAALEAACPGVVSCADIVAFAGRDAAYFLSNRAIDFGMPAGRYDGRLKQMFAAKGLDTADMVALSGAHSIGRSHCSSFNDRLPPSASDMDAKLAASLQQQCASSASNTVVQDAVTPYALDNQYYKNVMRRQVLFKSDAALMSAPDTMGLVRASAYFPAWWNARFAEAMVKMGNVGVKTGADGEIRKQCRLVN >OB07G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16292958:16294004:1 gene:OB07G29300 transcript:OB07G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MNE8] MAARVAIWVACVLVMVATCQGRLRVGYYHRKCPPAEYVVRDVVGKAVRQNPGVGAGIVRMFFHDCFVQGCDASVLLDPTPANPQPEKLGPPNFPSLRGFEVIDAAKSAVEKVCPGVVSCADIIAFAARDASFFLSGHRRPPGGGSAGRVSLANETLAFLPPPFFNLTQLVGSFQAKGLDVDDLVVLSGAHTIGRSHCSSFTDRLSPPSDMNPALATVLRAKCPANPNFTNDPTVVQDVVTPDAMDNQYYKNVLAKNVLFDSDAALLSSPPTAAKVAAFARGKWERSFARAMVKLGGIEVKTAANGEIRRMCRIVN >OB07G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16295228:16297114:1 gene:OB07G29310 transcript:OB07G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVMPSCQAPEGGGSHHVRRQQRRQQRRTHAVYESLAALPSESKEEDSESLGSSYNSVSHDGDGEDGGGEGRRHLCYRHAEGLLLPPAPAATPPPSIRATRVIVLVSVCVCGSGCDICARKQLVCLYMCRSISVNGGMTVTRLREQLRSRRSKMSGDDVEYEVTHGDTFRYDVIHGIIWYHMIPGDTKWYQQASIWYRPV >OB07G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16298918:16301052:1 gene:OB07G29320 transcript:OB07G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSSWMSVTLLLAALVLAPSLAAVEASHHAFADLQPLEADHVDDTLRTGFHFQPPRHWINDPNGVMYHNGVYHLFYQYNPKAAVWGNIVWAHAVSTDLVNWVLLDPAIYPTGPFDENGCWSGPAPVLPDGTPVIMYTGIDADGRQVQNVAYPKDLTDPYLREWVKPDYNPVIAPDAGVNATAFRDPTTAWQGPDGVWRLVIGTKDNHRGFAALYRSRDFKHWAPARRALHSGDTGMWECPDFYPVTYSVGDGGGTKHVLKVSLDLTRFEYYTFGEYDHASDTYVPDAALADGNDGLRYDYGNFYASKTFLDPAKHRRVLWGWANESDSTAADVLKGWAGVQAIPRKIWLAPNGKQLLQWPVAEIESLRGNHVNITDTLVRGGGSYFEVTGLATPAQADVEASFQVMDVDKAEPFDPAWRGADAQTTVMDVDKAEPFNPAWRGADAQTVCAARGADAKGGVGPFGLWVLASDELKERTAVFFSVFKRDTDGGGNKHVVLMCTDPSRSSYAEHLYKPTFAGFVDVDIAETGKIPLRTLIDHSVVESFGGHGKTAILSRVYPTMAVGDKARLFVFNNGESDVKVTSLNAYDMGSAKIRAET >OB07G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16301647:16301921:-1 gene:OB07G29330 transcript:OB07G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPGYVAASSIPGELTCNGEVANYIQRLIALHVRSPTIECTSFDHRPGRTSTGRSPATMAPSVVSKPNTDKKAAMATMAGLLIT >OB07G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16302510:16303236:-1 gene:OB07G29340 transcript:OB07G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEIPVAYVVKKQGSTHLQEDQIMSFVQSKVAPYKKIRKVVFVEAIPRSPSGKILKRQLKCLLQESILHRSRM >OB07G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16307674:16314603:-1 gene:OB07G29350 transcript:OB07G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESSHSHLAAGYCAATGVYRSGHPPQFAAVTAPSFPAYIFPRLLLPDRQARPAFVDASDGAALSFRGLHALSRRMARALSALGLRRGHVALLLSPNSISFPALSLAVLSLGAVLSAANPLLTPDELARQADDARPFLVLTTGELAPKLSSIPRVAKRVFIEQLLADSAAEDDDHEGRFDPPSSSVGRDDAALLFYSSGTTGRSKGVVSTHGNVIAMAASLERAWGGGDQHADEAYGCVLPMFHMFGFSSFVMATVALGATTGPRPGRFSVEKTMAAVERYAVTRLLAVPPMVVQMTRAATGEPSRRMRLRHVVSSGAPLQREHMARFLSCFPGVSLTQCYGLTESTGCVTMSDLPDDDRRGVDGGGVEARPSTEMNDAAAAAAEISSNRGATAVVSIGRLMPEVEAKIVDPDSGEALPPHRTGELWVRSPSVMRGYLNNETATALALTNLCYVDSRGLVYVVDRLKEMIKYKGYQVAPAELEDVLATHPDVHDAAVAPYPDEDAGEIPVACVVKKQGSSCHLEEEELLSFVQSKKRACDGIGNRFTPPTTITILSIEKVWKKQISNTILGSTPKLVLQLMNVSYLLAAMEGCRRHGMDVAPSAPRRRPGAEEEDPATS >OB07G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16315986:16316998:-1 gene:OB07G29360 transcript:OB07G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLRSPPETFDPPASSVGRDNAALLFYSSGTTGRSKGVVSTHGNVIAMAASLERAWGGGDQHADEVYGCVLLMFHMLGFSSFVMGAAARLKGMLQYPDEDAGEIPVACVVKKQGSSCHLEEDELLS >OB07G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16319513:16320894:1 gene:OB07G29370 transcript:OB07G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSWSISVKLKTVFGGGSWYLTSVYGSQVDDGKLLFLNELVQARGLCSGGWMVASDFNMFLTDEDKNNGRINRRITSVFRSVLNQLKLKDISIWKELHVQNPNFGELIQMQLDSGQRSATRLAKPNNLSTMLIDDRKLSAYVHGVLLLYRGLEEYSGLDRTPVSVTPSGRP >OB07G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16325559:16326681:1 gene:OB07G29380 transcript:OB07G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MNF6] MATKLLAAAVTVLALLGNGMCQQGPSPSPSPSPSGGAAGLAVGFYDSACPNAEAIVRGVVKKAVEQDPGVGAGLIRLLFHDCFVQGCDGSVLLDATVANPQPEKLAPPNLTLRGFEVIDQAKAALEAACPGDVSCADVVAFAGRDATVLLSATKAEFAMPAGRLDGRVSLASEALDFLPPPTSNLSDLTASFAAKGLGVGDLVVLSGAHTVGRSHCSSFSDRLNSSNSDINPALAASLRRQCSANASSAAGGDPTVMQDAVTPDVLDSQYYTNVLNGSALFTSDAALLTSLETKVAVLANAIIPGLWEGRFRTAMVKMAAVEVKSVADGEVRKNCRVIN >OB07G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16327852:16330269:-1 gene:OB07G29390 transcript:OB07G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHITGFKKFHGVAENPTEKIVSNLKSFVEKKGLPKNLVLGSCTILETAGQGALGTLYKVLESAVAERENGSSAQGQVIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >OB07G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16338874:16343302:1 gene:OB07G29400 transcript:OB07G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:J3MNF8] MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAFTNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >OB07G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16340545:16344189:-1 gene:OB07G29410 transcript:OB07G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) TAIR;Acc:AT5G59440] MTALAGPAGRAASWSRGVKAATRCGLGLLPRWRGVCRSVRMENGSSQSGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRSTSVGQMISAYLANESELDDRTVHLLFSANRWEKRASMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPEIGLVAPDLVVYLDVQPEKAAERGDYGAERYEKIEFQKKVGEHYHSLRDSTWKVVDASLPMEVVEEQLRELAMSCILESQGKQLANLAW >OB07G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16344702:16348213:1 gene:OB07G29420 transcript:OB07G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) TAIR;Acc:AT3G12270] MATRAPELPPKQERLGEEYEDEEDEEEEEGWDDWESDGDDAAGGGGLLCLFCGERFDSESSLFSHCASEHRFDFYRVVRETGMDFYGCIKLINFVRSKVAENKCWSCGQAFSSNSELCSHLHSSEIPQVEGQFPWGDDVYLKPFMEDDSLLHSLSVFDDDEEEEGCGMPVGRGECSAGNGSLAESRESLLNSIIDDGSDVIGRFEKACTIESAAGECSGSLAQEPSDKQLKIARASATARGIKSVDDSYFGSYSSFGIHREMLGDKVRTEAYRNALLGNPSLMSRATVLDVGCGTGILSLFAAKAGASRVIAVDGSAKMVAVATEVAKNNGFLYDENVEMEQKRDSQVISVVHTKAEELNHKTQVPSNSFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAVFGKGGTSLPFWENVYGFDMSCIGKEVTGNSARFPVVDILASEDIVTETAILHSFDLATMKENEMDFTSSFELRLSESGASQSGATWCYGIVLWFDTGFTKRFCKEKPVNLSTSPFSTPTHWSQTIFTFEEPIAMTKEGSVVGSSAPVGTDECPAVLIRSRISIVRASEHRSIDISIETTGIGSDGQKRSWPVQIFNL >OB07G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16348850:16351142:1 gene:OB07G29430 transcript:OB07G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT3G12260) TAIR;Acc:AT3G12260] MAFTMRAVKVPPNSASLEEARHRVFDFFRQACRAIPSIMEIYNLDDVVTPSQLRSSIAKEIRKNQGVTNPKVIDMLLFKGMEELGNITEHAKQRHHVIGQYVVGQKGLVQDMDKDQGSSDFLKKFYTSNYF >OB07G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16353150:16357428:1 gene:OB07G29440 transcript:OB07G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-2 [Source:Projected from Arabidopsis thaliana (AT5G49650) TAIR;Acc:AT5G49650] MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIIVASEIVNFDSELPHYKTEGGVYRDPADDGHIFSPTIMWVEAFELVLEKLKPKINFSKVVAISGSGQQHGSVYWKKGSHTVLSSLDPTKSLLSQLKDVFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERFTGPQIRKIYQTAPHVYEDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRAWSNTVLEATAPGLEDKLGKLAPAYAVAGRIAPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNSYLEKTTPLNGGKLGFYYKDHEILPPLPVGFHRYIIENFDDATSNNLVEREVEEFDPSSEVRAIVEGQLLSIRGHAERFGMPNPPKRIIATGGASSNERILHLIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCSEEGSFVPISCMYNGDLEKTSLGAKLAVAAGEGVEDRALLEKYTVLMRKRMEIERRLVEKIGRT >OB07G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16361997:16362314:1 gene:OB07G29450 transcript:OB07G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSEASTTGYPVAAHMTGGRSSVRRSARRDGCSAVPGQGMHATCWLFCLPLASRSGLRAGRLIFLLAGDASGQDEGRTSKLEESTSSAWILCPWLLPSLWIDD >OB07G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16375036:16375680:1 gene:OB07G29460 transcript:OB07G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQRVLQKGSKASSRRDSPEEVVAAAAAADAAPTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGANRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTTTAAPSSAMLAAAHQHLPGGAAPVSCRLMELDPPDSPPPQPKTPAAEGGGDTVKLFGVSLHGKKKRAHRDDDDDRGGVHDQGSEV >OB07G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16377075:16380623:-1 gene:OB07G29470 transcript:OB07G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITGGGRQRALPLPPKGALLAAATTASVLSILCVLSFTDSLAYLGFQARSVDKRESSRRYLYWGGAVDCPGKHCGSCAGLGHQESSLRCALEEALFLDRIFVMPSRMCLNSVHNAKGILNQSNAASDKSGGWETGSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEGGDVAHAQGVSRQELKDNPLYSNAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPTMPARKLRDAANKMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEYIKKRIAKWIPRGHTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPIIENNYQLFMVERLIMQGAKTFVKTMKEFDNDLSLCDDPKKNTKVWQEPVYTDD >OB07G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16383360:16388668:-1 gene:OB07G29480 transcript:OB07G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPRQIPEPEAEEEARPNEASIPEGGEPELGLDKSFGFSKHFFAKYELGDEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMLIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKATLVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >OB07G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16395891:16396298:1 gene:OB07G29490 transcript:OB07G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLCVYVCPWESLQQQHSTASDACKVSKGKKERKETLCNERCNAMLLPAAAAAAGLGLPWLPRELSWLHPFHFHFISQYSSSVNCSSFCTSFQVFWMVATTFSFDLCYYQTSIGCAVEKLKRKPINRNHFLI >OB07G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16396745:16401113:-1 gene:OB07G29500 transcript:OB07G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26810) TAIR;Acc:AT2G26810] MADSGGAGERREEEEDDVVCLDASFFVDRSYEITTFSFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSVIELGSGVGITGILCSRFCKEVVLTDHNDEVLEIIKKNIEMQSCSGNDNSVLTAEKLEWGNHAHISNIIEKHPAGFDLVLGADICFQQSSISCLFDTVERLLRIQAGKCRFILAYVSRAKVMDVLVLKEAEKHGMHVKEVDGTRTTVSNLEGVIYDIALQ >OB07G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16401387:16403350:1 gene:OB07G29510 transcript:OB07G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLVQPKKSAGGILLPETSKQLNSGKVVAVGPGERDKDGKLIPVALKEGDTVLLPEYGGLEVKLAAEKEYLLFREHDILGTLVD >OB07G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16404090:16407492:-1 gene:OB07G29520 transcript:OB07G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEFRRMLELFPVVRSRDYCAESGTSSNGTRQQPRAQDTTGGNKNESADLFMRKLKMAAEKKVGATKAELFCKTFEEVHKKLVYKELNIDAAQRFLNAYEKGS >OB07G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16409002:16411900:-1 gene:OB07G29530 transcript:OB07G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLALLVAAVAIVLSTTATASASAAAAANGTATSGCYSHLFTFGNSLIDTGNFIHYSTAPGPVALSPYGETFFHRPTGRWSDGRLIVDFIVERLGFPYWTPYLAGKSKEDFRYGANFAVASGTALNQLLFKKHHLNVASITPYSLAVQLGWFKKVLAMLAPTDQDCGNVIKARMVAERKEIMTRSVFMVGEFGGNDYVHPLFQNRTVEWVRPLVPRVVRYIAGAVESLVALGATTVVNDGLVAVHNALLRRRLAELRTAHPGVTIAYADYYGEVTDLVAAPARNGFDAGSALTACCAGGGPYNGNFTVHCTDAGATRCADPSRYINWDGLHMTEAAYRIMARGILDGPFADPPIMSRCHN >OB07G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16017195:16416358:1 gene:OB07G29540 transcript:OB07G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G54750) TAIR;Acc:AT5G54750] MPPATGPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >OB07G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16418403:16421552:1 gene:OB07G29550 transcript:OB07G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G05130) TAIR;Acc:AT5G05130] MEAPGDVVVSQLFDHQKAALGWLVHREESCDLPPFWEEDEDGGFQNVLTNQKTDERPPPLKGGIFADDMGLGKTLTLLSLIGMSKARNVGGKKARGVKRRKVEGAEESRTTLVVCPPSVFSSWVTQLEEHTKVGSLKTYLYHGERTKEKKELLKHDIVITSYSTLGQEFEQENSPVKDIEWFRVILDEAHVIKNSAARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQLPLERKNNGTGLARLQSLLGAISLRRTKETEGGSKSMVSIPRKTVLACYIDLSAEEREYYDQMELEGKNKLREFGDKDSILRNYSTVLYFILRLRQLCNDVALCPLDIKSWLPGSGSSLEDVSKNPELLKKLASLVDDGDDFECPICLSPPVKTIITSCTHIYCQTCIMKILKSSSSRCPICRRSLCKEDLFIAPEVKHPDEDSSVNLDRPLSSKVQALLKLLRRAAKEDPSSKSVVFSQFRKMLILLEGPLKAAGFNILRLDGSMSAKKRSEVIQKFGRIGPDTPTVLLASLKAAGAGVNLTVASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVVRLIVKDSIEERMLELQERKRELISGAFGRKKGGKEYKEIRVEELQMMMGMK >OB07G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16428463:16428738:-1 gene:OB07G29560 transcript:OB07G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPTRGEVLSLLRSLLRTARQFSDYNIREYTCRRATDAFRENRALRDVAVAAAAYADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >OB07G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16430558:16430713:-1 gene:OB07G29570 transcript:OB07G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQNLTHIYALFVNWPAHLEVLILYLLSVAPSVQTSTTKWLSLCHVYSLS >OB07G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16431304:16431498:1 gene:OB07G29580 transcript:OB07G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSTLLFEKKQRKYPALQQRLKGALQQSKRGILLSSTSQFQNQKKKSLHMPSIFHVQTSLIR >OB07G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16436327:16439015:-1 gene:OB07G29590 transcript:OB07G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSMDYWLGFFRGAGDNIFDAIDAAITVAASAHPAALRSRRDAIAERLYTAHLASRAPGAPPQLPHPEGAASVPSLCSSDRAEVITDDGGVVPRREDPVAAETERIKAVLLNDQEKSEAALLELLQRLQQLELTVDTLTVTEIGKAVSSYRKHSSKPIRHLVRLLIEGWKCIVDEWMSSRDAIVDHTPQSMHPSGLERHDRGLTSPSMDDGALFATPSTSIRLSEENQGSKFFDGTDDDGSMKSNSGKDNSRMYTRNQEPARRPLPPMTQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIATMLARPSNPEPVPGRTQPRPKQHQDASPAQGKSLPFDKPASHHDENSVRAKLELAKNAKLELSNNAKLEVTKRKLQEGYQEFDNAKKLRTVQVVDPQNLPKQGNRNWQPNGKPRNNSNLNNNRNWSR >OB07G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16452110:16456699:1 gene:OB07G29600 transcript:OB07G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTQNDVLWSGPLRPANFIRNKFPTYKKSLNGIVVKLADDPVIPSLKEIVAKETEDLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKNVLESLRSRVAGKHKDEIEESFSMVDVLAVQLSKREDELLQQKTEVAKIATSLKLKNASEDARRIVEEERSNARIEIDNARAAVQKVEQLVKEQEIDPQINEKQDEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQRYKENDMSSYELEGLESLGSMLHLVAQSDGFVDLSKSRIQWFRVQPEGNKKEIISGAIKQAYAPDPHDVGWYLQAEINHCGQILVVKTAGPIDPAAGLVDCVETLLRNPETEYNVVVLQVNGIKQPTDSIHVLTIGKLRMWLAKGKTVIAKEFYSSSMQLCGMRGSGDAAPQAMYWQPRRDLSLVLGFETARERNCAIILARRFAIDCNVSHLPQETHHILLLYLDKFRLFSPKISLGQCYGQ >OB07G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16458433:16458567:-1 gene:OB07G29610 transcript:OB07G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVRVVEYPDAIHGFHAFPELADSGKLVEEMKLFVREHSRTKRIA >OB07G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16461330:16461666:-1 gene:OB07G29620 transcript:OB07G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERGGGGRREEEAEEVEDAAAADRPYPVVGAVPPAAAALQGRPGGERGGEGGAPAAGCRAEAMRSMNRYGEERQEWVKSELAAHGYVECEIDDDDNTCTCGGAYTSDDDEEG >OB07G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16462989:16468637:-1 gene:OB07G29630 transcript:OB07G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGRRRRVALPWTVRLRLCGLEAAIDVAQCRDGGVNRSLFSLFDRRTPADPRPDATGVGSTDITVDASRGLWARVFTVAERSPSPRPVIVYFHGGGVTLFSAASRTYDALCRTLCGGLGAVVVSVNYRLAPEHRAPAAYDDGEAVLRYLATTGLPGHVSPVDVSACFVAGDSAGGNIAHHVAHRWTDATAASNSPDHPARVILVHPIRLAGVILLQPFFGGEERTEAELRLEGVGAVVNMRRADWCWRAFLPEGADRNHPAAHVTDEADLAEGFPPAMVVVGGYDTLQDWQRRYAATLRRKGKAVQVVEFPEAIHSFYAFPELADSGKLVAAMRAFVESNSDA >OB07G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16467570:16468694:1 gene:OB07G29640 transcript:OB07G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWSGPSLTSGLLRPDDFFHERPDFVDELPGVVGELREDVEGVYGVRELHHPRRPPLPPQHGAVPPAPVMQRVEPPDHHHRWRERLRQLVLRATVVAAGDVRGRVVPVGPLRQERLPRQVRPPGVQHRRHAVQRALRFRPLLAAEARLHEYYAGGVVGTVRRRRRVRPAVGHVVRDVAAGAVPGDEAGGDVDWADVAGEASGGEVTEDGFPVVVRGGGPVLGGETVVDGHDDGAEAAAQRAAEGVVGAGSRGEQRDPAAVEVDDDGSRRRRALRHGENARPEAAGRVDGDVRRADAGGVRPGIGRRPAVEQGEERTVDAAVSALRHVDGGLEAAEPEPHRPRQGHPAAPAVRGRHFLATIASVSLGKLNKIAG >OB07G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16471716:16472333:1 gene:OB07G29650 transcript:OB07G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MNI3] MATWSKAASSSSLIILAIFLLSLAGVAHGRSSRRLVRSYDEPCMEMRLYLHDILYDYSNSTSNSTSAAATRPTALSTAAPSAPAGGTFFGQVVVFNDPVTEGRRALPPALEETEVRAQGLYFYNGKEAYNAWFAFSVVFNSTARRGTLNLMGADIIAEKTRDVSVVGGTGDFFMARGVATLRTDAVEGFTYFRLQMDIKLYECYV >OB07G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16473486:16476757:-1 gene:OB07G29660 transcript:OB07G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12 protein-related [Source:Projected from Arabidopsis thaliana (AT5G40660) TAIR;Acc:AT5G40660] MAAAALAGRRLLLQRCAAGGRCRLLGTAAEASSSPGGSEEAGGAAGGDEIYLKKPAAAVTTRDETSVAMPMSFMTGSVVGKRFYREATVRRADDGNGWTVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRKRIIDNLMKKFHQDLVFCRSPADNELTVGVHQRQQEKIDPILDWVNTEFGFKPVVYTSFFGGHQDEGLAKAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGRLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAAVFLLLSWQL >OB07G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16477707:16480620:1 gene:OB07G29670 transcript:OB07G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDLLAPPFGDLPFQPAPGDGFPDFPALGGDDAFAFEDFDLEDLDFDFDVDVDLLSPDAPPPPPPLATSSSSAGSPGCGASSSSCKNEESAESSSRSAVGGSDGGGGKGGKEDEARRRARLVRNRESAHLSRQRKKQYVEELEGKVKVMQATIADLTARISCVTAENAALKQQLSGVAGAGAPPPLPMYPAVYPLPPPWIHPAYAMRGSQVPLVPIPRLKTQRPASTPEPPAKKARKTKKVASVSLLGLLFLMMVGVCLFPAINRMYGGIDVGEGAVFGPSHHGRTLAVEGPQNSVSNGIDQKVTQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSHLSNQGKEETSLAIPGYVAPLEAREVMDSAKGMRNELMALAPGDRSIYHEDDGMQPQWFSEAMSGPMLNSGMCTEVFQFDLSPTPAHANGIVPVYSGSMTNSSQNCTENLPSGHVPKVKNRRILYSEAIPLQGSTANETDHFKAPPKNQSQSHASRKPASSVVVSVLADPREASDRDSEGRMSSNSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >OB07G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16486142:16490687:-1 gene:OB07G29680 transcript:OB07G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGGPVAVVAAAAPALGISPEMYPTEDDLAYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHHAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRAFDRALRALPVTQHDRIWPLSLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEEGAGSDGEDEAGRKNGMDKLSKKFVAGCWLNDEDDTDSRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPTRQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQMKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFDEAVKQAPPQEKKALYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRARAIYVHASNYADPNSHPEFWKKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSAAPPPAAAPANRMMNFVSAGVQAQAESSRQPQAAAAANNEDIELPDESDEEDDVQIAEKSVPAAVFGELGKRAAENREEESSGAQENEQLGALERIKRRRQ >OB07G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16496976:16497137:1 gene:OB07G29690 transcript:OB07G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVGAGSDLARVRRDRIIGVDFLSLRCSGARRNCSIDRNIDCCSLIRDSVAIYP >OB07G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16498343:16501781:1 gene:OB07G29700 transcript:OB07G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVCGISLYFPIFGYLSKLHGSYGPVVRLWLGPTQLLVSVKDSSVIKELLTKAEDKLPLTGRTYNLACGKLGLFISSFEKVKSRRESLKLFLDEKLSVGSIGSSFKIIQVVLDRIDSIIARDFLDCRSFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYPLTPFWKPDYRRYRAQCAQLKILTQVIVTKSRNQNGVLSFIDHSSHQRSERTINDPCRGVSHVDGVISRPLNEAAEGPLSSEEEICGNIMGLMLHGISTCANLIGNILTRFALYPNLQCQLHSEIVADCSELSELKLDDVLRMKFLLATVCESARLLPAGPLLQRCSLQQDVNLNSSITIPAGAILVVPLYLVQMDTSTWGDDACQFNPNRFLEKNINLEEILGTAHKGSNGINLFTNECDETESFLPFGSGSRACVGQRFAILGIAMLIASLLRSYEVQPHPALSKEMESLVDSNSLHHLPNPKIILKRRSI >OB07G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16505818:16507772:-1 gene:OB07G29710 transcript:OB07G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKISLLCFLVLASSLLHCARSDGSDSRLLKGINSYRASLKVPALSENNNAACLAEQLAKQFKGQPCTNTTGANTVPGTEQQFPDYPKYLDHCHLNASVTEDGQVMPACVPGLVPDVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSTGSGGYSPAPPGSNWAPSVHPFNQLILLLVAIVCLLMM >OB07G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16510400:16532710:-1 gene:OB07G29720 transcript:OB07G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEADLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTTPQCTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNASANIAVAVPNQHLDPTTDAPGSVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVAPHLKTPFVELKGAQVKTLSFLTYLLKSNADYIKSFEESICKSIVNLLVTCPPDSVSIRKELLVGLKQVLNTDYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRADLSLPQLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYEKGIDQQSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPMEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQNQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKEEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMDVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLNSLLNLRENVSGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANADTNLQDEKDDFVIDLCRHFAMLFHIDSSSSSQSGYAQPIGSSLSSSITMGSRSRNNTSSNLRELDPLIFLDSLVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGMVRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDILCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVSFLQEALQIAEADETVWVTKMMNAKIVMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRSKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKQWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAADYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQLSSSVKDEGITGAITEGFTGQSSSNMAAGSDSYFNGLELVSTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQENENPNQIGDMLSPVLGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTKALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPELDSSVNSRPTVDPTVISNMKTVLKLISERVMVSSEFRRSMGQILQALLSEKGTDTSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPLSEQEEWDTKYLQLLYSLCGDTTKYQLTLRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTAGPVPDRMIMPQQGPDAQENLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKDNVIPKAQVEETPKLRLIQSFFTLHDKSTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANIAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDAQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRNEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKSEMGRNMLAQQRMQQAMLANNAANNLSEGNARTSNLGGGNLASDNQVHQATPSGGATAPHDGGNLQGQEQGSNVEGGTSTGHDQGQPSSTGTDGSQMPVRRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVADIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKSIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVAVAPGMINPLDFQQKVINNVEHVINRIKLISPHYLADEEENSTEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >OB07G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16535255:16535974:1 gene:OB07G29730 transcript:OB07G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIEEETKAAAAAANKKAAAPAAKEKKKATSSTSVGEMAADTAFKSIGDVLKLLPTSTVIIYEVLTPIVTNTGDCHLANKIVTPVILVLCAFFCAFSQFTDSYVGADGKVRYGLVTARGLLPFGGGDGGDAGRDFSRYRLRFSDFVHATFSVTVFAVVALLADADTVSCFYPSLKDQQKKLVMALPVVVGALVSVVFVVFPSTRHGIGYPPGAPPPPPPLSRRSDRSVDRFACHATL >OB07G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16538926:16542316:1 gene:OB07G29740 transcript:OB07G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:51 kDa subunit of complex I [Source:Projected from Arabidopsis thaliana (AT5G08530) TAIR;Acc:AT5G08530] MALRRALLRSAEISPDRKAVFEHLHSLSRAQPTRSLTGAGLCPAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYGAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLYCISGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKIGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >OB07G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16542754:16551074:-1 gene:OB07G29750 transcript:OB07G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGILKAQASLARSVSKARVSINLQDNEISRERSKLGSTAARSATTAAAKKSAPATQQHHPQQNGGGEGRRRSVEEVLREVRADIIGLQNVRAEEERGMSPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKSQRVADQSDFRFELGNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSGDGPHILAGGLNALDGTDYSDERWADIVKYYEEIGKPTPKVEVMKFLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPDSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDTKETDEESGEQGQRVVKINKKSSKKGLWAAK >OB07G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16557374:16566618:1 gene:OB07G29760 transcript:OB07G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLVNYADPLFENGSAPIEKMRGQPSEFGKTARSSPLNITSNFRPPSGFQNYYPVLAADPLEYKPNVKPSMFGNQNQSLFNDVQAASSPPLSNNRLVPGTGRLRPAFGGGASPAVLGDESRYDNSAQSIMALQEMSEHMRPSSQRFPSPSQSRSLDHNISKRSRSPTLSHQDADGAEAHNNAGANARRLIDYRDSLFDDGMVETSKRMKSPSLEFTNLIKSPSSGGDNTRPSPAGSRGNNAVQNRHSRADIQKASSSVPKVENQVQSHIGDARSPPYQSGSYSNERNTAAVSPPKTSTPGASKRIGTPPLDFTDDDNMIPSTETEREKQAKAKRLARFSVELNRPVDNINDFAKPQKDSSDKHKQSSSMGKITTESNDGTNERSMADADSRGLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNQTTELLAVKKIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRREGVLFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKAVYYSVLSFESLKGSFSTQLRRQALASLHSGLQNSQGIPISQVVEWLAMEDEDIESLVEYYGFGLRQYEELYLVKEGPFLNSETDFSSGCSQLVHLKKSQRIINDVSSGPACASTCQKETVAPYSGGFASPTRTREQVKTQPSLLAKRDFGMPFTASVSPTSARQTTSLYSGPFSPKAGNKQFSLPSSSPISPTSGKKESIPVLFSTPSPHTSNQTIFSRTGWIDEQRGASPKAESNTKVADDFIPEDQDDSVVGFPQGQPDVLWPQANIQQDNALEETNFLRPLADVSLNYSSMHGEENEFRPDSSGIDMCMDEESLSDRQVNLVQPESFADSQSSDSDHEEYDDHKIGYRAADNVLPVVVSPKKTVSNERLKIILRKWRQCAMDKRFLREQKNALAIAALSSLSLGPPVHQTAVVPLHTVHDLDIRHAFEERHSRQQLSLSPLNVSELAGPILTERNPDARCICWKLLVLVPPDNMEFKNSNYASKWLLKKIMGSGNDDAGLLFSSTDLSIWTNWNSSPDTCCLSVARASDQQVIGNDVAYGTNCIIFLVSETIPWEMQKAQVSSLLASIPAKSNLPLLILSGDTYTEEYDYASRSIIDSLGLSSLSEEKIASCLVVFLVADNMEGYANGFFDDEKLRGGLKWLTKNMPLQPDVTLVKTRELLLNYLNPSLELLNSRAAPQVCPEHCISVYNKSVNQLAEEVSAAAYTASNQCPAPEINLLERSSSERMFAEMFLPSMGWSLPSRIHPLLVTIKSCKLPEFSYDLSWLNQGSHMGSQIQDQKLYLEECLTKYLTQSAHLLNEAQAATEVKVIVQKGVGLELRGSHYRLVPRWVTIFRRIFNWRLARLSTGEFSEAYVLSQNLYQTSSADPNPKFPNRGGTQHDLSASSNTTDDEEVPILEDRSMTPSVSSGLSLDEIIEISCDLDAVSVPPAKPLPPQLPTQLLHEPQPPAATNGGVNGVGVLGAGDGMRMRMPRRPELKDLVPMERDDKLARLLEQCSKVQDRIDDTLSIYF >OB07G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16568481:16571922:-1 gene:OB07G29770 transcript:OB07G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNCSSSGAPIALHRVRSDLPIYVPSEASHLNRDAATELPSSSSGSPTEQHLSCTAPSPTHPLLGIGKTSETPEVKESGRAVSQPAEAWHQREGGVNMDQSVQRGIPAVSC >OB07G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16578370:16580781:1 gene:OB07G29780 transcript:OB07G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRGAVAGTAAAQNPRKNYWTNRAYVFYGHSRDFRDVRVEDLPNCARVIAELPPQPQPMSLVDVELWVIRLFGLHPKTQDLSIKGFCSDYCLPVLVPDWYNGYWETFDCLSDESWASFANKGRMSIFILYVDSSEIKHYGSLLKSIPGDCSQLGTVVLPEQKTLTHFLRLDRISSHLAQDLTMKLQKMSAYLAQHYGHQFSCAGAWRAKLKALEMRFGTFYDSYNYAPRLLKDIESKPGSFVDIKDTEVAGCKDYRVLHRIFWAFAECIRSFMCCRPVICVKGTPLCGKYQGVLLTALAFDANDHSIPVAFAVVEGESMESWLWFLRNVNLAVVDWRSDVCIIHDYKGELLDAIEELCDDPRDTNPWRYIKTRWCIEDLAENFFTHFGDMKLVMLFKRLCHQKRSSKFAKIWEELDQLTLKYTQEKERGASEEIQQESVEHGDTEFVEQSPCNHLDSVRDEDARNSASGRRNKITRFSEWIYLKPMAKWSLLYDTNGARYGIMGTNIADLYKDNHVMKGLECLPLSGLVVTTYLRIKEYFNIRSAAAKKSIGNPSIKFPEFIQDEMKPQLKAEAHHVICMNNRDKNVFSEGDFKVQSKEKHEIVYLTETETSYNKYSVQSTIRKTAQCSCNKPKLLGRPCSHVIAVCCTFGVSPDEYMSPYYTLSQLANTFSGKFKVSESFRNYTSEQFSRAPSFSAFMSGNTPTWIPDKKLEFGLPVSLTSDGTHNRVYEEQRCNTESGSLVADNQGTENCSLGETDE >OB07G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16583697:16585495:1 gene:OB07G29790 transcript:OB07G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPCQKVKAKDGERKFILYVDSSEINNYGSLLKVIPDDYSQLTTVVLPEQENLTRDYTLDCISHCIAEDLTMTTTQIVAHFARNYDKQISHARAWRAKQKALEMRFGTFCESYNNAAELLKSTPCAEPFSFVDIKDVEIAGCKDFRVLHRIFWAFAQCTQAFVYCRPVICVKGMPLCGKYEGMLLTALAFDANGYPIPVAFAAIEGESKESWLWFLRNVKHAVVKERSHVCIIHDGKRELLNAIEDLQNNPQETHPWKDVKSRWCIQHLAENFFSHFHDRELMMMFKRLCQQSKQSKFVKIWKELDELTLKFMIDKEGGAGREMRQESVEPCEPVFLAQTPCNQLNLEENNRRTNGSRNQITMFSDWISTKPMEKWSLLHDTNGARYGIMETDINKCNHVLKLKGIEWLPLHVLVVTFKRVTEYFKNRSAAANKAMGNPCMSFPESVQDDMNAKTQKAQLYQVICINTDDTKVLSKEERQIFKVRSTRKEVTVRTALKYIHNTKNSGCKTISIAQCSCNKVKLFHKPCSHVIAVCCQVGVSPDTYMSPCYSLPYLVKTWRWKIHSEGYYSLDVRNNRFDIMKMPRGPNVWSVAFLTF >OB07G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16588923:16589924:1 gene:OB07G29800 transcript:OB07G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MNJ8] MLVGGASGIRVDVIRLPSASPFPAFREAPAFRNGEECPARGSPEGRVDIAMTLDANYLRGTMAAVLSILQHTACPESVAFHFLAARPDRPELVAAIRAPFPYLEPVSFYRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPADVRRVLYLDSDVVVVDDVRKLWSVDLGGHVVAAPEYCHANFTKYFTDAFWSDADLRSTFAGRRPCYFNTGVMVMDVDRWRRGGYTRRVEEWMAVQKQKRIYHLGSLPPFLLVLAGDIKPVDHRWNQHGLGGDNMEGRCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDYLWAPYDLYKPAATALEE >OB07G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16589589:16590068:-1 gene:OB07G29810 transcript:OB07G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIFFFAFCVTCMHARNTYISSWIDLFLLSLEHRAPAAAWLGSPAAWSLLERGGGWLVEVVWRPEVVDGAGLPRVESQPWLPLAAPVEEADRARVEAPAPALHVVAAEPVLVPPVVHRLDVAGEHQQERRQRAEVVDPLLLLHRHPLLDAPRVPAAAPP >OB07G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16592111:16596835:1 gene:OB07G29820 transcript:OB07G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRARPSPEEVVRSIKESLLALDTRTGAKALEDVEKNISTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHVDLLDYLVVCYKNLEVALNCGNMLRECIKYPTLAKYLLESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEGAVSEFLFSHYEQFFVLYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVSYLNIMIGLLKDTSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKHLDNLPTGKGEDEQLEEERDLIVKEIEKLVHSSV >OB07G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16596724:16597916:-1 gene:OB07G29830 transcript:OB07G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLAERAREAEAAGEAEVDVAAWFQRVPQEAITFATFGRRDYHDGSVVFRLQDELAGYAAEAHSKVYIPGYRFLPTRRNRRVWQLDREIRKHLAKFVTDLQSRKDDDDHVDDGGGGGMREFMSFMAPAMTAPEIIEESKNFFFAGKETLTNLLTWTTVALAMHPEWQERARREVFAVCGHDDLPTKDHLPKLRTLAMIVNETLRLYPPAVAMIRKAKKDVELGGCVVPAGTEVMIPILAVHHDAAVWGADAAEFNPARFAADDAADGHHRRRHPMAFIPFGGGARVCIGQNMALMEAKVVLALVLQRFEFRLSPAYVHAPRVPMILSPQYGAPVIFRPLTSAAS >OB07G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16607895:16614205:-1 gene:OB07G29840 transcript:OB07G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein [Source:Projected from Arabidopsis thaliana (AT5G27395) TAIR;Acc:AT5G27395] MALARLGHALTRRFRHPLLLHPPPPSPTVHHAAVAHSFALIPASTCARGFASSSYNVGGVISCYQGRSPVYTVKVLELLFQTNHTRSMSTAAQAEPPSSSKPPAVSQTSSKVPVGARKVGLKVVMMSPGFIYEPYSIREPISFWKRWFTPSGWRRTKEDVILEMKSAYAVSRLRKKTGYTKKQFYDQAFKIYKEVNTLMARGDISTLRKMMTERMHSIIKNELKKRQSMWSSVSWELVEPAVSIRTLRARMIGLDKNDLDKAFVQLTLEFVTKQKFEAYNSKGEVVSGNNSKEVLVKDIWVFERSLFHSGAYWRVCGRITL >OB07G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16615530:16616841:1 gene:OB07G29850 transcript:OB07G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPEPDPSSPPPEAEAEREREHAGSTRFEAQLRALQSDPATFTADPEDADDFAAWRAGFSVDERNGEIEALCYESDVLEGMVDRLVPSSVENEVFWARYFYRVHKLKQQEDARSKLVKRVFAAEEDEEDLSWDVDDDDDDDEEQHRVEVQEEGSKQELIKEDTKPAAEDKEIGVIVEENKVEAVEESSGLEKEQMKADAAQPEVFGSSMVVVDKEEKEETSKSNIEETSDKKAVTEEPHSSTGDDAAKDGAQHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHEDKKESNRGSSPASKDELRKRLSVAEDDEDLSWDIEEEEDDKP >OB07G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16618326:16620257:1 gene:OB07G29860 transcript:OB07G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFVPREKLFKQQQYFQNLTKHTHLKGRFDVITSVAIPLALAGTSLFMIGRGIYNMSHGIGKKE >OB07G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16620528:16622400:-1 gene:OB07G29870 transcript:OB07G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMQCSRAVRGRGRNKRKWTADEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIEGILAQRLPGRGIKSSPHVDSRLKVLKRKYYAIKDMLALPDFSWDGTRKMIQCEKELYDAHCKDHPRAKSLYGIPFPYFDTFDAIYGKDRTGREEEEVSDEAIADMENENTDEMGDEDVDDDGVLAGPSGRSLDATSSFKRQKKYNSGAKRSRTESNLPSPRMVKDVHSHFQSAIQHVSTMATAMELFKDVHSHFQNVVQHANAMAKAMEMFKDAHDQFQGAVQNASTVASAIERFKDAHDRFQNITQRGSMVAAVMECGTDHTPQEKSMCEEPQQKAKVTAIAEIQKLGLAGSDVVYAASIFAKEPNQMEMFLALPEIYKRDYIVQMLNGGQSLRY >OB07G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16624598:16628624:-1 gene:OB07G29880 transcript:OB07G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNGTSDVDSSNKKDQLVLDICVICLEQEYNAVFVPCGHMCCCMNCSSHLTNCPLCRRRIDQAVRTFRH >OB07G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16628761:16632923:1 gene:OB07G29890 transcript:OB07G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09720) TAIR;Acc:AT3G09720] MEKAKLSSALFAGTHFNRKRFANDFARFRQGPSAPDVDSAAASSAPSPEKKRKRKSKAKAKKNKKKRAEEAASASDVVEGFSVFKGLAAKKEEEEESEKKAERGKSEDSEVERRRKEVEREIERAAILRKRFDIHISGQNVSAPLENFEELVSRYDCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELALQTARECKKLAMGRKFYIKVMTKDMSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDDQQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEIPSWIKALPKLKRRKHRVNRDPISTLPDED >OB07G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16631380:16635133:-1 gene:OB07G29900 transcript:OB07G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETASDHGGVDIPMQILVQSQPPPPPSATRHRRTHTGDSTTSSYEPQRRPVEHQLSSMHVDASDGGVDDGVAVVPERKVTLFALHVAVLEKAASHVGAVCFVWATVVLLGGFASDLDARDFWLVTAILLVEGTRVFSRSNELDLQEQPMHLPDAGAGEDEEEAPPLPHKAASPIRLLPLGGWLVEARNVSYVLYWLQLLSASACVALSLLRLAELRFSGAPAAGGGNHNAYYALMLFYVLALSEAVIFLVERAYWEWVLSYRRLIETVSGECDLGDAGAVPIKRFFYRAFSRSVEGGILDGTRMDLLSFAVELLSSDSGDEQLIGAHILRSSITNHDSAKRTVRKIGTSAATVERLVEMVSWKSPSKRRVRALAAEVVLRLAGKRRNLIRVATIPGAIESVSTLLDATAAGAGAASRADLALNEMGLHIMKKLAREHGNSAKITGTRGVLSRIIHFTRTSKAALQNAGEGSLPAKTVLRSLQVVKNLSSTPGHTGEAIRKEISDNVFVLSNIRKVLQHGERHGKMQLTAIGILADLAIDGDAKEKIGCTGGMIAHLLEMFVGSSSTPAVAPPAQAAAHIRLQAGEISSSRILLNLCRYSRSEHFLQLSSLTAAVPIVFKAIMVEKNSLLEVSIGLAIQITKLATPQLHKEIFGKADVPDTDIAMRLLEILKEHRTPKVKVPRMRRFVIELAIAMLRGNAELVPFFRSMELEKELRSVVRSTSELESFNMFSGSIGLSRHSSTLSSLVDDAMEIMQGLQES >OB07G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16636670:16638960:1 gene:OB07G29910 transcript:OB07G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNCFVRVVAPVERAGTALCTLAFTWATVVPLGGMFCPNRSEYQLFFRTRGALRPFSWNGVIVVICLNDVGEFLSSTRSKEFYGPFVYILMLVSLLLPPCLSQEFTSSALGVLFSIFVSDDSILSVDNYMWRFTNSSSNRGNANDHDKTNLKPTLNVFYGMVLGQGILYLVARKLEFFSFFPRRSLARLGGFRGQQGVKSVDMYYPHAFEKCMNASILAPKKMNLITFATNSLESGSRKEQLCGVRILYSLVNREPYDKQVLSKVANSTKTVATLIQMLGWTNPEDGQIRLLAAKITAELASGLQIITIPGAMNFVSSLLDNQNKQRIQELTIQKDSCYEENCWILKLWHQMTTQWSILEEEQWTESDILPVLGLVTLERLATYDRVNCVEISRSMDLIPKIIEFTSNSSERMRVNETCQKLLIDLSLKVLRRPTNIGGETGITLRRKISEDPFLLGNLAEILEDSSSSSQELRELTTDILIKLAMDETTKDTSNAECLVTYLTT >OB07G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16644076:16656027:1 gene:OB07G29920 transcript:OB07G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGGTNASGFSGGGVGGGGRDSSRLDASPYSASNYPLSSRRQQQLAPYKLKCDREPLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSHIQCKPDVIKCKEALKKRLRAINESRAQKRKAGQVYGVPLFGSLLIKPGVYPEQRPCNEDTRRKWAEALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSSSAGGSDNHRSNQWTNDVIEYLQQLLDEFCLKEVPPSFREQSSPALISGATQVKMKSEATPAVGDTDEPLVHFKWWYMVRLIQWHLTEELLVPSVLIEWLLNQFQERVAIEALELLLSVALGIIDSITLSQTYVRMFVEILVRRLSDAPAVDNPKRASISSVIAELLRYMVLAVPDTFVSLDCFPLPSFVAPDVYSRGALLKITGSGGIAGSKRQDAYRYLSCGYAVCSIQKRTYDLATVANPNFQAHGAAKVVQALDKALVTGNLTMAYSSLFNDLSDPLMEERWIKEVSPCLQSSLMWIGTVELSLICSVLFLCEWATCDYRDCRTSLFRNVKFTGRRDLSQIHLAVSILKNKMDEINNLSRSKSSSRTAVNNTVKGSLLNDTSLAASTVAGSSGLRNNAKNVEEKDKKDVFESPGPLHDIIVCWLDQHEVSSSAGFKRVDVLIIELIRSGIFCPQAYVRQLIISGITDKNDTMLDMERKRRHHRILKQLPGSSLFEILEEDRVTEEQQLYEMMSTYSSERRLVLSELSTGQSFDANSRGEYVSSSCVPKASDLLLASGGDKHGRVPEQVEDVKTLVSSMLRFPNPHSVEPEHCQIKTNPQGSSASTLTQVDTVDVKNDCEDCARTKRQKLDDRATTLQGFPLIQSDEEDIWWVRKGTKLHESFKVEPAQKSIKQTSRGRAKVVRKTQSLAQLAASRIEGSQGASTSHLCESKVGCSHHKPSMDVDNIKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSVRQLIEANEMTASKASNSISSFSSQSDDKTVLKWKLGDDELLSILYILDICCDLASGARFLVWLLTKIRSGMATSGQIGRSATHIKNRENQVCQVGEALVFSSLLRYENILLATDLLPEVLSVSMNRNFVLATARHPASGAFAYTRYFLKKYGDVASVARWQKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQVRQKLNVRNSRIVPNMKEIIQRQTEEKKGFAAPKSPSVEREDSYQTAHDIVLGLVDCIRQNGGASLDGDPSIVASAVSAIVVNAGHAIAKHLDLSGGNYQGVSSMGSSLSSVRHILHIHITSLCLLKDALGDRLSRVFEIALAVEASSAITATFAPPKIQRNQFQPSPETHDVYGNHTNELSNTGKGFVGRTTKVAAAISALVVGAIIHGVVNLERMVAVLKIKEGLDILQLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFNREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLSADVGDSEFAAMLEMHSADKGSKATTFIPLRARLFLNSLVDCRTPGAISGDGTSASESGEAKENELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSEVVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQIWKPWGWSSLLSDVIASKSTKRKLEVTSIEEGEVVDESADAKRPSKATPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIIARNGTKQSGLVPSGTEAASNKSSTRKGIRGGSPNIGRRTPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLAARIIYEDADLPLPPTNTTILRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPAFNSEAAEGLQSTLDHLELPGIIKRRIQAAMPVLPPSRHPCVSCQPPQLSLAALSPLQSSTSTSGPQQKGTSTSWVPTNISIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNNSSAVAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >OB07G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16659180:16662949:1 gene:OB07G29930 transcript:OB07G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTGGGGERSVSVRTRAQGEGKGKMAAPERQLNWFVHVVATVERVGNALGTLGFTWATVVLLGGYPSVLRRGDDFWFATAIVFLEAARMFSHGNRLDYQLFFSTRGAFRLLGWNGLLTVIVYFSVILVILSRYYQHSNSGILVALLVDMAMLVAIGQMLSPRALKLLSNPLRRAISLWSPLLAILLIAPCIDISKQKDEFQELIPTKIMWLLYLVPSFFVVLATISRLRLPCIKKLVDSVLSSKQLAWRQTILNLGMLSAIVVLMFIFELSPYAMIVYQLYALVVVSFGNFQIPAAGVRIVLSLIRLIQQDYTADGTSKDSRKNLNPSLNIFYVVVLGQGILYIMACLLEVFSFIPRRSLIRNGGLRGQLGVEYVNLYYAYAFEKCMGGAVLVPKKINLVNFAMDSLKSDSSRNKLYGVQMLHNFLKKEQLRTKTMKKLTNATKTVASLFDMLGWTSHADADIRLFAAKTTAELAGSLRVVQIPGATQLVASLLDTDHRQITRDHFLFIDSQQGREDLPIQQAGMDEQNSPVLKYLKQMAMYCLIPVDEPSNTEEQNSCMLRCWKRITKYWSIPEEEPSMDQDFLPVQGLLILERLANFDPGNCMEISRATGLISKMIDLTSYRNCMTSNNEGHKIMLAGLSLRVLRRLASTGGKLGVTLRQQILEHPFVLSNLAEILDDSGSSHELKQLAAEILKYLAMDRNTSEYIGQIRVIISSLMHAFLSRDPSSSTNSNHSLWITAGQALAMLAMESTNNCLVMLMEPGYVFIKELTTMIHDDRCKCIAAILLWNICEHAQAVLSTSDLKELSHTLREVLEGIMDAERAELEALIGLSSQICKVIPKDFVRELEHGQIKEKIVKRLVDVLNAHMRPSAHCPSIRRVVVQHAIYLMELNSRYANDFRKCRMEEALSMVEHTPSRSEYYRLFSGDAGLMEHSTELSTLVARAKELMGREWVRGISSVM >OB07G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16665714:16665997:1 gene:OB07G29940 transcript:OB07G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTGGGGEHSVSVRTRAQGEGKGKMAAPERQLNWFVHVVATVERVGNALGTLGFTWATVVLLGGYPTMLRPSDDFLFATAIVFLEAARYQ >OB07G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16666647:16668239:1 gene:OB07G29950 transcript:OB07G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILYLVPSFFVVVATISRVRLPCIKKLVDCVLSSNQLAWRQTILNLRTVFPYHFFRENCGTLPWTPQVVLMFIFELPPFAMMVYQVYFSGGVVRQLSDSSSGRAYHLSLIRLMSKKYFGTNAKQSSEKNLMPSLKIFYGVVLGQGILYIVAYLLEVFSFIPRRSLIHHSGFRGQLGVEYVNLYYAYAFEKCMGGAVLVPNKINLVNFAMDSLNSDSSRNKLYGGSDAAQLS >OB07G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16668686:16672538:1 gene:OB07G29960 transcript:OB07G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDITGGDHRVQIAANDSGGQCKVAAPEKWLNRFVRVVALMERTGNALGTLAFTWATVVLLGGFPTELHPNDDFSFATVIVFLEALRSSKATELQLAIPCDISMEPRGCNHIASFPNTSVLRSANAQNHFQKLTDLMDTVCSSLCDCGHGDNQQAAVPRFTNLLHSALGSKHMFWCRFILNSCMLAALGMLVAMVDPGRRYGIIVIDVCAVILLSFGNLQIPAAIVRVALAGLRLPPDGYSGDDEKNLRQSLTIFYVMVFGQGMLYIAAGMLEVFLFIPRRSLVRRAGFTGQWGVESVNMYYAYAFNKYMQGDMFAPKNIGLSNFAMDSLNSDSSNSQLYGVRIMHTFLQRDPARAQLLEKLNTSAQTMARLISMLDWSSGNDHETIRIYAAKVTNELANSLRLVSVPGTLQLVSTLLDADGKPKRGHPLLDTDDDKDPFNGATVRKYKRCDVAADEGQRQEPLVYTDKLLETPTRSARINEQRSICRSWRRRISEYWSIPKEQPLTDYDLLPAIGMSIVHNLAGCDQNNCVEIDRVTDLIPKIIGFTSFRSATVNSEEQQNILIESSLKVLQRLTSIEGEVGIKLRYKISKHPFLFRNLAEILGDNSRKQIPRKLVAAILRNLAIDRDTRQEIGHVQVIITKLIEAFLASEGPPSSDVDYLLPKISGQALAMLSLENSRNCFIMLKEPEFINKLKNMILIHDDKYIYVAASLLRNLCVHAQPELTESDLRGLSHTLREVLERIMDTEGAELEILIGLSSQICKVIPKEFAQELEHGQIKKRFVKRLVDVLNANMKPSAHCPGIWRVILEQSIYMMECNPRYANCFNEYRMMDALSIVAEMASRAENYMVFLGDAGFMECSTPLFALVDRAKELMGRQWLQSINSAN >OB07G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16669803:16671691:-1 gene:OB07G29970 transcript:OB07G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLRIAATSFLGICFLLLSPRISARFLNRKGCFDILYRSFMPTSPSMLVSLCNTFNDDSMRIFCCSSEFTVALLKLVNPMILGIREKIVVCQGLLLGN >OB07G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16673770:16674823:1 gene:OB07G29980 transcript:OB07G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWWQFCTLAPCHPLGANCKIAARAPQTFSIELWFVVTVSLFYPEDQRSKKICSYEGSTSYEGISKKSLGDNDSTQELRKLVAGILRNLDIDKDTRQEIGHMQVLITRLIKAFRNSDRTSSTDVDCLMPKVAGQALAMLALENVHSCLVMLKEPEFINELKKMIVNPDDKYIYGAASLLCNLCAHAQPEQMESDLKELPRILQKLFKEKCKKMRA >OB07G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16675145:16675399:1 gene:OB07G29990 transcript:OB07G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAHCHGIQRVILEQTIYMMECNSRYGNYFKEPQMVDALKMVEKTPSRVDNYMILLGDTGFMECGTPLFALVDRAKQLIGRQ >OB07G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16678752:16685825:1 gene:OB07G30000 transcript:OB07G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEQWMAAAFLVFRLKDEKQTRLRVQEPLMDVFLLQKKIAGYNLAKGIGVGKYIASEPFTVGGYEWAIYFYPDGKSPEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSALESSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSKHIGQLLTDGKRTDITFEVDGEVFPAHKVVLAARSPVFRAQLFGPMKDKNMKRIAIEDMEASVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRRVKPRI >OB07G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16686409:16690332:1 gene:OB07G30010 transcript:OB07G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSPPPPPSIPSGGGSLFRGYTKLCKGIAVILLLVHLLIQLFPSAVTYLALVPGRTIPFAWNLITGGYVELTIPGVIISIIGLLLFGKLLEPLWGSKELSKFIFVVNFATSVCVFITAIALYYTTQEESYLYAPLSGFYGVLTGLLVGIKQLMSEQELNLFVLKIKAKWIPSLVALISVIVSFFVKEFVSYLPVILFGIYMSWIYLRYFQRRLETGLKGDPSDEFSFSSFFPEFLRPVLDPIASIFHRLLCGRSERADGRGQTLDTTPLPGSDSIEANRRRERGQRALEQRLAEKLAAVKSSEGTSQDASDKV >OB07G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16690729:16700783:1 gene:OB07G30020 transcript:OB07G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFPAWPAMISEPEKWGLSSVKKKLLVYFYGTKQIAFCNYTDLEAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIFDSLKKEGDNKKDTTGTDVRPDVESITHNNNFDHGGCEEGSDLVDDNKLDSLPASSMDHNVTNNPGSNITTIESDRCVVNSAPDEPSSSFSKKSRNDVRQKNSCAFGNLTSPRKLRSSLVTELRTTEDSCGLMSNINQPCIDMISDDKKVNSFQHRCTGDNKPDSDSLPAREDDVLHSSQVTSSKPGDSVVVIDDKSLNSIANVQDIHHIDASKKEVKQNGTIDHSMSTTVTFKRKRKPHTNHINSPIISVAPKLDEEFQPKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALQDDTIVDERVISDNKTELAINANRCHKHDPYAGYERDHSADMPPSMDHSSKVDLFMPSGDTQTACKNKEYHSKVLSLDGEAALPPSKRLHRALEAMSANATEIKVQKSEELILKDCAASGASSPSNNSLEAIPEVSRSVMTKSTVSSSGHSLDTPTGEKHILHKDIPSTIPLDLNNACSQNSLKEKAVEEDHMDIKNIPLTVCSRADNDVCGKAPTYSVESKASGNESLEPNGGSADDFVKNTNESAEPVSQANVDIPSSNGKCNSVPCDDTRLAKPTVNVSDRTSASSLVTKISCIQSDASSQIFEPHNSSAITLKEHSHRMYPKGKSLSPDLMPMKELIAAAHTRRFSQPSSFIDSFLCSNVVPESSMNAPSLKEHSGGQCSPSNHITRSATDRIHTQENSGTIPFDNMEQKGLNKLSGHDEASSARRTFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIQCCRNQKGGVGNAFPSLIQAVLPRILYASAPPGNSAWENRRQCLKVLKLWLERKTISEYIIRHHIKELEVLNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLICTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEVSQMHVVKHRLVLEEVDGDHEMEDLAPASEAEGGAISSRQPDLTVRCTTTKQKVDSVPPLPNDKPPSPPPLPSSPPPLPRPLCPVFQDSHVQGALAADQVPQDPPRNTYNVQEQHPHSVANNRGNMEPCVVSSHPPAAYSCGYTGHANQMPLPPPPPPPLPPPPPVAPFHPPGPPFSGSSVPPHHGNNYHQPPSMPPPNNAYHLQPPPHPPFPNQYPYVPPEHQQSTQPWNCNSSYTERHQYSEHDRGHHAYDRQHHFHHRGHHFDDGGHRFDDGRHYFEDGAHHFDDRGHPFDDRGNYFDDRGHHFDERAVRGQLHHEIVDRGRFPPHFPPGPPFPDHFDGPSTPLQCGQPSKPPPGPGPGWSMPPRRFKYPPDPRHSMDHPVPHEGGWRRHGRHNHDKHPR >OB07G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16704147:16708399:1 gene:OB07G30030 transcript:OB07G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MNM1] MQPSRRHLLLLLLLLLLLGVASFITTSSASSPVSRTFTVDQRGGGDFRSVQSAVNFVPDGNREWIRIHVKAGSYKEKVTIPSEKPYILLEGDGSRNTDITFDAHAHTGIDELVTGGGSGNVSNSPTFYSATFIVLADNFVARNIAFKNTYNARDKSKPDQAVAALVGGDRSAFYDCAFHGFQDTLCDFVGRHYFRRCLISGGVDFVSGYGQSIYDGCTLESNMPPSMRRQPGWVTAHARLAAGSPGGFVVKGGSLRGSGRQYLGRAWNPLATVVFYRMSMADIVVPQGWQAWHSPDVSSITFAEVECEGRGANKTGRVAWEKQLDEEQVHKFVDITFIDDGWLSKQPSL >OB07G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16709120:16710143:1 gene:OB07G30040 transcript:OB07G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MNM2] MQQPLLWSCTLRLLSPLLLQMLLLLLRPSTISFAVAPVAETITVDGSGGADFRTVQAAVDFVPDGNRKWIRIHVKEGSYREKVTIPTHKHYILLEGDGCWKTAISFAAHAHAGIDHIMRRRHRRRNVTTSPTFRSATFTVLADYFVARNIAFKVHAFHAVSAQSRT >OB07G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16716985:16717658:1 gene:OB07G30050 transcript:OB07G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSALRVAVLAAVLVLPFAGVPAAAQTKKFCISQFAIASQACAVLPPSDPHHHHHDDDDDDDDDDDDEDHHGGDDDDHGGDDDDGGDSGGDDGGDDDDGDDDEGDDDDGDDDDDDDDDDDDDEHRAYSDCCRWLKEVDPACVCEALLRLPPFLTKPQHKYTVRVAKNCKLTYRCGGY >OB07G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16719860:16723673:1 gene:OB07G30060 transcript:OB07G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G03260) TAIR;Acc:AT1G03260] MILKDFLVWIKDNLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFVMSVILIICVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >OB07G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16724805:16727190:1 gene:OB07G30070 transcript:OB07G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARRTAATRARQSDDQIVVWGACVLLSALSLLLLVAVSSSGFGAARLTFAAGEISVLVRVGTSSSGGSAVDNVELCGADGDEYDRMLVDGEWVRDDARRPLYEPWQCPFVDEGFRCRENGRPDDAFAKWRWQPRHCSLPRFDAKHLLETLRNRRLVFVGDSIGRNQWESMLCMLATAVAGDDEASIYEESGSPITKHKGALSFRFRDYNCTVEHHRSPYLLRRGRPPRRAPRHVASTLQLDAIDSRARRWKDADVLVFNTGHWWSHERLQQLHCYFQEGKKLRLDMSIEAAYERAMGTLTDWVHREVSSYKTLVIFRTYSPAHTRASNSGGCASETLPELNSSRISLHRWPGMVTPAFEKSGTAAAATAGRLHVLNITLMAAQRRDGHPSVYNVAAAARTPAAQRADCSHWCLPGVPDAWNELLYALILRRFS >OB07G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16729838:16733827:1 gene:OB07G30080 transcript:OB07G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 1 [Source:Projected from Arabidopsis thaliana (AT3G53570) TAIR;Acc:AT3G53570] MEAQWLAEYPHQGADKRPRKRPRLAWDLAPPLLQPPKAIPMLYCGQELINGNFATAFLPPPPIYYPGPPRNFSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSDSIRVPDYKVTLRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKACEALQHPFFTRCHRRCGY >OB07G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16736613:16737134:-1 gene:OB07G30090 transcript:OB07G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYKQSSRHKRTPMDRTIKWSTMANFAKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDCASCLGAAVARMNATCGRAIGARALLVDCSVRYEQYAFVDF >OB07G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16742183:16742419:1 gene:OB07G30100 transcript:OB07G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSGLSSNSTNKKNWRCQKNGEKFTERKGYLTTGSVGPQILSYSSRRIMFICSQSHVILSLTCKSNPRSICQWKRVR >OB07G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16742607:16746437:-1 gene:OB07G30110 transcript:OB07G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G13760) TAIR;Acc:AT5G13760] MGAADNSVRFLGWSALFFFSLSLWVVVGPRQDAPERGRGGGGGRAPEGEPEAKEREVTTQAPPPLIPVASGGVEPPPQVATFQPVLQTPPQVAFASLNSRVYTNGITLCVFLVHLAAATFAVGFFVFRAVKDIMQHPRSRNARRERSLLRGWLPPVEGAVVLSIVLAFAWQKAVRAWPRAMVRVILWSGFGVTLAVGALLMCFSMPATVGLGVAMVMFSIGTGLYACWATRRVAFTEQVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANYRFPGLTILGLVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNAVFEFGNSWAFVHIAAYGRGFVQASRSTWEQFERRPGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHRRYTATVSLLAFFVGYLMVRSCTPILSTRRSNASFRVAQTRIGMALPQACVGCYYVCYAENPMSRLFDRTIPDRLRKMEEGRDPLVPTPRFPHQPA >OB07G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16751879:16755151:1 gene:OB07G30120 transcript:OB07G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFIALQLTLAAVVAAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPKGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPDGTGKVNQEGVDYYNRLIDYMIKKGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFLTFGDRVKDWFTFNEPRCVAALGYDNGLHAPGRCSDCDAGGNSTTEPYLVAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRLPTFSDEESRMVKGSIDYVGINHYTSFYMKDPGPWNLTPVSYQDDWHVGFVYERNGVPIGAHANSYWLYIVPWGINKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRVRYYRDYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIIYVDYKTLKRYPKDSAFWFKNMLSRKKRN >OB07G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16755507:16757103:-1 gene:OB07G30130 transcript:OB07G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPASAAVAFPSFADVAGAVALLFLADSSPAPSPSPPPPSLSDELSSYSGSSASYSGTSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLARATNTLFQGELSKKRKGVWIHIDDEEDESEMDSAVASEGSVVTGAAAAASASSTATSRRSQRPPRASGGGDQLPRRADSIMKWLSRPEAVPATETAIRAAIGDNAGTSKALRWLLTRRGCLRRSGTGGRRDPYVYMVTG >OB07G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16758166:16761310:1 gene:OB07G30140 transcript:OB07G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHASASSSRCRLVYVVLAALAAVPFLLLLLRGGASPSALCLASARSGPAARRLVYPSVAWSRVPPLPLLAVTDETTPPDWSHPGAALLTLADQARLGFRSVAFLPERGHARKAAAYLFAVQRGARVIYDADARNAVQGNNLTRHFDVDLDQRQGGDVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEPFDLRFDADAPKVALPQGIMAPVNSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRVDNVHAHPFDDEKDIHVNVGRMIDFLMEWRSYKQTLFERILDLSYAMAEDGFWGEKDLQFMAAWLQDLVSVGYQQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIDNLIKWRKHLGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEQSNSDLAVEVSNLAQAYKSLPKVFDRFSGAEGFMFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFVNQADMVKKAIASFPFQYQANYKRSVSEDKIIHCNSEIFYIPRRHIGDFSYLVQAIRSLDIHHSIAVPMLFLAMDLPSNFESKALTKLVYRTNMPSNATFATIYSAQAHAVYPMKVQNEKDFVELIRAMASGDPFLMELI >OB07G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16760712:16767257:-1 gene:OB07G30150 transcript:OB07G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFATATGAAQKKLGVTVPLLHSFLLSRRQPAAGRGRAAAIRCTVAGNGLFTQTKPEVRRVVPPEGDASRRGVPRVKVVYVVLEAQYQSSVTAAVRELNADPRRQAEFEVVGYLVEELRDEETYKTFCADLAGANVFIGSLIFVEELALKVKAAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFAESMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALRGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDANDKLQDPDAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPAQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAELKRKTKVEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQTLTPYAPLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEEGIYSLPSILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGSITAFVEKTTNSKGQVVDVTNKLSTMLGFGLSEPWVQYLSKTKFIRADREKLRILFTFLGECLKLVVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMKSAKIVVDRLLERQKIDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQAQELGVSVREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDR >OB07G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16765504:16766067:1 gene:OB07G30160 transcript:OB07G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVKSELFRCDIWLPLLAETMQLSRYIKYIQMYRNCVEFRTLVFLLSSAHLIALVQSCSTLLCSACDFPASNRGKHTHQAQDQLQVQRITCKHNISDQKESGGVRPCLFWGHGRRTQWAPCRRRAPGAQPAAPPESGAGPGCCSATPPWSGTRAAARRCRGRRASAARRSPWGGPASRGLRRARTR >OB07G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16768424:16774323:1 gene:OB07G30170 transcript:OB07G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT5G13720) TAIR;Acc:AT5G13720] MARSCDALSAEKRHTVWRHGGALLLCSRVMMIRNGLSRSVFGLMVKVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWTGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEHEDSVMPNL >OB07G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16775427:16778738:1 gene:OB07G30180 transcript:OB07G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNKLRPFVRRFSPPDSRVLMIGCGSALMSEDMIDDGYTEIMNIDISSVVIEIMRKKHFNVPQLQYIEMDVRDMSEFCDESFDCAIDKGTLDSLMCGVDAPLSAAQMVLEVERLLKPGGVFMLITYGDPSVRVPHLNQPGCKWKIVLYILPRPGFKGKTKRSVLDPVPMTQSGRLPDGFAPEDPDSHYIYVCKKLQGSTGTSSPTIQHVNTQDTEE >OB07G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16778988:16783014:-1 gene:OB07G30190 transcript:OB07G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 40 [Source:Projected from Arabidopsis thaliana (AT3G63470) TAIR;Acc:AT3G63470] MEELGPFRVMSDGKKLYRNPYSWNNVANVLFLESPVGVGFSYSNTTADYSLMGDTSTAEDAYRFLVNWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRHSSAAGTGKPSSSPINLKGIMIGNAVINDWTDTKGMYDFFWTHALISDEANDGIAKHCNFSDAAPDNALCDNATTLAGEALQNIDIYNIYAPNCQSAGLVSPPVAPSVDRFDPCTDYYVDAYLNNPDVQKALHANVTKLDHPWSACSDVLRSWVDSASTVLPIIRELLKNNIRVWVYSGDTDGRVPVTSSRYSVNQLNLAVTDKWRPWFSNTEGAGDVGGYVVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQNFLAGKTLPDCQKCGQA >OB07G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16794818:16795701:-1 gene:OB07G30200 transcript:OB07G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G28420) TAIR;Acc:AT2G28420] MGKVSKPKRDAEDEDERNGGGGEAAESAEQRLYEDVPAMPLMALNHISRLCKSVDASLRFYVRALGFVLIHRPPALDFTGAWLFNYGVGIHLVQRDDARRAPDVNPGDLDPMDNHISFQCEDMDAVEKRLSEMRIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRDRHNPPLRMDAAAAEA >OB07G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16797227:16806820:1 gene:OB07G30210 transcript:OB07G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDLHFSGSANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAAEGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDAMVARLEKHTGPVCGLEFSELTPNRLASGADEGELCIWDLKNPSEPVVFPPLKSVGSSAQVEISYLTWNPKFQHILATASSNGMTVVWDLRNQKPLTSFSDSNRRKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDVHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGSQVATSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKSQESLSDEERETWSFLKVMFEDGDVARTKLLAHLGFNPPQEPTSDSTDELNRTLADTLNLDHGTPTGTSDAQFMVDNGEDFFNNPQPSEASLAEEPISTNGQQNEPEMPVNVVPSDPAVDRSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTISPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRNLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSGEHSHELAILRDRIAFSTEENDAASSSVHASSVNNSSYPTAQSSYTTPDPSQNLYQGAQQYNAPSNAYSDYQQPPVTAYGTYNSTYQSQQSAQMFVPSNTTPVVSQPNSTPAPAPQQTVKAFTPANLPGLKNPEQYQQPNTLGSQLYAGNNPSYTSGQSTPYQSVPPTTYHQPRSPAQFQTVPPVPPAVPGASVPGTIPGQMFPGPVASNQASRFMPSSNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPPTVQTADTSKVSAELRPVIGTLTRLFDETSKAMGGSQVKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >OB07G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16808345:16808755:-1 gene:OB07G30220 transcript:OB07G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTVETTRQLSLFQWGLLVSLQAVLDSYSPFLAELLASGFLSKRAIKKGDMHASLFFTVQLVAPVLTYYSTYCFLQLMANQLNNRVQDKKTSVDSVDDNTISHCVCTSNTYLNICTVSEHFCCRNKHQQETSLCSLHL >OB07G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16809770:16809991:-1 gene:OB07G30230 transcript:OB07G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSCRPCLTTQPSAIISLIFSSELRVLDLLGVQRVLVGEIMPGHFFRMNHGEIQVLFTIAISPGCSMWMFM >OB07G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16817676:16819305:1 gene:OB07G30240 transcript:OB07G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQHRIYILQFRKGEQEQEVSCKVSPPKGGAGGRRVMYYYHDYGGGGGGGKSGKTVQPRAFSMRRFFGLLLLSFVSVGTLFVAPVSFFSFVHSDEGGDAAAAAAAKGMAAAAAACSGMGNGSLCCDRTSTRADICFARGDVRMHSASASFQLVSSSSSSGNATAMGEEERIRPYTRKWEANVMATIDEVRLRRVSPGDAARCDVVHDVPAVLFSTGGYTGNVYHEFNDGILPLFVTSNHFRRRVVFVILEYHDWWITKPRLVIVSRTGSRVIENEADVAALAADVGFDVRVIRPERTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLARFREELVKAHQYLLAGGRRPRPRRDSHQ >OB07G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16817804:16818982:-1 gene:OB07G30250 transcript:OB07G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLPGRMKRKCVMAAPCTPTMASLALSSRYILHSSVVRSGRITRTSNPTSAASAATSASFSITRDPVRDTITSLGLSGEACFVGGAIGSAMEVDLAVAPRRFRAARRSRRSRYWMRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGNAERRDTTSPYLVIHQSWYSRMTNTTRRRKWFDVTNSGRMPSLNSWYTLPVYPPVENSTAGTSCTTSHRAASPGDTRRRRTSSMVAMTFASHLRVYGRILSSSPIAVAFPDDDDDDTSWNDALAECMRTSPRAKQISARVDVRSQQRLPFPIPEHAAAAAAIPFAAAAAAASPPSSECTNEKNDTGATNSVPTETNDSSSKPKKRRMLNALGCTVLPLFPPPPPPP >OB07G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16822798:16825157:1 gene:OB07G30260 transcript:OB07G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSADPVVTTATCANCHREIPSPNIELHSAHCARNLQKCEHCGDMIPRKLMDEHYNENHAPVICSLCQQTVERELWDLHKGLQCPQRMLACQYCEFELPAADIFEHQDVCGNRTEYCQPCRKYIRLRELIGHEIQFHSHPNVASESSSDRSTLEEESYPAEEQPARPKPPQGLHCKQLIITIAVAGIAILIGSILLKKNGWLL >OB07G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16825039:16827054:-1 gene:OB07G30270 transcript:OB07G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEDDPAAPVVELELPLCAPPPGSAPFDLEAAVCSHGLFMMAPNRWDPASRALVRPLRLAARPSDSLLVSILGAADSLTPLDQSSILEQVRRMLRLGEEDGRAVAEFQSMHAVAREAGFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMSAALCDLQLELRCSSSTERFQSRTPPIRECKRKRSNKRNVRVKLETKFAKDELVCLEDPNLATGTSNDTTNQQTYENSFSLLSATSETGSTAEVSLDHSELKLGNGLCLEDCGGDFPIPEELANLDEDFLAKRCNLGYRAKRIVMLARSIVEGKVCLENFEGICKMSEPALEGLSATPSSYERLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRKSTISSIQKELNDIYGKYAPFQFLAYWFELWGFYDKQFGKISDMEPINYGLFTASYLKKAIANS >OB07G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16829437:16834636:1 gene:OB07G30280 transcript:OB07G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:J3MNP6] MKAQRRKGQRRSEVGAEETKVGVSGNGNGRRTNQPRPRAPQPHHPPHARPTTPYPPTHSHPPLLHTHQSSSSSVPPPLFSSPLAMALTRLAVVAAAHSAAPPSSRRRRALFRHSCRPLPAPPGASPRALRASASPAAGDDEAPASPPPSDLGKGVENLVIIGSGPAGDTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSLIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNNKGQMSGIQLKRTDTEEESVLEVKGLFYGIGHTPNSQLLQGQIDLDNAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKPKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILNKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK >OB07G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16838926:16841799:-1 gene:OB07G30290 transcript:OB07G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIYQTSAGAAMLTDLTFWGLLVPFFYRDKFGLAFVTDGMHTLNAVFLLIDTFLNNMPFPWYRLAFFVFWSCAYVTFQWVLHASGAISWWPYPFLDLSSSGAPLWYLAMAIAHIPCFFLYWAIVKAKQTYFPRLFPHAYVRS >OB07G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16846053:16849877:-1 gene:OB07G30300 transcript:OB07G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49080) TAIR;Acc:AT3G49080] MLLRRLLHSSRHLRHRLQTLTPASTAASSSAVPARLPFFPLPSSRLLWPRLLSTSGRDDDPSKPWAFTLDSGDPDPFAGEDVEVPAGEDPLGASTAGEDPWAKDFRAEDSEKGDVFEEIFKEEAATATATAAAPASGEKAPASTDELWTLSGDDEKDPFAEAVLGEGLEGIGGEGAAIGDVDAEEDEEEAERKRQANRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLQEIEDRAIEKMNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIKPGDGKFIVNDKQFDAYFPILDHRADLLRPFTVTKTLGHWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >OB07G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16858186:16864118:1 gene:OB07G30310 transcript:OB07G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGIFRNDTTDPYEGAIPNWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAPDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEFEGIFDDEHMRCSLSPDSQIEDSGSEESTDDDTLDIKDSGFHDAENDADQELDDSERMLSGKLSETSACTAGDLYDFKVVDGDDSDAEPSAGDKGVETKVDVNDAQHSHLVENVSVNVQRSPDEKDPLNMVSSQDFPLSMGEILSSLDAGISLPGPGAEYSVDRHSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLNMSSAQFSSSRTMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLVDTNDRYQHIPNHLPQNFVQSGFDMNLAFCNQEKKQRNEQGSAGASHWRSIKQHVLPHGSSRPFSRKLSMDASSSDSREASTSMPADGSSMSVNIPKATEGVEYGRQPPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSATHDFSYKSSSPWNSPR >OB07G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16865773:16880848:-1 gene:OB07G30320 transcript:OB07G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVAADLNDILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFDDWANKQGLAPLDRKNTGVGMVFLPQDENSMEEAKAVVEKVFTDEGLEVLGWRPVPFNVSVVGRYAKETMPNIQQVFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTVVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSRESTLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASVNPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHLLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELDSLLNDSKLKPKVLLTYFDIRKGLDGSLDKAIKALCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVRSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAATMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQEVHTNGPILDETILADPDITDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKSLIEAYVEKTGSEKGAKILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKRATTVQSAK >OB07G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16882015:16882278:-1 gene:OB07G30330 transcript:OB07G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTHPTATPSSSSPLPPIRRRAPGLSPSSPLLIHPPRPRLRLSVRPRASSATPLLVVAFLLVRIRPPTRTHTSLSPPYPLLSPPLHTIP >OB07G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16891317:16893068:1 gene:OB07G30340 transcript:OB07G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVSVAAMSVLVLLVVAGTAAASSCPATPPDTGATLQVSHAFGPCSPLGKEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSPQCVLAPNPSCSPNSKSCGFSLSYADSSLQAALSQDTLAVATDVVKTYTFGCLQRATGTAAPPQGPPRLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPEGPTAADQDDAAARQRAPLVALLRQHDRHPRGEEGGVRPVVRAGVRPGDRRWHGARLGDDVHPPGGAGVPVPARRGPPPRRGPGVLPRRVRHVLQHHGGVAAGDPPVRRHAGDAAGGERGDPQHVRHHQLPGHGAGARRPQHGAQRHREHAAAEPPRPLRRAKRPRRVLARALHHSLVAGAAAAVSPLVQFFVAY >OB07G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16895096:16895548:-1 gene:OB07G30350 transcript:OB07G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSNTYVQAYKYLLYSDTEEVLQQDSFFAFLTTHLDPAVKPEQSCVQLLNRSCFFCCCCCCFSSSPRPKLCRSSKPKSTPSIKKQILRLPFRLPCLKFDHPFNLKKFKKLEKKLVTRKVLFIIYHLIKIKISIAKKLNKMKSQKLKIKNE >OB07G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16897407:16902763:-1 gene:OB07G30360 transcript:OB07G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTRARAWEATVRKAVQQHPAQPGGRRRVSPMSAADDSETASSSASSSSTGGDDGDGYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFRDGFMDGAGTYTGAAGDTYRGSWSMNLKHGHGKKSYANGDQHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCEFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRHNREKLLSEGSADYDINKLSTRLSRGNGDQLLADPNGWPKIKLGANMPARAELTARKNDLESQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQHDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >OB07G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16921246:16923509:-1 gene:OB07G30370 transcript:OB07G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G12320) TAIR;Acc:AT5G12320] MGVESEQPAAAPSTAEQAQDLIDAARYDDLEDVVALFTTGVPLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAISAAVAQAELDGVTVS >OB07G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16927779:16928517:1 gene:OB07G30380 transcript:OB07G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLGWLCVGPEQRRLRRRRRGARLVLWGGERRAAEAGRMAGEVMVEHAGRLVVCRADGFRLGRPAPVLAIEDRLEAGAPPFEYVKDDGGRTVIKVTEEFIVKAVTGRKPPGRNAAGGSGRGDKAADGDDAHGPALCSTPELRKHYEQLVGATRGRPWSPRLETIKERKGRRGGDGVVSPGRLSPVRLLGLVDKGIR >OB07G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16928533:16928718:1 gene:OB07G30390 transcript:OB07G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding STCKQAKLRFFTKSLIIIGCVAMKTEANFDWKFGLDAHIGCVSLVFQKNFVHSIGSIDLCL >OB07G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16929336:16932536:-1 gene:OB07G30400 transcript:OB07G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYHYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEVAERIGVKV >OB07G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16941027:16948613:-1 gene:OB07G30410 transcript:OB07G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT3G57060) TAIR;Acc:AT3G57060] MAPPFVFPSTLRDLERDDADVGDGEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGVPITDRIASHRNAFKIYSFFLLSVVVAEESAADNCNGPKVAVHGRKKNPVYAWNWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEETRNSLCRIIGAIATKHQRISQTSASVSYLIHKFDFTVVLLVEAVVSAEKRFGDGSLAISLIREIGRTDPKDYVRDSGGADNVGRFLVELADRLPKLMSTNLGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSNSHSLRLRSKHAMLEILIERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTTTFEATLEKYKEKLQGMEPPSPDKDELVNDSSLGEVIAGQDESVSDSCLPSSQEQKDQDPTIVDITNLEQIRALVASLEAGLRFSTCITSLMPTLVQLLASPSATDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYARKSPTETAKSLLNLAIDCSIGDLAALESLVSSLVSKGEISSSTVSALWDYFCFHINGVRPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACLALQRLSKEDKVKLTSTSSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPETFAAEIAKKSLNSVFSVLGTDCMSNENETQNGSMLSSIPATKLGRFLFIISHIALNHLVYIETSVKTIQKQKRKNDKSEPTTEDLQANASKNSEARDINAELGLGATADIAIESLAEKAEREIVSSSSQKNLIGYSGPFLAKLCRNLTLLQKFPDLQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDEDDRISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLKDETFCNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRSVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRVGSLEGFMVTKETALDDGKSAEAETSEIIDPSLDNYSEDKENTPECSDNICSENNHTSSTFTESEDDSTEVQSARTSHRGASCSRVNKMREPEDSEDSAPIRRVARRRAAPAEM >OB07G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16950169:16951365:-1 gene:OB07G30420 transcript:OB07G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAERDANLVHEALKKKKQGDESYVSVLIEMLVRLVSSYRYEGECVVDMDEARLEASQLAEAIRKKQQPHGDEVVRIVTTRSKSQLRETFQKYRDDHGADITEDIDSHCSGQFARMLKSAIWCLTSPERHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMRQIREEYKARYKTTVTRDVVGDTSFGYKGLLLALVGREG >OB07G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16952864:16955520:1 gene:OB07G30430 transcript:OB07G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 5 [Source:Projected from Arabidopsis thaliana (AT3G54480) TAIR;Acc:AT3G54480] VKKKIVDPSRYPRAARAVAADLASHGQRRRRRRAAPHAVVGEREETGRGGGGRSVIRRDDEAGALGGGGGAGLAGQQPGRRLPHAHLQLPQPNPRWRFLACHPRLWLRVERPIRNIIEPGVYPNLEAAVSAARPGDTILIAAGGTHVACNIQIKKPLCIIGGGELPDDTVLTCSRGSDNALEFLSTCKIVNLTIRAELGCCLLHRSGRLTIEECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQRVRAIYSRSSVFFWFEVGEK >OB07G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16958039:16961261:1 gene:OB07G30440 transcript:OB07G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:J3MNR2] MWVSRVRLVCCLIRGFLLGLFLENLGAFLLWNGRESAADSTFIGLSMASATYLDDANSDVIDPPKNEEILDVTELVGDHTQHSPKPNVMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >OB07G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16963470:16963757:1 gene:OB07G30450 transcript:OB07G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKEKMRIIKNYTRTDHPKLKYLHVNISDNLTYIYNTCNHRLLFFFSIAITPWQYCIKEPRTIHSLLDEQQIQSPNESDAHKSHPETERRLLS >OB07G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16973922:16974095:-1 gene:OB07G30460 transcript:OB07G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEKTGKGKQEQEYRQKNSASEENIYTPLNPTFTANSILAFPNSLPFFSPFLASRQPP >OB07G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16979417:16982112:1 gene:OB07G30470 transcript:OB07G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEVEVSRESMAEEVEVRRESAGEEVEAEVEEEAPAAGRATTFAELVESCDAMGIGEEGAHQDPGGGHPPTPSKVELSAKYSLVKTLKQEIYFVPAKYEDCYLIHVLNEMPGSVIMAFVRTCESTRLLALMLRNLRFKATSNQWPNEPGLCSSCGQSYRTIRICCISASLVNQFEGEFFKLTEHLLEGKQIPDRKADADEIMILHDSISDAKRIALKTMNESVYHKRMRAWRWMEDGPSIDHEDEPVHVAG >OB07G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16981766:16981921:-1 gene:OB07G30480 transcript:OB07G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWKIEVFVLEMVWVMLSGWLSSCLAVADDVAAALRQVTSPSSRLSLSYQ >OB07G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16982878:16983127:-1 gene:OB07G30490 transcript:OB07G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYLRNNYVVSFFQQLLVFSNPTVQPDLRTRVLQFSCADMWIHKLAKCIRNRAIC >OB07G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:16982392:16997800:-1 gene:OB07G30500 transcript:OB07G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) TAIR;Acc:AT2G13370] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVADRDVDLNSRQWNLNEKAEDAYHSDEEQYEGGRSGLNSSENKSGQNDRRTGGPSGPWGTNFLKDRQPTQKAKEEQLTSDRGMEYGSAASSHDDMDASGEDDELNRGQGEVPAEEMLSDDYYEQDGEEQSDSLLRGGMRHQSCSTSGAAAESVSSRQKKKPTKFNAYADEDDDEYNDENDDEDDDDDADEDDPDDVDFEPESDTEKAADKDKAVDSENSDEEEDDELELSDDEEDDFVENNRQCKRLKVGGTKTSKGRKLPMQAQRKRGVSFSDEDSSEKDSDAPSDTNLSHRSKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEGGETIERILWHQPKGVAEEALRNGQSTQPTVMSFTSDVDQPWDDVEFYIKWKGQSFLHCQWKTLSDLQNVSGFKKVLNYMKRVTDEQRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGEDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREVATAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNGQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILSEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVETKGGEAEEGNELLSAFKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNNKSYVEDHQLDKNSNRKRRAIDVQEKPRRRSSRTTDTAVSLPLIDGSAHQVREWSFGILPKKDATRFVRAVKKFGNPTQIGLIVDDVGGVIAKAPIDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFATLRGKSSKTKAGPRQAIDNESNGGARSLRSRQKDTKVKEDNHSIKDDFQKRKVVEAEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGSNFASVPPNRGIKSNQPQPSRNQRSTRSLQYVSESFNNGENTGNSEAWKRRRRSEPDNHFDTQPLYQAPPIMTNGNRLQESSSSAGILGWGPVEMRRYGNERPKRGVHPSRFPPGHGPLL >OB07G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17009432:17013710:-1 gene:OB07G30510 transcript:OB07G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G21770) TAIR;Acc:AT4G21770] MPKATASFASLLPQLWHRPAPPPPFLHRAVSSSSSPLLTARAIRRHPSPAPPLAAAAASTSAAAVEAPAVAANPVYGRLLPCPLQDDPPRIEHLVAREDEVAVDFISRSLSLPPLYVADLVRFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSILRRRASIKGKTVREAQKTFRVTDPNQRLEAGTYLRVHVHPKRFPRCYEVDWKSRVVAETDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHRMIREKQVKKLYLALTTAPVPTGIITHYMRPINRAPRLVSEDDIKGWYICQMEILDCKKVPWPSSLIRKVYKVDSCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAITNPSINPFGRGRLNYDSEEEKEAAIEAWISCHGKEPKSVIGLQASEISWDYEGEHHSYRAGVPWWRQDAVESDLI >OB07G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17014341:17016797:-1 gene:OB07G30520 transcript:OB07G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVAVVTVPFPAQGHLNQLLHLSMLLASRGLAVHYAAPEPHLREARARVHGRDAGALIAVRFHALNIPGGAQI >OB07G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17015122:17015346:1 gene:OB07G30530 transcript:OB07G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCSKQFFGSSVNSKGGRTCYWFDPLQEQIIRMSSKIKNKIAYSVMRTNRTVIICQMEPNLAYMQVLDDSNEAAR >OB07G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17017961:17023617:1 gene:OB07G30540 transcript:OB07G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G34260) TAIR;Acc:AT2G34260] MEALHEEMPFDLAFHPSSPLVATSLITGELHLFRYAAESQPERLFAAKAHKESCRAVRFADSGNVILTGSADCSILASDVETGKPIARLEDAHENGINRLVCLAETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHAQSVDTMLKLDEETLISGAADGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDRNYLGSISHDKMLKLWDLQDLLNGQQSVQDDKHGEEDSDDSDDDGMDVDMDPSSSKGSRSAKTGKSQNSGGVTSDFFADL >OB07G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17028750:17032088:1 gene:OB07G30550 transcript:OB07G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine/AMP deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G04880) TAIR;Acc:AT4G04880] MEKEEEEMREWCLALPKVELHAHLNGSVRDSTLLELAKQLGDKGVIVFEDVKDVIMKNGRSLPECFQLFELYHILTTDHDTVTRITKEVVEDFAMENVVYLEIRTTPKNNEAKGMTKQSYMNAVIKGLKAVEAVEVVLFDSNSRTDKPLTCAPSSELGGDVSKKKIYVKLLLSIDRRETTLAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALERAKQLGIPITVHCGEVANRKEIQAVLDFCPQRLGHVCCLNDDEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNVKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLAQDAVEFVFAGDEVKKTLRELFRRAEKELTT >OB07G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17032554:17037819:-1 gene:OB07G30560 transcript:OB07G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGFLVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMILLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTAISIPKPLTSYFGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVIISAAVLIHNVMRIGSSMDIESQQAHAFSIYLVLPFLTTSLALFAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEVLNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTERTLCIRLLIFQALSCLFCFWLRYMITGWYK >OB07G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17040693:17045205:1 gene:OB07G30570 transcript:OB07G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVRGNCSSFEFGSSIPMQVLLLSFIFRASDSDFVIYELFRTFTQVMAFVFLISSSYVVACVVQILIFGSFTEAETKLLHGQPNANKAPELAEIQFGSLNFSALSLHTTTNSATQGDVYTAKLVDGQNKIVTKDNACSSKKETVASTLPNGKPVLFNGFPTATANVSPDNRVIENNQKAGAAVASGVPAINVSSSAPLPVPEVGHDGIARNQSGSLVPGITENGGPAVHKPTITAQVDVVVMSLNKKDFQNKPLPLPGSENTVNNGGSAVDTPTIPAQVGDVVTSLGKKDFRNKPLLPHGLKNTGNICFLNASLQALLSCWPFVQLLQDLRSRNIPKAGYPTVSAFLELISQFDVFDDSNMKKDDKFATIAAKSVNPSMFDPVLRNFTPDVPAGTSARPRQEDAQEFLSFAMDRMHDELVKLNGNGSNSKEGMIVSSNDDDTWETVGKKNKSAILRTQSFVPSELTAIFGGQLQSSVKAAGNKASVTVQPFRLIHLDIFPDAVHTLDDALRLFAAPESLEGYRTAAGKAGLVTARKSDKIHSLSKIMILHLKRFSYGNYGCTKLFKPLSFPLELVLSRELLSSPSSESRRYELVATITHHGKNPSRGHYSADAKSASGVWLRFDDDKVTPVDVNMVLHDQAYVLFYKQV >OB07G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17047804:17048286:-1 gene:OB07G30580 transcript:OB07G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAMASASSLNPNAPLYIPAAYRQVEDFSPEWWELVKTTAWFRDHWFRQHQMYEEAEEQQQQQQHDVAALLPDDSLDLLDMVDTDDLFYTPEPLHHHHHHYHKAFDAGDVLRTLSLNSPTVAPARGGIREQQHQHQQPKPAQFAGAKAGNRRVIHQPR >OB07G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17057871:17066907:-1 gene:OB07G30590 transcript:OB07G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKRRVVSPGPESGRPLRRSARQAKAAALAAEMEAAAAVAAAAEAEADAAEAAATEAEASVTPTQSGRKRRRANGTGRYRSVARDLEDAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFPLETFVAALRCIYVNPLIDWVHFALLRAMNSHLEGLANEGDPSAVHCIRNLNWELLDLATWPIYLAEYLLARGSELRYGMKLTDLKLLNTEYYIQPATVKLELLRLLCDDVLEVEAIRSEVISRMSELDGNDELCKSTRVRRKRRVSVVSDLVNSSPTPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGDWFCPECLIQKKDGLKNIVKLGRGAEVLGIDPHGRLYFGSCGYILVVEPTAVDSLDSPCHYYGHFDHRSIFSVLIPCHPSYSSIINAISLFWGTAIESFDFNCQHELLLPSKQQTEHGQLKSQKNGSCEQLASGKACASDPDQLGPDVCSYANGLLVENLKDHSPHKKISDCCIYSDPAMYINYYSFGQIAASAAEELKDRLLENKEGKKAGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMKGLRLEKNKKSHINLAMHIILSIEERVNGLLIGPWKNPQFSMYWRKTVLKASDVSSLKRPLLMLESSLRHVAFSVEWQKPADSVEVVGSAAHVLVRTSNKSSRHGSARKPGRKPSNVELKVDSRDVGIYWRRGGILSRQVFHWKRLPQSLAHKAARQAGRIKIPTILYNDGSEFARRFKYIAWRAAVEMAENMAQLILQIKELEFNIKWSEILSTLPASLATKETQKIARLFKKVIVRRKRVEGTNVEYLLDFGKRENIPPVVAKHGIKLAEPSSERNRYWLSEGHVPLSLLKSYEAKASTRLLKKKDIDHIPKKMIDLEPPKPKKSGFDYLLEKAKKQVLGLCGHCDKEVNTSDAVNCQYCAALFHKKHFKVPRGATDAYYVCNKCLAEKVLKVKSLQKKAVSKKTSPKKKPKKQSRKIVTRRKQLVFKFKKMGKNKGKRGRPRKYPLNESKNELPELPVNELANVPNNEPTKRISKRLYNKYMKGNSNISEHAAKKRRTASHYSYWLDGLRLTQNPNDDRAISFREERVVFPCEDAELSEVSPVCRLCQKCYNGESIYISCEDCEDWFHGDIYSVTLENVNNLIGFKCHRCRLRDVPICPHVQTDEILMAQSDKEDDISISAEDKEDMCPTDLGAHDSLKESCGHFIEKEVDDHSIEKEDDDHSSLQVLEDHNEKKELDSHNTEKELDDHDYLTALDDHNKMKELDGNMEVLFNYNSTEVLDSTEDSKLVDGEEQCLHELNNHNSLKESDNHVSLKELDNHDHQKECNNQNSPKEFDNDGSSQELDNHKSPEELDNHISPKEFGCTEGNERSAAVTQMDDFLDEHFNIRISGKEELTISSESGPIKESIALQSKKNSEDNPVPDEHDIDLHVVVTL >OB07G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17073561:17078235:-1 gene:OB07G30600 transcript:OB07G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLNEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINNKAVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDTRDIVDMATVRKENLRRLYMYIDKLPTVIPETVFDVYDSDVK >OB07G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17079760:17081344:-1 gene:OB07G30610 transcript:OB07G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGRAAEGLGGALRPFHDRASDAETRLAKLEALLLNKDVLTSGSETNSSAMKDLQSKLDAANTECLTEKEKNKKLIIENEKLQYRIAHLIRALKEADSR >OB07G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17081902:17084281:-1 gene:OB07G30620 transcript:OB07G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01970) TAIR;Acc:AT1G01970] MARIVCLPPPPPRRDEDGETLAAMLAFWVKAMRPRRADWLLVLKELTAMESPLLAEVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKARGLPCDQVMLTALMDMYSKAGDLTRAKEIFEEIGLLGLPMDKRVYGSMIMAYIRADMLDKAEDMISKMGDQQIVAGKEVYKALLRAYSYKGDSDGAQRVFDAIQFAGIVPDTKLCALLVNAYCLANRIDEAMIVTRNMRSVGMTPCDKCIALILGTYEKVNRLEGALAFLTELEENGVVIGQEPSQLLAGWFRRLGVVQEVEQVLKDLAEDKKNTLEPEADRKNNLAADRKIKRKNKSKNKSKSKSKRSFASSLQHK >OB07G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17084796:17086940:1 gene:OB07G30630 transcript:OB07G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFADLHTAEGLKALEQHLAGKTYVSGDTISKDDVKVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKSVGVNLPGAGAASTAAAAAPAAKDADEDDDDLDLFGDETEEDKKAADERAASKASAKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEHLTEEPINEYVQSCDIVAFNKI >OB07G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17088369:17090855:1 gene:OB07G30640 transcript:OB07G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G56510) TAIR;Acc:AT3G56510] MAGSSESEYYSEEEEEGDDLGWEDDGLASEDEEEARERALKSLDGLAKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPQGQGHRKHTTVRAKAYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFFYDIWNIRYLKKFKWDDLVGEIAEKTHIREQKLSLEIAAAKKQRDHYLSNVEKSRTLKHIQERRKKKQKTEGAEFNEVREEKNARPIPQKKPVEETDAKMKPKLPKDILAGVFGGPS >OB07G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17091667:17093418:1 gene:OB07G30650 transcript:OB07G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRPNEDCFRFLFLLREATASAAPRRRLPPWPPRRRRLRRRAQALHLPPPPQLRPRPPRPRRRRLPPLHALLVKLGLQPYERVHNALIQAYGAAGLVADARRVFDGMSRRDTVSFNSMIHGYATSGDVASAREVFERVPAPTPVTWTSMVAGLCRAGDVALARRFFEEMPGRDVVSWNAMISGLVGNHQPVEALDLFRRMLAEGFVPNRGTVLGALSACVGAGGLETGKWIHAFVEKKKLFRWWDEILGTALLDMYAKCGAVELALDVFTKLRSRDTHTWNAMINGLAMNGYSTKALDMFRQMELDGTVVPDEVTFLGVLLACSHGGFVDVGREYFYMIEKKYGIGLVIEHYACMVDLLARSGHLQEAHKIITEMPMKPDRVIWRALLSGCRLHRDVKMAETAVSEMEAACSGDHVLLSNLYAAVGRWSGVEDVRRTMRSKGIEKIPGCSSIEINGSIHEFMSGDKSHPSYSEIHAKLVEIGSRMQLQGYVTETAEVFHDVEEEEKEQALGHHSEKLAIAFGLIGGTPNVAIRIVKNLRFCADCHNFAKLVSQIYHREIVVRDRVRFHHFVEGTCSCNDFW >OB07G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17093702:17098920:-1 gene:OB07G30660 transcript:OB07G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALAASARCCPPLLSSSASASISFPSSARAPALQRTRGGPGPRRRLQRCLCSQQFAERQTSGAQQQQQQQLERLFSNLNQATMKHEPGSVTSAIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTSGVRTACVSYLFIHYALLVAYVARSSEIITNSLGIPLWESATLFSLVFGGLCYFGSQRVIGAVNGFLVFSILASFTALVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLTWDAVLLGTIPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLPYVVTLVPPLVLSLLDPEIFFKALDFAGTYGVLVLFGVFPAAMSWSERYSDDLEAPVPPIVPGGKFTLAFVMGGALLVIFSEIFKDIMQLQGLH >OB07G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17101256:17101480:-1 gene:OB07G30670 transcript:OB07G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNEGESKHEEGRQSATGGRRRRRGHRAEKGGAPWDEDSDGEVEGDVYDGQRAEWRASESATFRCNCSARAA >OB07G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17101957:17110295:1 gene:OB07G30680 transcript:OB07G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGSSSGKKSLNTVTLIFKLPYYTQWGQSLLVAGSEPALGSWNVKQGLSLSPVHQGNELIWCGRVSVITGFSCQYNYYVVDDNKNVLRSESGEKRKLVLPEGIQDGDVVEIRDWWQDASEALFLRSAFKNVIFSGTENAKRELQSTSLNKSLDSEDIVVQFIISCPRLGAGSTVVVTGSNPQLGNWRTQDGLKLKYVGDSIWKANCLLRKSEFPVKYKYCKISEAGVSSLEFGPNREVDVDLSSPKPSRYILLSDGALRESPWRGAGVAVPMFSIRSSEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEIARAKQQLDKKDVDYEAAMATKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVSEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLAGKFRPSIPLSQEELLNEGLWDFDRMSRPYIRQEILEEIFGSFWTVIAANFLNEYKKQCYEFKEDCNTEKKIISKLKTSSEKSLWLEKEDNIRRGLFDLIQNIVLIRDPEDNTKFYPRFNLEDTSSFRDLDEHSKNVLRRLYYDYYFARQENLWRENALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSESNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDAGRRSRFYKTVVGSDDEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAPEETINDPTNPKHYWRFRVHVTLESLLDDKDIQAAIKDLVTSSGRSFPGKKVEGAEESGEKLAKVQLNGKP >OB07G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17109650:17110012:1 gene:OB07G30690 transcript:OB07G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPSNSELDSALLPFAFRSHLTFYNPYCLHCTSNIMKNETVSGNLGNLVLNFASSECLMQLFCTTSTDGEICYFVIVKCEFRLLCHFGFGFCTDDAHMCESQAIIISVKLRKNLNSYL >OB07G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17110905:17111351:-1 gene:OB07G30700 transcript:OB07G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPPPLIPPPPQFAPLPRPRADDDDSDGESVAESCPYPCPRRPAASVEMEEDDDDGCSSCVEGDGSCELRLQGDDDGGGGEEEAISEGGVWWTQLTARDRELLRRKGTVEVVVEAEAAEEDPKRAAARREEDRKFWEDCLASGYP >OB07G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17118152:17118313:-1 gene:OB07G30710 transcript:OB07G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSINAIFPVTLDSTKSKAKILMFGHFFCQELAHVPEIVTDRVAPPPAQMR >OB07G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17120221:17124013:-1 gene:OB07G30720 transcript:OB07G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEILAVIRRAARADDATVIRNRATGASRGCCFVVCPSREEADKAIAAYHNKCTLPGASRVMQVRYADGELERLDAEQKLFVGMLPRDVKDNEVSALFSQYGSIRQLKLLRSPQKTSKACAILEYESKEHARAAIEALNGMRVLFNGSDATLVVKLADTEKERQARRAQKAQAQPSKPLGVNLVPQLPIFGAPDMRFLPPYDVLCYKTEGTTDPELKDLMKMTNKLEMLVTELKRVVNLLENRVTYNDPILPNQHSHLSVEHDEKQDKPNEFDSKTLEVPGIMYTQRTHCKTKHPTALCTHMSIKEIYFRDSTQIYFQEQILKYPT >OB07G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17126761:17127927:1 gene:OB07G30730 transcript:OB07G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALQVIVRGERRALPGSGFVSRFSTASNSQRLAGKVAVITGAASGIGKATAAEFVRNGAKVIVADVQDDLGHVVASELGAEASYARCDVTDEAQVAAAVDLAVTRHGHLDVIVNNAGVVGSLAQRPLGSLDLADFDAVMAINTRGVLAGVKHAARVMVPRRSGSIICMASVAGVLGSMTPHPYSVSKSAVIGMVRAVAGELARSGVRVNAISPNYIPTPLVMRIMAEWYPGTSADEHRRIVERDINEMAGLTLEPEDIARAALYLASDEAKYVNGHNLVVDGGYTVGKVPNLPAPPQ >OB07G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17127372:17127665:-1 gene:OB07G30740 transcript:OB07G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTRTPERASSPATARTMPITADLETLYGCGVMLPSTPATLAMQMMLPLRRGTMTRAACLTPARTPRVLIAMTASKSARSSEPSGRCAREPTTPALL >OB07G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17131186:17132118:1 gene:OB07G30750 transcript:OB07G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWVGCNAGGGDHLQLPLPSAMASLRLPTRRGWPGRSPSSPAAPVASAGRRPRSLSRMAPRSFSPMCRTTWDMPSPRSSGRTRLCTRAATSPTRRRSRRPWTSPSRGTAGSTSSSTTPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVAAEMARSGVRVNAISPNYIPTPLVMGAMAGGYPGTRAEEHRRIVERDMNEMEGATLEAEDVARAAVYLASDEAKYVNGHNLVVDGGYTVRKAPNMPALAGH >OB07G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17140301:17140717:1 gene:OB07G30760 transcript:OB07G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAGCVLCTSSSNGVLPVPAYAMYSVSKAAVIAIVRAAAEPLARHGLRVNAISPGTTWTPLFQREILRLVGGGSSEELKRAVEKDAKGAIAVMEPVEVARAAVYLASDEAKYVTGHNLVVDGGYSVHKGAEMSSR >OB07G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17144395:17144628:1 gene:OB07G30770 transcript:OB07G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAEEASPGVSLEELKRAMAASMEQVDAGPLIDPEDVARAAVFLASDEARYVNGHNLVVDCGFTVGKLFKMPQN >OB07G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17148084:17150009:1 gene:OB07G30780 transcript:OB07G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYEGCDGRGSMELEVCLTPEALARLVADEEFMGVVSAAKATAGDIMDKGLGWTVAVITGGASGIGEATAKEFIRNGAKLIIADVHDDLGHAVAAELGPNVAYTRGDVADEAQIAAAVDLTVVRHGRLDVLYNNAGITSTSLGHLGTLDLADFDRVMAVARAVIAGVKHAKLTKHAARVMVPRRSGSILCTASVTGVIGSAVPHAYSVFKAAAIGVVWSAAGELARHGVRVNAISPHGIPTPLAMRGFGDVLPWATAEKVKRIIEKDMNELEGTTLETDDIARATVCLASDEAKYVTGHNLLVDGGFTVGKRLNFPAP >OB07G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17150978:17151154:1 gene:OB07G30790 transcript:OB07G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVILHMSTVVYKNEHLYALGVLKSLPTVKPQSTTRLWPFTCLASSDARKTAAFATSS >OB07G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17151031:17151201:-1 gene:OB07G30800 transcript:OB07G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMEQVDAGPLIDPEDVAKAAVFLASDEARHVNGHNLVVDCGFTVGKLFKTPKA >OB07G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17151297:17151783:-1 gene:OB07G30810 transcript:OB07G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVILADVQDDLGHAVAAELGPDATYTRCDVKDEAQVAAAVDLAVARHGRLDVFYSNAGVLGSVAPTPLASLDLGEFDRVMAGNARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAWCAPCPGSWRATACG >OB07G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17154755:17156343:-1 gene:OB07G30820 transcript:OB07G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEAEELAAGDRRREEQHKAGCTAPPFRDMFKVLLIIKLAGKVAVITGGASGIGEATAKEFIKNGAKVIIADVQDDLGHTVAAELGPNAAYTRCDVADEAQIAAAVDLALARDGVRVNAISPHGIPTPLAMRGFGDVLPWATAEKVKRVIQKDMNELEGTTLEADDIAMAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNFPAP >OB07G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17158023:17158886:1 gene:OB07G30830 transcript:OB07G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGMADRFFSTASIAKRLEGKLAVITGAASGIGEATAKEFVRNGAKVIIADIQDELGRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRELEGAELEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDFPRNPPGSP >OB07G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17162633:17163744:1 gene:OB07G30840 transcript:OB07G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWLKLCRGKSRAAQMLLSSGFADRFFTSASNSILNPRLEGKVAVITGAASGIGKATAEEFVRNGAKVIIADIQDELGRAVAAELGPDAAAMSKAAVVGMVRTVARRLARDGVRVNAISPHGIPTALSVGGVSEMFPAASAEEVRRMVTRGMRELEGAELEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLIRHPTASA >OB07G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17162908:17163726:-1 gene:OB07G30850 transcript:OB07G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEVLADREPAVDDEVVAGDELGLVGRQEDGRPGDVFHLQLRPFQLPHAPRHHPPHLLGARRREHLGDAADAQRRRDAVRRDGVHPHAVARQPPRHRPHHADDGGLRHAVRGRAQVADHRRRAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPWRATARSTAAATSFSTVTSQCVYAAASGPSSAATARPSSSWMSAIITLAPFLTNSSAVALPMPLAAPVITATLPSNLHNVNADAVAWQLTTIRGKGTKPLPYD >OB07G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17165339:17167579:-1 gene:OB07G30860 transcript:OB07G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:J3MNV4] MLQSAHHLLLLLLSSKGSPEFRSTGCRALQDWRFWSSSVSNFQYELLASQVVTNTETMVKAVAVLASSEGVKGIIFFSQEGDGVTTVTGSVSGLRPGLHGFHVHALGDTTNGCMSTGEMDYGVLITGEIAICSYCRATLQSYWEGVANVNISDSQIPLTGPHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >OB07G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17170928:17172278:-1 gene:OB07G30870 transcript:OB07G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNLRGDCSTRSKKRKKACKNSGKTRKLHVDKFFEEGFGNLRSSGQGAWSELSKVAVSNLSKSVVSLASFDGATMHCACTGIVIRNENGMRCLTSASLIRSFDDDSKIMPFVAIELHLPKNQVTYGWLADYDLQHNIAVVETRFFPGLQAINLEHQLQFESHNKVVAVGRCFKSGKLMATSGMLMDDPSGVYRKELMISTCEITMVGVGGPLVDLDGNFVGMNFYSKERTPFLPMSTIIKCLENFKIQYVFLLPAMFSHFPLLCFCFPISAFLKLDGRPFGLPGKKKKKLLFSYFTSLFYT >OB07G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17176465:17181466:1 gene:OB07G30880 transcript:OB07G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRIQEQLGLPGQIEGWVIQTFFHEKEKRLQTLQFPGRGPWAVLASTSARTPSWEHRGRPHPKIWSILYQWTVDNSSAATLLGFYHPRGKRRGSAVSPIDVPDRRESNPGIWRTTVIFPSSNFPTAHMRSSRLDGHGIHIICHYGADVWARMMIACDQVVLDNLYEQISKSVVTLKRYTGCGNHALVNFGTGFIIYSTRSQVLVCIHQTVIKSGEEIFVYFSDGTSCKAHPFIRRAPSGHAVLSVEPGNCHRYPVSFSEAKVTREEICTIAQIKHDGELGMMSGIVVAPCCKTVLRSGRVVTRGERRFALTCAAGRLRNVKDSENLIGAGVFNLDGLLVGTIDSFAGVYGLKFGMHSSCFLNELERLIQDINKKISLPRGGTTLSRHSKVQRVAGKGSREDDIDIAAGKRVKYTIKLEEWCRGSPRYCSGVIT >OB07G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17191726:17194639:1 gene:OB07G30890 transcript:OB07G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVDAVHGDNHAFHVEFRTSLVRLVSNKPVEQAKRKYIFVPGIPIVSVNGICYIISHSRVFCQEDAHNWQHEVIFPDGTAEPLITEDVRIQGDLAAFSVSTTAGLPDPVKFSGQQVSHNQEVYTVNYEGMREPSLLVRGRVTHVGEGSFFHDCTPGTFTIYGSPVFNEQSELVGICHKNYGVIEAFDVNEIEKLLSGIDPGMANKSLSEILQHIKATIQAN >OB07G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17199887:17200802:-1 gene:OB07G30900 transcript:OB07G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNLRGDCSTRSKKRKKACKNSGNTRKLHVDKFFEEGFGNLRSSGQGAWSELSKVAVSNLSKSVVSLASFDGATMHCACTGIVIRNEKFGMSYLTSASLIRSFDDDSKIMPFVAIEVHLPKNQVTYGWFTDYDLQYNIVVIETTYYPGLQAINFEHQLQFESHNKVVAVGRCFKSGKLMATSGMLTDDPSGVYCKELMISSCEITMVPC >OB07G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17214240:17214981:-1 gene:OB07G30910 transcript:OB07G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRTCYWCRAMFDACKYSVARLDCGQGFCGNGFIVFAGNNTCLMLTCAHAVKKEESNGSTVVSNVSVSFCNGKTFNARVDYVDEHRDLALLRADNVDDASLSPLRFWEDGDVVTGTDVILLSFFTMNGMVLVEPGTFPGKILSEPVVFRKETGKVEEIRLDYISMNGTSGAPVLLPRVNKVVGVNDGAFGTTKTASTVRMIEEALRQWLQTEDVN >OB07G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17218023:17219237:-1 gene:OB07G30920 transcript:OB07G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELPQAWWFDSHNLARTSPWLSNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRAHRSLAEQLDLLKHGNGTRHTVFGPSSCTQTWSQAAAAAMGGGKGERSSRSSNSACSDTYDSESEVDDPEQDDDMEEEMSESEAVEESRRQSELMRAEIELLREEKNAALQRAGAEIEVLRGKNAALEAELAAKDEEKREAIRQLASSFDIVREENSTLRRECIKNSKNSSSSSRGFDFKKLTRDLFSAKLFTAHCKATTGPMVAL >OB07G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17233141:17238229:1 gene:OB07G30930 transcript:OB07G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:J3MNW1] MGVDVAAHLVRLGLLNTTSDYASVSSINLFVALLCTCIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHIFVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTIAIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNLDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSVGISSILLGLVLLGRAAFVFPLSFLSNLTKKAPNEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASSHAVSEPSSPKSLHSPLLTSMQGSDLENSAHIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >OB07G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17241943:17245429:1 gene:OB07G30940 transcript:OB07G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3MNW2] MSFRSVIQEVKGEIGAISRRSFRSRPHRAGRVRRPGPRDAPVKCFISRNRTTQTYYLYIGLTDAISDDGKFLLAARKCRRTMCTEYLISLDMNNISKGSETYIGKLRSNFLGTKFTVYDAHPPYAGDGVSKSQSERVIDSNQVTPRVTAGNYPVSHISYALNVLGSRGPRRMHCVMDSIPVSAIEQGGTAPTQTEFPSSNHESFTSIPFFKSKSFRVNSSAASLLTQNESKLVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDESGPTNQEHEDVILQFGKVGKDTFTMDYRYPISAFQAFAICLSSFDTKIACE >OB07G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17245920:17247278:-1 gene:OB07G30950 transcript:OB07G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3MNW3] MRAVKLLGADGVELPVFWSVAQPESPDRFSWAGYRAVADMARDEGLSLRVTLHFHGSPGGNVPLLPVWVTTAAADDPNIHFTDRSGGRHEDCLSFAVDELPVLHGKSPLECYDAFFRSFADSFQDLFDSTITDVTVGLGPNGELRYPSYPPGSDGRGFTGVGEFQCYDKYMLARLRRHGKESGQPLWGLSGPHDAPRYSESPDACGFFKDHGGSWQSPYGDFFLSWYAGELVGHGDRVLAVANGVLGDKPVELSAKVPFMHWWHGARSRPAEAVAGFYKSNQKNGYSPVAKMFARHGCTVIVPGMDVCMNKQHRITGSSPDKLLVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNILTTERMRPGHFTYQRMGVEFFSPEHWPAFVEFVRGVVCGEWDEDEERDVDATSGNANAMEAQAV >OB07G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17250675:17252951:-1 gene:OB07G30960 transcript:OB07G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIERRRWGAVTSAAACRRWCTAGPTPRGCACRATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAEKLAGDGGWRSAWEEPQVLSLDDIIVPTTSCHGFQPLLTPSSPETRSSPDGELDGEVLRQLGELAKLEEAAATAQAAFVGAEAAGDQLPSWASPEFTSGHGDFGTEATSHEAPSTTLPSCEHETWIPTDHTDPTDANKMEISCEEVALSSSAEPCLSSFVEVSEILPSLSCSSNGSHDPATPAPTTQALLPKKGVYDIAYPDRGMVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSS >OB07G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17255069:17257744:-1 gene:OB07G30970 transcript:OB07G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05100) TAIR;Acc:AT3G05100] MLPVPALLLLLSSAALLVFLILPSLSPSPAAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLASNSLPLLASHDAFHRLRKGINPRTREQQLFDINRHHGISHYPDEEAANRTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPRDQVLEIGCGTLRVGLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDKCTQRLAELGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >OB07G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17260052:17267777:1 gene:OB07G30980 transcript:OB07G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKVPQNIPRELNSDFHQSDRERDEQIHHSYVSGKIFNTAHDNAESSCSLAPDQDGNTEEEIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGEGTRKTRTRDRPRAVPAPEANAELQVNIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNPKRKHKSGRSSKQPATARAR >OB07G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17267851:17270091:-1 gene:OB07G30990 transcript:OB07G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKVAAGMAQVEASYRSIGEEMGRLKEEAMGIEREVRAVGGAMAARMERLQSAADDVGRVVGRSLENQRKLLDGQAKAMEGLDALHTFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDHLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAILVESRFIKAFFFYCCITFLVYMLTSAKQTFAIRGHLYFGLCITLVLEIVVIKLGADDFSRQFLIMSKVMVVRSVFLAAAAVQILNCIFTYKDYEVLNHQLLKTLMEKVRAIEGNAGGGDKMNPWSTGSDGSSSSSIGDCSWVFDEQLQDEVDSNVDPDFVLPGEICGNGSVLPGENSAIARYNLRPRIRPR >OB07G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17272023:17277181:-1 gene:OB07G31000 transcript:OB07G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHFSTEASDIDQPTEAVEELYQKMLKSVEAETMPPNAWLWSLISSCSNNEDIKLLFQILQKLRVFRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNIYGITPTIGSAHYLLKHAKEKNDTKLMGSIMLVLQRNSMQLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGTAFDIWMDFAAKVGDSQSIWNINGLRGKSVKRYSRATGFACVKGFLLERKPESAAAMIKLLHKHTPDEKKQLVKDELQKLVADWPTEVIKRQKKDDRKALEEALITDIPRMISSMGKSGLDISVSLDKLNPQFEAA >OB07G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17279029:17280710:-1 gene:OB07G31010 transcript:OB07G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLPAAWPRGSMGRDAAAMALAVVVVCAAAMAAASAEEVYEFGSGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSVTVGSNDFLNNYLMPVLSAGTRVAESPDGFINDLIIHLREQLTRLYTLDARKFVVANVGPLGCIPYQKTINRVGEGECVKLPNQLAAQYNGRLRELLAELNGELPGARFCLANVYDLVMDVIANYESYGFETASMACCGNGGTYDGMVPCGPASSMCGDRRSHVFWDPYHPSEAANLLLAKYIVDGDTKYISPINLRKLFSL >OB07G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17283459:17287712:1 gene:OB07G31020 transcript:OB07G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLVLLLLIICYAPTSPTAAGGEAPFYYHCPGTVDGTYAPNSTYRSNLAALAADLIKNATDYGSAAGSFGAAPDVVYGVALCRGDSRGPLCTGYLRDTFDAAMAANTTTTGGGSLCELRKNVTLYYDRFQLRFSGMDFVSGYGNEPEWALNNTNLVDGPVAGRFREHVAALLNATADDAAAQPDRYGTGDSWFQEGGSMVYALVQCTRDMDPGRCRACLQRIVAEMPRMLNASQIGGRVLGVRCLLRYEMSSNSFFHIDNRTLHLQKQPNLSSPAEQPAPNSGGKSKTWPIILAVAGVAVCISCIFLFREVKKRRRRARVRSELRRLSMAVQNVITLWRLEEGNSGFSLYDFSQIKDATNNFSSESLLGKGGFGSVYKGQLPGGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDEEKILVYEYMPNKSLDMFIFDKFKRELLDWPKRLHIINGISQGLLYLHEHSTVCVVHRDLKASNILLDAELNAKISDFGIARIFGSNAAQSSTTRIVGTIGYIAPEYALDGVCSSKADVFSLGVLILEIISGKRTGGSYRYNDGKLYCLIAYAWLLWKDGRWRELVDECLGDGRHHASIRACMQVALLCVQEDAEDRPAMDGVVKMLGSNEQASLPEPNHSAYFNVRPGGGGGGGGADADADLYAHAEINLQCRVNIYMFCKIILFPLFVIWFSAC >OB07G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17283732:17284093:-1 gene:OB07G31030 transcript:OB07G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHCTSAYTIVGGRVQQRRNVLPEPAGDGAVDQVGVVQRPLRLVAVPGDEVHAGEPQLEAVVVERHVLAELAERAAARRRGVRGHGGVERVPQVARAE >OB07G31040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17289463:17289885:-1 gene:OB07G31040 transcript:OB07G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAGARCPRRICFFAHGAAELRDDPNSIASAILTPLLPLPPPRPPLTTTTTLPPILKRADHPTVSAAHDHHLDVLEEAMRTRLHLYSSNAAAAAATTPAANGEGSSVGRRCSCSRCVEEEESLLTGYPHYDLIMDLVNN >OB07G31050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17297099:17301525:1 gene:OB07G31050 transcript:OB07G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMERWRCVSVVGLVLLLSTASHGRDLSVKHCEQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQVRSLQGFEMRSLIVDVENCLQAFVGIDHNLNAIIVAIRGTQENSVQNWIKDLIWRQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARKSYGDINVIVTGHSMGGAMASFCALDLAINLGSDNVQLMTFGQPRVGNAVFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWLNDSDGNITERICDDSGEDPNCCRCISTWSLSIQDHFTYLGVDMEADDWSTCRIITAKNVKQFQKDLASNIIISKHSVDVTIVEPSSQTY >OB07G31060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17302679:17304010:1 gene:OB07G31060 transcript:OB07G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G05675) TAIR;Acc:AT3G05675] MEASQTSGTRRAAGRPKIGDLATSDVVVRLRTPEGRDEWLYCHSAVLAAGSKYFADRLSDDWPTCQILGSRYCVEVYCQELDLSSHVTALRLLYAAEPCSRFGVRGALGVLQAAVHLGCARIAAACVDYLESAPWDEADEEEILRTIPCLGPQYDCVLARLRPIDPAPVTSIFLSAFRHATSMHSAPELKSAAQEQLEYMLTEDDDAPLLVFDDDIVKSQVKDCVSGLLNRFGAFMSAILMKPKEAPLGRDDGGLQQELHSFVSDISWACQILSKLEMMKCVVVYWTGVSSDVVEAVDVVCVGIDCLKTILKVIEVSAKVLEAIAFGNIVLPTEKRYDAVNVWIGFAQRAKPLVEQPERDDEDGDAEAPKINLDNEVWQSLESAIVSIVLTLPSNNQADILSDWLLSKHAKYPDLTEAFEVWCYRSKVAKRRLSFLDHANRLS >OB07G31070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17305790:17309139:-1 gene:OB07G31070 transcript:OB07G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKAGADAQCVAALSPSPRPAASAAPDRSNSRGAGIKKDDSVRRGGSSANGGPAQIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPGKKPLDWNTRMKIAVGAAKGLEYLHDKANPPVIYRDFKPSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPAGEQNLVAWARPLFRDRRKFCQMADPSLHGGYPKRGLYQALAVASMCLQEQATSRPLVADIVTALLYLASNHYDPNAPSTKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETTRGEFEQDHSEGYGSGSSSGRNDGIDVPELLALHNGQNNSEADIYHKSTVKLDAHEKQRSRSGKGSRQF >OB07G31080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17313868:17329530:1 gene:OB07G31080 transcript:OB07G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSPEPSPPVGTASPVLSVRIVSLDYYMAPPLPGFDFSYSQFHGAEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCTEDFLHDIEKGNSYISGLLSDLEKALQDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRTPLPDDFRPKSSLHCKVDCSTESGHRVHQDNVATRKPTVWISSTVPHSLVLGNSATYRCMEGTNWNIANRHSSLMLEADARIEGILNEKCKMYTSLSQATADSKMVRSLLSIWEELEHLRLLEEPKPVDMGSRPLQDAVLRSFLHGIKYESALSMLCPKEEMSYHKVPTMEESEKQEECLKSLNDIIGTITFSQNDYYSIIDSDNSAGTQEEKHITNVACLESSKQVSFERNSQCPVSSSAAPRTSSQFVFEEHEKCYLIVQLIAINQADRSPDLDQVILNDQADQRVDAEALGLLGWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIEPVNGEEQPNDQMSSRNSVKIDESSSVSNSIPQLDGSSDENQEVPQEDVPTKKGLSASFMSRDGKNSRDTTDKVDTESSTPTASKLGLVDIPPYFNQNAEENKKKEAFQHMENSGFSWDTLGVPIHFQNDGSAVYLLTHAFLPPSAVAVGQWLTQKSSSVSASGAGHSNYGEKVFVDQEGPNNSALSPYMGGPALMEDSPAPKLALDHTTTMFTDDIVMMEPDPSNQEIKNLGDGHDYSQISGGDERDKLTPLSQIGFRDPASTGGGQQLTIMSIEVLTESRGELRPDPQFDAINAVSLAVEDDVDNSIEAHVLIRGNNDNSHRRRNLDGVAHCNVNVFHGERELLNHLINAICSIDPDILVGWEIQLGSLGFLAERAAHLGIGLLKRISRTSPHQMKHPPMNPVDESSQELPGASSAPDVIDDTSDNNWSYTHSSGVHVDGRIILNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPAKILNRWFATGPGRGRYRCIEYVNNRSYLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSILGVSSYSADPQKIADLKNQLLLTPNGVLSYAEQTFEHICNAILQVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDSYESPEQKEAIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLTKVRSYLDRQWTRILSGKVSIQDFIFAKEVRLGTYSGRASSLPPAAIVATKAMLSDPQAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYIIKQIIPALQRVFGLVGADLNKWFSEMPRPIRETLAKRQSVSGHDSSFNRLGLNKKWYSKGSRIDTYYMSSHCTICGEIIQGSDTLCNNCLRNEAVVATVVAGRTSKLEREIQHLAAVQDPRPEFPARMRSQGIRDQNFYLGDPGTKAADRVEQCNQLQIV >OB07G31090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17329578:17333597:-1 gene:OB07G31090 transcript:OB07G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:UniProtKB/TrEMBL;Acc:J3MNX7] MKGAKLLLLLVASSLCLSASIGAPQTCPADLDSKCDDAAASGDWEGEFFPGIPKIKYEGPSSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGNGADPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFLHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVVKLMEDGSLDDLVRKRYQSFDSEIGAIIEAGKGDFETLEKKVLEWGEPSVPSGKQELAEMLFQSAL >OB07G31100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17336108:17339818:1 gene:OB07G31100 transcript:OB07G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein [Source:Projected from Arabidopsis thaliana (AT5G18570) TAIR;Acc:AT5G18570] MAPAAAAAAAFPFRLFSAEARRNTKGSRGKRGSARPIKPPPPPPPPPRRPSASSGAVGGVGATTFTRLPLRDAPASLEVTLDRFPTSNPEPRAPAFTRRSEERLGDEEEEDEDEDEVEFGLRGATTFARLPLRGSPDGGDLAIGKFDAGLATQGGPKSRSISRQMVEHLDDVEDEDELEEEEEEDEEEQVVSRLDIFEGAKGREARVFLPDEDDDDDVVVFDPDYDGDSDDEGFGAVTLAQSPPGDAIAVAELEELHYNVDEEEGDDDGEVIVFHPGYDEEEEDDDVFEDNGDDYEDKEGEEEGETKEKGVPAVMRCFDTAKIFAKAGDGGNGVVAFRREKYVPFGGPSGGDGGRGGNVYVEVDGEMNSLLPFRNSVHFRAGRGAHGQGRQQAGAKGEDVVVKVPPGTVVRSSAGDVELLELMKPGQRALLLPGGRGGRGNAAFKSGTNKAPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSAISAAKPTIANYPFTTLLPNLGVVSLDFDVTMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLIHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFQEKLQAEGIEPYCISAMNRQGTQDVVHAAYKILQKERQRMKDDEEWIGPENLNHVADAIKRVRRAPMNEFEIFHDKGTRTWNVTGAGIERFVQMTNWQYSESLKRFQHALEACGVNKALIKRGVKEGDTVVVGEMEMIWTDGPNKTSSSKTMNSKDDSVRWPEFG >OB07G31110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17340568:17341857:1 gene:OB07G31110 transcript:OB07G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHREIVSVDNGCLYAEAMDMSARLCLAANDGLAMASHVMHSAELNLAAPNETSAETIHRTVRVCVSAFMAADGDSYQRKVSRETVASFLGALRGLAALSHILLEGALEAVSHRLPMESLSEYAFNSDVIRRVREADERAGVHGPNGSVSGNLQDSTAGDC >OB07G31120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17352505:17354009:-1 gene:OB07G31120 transcript:OB07G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGTFSLHSPAPLLLRLAWRRAGRPRRDPGPKTVPFSPRLHSHAKTPSDLEKSDHSTQNGAPNSTGDMGRGGGVDVVDSVVARWWRENMLDKSPLRCGRAVLGRVKDFLGEMAKANDKLRLDVKNKRPEEYDIEALTGNEKEYIEMDLLLGVADMHSEKAVEVDEATMNGFPPAGRSFTCSSSDSEDDSDNRDDDGGDEQNMAKDEDEAETQTSKGKKPNKRQKIIVLN >OB07G31130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17357149:17357376:-1 gene:OB07G31130 transcript:OB07G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSAPAGSRRAAAAPTWSSPRRRAPTPTPPSASSPTEESIDHRSSCTGIAWLITHFASDRSCIYNFLSILIRSC >OB07G31140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17365511:17368577:-1 gene:OB07G31140 transcript:OB07G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGALTTNKAAALAKFLERKLQQPDGLDSLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSAFEDSSEDSEGSRDGAEVKGVKRKRKNKKDKSCQLEVEQSNKKKKKKKNMKAAKDFKAHKASKKKKKLKLL >OB07G31150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17369105:17375570:-1 gene:OB07G31150 transcript:OB07G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MNY3] MDEEVGPAARQDQWKSYCRTVSLLAFQSFGVVYGDLSTSPLYVFKSALSGRLNDYRDEATIFGLLSLIFWTLTLLPLLKYIVIVLGADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGGTASSPFKRFLEKHKKLRTCLLLFVLFGACMVIGDGAFTPAISVLSAISGLKDPAPGGIPDGWVVFIACVVLVGLFALQHRGTHRVAFMFAPIVVIWLLSIGAIGLYNIIHWNPRICLALSPHYVVKFFKITGKDGWLSLGGVLLAMTGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYLGQAAYLSKNTSVVENSFYQSVPGPLFWPVFVIATLAAVVGSQSIISATFSIVKQCLALGCFPRVKVVHTSRWIHGQIYIPEINWILMVICLAVTLGFRDTTLIGNAYGLACITVMFVTTWLMALVIIFVWQKNILLALLFVVVFGSIEAVYLSASVIKIPQGGWAPIALAFVFMLVMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPADERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSEGRMAVIHTEDTTGTGLVMRDSSDGAAGTSLTRSSRSETLRSLQSIYEQESGGLSRRRRVRFEIAEEDRIDPQVRGELADLLDAKEAGVTYIIGHSYVKARKNSNLLKTFAIDYAYSFLRKNCRGPAVALHIPHISLVEVGMIYYV >OB07G31160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17381885:17382375:1 gene:OB07G31160 transcript:OB07G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPKPNPPTHNNAQHFPPPLRKKKNIPSKLFGCEKAAEARPGEEREEKNQRQPATKPKKKTKTGEEEGKKKAWRKSIKNSSRARKPRDASQREEGGRGRG >OB07G31170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17382444:17391472:1 gene:OB07G31170 transcript:OB07G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAGGGMEDDAELEEGEAYGDDTAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLGSKFGGYGSFLPTYQRSPLLQTRSPPKAANISSRSPCHQPAESMSQNTLAVAVPSVSKPNGSVVLLSDDSSKKEVQQSTKVERASSTHDSLNGPTKSSDHNRFKVRIKVGSDNGVARNNAAIYSGLGLDISSPSSVEDSPDGCGGLSPEFNNVPTESPRTILQIMTCFSVPGGFLLSPLQGNLLQLTQKVVPTSKKWETSVNIGNVHEGHAAKRVKSDSKKKKAVDTKRSKSRNDISAVMKNEIDIETPAGQKIVSEALNISLLSNPTTMEAKDGSQFEEYHIRNNLVGNKDARLKERTINSDLMAIKPENVKAEAAECLENSGLGSSEMDISASKGETKLKAEKEEAHVEDRNTTSEKDFQLDRKQERKIKTESKCSTTGVNFEGNKVMNEKTPAVGRSTGKVSSKETLVNDINGENVSKSEVRRIQKEQNMNASSSSDFLEDDRGVLSSAAVKEWKNDSQSKSSHAGKKPKAKSHRDVRESLPEGSYGGKDHDTLENGSGLGELRPKEKIWKNDSERDSDMPGTSKREISSSLKNDRHPPTEEQKIHVPPSASAPTANAAPTLPAPVVIDEHWVCCDICQKWRLLPYEMNPALLPKKWKCSMQQWLPGMNRCEVSEDETTNALNALYVIPAPGNGVAAAGHPHVASSGLTTSNTLSLNGHVEQSRKRKNALNDGNGSFEGSQLTQASVHPLSNQHAPTRSKNTADNSQFPAERDSKSVDHFIEKKRSKSKNHGSSSDGGHLGERSRKHSKVKSRREMDHDEYRTSKKLKKEERRHCGIDSTPACDLASGDVPDEAKALPAKSMALQGSSERSDVPSSKYKSVSKYSSSEKSKRAKDGDVFLPEDKNKEHFCPSDVQKSDLSSKKRIVKEWEESQHNSTAQVRVSIVNQSSSAKETFKDQNLKETKSKLTKSEEPFAMADSKSIKFAHSNQTSTYNGGNLTHELFEDSTRFAVKRGMSELPENRSCEQSLDLAEPASNDLAYFQTAAVTSNSSKASGSQRRKQNFQVAKTSIESVSSSPPGISNNDKVSHNKILGKDGSMCANTNNTPSSVKYPNAEVIVDNVHQAKKSHESMLASEPVMNDFLQGNSDKDNDLSQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKGSNSGRGHNHLHSANKNNLLTDGSSIQNKMTNLDTKSDSVVHENKRSVSSLQDRNESTHYPPDGNPQSEVSLGKEKSHPKGNKHDQNSKAQMLPSPLKESKVESHSAPVRSNASKPTAQLRRASVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSRKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQAPPGESPSSSASDIDNLNNNGLSKGPSNKDANSPQVTGNNLLLAARNQSHLMRLLAYTNDVNCAFDATRKSQMAIAAAAGNQDNGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >OB07G31180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17393283:17396402:-1 gene:OB07G31180 transcript:OB07G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLRIRALSTAAAHHPFDRIPHPSAAASNTPPRPPPPRSTKSPPRRRPPRTRPPAPPPPRSTKSPPRRRPPRTRPPAPPPPAPPPPFARIPPPSAAASNTPPRPPPLPPPPPPPPPPPPPPAPPPPLARIPPPSAAASNTPPRPPPLPPPPPAPPPPAPPPPLARIPPPSAAASNTPPRPPPPRPPPPRPPPPPPPPPRSPEFPPRRRPPRTRPPAPPPPFDKIPPPSAAASNTPPRPPPPRSTKSPPRRRPPRTRPPAPPPPFDKIPPPSAAASNTPPRPPPPVRQNSPPVGGRLEHAPPPPPPPAPPPPFDKIPPPSAAASNTPPRPPPPRPPPPVRQNPPPVGGRLEHAPPPPPPPPPPPPLNPSAVPTGLYGFAAVTNSVAARYAKTGSFPSAFRVFATAPARDVSSYNTILSAFPDPAEALEFASWMLRSGPVRPDAVTCTVVLSLAAGRREDVLVRQLHAMAWRFGLAADVFVGNALVTAYSRGGSLEEASRVFDDMPARDAISWNALICGLMQDGDCPSEAIGVFRRMLRDGGVRPDRISVCTVIPACGDEGKLELGRQVHGFALKLGAEGHVSIGNVLVAMYYKCGAPACARRLFDAMSERDVISWTTAISMDGEDAVALFNGMRRDGVPPNEVTFVALMSALLADCPAAEAQMVHAACLKAALSGEAAASNSLITMYAKARRMDDARMVFDLMPRREIIAWNAVISGYAQNDRCDDALELFSSMARCLTPNEITFASVLSAVTTVETVSMAYGQMYHCNALKLGLDGGEFVAGPLIDMYAKRGSLEESRRAFDETEQRSLIAWTAIISANAKHGSYGAVMGLFGDMVHSGVAPDGVVLLAVLTACRYGGMVDTGRDIFESMAANHGVEPWPEHYACVVDMLGRAGRLAEAEELMMRMPAGPTMSALQSLLGACRIHGDAEIAERVAGVLTETEATGSGAYVLLSNIYADVGDWDGVARVRRKMRDRGVKKEIGFSWVDAGAGADEALHLHRFSSDDTTHPLTEEIYAMAEGLGWEMKFRKNCSQMEM >OB07G31190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17395799:17397983:1 gene:OB07G31190 transcript:OB07G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGAGGGGGGGGGGGGGGGGGACSRRPPTGGEFWPTGGGGRGGGGRGGGGGGGGGGGACSRRPPTGGEFWPTGGGGRGGGGEGGGAGGGGGGGGGGGACSRRPPTGGEFWRTGGGGRGGGGRGGVFEAAADGGGILSNGGGGGRGGVFEAAADGGGILSNGGGGGGGACSRRPPTGGEFCRTGGGRQRWRARGSAAAAVASLRLGDKVGTPLNSAQTVQCEFPHQTPPPPLRPLQSFAAMASSAAAVRPCFLSSPHRSAAAQAYQIFPMIKFQRKRHIRPAGSHRSRSLVVCRSSGFSAKASTFSRPASAYTHNDLSRIHFFDSLLNTHVGISGYWVGPDMDDGCGIVVAILQRIG >OB07G31200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17400727:17401476:1 gene:OB07G31200 transcript:OB07G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAGAPGGDAHLGEEPQEVSPPRRKKGGFGQWFGGAVGEMLTGGEMMSSDTAAYEAGVADGRIVKF >OB07G31210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17405620:17406965:1 gene:OB07G31210 transcript:OB07G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLHSARDLKNVNFISRMEVYAVATISGDPLTRQCTPSDPYGGRHPAWNATLRFTVAALPAPVAAKADRHMATPSPAKADWQVGTYVPAARTKGRNNGNLEFEMGLGAGLVAALPAPVAAKADRHMATPSPAKADWQVGTYVPAARTKGRNNGNLEFEMGLGAGLVGGAIGGMLASDMVSDAAVYNAGYMAGFADREHAVY >OB07G31220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17408822:17409595:1 gene:OB07G31220 transcript:OB07G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAAATTSPAVAGGEKPGPLVHVAFNPFSPCFIAATATGLHVFSCADSLHRVLHWDDVAVCPCPADSGWKVVMAEMYNEAFAAVVFRRKKDGGSGGCVDKICLWCVPNGRMFPMDKDLPFDVVRGLCLAGEYMLVAGDDRTVLYEIPYCGSSVKKVKAVETAPNPLGAGALVQPDSGARFVMVAPQKMKGMLQVHRLADDHVYVRAHRSAAAAFALSHDGRLLATAGSKGTLGRIFSXRWCSPTAARGSSWSRRRR >OB07G31230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17409601:17413036:1 gene:OB07G31230 transcript:OB07G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVHRLADDHVYVRAHRSAAAAFALSHDGRLLATAGSKGTLVRIFSTSDGKLLQEVRRGSGRANIYSIAFSFDSKWLVVSSDKGTIHVFRINVELASASSESSSGHNATEAPSAKTPQRSSSYMKGLLLPSYFTSERSLAQFHLREGVKYLVAFSIRPNIVIIVGMDGSFYRCQFDPENGGEMKQLQYTAHYHLNHDRLPRVIASNSLVLCDSVSLPAYIHMRGWAPPTINFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTQANDGVVVMSMADVPLGFGVAVKSAQDCRKADTNAVIVLHQADAGEYLRREEELM >OB07G31240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17411316:17413075:-1 gene:OB07G31240 transcript:OB07G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKSLLMQSALTSHELLLPAEVLASVSLVQHNHGVGVGLPAVLRRLDGDAEPEGHVGHGHDDDAVVGLGVLGDAGEGGLGHGVAEEEGALGVGLEPDAAAGVGGEEVERVDGEVEGAAVGEIDVRGVTNNFLAFGITLSLLKRHNT >OB07G31250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17421834:17422151:1 gene:OB07G31250 transcript:OB07G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVWVFRNNGVMQLEEQATSRKALVHVATNEVVRSTEALERRLGALGWERYYEDRATLQLHRRDGSADLISIPRDFSRFRSTHMYDIVVKNRDHFKVVDLQP >OB07G31260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17425070:17427767:1 gene:OB07G31260 transcript:OB07G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPPPPPPSPPPAPTTVPAAPPRLAGFEQLDARIKELTSSQGQLLDKIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVELLKSEMKEIKSAIQEERTSLQTQFVDTEKSNEDTNQAQHPQEQVQEIDAGVYTEEQAEMEA >OB07G31270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17426628:17428268:-1 gene:OB07G31270 transcript:OB07G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32630) TAIR;Acc:AT2G32630] MASDSESPPAPLLATPLAGFVSLLSARRFAAAKSLLASLITPRLLAVPFADLAASSLPRAAPRHAVTAFYDMLFRAYADSGASTRAAEAFGLTVSRLGGLDPRSLTSSLLSLRRAGHLETAADLLKQAITSCPDSVTPLSASIIVDGFCKSGRVIHARQLLDEMPRHGVKVNALCYNSLLDTYTRGKDDDRVAEGLKGMETEGIEPTVGTYTILVDGLSGSRDISKVEAVFDEMKRKNIAGDVYFYSAVINAYCRAGNVRRASEVFDECVVNGIEPNERTYGALINGFCKIGQMDAAKMLLEDMQAQGVGINQIVFNTMIDGYCRKNMVDKALEVKMIVEKMGIELDVYTYNTLACGLRRANRMDEAKNLLHIMIEKGVRPNHVSYTTLISIHCNEGDMVEARRLFRNMEGNGAKPSLVTYNVMMDGYIKNGSIREAERFKKEMEKKGLVPDVYSYAALIHGHCVNGKVDVALRFFEEMKQTGSKPNIVAYTALISGLAKEGRSEEAFQLYDNMLGDGLIPDDTLYSALVGSLHSDKRKNVLPQTK >OB07G31280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17436173:17437485:1 gene:OB07G31280 transcript:OB07G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVTIDAAQHKVTVTSSVGADALIRRLHKSGKHAEARPAVASPAVAAAKKPDEVAAAPPAGERGKGAEKADKKPKDAANKEAEPESSEKKPEKEKCSEKKAEAKKPKDEAKKESEVSEKEKGSPEPPKEGAADEEGEEESGGKKGKKKKNKQKDAGEADATATEKPAKAPQAAAAPSMPAPAPALSSPERPHGHGGFPFYAPQPVMSYNVSHPSASVSSYYAPTPVISMQPTPTPMPPPPPQMSYGYSPYPPMMMPPPPPEYLYGPPGMRSSPPQESYSNMFNEENPSSCSVM >OB07G31290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17439588:17442108:1 gene:OB07G31290 transcript:OB07G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIETELFSKNLAAAALRESYISHRNHQNFEVAMQPVIEEEKQREAERGSQWQGRHEWLSCFCSLVYGSSGQQEEEGIGAVKTAGECCTLLLQLSSSGLQQQQQIDDAGRERRMHKCHFAFFAATNNNAAAATIWVGEEEQWLYTYGVEDLKVITNKDFPLTSFSMR >OB07G31300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17446335:17451287:-1 gene:OB07G31300 transcript:OB07G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSSRLPFRNNIDCNSAFVYNMDDTKLDCKGNDVLQELETLTVNAKEAQEFILTKILERNQATEYLSKFMNGSRNISAFKRLVPVVTYDKVQQYILRIATGEDSSILCGEQIVELLRSSGTSQGEPRLMPSILKDLDRRTYLYSLIMPIMSKYISGLDKGKAMYLLFAKAETLTNSGMPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEHHWCDLVNDIRIGQLNPSITSPACRLAMLDFLASPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGGIPLVCTMYASSESYFGVNLRPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEMVENDRLVGLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNQAPQFKFICRRNVILSIDTDKTNEEDLHNSITAAKKILENRNYLLLEYTSYTDTSTVPGHYVLFWEIKSTHDESPTPLDCQLLESCCAAIEESLDYVYRRCRAHEKSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTLRCIESGLALKLLNSKVIACFFSPRDPECSL >OB07G31310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17456586:17463224:1 gene:OB07G31310 transcript:OB07G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNISFSHVSSSSAGAASPEATAGATAVFGGDGASGAPGPGAPGLSLVWPGDTQAKHTLEIDLSDAQMYKLGPTEWLCVSGESEAKDGVQEEEKSYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGEAGKSLENGVIVGSKSRFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLVSPPTYHTLDFTSMKEEELYEIDIPLNFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVVQQDQQQQQLPSLQQQGSEQQMQDGLSPSITIEPDQDSAAFAR >OB07G31320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17464792:17466520:1 gene:OB07G31320 transcript:OB07G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor protein (REF) [Source:Projected from Arabidopsis thaliana (AT3G05500) TAIR;Acc:AT3G05500] MAEPNPQVEREVEVEQEEEVRRPKLRYLEFVQVAAAQATICLAGLYGLAKDHAGPLRPGVDAVESAVKGVVGPVYGRFHGVPLDVLAFVDRKVDDAVQELDRHLPPTLKAASAKACAVARGLPDVARELTAEVQQSGVTGGARVVYGKVEPVAKDVYGKIQPVAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAADKGYTGAQYLPAIPTERIAKVFGEGSAPPASSSSPETQPLQGEAETQ >OB07G31330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17468040:17471195:-1 gene:OB07G31330 transcript:OB07G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLTYPSSSWPQIQINELIKLSYNFVKPAAGRGDGAAASIPGQAGGLPTASTAPRKRTTAAKNKEEQGDQMDWTNAHVTLAYNDDGGGDNYVPDDQFDANNEGDDEVQEVQELTPRALPKKIPRVDRKKAKSSTALLIHDAISKISDCTSSFTVKKQEGVTIKEVMELVIDCGAEYGSDEHDIATQLFVKKDYRSDDNDTDQSESGNESMMSSSLAMCAANLTAAYVDLYCAKNPPRTSLLSGMGWLIETLNTPGECHSQLRMSTEIFYDLHDVLVARYGLKPSLHMNTHEMLAIFLFVCAGNESNRKTQNRFKHSGETIHRKFCEVLIALMEMSKDFIRPKNPNFTTIHKRIQNDSRAYPYFKDCIGALDGTHIRVSLSPDDQVRYIGRSGLPTQNVLAVCDFDMRFTYVSTGQPWSMHDTSVLYNAIRVDESFFPHPPQGKFYVVDAGYPNLPGYLCPYKGERYHMPEWHRGMEPNTPKEKFNRVHSSIRNVIERSFGLLKMKWQILFRMPSYPMFKQKMIVVATIVLHNFIREHGGEDLDFARV >OB07G31340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17477477:17487115:1 gene:OB07G31340 transcript:OB07G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGGKAGGAGAAAAAAIDVLDSSVENLQGVARPTGSVPASSTVSNSGGVKKKRTKYINVPSAEELSAWKVRQAAVNGRAEAWGRLISQSSEYPSVPIYATHFTVGHGGNYDLRLTDSFPGSLVCKLKHVKRGAALEIYISKVVHVNGKALDKTAKVTLVGGDEVIFSSLGRHAYIFQQLPEERSSTSMLSSTCAFQQGQCPATKGTSDHLSSKGAKLSVVPFNFGNGRPPLVPHDTEIVSSLCKTMEEQNQLASDESVQFARHQLLKEDLKKAVVNASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKDSIKWTKHISSLCQQVLLSGPAGSEIYQESLVKALTKYFGAKLLIVDPSLLTSGQSSKSKESESYRKGDRVRYIGSLHSSGIILEGQKAPDYGSQGEVRLPFEENESSKVGVRFDKKIPGGIDLGGNCEVDHGFFCPVDSLCLDGPGWEDRAKHPFDVIYEFASEEIQHGPLILFLMDVEKMCGNSYSYHGLKNKLENFPAGVFIVGSQTQTDSRKDKSNSGSPFLSKFPYSQAILDLTFQDSFGRVNDKNKEALKTAKHLTKLFPNKVTIQTPQDESELSQWKQLLDRDVEILKAKANTSKIQSFLTRNGLECADIETAACVKDRMLTNECVDKIVGYSLSHQFKHGTIPTPEKDGLLALSSESLKHGVELLDSMQSDSKRKSTKKSLKDVATENEFEKRLLGDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILGVILAKEDLADDVDLEALANLTDGYSGSDMKNLCITAAHCPIREILEREKKERASAEAENRPLPPRSSSSDVRPLRMNDFKHAHEQVCASITSDSRNMTELIQWNDLYGEGGSRKKTSLSYFM >OB07G31350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17487802:17489144:-1 gene:OB07G31350 transcript:OB07G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHQTNHRLPPHLQSTYSSVESFMARVSSFPAPPPSPPLPSSTSEQRKVFTLWMKSLVLNGRGCTVYDSTGSIVYRVDNYGSSCSDNVYLMDLGGKIVLNILKKKLAFGKWEGYKWSGGKRQQEAAGAGAWFTVTRPCSSVLFQRSRRRPPSTCEFRSDGGRAMRYRMDDGCGACPGSGKQQHQAAPACCRIVDEASGAVVAEVKGKLTAGGVALGDDVLALTVEPNVDHALIMGMVLVYGLINHTM >OB07G31360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17492594:17494136:-1 gene:OB07G31360 transcript:OB07G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQRERLRVVMFPWLAHGHINPYLELARRLTSTSAAAPPPAPPPPAGREQIDVVVHLVSTPVNLAAVAHRQTDRIRLVELGLPELPELPSKLHTTKHLPPRLMPVLKRACDLAAPAFGAILDELRPDILLYDFIQPWAPVEATARGVPAVHFSTCSAVATAFFLHFLKAGDRDPSAFPFEAISLGGAEEDARYTMLTCQDDGTALLPEGERLPLSLVRSSEFVAVKTCVEIERKYMDYLSNLVGKEIIPCGPLLVDSGDVGSGSEADRVMRWLDGQEAASVVLVSFGSEYFMTEKQMAQMARGLELSGAVFVWVVRVRSPKSPDEDRGAARAMPPGFAPAHGLVVQGWAPQRRVLSHRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRCAAARGSFARWWNGATRTTSSRSARCSTGWRASAARRRPCSPTSPCTHNGPDPLQNYAKFVAFRRGGPVRGRQLSPLRPARRSVLQFV >OB07G31370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17497743:17499692:1 gene:OB07G31370 transcript:OB07G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWPPAPVMELARLAVDSGGDPASIHRALDPTMLPVPDIQEAKKNKCQLTRTPYGRRFANKDINSYLAFLFELIVAQGPSVGLNVSLSRYDLFHGHLFLASRTGRLGILFHAKEYPAFDKELFPYNLGYCQAESNVAYDDSINLRNILWLAPLPSNETKAWLAPGVLVVLDAHPDGIIYQDMIRDYVQVVRTVYEDDFGEVAVDVNYLNVANAAPSNRVFIC >OB07G31380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17500794:17504914:-1 gene:OB07G31380 transcript:OB07G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e family protein [Source:Projected from Arabidopsis thaliana (AT5G06360) TAIR;Acc:AT5G06360] MPQGDYIELHQKRHGRRLDYEERKRKREAREVHKRSRNARELLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVDNVQEGALPPYLLDRDQTQRAKVLSNTIKQKRAEKAGKWEVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYTSLGVLTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPEMDGCINAVLLV >OB07G31390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17507173:17510757:-1 gene:OB07G31390 transcript:OB07G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MKFAKKYEKYMKGMNEELPGVGLKRLKKMLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFASKGHKSHGTLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLSELMAFYMNLRRSKKNKVAMELFGDCSLIFDDDKPTISCSLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPDYWQKRIQMERVERVRLAKEHWESQCRAFLGM >OB07G31400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17518081:17518299:1 gene:OB07G31400 transcript:OB07G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAWWQLQKPATMEEAECTTLMKKTCCWYEYMNCLSISVFVHFEHNRPNWVQNIRFSPFVMDPEIDHLVA >OB07G31410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17517744:17521044:1 gene:OB07G31410 transcript:OB07G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEGEQGKTVVVVGVDDSEHSSYALEWTGQHPASGMAGGGAALVIVHAKPSPSSVVGFGAGPGSGEVVRFVEADLRKTAEDVVERARRLCIANAMHALIEVIEGEPRYVLCNAVEKHNAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRPRAEAA >OB07G31420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17522711:17527092:-1 gene:OB07G31420 transcript:OB07G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMGPPHVAEAAQGALTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPQVRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIHGRDGYNFDGNRLRVELAHGGRGNSSSFNGSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGSGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRVKEYDGKRSRSYSRSRSRSRSRGRSDSKSRSPSKSPKGKSSRRSASRSRSRSASSHSRSESKGRSPSR >OB07G31430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17529028:17530161:1 gene:OB07G31430 transcript:OB07G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPTSSPDLPEVIRGGLIPALPDDLSIHCIALLPRAAHPSLALVSRAFHALLCLHPERLLAARRCLRLSEPHILLSLRPPASASPLFFLLLPQPGWPPLPLPPPPVPVSSSSSVAVEGNRMFLVGGSVSGVPSPSVQILDPRTRSWSVGPRLSSPREFAAAVALSGELFVAGGCVPSSPFWAESLDLSTPDAKWAMVSSPPHFREKWMHGSASLAGKVLAVADRGGLAYDPAAPPGEAWAPVSPVLDMGWKGRAAVVGGILYSYDYLGQVKGYDPDTDSWSKVEGLEQELPKFLCGATLANVGGLLYLVWEGKWKGKAKGKGEARSMVVIDWAAIEVTKAEEGRLRGKVVSRDTIKFMDMPKGSAITHCIALEL >OB07G31440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17531934:17532359:-1 gene:OB07G31440 transcript:OB07G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGGEDLWAYYGGRGGDDGGPPHGLLLMGVVLALAIAGQRALSEGAGEAITAAVTDMLSPVGLLLLPVTLVLIIRLLSDDRSAAVLANVFAFGGAPEALQRVGGTAPVGVVVVLFLVLMMVYYRSSKLFGGGDDSSGGE >OB07G31450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17535154:17536563:1 gene:OB07G31450 transcript:OB07G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTLRSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHQSGSGSRVHQYAKQFLTPESNPHKE >OB07G31460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17544604:17547254:-1 gene:OB07G31460 transcript:OB07G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGFRRSFFVLWRHTHVQAESDTGETGLSGIAESMVEKPFLFRSQKQGAEGVGEHCCGDTAVVTWAIRAPPSTGPSEIEKVILEEFKLRRGEVAVSLHHPEAFLIKFQHRRHCEEAVKKGFAKRCGIEVHFIKWRSLQNALGAALMFKVRLCLDGVPMHAWAADVAERLTGCSCTLEQIETDLVHPVEAGNTRTINLWVWNAGFSSLYPICATPYRFEPSSKFSSPKCDHRDDGRDGGHEDAATYKGRRGRGGQRAEGDAPTGKEASSCCQELTVLEKSQDPVQTGNEVWSPKAIIPAKRVFERILQDLALVHGDLELMTTVLDGVVVPMLQDTGAGDIGHMDHTAVDDDEEAASNAELPPLDTVVVDMTTVIDQATRDVDTLPPKPNDSTPPKLIMQVNELFVPPPKLIISTPPATAGAVVGRRAKPRLLPSSTNTRRSARLARQPALTAMERCQRVLLRRMGLMQKEDDAAAVQEVLAQYVAMFDGPCRRTLSQHSRRSSASTTTTTTTPQTPSFESWVKGSQMRSRRWRRLLPDTHRGRKWEIDNYMYRHTLQLSFSFCGWIFGGLRAAKPALKNYCTMLLIFITSCFADVGLGTQQRLICFLLESLRPF >OB07G31470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17547541:17547870:1 gene:OB07G31470 transcript:OB07G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEYARRKEKELANQFQVLLLIKCLNWMDRNMLLYSLHLTEAFRNRVMIKFTSE >OB07G31480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17552463:17556827:1 gene:OB07G31480 transcript:OB07G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKQVSNPLARFSVEPGVHHHHREAQQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLLARGDSVIVVDNLFTGRKENVLHHAGNPRFEMIRHDVVEPILLEVDHIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRSANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDRKPDAAAGDN >OB07G31490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17557682:17559458:1 gene:OB07G31490 transcript:OB07G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVAAKGGAGGKKKGSVSFVIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVARDKTKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEGEEED >OB07G31500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17565646:17566089:1 gene:OB07G31500 transcript:OB07G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNEITREKAVIINIAEDRSVCVVRKHKVRPNASLQKCKVITTTNPTRPPTNLPENDRHRVLVSYAATCNQLAVEKNSNLNLCCNNNNLWCEVHHTEARSVANGSRLGLGRFMDNFLRASYCHALVINHIWSRLANCRNKYTSLT >OB07G31510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17576664:17577163:1 gene:OB07G31510 transcript:OB07G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALGLLAAVAAACMLGAADAKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCAGRLVAGATTNSNGSFAIEADLTSALAAFIGGCKLVVDTPLIKCNAELPPVGSLVSYLQGPLTRLLGGIFRLFPAGFSFHAH >OB07G31520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17580497:17581057:1 gene:OB07G31520 transcript:OB07G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKILQLVIGVAVVSVLASAAAPPQPPRIQADVVVMGYVPCKNGTSMKSSSAPGFPNAVVQLRCADAVDAAAGNATTDGKGWFRMAMNTSTALSSVASGCSLVVATPLATCNASLPETGTLESRLRLLVSMVFFPRGFSYVAPASLD >OB07G31530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17585281:17585819:1 gene:OB07G31530 transcript:OB07G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSLVLVTLFLAGLVARGADARVAAIIYGKVPSTVGQPSGDRSTSTAARSITGIVNTRTNTSNGELVVVLNVTSSDMMNSLVGGGGKVVVTTAAAASSNARLLRPLAPWKRAGHPSRR >OB07G31540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17589788:17591588:1 gene:OB07G31540 transcript:OB07G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESLLLVALFLTGLAARGAEAGVAAIIFGTVPCTTRPYTANVRVETNDGPMGNIYTSITNTEGQFITTLDVPSSEAMSSLASGGGKVAVATPPVMCNASLAATGTLEAPVVPVGARALGDADADSIQNATSVDMTSASIAAADFARRLADEVTMGFLRQLTNSSSLPAGVSYGGSTVDAYAVFAVGSFSYSPGN >OB07G31550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17595404:17597658:1 gene:OB07G31550 transcript:OB07G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYVKLLVKLYRFLERRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKGDQIAVIVGTVTDDKRVYEVPAMKVAALRFTETAKARIINAGGECLTFDQLALRAPLGQNTDFNLESQNTVYGAASTICSILQCYVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >OB07G31560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17595449:17595821:-1 gene:OB07G31560 transcript:OB07G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLPLHEPDEAAHRERRAVGLAHEEPLQDHGVEVALGPPLQEAVELDEELDVHILRPWRRTLCLLVPAAGHEVNTLRATEKVRCKSG >OB07G31570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17598404:17599056:-1 gene:OB07G31570 transcript:OB07G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQREAHRAAGGSKRALCASDFWAQPCPDPPRPPRRRRPLHLHPRPRCRGADQEEGEEDDVPWHQAAAVGEVTAEAAARAYDRAARRIRGAKAKVNFPNEDPPLDPAADHHHDGAAAMPCREFMDYDAVMAGVFPHPHVAAAVPGEAPTVAYVHHHLPQQQQEAALELWSFDNDNIHSAVPL >OB07G31580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17605020:17605211:1 gene:OB07G31580 transcript:OB07G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVAVPISPKQTKTKERHIRHGSALIQTPKSLNIFHKAITDGRGLSDSGQINTSSNNSSTTEIS >OB07G31590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17605221:17613162:1 gene:OB07G31590 transcript:OB07G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MAMLTSGLLRPVDAAHAIDEAALLRYAADHVAGFPSAAPGLTLTQFGHGQSNPTYCIEASAPGGAPRRYVLRKKPPGAILQSAHAVEREFQVLKALGTHTDVPVPKVFCLCTDASVIGTPFYIMEYLEGLIYPDIKLAGVAPTKRKTIYLAAAETLAAMHKVDVTAIGLQKYGRRDNYCKRQVERWERQYLSSTGEGKPTRYQKMLDLAHWLKEHIPEEDLSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATSTESHSYGGFEYTGVPDGIPSLEEYLTAYCSIAGRPWPATNWKFYIAFSLFRGASIYAGVYHRWTMGNASGGERARYCGKLANVMVDRAWDFINRENVLREQPARGVHVSKGPWQQFQRAQEGSISTNDQGRFVPSEKVMQLQKKLIKFMEDHIYPMEGEFYKRAQSTSRWTIHPEEEKLKALAKSEGLWNLFIPLDSAARARELLFEDRSHDSPGSSEDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCNPPDTGNMEVLLRYGTKEQQKRWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGEFYVINGTKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDVETPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNMMVARALNRTTFGKKIAQHGSFLADLAKCRIELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >OB07G31600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17613078:17617497:-1 gene:OB07G31600 transcript:OB07G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MP28] MPPPAPRTNHRPLLLAATFLFSLLLLLRLSFLSAHKASTGHRARVLLADGGDAEHAPAVDRHCAGTLHRDVCVSTLATIPNLAQKPLRDVISEVVGRAASAVRASSANCSSYLQRSQQLRVRDRLALSDCLELFGHTLDQLGTAAAELSAGNRSAEESIAGVQTVLSAAMTNQYTCLEGFAGPSASEDGRVRPYIQGRIYHVAHLVSNSLAMVRRLPQQRRGRGRGLRSKEPLEGYGRVRRGFPSWVSGSDRRRLQQQVAPAANVVVAKDGSGNFTTVSEAVAAAPNNSETRYVIYIKAGGYFENVEVGSEKTNIMFVGDGMWRTVIKASRNVVDNSTTFRSATLAVGGGTGFLARDITVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAVQGCKVAAAADLLPVQANFSSYLGRPWKTYSRTVFMLSKIESLIHPRGWLEWNGSFALDTLYYAEYMNRGPGADTSARVSWPGYHVLTNASDAANFTVLSFVQGDLWLNSSSFPYILGLS >OB07G31610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17623464:17624348:1 gene:OB07G31610 transcript:OB07G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAIRFVASRPSTSPRSLAAGRRRLLSSTSTEAGGAGDQSVHSGGPPSDDYPDRPPKFSGAEEATGGGHGKKNPSTAAAPPSEPTKDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRWSSRTRGSRSRRPRTAAPARKARRASPGRWWRTRWTTRWRAPRPCSARPRRGETPSGRTRARSRRCWRGAAAKGAGALRLGEPDPRNS >OB07G31620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17629220:17629426:-1 gene:OB07G31620 transcript:OB07G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFGIFIPVLVFLFYLRPDRSNKYYSPLVYPFILIPISIKQLQIIRLIFYPSYLFQTLLFRRKPIIHPI >OB07G31630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17636425:17644063:-1 gene:OB07G31630 transcript:OB07G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGFLGKAWKRTPNMSVEASTSPRRFHMTQQLCHLHSSRTSQPEKLRKEQHPLQNNSGGALSMLDYISKQDWSKQNNNVNSDNSAFVAVDSIRDTVDGSFDQRFATLKSIGEDRVNDRELKLLLKKKPAPNCYVWFDPSPYMHISQGIMKTLIINKMVKSGFKVKILMADWFAQMNSEISGNLNKMRTVGRYNIEMWKATGMALDEVELVWLSDEISQHGDEYWPLVMDIARQNSVRKIRRTCRSFHITLPSRFCGSRNPYSMGELTIDDIFFICLQCAGIIFEKADIWLLGMEQHDANLLARQYCKHVKRKSRPIAVLQNMLPNLLQCPEMENRRHPAWAIFMEDDEENICYKIEKAFCPPKLAEGNSCLEYIKHIILPWFGKFEVVQKKENGGKKIFLSMEEFTADYESGTLHPVDVKLALEKSLNKILQPVRDHFGRNGEAKDLMKAIRECCVADWD >OB07G31640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17656748:17664740:1 gene:OB07G31640 transcript:OB07G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSMPVVPAARREWQASGPDIDMRLQHRPRSNLGIEGGKLLNMTSLGVIPKPPVAEHVCHILIADFGDTSLCSTRFCKERLKVENEPEVHAWLNKWKEEDKRKKRKKKNSNGGTRKEKSRWEWKSTWSFNMKEIQNSGNKSLLMDNARHNQRCIQYKGFIDKKIAEEFDVSMKSHFSVLQSLGRGAEGQVYRRTSQKGGYECAVNICTSRKPTNDEPRIIIIFSTYDDYIEKKEHINAKLQSFKLIVLGLIYLHQQGIIHRDLKPDNIFLGNLKQIKIGDFGSGAFIGSPSCPGGRQFWGTELYASSELQSASAHNQKTDVFSLGVIYFELFGTSKSRSERLKRLEELQKLLKSHKWKTKPHETWLKSNLSKGWSGNEKLLIWMLENAPARRPSCTDILRVLLSEPRK >OB07G31650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17677018:17677664:-1 gene:OB07G31650 transcript:OB07G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSPSETLAIMSTVITIGLVVIIMATLSLTRYARIFSALMAFWAWGLIRVKRLNFWLQRTGRLDQMFSLMQIQLNEIAQSVMVLLILLFYMRPDRANLQDVRSVPFFLVGVVMAGYGMGVFFAADTPATLFFHGDLGVYFIAIGLAVVIAGGAYAQTAGASLLVYISALVVGTCISGDWEE >OB07G31660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17679721:17680493:1 gene:OB07G31660 transcript:OB07G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGSSVAEEILGIMFTVVVLGLAVYSLCWEIELAEPLKQPSWLVGLAITGHGMGVFFAGGPPVVVNLGDLGVYFITVGLVVIVTGCAPKALPEGDDLQMAAGALSVYISVLVVATYVAGDWEAPVIGSPAAPPVASQ >OB07G31670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17681216:17681380:-1 gene:OB07G31670 transcript:OB07G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPECAVCLAELSERETTGRLLPVCGHGFHEECIVTWLRVNTTCPVCRAAVPTK >OB07G31680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17688567:17690186:1 gene:OB07G31680 transcript:OB07G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERNKAAALHEKLQLLRSITHSHALSNDSIITDASEYIKELKQKVVRLNKEIACAEAAALRSQNSIPTVTVETLGHGPFLINVFSDKSSPGLLVAILEAFDELGLNVLEATASCDDTFRLEAVGGENQVDEHVVKQTVLQAIRNCSERAVQQDG >OB07G31690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17696580:17698335:1 gene:OB07G31690 transcript:OB07G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP37] MASCLSVLLLLCLAGAVSGQLSPTFYSRSCPRAMSIIRAGVRAAVAQEPRMGASLLRLHFHDCFVQGCDASVLLNDTASFTGEQGANPNVGSIRGFNVVDSIKAQLEAACKQTVSCADVLAVAARDSVVALGGPSWRVLLGRRDSTTASLALANSDLPAPFFDVVNLTASFAAKGLSQADMVALSGAHTIGQAQCQNFRDRLYNETNIDSAFAAALRASCPRPAGSGDGNLAPLDTTTPNAFDNAYFTNLLSNKGLLHSDQVLLNGGGGATGGQVRSYASRPSRFSRDFAAAMVRMGNISPLTGTQGQIRLVCSKVN >OB07G31700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17701539:17703355:1 gene:OB07G31700 transcript:OB07G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP38] MVASLSVLLLLCLAAAAAAQQLSPTFYDTSCPRALATIKSAVTAAVNNEARMGASLLRLHFHDCFVQGCDASVLLADTATFTGEQNALPNKNSLRGFNVIDSIKTQLEGMCKQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMNSANNDLPPPFFDLENLVKAFGDKGFSVTDMVALSGAHTIGQAQCTNFRGRIYNETNIDAGYAASLRANCPATAGTGDGNLAALDTTTPYTFDNAYFSNLLSKKGLLHSDQVLFNGNSTDNTVRNFASDKAAFSSAFASAMVKMANLGPLTGSQGQIRLSCSKVN >OB07G31710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17706771:17708025:1 gene:OB07G31710 transcript:OB07G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP39] MAWASSLGLMVLVAAMASPASAQLSATFYDTSCPNALSTIRSVVTAAVNSEPRMGASLLRLHFHDCFVQGCDASVLLSGQEQNAFPNAGSLRGFNVIDNAKTRVEAICNRTVSCADILAVAARDSVVAVSVASCCFLLLALAFICRHWLICNPLNCMQLGGPSWTVLLGRRDSTTASQALANSDLPPPSSDLASLIGNFSNKGLDVTDMVALSGAHTIGQAQCQNFRDRIYNETNINSAFATSLRANCPRASGTGDGNLAPLDATTPNAFDNAYYTNLMSNRGLLHSDQVLFNGGSTDNTVRNFASDKAAFSSAFASAMVKMANLGPLTGSQGQIRLSCSKVN >OB07G31720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17711040:17717531:1 gene:OB07G31720 transcript:OB07G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP40] MASSSSLCLMVLVAAMASPASAQLSATFYDTSCPSALSTIRSAVTAAVNSEPRMGASLLRLHFHDCFVQGCDASVLLAGNEQNAIPNAGSLRGFSVIDSIKTQVEAVCSQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASEALANSDLPPPSSDLASLIGNFSNKGLDVTDMVALSGAHTIGQSQCKNFRDRIYNETNIDSNFATALQANCPRATGTGDGNLTPLDTTTPNAFDNAYYTNLLSNQGLLHSDQVLFNGGSTDNTVMNFASNPAAFSSAFATAMVKMGNISPLTGTQGQIRLSCSKVN >OB07G31730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17715750:17717555:1 gene:OB07G31730 transcript:OB07G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP41] MACASSLGLLMLAAVVSTATAQLSATFYDTSCPSAMSIIKSGVTAAVNNERRMGASLLRLHFHDCFVQGCDASVLLAGNERNAGPNLSLRGFGVIDSIKTQVEAACKQTVSCADILAVAARDSVVALGGPSWSVPLGRRDSLGAATATQVINSLPPSTDSLAQLISAYASKGLSATDLVALSGAHTIGVARCRGFRTRLYNDTNINSAFATALQANCPRATGSGDGNLAPLDTTTPSAFDNAYFTNLLSQKGLLHSDQELFNGGSTDSTGRSFACSAAAFGSAFATAMVKMGNIAPLTGTQGQIRLSCSAANS >OB07G31740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17722301:17723828:1 gene:OB07G31740 transcript:OB07G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP42] MASSSASCISLIVLVAMASAAAAQQLSPTFYDASCPRALSIIKSAASTAGCDASVLLAGQERDAAPNKDSLRGFEVIDGIKTQIEAVCNRTVSCADILTVAARDSVVELGGPTWTVPLGRKDSIDASPALALTDLPPFTASLQELVAAFDKKGLTVTDMVALSGAHTIGQAQCSTFRGRIYGETNINSSFATALQASCPRSAGTGDMNLAPLDAATPNGFDNAYFTNLLSQRGLLHSDQVLFNNGSTDNTVRSFASSAAAFSSAFTTAMIKMGNIAPKTGTQGQIRLSCSKVNS >OB07G31750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17726506:17728314:-1 gene:OB07G31750 transcript:OB07G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MP43] MAFLAWLAVMAAAAFVSASGSGELTPDFYSETCPQALTTIKLVVGAAVLNEPRMGASLVRLHFHDCFVNGCDGSVLLDDTDDMVGEKLAKPNNMSLRGFDVIDAIKAAVNTACLGNVVSCADILAVAARDSIVALGGSSYDVLLGRRDATTASIDDANDDIPNPFMDLPELVDNFESHGLSLNDLVVLSGGHTLGYSRCLFFRSRLYNETDTLDPAYAAALEEQCPITGDDDALTSLDDTPTTVDTDYYQGLTQGRALLHTDQQLYQGGGGDSDDLVKYYGENPDKFWEDFAAAMVKMGNLSPLTGDEGEIRENCRVVNQE >OB07G31760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17735224:17737513:1 gene:OB07G31760 transcript:OB07G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRPVATVARLGMNGEVAHGTAPAAGGDRAVVDTSAPFESVREAVDHFGGRAACLTERMPVAPPKAQGQPEGTQERVGSHGQTVQLEEELSIKEMQTLDVLRVLESSKKIITELKLKMHNKESADAFTITEAPVTVEEHGDRHSENVQADGVVDGLHTQPQQPPGSVLMELEKAKAYLIRTTADIADIRGSAVLLRNEIAKEKILVERSREKVCANASQISSLQDELDHTTQKLQTLKGRQRRRDYPSDILMEIKKMTSEIEQLRTAANASKSEAVILSAEIEQTRASIATAEVRCLAAKKMEEAARAAETLVLAEIKALLSSEASSGDLQGTDVVNLSMEEYFELASKAQESDISSRKKIEAAMVQVEEAKQSRSNSINKLEQAKLEIENRKSALQDALKKAHAANRGKLAVEESVRRWLYENGHKRRSFHDSSKLKNTADGIDVCKSFLKPTLSIGQILNMKLMGPDGYDKSVWDDTTEAPNVSLGQILNRRNAVFYNSDITSQKRLSEKRKKFAFARLSVLLSKQTKGKKNKGSDQSPCLPKNETVAR >OB07G31770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17746568:17747488:1 gene:OB07G31770 transcript:OB07G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MP45] MTEDLARHYFRQLISAVRYCHSRGVYHRDIKPENLLIDGAGDLKVADFGLGAVADGSLHHTLCGTPAYVAPEILSRKGYHPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPSWFSPELRCLVRRILDPNPATRIDTEEIINHPWFRQDASRGAMAQLMYGHDEEARFKTEFKEDMARDMTAFDILACSPGSDLSGLFGAEPGTERVFVGEPSAAVLGRVEEAGKKEGHLVTREGKKGTGPVYVKAESGGIVAKVCVFKIADAVSLVEVVKGGGAEASRFWKHRLEPAVRPPAAS >OB07G31780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17766329:17767663:-1 gene:OB07G31780 transcript:OB07G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MP46] MAEQRGTTLMKKYEMGKLLGQGTFAKVYHARNTETLESVAIKVIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFTTDVRRLLLRILDPNPSTRISMEKIMENPWFRKGLDAKLLRYNQQAKDAIPIADMNTDFDSLSSSPTLEKKPSNLNAFDIISLSTGLDLSGMFEESNQKESKFTSTSTASTIISKIEDIAKGLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYRKLLNQEMRPALKDIVWAWQGEQPKQQQQQQP >OB07G31790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17777871:17781655:-1 gene:OB07G31790 transcript:OB07G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MP47] MDPEFGGGMAPRKKEPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLECPPKVANKSRIKEWLEKHKSLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSVLGLYNIIHWNPQVYHALNPYYMLKFLKKTRKSGWMSLGGILLCMTGSEAMFADLGHFSYTAIQLAFTTLVYPALILGYMGQAAYLSQHHALDSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLASLVIMLCWHRSPVLALIFFLFFGSIEALYFSASLIKFREGAWLPIMLALILVAVMFIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALYSDAGSGSGSEQLDRYERENALTVIGSNPLRRHLSYDVDASHDGVSSVDTRVENSPNGIVEVSTPSVKKKVRFVVEAASPEVDKGVLEELQELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >OB07G31800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17788783:17789373:-1 gene:OB07G31800 transcript:OB07G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDARALQEAPAGAAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTHSGGRATVRAPSPSLDSTPSAPASTTAARVLALQAQLGEAAALASASTKLSTGNDKDDGVEEACRSFENHLMEMLVEERKVRDLMDVEELLCCWEKLRSPVFVQLVGRFYGELCMDLFSGRDTDLSSESDELSF >OB07G31810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17800532:17801240:1 gene:OB07G31810 transcript:OB07G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTSWFHRLRRKRSTARGDASDVEAATKTAPMAGALPPCSPNRASYYFPSRERRAAADNPKVRDTRFPRSPQPSDIVFDVVAVSSAADRFDGMKEMPELRLRPILTKRAAKKGGDGDEALDSGTSGAARRCRWLYESLLVVKESADPEEDFLESMAEMIAVNDVRSPRDLEELLACYLALNAAEHHRAIVGAFRRAWLHTATATATIANPITTK >OB07G31820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17806957:17812775:1 gene:OB07G31820 transcript:OB07G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G56310) TAIR;Acc:AT3G56310] MEAPRIRLRLPFLLLVLVVSPPANGGRVAPRSLEPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRETADALVSTGLADLGYNYVNIDDCWSNVKRGNKDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGIFTCQVRPGSLRHEKDDAATFASWGVDYLKYDNCYNLGIKPKERYPPMRDALNSTGRQIFYSLCEWGQDDPALWAAKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKAPLLIGCDVRNMTKETTEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNRLAVALWNRCEETANITIKLPSVGLDGSSAYSVRDLWKHETLSENVVGTFGAQVDVHDSKMYIFTAAVTVAAS >OB07G31830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17813145:17817702:-1 gene:OB07G31830 transcript:OB07G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAFGSVPLKTYLPDGDVDITILGNTALDSSFISDVRGILEMEEQDDGAELELKGLQFIDAEVKLIKCVIDNIVVDISFNQIGGVSTLCFLELVDHEVGKNHLFKRSIMLIKAWCYHESRILGAHHGLISTYALETLVVYIFNMFHKSLHSPLEALYKFLEYFSKFDWDKYCISLNGPVALSTLPSLIVEHSSIHDEFFGKEIPEDLSDRLIVLPEVSDESNMNFRLKYLNIIDPLKWSNNLGRSVSRGSFYRIRGAFSFGAQKLGQILMLPSDLIPTEILGFFENTLKSHGRGRRSDVGSNGSSKSSLCSEYTLGEDASDSKESGISEDENKSPDQQRISDGYFRGDAQDRPWNKIWFTNSDIQYYNVSGDFFSGRSSFSPENGNNMKRHCRDNYAREENLPPSRSSMEQHIEANNQSHILTPSTRINTLDVSNSCPEESNRSDLHEEKLPLSPFSSSNLLDLSGDLDLQLECLRKVQYHLESMFDGLVQEACFSGPLNNDSRIPTTSFSNTDQRPSGPLSISSAGTERRNFSPGCCSHNTGDISQKSVSHTNDQVNAVSPSTSANSENHPVSRFQSTQDNVRTHGTGMGTLNNASLLSGTDILSNAFSQLYHAVDSESYQYCRPYVTTDESGSRGTGSYIPTMNYYYTYKEHVFSYSDKGKRQREVLPHRPVKIKTKPTYSPRHNSPEMGCSSASNGGFTVEGGSAQEKSPTNRVTKLSATNQPWNGHNSQHGYVCSKVNTIDSQRPATNEGLIVPNNESREISILPASQVRNKEITASSSSSRIDLRHCVGNGQGNFQESNTSSPATELVEGLEFGSFGPISPIVVCEKFREEFPPLPSRKMPAVALAPVATPVSSSPAATGSKPEGSYQLRDEADFPPLGAGSRNASNRRVGG >OB07G31840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17828913:17832336:-1 gene:OB07G31840 transcript:OB07G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMTKSNEAYNTGMQQVPYNSSFFQLSEQHTVQHHSGVQQLQHQFQQPHPSVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGQVAVKSEAEVIVKSEGSSISAGESNNTF >OB07G31850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17834250:17840703:-1 gene:OB07G31850 transcript:OB07G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAAALVAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGYAVLCDKCGLAYEQLVFCDIFHQKESGWRECSFCGKRLHCGCIASKKSFDLLDSGGVQCVNCIKNSAVQSVSSPVVPKIFSSQNNPRLFGKSDELLSGRPLETPSLMVDARNDDLTIIAKTNHPFMVKNIEAGQCSNILRQQELENGSRQVKWELPTLNISDMRRMPFLTRSQSALESSQCARRDENKDPTAESTTSESLSEACLNMSLGIASNGNKLEATSTVERPTLSPTTGFAEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDSLFSNTNENLAIVSGYSGFLQSIKGAADLHTSSLYDHHVNSADGDVSWLKADKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPSAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDPKSCSCSAPEELTPKELHIVLQQYEDMRRRKNSFGFKQSMPEMDAASLDALATAAVFGEVGNQGVPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMSRDEPEGSSLSRSPQTMDTTRDGDVTMADKVDINKGHIDLNFHPTTIRDEEQHGGPQPRMSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAAATAPQPAPVESEERTSNTEGGRVPSAEQPESMAVDEAGDNPPDKAAAAASDAAAA >OB07G31860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17848656:17853277:-1 gene:OB07G31860 transcript:OB07G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAAWRRWALLLVVVASMAGEAAGRFVGEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANRKACKAFDEFDLSFKPKSGGLPVFLLVDRGDCYFTTKGWNAQSAGAAAVLVADDRVEPLITMDSPESSGTDYIEKITVPSALVTKKFGDDLKKSLENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRRFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFNIGYDGKDVVLQNLLQICLFKVANETRKPWVWWDYVHDFSIRCPMKEKKYNRECANGVIKSLGLDIEKINKCVGDPDADEENPVLKAEQDAQIGHGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDVCLSEDIQTNECLENNGGCWQDKTNNFTACKDTFRGRVCECPVARGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKTVSACSNEESKGCKCPPGFKGDGINSCEDIDECKDKLFCQCKDCTCDNTWGSYECSCGGNNMLYMREHDTCISKVGSSSVGWGFLWVIFFGLALAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETQNQHRPVEHADI >OB07G31870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17853355:17853558:-1 gene:OB07G31870 transcript:OB07G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDGTRTTRPRPRPRTSISLCMRISLQNHTNSVVGCFFSASLVKSILYCTRLCSRESLALFLFSLPSYP >OB07G31880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17854392:17857213:-1 gene:OB07G31880 transcript:OB07G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQPTDIAAAATWGVAAGAAAIYLVQPFDWIKKTFFEKPEAEA >OB07G31890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17863036:17866084:-1 gene:OB07G31890 transcript:OB07G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGDGDSGSSPASVPYEVLGDLLEVRKSAVKLQSMLAETPSSSCAAASTRQLSQLLDGVVSRLQASTLSVMSPAGGGAGRRGSGGRKKKSPAAEYSGPHRRSSSGRRRSKSPFVKMVTAKELEDGKQWRKYGQKHIQDSPNPRSYYRCTHKPDQGCMATKQVQASETNPSEFVISYYGEHTCRDPSTIPFVFEAEAPTADYANLISFGSRAAAVASTSRDVPLQSHRHFLADAAAAAAAEPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVISWQPPPPPPPSIQRRHVASPTAAPRCRRRSAPARRSCSSAAACRSPPWLDPRGRRRRRRSWGQRLRATGRRGSSAVTWRAASRPLPAASGSIWLALSAPLGIFLGMTTTCLASIPNKGLHGYINSCHANYRYKYPRNKSAICMILLQ >OB07G31900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17864089:17864382:1 gene:OB07G31900 transcript:OB07G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALKRHVPACARDGGGAGPEADEVGVVRRGGFGLEDERDRGGVPAGVLTVVADDELRRVGFGGLDLLRGHASLVWLVRAPVVTPAEIEQLFDSGS >OB07G31910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17869176:17881415:-1 gene:OB07G31910 transcript:OB07G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;DNA binding;helicases;ATP binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT2G40770) TAIR;Acc:AT2G40770] MGRRKSRPARAGGLGAGAAAEPDAPSPSXXXASKSRRAAKGEARRDVCFEVDSSTWCLSDGDRRDLAELVMRDVRISGEGEEALDVAALYEAGREKRFPLRLRVRDAPEEGFRLGNWPVVPSDCVILEYVVAGMVISGCFDGPDEGVSGLAHLVKLRFVTLRVHAFNVSQSGDSVSVVSFRVRLGVMEKAFAACESLLEVTRHPWRKSLMNMVAWVRPEVMTSTAIYGMDGPVLPINGDANGDFTPKKDSQFDLAALYEAIKPSMEAGLFEEELADLLPHLRPYQLRAANWMVQREKGNTMVSSPNQQYVHSAPYCVPIDFMHKNSRMFFNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSAGYSVSSNIKGTYQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHFSDDNGDAAANNEQGTIKSKIKRKKKDKYCTAETEEKYICTLCLELIEAAQSNILSHATLIVCPSPILAQWHSEITRHTRPGSLKVYIYEGARNLDLPSTQKIDMAEISTCDIVLTTYDVLKEDLSHDSDRHDGDRCFLRYQKKYPVTPTVLTRVHWWRLCLDEAQMVESSRTSVTEMAMRLNAQHRWCITGTPIQHRLDDLFGLLRFLRTSPFDTYRWWADIIRDPYEKGDTIAMNYTHKFFKEIMWRSSKIHVSQELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRIRNDANKREPISDSSVVSNVYLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQKNQEAISLYKEALALACENFDDFRVDPLLNLHINHNLAELLRDSSEYLQECPLKEQTFEAHGGRKRKETSPVDSDMCGIKRTKMCENSRSSLMTDSPETSKEDENIDAQVCKNREMDVENDSGCHSSSVCLADSCLKKTCNSIREKYLSVFTSKLLIAQKDFSASFTEVSTLSTELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMVQTISGLKYTIQAGIDSLQSSRQQLMHRLLELDKTMDNPKDEDIECHRYCPNCYDGNGSLCIQCELDELFQAYEARLFLVKKSNNDSVIASVEEAQDLQRRKYELNHFFRNTKTNEGSEPGDENKNPRFARENIQVYRHPSRIETSLKVIRTHSKTIMGEQYAKTAKKHLLLFEAMRKEFPQARNLSIAQNQLLRAHDEIKMSLSRLQLKENDDEPSAVNIVTREELIPYNVQFTSDKFLSLSSLARIRGQLRYLKGLVLCNKKTLRKHGESLPELGNCAAMATSFAATGQTVSDTSNESCPICQEKLLDQKMVFQCGHSVCCKCCLYMTEQAAAHFGRSKKWIMCPTCRQRTDLENIAFVVENKRENADREVEDLAERAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNLSYVRMKGGRKSQAALSQFKGLAGSISGERAKKSFSKMQPVQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKNTFIHRFIVKNTIEESIYKLNRGRAVCSTIHRKSKNFKDELVLTLKDIESLFPMVASDQPSEQEDKNQGGHLRSLPPSIAAGLAAERRSLVQHDNLH >OB07G31920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17883017:17885058:-1 gene:OB07G31920 transcript:OB07G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDARVVRHVRCPKCFSLLQEPIGAPVYQCGGCGTNLRAKTRTGSSQEVISAPSSLESGLPPPSKHLGSSDVASTSGSTPEAPITTRQQGADMTSRCETSDLASARSNAPEQVLPAEEEEEEHVQSTSQEAVGHSEDFTVGDTARAQCSDRASDSMAHLSEGRKHGSTTNLEDVEQRSRDGQAEPEVAGEKNSEETASQSVEVTPSSAQAADSQPAPAVLNREDDAAAAADERKTTTTRSPPHGHESLAPLQKKILKTVDNLKDDLSELFRKSPELNKPRTRARPPRLPRQEGYAPRDAAMAATSLAAIRARHAAVHRPGYIARAGKPGQLAAPPPRGRGLPSWRYRRCRADHPCCHDAHHVPSCHHGCCHHHGKQACTSCRGQHCCGPRTQEPPTPRKPPAAKEVKRRAPPRNLCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLQCGSCSAVLCYSYRDPDRKKHGDQYSTDGSQAARRHPRRDPFAFMDDFGHVDVSYSTEDEQPLHVSRNSSFNSIDDEAPNATAATQQQQQQQQSSSLHRLMGYGSASDLLFRQRSPDLYESFSERTTPEAAALYDRKGKGVCVDFDDDGDDDSDEDCNGALKRSRVRGSGWPLPGILNKAMPGMGAIRIK >OB07G31930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17893350:17895520:1 gene:OB07G31930 transcript:OB07G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQKSYLTRTIAQHPELFTAKIILPMGPPDVVSESDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLVESNEEVYNDPIVIIKRSHSLVGPTSLVSHSLRLSNLALNKAEGSSDPVECTSEEKERNLGQLSDEEFDNATTENEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILENNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHENGIMHRDLKPENILLDANGHAMLTDFGLAKEFHENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEGGKRLGSGPGGSDEIKRHKWFKPINWRKLEARQIQPSFRPNVSGLTCIANFDECWTSMPVLDSPVATPVAGGAGHSSFAGFTYVRPAPFLQEVKPPSTSRLKD >OB07G31940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17895990:17898873:-1 gene:OB07G31940 transcript:OB07G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLSLRSPLSLLLLLQLSFLLFSPLSLADLASEKQALLDFAAAVYHGNRLDWSQNTSLCSWHGVKCSGDQSHIFELRIPGVGLIGAIPPNTLGKLDSLQVLSLRSNRLAGSFPSDVTTLPLLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFSGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPRSLQTFPNGSFLGNPGLCGPPLGKCSLPYSPTPSPESPSSAPPSTSPHHEKKFGAGFIIAVSVGGFSVLMFVVVVLAVCNSKRKGKKESGVDNKGKGKGTVVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLSNGSFSSKLHGIRGVTEKTPLDWNTRVKIILGTAYGIAHIHAEGGAKLSHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDIYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMSCTSRSPDRRPTMEDVIRMIEGLRHSASESRASSDEKFKDSNPPSV >OB07G31950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17900796:17901029:-1 gene:OB07G31950 transcript:OB07G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRAFRGIPWAVRTGRRPAAAGEVPRCSPGSAFTPLLFLFWFGCRLRPAAEMFFGRAANFFSRSLGHRLQIDRDTGYC >OB07G31960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17909329:17911553:1 gene:OB07G31960 transcript:OB07G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEANNAKNWRTVPPPCVGYVWRYMAWGQYTRDVAGVTDQIAAYASQVPAGDDGLDAWVFDVDDTSLSNLFYYQAKQFGAYDPVAFKNWASKAICPGVPGMAQLFQTLKGRGFRVFILSGRDEQTLGSSTATNLVAAGFAGYDRLIMRRAEYRGVSSVVFKSAMRRQLVEEEGYRIRGNVGDQWSDLQGDFLGDRVFKVPNPMYFVP >OB07G31970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17913235:17914122:1 gene:OB07G31970 transcript:OB07G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSCCFAVAACSLGLYLYYVLWVVPERLRARLRGQGIGGPRPSFPYGNLADMSSASAAATKATGERRCDGAAAIVHDYRQAVFPFYEKWRKQHAISTNEKIKVYMYRFIEQQKLA >OB07G31980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17916398:17920058:1 gene:OB07G31980 transcript:OB07G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHVSRPELVRELSLCVSLDLGKSSYMKATHQPLFGNGILKSNGDAWAHQRRLIAPEFFPDKVKGMVDLMVRSAQVLVKSWQDRADGGDASALDFMIDDDIRAYSADVISRTCFGSSYVRGKQIFDMIRQLQRAVSCSKKQHLLAEVTGLSFFSTTSSSRAAWRLNRRVRALILDLVGESGEDGDNLLTAMLRSARGGGAAAEDFVVDNCKNIYFAGYESTAVTAAWCMMLLALHPEWQGRVREEVQEACNGGGGLAPDFPALQKMKNLTMVIQETLRLYPAGSVVSRQALRELVLGGVRVPKGVHIYVPVRGGAVAGVRALAGAEAHRGAGAWRAATAQENEAQLYMVRV >OB07G31990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17930578:17931805:1 gene:OB07G31990 transcript:OB07G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLAGPPRRRRRRRRRRGGDEGGVQGVRRGRRRLHLRRRAAGGAQETRHARGRQPGHRAGDDLQRRPRQRRPRRLRRVQVHDAGDHRLWRLITSVSEALK >OB07G32000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17936527:17944454:1 gene:OB07G32000 transcript:OB07G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPNRDKITKSIDDALQLSKTYGFSGIETGHVVIFFMLSVITKLIDCTLEDCGFQSGLSEAQESIYAIEGPQDMDLDVKGVSTEKQNEHRAQLRRKNTAMALDAMLMMVADRKIQSFLRLIFLNMPEKFSTLSQRLSLVEAHKMELETLLTANRKIDDLLMNIRRVSSSAYQPNNKRLLGVLGNMKYSGSMLGQFTGAGRAACWVIFDIYVENAIDGKHLSAISAIEVLKEMTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLSIGAILKEETDVSGVQGGKNLPKRLGLVSSLQDLVQYSGLLVPPSAVVNAANAAASKAAIFKANYKSGGGNPGMMGQNDSSTKPVGNMLHLIVEACISRNLIDTSAYLWPGYVVSSGHLKDATLSQESPWLNFMQGAPLSGPLIDALIATPASSTTELDRLYNIVLNGSEEEKSAAAKILCGASFVCGWNIQEHVVRMVIRLLSLPLPSSSSTQGSVSHYLSQMSTLNALLLGISYGDAIHIISLYGMVPDVAAALMPICEVFGSIPPPSNHKPAIVGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMHNNHIDFANSSTSNRNCNNIGPSNEVPAQPLYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKPGISSGNLSSTSSSSVSGSSVSTSDDSYQRPTVPAWEFLEAVPFVLEAVLTACAHGRFSSRDLTTSLRDLVDFLPASIAAIVSYFLAEITRGIWKMVPMNGTEWPSPGASLHSIEAEIKEILASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKSLEYIHAISGQALENCTGGSSWPSMPIIGALWTQKVRRWHDFIVLSCLRSPFGRDKDAVAQLIQSCFSSFLLSSCGGSDFTANRGVGALMGDSITGQGLQLPMAPGFIYLRSCRTFQDTYFVSEVILKQVIEWADKLANGFSSIGPPQLKSGRTPLSSAACMAHQVAMLGGGLLCVAGGPLLVQVLYEETLPTLLLSARDESMKDPGPVSSTLQGYAMANMLFFCGSLLWGAERTSPMLKLSFLSRRPRVVGTHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIASGLRSWNEHDLALALLERGGPQAISTVVETLL >OB07G32010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17944760:17953121:1 gene:OB07G32010 transcript:OB07G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKTYFGFSGFRSCQREIVQKVLDGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQRGVKSEYLGSTQTDVSVSGEAEKGLFDVLYMTPEKAMSLPPRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHMLRDLLVGVPFVALTATATQRVRGDITTSLTLRNPHIVVGSFDRPNLFYGVKSCNRSMSFIDELVQDVSKNCTAGGSTIIYCTTIRETEQVHEALVAAGIKSGIYHGRMGNIAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCAEAKSQTQRKAIMESFMAAQKYCLLTTCHRKFLLQYFGEERTTDCGNCDNCTRTKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRGKKIVENNFDKLPLHGRGKDYPPNWWKALGSVLLEHDHLKETVRDTYRFISVSPNGCKFLATADKVDGAPLFLQLSEEMIELEGHGSSQCKEGGLNPSGPSESERLSEDELKIYQVLLNVRMQLAQDIGTAPYAICGDQTLRNFAKLRPSTVARLANIDGVNQHFISRYGGIFIQNITKLAKELNLPLDDSSAVESISAAPKPIQNNLPRNLGDAKFCSWELWQKMKFSFQKIAYFRRAVPIKEKTVISYILDAAQDGCEMDWSRFCQEVGLTHEIASKIRLAITKVGSHERLKPIKEELPENVTYEVIKTFLVIEGLGLSEQVFGTVPTDGILSKTEESPKPTSNGSEVGENDNQGHRVLELDACDSRSPLTKRGQTDGSLICGDEPASKLQKIDGQGVKSTAAVGATEDAILELVAGGDGVSLEDVVKHFDGSKRECVVEMLDSLEGNFSVYKKNGCYLIL >OB07G32020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17954254:17969845:1 gene:OB07G32020 transcript:OB07G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MP70] MKATPPPPGAAKRRRGPRVAVLALVFCSLLVPLAFLFDRSQSGYVSTDERHRQEVVLPSFHHVEKTDGDGTVNGLMQYTPKKMPKGNSGELQKHKQTDRHTSRVNTKPKVLPTPKTDPSETVKELTRGTREVSEERKRRDKGTNTDEVENAKTCQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGEEELTRVIKQNIQDHERVLSVSTVDADLPSFINKKMDQMEQTIAKAKSCTVDCHNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSLDSDDSSTRKFNAANGRHYVVLSKNILAASVVINSTVSSSKDSKSIIFHILTDAQNFYAMKYWFDKKSYRKSAVHVINFEDIIKEKLTKFSVRHLYLSEEFRVLVRSTEQPAGKTRMDYLSFFSHSHFFIPEMFKDLKKVVVLDDDVVVQRDLSFLWNLDMGDNVNGAIEICGLRLGQVRNLLGGTTFDAKSCAWMSGINVINLDKWRKHKVTENYLLILKKVVMKDETSLRTAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEEVARSSAVLHYNGNMKPWLELGIPSYRKYWKRFLTREDKFMDECNVNP >OB07G32030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17960356:17961405:1 gene:OB07G32030 transcript:OB07G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDWDPQEEDLRSVGVLGVYRHCFLVVRARRRIVARVALCHGLPLSVLFLANVAVAHAIFSRYVPDDDAFEAAAPAGTALAALLFLLRGSADWVAYLLFKAAYLSALLALSLASTAAVVSCVASLYSAAKYDDLYLRRGIRAFPLAWIRRLVDTFLAAFVLLLLYNGVSIAVLVLTVLLLYSHHGTLLASLLLLGGAVYLAGLVYIGVVWHLASVVSVLEDARGAAAMRKSRALLAGKLWTVAAIFAKLSGLSFAVEMAFRVVVVEDKMRLGLGDRALLGMAMAATLCAVIMVALVAQAVVYFVCKSYHREVVDKAHLSDHLGVYLGEYEPLDSSNGGGRGVQMEQLP >OB07G32040.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17969065:17971258:1 gene:OB07G32040 transcript:OB07G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQPVSLIIKANLECDKCYKKIQKVLLKLKEKEKILRVDYDNKGNKIVICGYFKQEELAQKLRCKLCEAIKDIEIVPVKKLEEKKKVEEKKPDEKKTTEEKKKTDDKKPEEGKKDEKPKPKDKEEAPKAAAVAPSTTLAMKASCHVRMGRDPLKEVTLVGLTMSDMSVTRVLLTLSQRIPFQRIIV >OB07G32050.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17969725:17971029:-1 gene:OB07G32050 transcript:OB07G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYSTEYTVDVSGSHDGTCTAGRVRVLLVDELALLHDPAPLAPPARRRPRAAHRLRRRHRRPPAAAGAAPAAVRGASAGPFRDDWSDNATPRRVPGVLVARERRRCRRHPRPLRVDEAAAQVARVGAWPRVACAA >OB07G32060.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17976940:17980760:-1 gene:OB07G32060 transcript:OB07G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPVSGPGTPTSVAAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRNNSISNGAGEEMVGLGEELISPANGGGPPSPFFGGAGGGDPLMDELQLQDQLAFLNDGGVPAGHQMPMFDGGECRSPGAGDGGLFPYNLGWANGGPGHRRSASVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDSAALGGGKMDPSAVEQQCQDFLMRSKSQRLAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQNESQRAAAAAALMLSGDEAHKFMGRPRLDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQHQPGDRVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAIELHSRRLMGLQLLDFKSRAAAAPTPIGNPFSPSQTTATATGESPPDSAELGKGSGFLLPHKKAVNGVDKEESAGESSSPNTDSDQSAEHNLPDSPFASPTKAAAGFGRDPFAPSEAEIIASASTGCSASYVGINNGGSNGGTNHLLPSALDMPSPKPYFFPMSRLASDHGAIGM >OB07G32070.1 pep chromosome:Oryza_brachyantha.v1.4b:7:17996385:17996564:-1 gene:OB07G32070 transcript:OB07G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding THTINLPTHVLLHQHHNKGMGSGALYGFVPIVIVTLFNLHMYHKESAPSDFVSHFHCPF >OB07G32080.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18004766:18008185:-1 gene:OB07G32080 transcript:OB07G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLDYLFGHTDGYGERRIGVDYHGVPVYANRKGGVEDAVVIQVEPPATGTVEWHHTGEEPASNDGNRLSWDDNTKDESYAYAQPNYGSYDGPYDQSYSLDAVSDETTWFPQNYQHLHKEDESQYQEILSSSYIESKVSAQPIYCYNQQFSEQPLHVLFEPPETVYSEKLEYYESFSTYNNHNSADYSGMLGHSYDIQSDEHAPDEPFEPVKPSWSTNSGYYQSCTDGASTEFENHSLTSSEFGGIASLFATSFYPQQTQIYECHGDENVSLQPNWQCNWNVVSENDSQSGDDSNHMAGSFWPFGDHFAHAI >OB07G32090.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18009506:18014126:1 gene:OB07G32090 transcript:OB07G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRLCTYITVSGPIFLLKNCDESEKKKPGLSAYAKDPQEAAESLVPLLEDAKRVVPAELRDKTPVRVGATAGLRALGAEKSEQILQAVRDLLREKSSFKTQPDWVTVLDGTQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAGKAPKPSEGEDTYVKKLFLKGATYYLYVHSYLHYGLLAARAEILKAGNGKGYSYCTLKGHQGQYKYGDGKFEALASPSGASFSKCKADVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVASS >OB07G32100.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18016440:18018982:-1 gene:OB07G32100 transcript:OB07G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTYSTYLPTLANFPSPFSAFRSIRPVTEAVAVQLESEWHWVKGNEINVYDENKVSRGTKILISISCYLFKYRKIAQGPDAFDHASASASARALALAQQVIDLVILVLTSSGHRGGNGSRPVAYLTTLFNF >OB07G32110.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18023783:18025855:1 gene:OB07G32110 transcript:OB07G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQMMSPATSLPPGFRFHPTDEELILHYLRSRAAAEQCPVPIIADVDIYKFDPWDLPPMAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSSTGDSVGVKKALVFYRGRPPKGTKTSWIMHEYRLAAADPLSKPPSSSSSSCRFRNVSMRVRIIFHLQLDDWVLCRIYKKSGQASPMVPPLAAEYDHDEPSGVLDDAYSFYAPPSSAVIPKLPKIPSISELFDEHALAQIFDAADVPADHHNHVNQNLAVVHPSLNQLLSVGDNFLADCYSSTTSQLATAGGKRKSSPGDQWAGHTPAKRLNGSCFDVAPPTVGGLQAAPSSVLGGLNHQMLPPQLF >OB07G32120.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18028181:18029092:1 gene:OB07G32120 transcript:OB07G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGHHHGQHQPAPPPAGPPQQHEPTFKIFCRADEGYCLTVRHDAVVLAPTNPRDEFQARTCTMHALSMLDLLLINYLMCHQSIHGHGEQHWYKDMRHSAKVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYRPEYEDESVLWTESKDVGKGFRCIRMVNNIHLNFDAFHGDKSHGGVHDGTTVVLWEWCKGDNQSWKILPWGSEAHAPPPPAYGAGGYGYPSGSQEAYPPPPNREPGYGYRPPAGGPEFYPPPATHEPGYG >OB07G32130.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18029217:18035263:1 gene:OB07G32130 transcript:OB07G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSTRIKDEEGYPAFALVNKATGEAIKHSLGQSHPVKLVPFNPEYEDASVLWTESKDVGHGFRCIRMVNNTRLNFDAFHGDKDHGGVRDGTAVVLWEWCKGENQSWKILPWGPEAHSASPSAGTAFTVGGVPVHAVRVFCAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGNPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKILPWCCGTSSSHNDETAALKIWV >OB07G32140.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18037439:18038714:1 gene:OB07G32140 transcript:OB07G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARNGAVVLAPANPKDDYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQAIKHSLGQSHPVRLVPYNPEVLDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTEIVLWKWCEGDNQRWMIQPYY >OB07G32150.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18054037:18055368:1 gene:OB07G32150 transcript:OB07G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSEEAQLELPPGFRFHPTDEEVVTHYLTRKAQDRRFSCVVIGDVNLNNCEPWDLPSKAKMGEKEWFFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGVLVGMKKTLVFYMGRAPRGEKTPWVMHEYRLEGKLPPNLPRSAKMDVVACEQDEWAVCRVFNKDLAAKNAQMAPPLDAPPMPRSDSFDILRDFLDDADLPALMDPFADAPTSTPPSTAYGYHHAAAVKTEPQHPQPTTHFARPATTGAGFLHQPSSADQAIRRFCKAEAAGTSAAGADMSAVARQQCLDPVDDELLYYTDSAIPDYSHIWKNSDEMINY >OB07G32160.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18068547:18068756:1 gene:OB07G32160 transcript:OB07G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSHPLNVPTIESTRCHHNCSTVLFLTPAAARLTDFSRTNFKPQEKYVRHDLFTPRKFVHLRAYLVEL >OB07G32170.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18069136:18069462:1 gene:OB07G32170 transcript:OB07G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGTAKNQLHLCSLFFKSSSVNSALKKLKSVPFSTTLTPVKLELRALVTDILVNVLNEKNRFTDGNAHHLLQTNPHEICTNGQSVERKFIESVHVTIDIFIDTMIQSF >OB07G32180.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18070121:18071634:1 gene:OB07G32180 transcript:OB07G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSGSVVASPCGGEVGGRVVRGAAALAESVPIGGYSSRSSFPGGRVALTEKKARLLPRNLEAAPGQVCSMNLTIGKAMRWWERGLQPNMREIESAQDLADSLLNARDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKLKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHGPDRCSLGPAKGLEESELMALAANRDLNFTYSQKQDLVPIADAILKEAAAPGGPWLPLPSSTTHLLTHGSENSLLSSGR >OB07G32190.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18088759:18089595:1 gene:OB07G32190 transcript:OB07G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGALLPANDYGILLHSLQMGESYFLVTRSS >OB07G32200.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18091804:18095491:-1 gene:OB07G32200 transcript:OB07G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVGSCPCSSSVPMDLAAGAASHRSPDSHVAPPPHPPEMDSCEDAGEMRISEEKPCADQELDTEQTNSSSLNSSSECENQTPSNDEMAGSESKSEAAKTEGDGSSGEKVLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSTANCRSILIPGSSLAAPAGDASVYQVSINGNQKATSVKFGPDSPLCNSMTSVLKIGEQGKNAKPTSTEEPETQTCKAPATTSDSPRNETVSGHQNGLVGHSGVTPMHPIPCFPGPPFVYPWTPGWNGIPAMAAPVCPAPTDPANSSDGGSTGNVQWSMPPVMPVPGYCGPPIPFPVMPSSVWPFFSPWPNGAWSSPWLGPSCSVPASSPTSTSTACSDNNGSPVLGKHSRDSKPQGDDKVEKNLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRGMFRPFQSKAGTREQISGAARVLQANPAALSRSHSFQETT >OB07G32210.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18099764:18101883:-1 gene:OB07G32210 transcript:OB07G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEQMYHQQQIQSENQHLSSRPVLPPEKQFLLQGGTDSSSGLVLSTDAKPRLKWTSELHERFVDAVTQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQANASRAQGVLGCSAMETDKPCEGNGSPASHLDLEMQTSSSVHISEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALGTIGVAEAAANTSPSKRLQNEHSHRHQQQQQQQVGDGSVDSCLTACDCDGSHHRGGGGRGGDQDVLSIGLPPFEPARSGRDTEHYLLFPDEPSTRRPCSEERRREIRRDGFNSGSITLQASELDLNINDGSSSGRYHSHRRERIDLNGSGWN >OB07G32220.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18101677:18101871:1 gene:OB07G32220 transcript:OB07G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQHTHSSLCLPDPIFAATEKRYYPYSLWVPVDPNLADLFHCKFQKRSPIPIIHLYQLWLKLHGT >OB07G32230.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18104293:18116919:-1 gene:OB07G32230 transcript:OB07G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHELDLSDEVEGEEEGTTDFVFRLAGDPIPLLPTTSSPLPLFDLQSPPSRPLTVSDRHGAVFLAHPNGFMAARTKALIEASKEAREKGKGSTRCAQDCCVADIPLPGVSFLELSRDESVLAACAGNVMHFFSASSLLTDKDAEPLSTCTMEGSNTIKDFKWLNQASKAFIVLLKDGLLSQGSLGEGLKDAIENVDAVDCCKEGTHIVLSKKNVLNILSSDLKEMCCMSLLFQLWSDDNDSEDASIKVDSIGWVRDDSIVVGCVKLNEEGNEEGYLVQVIRSSGNTFFENSDKPVVFTYVDFFRGIMDDVLPPGAGPNLLLGFLHRWDLMVASNRKSIDEHISLLKWSSATDDEKSVVYLEMLEDKYSPRIDLQENGDDNVTLGFGVENVSLYQKITVTVGPEQKEVAPQHVLLYLTGEGKLNIYFLARISDPSELTQMKLSAAIEDSNVKKLISPATVSGKEFTPSVTSSLGRSILTESGAELSSAQPDRDQHGSTDVKNISPVSKTKEIVASPLAPASLAPASNMKPGISFSFPTVNTVGMNTAGSNTSSEFVSSCQQSSSSTFINNQLGKGNVGSTQPVGALGGSQNSKKDGGLNFKSLKFSSDGSTLVKSGERSESGFGSHPLQSSYTTDKKIPSSVGLSSEPLPSISPIKPSSAGPSSTGFRTGNIEAFPTSRGSPLPQESIDKSHNRTHAAVDYSKNFKSGTMLDTEQDLSKKFYSINDMAKELDTLLAYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKIVEQCSRAENLRNKMFQVSARQAYMKGIVDQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRIASSRRAIYSNKSRSSQMQLSSVYNALNSQLAAAEQLSDCLTKQISALNISSPSTKRGAVAKELFESIGLDHKMDASKFSGGTPSNSVKRYPSTREHITSILGPSKSAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAISQEKSSGSPTSSIVESYANKLHYPSEVLHEKTKPSSAQHNTLFKWVKESAGPSQGPQHKYPDLTGQLKSSDQPPKLAPSSPLSFSYSHKDVRENISSSNVSSSGTTHTVPKPSTLTFKTTIIPKTNSSTLPDMSPSMMGSKFSQSPLSVKTLSGDSGGVSSMITKNRQGGQAVPSAGSTKGLDVSPQSVGGAFRDLNKPLSPEPSKSALLQGKTVQLSKITDTVQIPAKGKPEVAFQPPAFSPTPVAQSSPYSIKTAAPSSATSSSSTMKEAATKISDALSPTVPSVFPPKESMPEPSSPLPDSPISSSLPSIPTPVKESSTGLNKIVSMPEVGTSEVTGTTVSASTSSSIPITEGKPPSIPETNGSLPSVPISAPEVAPAATESIVVTSTGKDVGPNNLSSDEDDMEEEVPSASSDLNLAALGGFGLGSVPSSSPQKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSSQQAQSSQSASSTTFSSAFSSGLSGFGQPVQIGSGQQSGFGQPAQIGAGQQSGFGQPAQSQSGFGQPAQVGVAQQSGFGQPAQIGAAQQSGFGQHAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASTSGGFASLSSSSSGFAGGATGGSFSAAAAPAGGGFAAAAGPAGGGFAALASKGGGFASAASSGGGFGGATQGSAFSSGGFGAFGGNQGSGFSAFAGSGSAGSGGPPANLLTQMRK >OB07G32240.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18117554:18119169:1 gene:OB07G32240 transcript:OB07G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALANLSPSAWPRVVAGAASSALTAAVFALLDVVDVFLCFVYGFLDGVLEDSPVSCYCHGRHTAAMEDDEVSDTLYLRRSAFRDALMGLVRGRSGSPAETERRKGRSPRWSDCGCESCREWQRHHDVDGRLHFVVNRPAPNDGPATTTRSDQDDAIFIHGFTSSSSFWSETVFHESSSVLSNCRMFAVDLLGFGKSPKPANCMYRLKDHVEMIERSLIDPLNLSSFHLVSHSMGCIIAIALAAKHPERVRSITLIAPPYFPACEEKASQVALKRLGGKKQWAPMQFGSAVMSWYEHIGRTVCFLVCKNHLLWERLFKLLTGKRDVDFLLGDLTKHTHHSAWHTMHNVICGGARLQDGNLEAVEAGGVPVRVIHGGDDQVVPVECSRQLKARLPGAELRVLGGCDHKTVVFGREEFAQELRAFWSASHSNEQLTSAPQRAG >OB07G32250.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18125807:18127506:-1 gene:OB07G32250 transcript:OB07G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAVTMVDRRMSFAAEADGDSKAAFGFFGGECFMGEGDLVNPAPAQQELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVEKVRRLVRQSKCLQDKMTAKEIVTWLAVLKQEEDLYLKLHPGACPPPLSAAAGAISFNASISGEYDVDGVDGDEAGNLQKAPSDATAFMDLTMDAALSNKFLIMPAALMKEEAIDVDFVQKRSAPAADEPELMLSNSNTRIYTCDNVQCPHSNYALGFLDRNERNAHQYACKHNNAAESKAPPHLFEPLAGFDFDLPVDGQRCLAGLMTMYDADVATQRNDTLINNAMQQQQQQVNFFIRDDAPFGGDVAAAAPAPEFRLSSSFNVSGGGAVEYGGAMQQPPAKYAGSYWFY >OB07G32260.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18125853:18127618:1 gene:OB07G32260 transcript:OB07G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYSTAPPPDTLKLELSLNSGAGMELEVEVLLLLEDGEPGDDLLGGHLVLQALGLPHEAADLLDVGGHVLDDGGEHGDLPRLLEVVRLLVRRRAELLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGLSPPPPPLSLPPRLCCSSLSRLCRTRSRHMRRSSSSMSSTSSSLSSSPNPSCTCCAGAGFTRSPSPMKHSPPKNPKAALLSPSASAANDMRRSTMVTAAPIIAAVHTQAIVFSSRYRLIAAKLYLQHVNHPQIRICSQ >OB07G32270.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18131694:18134364:1 gene:OB07G32270 transcript:OB07G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASYSSSSWRVRPSLTPLRSPLQLQPHGRAHRIAIFAARMESRSHAAPSAMQSSSALHRLEVATHDNKTIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLRHGSNLSNIIFHQLDILNDSSRASLAQYIKSRFGKLDILVNNAGVGGVAVDQDALRALNIDPKIWLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWVTEALLPLLKQSTSGARIVNTTSLRSELKRMPNEKLRDDLRNVEMWDEARIEAMLNEFLADLKKERLEEAGWPTMLPAYSMSKTVVNLYTRILARRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPSGCYFDQTELGEAW >OB07G32280.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18135006:18135257:1 gene:OB07G32280 transcript:OB07G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQEKRGEEAEALAAEARAREAAREITHERTERARVVGEEEHRRADFLETAHQDHQGARSVVSAASGEHTDDTKAAWEHSA >OB07G32290.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18136820:18139090:1 gene:OB07G32290 transcript:OB07G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPLLLLTAFLSAKPALCYVHPGARLLERNGTEHSGRRDFIVLVEPPPLGHGDAEDDHRRWHESFLPLSQLADSDDPPRLVHSYTEVFSGFAARLTDAELGAVSKKPGFVHAFPDRTLQLMTTHTPEFLGLRKDAGLWRDHGYGKGVVVGVLDSGIHAPHPYFDDHGMPPAPARWKGSCRGSAARCNNKLIGAKSFVGDNDTSDGVGHGTHTSSTSAGNFVDGASMNGLGAGTASGIAPGAHVAMYKVCTVDGCSGGSLLAGLDEAIKDGVDVLSLSLGSNEPFSFDQDPIAIGAFSAVSKGIVVVCAAGNRGPELETLSNEAPWLLTVAAGSVDRQFSAVTRLGDGKLIDGEGLHQTTRNPSGRSYPLFYSSNQSVCGSVDTGSVRGKIVLCEVDPAEANSVDDIKLAGATGVVLMNSAIDGYTTVLRDFGSDVVQVNGDGGASIAEYAASRKPVAAITFNNTLLGVRPAPTVASFSSRGPSVLNPGVLKPDILAPGLNILAAWLPLGTGKGAGTFNIISGTSMATPHVSGVAALVKSAHPDWSPAAIKSAIMTTSDAVDNTGGPILDQQHAKAGALLAGAGHVNPTRAADPGLVYDLGVTDYAGYICTLLGEKALAIIVRNGSLSCHDLPKVQDTQLNYPAISVPLRQSPWTVRRTVTNVGPARSTYRANLTMPGASSTVRVTPETLEFSRAGEKKTFSVTVTGRASGTVVVEEGSLSWVSASEKHVVRSPVVVILGYAAAPPPPPDGSWA >OB07G32300.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18152709:18154426:1 gene:OB07G32300 transcript:OB07G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTMSGGGSGGGGALSRQGSVYSLTLNEVESHLGEPLRSMNLDDLLRTVAGRKRGASAGADRVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENQRLREQKAVADFSTFPSCVDFLKAFLTQKLEPVMQIVPQPEPKQQLRRTNSASF >OB07G32310.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18156700:18157152:-1 gene:OB07G32310 transcript:OB07G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVLLLVVPALLAVAARSLAVLAWPVGSPSMRKLMARKKAARDELLRVARYSRTTGEGGGGESRDAECVVCLSGIEEGDDVRELRCRHLFHRACLDQWRRMAATCPLCRSSLLTSPAGDDDDEEETDSDMVLFMACVQSSSTWTWLWP >OB07G32320.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18160248:18165475:-1 gene:OB07G32320 transcript:OB07G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSAANTGNGGGTPGDDDTRSKKKTLHGDGSNGSSSSGSNSPATRVCRSRSRRGKLKNEEPSHPKQLRRSMSFSSPAGDNCFKDRSFSFSGDIPRSLFNESDVPNHAGDANCYAWSPERRPVSREYKIKVPKAQSVLQNDSPGSRCYSCSTGHSPVSSPIAIRCRSTRLTNLLSNNEVLDRYIDGEQEATILSERQKQYSPTRSVISNLGRPPRPQSTVPSVPRLTKEIPETYPSEDVKDGHLHQLAQEDTRETCKITTLCNASRNHAVLPDAFERFSHLEDYKSERVTSVEDIYEDMQEMQPPDFIFSSSEPISADDAETDGRLLQRSKEVEAKFIAPSEETYELNMSKYKRLSANDMLQMIQCLTEDRRQLAYELSSQIKARLTERFAAKEQFRQTKKELDIRTRRLEKEKSDVQITLEKEMDRRSDDWSVKLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAKRVDASDKVSCLEMEIKKLNDELEKVRNDHDNLQKSSVDLHARFTKVVEEKDHLREFFEDKDGENKALYKVIARLQTICNEQERTISGLRQGYIAELDKKSVECGNEKKSRMKMELIRLTGVEQKLRGEVQSCHLEVESLRQENITLLNRIQNAGNGPRLSSIRLDQELQARVDNLQTHGLSLLDKTSQLCIKLLDLMKCKRRENEVDNGIAALTVTDYTLEFQSIKGRIQNLKQSLWTINSILTEKETTKEGSGEIVVGSSVSREHKDGRLPLDNPELKLKEEAMLNRVLKEAILSKEFHIEQLESDLASSLRGQDVMRNEIQRVQDELSCMNHKAKHLEIQGLKKDETISQAQLDFQESAKELSSLRGTLKTVTDERDLLWQEAKQMRKTITAMQNETSSLKKKIEALEEDILVKEGQISILQDNISNPQLDFICSPRSMKEFDMDENCTEKRF >OB07G32330.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18166364:18170818:1 gene:OB07G32330 transcript:OB07G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKVEDDKALVLCQERKRFVKEAIDGRCALATAHCDYIQSLRDTGFLLRKCFGHETTKESIPNSKSSSSFHVSHMKAAMNSIKTYLEKVAVPVTLTMTSASSQDPTGTPPRDYFDNIHPGDNQLSVENKNKPAHNFDKFDDLTCFQEEAIPELEEEGQRIPSQEDDDFAESKDNFANEKEEDFTESKDDFSSPSVETLVPVSNNNVSAKNTSMDKVPAHHGYGSVASEDIAFSNTDYQSDRAKNERRMSDIRTSENDGISAVAPVNVVPSSAALPMGSKELYPRLSISVKDLYSGMAEIEILFSRACDSGKEVTRVLDEDKLQFRALLPEETAHGSKSSSFVATLFACCTEDVPLPETPSQAEVKYLTWHGSVSSQWSPSRNPLGKISVMPTSTLDKLYAWEDKLYDEVKVNSAICRRYDEKCKQLRYQESRGKHQIHVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIGSLTRMWGTMLECHQLQHAIMKLLASKCNVRLSFQSESQCQDALLLSAKVSKLCRDFQHWVASQKAFLSSLNLWLHKCMKPLKKRKSSRKQNAVDISLTECAVAPIFTTCEIWIKFIDTLPTNELVKAIEDLITDLGHSFPHQEQLLDGETGGQILRNNAPADLQSSLIAFLAKLEAFSAVSLQKYVDLQKNIVEAKERFPRED >OB07G32340.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18175594:18175866:1 gene:OB07G32340 transcript:OB07G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQVTGVQADPASLADGGIQLPTATTAPFDAASLHLLDAASPDAIAAAFASNPLLAEQAAALQRQQTQPCFPTLDSSWSAVMYEGSDLL >OB07G32350.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18176495:18176719:-1 gene:OB07G32350 transcript:OB07G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISFFLRSIESMAPSPSTMNHHPIQGATRSNPPTHDVLNSIDSEKAAALIVYAPSYFILNRSPSRSCLLATN >OB07G32360.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18177569:18181454:1 gene:OB07G32360 transcript:OB07G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MGIEAEDGGEEALGSAELPALVQEIHRIDAIRHYAEAALQLEAFVGNLEDATFSIVRQASKLNLSSIFRPASNEMEWKQEKLLQAVAAMRDIEQELLRISTIRPQWTNLIMAVDSRVDRTLVILRPKALTDYRALLASLGWPPSLSSPDAEKDKYSQIPNPLVLMNEANKEKYSQSFLALCALQHAQANREARQYRTTGATPSLSDSKYSDKTAHFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMSGVDDILQPLIDQARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQTTDDKLEVHSSWMHLNDLMITFDKRMQLLADSGIQKISSISEGLSRSLSVFSIYSDHSDWLHMWAGVELNSAQHKLKSEMEDETNWSYSIKELGHQDITSNFLLSTREDYKAPPVSEFVVKTASAMIERGHALPNRILRIQYHRSSSVQFLNGFFLLLRERCEALQLTNTALEDGSLQKASFAINAARYCEYVLREWDDEIVFLEMGSHGNHDGESQEQGNKHSTQHTCSFFSDEIAFLAKLGTDYLEQIMSSILLEFEDLSWDYVQSIGLSNDQIHPVDEVLDEENLGVSPGFVASLEVLRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFMYSSIRWGEIKFSDQGVIRLRVDTRSLLHIFRPFCLRPEAFFPFISDSLKLLDMRKTDAQYLLEVLKNARESDSCLRQQGLQHVNGSQAVKILGSKRSGG >OB07G32370.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18183120:18184961:1 gene:OB07G32370 transcript:OB07G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGGSSKCASASNPLESMVTRNSTSTTKTDNTSRASKSSSSVFSSGQFSRASDESSAAALSSLKSFNMSDLRAATKNFGSNSFLGEGGFGCVYKGWIDELTLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTQPLSWAMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYVATGHLSVKSDVYSFGVVLLELLTGRRALDAARGATAEMLVDWARPHLGDRRKVNRIMDTRLGGQYPKKQAQDMAALALRCLHHDPKLRPAMPDEVLPHLHLLQQNTKPSSFSSSAPEPARRSRPFQGLGS >OB07G32380.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18187859:18193726:1 gene:OB07G32380 transcript:OB07G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRADAGSWLHALVLVYALCWALSLSLVVVEGQTGQLNVDASSQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSWFERNLVALRMEIFCDSKGTSICPSGGVGVYNPGYWGMNIERRKVYKVALHIRSLDAVSLTVSLTSSDGLQRLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPLDTYMGHGFRKDLASMLANLNPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASQNEEVSTATIASLVKDVVDGIEFARGGPETTWGSVRTAMGHPKPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIISSCDKSTISPSNSADLYDVHVYTSSSDMFSRTSMFDNTPRSGPKAIVSEYAVTGSDSGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSSGGMLHPSNVQISSYNQLVASAITWQSSKDKSTYLKIKVVNFGNKAVNLSISVSGMEEGIKSSGSKKTVLTSSGPLDENSFQQPQKVAPVSTPMDNANQQMDVSVGAYSLTSFDLLLEPSKHSCS >OB07G32390.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18196232:18200346:1 gene:OB07G32390 transcript:OB07G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MPA7] MYKAKRSAAQKVRRRVGKYELGRTIGQGTFAKVRFAKNMETGDPVAIKILDKEKVQKHRLIEQIRREICTMKLIQHPNVVHLHEVMGSKTKIFIVLKYAMGGELHDIIATGGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNLKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVLLAGYLPFEDENIVSLYNKISGAQFACPSWFSAEANRIIVRILDPNPATRITTSQVLQDQWFKKGYKPPVFDERYHSSFHDVDAAFGDSEEKYVKEAMEEQPTLMNAFELISLNKGLNLDNFFESDKKFKRETRFTSQCAPKEIINRIEEAANLLGFNIEKKNYRMRMENIKAGRKGHLSIATEVFQVAPSLHVVELKKKKGDTLEFQKFYQTLSTQLKDVVCDCENAAADMS >OB07G32400.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18200161:18206687:-1 gene:OB07G32400 transcript:OB07G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDNQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISGAELRHVMTNLGEKLSDEEVDEMIREADVDGDGQINYEEFVKVMMAKIIHMEHEKKVKVLCLHGFRTSGSFLKKQISKWHPSILQQFDMVFPDGIFPAGDKSEIEGIFPPPYFEWFQFNKDFTEYTNLDECITHLCDYMMENGPFDGLLGFSQGATLSALLIGYQAQGKILNDHPPVKFMVSIAGSKFMDPSICSVAYKDPIKVKSVHFIGEKDWLKVPSEELAAAFEDPVIIRHPQGHTVPRLDEASVKQLSEWSSSILEELKNAEVVKASNLEKPSEGNTGVESAENLVEQVAA >OB07G32410.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18208610:18209083:-1 gene:OB07G32410 transcript:OB07G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPAXXXXXXXXXXXXXXXXXXXQTCATEGASSVARPPSQSSGPSDAAAHSADVRAYGVLLLELLPGKATADGAELARWVTPVIREEWTAEVFDRVMLSAGSAGDDVAREQPMVGLLQIAMRCIDASSPPPTLREGVGKVNAICEEHDRSISSEA >OB07G32420.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18212119:18214844:-1 gene:OB07G32420 transcript:OB07G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMSGRLDDITDAEMDDGGNGGAHSGNGDYSSPLHSTDRGGFPPPGSGSHVRPTSSSLGYVGGGSSPPGSPPHPHSPRMFVPQSPITPLHRVVDEPLPVFNQILASEQEEDNDASPQKLIPILLTWTLGGKNVYIEGSWDNWKSKKVVHKCGKDHCIMLQLASGVYRYRFIVDGERRFQPDRPCETDIIGTISNLVDVHDFIPESVDGVSELMAPLSPDSSYGLVAPDEKEFAKEPPTLPAQLHLGVLNSRGSSSEKEAGECAKPKHNVLDHVFIGKGWGSHPTVVAPLGITSRFQSKYVTTVLYRAVQK >OB07G32430.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18220485:18225508:1 gene:OB07G32430 transcript:OB07G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G19370) TAIR;Acc:AT5G19370] MAEVEIEMGLVVWPWGRDWADSRLGGGEEIAQQGRGRGGEAWENSGRDRKDVRLLVDLEKSIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDITPEELHAKLQDPIFLEEAQLIDVREPDEVEKASLPAFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYSVQADSSIPTY >OB07G32440.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18227160:18230128:1 gene:OB07G32440 transcript:OB07G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDNDDKNHQLENGQSGAVMASNSSDRSDRSDKPMDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGTAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLADTLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >OB07G32450.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18230457:18230630:1 gene:OB07G32450 transcript:OB07G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFAGRRPVLTSIVFLCFPLVLWVRRPSNCLTNEHAFGRLHANCNAGTVSTGYASS >OB07G32460.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18231532:18233017:-1 gene:OB07G32460 transcript:OB07G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MPB4] MGPNLSTATEKKKAAAKRRAYVTFLAGNGDYWKGVVGLAKGLRRVRAAYPLVVAVLPDVPVEHRRKLLDQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGSFYAVKDCFCEKTWSHTPQYKIGYCQQCPDRVAWPEQQQELGPPPPLYFNAGMFVHEPSLGTAKDLLDTLVVTPPTPFAEQDFLNMFFRDTYKPIPPAYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEENMEREDIKMLVNKWWDIYNDESLDYKEVVEEEDAGQPMRAALAEAGAVKYFPAPSAA >OB07G32470.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18236248:18238242:-1 gene:OB07G32470 transcript:OB07G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13040) TAIR;Acc:AT1G13040] MFLATRPSGSSLIVTRLCVLHTVSWIVSSRQARFTTGGDNATPGSHCRLSELFRPVRTDTSGVIGRALECGRWSESVELELEGLHVDLDPFVVNRVLRGLSDSEMAVRFYWWAESRPGFYHNNFAIAYIISLLFVDDNFALLSEFLGSVRSQGVALHRSLYRVLLGGYARAGKFYSVIETFDDMVTSGCREFGVDYNRFIGVMIKNCCFDLVEKYYSMALAKGFCLTPFTYSRWITALCQSNRIELVEELLADMDKFGCFPDIWACNIYIYYLCSHNRLYDALQIVEKMDMKGTTSPDVVTYTTIVGCLCDHRRFSEAVGLWEEMVRRGLKPDIVACGALIFGLCKNQKVDEAFELASRMLSLDIELNVSIYNVLISGFWRAGSIDKAYKIVSFMQRNGCGPDVVTYNILLNHYCNIGMTDKAEKMVRTMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDVVSCNILIDAFCKAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFGVGYSNLAEELFDQMLNTKIVPNVNVYNIMLHNLCKIGHFKHAQKIFWQMTQKEVSPDTVTFNTLIYWLGKSLRAIEALDLFKEMRTRGVEPDNLTFRYMVSSLLDEGKSTLAYEVWEYMMENGIILDRDVSERLISVLKLKNN >OB07G32480.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18247911:18248825:1 gene:OB07G32480 transcript:OB07G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARELSSEEETADLRRGPWTVEEDLLLANYIALHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNMTAEEQLLILELHGRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFKDLMRYLWMPRLLERIHRSNSNSDSTSDSNSNSAVSSPVVDDAPLPQLAANAAAMSWHQQQQPPPPPLMSMPPPPPLPAAASSQLDHQLWSESSSLLPGLAGLYDEVALPDFDAMWGASASYGEDDPWYTQMLQGGVL >OB07G32490.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18254380:18258191:-1 gene:OB07G32490 transcript:OB07G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3MPB7] MEEKVWSDDNTIQLEGTVQFRKLLSDEKNSTVIKIIRADVLPRFSDFLSRHDHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLGSGDANTRHQATWALGNIAADIPSCRDIVLDHGAMMPLLALFREDMKVSVLRTATWALSNLCFGKLPPEVQVKPILEIICQLIHSIDEKILGDACWALCYICDGVSDGIQHVLSAGACPRLVNLLTHASANILLPAIMALARISSGDDAQVQVLVENDILNYLILLLTRNYPTSVKKQACLIVSNIAAGSKDNIQAVIDADVISPLIFLLKSSEQDIKEEAAWAISNAASGGSNDQIQYLVSRGCLEPLCSILTYQDADLVYTCLRGLQNILQAGEVGKQGQDSAVNPYAQFILECGGLDKLEDLQEVDNDAIYKLVMKLLEGYWDEEVSDDDPNVPTSNDSAETVETASEDAAQPTESSATQNETE >OB07G32500.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18261418:18266415:1 gene:OB07G32500 transcript:OB07G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) TAIR;Acc:AT3G06560] MPLVRATDPVAASRDPPPAMPFFLPPPPPPPPPLPSSLRLLRPPPIVLRLHPAFLAEMDSCRTTSLVKFLNDEGAIPSPEADRKREQVIRKLNKIVMDWAKVVAYEQRVPPRRATATVLIYGSYTLGAHGPESDIDALCVGPCIATLQYHFFVVLRQILEERPEVSELQTVETAKVPLMRFRFSGISVDFTYAQLPVINASEAINTSDPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAEKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFIMFFDMFAHWPCQIPVSLHGQPTNCRHSDGSFMPIVMPCTPPEFCASNMTKGTFRKIREELMRGYALTKEIWRHDFEWVWLFTPFPYTTKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPHSTEEVDHRVIEPNTVYHWGLIYKTSTHVDINSLGDDFMKDVITDVYGKVKCTHSKLTMSIVRSSQLPKSLHSHRVYTPYLPQYMLGYQTPTNYSGTAG >OB07G32510.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18267665:18267916:-1 gene:OB07G32510 transcript:OB07G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRRRRRDLHHHLHRRLQTRIWSVSVHAFFLSFWRASSAIVVSELKVKNHQQLTHSASGLFSAPIFGLAAKLVPSAVVKASTK >OB07G32520.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18270377:18271530:-1 gene:OB07G32520 transcript:OB07G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAHHQMRETRALPYSERLIMDGHCCAGSPNTYLSYNKLHVPTPGFALPPRPLPRHGFDFLKFFLHLTSHPWSPRGNRGFGYKNRAQVVTAVFAAYHAVTAANRAAYMGI >OB07G32530.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18280534:18286661:-1 gene:OB07G32530 transcript:OB07G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) TAIR;Acc:AT3G66658] MVALWWPLLVLAAAYALCRLLLLLIPPTVPSIDVDASDVLEDANHNKEDSYIYIPPRKGKGAQTDKVQCYEPATMKYLGYFPALMPDEVKEHVLQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKISEHATWSGCFYFRIIQAALAAVGAPDNLVHVITGFAETGQALVSSVDKIIFVGSPGVGRMIMKRASETLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSRFVSQVVKIVKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAIDKGAEIAGRGSFGNLGEDAVDQFFPPTVLVNVNHTMQIMQEEAFGPILPIMKFSSDEEVVKFANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNSPANTRKKSR >OB07G32540.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18288151:18290663:-1 gene:OB07G32540 transcript:OB07G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDEFGSKAWAVQFNGTHERFTDNAGNVHEASVPAAAQGKRCIGRHGEWSLMLDVLTNECFLLASTWQTQTQMIPLPPLPHPPRLDLIFNCAISSQEDSRTVMLGVCRDRSLLYCHPNDTHWSRLPIDDDELDGGIFGYQGKIYALGIEFLLVVDAASSPPVVERSSIELPTPTPSNSAYRAYVVESCGDLFLVRSYLFGILCDVVGLEVYRWNPSQDAWHPVHSIGDRTFFLGRNCSVISSATRAGTQPNCIHLLRPFCDGIGLYTVSLDDMTISLNRLEEFDDDEEEEEDQNAVFWAIPIWSMHQQEAVQMPLSPHSFLQKKSNSISQINSLPQVNKKKEQLKNEEGHDKNIVCLSKELAQWSDLCTDLLELLVSKISFIDFLHLKAVCKQWRSLSSRIIQDSKILPLLLTTQPGRNDTLEVFDMVSKSKYSINVSIPPPASEDDTGASQILHFAKNGWLVLSRGNRSFFLVNPLKNSSDDNVIVLPPMDSLDFKGITFSSVPESPDFVVLAVESVPNGTLTTVKTWRIGDKDWQEVCFENDVPFYMASHNPVFFQGEFYCLDVNARLGAINADTMDWSDLDELHPIGDDGELLSYEYRYSHLMEWKGELVLLFMDCGAQDVSMSMFKLDQSQMTWSVLDDLKDGVVFSDRKNVVARSPPPGEDHLCNKIFVPNFTETGRRDHAFYCLEKKQYVPCLYGLKEPINALWFEPNLDYLH >OB07G32550.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18293292:18295514:-1 gene:OB07G32550 transcript:OB07G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAIVNLKKFFGKNMAASSNKKERKKREKESAKRREESPCPKCGELLNVAERTLTHTDKKCLNLQRQSAKKEREKARNKNQKQTAASKRK >OB07G32560.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18295658:18305309:-1 gene:OB07G32560 transcript:OB07G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3MPC4] MAQFEHGDSVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSEKSIDDLKGKELLQRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVQEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLREVLKISSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIETIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLSLIENYCREAGVRNLQKHIEKIYRKIALQLVRQGVSNEPTQEAVIVTASEEPNGNSSENKLKEENMENPATGNASEEASELELMKKTTDHDVHPAEEPKEAVLTDPALSTDKLCTQEVNKDVEGAMEEAAGKTVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILLDKEPNNLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYDEIFVIAFQSDTETETS >OB07G32570.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18307338:18312236:1 gene:OB07G32570 transcript:OB07G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLADRSSVKDIIHDKHTCIQTSILSMMHQSAGAGLGYRHPPKQIQEIVDAPPNPGYLISPRRDWIMFLKRRAMPLLAEFAKPENVLSGIRIDPGSNMRSRMSFFNIHTLMDNGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDSSVNLSLWVASAESGKAWPLCISEDIRLNAIFELFVWVNDSTILVCTIPSLRGNPPTKPLVPLVPRIRFNENKNIVQMRATKEMLKDLHEEELFDYYATSQLILVSLDGTVKSISPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPNNVELWTIDGTFIHEVCDLPLAEDIPITSGSVRKGKCLIRWRPDMPSTLYWVEAQDGGDANVEVSPRDIVYMERADPLNEKPQVFLKLDLQYRRTSWCNGSHALIYEHWYKTRRTRTWVISPDCNDVSPWVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYDGTYILLKGRGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLASKESRREPTQYYIKIWPDKSQVQITKYPHPCPQLAMLQKEVIRYQREDGVKLTATLYLPPSYNPLEDGPLPCLIWSYPGEFKSREAAGQVRRSPNKFACISSNFPLWLARGFAILADPTIPIIGEGDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDRIAVGSHSYGAFMTANLLAHAAHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMAANKIKKPILLIHGEDDSKITTAMQSSQFYDALKGNGVPCRLVILPFERHHYASRESIMHVIRETDRWLEMYCTNNSRE >OB07G32580.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18320903:18321679:1 gene:OB07G32580 transcript:OB07G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQEEEEVEMLVKKITGLATAIAKLPSLSPSPEVNALFTELVVTCIPPSSVDVEQLSPEAQDMRAGLIRLCADAEGHLEAHYSDLLAAHDNPLDHLALFPYFNNYIQLSQLEYGRRPPPRRAHGLPHVRRRRRHARPCHLRRRLPGGAGGHGRRGQGPRGGAPREAHGARRRPGGAERARRPRLPVPRGGPGGDPARRLRRARRAPPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGASTCSPCTTRRAR >OB07G32590.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18322651:18324411:-1 gene:OB07G32590 transcript:OB07G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAITLESQVKSSYRDEQDEAFNGITYYIVIYLWEAQAKAAPYQKGETVCEVTIPDSHVSTFPKTLEHYRSLRALEEHRRAVPGQCRWRSEGHRHLQPQCKNTYADRTTTSSTGTSTPIAVEEIAVIAMASNNGQ >OB07G32600.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18331919:18334212:1 gene:OB07G32600 transcript:OB07G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSPSSPPERRRNWLRGNRKQIIAEYLAGARATLAAAAQDEGGGDDAAAAAALGLVDDVLEMSPRMEAALELRARWLLALRRYRDVADMLRDYIPSCTKTCPGDDATTATSSSSSSCSSGNLVGASRTELLSPGRDRSDAASGATRFLCCFDISELKHRVVAGFSKNTTAETQWRYLILGHACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAMSVPASRRASKSGAASGTVPSDAESVSQLLAHVKLLLRRRAAAVAALDAGLPAEAVRHFSKVLDARRGVLPHPFATACLVGRAEAFRSSGRPADAIADCNRALALDPAFIPALRSRADLLEAVGALSDCLRDLEHLKLLYDAALRDGKLPGPTVRFSEIAGAHRALTLRIKQLRGRVAAGEACSVDYYALLGVQRGCTRSELERAHLLLTLKLRPDRCASFAERLELVDEHRDLVAVRDQARMSALSLYRMLQKGYSFIMSVVQDEEAVERQRAKDAAAAAAAAAAAAAALATAAASAREQEETAAVPEKPKFSSVPIANVEVKIQVPQAAAAAAMVAAATSSPVFQGVFCRDMAGGGGGGSRGGFDRPMPVKCEAMSC >OB07G32610.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18332663:18333245:-1 gene:OB07G32610 transcript:OB07G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLGREASVQRRHRGGAPAEQELHVRESCDTDSASLGTVPDAAPDLEALRLAGTDMALAVRLEGEKLSSDQHTLSRRNAAEARRRPVWSSAAASSINPRWKQAWPKIKYLHWVSAVVFLEKPATTRCFSSEMSKQQRKRVAPEAASERSRPGDSNSVREAPTRLPDEQEDEEEEVAVVASSPGQVLVQLGM >OB07G32620.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18334542:18334898:-1 gene:OB07G32620 transcript:OB07G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSKAVAHALLPLCTSICGSKRATFGCAAPLGPGARSAAAEQRSIAFGWRYPAAAGS >OB07G32630.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18336913:18343770:-1 gene:OB07G32630 transcript:OB07G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGKEHRMPGVEITKEWYRIQIGSSRQSLSSWAAEARTNLLPFHSIELARPRNRMAPSYRPYGGDAVVQYGGGERKVAVLWWVSEAEMKRRRRVAGYKSYAVEGKAGGGEFPNPNPSSSSANFAMLAYDSDDADGDLFAGVRFALVGFDTLSNSQYRSEMVRRGGVDAGAYGVGCTHLIVHGLVYDNPICVAARKDGNKVVSELWVEHTLDLGELADADRVLYRPVRDLSGIPGSKSLCICLTGYQKNKREDIMEMANLMGAQFSKSLTHKVTHLICYKFEGEKYKVAKQENINLVNHQWMEDCLMAWEILPVHDYTKSGWELEILAAQANDSEDDEEEAGRSSSGNKHVTRSACTREIRMTTLSDPDSQVPIRGPTISSRNAEIATGGHVSTPETIKNAGGSSKKLVNSKSDIQDILTSANPDAHGSSHHPLDCKEEAPAAQVHRDEANDDVKSARSASTGAHCISNIGGSTVCSDHHVHQLTTVPAMWVNGTETIDGNCLDSTNQNNVNSALWPTPSKETFSAKTLQSSDMSGNVGQQDGGSTPDLNPAIDQSNVERKLTLHEANLRLKGNAASKNTPILSYNRRCCRKPVSLEANLRPTGSPQNFERITISPSMKSDHKISDLIDAESLRDDEVVKVVDKSGSALAQRRTSVLSSISVKPSVSSETGSANIPFPNMESASKPATVPDLSRNSTQSVILTEKEKSGPFKSNLLIYRRASLKLARPVEREKLSESSTKGKKLLRGNSLALHEAGSEKGCAVNSSAVSEVDKRNYCSSLPNVDTEMSDASLVNKTDAVAPYTEFGNVVFHQNMKAVHKEIQDTAIFSECETIPQEETSKVKNASGKRFGNVSNKSANRSIRNKDEVVSFKSDGDKVVSCENIKMQSERNCASPNSVECTLSIPEQIPYSRATNVATKNLLDASEMNTSLTLSKTQLAEKYMKKNPGCSSSNEHRKSSSEKVSQTADVEMPDAPIANSTGSMSSKSDFKEVIPPENARSCPKRHSSNTNMGGPETCSPSVVPKNRARKAVAKRKVSAVQQNSFGAEPCKNGSTFVSEFKFVYSKRASDCSRNGNQKTLGQNLQSSNENGTKDADGSFSKEAIRDRSKILQNSQARSSKRQKTAELIDVCTDDKENLPANSNIISKSKHGNDCVSSNCSIKAAGGGKDVLADHGVVEENNGGMLTMLEPRLFILSGHRLLRKEYKSILRLLKGRVCRDSHHWSFQATHFITPELRRTEKFFAAAAAGRWILKSDYLTACNETGKFLEEEPFEWHGHGLNNGDTISMDAPRKWRQLRQHTGHGAFYGMQIIIYGECISPSLDTLKRAVRAGDGTILATSPPYTRFLKPDVDFAVVSAGTPSADAWVQEFKKHNIPCISADYLVEYVCKPDHSLNKHVLFNMHDLADKSHAKLLKGQHDDVLAAGTGEATDGGDAEPTCSARGSKDRERTDADLWQRGEWDRDAAYGLLLQPSPLMMESGWAPA >OB07G32640.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18343931:18344137:1 gene:OB07G32640 transcript:OB07G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYLPLPQSVSFDLITLGRIRFLPCSKTMLESGVLSQRPHAMESKSLTILLSLIVTKTSIYTYYDLFV >OB07G32650.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18344258:18348414:-1 gene:OB07G32650 transcript:OB07G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGRVVLNGRRRDDDDDDDEYVADDDEEEEEEDYAAAAATASDDEEEDEDEADPPEDESDADFVGDEEEDLEDEDDLELETTPRPKRPPKVNRKRKPPGSRRRKREVDDDDDYEEEEDHDFDPDVDEEEEEEEEEETDEDEEEEEFEEDDVDSDDFAPIRARKTTKNHVAKRKPAAGRKKKKKRKGSRVSKPKAKKATTARRRRKRWAADDYEDDEEDDADFIVDDDQEEEEIHRPKKKAKAAKKTRDVTPEPDVEASTWPAVESDTSDFEFVTSDEEAADKEAPAAEPAKIKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPESVLQSPTTPPRRTFLARRVGEKGKEPEEAWKQTCGICLSEEQKATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRSGGEGPSNAQTQDRVVHCRENNTNPANSSSVSFGLATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESLVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRIATNGWQNPIQHDRTIPENEQSSQSTCAPNEVNPSCSRDGSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLICAQSPLDQSDFKNVARRATHTILALSGIAHNEDFVVNTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVGYIAKMFT >OB07G32660.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18355886:18359189:1 gene:OB07G32660 transcript:OB07G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQVFDGHNGVSAAGYSKEHLXELVMSAVPQGIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATSPSSRCAICQVDQETFESLITENGGSYHSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >OB07G32670.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18360509:18362475:-1 gene:OB07G32670 transcript:OB07G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKRRNGDDEHRCGGGKRPRPAPEKRKQHLYVVLDDWGDKGYRMHKIDVDALALLEEEEAEDMRLPEPALLQFANHAPLTAPMCFSALGTTIFAARPPDTPALLYHADTGGLTIGPPLHVGVVPAVTVAVGGKMYAFHHANQVAVMSLEAVQYANNVVDRMLQPTRAWTWTTLPSPPPYSVLDAITSYAVHPDERTLFISVAPAAPQLDERHYDAELDAWVGLRGGDVCACRVASRSSSGPPEPEWKLLKEKVCGKDPPERQLSVKPRLVHMGGSRFCLLERVRREGVDKVHAFCDSHGCGCVLRLTVFGLKYDHRGELRTSLHRTTASYIVSYSGLLSSPIAFWM >OB07G32680.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18368970:18371163:1 gene:OB07G32680 transcript:OB07G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVLPSLHYELATHIIPMGGIEGAAIVWELDGKHVYSKEWLDSFYTQPKGLEAVALKNTGFNTLSNLKTPCKCGEIIYKQSQAASAASSSSKVLYSGNKRGVKRKIDFDSDHGKGTSFDELRFRNHSIFQCPCDRSVQSTLSEYADKNRENFISSVPIPGDTTGTLFVLISNGIKIKLRRAFRLLLNMHRANLSLRGQFSAENFFFDDLDDVRLGDLVKLNLKFGIDTHDKNKDYTKFVHMVRKEVFRGKAIPTDLSEWLCLSSGVQGCEYLLVYHSSLMESRHSASTFMSLHDIFLEMKTSDQAAYRRVLSELSKHKGWQAKIWDNTHLTTTLQYRDSNGCLTRYNDDVEDLLRLLRNCRRHAALFLEGTFHMIVGQHFPTLMVDFQKAMFGAGRLEHLNLEATMR >OB07G32690.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18371631:18373586:-1 gene:OB07G32690 transcript:OB07G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFAAAVFLLLITVAQAQAQPANTPEDCNGILLTYNLDRRVKIRPFVDAADDQPYSFQANATLLNSGTRALRSWTLLLTFAHREIIVTADGAVLTSAADLPYDTTARNATSFSGYPQTDLLTPIATAGDLTKIRATLILVGTLFAGPDPYVPLPSALSLADPSYTCPPAKNVSTFLSTCCVLTPEAAANETAGDLNAARRTSYLPRGTGDLVITYDVLQADDTNYLAQVTLENDALLGRLDGWQLSWEWLRGEFIGSMRGAYPRHVDARDCVYGAQGSYYKGLDFSKVLSCDRRPAVFDLPPSSRDDNDMGRVNHCCRNGTMLPKSVDAVQSKSAFQMVVYKMPPDLNRTKLYAPTNFNISGASPLNPEYACGQPVRVSPTELPDPSGLASTTLALATWQVVCNMTTSPSKTPSCCVTFSAFYNESVIPCRTCACGCPAASCSKTEPSMLLPPYALLMPFERRAREAGEGAGEKRLGVPWPMPCGDNCGVSINWHVATDYAGGWSARATLFNWEEADVAEWFMAVEMEEEGFEFEQAFTFNATAVGNSSMILMRGLEGLQYLKGESNMSGGDYPVAGKLQSVLLFSKKQKRRRSIIGGEGFPSRVLFNGHECAMPLRIPSGANLVRSLPPPLVSIILLLQLLLQSVLLV >OB07G32700.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18375402:18377313:1 gene:OB07G32700 transcript:OB07G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MPD8] MDGWMDGLGLAIAIRRRPYLACHASYATILFHCGSLGYSPAIMSKGAIIGASTVLVVAVVAAVCVVSFKNNGGGGKADDGGELSMSVKSVKAFCQPTDYQQTCEAELTQAAANGSSPTDLAKAIFAVTSEKISKAISESATLEELRNDQRTSGALQNCKELLEYAVEDLKTSFDKLGGFGMTDFNKAVDDLRTWLSAALTYQDTCLDGFLNTTTDAAAKMRTALNSSQELTEDILAVVDQFSATLGSLSFGRRLLGEDGMPTWMSEGGKRELLAAAGPEAGPEAFTPNVTVAADGSGDFKTIGEAGAKGPPKNAQRYTIYVKAGMYKEYVSVGRPATNVAMIGDGADKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRGIRVENTAGPENHQAVALRAQSDQAVFYQCTFDGYQDTLYPHAQRQFFRDCTVTGTIDFIFGNSQVVLQNCLIQPRKPMANQVNIITAQGRREKRSAGGTVLHNCTVEPHPDLEKFTDKVKTYLARPWKEFSRTIFVQNELGALVDPVGWLEWNGNFGLDTLFYAEVDNHGPGADMSKRAKWKGIQALTYGDVQKEFTVEVFIQGQQFIPKFNVPYIPALLPQSETDRTH >OB07G32710.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18379655:18388179:1 gene:OB07G32710 transcript:OB07G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MVSESEGRPLRVGLVCGGPSAERGVSLNSARSVLDHIQGEDLLVICYYIDCGMNAYAISPAQLYSNTPSDFDFKLESLAQGFQSLSDFAQHLAANVDIVFPVIHGKFGEDGGIQELLENANVPFVGTASRNCRVAFDKYNASLELSAQGFLTVPNFLVEKDKLAKSELEGWFQSINLNKENGKVVVKPTRAGSSIGVVVAYGVNDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDTGTANNSEPVVLLPTEIELQSSSNSDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGLSLLFRRLGMHDFARIDGWFLPTPVTSLPSAENTGKFGNIKCGAVLFTDINLISGMEQTSFLFQQASTVGFSHSRILRTVVQHACSRFPSLVPCSNAWTALSRKIQSPKQAEAIQKGTSKKKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVIPCLLTPGDEYSSHDQNINENSRDVWILPYSLVLRHTTEEVHAACIEAIEPERMEVTSRLRDQVMNELGQALSKHDWFVGFDIANKQPIKYSLQQWINHVKEAKAVVFIAVHGGIGEDGTIQSLLESAGVPYTGPGPIASRTCMDKVATSLAVSHLASYGVHTIPKDVRATKEILKSSLADIWNELKAKLRTETVCVKPARDGCSTGVARLCCPKDLEVYANALGKKLHRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISNKSVDDSTRHLVWKGENEWLEVTVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEDALQKCKRSIEVLANTIGLEGFSRIDAFVNVRTGEVLLIEVNTVPGMTPSTVLIHQALTEEPPIYPHKFFRTLLDLAFARAN >OB07G32720.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18398621:18399605:-1 gene:OB07G32720 transcript:OB07G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDFLPDVADHNKPTLPHHAADDAIAIAMPPPSAPATPNNYCSVAGVLGGLGPHAYTASKHAIVGLTKNAACELGEHGIRVNCISPFGVATPMLVNAWRQGGGGEAAAPSEEEVEKMEEMVRSMATLKGPTLRAGDIAEAAVFLASDESSYVSGHNLVVDGGVTTTRNVIGL >OB07G32730.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18407564:18409855:1 gene:OB07G32730 transcript:OB07G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIHASQGFLSIFRQLKYRHANGSLRPQMHSFQNEATPEVNMSSNRSTESTIKETEDITVGTASVSEEGNGELERTSSFEAWLSPNAHILQKDQMIAEEMSHYTCNNGFVSHVTQNDAIAATADFKEDSNTYRKKSVSKRSKYKGGMEFIASRVRSRFPRKLFSKKQDPRPLSVADSFRNMTSKILELKCSNIQDKDSDELRPNNRDGSKCLEKTHPVNLASPSFQEELLAGESDEPSSPEHSYKLTTKASSEHVPVLDEVASDEKITECTQEHFDDASLCEDLHQSIVHRERNGSPVPKPCSTTSITQEDSPDPTAGNASCSEGPREDMVPPLPPIQWLSSVKVRSGSRVTSPKLKTIRPQSPAGSNYVHPVKEQLETDIIRCHYSVLASHMEAPAASDVEYTADNISNRDGIPENDSGEIHDQEKDMVQPSDDMESCDPTEHSDEVRPELEIKLDPYAAMQSHQEEIQQTGNGDSDCNKKPSESFQDLYRMGKETSATQSNGPQVDNSLNHHTDEEHDTNVHPESVFSSAAEQLTKMNPPPVPRPKYSLLQVRTAPGLIYPSRKLSGEISKLPEQINDKPCNLKPVLGRGSNVTVDHSSAKVASILQRVDNIRQDRADNHEMSSDSEVSWSDSD >OB07G32740.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18409821:18413698:-1 gene:OB07G32740 transcript:OB07G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OB07G32750.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18415975:18416616:-1 gene:OB07G32750 transcript:OB07G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3MPE3] MAMGASPDIIKPPPPPPPLPPPPLPPSHSNDSKPYRGRSASGDDDGLGLVLVLRAAAALLAFVAVALVASCRHGDWMEFTRYQEYRYLLGVAVVASVYSALQALRGFRRMRAGTAYAATFVDFAGDQAVGYLLVTAGGAALPITIRMRSAVVNTFTDAIAASISFSFLAFAALAFSAMLTLSASYN >OB07G32760.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18420029:18429639:1 gene:OB07G32760 transcript:OB07G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRRCSLLTRALLLAIAALALRLIYAAFLAAMALYPPLPSAVLGLGGKTYVHSAVATPEAWRTRDWRNAVDYHATVLAAHLADGILSPTSRAVCLGAVQEALAMRELGVSTAVAIARKRSPPLAVSGNDRRLPFPAASVDFVFAGRALDSSKRPSDLAAEAARILRPEGHLVVLTSSAGDAYSLRALQALLPSLRLLRSRQINGPDASTLLRELVFQKLDDSTTDDPPLNNCTIGDHKLQLLEHAEPLIQEEPLKPWITLKRNVKNIKYLPALADISFKRNYVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVFAIEADPAFHSEYAAKKAVTLLPYAAWVKNDSLKFEINGDPGKEDEAKANGRGMGRIRPMAGKKMSGEVRSVPAFDFAEWLKHTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPGERSPKYQNTYDECLELFSSLRESGVLVHQWCTFTDRAEALEMAKGSHASAECSISKALRFQVKRPMFSYLNRKGQLETMHMQKYYICLGTGLFTCDAYLVLSWLFIDHTRQGTAAGTVSRDTASSEQLNFTGYSHPLIGRVQCQREDWQDKSRTDHSRAEWHRHCHLSPQLCTAFEVFYDGSWHGVNCIRIRSGNLFVKFIYSGSTVEHNVDGDCLRLRSRRATCSDCTNVLRPGVDVCVLSPHTLQESLQGKTKASVLLCHDARLITIKKNHQEDKCLCLFAVMLYKNQCPGNAEKVVTDRRAEVVTINNVFLLQKLQSEDFQDGSMKWSSSEDRLSLNRGKLLSARFSSEITHLIVLSILRGMEFNIKLVDCQIVYQIIKRDQSRYSLDSMAIPPGFGNTMEIISFQLRDEALWPTIRNIPITHVEKNNITEDKRFTVKSEMDSELDVEVLYEHVDLRRSKRLKTQPDRFMSYHAPRFLGGYKKKETSSSPIKHVRGALHCDLPVEVESCCVEIPVHITQKQTGVYSSMVNEKTSSPEGQHKNTMKGTACSLSVKEKPCSLDGQHKNITNGTICSLPVKEKTCSPEGQHKNSTDRTTCSLPVKEKPSCPEGQHKNTTDRTTCSLPVKEKPSCPEGQHKNTTDRTTCSLPVKEKPSSPEGKHKNTMKRSTCSLPVKAKPSSVEVEDKSAKEQSGVELHIPRTPAQNKEKHNYPAFSCKPKLFSSSGILGGNCEPAFCRKVGRKRKSHMCERECKQMIDQCIGNIQSEMERDSKLNLDVNMMNYILHSYREEDFTWPPSADNQEEVDELEELWKEMDYSLTTLALLEKKQVTTQSRINMLVNSFVGLRLDCLTLTNDYRCYYEKKERLAESESGNENTDLFGKNGGIPCHHEYTLDEELGLACRICNVVCTEAKDIFPEMFNGNDYKDRSGSSNICLDDHVLDPSLLANFAPDLSESKSSESVWSAIADLDPKLLPHQRKALDFLWKNLAGSIQVEGMDSSKVSTGGCVIAHTPGSGKTLLIISFLVSYMKAHPRSRPLVLTPKAAINTWRREFQKWSISLPLHVFHHASRSGKPMSAVDSKLQSFLKNFHRPTWTNMRLMDSLDKLVKWHAHPSVLLMTYSSFLGMTKQDSKVRNRGREFVAEVLMNNPGILILDEGHNPRSTKSKLRKLLMKVKTEFRILLSGTAFQNNFEEYYNTLCLARPWFIGHIMSELVPERKKETLKRKTKLQEAVARRAFVEKVGQKIESSNKHDRIDGIFLLNKLTRGFIDSFEGAKLINLPGIHVYAVFMKPTDIQKEILAKVTMPKLGCSRFPLEVELLITIGSIHPWLIRTTKAVNTFFSPAEVEKVNRCKWDLAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIEMVLGWRLGEEVLVLQGDQELPVRSDVMDKFNCDTAGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQERTVYVYLLVASGTWEEDKYNSNRRKAWISKMVFLGRYVDDPLQNRVTAIDDDVLKELADEDDTGSFHMIVKQD >OB07G32770.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18430601:18433029:-1 gene:OB07G32770 transcript:OB07G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEALLPEVGTVVMIQVKRLADLGAYVSLLEYNNIEGMILYSELSRRRIRSIPSLLRIGRQEPAAVIRVDRLKAYVDLSKSRVSPDDARACEARYGRSKLVHSIMRHVADTLHTDLEPLYHRIAWPLYRTYGHAFDAFKLIVADPNAILDGLTYHLTEPGPDGNEVTKILPAVTPEIKEALVKNIRMRMTPQPLKIRADIDMKCFQYDGVLHIKEAMRKAEAAGNDDCPVKIKLVAAPLYVLTTQTLDKDQGISVLNNAVKACSETIEKHKGKLVVKEAPRVVSEREDRLFMDDIENLKTANEEVDGDEDSEEEDTSMGEVDLTKTGADM >OB07G32780.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18433237:18437801:1 gene:OB07G32780 transcript:OB07G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRLLRRGLLSMLPTNKRPAGADDACAADPKRAKVLVGDTHNGAAVPEIDEDLHSRQLAVYGRDTMRRLFASHVLVSGLNGLGAEIAKNLTLAGVKSITLHDVKNVEMWDLSANFFLSEKDIGNNRAAACVAKLQELNNAVLISALTEELTTEQLSKFQAVVFTDIGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGGVFCDFGPEFTVLDVDGEDPYTGIIASISNDNPALVSCVDDERLEFQDGDFVVFSEVHGMPELNDGKPRKVKNARPFSFSIEEDTTKYGMYTKGGIVTQLKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKDYGRYPAPGCEQDAQCFLKCAADINEALTDRKLYTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPMNPLNSEDFKPSNSRYDAQISVFGSTLQKKLEEANTFIVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPGEVNSFLSNPAQYAAAMKKAGDAQARELLERVSECLSKDRCSSFEDCIKWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPHPLQFSAVDPSHIHVIMSASILRAVSFGIAIPDWAKNTIKLADAVSKVVVPEFEPKKGVSIVTDEKATSLSSASVDDAAVIDDLIAKLEECAKTLPPGFQMKPIQFEKDDDTNFHMDMISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGGHPIEDYRNTFANLALPLFSMAEPIAPKVMKHQDMSWTVWDRWSIKGNLTIAELLRWVSDKGLTAYSISCGTCLLYNNMFARHKERLNKKVVDVAREVAKMEVPEYRRHLDVVAACEDDDGNDVDIPLLSVYFRD >OB07G32790.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18438207:18438380:-1 gene:OB07G32790 transcript:OB07G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLFFFFPNCIWSFDFLYFPVELEERDGEGRLLFYGSINTWGKDGKSDINFGLGPATS >OB07G32800.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18439261:18441904:1 gene:OB07G32800 transcript:OB07G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLPLLLLLVLSVSLSWPLAHSSAETGAGLHNRGGGRQASVDLRNAHTRKLLGLLNIGGGSPGAVLVESPKQAPPVHHKRHRERHRGAHTPAPSPAPSPSPLTAPPKSPPASTPAIALPLSPSSAPQPQAENAPAHPKHSWRNYGLVTAGSAVFLVTAIASIMYCRAKRVGTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTSSCTLYKGTLSSGVEIAVLSSSIASVKDWSKEWESQFRKKITNLSKVSHKNFMNLLGYCEEENPFTRAMVFEYAPNGSLFEYLHVREAENLDWMARVRISMGIAYCLEHMHQLNPPVVPRSFDSTTIYLTDDFAAKVSDLGFWNDSKRSFSSATCDESIMAEIDSMVHQYGIILLEILTGRVPYSDADGPLEHWASGYFEGKMTLAELIDPSLGSFPEDAARALCDVARWCIEPEPSKRPLMSQVAGRMKEITSLGPEGATPKVSPLWWAELEIMSGQAS >OB07G32810.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18443471:18445157:1 gene:OB07G32810 transcript:OB07G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTPKEMEMEMEMEEEKEAVAGTLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAGAYSENLPVICIVGGPNSNDYGTSRILHHTIGLPDFSQELRCFQPLTCYQAVVNNLDDAHEQIDRAISTAIRDSKPVYISVSCNLPAVPHPTFSRDPVPYFLSPRLSNQASLQAALHATLAFLHKAVKPVLVAGPKLRVAKAAGAFADLAAASGYAGATMARVRSNTTAHDNYRRIFVPDGQPPECEAGEPLRVNVLFKHIQRMVAEGESGIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGAVLGYAQAMPKKRVVACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYVGLVRAIHNGEGACWATRVACEEELRAAIATATGDKAHCLCFIEVVAHKDDTSKELLEWGSRVCAANSRPPNPQ >OB07G32820.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18447681:18455909:1 gene:OB07G32820 transcript:OB07G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08960) TAIR;Acc:AT3G08960] MALSAGDVPTMYTVLVNSLSADEAVRRPAEAALAQCEARPGFCSCLLEIVSARGLACREDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLFLNIREENSQIALQLAVLISKIARLDYPKEWPDLFSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITRHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLTAIQSLLPYYSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQGVLPAIVDFCLNMITNPEQGGTSFEEFLIQSMVFVKSVLECKEYKPSPTGRVINEGSQPLSLEQRKKNFAAVASDMLKVVLPGDRVMLLCNVLIRRYFIYTTKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGGTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSLGYQSPLSYHMLIPILQSGINIDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFPYLVGIVNRSFDHLQVTINIIEDYIIFGGSEFLKRHGASLANVLDTIVGNVNDKGLLTALPIIDLIIQLFPQEAPPLISSSLQKLIFICLNQDDEHNPSRTTVRASAGAIFARLLVMNTNFTGQLLSEPALLTSIQQTGISVNNNLLLCLVDMWIDKVDDANVVQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIIGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACASLHGDATFNAAIGRIHPAAFAQLQQALNIA >OB07G32830.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18457476:18464800:1 gene:OB07G32830 transcript:OB07G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASVAAASAYYMHRKTLDQLLRFARSLDRRLLDADDLELEHHPPDHDRRTTLPIPPGLPPLHTAREGKPIISPASTKRVGPLVRPTTPRSPVPIVSAFESIEDSDGDDENLAPDSKNNAVYLGTNGAIGSDPLPGKANQNGDVKPVSSTDMIRSQSATGSLHGTQLNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYIFREEVAPWEKEIITDPSTPKPNPNPFHYEYQTKTDHHFEMVDGVVHVYPNKDSKERVFPVADATTFFTDMHYILRVLAAGDIRTVCHKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTAHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEVARNSVYQSGFSHRLKSHWIGRNYYKRGHYGNDIHQTNVPHIRIEFRHTIWKEEMELIYLGKVDLPEEIDR >OB07G32840.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18465400:18469644:-1 gene:OB07G32840 transcript:OB07G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVPTKLLLLKSSTPTAPPHPKLQHKHQVEVVAAGNSSSGEGCDLYKGWWVYDAEGRQAPLYREPECEFLTEQVTCMRNGRRDESYQRWRWQPEGCDLPGFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPHGHKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNTFDIKVLKNRGADPKGKGKEGWWSSSRYALVDRPIAYREVLKTWAKWVDRRIDPTKTTVFFMAMSPNHITPEAWGGSAGAVKCAMETQPIMNRTWGLDIGTDWRLHGVARGVLRSMRRVPVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQSDPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >OB07G32850.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18472530:18481357:1 gene:OB07G32850 transcript:OB07G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIALHYGVPYTASLLAFDPVQRLLAVATLDGRIKIIGGDNIEGLLISPNSLPYKFLQFIQNQGLLIAISNENEIQVWNLEFRQLFYSSQWDINITAFAVIEGTFLMYIGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEATCVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDCAIAVRGYGDLHMKNQVTAAQNDAVEDEDNNINAEAEEEREICSLCWASKGGSTVAVGYITGDILLWDMTAKSSKQDNKNDASSNVIKLQLASGIRRLPVIVLHWSAGSVDSNKGGHLFVYGGDDMGSEEVLTVLSLESTSGLESARCMSRMDLKLDGSFADMILISDSGLPYKNRTSALFILTNPGQLNFYDAGDLFSVPKLEEGKAPPEAQKFPVTVPTSDPNITVTNLYSINGRESQSTSLKKFCVKQNAAPFMQRNMKWPLTGGVPSEMSLNEDHTVERIYVAGYQDSSVRIWDATFPVLMPMFVLDGKVVGVKLDGENSAVSSLAFCSLNLTLAVGTTSGLVRIYVLREHTGGSTFHFVSESKQEVHVVHHGRGFHCHIAFLASNSPVRSLRFTASGEILVVGYQNGQLASFDANQLSIMFSVDCASGTNSPVVSLSNYNAVTSAVKENDQQMESSQSTKSPADVLVSLTKDGRFTVHDSVNGVTINSCVLDQKQLSAISMYVIDGPSDEEQNQLSEDKFSSKGHISKEESVLDKKQTHTVEKSQKNNPQHSHSGGSDSFLLVCCEDVIFLFSLPSLIQGSSKHLHRIKLAKNCCWSAVLTNMDGKACGLILVYQTGSIELRSLPDLTILAESSLMSLLKWSYKTGMEKSMSSSNGQIALVNGSEFTIISLISSENPFRIPESMPCLHDKVLAAAAEAAINVSMDQKRKQIPAGGFLGGIITGLKGKGEEDAKLKGSLIVQTMSEQLESLFLKESFVEPSISNPDDPMEELSIDDIYIDDEMPPAAPPPASSSTSHHVNKKTTGRYGTVCFDFLKRYNCELCTFMFAAEEERAKLFEGSSDVEKPRMRTQQEILTKYKFGGDAAAAAAHAKEKLIQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >OB07G32860.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18482184:18484816:1 gene:OB07G32860 transcript:OB07G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSGGGGGLTPLPLRRAIALPLFPTPLRLRLPLRVAAPTRLVTVEDFDPGAAPPFGLADIRAAIPKHCWVKDPWRSMGYVLRDVVVVLALAAAAARLDTFLAWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPRLNSLVGHILHSSILVPYHGWRISHRTHHQNHGHVDNDESWHPLPERLYRSLNSATRMLRFTIPFPMLAYPFYLWARSPGKSGSHFDPSSDLFQPNEKNDVLTSTACWVAMATLLAALTFLMGPLQMLKLYFVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLIEATEAAKGVLGKYYREPDKSGPFPFHLFGALSRSLNRDHYVSDTGDVVYYQTDPSISLSPTTRTTNN >OB07G32870.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18486589:18499336:1 gene:OB07G32870 transcript:OB07G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) TAIR;Acc:AT5G01400] MAVAAARDLPPTFLPHPSASSIEPRLRQLRRLPLLDFIARITDLHADEASPVRKLVAEMIGEVGSRRMAYLPNVMPCLLDLLNDETPAVARQAIKTGTSLFAKVLQQLVIQGLFSSGGIDDSLKLCWDALLKLKSAVSHMAFQPMGNEGARLLAIKFVEKTVLLYTPDPDSPADPPNEVTEDMGFNVAWLRGGHALLNVGDLAMEASQSLGLLLEQLKPPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSIIKVQVPGAFHALKSAFAACLKCTHSSAEPWRARLLEAQNIISQADSIEHSSNRVESLPLMETASTDNSNKRNLIDNMNDALEDGGHSNKRIRQSHDDQEHTENVKNNAEPSFVDVSSNPSTSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEIDQQQTLSTSQPFGAPSSSLLSACFPLLESLLKRINQNDREVDEVPAIDSSVVPSAADKIAAIPAIPGPTSGNPPMEENSNSSSIPFEVETAEAKVSTVDSSRLSAEIQESSEASHASTEPQGTQEHGGSFISSLPADISSAGLSLAQSSEIRSPSSSMVEASQALFSYSSTVTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILGSDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYHEAEQDQDFLSSRTATSVYESFLLTVAENLRDMFPASDKSLGKLLCEIPYLSEGVLKLLEGLCSPGSNDKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSIHHLDEVRMKAIRLVANKLFPMSSISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGLEHLSSSVADAQTLMSLYFALCTKKHSLLRHIFAIYGSLPQAAKQAVHRQVPILIRTIGSSPNLLGIISDPPADSRDLLMQVLQTLTDGAVPSQDLISSVKNLYSKTKDIEFLFSVLAHLPKDEILPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMDIMSRLVSKQIWKYPKLWVGFLKCTILTKPQSYGVLLQLPAPQLESALSKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAKSRQNQAGETSSSAADATTEVTQESSAAS >OB07G32880.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18500076:18502702:-1 gene:OB07G32880 transcript:OB07G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:J3MPF6] MTRRRPRTCAPSSPTTPAGGAPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPGRAARIPRVGRSLLTLDDFHRFLFSHELNPPMRHGQVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIKALQRGVRVIELDMWPNSAKDDISILHGRTLTTPVSLLKCLVSIKEHAFVASPYPVIITLEDHLPPDLQDKAAKMVLEVLGGILYYPENDNVKEFPSPQALMGRVLLSTKPPKEYLEAKDGSTIKDGGDVGKGGATDDAAWGKEVPDIQSEIQSATKVLILLHHEDTDDDDEDADSEEEQKMQQHLAPQYKHLITIKAGKPKGTLVDALKSDPEKVRRLSLSEQQLAKVADHHGTDIVRFTQKNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQSEPEVFDPKKVLSPKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSVMKKTRAIEDNWVPVWEEEFAFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELLPGIRAVSLFDRKGNMYKNVKLLMRFEFE >OB07G32890.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18507129:18508130:-1 gene:OB07G32890 transcript:OB07G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37130) TAIR;Acc:AT2G37130] MTRSRHIAMVCCSCALLLQVTMSVVSGEGQLRLNFYSESCPRAEEVVREQVQRLYEEHGNTAVSWVRALFHDCMVKSCDASLLLETTPTGAISEQASHRSFGMRNFKYITAIKAAVERECPGTVSCADILALAARDGVAMLGGPAPVGMRTGRRDSRESYYGVVERYIPNHNDSVSTVLARFAGMGVDTEGAVALLGAHSVGRVHCFNLVGRLYPEVDGSMEAAYGEYLRGRCPTAEAAEDTGEVVYARNDRATPMLLDNMYYRNLLAGRGLLLVDQQLASDPRTSPYVRRMAADNAYFHQRFAATLLTMSENAPLTGAQGEVRKDCRFVNSS >OB07G32900.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18514548:18515975:-1 gene:OB07G32900 transcript:OB07G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3MPF8] MAAPPPCKTNRSRPPLLLRRAMLHSSLCFLVGLLAGLDPFAVFLRALNNRTLIVSSRRHHHMMINSPQLVVVVTTTEQSDPERRAAGLTRTAHALRLVSPPLLWLVVEVAPAEEHAAPPTARLLRRTGVVHRHLMYKKEEEATREKQRNVALRHIEDHRIAGVVLFGGLDDVYDLRLLHRLRDIRTFGAWPVATVSAYERKVAVQGPLCEAASSSSTRPRGWFDLDHMQMQTQMQTQVLPRPPPETFMDVAGFAFSSWLLWDPHRWDRFPLSEPDTSQVRPSATSIFGIYRYTPMTEFPINFVFLSCGRSVGRSQLYTVSLAS >OB07G32910.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18518211:18519380:1 gene:OB07G32910 transcript:OB07G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTNRLQAAVAKAHLRAFDKFRGLPDPPEYTLHLGLPFAIAIANHPALPNNCNSSTPSRRRGRKRKEDEILDDSDDEDWNPRKKVATDSDSEVDLGRKRASKGGRGSGSALRGRPRGRPRKNNARGAAQLKDDLIQDIVDYPPAAEMFLQLTSVAADPFNFKDYDSVPVILSFFSKYKDSEAPSVYDDKELLETLGVKKGRKNMARSLYPSTKEEDDLDALDGQRGRRKSAGSLYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRLSQNKGANKKMKQEVAPQDESSADSPAVKQAEGPAALILHFSNAEAIPSVDDINSIFRIHGPIMEGATEITKKSKIARVVFSKSADAERAYSSSGKYNAFGPALLRYDLKYLPQAPQVP >OB07G32920.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18520755:18523771:-1 gene:OB07G32920 transcript:OB07G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:J3MPG0] MEASGDGLKVAYQGCPGAYSEAAAKKAYPSCQTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANHGVKIENLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQRLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNSSAPLKHFDYLFYVDLEASMADPNAQNALANLKEFATFLRVLGSYPTDVSEA >OB07G32930.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18528222:18531304:-1 gene:OB07G32930 transcript:OB07G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCYPTVSEEYLAAVAKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPAEQAHAANAGLDIAVRLLEPIKEQLPLLSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTSNPLIFDNSYFTELVSGEKEGLLQLPSDKALMADPAFRPLVEKYAADEDTFFADYAEAHLKLSELGFAEE >OB07G32940.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18534103:18539736:-1 gene:OB07G32940 transcript:OB07G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT5G13610) TAIR;Acc:AT5G13610] MELCRIRTFHKSLHLLLHAHAPAPAPSRTLGTLARSPLPLPLPPRRPPLLLRTFASVSPAAXXSSAAGRDLDPPPAPLPPPPPDELASEDDAYYHEHILEATQEDKSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPDMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >OB07G32950.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18545310:18545666:1 gene:OB07G32950 transcript:OB07G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGPEASRLEKKKKKKKKKRGGGHVALVVGEGEIGRSGSEEAQGSARLLISSDLWGGPTNAAPHLHLLHVIALPNIYYCCCCSSSSSSSSSSSAAHSLRSLLRLFLNPNPLCRGQLD >OB07G32960.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18546340:18562447:1 gene:OB07G32960 transcript:OB07G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASHNQTGDANGSVPRGYSDPDGAPASAMQPPPQVCWERFIQKKTIKVLLVETDDSTRQVVSALLRHCMYEVIPAENGQQAWKYLEDMQNNIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSDSSDDDEDDGVSMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPCTSNKNCKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPKERSVKPTDRRCEYPPQNNSKEGAMENVEPIVRAADLIGSMAKNMDAQQAARVANAPNCSTKVPEGKDKNRDNVMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPVQQWMSPTNTTGKEKTDEVANNAAKRAQPGEVQSNLVHHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNVERPNTEIANGAINKSGHGGGNGSGSGSGNDMYLKRFIQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQGQQQQGAAADR >OB07G32970.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18563555:18567361:-1 gene:OB07G32970 transcript:OB07G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSGGGGSHIRTTQSKWLDANLQGTRPRCFCLPISNPKFLLDRFYPNTNTTALYEIVFFSFAGAEMETRVKIMLKLLGEEADTFGKRAEMYYRSRPEVINHVEQVYRAYRALVERYDHLSKELHKANHTIATACPEQVQYALLQEEEEEDADFPKAVTPIDSRRIHNSTVQEILKRKGRDPSARNTDACAPHMTKQNAQEEISTLQKAILVLQTEKEFVKSSYETGIAKYWEIEKQIADTQDEICRIQNEFDAHASIEDDEARALMAITALRSCQGTVAKLIKKFEELVRTAAGESEKISHLRERLYAMDIIVNPSNREAGEAGTTNMTVQNRIYPNTQAILELQPIYEKIESFFERDSESIVEEMAEKVDELVDRVVNLELKFAKQSAQTKHLKEDNDSLKDILDDLQDEMALRDDPSDLGEHLKLAEYELNRVRALERVVIEEEVLVSTAFSEVVSCVTNISNAIGCIGPKDQTSLSAAAENNVELETPSEDISTSMQREELRDMEVPTKDDNFLRDRFINEDASKVNGHDSLNGTDSIVDCTKNNEENFPTGICLIQEDLRDKGSILAGNSSQIVIPSKEIEGKIDCSTKEIHCSSSSGTKKHRDAGNDVVDNSAQGKYLKGECPPTAISHTHLLHSEILTNKCDFDENEPSVAVAVNSFGDSNEIQGLEIGGDENSITGNSFIQEGGLGYGKSLKTPGHVNLVGSANLDSLNDENTAEEIALPEAPHRCFSDADMGLDFCHADEAVYVGGLPEEDGWLIAPETNKSLHGDSKVDSSEEGGCTSLENKNSTHDLRTSVIVDAHSSSEYQEVPEVTTKSEHTVSKISHGELEKRNSKGKELAGAASTLSEPGSRNWCVNSSLKVGQKLCHIYIDRKEEKVQDYGPQKNQNQERKNHTPRDSSLVAEGNAPSWQEFLLDEIEGREAILLDNYTLLLWNYKETKRRLSELEKKNQQHLEETKVVIRELRNANSMKYVEIQSLRDLLDPSDMPPTHSKMGLNGTKHPLDTEISVLDGTNLSHTSALENASPFEAKFRSDIDALVEENLQFLVRFSMACHHMQEFYSKYQELQKGLGNFEDKKTGEPDTAAEPDPAEKNLRELKTELDVWFEQNALLDQELQLKTRYLCKFQEDIAEALRASPETDGGKFTPYEAAKFQGEVLNMQQSSVKIERELQAALKRMRELEGKVNDGLQRLNESFDLSSRRSSLVEAESSSYNSQFKHFPTRTRVPLRNFLFGAKPKKKSIFACINPTLQKQFSDL >OB07G32980.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18565818:18566297:1 gene:OB07G32980 transcript:OB07G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSRCIEVLISSEGVSNSTLFSAAADKLVWSLGPIHPIAFEILVTQLTTSENAVLTRTSSSMTTLSRALTLFNSYSASLRCSPKSLGSSRSAISSCKSSNISLRLSLSSFRCLVCADCFANFSSKFTTLSTSSSTFSAISSTMDSESRSKKLSIFS >OB07G32990.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18570064:18572290:1 gene:OB07G32990 transcript:OB07G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAPPTPPERPLLPRPRCPEEDDHRGGEVLQPARPFKAPRHLATPPPSSPLQMMPQSSPDAATSPXXXXXAPATGPRLVVFDSPPPPACFAAHDPFPAVSVANFRKYQEAALSILDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDENRNVKRAEFLVRASMQGGRFSDGWGSCDRREKKLNKPNHDVPSTAETRAKNKACQDLVGIGNSRPG >OB07G33000.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18573898:18578740:1 gene:OB07G33000 transcript:OB07G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAASGLXCFHSARPAWRSSAPSPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRSVPEDLDLSLYGFTDADLDREFFLGVWRMAGFLSENRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKPKEYHKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHEKVNRILNEEFTKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPEVLKRVGQAITTLPEDFKPHRAVKKIFEQRASMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGWKYCPLDHVVMNQNDELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGITRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHVQEQTELVKKALQAEPIKFP >OB07G33010.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18594256:18594549:-1 gene:OB07G33010 transcript:OB07G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLFFLAMAVIPHLKWFGVVGEYNVMVIDLLEPSLEDLFNYCRRKFSLKTVVMLANQMVRVWSLYLKFLQFIYSNMLIDPESNWSLYLVLYICLM >OB07G33020.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18591710:18592054:1 gene:OB07G33020 transcript:OB07G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTATSPPVPCGGGGDPWARPLRWRRLLHTLATASSSHCPGKRRDPRRRRGRGGGRGRGRGWAAATSLGDKAGAASAYGVCCVGGVTSNRDSKRCDAMPSPPSLRAMRDANPS >OB07G33030.1 pep chromosome:Oryza_brachyantha.v1.4b:7:18597469:18599346:-1 gene:OB07G33030 transcript:OB07G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPADSFLILEFVAGYRLIPHSIFTALLASLPSVSPHTSPRLRAGLALRALDAALSDTDGVDAPSLLHKARAVLAEPDLAPWFPQHLAEPASADDLPAAAVAELKRLLDVEWASLPPSALEIAAERIVGSGALQFWANADHAQRSKLRLLVGESTAREILEKIQQQDASTNHPAVLPQVDNAPETNGTNDSDCSEEKDKGCPVKQNAKADHPQEVGVRHQQESMQGAPNDHLKESSVTTESIRGKDPDITSFMEEVICPQISGQFTPDNIKNHQVTGPNHSLMERNPTAITYERDSLGDPGGERPAAKRQLPAFERTPKPSPTAALKTRKKWSEIQEKTLLEGVKKYGKGNWKDIKMAYPDVFEDRSTVRPLICLYHCLCIFCLFVVKVALMSVQVDLKDKFRNMERHLCV >OB08G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10441:13456:1 gene:OB08G10010 transcript:OB08G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDTSASASSAAYSCILLNSDPDIGSRRHKTTARGPRGGKPTKQAAPFSSARPPLPSNLFVHCPGATFPRSSIIVSTADDLLLFRVPVAVEPPPKFIRYDDCDYIIYRAGRSPSLTRIPNPKPNFYDLNVGLLSRAGDLFTVAALVANSTNEFTLHRFDSEVGNWSMKTVLLDAPRKPYPMRIPANALRLNHHITTTVITLGGKSGTMGWVDLWSGILLYDLFPEEDQERPPMLWHMRLPLPMDLGKWVTVLGCPKSTRGIASVIKDGKPCLKLAGLQIIEERLPYDDIETYMPCYRVNNWAITTWSNTIMVHANSSDNWQEDFTVWAPDIIISDTVRSELLASGLLHRKPSQDGEETVELALQNLVVSEPIPSLNGEEEVVYLMARPKYFHPKAWALAIDIKNHTLLDVAEFGTAKAGMLPIVTYRSSAISKYLTQATPPVTRKT >OB08G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18782:21881:-1 gene:OB08G10020 transcript:OB08G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAAASSSCKPRLTYTHCSISFFFAPRARSWIRAAAEGQGHGAQRRRGRPAAEGPRVVEVAAPPVTPVVTGSGGGFAARDAELAMWERLGAVVRLTYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVDGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRKMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRRRLRQRIQEIRREGTN >OB08G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:23930:24202:1 gene:OB08G10030 transcript:OB08G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTTAGGNLFTFACADRGSKLKVGKIVLDSDHTSASRHRSDIKHQDFTLGELGNFPSLLCTFCPHTQQPPKLICIKGPDVSNDSFILN >OB08G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:24159:27868:-1 gene:OB08G10040 transcript:OB08G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPISANCNFNSSLFNCKLLLRNAVNENDEINIKYQPLWRNQEELTYQKLHNCYSIHFIMAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVQIMLLQHIIILQNKIDLIQESAAMNQHEAIQKFIPGTIAEGAPVVPISAQLKYNIDVICEYIVKKPIPERNFTSPPNMIVIRSDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKWTLIYSRIVSLYAEQNELQFAVLGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVLEQDL >OB08G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:26470:30281:1 gene:OB08G10050 transcript:OB08G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLSAAATKCKLFADQEEREIQRLTATIINHQLKRLELKLQFAEIGTILLRDSEQAERVRQELHAQPSGVKGARDSDGRLYVEREDSAHHCQPIRGDLPMQASCPCHAAIM >OB08G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:30741:30983:-1 gene:OB08G10060 transcript:OB08G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPSPASSGAPSPVPAVSSSRRPATCLPSRSFVLPASLPPAAEGLAVRRRRAQEVASSLSARSSPRGGGAGGTRSWCA >OB08G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:32825:40864:-1 gene:OB08G10070 transcript:OB08G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALALSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCNLADENDKHQENKTGNKITGKELMTRHRRRVIFSVIVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGRKDAVKAVFVGMAFMSMSLHFLDISLPKEMSA >OB08G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:46456:54802:1 gene:OB08G10080 transcript:OB08G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARRGATAHKWTSPPLLGELVLAKVKGYPPWPAKVSRPEDWDQTPVPRKVFVVFFGTREIAHIALPDLQPFTDNAKSEVMDRARNKQCPKKYIESFAEAVVEICKAYDDLPKSSETMSCMLPDHTLDQSEKPTEHLVKSPNNDETPKSGQMKGDSHSDNLNTSVLGSGTEVDIKDSSHDRRDSSLAAVKMKRPKDLDHPKKKRPMHLEQDCSATSVHAEREPKEPKAENEINLSEFLTLDPNVQIVCALEVPRKSKDIKQSKNAERKDSKHVNASGISGRITPGAELDAKPKRSAEKEIKGFKKSKLMMKQPISNESEKIDHKRLMLDKSDKQLARKSSSVFSSNKKPLPGSTDMRPAKRPKLMDKANETVKAEEKSETSLHVDNEKDNALKIEKYIPVETASNSVPKIGMGDDKTRRSGSLLPPQARLHSQGSEPASGSSTQLSVVDTAKKGSSLKEDFSRVGKPLGKPRRRACRFDDEEEEEQRTPPHKSSVKSISMRVVPTDKFQSQTGIQGVSSSQIGNASATKFGVAREEKPKSIGRSPVEHESDTSPNQDKMHGRQQIMGRRSTTSLVDTSTSLVNKTNLADRKSSGQLRMPASSEVKKTQGSSSKLSHQTPGNLYSQNIDDSEKNMVLSKSENTKAKTKSGTQTTATVESRISAVMPADRIGKMDQSKDQRSNFVDKAAFSEPNSDPIKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSAPYGLPGLSPSPVFRIPSASIIIPESDAKEFPDSFSAMTEPGQQVGMKSLLEIGHEHGKSPKPRQLNDSLSVGTDAVIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLMLKLESEPNLHRRVDLLFLIDSITQCSHTQKGVAGASYVPTVQAALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDVLRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGSSPAIAISLPVESGRKQENEQAIAPNFVEENIRLPKDVTGDVLMEDTSVLPRDKQQTDGAISIVHEMDREHALADQNELPPLPDGPPPFSLDAPPPPPLPEGPPPLPSDSPPCLPPLPPSPPPATPPPPPPLSPSLPPPLPPPPLPSGPPPQPAPPPLPIQPPPIPSIPPPVSSSPSSLGYQPPAPEYFRASNGNQLNQMGGNASIQGIGNMPNFIPGGSGNTQAAVNFTPSVPADYGNNNLYMTPQGSNGNFQFRPTGVSFQQGTFNAFPSAQTPPVHSHTHLTQMNPVGQQAVPPCNPYAVQSFPNSQSQYASGNFSPDDQRSTWLPGARPLSCSEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPVPGHVVPQMLPARPDIHTFNCWRPS >OB08G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:60251:76893:1 gene:OB08G10090 transcript:OB08G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMGAKVDQSKPSLPPKISIFGAKPGFLIPKNKLPGSLVARSNAKNDFQSPSSSSSSSVQDNNTNTKATLVQRNTRWGPDLTLDPAVRKSTASAYQTRLEQINKELKSGSMEISENEGSVSGSNSDGANKQKENQGKAELLELERREIIGEILHLNPGYKVPEDYKPLLKETKIHLPTKAHSGHNIIGVLMRPESNAQKRLQEETGAKIRVYGIKRSNGEKSEICQPDIDEAQDAYEDLYINVSADSYDKVDSAIALIELLLTPVTVNSKSIEANATVSSAVSSSGADLSDLQQVQNNSSQPGFLQYQSHNAHRLSTPQANDLSIPSSGYLQSALPNNSLQLQPPDGSFSMLSYTGPPPLMDTMPRNPLPIPGPQPPMPNTQQPQLQFQPNPPIGPPFGRPPGIVSPQLTPSSTLPGPVRPLQTPHASGGWLNFSSVPVQPQRLPTFMPVRPPISVSPLVSSPQLEGAAAPSFPCQSNIATSYGTQHPSGASFAPSAALPSIPPGGPQSFPSVSPQGPSSMPMLSSPAGLTPQPPYPLPMQMRPPMAISAQMRGPPSSFPQAGPTSGMPQTAPSSRLPSGFGVPGSGIMPAMRPLRPSTGDFTFRPHVSPSPTPELGTSGSQMPLFHPSNWRPPMARVEGFQRPLDMGYQPGVYGPPPHPHLGGGFLPRNPGAPRTGGFVGAFPLQPPAVEAQRPLHVLPPPQQKAETSSGRQGLPPIYDPFVPSAVSGGGKGKAEEDKAEYEDLMASVGVK >OB08G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:78214:82378:1 gene:OB08G10100 transcript:OB08G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSAGMAMALYQGHAYASFLSLHHHHQQQQMEMEMLSFQSIQLGAGAGPDSHSPAPTFLLPPPNASASASASMLLAPSSSSSALPKYKFVTCSPADWTDHDLAIFNDGLLRSNCYCQFCSYDHLPFQGNESRRGKPDEVYTGKTIRGSKEKMVSSTLPANFQIPNPNNMVPFSISMHHQGQNSLIHKEVPVLDSASQHLLEETSLLLSQIAENIETFKMMENMELFLRTNNNIRTVLKRMSETPGIMGQMPPLPVPVNEGSLNSLLQMDRMMGAYGKLPYEGRGPELM >OB08G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:82860:86340:-1 gene:OB08G10110 transcript:OB08G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGGDGGGYPYHYQALLAAVHQQTVPFPNPFPAPSSGTEAPLHNHSHNHNHNHNHNHNHNHNHNHNHNHNHNHNAAPHPHTPTPRGFADWSASTSAFTSLAAHSSPAPSNAVHYSFSPCYAFWAHYMVNKNAYPSFPAPHEEPPHQLRLANSHPRDASGPASSFGVESFNSASMAPNICNHMPPIEGPISANEDKKPEILPRVIKSSDELETRNSGVELHCETVGALPESKQSHESRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPMILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLASGKFMIRGEKAIHQQTTNS >OB08G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:90584:91699:-1 gene:OB08G10120 transcript:OB08G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high-mobility group box 6 [Source:Projected from Arabidopsis thaliana (AT5G23420) TAIR;Acc:AT5G23420] MAGKSGARTRKRVEATEAAVLKRARDGSAFTRCEACGKSVSVALIDMHNCSLDDKIRMTLEAQVVEQAVEVTANTKKGKGDDDNKKKKGKRPPTAFFLFLADFRKEYKAEHPGNKSVSAAAKEGGERWKAMSDEEKKPYLDKAAELKAEYDNGEHSDENNVGGKAADEQEVDQPPSKSEPEEERNELDDDM >OB08G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:92613:97443:-1 gene:OB08G10130 transcript:OB08G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRRYSSSAPAGDDDRGPGGAIITHDRKCRDLPFLALFAAFCLAMIINSSFGFNQGNPLRLTYGLDYKGNICGSRHGDPDLRELDVRYWMNPNQVYQSGLKGSQINLPDAKAICLMECPLPAEDGLNFICDYPEGDIRLSVDDWIDRDYDYFEYLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGISIDENMLIDKTIHHAINSKSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLMIRYFVAGMPWITVVLFNILVISVTMFFYIKAGWIGSDPLTVVIGASDPYVSIGGREINHLHVAAVLMTIVMIIAFLTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIMPYFILAIFYMLWFSATLHLFSSGQVLRNDCNTNCCSYDLKLGKVNCDSCCGYSVHYTHHIDIAILFHLFGCYWATQFFLACSSTVIAGSVASYYWARGEISHDIPFRTVISSLKRLLRYSLGSVALGSLIVSMVEWVRFILESIRRRLKLVDSAHESWFAKTISSSSQCCLGCMDWTIRSVNRNGYIMIAITGKGFWKASVLATGLIMNNILRIGKVNVIGDVILLLGKLCVSLMCALFAFLMLDTHRYKSAHNKISSPLVPVLVSWALGYVVAKLFFAVVEMSIETNILSFCQDAEEHEGNARYATPLLVETLEQQRLTQTQGPGP >OB08G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:102726:107395:1 gene:OB08G10140 transcript:OB08G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G28670) TAIR;Acc:AT3G28670] MGMGVKAVVGDEAQLKALENTLSSSAPPAQVGLVVGKLSPSSDRALAYSLIPTPPTDAGDPPCSLQPKPKPKPKPADSTSSLDFDVDWVAEHARQVSRMLLGGMSVIGIYIWASEASFKATSPAVLSQVVRAVSQVVPLYDSAVDERLLIHISYSPRRSACRICDMSSGSLRPCDFKYSKLLASLQTFRCTYNFEIRVPVVQAEPFKKVVSKAISNLTKEVQNAKALIDGILFSDAMDNTLEGPHNVEFLVPFKNNLPAEECSLEGVSGLLLFAGSVSALAYLGPKESVSEAISDLKLDIITSLRSRLDIILDEADGESTTDNLENSLSQKAAQVVFHELRAPYSFPFPRRILIPWLAGSYVCDYLQQSETTEDAMERCREVMSLEADMGNYSIVEPESASCTTLGSFWDVVPGALSKAPSEPGRKEIQSAENGLRRTHGSSFSILAALVALLIALLVGYIFTFSAILKT >OB08G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:111026:112411:1 gene:OB08G10150 transcript:OB08G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) TAIR;Acc:AT2G25680] MQCSAGGAVHGGMASVVDDPEAAGTGRRVVARARENLLRFRSVWEELNGAMGDLGTYIPIVLSLALSRHLDLGTTLIFTGVYNALTGLIYGVPMPVQPMKSIAAAALSDPSFAVPEIMAAGILTAAFVLFLGVTRLMNLVYRFVPLPVVRGIQLAQGLSFAMAAVKYIRYDQDLAKARSLARRPWAGLDGLLLAIAAVCFIVLVNGAGDPAAAAAPPSSSSDTLPQQQQQQEESSSSSSWRRRLAASSVPSAVIVFVVGVAFAVARQPAAVRELRVGPSRMRVVRIPREAWKQGLIKGAVPQIPLSVLNSVVAVCKLTRDLFPERKEASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGHAALGFLCGVIAHALLMLRAYALNSLATY >OB08G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:112436:117201:-1 gene:OB08G10160 transcript:OB08G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:single-stranded DNA endonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G48900) TAIR;Acc:AT3G48900] MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQLYTAHRSPSPDKVYLKNLFHRIRALLALNCTLLFVTDGAIPSVKLATYRRRLRPPTSHQPAPDHPDPSISLRRNKGSHFSCMIKEAKRLGMALGIPCLDGVEEAEAQCALLNLASLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNSILDQILSNGVKATRKCRGKNIGNKADDMCPKASSCEVGMTQDSDGQFRDVINAYLEPKCHSPDSEAVQRVQGQHPFLRPQLQQICEEYFDWSPEKTDQYILPKIAERELRRFSDLRSASSALGIKPLLSEIPVPCPVSAIVKQRKVHGKECYEVSWRNIDGLQVSVVPGDLVRSACPEKIAEFLEKKGEEKKQKRRARSKKSGQAAVRDVDERLQELLLGIEGDSGVLLGGRANGPQSLTAAHSVEDIVDLSSPSPPVRKVSKSQKMTAVDVDVNGIDLGFQTKLGGMNMKTNTQFRSDADNVIDLSSPNPAAGIRPGSHTGIVGKEDGAGTDGGTIDLSSPWPARSGGHDDDDDDVIHDRKARELRLFLDSIRNELY >OB08G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:121211:121939:-1 gene:OB08G10170 transcript:OB08G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT4G25570) TAIR;Acc:AT4G25570] MDPSKSKIDDMKVKVKEGGGGVGAWTVVAHVLAVAATALVLLWSIHFRGGLALRSHDKQLIFNTHPVLMLLGLVVLAAEAILSYRSFPHLLLSRDARKKTHLALHAVALALGALGIYAVFKYHAEAGIPNLYSLHSWIGIATISLYALHWLAAFLTFFFPGAPHPTRRSALPWHALLGLLVFALAVGNAQLGFLEKLTFMQAPPLRLSRYGPEALLVNFTALVVLFLGVAVLLATANIDTTS >OB08G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:127218:131072:1 gene:OB08G10180 transcript:OB08G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSNHRKEEEEEEEGVALMQLVGKDDRPHQDDDDDGCKQQEEEDQLEVYNNWFVSRWRSGGGWVKRMRPPERVGFVIGGFVLGLALLLAVATTSSSSLLDLTAVFGNGGNGRHTDPPHRITSRRLPIPLNCTNDTRTCPRYASSSPAPAPSMPSPPPVECPSYFRYIERDLEPWVASGITREAVERGQRQAHFRLVVVGGRAYVETYRPAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFSCEDMPEVRAAAYPDRAAAPPLFRYCKDPSTLDVLFPDWSFWGWPEVNIRPWAPLLAEMDEENARLPWPDREPYAYWKGNPYVSPLRQRLLRCNDSRTARLYTQDWGFANRNGFRNSNLARQCRHRYKIYVQGRSWSVSRKYILACDSPVLAVATPYQDFFSRGLAAGTHYWPIHPDKLCRDVRFAVGWGNAHTAQAQRMGLAGSAFTRDDMAMEYVYDYMLHVLRRYASLLRYKPTVPERAVELCPESMACPAQGRNRDFMMQSREQYVADYEPCRMPPPLTADEASKMAQRDGEVLSRIDKMMGKQP >OB08G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:137443:140172:1 gene:OB08G10190 transcript:OB08G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) TAIR;Acc:AT5G22360] MAIVYALVARGTVVLAEFSAVSGNAGAVARRILEKLPPEAESRLCFAQDRYIFHVLRSPSPSPFLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVTEIHTVMVDNIEKILDRGERISLLVDKTSTMQDSSFHFRKQSRRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSCRS >OB08G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:141467:142319:1 gene:OB08G10200 transcript:OB08G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3MPJ1] MSDTIFVGEHGKAAASSYDHGAGHKASSSDVPFLLRSGGGGGLRRCLGLIDLVLRVAAFAPTLAAAISIGTSDERLSIFTNYFQFRARFDDFPAFSFFIVANAIAAGYMVLSLPFSAASSKATAVKLLLLICDTVMMGLVTAAASAAAAMVYVAHEGNLRANWVPICLQFHGFCQRTSGAVIASFLAVFVLMILIVMAACTMRRS >OB08G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:142837:143433:-1 gene:OB08G10210 transcript:OB08G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26220) TAIR;Acc:AT1G26220] MLTTRLQPRPRPRPLLRLRGGGNAVFTDSFKKKKSKAAVSCVAQVEVSVSDSELAARGFAVRRSTSGLDVGALNEVFARVGFPRRQEERLRRALEHSEVVWLEEAGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVGELRAKGVSNIALYAEPRVVGFYRLLGFAMDPDAIRGMAFYRSRQQQNTTS >OB08G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:144329:148270:1 gene:OB08G10220 transcript:OB08G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;DNA binding;DNA binding [Source:Projected from Arabidopsis thaliana (AT2G36740) TAIR;Acc:AT2G36740] MADSADDEPLVLLDRASRATRGKRITKLLEDEAEQDDVFWNQDALKEEENDDNYEEEQDAGDEFDSDFGEDESEPDDDEPEKEVRERLPIKKRLIFPGKTMKKTNVKKKKKVVPKLEDDSKTDKSSDQQSPSKQADVPDELETEKTIRKSTRTSVIVRQAEREAIRAEKEATMKPIIKKKKEGEEKRMTQEEMLLEAAETEIINIRNLERVLAREEEVKKKAVVHKAVYEGPTIRFCSRDGESHLEFINGALFGSELCTTSAPYPEKPICAVTGLPAKYRDPKTGLPYATMEAFKIIRESFLKEVDKKRPNMANMGELFESITGEYSTPKKRRIEARSPSISADHRHEGRFRRIPALDMLDED >OB08G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:146952:153172:-1 gene:OB08G10230 transcript:OB08G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein processing; LOCATED IN: mitochondrion, endoplasmic reticulum, plasma membrane, vacuole; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nicalin (InterPro:IPR016574), EF-Hand 1, /.../m-binding site (InterPro:IPR018247), Nicastrin (InterPro:IPR008710); Has 245 Blast hits to 243 proteins in 99 species: Archae - 6; Bacteria - 10; Metazoa - 139; Fungi - 0; Plants - 46; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G44330) TAIR;Acc:AT3G44330] MSSSPSADLLASASSALAVLLVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSPAASLNHHAAALPLPAGADLSRSALVAPLLDLPLAFLREYLAEKKHLGGLLILLPKKLNDEDASANSEGKGQVKSSLAELEKLLLHEEVPYPVYFAFQDDHFDNLLSDIRKIASSGQPASATTGGYKLVVSTPEPRKVPSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEIARLFSRLYSSPKTRGKYNLLFGLTSGGPYNYNGTNKWLRGFDQRVRETIDYAICLNSVGSWDNELWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSELSSPPEFLESTGGLYDNRESAGAESVIRTVRLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSQTPRVAPFLQKNDPFIAALKKELSEHTADVHVQSDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVIGSYLIILFSFLVITTRGLDDLINIFRRPPSRKVKGA >OB08G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:155851:156105:1 gene:OB08G10240 transcript:OB08G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMQHPRAAALSQSKHNLAAAASASSSSIQPSLCNQQPVKELLDSCMHACMPLPLVSSCWIISSVSCLLFKSLSLSKVYNVII >OB08G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:163176:163826:1 gene:OB08G10250 transcript:OB08G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTMKKLGLASLLFSSSSSGTSAGGAPCSSSSCSMSSTSSAWQWPSCKQPRTLSFRQQQMQTMMKTMNSAYSSGCFSNSSASRDSLSSATCSDASASADAVGPAPPPPPPRLFFDPDASVLKLNKKTKKAFGGATAMSMESSNPYSDFRASMEAMVRSHHSHGGGKQVDDWRWLEEMLGWYLRANVKSTHGLIVAAFIDLLVSVSASSSSSSTA >OB08G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:163391:163759:-1 gene:OB08G10260 transcript:OB08G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVLLTLARRYHPSISSSHRQSSTCFPPPWLWWLLTIASIDARKSLYGFDDSMDIAVAPPNAFFVFLLSLSTEASGSKKRRGGGGGGAGPTASAEAEASEQVAELRLSREAEELEKQPEE >OB08G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:166151:166759:-1 gene:OB08G10270 transcript:OB08G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEVCYPCRRSPLCCALSCVLAVVVCVLAVAIVVYLLFRPNLLRATAAEAELSSFSLALKSWTLSYNLSLGVDLARPNARLVLRYHAIAADAYYQDQRVAHARLPDFAQPAASNTTRLSPAFHGHHQLLGGVAAATFRREDTEGTFSIHVKLAARTEIQALALALPGPPINVDCPLRLQRWNASAPPPVFHPTACHVSY >OB08G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:170398:171066:-1 gene:OB08G10280 transcript:OB08G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCEQHKECERQRRYRRCCAVIFAIILLLLLIVLIVWLVLRPTKPHFYLNDLTVVCLNVTTGGAANSYYYSNLAVTMQATLAARNSNERVGIYFDRADVYAEYKGLRITVPTSLPPVYQGHPDLTVWSPFLSGNNVQLPPNLAVSITQDETAGYLLVTVRVDGWIRFKAGAFITSSYHLRVRCPALLVVNDGRGSYGSNSGGGAGYFRFQRAAACVVDI >OB08G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:180651:181295:1 gene:OB08G10290 transcript:OB08G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase substrate 1 [Source:Projected from Arabidopsis thaliana (AT3G18690) TAIR;Acc:AT3G18690] MEERSSSSSQRGGSREMQGPRPAPLKVSKDSHKIRKPQQLRQPVIIYTMSPKVVHANPADFMSVVQRLTGAPRTTAPPATLPLFAQPQSSSFPFQLQDAWPLEAQQQHSPAAPARLASIEQAAARSSSGGDHAVVGLPPLPSILSPVPGSLPAIPASFFSPPATASALGELISPPFLGAAPSPSPMGGAGAYYWDIFNNINIMQHQQQQYHHQN >OB08G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:184213:184407:-1 gene:OB08G10300 transcript:OB08G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDELGAGVSGWRAGAQMATVVAADELGGGRRWLAGGASMVGDGLGGGKLTEPGAEGVVGVTRF >OB08G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:185677:191635:-1 gene:OB08G10310 transcript:OB08G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESWSSRWWAGEVQVKEKDPEEMKMKLYERMVQTYREEEFPEEASAFLPHLARLPDRYLFDLGVHRPDDLLLHWTILSRCAHPQNRPVFHARFHKVLPLPSSSFTPAAPPCHTLSLDRRTKSKPLGGSISISSRDQKTKLLHEIIFSSLDKPKLLSRLTALLSEVGLNIREAHVYSTTDGFCLDVFVVDGWHTEDTDDLIIKIKEELSRKNASSSNSTDSSASEKILELQQQVGDSEIDWNLLTTGEKIATGSSADLFRGTYNGVDVAVKILRTSPYNNPSEVEFLQEILILRSVNHENILQFYGACTRPPKYCIVTEYMPGGNLYEFLHKQKNVLDPLTVLRIAISISKGMDYLHQNNIIHRDLKTANLLIGYHQVVKIADFGVARQGNQVGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAIVLWELVTSKVPYDNMTPLQAALGVRQGFRLEIPSSVHPRLSKLIQQCWDEDPDVRPVFAEIVIELEDILQHSQANSRGGSRRSRAKIQKKSPQ >OB08G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:202977:203855:-1 gene:OB08G10320 transcript:OB08G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAARRVVETTTGAGLSLEVERQLRLHFRRLPARYMADMCGKAEEVMIHRSLLLAVADCSQSHGHGHPQIHARFLKTFHLSFSSRFSSTVHLHDCFWMHRQAQAS >OB08G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:206120:208778:1 gene:OB08G10330 transcript:OB08G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3MPK4] MSFRSIVRDVRESFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELHDRPVVVDQSRWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCRTWREICKDIVQSPEICGKITFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRTRRTTYTEYIISVDSKNISRSSNGYVGKLRSNFLGTKFVVYDTQPPYNAGSLASCQHGSRRISSRRVSPKLPTGSYPIARVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSSFMDRSLDFSSSRFSEISGLTNQQGEDDGEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPPPAAASAESSQPEQQQQQNQPSDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OB08G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:209469:214041:-1 gene:OB08G10340 transcript:OB08G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MPK5] MAKQQRVYQVWKGNNIILCAGRCIFGPDAKATLLSFSLIAIPVAVFCYFVARHLVHIFPAYNAGYAILAVTVALSIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSRDTSAPHTLQFPRVKEVLVNDVPVKVKYCDTCMIYRPPRCSHCSKCDNCVQRFDHHCPWVGQCIGQRNYRYFFCFVSSTAILCIYIFSMCALYIKLLMDRNHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCCEVLCTKRALSRIKLRAIVQEGHGVAQPRVSRSNIPEVEAPHRPRAKVEDDIEMGLDILKTSQRRSDELSDEDLRAESNGLKPRRADCSPSLDNEIPITRTKIESSSEVMDLDILPTGNAALPSSPEQKQHPDVLC >OB08G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:215157:219557:-1 gene:OB08G10350 transcript:OB08G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRLRLRLAVAAIVAVALLGSFASAAREGDACSSEGDCGAGLHCTGCGGGGDKTCTRATPVDPLTHGTGLPFNNYSWLTTHNSYALAGAASATGSPLVAPTNQEDTITAQLKNGVRGLMLDTYDFNSDVWLCHSFQGKCYNFTAFQPAINVLKEIQTFLEANPSEVITIFLEDYTASGSLPKVFNASGLMKYWFPVAKMPKSGENWPLLKDMISQNERLLVFTSKKSKEASEGIAYEWNYVVENQYGNEGMVEGKCPNRAESPAMDSKSQSLVLMNFFTTDPTQTGVCANNSAPLVSMLKTCHDLSGNRWPNYIAVDFYMRSDGGGAPLATDMANGHMVCGCDNIAYCKANSTFGTCVIPPPPPPSSPRKAPSGKGASTGSSSSGTMARLVPKSSFFLGVALLVLVILC >OB08G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:219536:223443:-1 gene:OB08G10360 transcript:OB08G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G21780) TAIR;Acc:AT1G21780] MSGGSGGLDSRLETISRLAQWRIDTFGPCSYRRSDAFKIGIWSWYLSVEKSRSMYVRLFPEPGRLAKERPPLARFVLRASWAGPPRRSCESPVHEHLLRSSEDFFWQIDVMSHGRLTIDVEFLDLRVATNNAAESSTSVWPESLAVQNIASKSTLGCLSRMLTESIHADVTINTTDGVLKAHKAILAACSPVFESMFLHDLKEKESSTININDMCLESCSALLGFIYGTIKQDEFWKHRLSLLAAANKYNISDIKECCEEGLLEDINSSNVLERLHVAWLYQLEKLKKGCLTYLFVFGKIYDVRDEINSFFQHADRELMLEMFREVLTVWKPI >OB08G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:229742:230977:-1 gene:OB08G10370 transcript:OB08G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vascular related NAC-domain protein 7 [Source:Projected from Arabidopsis thaliana (AT1G71930) TAIR;Acc:AT1G71930] MDRQQEEAGESPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQELDLYRIEPWDLQERCKYGGHGGDEQTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSPSPSTRVIGMRKTLVFYKGRAPNGRKTDWIIHEYRLQSNEHAPTQEEGWVVCRAFQKPMPNQQQHRLSYGCIPGSYGGGYTTVPDNYSLLLHHDNPSFAAASRPLMSAAAATALFATNVVDHSNILGSTDSKLHFSDMMPPLESPTVDGEGYVSQASSCVDVDQHAAAAGIVDWNLLTSLLPPPAQLFHQLPSASSSKNSNNISSSAGTFIDDRD >OB08G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:239426:242227:-1 gene:OB08G10380 transcript:OB08G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPAGVLGRAVDEVREALNEHADVVAELFGRVSSDLRRGFRPALDSFLGFFHAVDWKEPWLISMLIFHALLLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQHWKSFSSQNYFDPQGLFISVVWSGPLLLITILILVNTLVTLCLLMVRWKRAELRHRARQVRNKED >OB08G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:244478:248231:1 gene:OB08G10390 transcript:OB08G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTKGGRVPLLFPALICLMAQLGACNVVLMANNMTLSFDDVEATFAPAVKDSGVKGAIYTVEPLDACSPLRKKAVDGPASPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDNEDSGALVSMAGSSSGIQIYAVFLSKASGEVLKKYSDKTDVEVWILPVYESSAWSIMAISFTSLLAMAAVLATYFFVRRHQIRRDRRRIPVTQEFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCHHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASEFTPLLSSIIHLPAESTALSSFRSAVAASPPRPIRHHPSSQFTSRAYSISSAPRTYNPQRYYTNPPLISTSRSNVDLANMFSHSAPSIHAFSERWSFVSTDQHRV >OB08G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:250629:250946:-1 gene:OB08G10400 transcript:OB08G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAARRIDQNMATRKKEAVRMKHGTAQTKVNGDDEMLRTGFVDGTPLEGGKIADSHPVHLFPHHPPPSQSQQHPDDQKIIADSELFSDAGRVAQANNSQHKQA >OB08G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:254321:254743:-1 gene:OB08G10410 transcript:OB08G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:J3MPL2] MATALSSQLRVPLSLRVAAAPAPAPTRVSVLQANNKLGDRLRVQATFNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGEIDQSDQSFLDDDQMAAGWVLTCHAYPKSDVVIETHKEDDLI >OB08G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:262080:269297:1 gene:OB08G10420 transcript:OB08G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARHDMDPPTRDALSEATDGNHSDHNVSDAEHSGYNGIGDAKKRRSSSNLSTDDNYSSASPSKHEDMSRDALSIDDHSVKSGDDSDGAESTNGKNGTMDSSCVENDSIWIPPEAADKDDEAESVSTNIAYDDDDDDYGDGIKWGQSSFPATNEEEEASTNPRDERENAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASEGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLILDMKLNRLKRIARCTGSPIISFSEVLDRPKLKRCDSFHIEKFIEDHNNVSDGGKRLSKTLMFLEGFPRPLGCTILLRGANSEELKKIKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLGASDFPSRDDSPALRMYSTTSNGYVDARKSLISSTKVDSMTNSSSNEFGESASIRHDSKPPLHSERSQPSVSGSLSKYVGRLCHQNIYLPVTSLQETSGNQIEGEVESGKEIVDNGFHVGSKVEELAVSSENVDLSQDHQRQEIIEDVMPTSSSTQDKPEGSPVRVEDREHQNTTVIISKEKTANEDQADDALDSHSILILMSSQCITKQSICEQSHLSRIKYYGNFDVSLGRYLQDILQNQKLSCSSCGEPPESHLYSYTHRNGNLTVLVKYMAPQLQLPGGSEGKIWMWTRCLRCENEHGVSKSTPRVLISSEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFRYSSVEIYTTCKPQTALQFDNPCRQDWFKEERRYVLARGIRLFSDVALMLQHLKDRLFDVTTTDCIGSPPVKDFSELEELLIKEKAYFEDSLEKTIDQNGRLSESVRELLDINWSYQDLLLELYVWDHRLDQLFKCASAGQGRAANCKDPVDTAAKNTGVNQEAEKKAGELICDRTTPVLGAIGLTECPSNYCDHQSVDIAAPMLAENQEADCAQLTCTGDIEVEVSSIAPCQLEVDSTSQTKKIPSFEISDVQGDGVVTCPISKEQELSNSPNHFRNSGEGWFWNPFHECQLDYRNDIQNGFLEKFELVNHYSPSHISCLFEQHEDMSSPQFTVGPGGNILSVLEDEISSIIARALVISEERHETEDSRGEHAKAMEKSYSFLSESSLNSSAWSSIGSSDSDASFLSFGSSVSSDDLSGYDNLPLFSSVHPEIIVNGKVALRGKYSVTSIYAKQFHDLRKKCCPSELAYITSLSRCKKWNAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEVKIDLMVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRDTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKQKNELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVVSPKEYKKRFRKFMAKYFLTVPDTWGPENSSGPSKSFGHNNNMLVEVHSDDNLFQHPTETEAVE >OB08G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:270645:270806:-1 gene:OB08G10430 transcript:OB08G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIKISERIQSYFKIYANAFSSAVIIKCNEEVSRATCPSISIRHTVTTENK >OB08G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:271644:271835:-1 gene:OB08G10440 transcript:OB08G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNALPLQMTHQNGDNNHSAEADLPLGTKVRHEHSYTCILGWKRLRFNKASIIQKSYTCILI >OB08G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:271919:273014:-1 gene:OB08G10450 transcript:OB08G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRQRVADEMAAAAADHSAAGTTIMMYEFRQARAGIDTLKVELDRLRATGYGDPEEIADRAELIKGWVDMLRSGAEAVIAELDDFFDDIVEGRKPLSPSFLIIDHSTMQPRTWLRDDELGHDDDHLVELSLMKKAHAWGR >OB08G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:283060:286728:-1 gene:OB08G10460 transcript:OB08G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKMGDVATIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKILKMKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSIVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNVVGCGITLIGCTFYGYVRHLILQQAVNPSPRTPRSRMEMLPLVGDKQEKN >OB08G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:287873:291331:-1 gene:OB08G10470 transcript:OB08G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAYPSKDGQEHWISWRDTLHAFLQSPGISQGGGIQTCIHDALVYNGCQPVWHLNNGVTHTPLKGNLGNNHQGEDKVVAMDLAVQDAAATPCSEANKAMCNNALFDILVSHKFAMLCHLLVGTFHVEKPVEVIDLEKIDAKMRGGDYAQNPSLFDDDIQQIWEKFEQVGREMTGLATNLATISRVSYQKQASGFSEAEVAEHRIEETSLAGAAHFVTKESTTSVQLAPCDSSHSTIPKRTMPPGRRDFCPCDGCGTKVDGEEGLICDLCDTMYHFACVKLLNPDIKQIPENWHCSTCSLKKNELASDTTNNIGNDFLHGGNCALCDQLELVKPEEDPKLPSKIELPEEREGSSVSSMGEDNEPDLSTTALSYLCKHCGTCEDDDKRFMVCGHPYCVYKFYHIRCLKTSQLAIEQQKKLGCWYCPSCLCRGCFQDKDDDQIVMCDGCDEGYHIYCMRPARNTIPKGKWYCTFCKMRRAKEGMHKYEDSVLKVHGNSKHASNVNQSKDSEGDGTEK >OB08G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:293036:295807:-1 gene:OB08G10480 transcript:OB08G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRTEIEAHLIGAKKMALPTDTAGDRMRLRRSFSAVAGYVRNSLRQMVSRSASANSRAEYAHPKHLPLDEFMRAGESVGLSLRGARMRLSIYRNWPSMHDNRFALYKLTMQLPLPGREYAGLWGGTFGWPPGQPEDERKPGKALFFLLLSYEDDSEGKLQLIATKVLEGTHYVVHPNGSSMFIVRVGEPSTEPFPWQTDEESRGVEVKRSFAGDGIANGYGFRYPGLKPGSLFVLQDGRLAFVWRENKAVLTLQRLDLEEMIRRGERVPALPPIQNFAYLTKSYSNVFAVPHGSNTSCPVSPR >OB08G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:300608:301744:-1 gene:OB08G10490 transcript:OB08G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTHLLTVKKVRSYGLIRQQEVRLALARISKAAHDHVAVDLTELLSCYANNMVCHAVSGKFSREEGRNQLFRELVEANSSLLGGFNIEDYFPSLTRLATLKRLLLSCVKAHDVNRRWDQLLEKLIDDHTNKVRFSSMLQRNDEETDFIDDLLSIQHEYGLTKDNIKANLVAMLAAGTDTSFIELEYSMAKLMQNPPMMAKLQADVRSIVPKGQEIVTEEQLDSMSYLKAVIKETLRLHPAAPLLIPHFSMADCNVNGYKIRSGTRVIINAWALARDSNYWKNSENFMPERFIDNITANYNGNDFHFLPFGSGRRMCPGINFAIATIKIMLANLVYHFDWELPENQVAKGGIDMTEIFGMAVHRKEKLILIPHQPCN >OB08G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:301757:302134:-1 gene:OB08G10500 transcript:OB08G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLVTLLCPLLLVLLVKHCYVTRAGELLHKLPSPPFRLPVIGHLHLIGSLLHISLRDLAAKYGPDLMLLQLGSVPTLVVSSSSAAHAILRTHDRVFASRSYSTIANILFYGATDVGFSPYNEY >OB08G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:303093:303245:1 gene:OB08G10510 transcript:OB08G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHKYYNLYLQKMERKLGQQLPDTKARRKDIVGCRRGAEHRLSLMPNDRFS >OB08G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:307461:309032:-1 gene:OB08G10520 transcript:OB08G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLALHPQASTMTMLYNSLLLTLLCTPILLLVIHCYRTRNRSGVLDKLPSPPGRLPVIGHMHLISSLPHMSLRDLATKHGPDLMLLHLGAVPTLVVSSSRTAQAILRTHDSVFASRSYSAIADILFYGTTDVAFSPYGEYWRQIKKIVTTHLLTVKKVHSYGRARQQEVRLVMAKIASGAAMHVAVDLTELLGCYSNNMVCHAVSGKFFHREGRNQLFQELIEINSSLLGGFNLEDYFPILARLPLIRKLLCAKAHDVNRRWDQLLDKIIDDHTNKQQSSSLDNNDQESDFIDVLLSIQHEYGLTRDSIKANLIVMFEAGIDTSSIEIEYAMVELIRNPKVMAKLQNEVRSIVLKGQEIVTEEQLSRMPYLKAVIKETLRLHPAAPLLMPHLSMVDCNVEGYTIPSGTRVIVNAWALSREPGYWDNAEEFMPERFFGNTMADYNGNDLHFLPFGTGRRICPGINFAITAIEIMLANLVYRYDWKLPIDQATKGGIDMTETFGISVHRKEKLCLVPHLPQDN >OB08G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:314935:315854:-1 gene:OB08G10530 transcript:OB08G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINKAATTGMAVDLSELLHWYTNDIVCHAVSDKFFREEGRNQIFRELIEANSLLLGGFNFEDYFPSLARLTIVRRLCPKAHNVHKRWDQLLDKLINDHDNKQFVSVLDQDDEESDFIDVLLSIQHEYGLTLHNIKAILVIMFEGGTDTSYIELEYAMAELMQNPQQITKLQVEIPRGQEVVTEEQLHRLPYLKAVRKETLRLHLAGPLLVPHLSIADCDVEGYTIPSGTRVFIKAWALSRDPSFWKNPRKFMPERFINKTALDYKGNDFHFLPFRSGRRICPAMNFAIATIEIMLANLVHHFD >OB08G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:315964:316257:-1 gene:OB08G10540 transcript:OB08G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNCSTSSPLLREASQFIGHLHLIGSLSYVTFRDLAVKYGPNIMLLRLGTVPTLVVSSARVAQAILRVHDSVFASRTYCVVTDILFYGSSDIAFSP >OB08G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:320256:322755:-1 gene:OB08G10550 transcript:OB08G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVAMIDQVMLLLHHKFMAPLMAEEPLHYLLGLAILFFFLLLLLVVQYYYLSAATTRSPAATSKIVLPPSPPRLPVIGHMHLVGSSPHVSLASLASEHAADGLILLQLGQVRNLVVSSARAAEAVLRTHDHVFASRPRSAIADILAYGSPHTALYIAFSPYGEYWRKARKLVVTHLLSPKKVHSLSLRRGREEEVSLVVAKLHEAAAARAAVDMRELLGSFTNGVLGWAVAGRSFRREGRNQLFMELAAGNADQYAGFNLEDYFPSLAKVDVLRRVVSADTKKLRDKWHRVLDEIVTEHQNKSSLHHHHHHQEQDSDFVDILLSRQQEYNLTRENIHAILMDMFAAGTDTSYITLEFAMVELIRKPHLMTKLQDEVRKMTTEASKMVYEDDMDNMPYLKAVIKETLRLHPPVPLLLPRLSMAQCDVYGYTIPTNTRVIVNVWALGRDANYWEKPEDFMPERFMDSTNTTNHVDFKGTDFQFIPFGAGRRMCPGMNFGLSSVELMLANLMYCFNWDLPAGMDKDDVDMTDVFALTMGRKERLHLIPRLHALN >OB08G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:326449:328027:-1 gene:OB08G10560 transcript:OB08G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQEHKCAWPVVPKTTMASYYSLLLPLLCPLLLLLLKLCWAKSRDDQLFDKLPSPPGSLPVIGHVHLIGSLPYITFRDLAAKYGPDIMLLRLGTVPTLVVSSARAAQAILRVHDSVFASRTYSAVTDILFYGSSDIAFSPYGEYWRQVKKIATTHLLTARKVRCYAGARQQEVQLAMAKINKAATVGMAVDLTELLNWYTNDIVCHAVSGKFFRDEGHNQTFRELIEANSLLLGGFNLEDYFPSLARLTIINKLLCAKAHDVHKRWDQLLEKLINDHAHKQSLSLLEQDDEESDFIDVLLSIQHEYGLTRDNIKAILEIMFEGGTDTSYIELEYAMAELMQNPQQMTKLQVEIRSVVPRGQEVVTEEQLHRMPYLKAVIKETLRLHLAAPLLVPHLSIADCDVLGYTIPSRTRVFINAWALSRDPSFWENPEKFIPERFINSTALDYKGNDFHFLFGSGRRICPAMNFAIATVEIMLANLVYHFDWEIPVDQAANGGIDMTDTFGMTVHRKEKLLLIPRLPKD >OB08G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:336534:338224:1 gene:OB08G10570 transcript:OB08G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEATMIFFFLLLTLLLHFARSRRDRNSTELPSTPPSPPFKLPVIGHMHLIGSQLPHVSLRDLAAKHGPDVMLIHLGAVPTLVVSSPRAAKAVLRTHDLAFASRPRSMLTDIIMYGASDSCFAPYGDHFRKARKAVTVHLLSSKKVQSYRPVREEEVRLVLAKLRHHAAAPVDMSQLLQSFANDLICRTISGKFFCEEGRNEVFRDLVAANNALLGGFNLEAYFPGLARMRLISKLVGGRAMRVRRRWDQLLDNLIDDHLAIRLARTHDDDQHDTDFIDVLLSRQEEYGFHRDTIKALLIDMFEAGTDTTHLVLEYAMVELIRKPHVLAKLQDEVRSITPKGQDAVTEDDIVDMVYLKAVIKETLRLHPPGGLTIPHLAREDCAIVDGSYMIPAGTCVIINLWALNRHADYWDMPDEFMPGRFMDGINKGTNFQGQYFHFLPFGSGRRMCTGIHSATITLQIMLANLMYCFNWKLPSGMKEEDVDTTDVFGLAIHRKEKLFLVPQVA >OB08G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:341681:342939:1 gene:OB08G10580 transcript:OB08G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYGEQWRQARKLLTTHMLTVKKVQAYRPGREEEVRTAMARLRWAATANDTVVDMSDLLYSFTTGLMYRAVSGESDDGRNRHIRELLDATVKLVGGFYPESFFPWLGHVGAVRRATYARAEKVKRRWNELFDAMIDDAGKPTPAKQRQPEGFIRQLLSHQRDHGLPREHIKGMLINVFFGGTDTSYMVLEFMVAELIRNPNAMHKLQAELRSRVPGKREVVTEDDLTDMTYLRAVIKETLRLHPPAPLLEPHLSMDRLQIDGYTIPANISVIVNAWAIGRDETVWEDAEEFKPERFIGSEVTFKGNDFELLPFSAGRRICPGVNFSMATLEIMVANLMYHFDWKLPEGMEANGIDMTEVFSSTLHRKEKLLLVPKHVLINNIIGCDN >OB08G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:343671:344330:-1 gene:OB08G10590 transcript:OB08G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVRQRPAIGKPAGEGDSAAVAAGDGGGARPPAARPSLFSQALASTASLANLLPTGTVMAFQLLAPTFTNNGACDATTALLTAGLLAVLALSCVLASFTDSLRGPDGRVYYGLATPRGLWLLDYPSGPGAAPPPDTSRYRLRTIDAVHAALSAGVFGVVAARDKNVVGCFWPAPAKETEEVLGIVPLGVGVICSLLFVVFPTTRHGIGYPVTNSS >OB08G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:343968:344366:1 gene:OB08G10600 transcript:OB08G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRSEAVVDAAVGAPEGVGEGGEDAGEREHGEEAGGEERRGGVAGSVVGEGRRQQLERHDRARRQQVRQARRGRQRLREQRRPRRRRPSTTTVASSDSSAISFSSWLTNRWPLPDDGGRRHDRSWTESWGRR >OB08G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:349339:349671:1 gene:OB08G10610 transcript:OB08G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWEDLNDDDAAAGGTKKGDMFLASLDVSRRRSVGGADLFEKRKPPAGAALGESRSSRRRAPGLVAMMRSLKKMLVAHKGKSKVHKSDEQSNASASASNSSCANSAKK >OB08G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:358331:359344:-1 gene:OB08G10620 transcript:OB08G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEAEELQFLGMVGIYREAAAILRAHRPLFARIAAAFVLPLSLLFLAHIAISHALFSHIDSDDSALDSAAPGTPAQRRLLHRLADDWLALLLFKAAYLLALLLLSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLAAFLLLFAYHLLFLAIFVALLVAADSGSGLAALLAFLLALAYLAGLVYLSVVWHLASVVSVLEDYKGFHAMRRSKELIQGKLWTASAIFFLLNLVFVVVEVAFRAWVVRGATHGIGAGARLLLGIAMLAALCAVVMLALVVQTVVYLVCKSYHHESIDKSNLSDHLEVYLGEYVPLKASDVQMEQFNL >OB08G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:367268:371541:1 gene:OB08G10630 transcript:OB08G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33440) TAIR;Acc:AT4G33440] MEICIALTSALGCNVQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLNDVVITGDNGTIDGQGRIWWDLWWNRTLNHTRGHLIELVNSTNIMISNITLRNSPFWTVHPVYCRNVVIRNLTVLAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAIKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGLGSEMSGGISNVIVRDLHIWNSAQAVRIKSDVGRGGYITNITIENISMEKVKVPIRFSRGADDHSDDRYDRSALPRISDVRIRNVVGVDLQRAPILEAVHGAVYEGICFRNVSLRVIRRQDRWQCESVYGEAHDVFPAPCEEFRRNGSSWCGHS >OB08G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:369566:376676:-1 gene:OB08G10640 transcript:OB08G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGDLNLKLTNSKSNPRNRLRLAAGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIVWKGRRLGAAEERKPQRSSPRQVGPTRQREREPAGYFKANPPPPPPPPLLPSRRRLASSRIPPPRVSVGAGGDMASRIPPLAISVESDASPRKNTSEAATSPLVNGEKSIFQDQVRGYSVLGSPLRREVGNRSITRSFCIDDADLEDGKVPKDRDRPSHFLSLPKIQNQALVSGLAYCISSCSMILVNKYILSGYGFGAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVITASGETYFFKKQHDRQVWISLTLMIISAIAGGITDLSFHAVGYTWQILNCFLTASYSLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVDYLLETPLLRMPMFWIVITSSGILGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFASAKLRNNSHS >OB08G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:377355:378482:1 gene:OB08G10650 transcript:OB08G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRHELEQALRIIHPRWVISTTPPSMALELSYVKKHCLASRLRADDPLWKLLRLADGNPTVSSGSPQPVAAVEIIIKKSEEEEVTYSADGSFGDDRLRMQDKEPTLEDFEINVELPVTLFGMARFGLPHEPELWKDEGESVQVIEEDELQVQVESSATECEQRKDGKSDMPAEAIDSVEVLPEEQNSAIESEQSKDCEPKDGIKAIDLTEVEVNEQTSCTESVLWKKHGGNECVSATERGDLDAQEQKFTARYQLWKVRKPKGMESVESIVQGENRAETIDQVLEVDPLASSQERNKERTKKDTVPQETDHENSEVTDNASSDSNAIGSSKGLNANLRKLYRSMNVPVPRPLPSLVELMATSKRPRVSPAVQL >OB08G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:379945:381839:-1 gene:OB08G10660 transcript:OB08G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSGGSALVRDGASGLKRKKKKKQGGTKRSATNPEDAHQAFDRLLQRSGRNSTYRLNRVLSDVAPHSPAVAISLFNRMPRANANLWTYGIVIGCCRRVGRLDLAFATFARLITTGLRMCPILFSPLLKGLCDRKRTSEAMDIALRRMPELGCKPDVFSYTILLKGLCHERNSQQALDLLHIMADRRGSCPPDVVSYTTVINGLLREGQLDKAYCLLDTMLDRGTSPDVMTYSSIIAALSKARAMDKATEVFTRMIKNGVMPDCITYTSLVHGYCSSGKPMEAIGIFKKMCGAGVEPDVVTYTSLMDYLCKNGKSSEGRKIFDSLVKRGHKPDSTTYGTLLHGYATEGALVEIHDLLDSMIQKGMQPNHHIVNMIIGTYGKYEKVDEALLVFSKMRQQGLKPDIVNYGTVIDILCTVGRMDDAMSEFDRLKSEGLAPNIVVFTTLIHGLCTCAKWDKVEELAFEMIDRGICLDTVFFNAIMDSLCKKGKVIEAKNLFDLMVHIGIEPNVITYNTLINGYCLDGKMDEAMKLFEGMVFNGAKPSDVTYNTIINGYSKNGRIEDGLTLLREMASKGVNPGIVTYDMMLQGLFQAGRTAATEDLSGVQFEISTCNIILLGLCQNNCTSDGL >OB08G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:382099:382338:-1 gene:OB08G10670 transcript:OB08G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLTVYPISLSFPLSLFGAVAAVATATTTTQIIITIIIIPSKITWAVSNRKGNDSDIFVRPNTTLGPASHIARQGSRPN >OB08G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:386804:387067:-1 gene:OB08G10680 transcript:OB08G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRRQVRRRPRRARRRQGVDRAAGLRLRVRPRERRRRVPAGVQGAVPAAEAQRLPAGARRQGGRARQALLRRARHHRPPQLSIQQQLI >OB08G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:396790:397005:-1 gene:OB08G10690 transcript:OB08G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQTKTRSSSSLHSPSISLFIHERKREPQEVAGSRTAMASMAPAAVTLILLLALVMMPTALCSRSGPSSKHG >OB08G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:403436:409278:1 gene:OB08G10700 transcript:OB08G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64630) TAIR;Acc:AT5G64630] MRGGTVQINWHDQQPVLTLDFHPVSRRLATGGSDHDIKIWVIASDDSNKKLPTATYHSSLSSHSSAVNVLRFSPSGENLASGADGGGIILWKLHSTEDGEAWKVHKTLLFHHKDVLDLQWSQDGAFLVSASVDNSCIIWDAIKGSVQQKLEGHLHYVQGVAWDPLGQYVASLSSDRTCRIYANKPQGKSKNVEKMNFVCQHTLVKAEHQSPDESKPPTRVHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYIMSRRDLSRPAIQLPGASKAIVAVRFCPVLFRLRGSQSDGFFKLPYRVIFAVATLNSLYVYDTESVAPILIHAGLHYAAITDIAWSSDAKNLAVSSRDCFCTIIEFEKEELGQPYSLSGTKELAERNANCENTKQLKVDSMEVDAGASKAKAEASSAAAVEVTPPPVVAQNNILMTKNSAEGNVTCENKVDGMEVDVAASEAKTEATPVVVQVTTPPVSTKNSASSKPTKKRITPIAIN >OB08G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:409118:410083:-1 gene:OB08G10710 transcript:OB08G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNRHQCHRALKPHHLRNASHHHLPLPSDPHHLQAALRHHLHHQSVPHRLQAALHHHLPKPHRHPAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPTPTGDTPTITSARSTPTITTHPTATTTNSSPPRAAAASPTGPATSSHQPAHTRAAATWPATPHCHHRRLHLIRWPPVTSMSRTLLLAQEEGEEDGEESGDPQLERPCPCQQGNSDRPRRCQGHRAVSRRGPQIPGGRRRGVKRRRSREPSCPLVMAQEAGRQNRAQGRGHQCHGAHPCRREDSGRASRPED >OB08G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:427657:428175:-1 gene:OB08G10720 transcript:OB08G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPGGPTQLPPPPQYTAGPSTSAVGPPGRPFMPLGGAGPMIDWVGLTRPVDIHGPTSSSSSSSMGGALGFGFGGGGGQSSHGMH >OB08G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:442236:444949:-1 gene:OB08G10730 transcript:OB08G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRREEMGKGKSELRIAMEELSLPCPGDEDEQQQQRQKRSSTMDLLWVSKHLIHVLDNVGPTLLVLRQDIQQNVQRLQDVLARDPCKYASLTAIVTEEVEEGTSKKVNSCTRAIVWLARSINFSKHLLEGLLNTRDQSSLEEIVEKTYATTLKPWHGWIASAAYRVAQKLIPEREIFIALLMGNCQEIEDFAEDVKVLLTIVQPLLDEANAILVKHNLDKLKST >OB08G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:452147:457279:1 gene:OB08G10740 transcript:OB08G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein [Source:Projected from Arabidopsis thaliana (AT5G63640) TAIR;Acc:AT5G63640] MALEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHRQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGAKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLNSMDPRLPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDELVVSQAIELNEELHKVLVRHDALLSVEPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTHDSIPSFRSIPEDKMRRPLTIEPSHTDKKLGALNIRSPDPEVRPEAVLIPPPPAKHAERERFFREKSMDANLLGHLAGLSVHSRDGSSSCSGSTDYGD >OB08G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:457664:457912:-1 gene:OB08G10750 transcript:OB08G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPHHTHRHRRHQPHISFLFVLPYRYQFVFHLSLLKLKRDNMDVRSSLFSSHADKLLPTDLRSRHKGERGVDGVSAKRKQIE >OB08G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:458640:465737:1 gene:OB08G10760 transcript:OB08G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G22620) TAIR;Acc:AT1G22620] MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSDLTISEDPVWYSQQEVKNLLHRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASLDLTKDFFYSYTYPIMQSLQQNVTSSRMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQAKLSIFGRELNVVLISRRSRHFAGTRFLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDLAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGFASEALDLTGFYYSGKPKVPKKRSTQLSRTSTARDGSIDIRASSGDLSRLSSNADSLGSTASQDIRNDDSKQELIGDAPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATDVPKIHQDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDTDYYLHVTTYGDDLTSDSYHMTSTTGNSAPVESGAELTPGETWSPVPACKEDFSRMKLTSFDKLIERTCSLVRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHVETVPTAKVIPVENANEGNREDLHISLCGELNWLSSADTCEEDTFRRYLAFTTADVDNGWYGGTLIYDQDENSGAYRHYSELCQGSVMDPFEHDSEKESHYAEALSMDIDITDDSRVEAEMQAALDEYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLRVGATQ >OB08G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:467583:471708:1 gene:OB08G10770 transcript:OB08G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G63620) TAIR;Acc:AT5G63620] MRGTVFWETGRPLTIEEFRMPRPKAGEVLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGSHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLKNTISRKCKFEEANSAYEDLDHGKIVGRAVVEIM >OB08G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:469123:473199:-1 gene:OB08G10780 transcript:OB08G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine monophosphate kinase [Source:Projected from Arabidopsis thaliana (AT5G47840) TAIR;Acc:AT5G47840] MASSMATAAASLSPPVLSAERPAARGGLFFPGSPASSRTLCLKSARRSGFSPATRKPRSLPRATKAVVAVKDDPLKVMIAGAPASGKGTQCELIKTKYDLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKGRLLQPDAQEKGWLLDGYPRSYSQAMALETLGIRPDIFILLDVPDEILVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVKVKGDALVDDVFADIDKELTSSLDKKTEVVASA >OB08G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:475978:481698:1 gene:OB08G10790 transcript:OB08G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3MPQ0] MGLNPMGGVMNNNRKKTTRAGATRRCRHAVPRWVIPGVLVLSQPFGTRGMLCRYSPSSGTFLSEELLTCKICMRWDKILLSKQPIIIRCLLCHTIRQANHGAKWNRTCLGIANRRDQMPMLSYFTKVPCGQKRQRTKKSREGSKLTFRKRLKRTEVSTGAPIATKFPTKTQDNCPSGDAASPWLGVGVHPWSLASRQAKSALELEQAYHRPNECAVQRENRPAASAQLVGWPPVRAFRKNLSTPKTADAEDPSKVKLCSDEGHGSIDATQERRPLSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSRALQSMFHGFLSDGIATRDDEQQQQLEKGTKKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRTPAKLRQ >OB08G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:483108:488757:1 gene:OB08G10800 transcript:OB08G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRARKVGKKAKSKTATADASPNSLSSPSTIDASPKSPSSPSTEENDISPAHQADDPPVIAPPGPEPAPPEPEKPAAPADVQSAKPKVYSRVRLKFKSAKVLETHQSSSEAKAPADATGKPASAAPVPEANKEVAEKATVSPDGQKDVQTSDLSSSDKDKVARKISSIKIKSAGLSSMEDKNQDRKTDSVIETLPSKQETVLENGESETALEPRSPQELEVKEATPERQRDDKELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICKNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAADLYSDFQENGGNDNTGDEDVKGNSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENETAAMNSNISDQQIMEVDSGNNNPGSHDTTSSQEQLLQTDSGIQIENPVKFFNSQTLRPDYEDEGSRQYFEEKEEVDYTDLISQEEHTSSQPNDGSEVAQHQHKETTETSQEIEMEDYPIQQQNNSFLQLCAQLFPSNSQSSVFRGRHSLFRQQQRQVSIKESPLHAAITSIMKR >OB08G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:492786:493055:-1 gene:OB08G10810 transcript:OB08G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVENCRAADKAGRKKTTAKKENTAAVEVRTWRDIGIGTRGEETTWGRGLLGFGGEMEGSEAKQARKEKKEKEKEMSQVQDNALAWLY >OB08G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:492943:495439:1 gene:OB08G10820 transcript:OB08G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHVLTSTAAVFSFFAVVFFLPALSAARQFSTVAISNSPNATLVCALVRPKGSPNDVPGAKLFCTSMPTGEVMSYPSADVPYNAIAAGTDFLCGLMAPNGGHAAMRWGVVPTRSRPVGRRLYWGPSLRALSAGGPHVCGLSDAHDPTCWEWPALTLPKGLDFSRIALGRDFLCGVVKGNDSDMNCYGGGIATPTTLAGAAIKAVAAGHRHACTVDAEGGLSCWGEGYPDMRASDLPADMKAMALGRNTTCILDGDDMVRCWGVQVPNEYKRASFVSIEADGDTVCGVLTTNFSVVCWGNERFAKRHLIYNDTMPGACTTKGNCSNCGFIAGSGAFCGNGGGEGGEELVVCQPCKLPLNASRLAVGNGISKNAPAPGADDSAKRRKKAMEVAVGVVGVGVAVLAVAGVVFYLAVVRRRGGKTHAAIVQLGESSTLGLCRDVEAVVMPAPESSPLPPPARPLGCEEFTVKELSRLTNGFAEERKIGSGSFGSVYRAKLPDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGARAAGDVGGGRRAGVGGDALRGVAPPVRPAAAQLPPRRLRRVALGVRRPEPVPHARVRRRLRPPRPWQVQLSNVIKKTFLFYFFFFVLVSTVAGIG >OB08G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:507310:512519:-1 gene:OB08G10830 transcript:OB08G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT2G02570) TAIR;Acc:AT2G02570] MEDLSVEELASNLSTYKEQLREVRKIIKEKKDDPGISEYLDMEKELEEVITLTEELLATASQSGNAQSDAGLSPPNYSGGLQSEALDDPSQSHEKFAVGTKVQAVWSEDGEWYTATIEALTENGYYVSYEGWGNREEVDPANVRPLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDTEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTEFQRREKHLHLKDGSSDALDGEE >OB08G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:480732:517033:-1 gene:OB08G10840 transcript:OB08G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFRCMSRKEHRGGAAVSRSKRMGSARSGRGAGKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGGSMSRRIGPGSTSSRRRGDLPDSVMNGKAVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADINSIATLADYSKPLIDYLNKLPENEKVILVGHSCGGASVSYAIEKCPKKISKAVFLTATMVKDGQRPFDVFSEELASADVFLQESQFLLYGNGKDKPPTGLMFDKQQIKGLYFNQSPSKDTALAAVSMRPIPLAPIMEKLSLTPENYGAVRRYFVQTLDDRMLSPDVQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPTTLLPSNAKTEAIEVTEAKTEQEEEEKTEKSS >OB08G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:524808:525641:-1 gene:OB08G10850 transcript:OB08G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAEEDERMSSSASNTVYCCIAKGKKIIYCYNSKDGDPHMETTAALCLENAPSYHRHYIHTAGSRSYSYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRTVNRNGFHDSLVPAVQRLVASLEKMPHAAFVLEESVEKGDPSDSSSCTSSKVPLLGRSGSRKDKKKAKEKAASAAVCDDEQHGTRGVRIDVPPDEVGGMSLERSPSQSRLRRQHSSRSLWVRHVKIIIVVDAIICVLLFAAWLAVCKGFRCVSG >OB08G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:525922:526449:-1 gene:OB08G10860 transcript:OB08G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNLKSISSSSCPNPNLSKSAPFSFLPALTPSGSGGASVLPSKGFGCDSDPSGELNWGPKGEILAALNSGGGG >OB08G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:529663:529848:-1 gene:OB08G10870 transcript:OB08G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDRASMAKLLSRGPDSRQAAARKKNQLHFLMPIWDAKILSFAITLNLLGHTILGLFAI >OB08G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:530172:532932:-1 gene:OB08G10880 transcript:OB08G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKELKSRGLVKLVTVAVIFMAGVVIGLSASANVSRYYYSSHTELFFPATTYGACDRADCAPTFKSFVHPPHLAHSMSDPELFWRASLLPAADDFPFQRVPKVAFLFMTRGPLPFTPLWDRFFRGHQGLFSVYVHTLPDYKLNVSKSSAFYARQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPSQWRKGSEWFELNRELAVQIVADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTVTWVDWSRGGPHPARYGAANITEEFIQAIRNNGTSCTYNSKPTSLCYLFARKFAPSALGPLMNLTSTVLDF >OB08G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:537403:541863:1 gene:OB08G10890 transcript:OB08G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MPR0] MLVSLNIERFELMYSCSCTCDCQTGLMENFSLRTLLNSILRITVLTSDGSTSRPKPIQKYCQNVCDISSIVSPLIEDLCESPEDQLNEVLRELGTAVNEASGLIGNWHQTTSKIYFIWQIESVISDIQGCSLQLCQLANSLLPSLTGRACTCIEKLQDINYEHMLDLVKEASMELAETDTASPESLSRLSSTLSLSTNLELYMEAVSLESLRARAMRSENREELDLAEKMIPLVNYMHDRLLRETQLLNINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPSAASALQDLSTTGSSPLHPTVVAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASAKQSSENANGSVADISRLSLAGSETREPSLEGRNAGSIGQTSEQSAEEAFQASNLDRDSQDHVGSSSVNGSLPNSGQLDAECDNGPSGRTNYSSDASLEVTDGGPSASSVPQREHLIPSRLADVRSRGQFVRRQSSERGFPRIISSSSMDTRSDLSAIESQVRKLVDDLRSDSVDVQRSATSEIRLLAKHNMENRIVIANCGAINLLVGLLHSPDAKIQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >OB08G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:542438:543679:-1 gene:OB08G10900 transcript:OB08G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGWSPELAMDAYLHTLQLCKQQEEHADDASDSSSSSSVLRCVDFAVVDASLERCGGVLGAVDVNPRGAIVVVTNVFQEETTTRRSSGRVCSYGQVVGKKGRATVLPIGRHGIEVTRVGGGSSGAHLQPHKKVVSTPKRTFLVCDDGSPS >OB08G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:546670:557141:-1 gene:OB08G10910 transcript:OB08G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shoot gravitropism 2 (SGR2) [Source:Projected from Arabidopsis thaliana (AT1G31480) TAIR;Acc:AT1G31480] MNLSDSISPLSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPRGSLVAADASDSEGLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLVGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVDKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFIKRNPGYSGKVSIYGHSLGSVLSYDILCHQESSAAPFPLDYMNMEVTSDEGQIAKLPDTVAACESGIMEQDTSSTFGRSCADNVNDVVHEGSTRTDPSCTEDTTRPTYVLEDSSKVDTNALPIAVDGERIEVVNQVDNHKMVCSEEGDTSSVRAKDADSCSISRSSEDVHEDVLDKDTLISSLKEEVERLKARLEQLEQQNDLVTESISGAESHQGKNASRTVNLSPGKWCMGKGSTSESYTPHIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPSCRQMFNVFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDVAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDDDSNKEKEKSYGYMMMERLTGSSDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEAPTDEPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKSENDASCESS >OB08G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:557995:563937:1 gene:OB08G10920 transcript:OB08G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDVTAPPPEVLAVAPEEQKPPAAAAADASAALEEAAVPAEGEGEHKRKLEEVEPAADANGGGEDAKRPRVDGDGEGFVQSNESAAKVEEPAAKENSELVPTEGSADAENGKVAPTGDLQVGSGEKPQEPAAEAAVTEAPQQEGSSAPGQETSRIIDVPNNKVGVLIGKSGETIRNLQINSGAKIQITKDAEADANAPTRSVELVGTVESIDKAEQLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKSLQTRSGARIQLIPQHPPEGVTLLERTVRVTGNKKQIEAAKDMIKQAMNQTFSRHGAQSGGYGQQNYRPSHGAASQWGPRSQTQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQGYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGQGYGDPRYNAPPPNQQYYGQPPVGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGSYQAAPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPVGPGYAQQGAQQGGYAQYPASQPAYGDQAAQNNTNYGYQGAPADPNYANAYPQSGYGSTPASGQAGYTAAPAAGQPGYGQAGYTQPPTNPPTYDQSAQPAAQSGYSAPPANPQPTVAKGVSPQPAGYGGQWTA >OB08G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:563787:572093:-1 gene:OB08G10930 transcript:OB08G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTELEQTKAYSHMDCAQPPAKSWERRFDDEGKKIAMFSMTLNDMMALVPFLIKVFGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGRSYKGYFQHFQIFPRIYEEKPILANQFSAFISRPDGKSYATVLSAPNASVLKGIDKAGIGSWDWKLKEKNCNYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADITLLFTWANSVGGKSELTGNHTNSRMTSRDGVHGVLLHHRTAGGPPPVTFAIASQEPDGVRVTGCPRFTMGPSKPNSGDVTAKDMWDEIKKNGFFGASEAAAASRPGSSIGAAVAAATTGAAGGTRVVSFALSWACPEVKFPAGRTYHRRYTKFHGTDCDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTEKKFVTDGQPPKNTSLSSATEPFNIDTSTNGVNGVGERSSAVDGIVRTVAAAAERASSTAAMGTALLREGEENVGQVLYLEGMEYNLWNTYDVHFYASFALLALFPELELNLQRDFIRAVLLHDPRLRHTLIDGVTAPRKVVGAVPHDMGLNDPWVELNAYMLHDPARWKDLNPKFVLQVYRDVLATADAAFAQAAWPAVYLAMAYMDQFDRDRDAMARRALATVLDYNVMRVGGGAIGAVNGMQPDGTVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFRTAKGIHDAGWSKDGFGYAFQTPEAWTTDGRYRALHYMRPLAVWAMQWALSPPVLHKDIRLAGATTVSPDTALGQEKFDKVASMLRLPEEKHHKGILRTLFDVLRQLLLPA >OB08G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:573603:574063:1 gene:OB08G10940 transcript:OB08G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYWPESRDLFTCGNLYHLPIFDSCILAAMICLKGIVHTPFLLLVIISLSQFRSVCVNISLYCRVTVYIRG >OB08G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:574198:575282:1 gene:OB08G10950 transcript:OB08G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLYYSRRAILINSCLSSIPSYAMGLFLLPETIQHEIDSVRGRFYWEGMGERKRYHMVKWSNIAFPKDFGGLGFTDTGAMNIALLGKWIFKLESDTKSLCMTVLKNKYMRSTGFFQYNSSSSSMFWQGLQKIRIWVERGSKWKVGDGSHIFFWRDVWHGEVPLKNIFPYLFEICDQQDISVKEVRSCVNCLSFRRVFGQMDVECWRELCGVVSSLQNSMYVRDKDEIKWALGTKEIYTSKSMYSFLTFRGILDQEIQNIWFAPIPLKFKHFLWLAWRNRIQSAGQLRKMGWGGNEARQLCSLYENSYHIFFKCPMAVFVWCCCRDALGWERVPSSFSDFNNCELWRCRMKLPRITICLLAS >OB08G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:576929:578517:-1 gene:OB08G10960 transcript:OB08G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLDASAAIFMAMEAAMSGHYGVHVVSRRVVQPTRTTSETWTLHLTPWDLQMITVDYIQKGVLLPKPPTGGQILVEHLASSLAGALARFYPFAGRLAVDESGGDPETVSTVSVSLRCTGEGAELVRAVAPGVTVADVAESLYVPRVVWSFFPLDGMVGADAVAGARPVLAAQVTELADGVFVAMSLNHGVADGTTFWHLFNTWSEISRSSGVDEATKISTPPPVLERWFPDACSVPVTLPFGKLDDIVRRFECLPVEECFFHFSAESVKHLKATARPRPRPPSPPSSPCSRTCGDLCHAPGASRRSRRQRTRCSSDAGDGSSTSRRPTPATRWCAPQRRLRPARSRRGGWGGRRGC >OB08G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:583821:586424:-1 gene:OB08G10970 transcript:OB08G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHDGNVVVAGVDRVRVLSRRVVRPSGHAPPPPPCDEVIHLTPWDLRLISIDYIQKGVLLPSPPLSGGALADALASSFSLALARYYPLAGRLAADERADGTVTITLRCTGEGAEFVHAAAPGVAVSDVVSSLYTPSEVWSFYSYNLVLGADAAVEPRPLLSVQVTELADGVFIGMSMNHSVGDGTTFWEFMNTWSDINRRGGGGVITDDMREIATPAPVFRRWFVETTPVSIPMPIGKLQNVVKRFERPDVQECFFTFPAASARKLKARANEEMAGTSTATISSLQAVLAHLWRAVCRARRLPPEQAEATAGEIQERGLGWTAWLLNRAVASFDEAAMREWLEGWAREPEFTYMSKLQSTAGVALITGSSPRFDVFGNDFGWGRPVAVRSGSGNKMDGKATVFEGPERGGSVSLEVCIAPDALRRLAADEEFMDAVSLPA >OB08G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:593718:595736:-1 gene:OB08G10980 transcript:OB08G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVRIVSRRMVRPAPEHAGGNGIPGPDTVHHLTPLDLRMITVDYIQKGVLLPRPPGRGEHVVEHLATSFARALARFYPLAGRLAVAGDASATGVPSISISLCCNGEGAEFVHAAAPGVAAADVTGSLHVPRVVWSFFPLNGILSVDAASDSRPVLAAQVTELADGMFVALSLNHGVADGFSFWHFFHTWSEISRSGDDAERELSTPPPVFDRWFAYGSPVPIPLPFAKLDDMIRRPVYTPVDECFLHLSAESVRALKEKANAEMAGAATATISSLQSVLAHVWRAVCRARRVAPELEAHYGISVGWRARLKEVPQEYMGNTVAGASAKATVGEILGKGLGWTAWQLNRAVASTDEGSVRRMVAAWPEKPSFMMVNALQRRPAGALGISGSPRFDVYGNDFGWGRPVGVRSGGGNKLDGKMTVYEGHGGGGSMALEICLEPAAPARLVADEEGHGGGGSMALEICLEPAALARLVADEEFMGAVTAPAH >OB08G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:599512:600909:-1 gene:OB08G10990 transcript:OB08G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQVEVEGGGVRVVSRRLVRPEPCAPPEQAAETMHLTPWDLRMMAVECIQKGVLLPRPPAGGQHHVEHLASSFARALARFYPLAGRLAVEEIDDDDGGGGASRELRSISISLCCNDKGAEFVHAVAPGVTVADITASVYVPRVVWSFFPLNGMVGAAAAVDCRPVLAAQVTELADGVFVAMSLSHGVADGGTFWHLFRTWSEMSRGCELSTPSPVLERWFVDSCPVPIPLPFATPEDMIRQAEYPPVQECFFHFTAESVKKLKAKANDEMAGEATATISSLQSLLAHLWRAVCRARRLSPEQETRYALPVGCRARVKGLAQGYLGNAVAPVAAKAAAGEVMDKGLGWTAWLLNRAVASVDEASVRGTLAAWPEKPSFLRMADLQKQAGGGMGTSNSPRFDVYGNDFGWGRPVGVRSGGGNKLDGKMTVYEGRDGGGSMALEVCLAPEALARLVADEEFMGAPA >OB08G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:603285:604664:-1 gene:OB08G11000 transcript:OB08G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARVVSRRMIRPEFTAGLHNSELPPQPETVHLTPWDLRLLTVENIQKGILLPSPPTGGELFVEHLASSFARALGRFYPFAGRLEVDDGASPADRISVSLCCNHEGAEFVHAAAPDVAVADIFASLYIPRVVWSFFPLNGVVAAHAATDSLPVLAAQVTELSDGVFLAMSVNHVVGDGTNFWEFMNTWSEISRSGGGKPEISPSTWPPLVVKRWFLDTCTVPISLPFAKLEHIIARCEHSPSPVQECFFSFTAESIRKLKAKANDEMGGAVAISSLQSVVALVWRSLSRARGLALRQETAYVLVVGCRGRVGGISAGYMGNAVVPGAVRLPAGEILEKGLGWTAWQVNRYVASFDEAAMREALASWPRQPDFFTVVSLLGGANIITGSSPRFDVFGNDFGWGRPVAVRSGGANKFDGKVTVYEGPDGAGSMSLEVCLAPAAMVKLLADEEFMAAVTTP >OB08G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:607951:609585:-1 gene:OB08G11010 transcript:OB08G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDVILAYDKMVALGVTADRFTYPSVLRACGELREVTIGRKIEQRIQRRRYGLDMFVWNALVGMYAKCGELEDARRVFDGMPMRDVVSWNTMVSTYALTGKWSKAFELLKQVPGANIVTWNAVAAGNLKAGNYDEVIRLVSQVRRCHGTGVDSVTIVIGLKACGRNRYLRAGRELHGVAVRLCFDSLECVVSSLITMYSRCRMMSSACLMFRACSIRSITIWNALLAGFSFMDLTEEAYLLFREMIGFGVYPNDVTVLTVLSLGARFGHLCHGRVLHCYILKHELDGSKLLENSLVDMYSKCREMAVAQRVFELMQFRDKHAYTSLVLGYGLQREGHVSLKLFNEMIENNIEPDHVTMVAILSACSHSGLVTQGQLLFAKMASIFGIAPRVEHFSCMVDLYCREGLLKIAQEIIDKMPFQPTAAMLATLIEACRIHGNLGIGDWAAKKLLTMRTDNPGHYRLIANMYIAAKCWPELAKVRSLMSTMELNMIPSHSLLESDYDMSPVERDDFLNQVAHGLSYDHLTDTDSSSSEEVKCSEAFGG >OB08G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:611241:616316:-1 gene:OB08G11020 transcript:OB08G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEDQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEEEEGVVVSATSSTAGHRVGNDPSTARLIRKPPAPVVEAVAALPDEAANVAVGVVDAERPVAATANWRRPPNGVAEQEPPRGGGTRSEAKPRIIEVPNGVQGEHMAAGWPRWLTEVAAEAVRGWQPRKTESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHKRGVLHRDIKGANLLLDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPPALALLDCLLAVEPQNRGTAALALESEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESEAGRRKQLPAPNGNNELPQRRVQLNPKSSSSKFIPKEDAVTGFPIDPPGRSGDNVYPQRVPLMHAGRSSSTLGRSSGTDQNAQRFYTSQFAAADMSTATGGQRGNATKVSNLGDSARRQYLREHRSSSRYSQLTAAELSDRPEWTHQLQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQSESLLYTSQNGRP >OB08G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:622355:623968:1 gene:OB08G11030 transcript:OB08G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDAQQQQEEISYAGLSQLPSDVLVAILDKLDLHEAARAAVLSRRSSDYPISTLAVRFFLLRPAAVAGLVDDALAAGKVRAAELTVLAEKDDIHSTVEEEEDLSLENIKLAADSPDFPTIIAACTDLRYLTLDGCRPAEALPSLPVAIRHPRLLQIKIAFCHFSTVVLQWLPELVGFTIWDWVGTPRSRLPLGDLLVTATAITDLRIDFRAENIWLKPEPSPRLRRVLQNLRHLKIHNVHDQCDLSWTLFLLEAAPLLEDLYVELWSHPCLDTIDDDGGEEILPQRQRSDFTWDGGRLAAAFDHRRLTRLTILGFRRDDERAISYVRRVAEVAPGLEEICLREKEPCEDCDDIVDRWPSFPRTDEEKDLMRNRIISSNVVHCNLDIEFIGND >OB08G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:625512:626897:-1 gene:OB08G11040 transcript:OB08G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHQGELFTCPMDGCGRKFGIKANMQRHVKEIHEDGNVTKSNQQFICKEEGCNKVFMYASKLKKHEESHVKLDYVEVVCCEPGCLKMFTNVECLRAHNQACHQYTQCDICGEKYLKKNIKRHLRAHEGAPSTERIKCSFEGCECSFSNKSNLTKHVKACHDQIKPFACRYTGCEKVFPYKHVRDNHEKSSAHVYTQANFMEMDEQLRSCPRGGRKRKAVTIETLTRKRVTMDCNASSLDNGTEYLRWLLSGGNDDDSSHNH >OB08G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:626887:629277:-1 gene:OB08G11050 transcript:OB08G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGDETDGDTKIEDATHYRDIRRYKCEFCTVVRSKKCLIQAHMVLHHKEELDKSEIYNSNGEKIVHDGDHNCQECGASFQKPAHLKQHMQSHSDEDLDSLQCEALDPKELQNAMRHI >OB08G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:630084:635909:-1 gene:OB08G11060 transcript:OB08G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:UniProtKB/TrEMBL;Acc:J3MPS7] MAAAESRLLVMYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERLVVFVVSTTGQGDPPDSMKEFWRYLLKKNLGARWLQGVRYAVFGLGDSGYQKYNFAAKKLDRRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPLLLPRMSDIINPDLSILGDAKVEVIYHSSDKAQQDSNILDSKNLIQRARSMSPALQFYNNEKEPHYMLQMVSNQRLTKENSDRDVRHFELESPSSGITYQVGDALDILPSQSPSAVDSFIERCKLDPDCYITVRAKGRVKVSKVSLLNGFIDRIKLKTFVALTMDVASASPRRYFFEVMSFFATSEHEKEKLQYFASPEGRDDLYQYNQKEGRTVLEVLEDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAEPNQIHLTVSIVSWRTPFKRTRHGLCSSWLAGLNPNEENLIPCWVHHSSLPPHPSIPLILIGPGTGCAPFRAFVAERAAQSTPEPTAPILFFFGCRNQDNDFLYKDFWYAHAHDQGVLSLKNGGGFFVAFSRDQPQKFYVQHRIKEQSAKVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQESGCSEEDASIWLRKLERNGKFHIETWS >OB08G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:636344:639146:1 gene:OB08G11070 transcript:OB08G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAADDEDEAARLSREGKVSVTSNPGETPTMHVPRGSKRQDPLDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQRSLGLGNEDGSRRAR >OB08G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:644557:646627:1 gene:OB08G11080 transcript:OB08G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYDLATTGTMEELIERYKSASCEQPNNGCGDHRMDPKQEAMVLKQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYTIRSAKMQIMIQEIQALKSKEGMLKAANEILQEKIVEQNGLIDVGRMVADQQNGHFSTVPLIEEITNPLTILSGYSTCRGSEMGYSF >OB08G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:647421:648810:-1 gene:OB08G11090 transcript:OB08G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKAHWAHNFAFLDYFKKVYGRDKPLPKWSDADVDEFIASDPVYGPQLKAMRESRKFALGGALVGGAHLGSVALKYSKAPHGILLATGFGAICGAVAGSEVAEHWYQLYKADKQAANLRFMYWWEDKVSGNQKS >OB08G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:651508:654709:1 gene:OB08G11100 transcript:OB08G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVAAAVVVTVAAALVATAAAGKPAVPALFVFGDSLIDNGNNNNLASLAKANYYPYGIDFAGGATGRFCNGYTIVDELAELLGLPLLPPYSQASGNGKQLLHGVNFASAAAGILDDTGGNFVGRIPFNQQIDNFEAAVEQIAGAVGKEAAAAMVARSILFVGLGSNDYLNNYLMPNYNTRRQYSPAQFADLLAARYAAQLTRLYEAGARKFVVAGVGSMGCIPNVLAQSVESLCSPEVDGLVLPFNANVRAMIDRLNGGAGAGGGLAGARLVFLDNYGVFKGILGDPAAHGFRVVDRGCCGIGRNSGQVTCLPFMPPCDDRRGYVFWDAFHPTAAVNVLVARLAFYGSADVVSPINVGRLATL >OB08G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:653152:653391:-1 gene:OB08G11110 transcript:OB08G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSINSVALFMSDCGSKFCSACDVGSDVCSILQCSVQSTSVNIYRKLNSSSILTYSYFLAHGCTYTRVLACHLNSHQKI >OB08G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:657779:659300:1 gene:OB08G11120 transcript:OB08G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33420) TAIR;Acc:AT4G33420] MAAAKKLVRLLMVVVGVAGPGAAALSMDYYGMSCPFADMVVRNVGCDASVLLDSTPDNTAEKDAPPNKSLRGFEVIDRIKQALEMQCPGVVSCADIVALAARDAVLMAGGPYYVVPLGRRDGTRSNAADTVALPPPFLNATELAQLFATHNLNLQDMVALSGGHTLGAAHCANFKNRLATEAATLDSSLGSSLSSVCAAGGDGATATFDRTSTMFDGVYFRELQQRRGLLSSDQTLFESPETKRLVNMFAMNQAYFFFAFQQGMLKMGQLNLKEGTIGEVRRSCRIIN >OB08G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:661598:664726:1 gene:OB08G11130 transcript:OB08G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G10260) TAIR;Acc:AT4G10260] MAPLGDGAAVAAEATPNLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVEILKKNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSEDAARDGIFSIWKEADFIKVSDDEVAFLTKGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGFAVNTVDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTQKGAIPALPTVAAAQELISKAGN >OB08G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:663773:664111:-1 gene:OB08G11140 transcript:OB08G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLATLTRREPTKASPAPVVSTVLTAKPGTEPLKSLVKYLQPFSPSVTMRSLRPSNQRESTFFSSLASPLVRKATSSSLTLMKSASFQMLKMPSRAASSDGQSGRRTLGS >OB08G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:664951:676592:-1 gene:OB08G11150 transcript:OB08G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) TAIR;Acc:AT4G24270] MATPMEEDLPEAEAAAAVAGGDGDGEKPTQAPAAPPSDPDLDSSDSDDDGREGGVMLDELRIQAMERILQGQPLDYDSHVQYIRCLRKSGNIEKLRAAREEMNKCFPLTPKMWQEWTKDESSLRLESFENIEKLYERGVQEYLSVRLWRDYLDFVEENDKSVSQCSPSGLTKMRNLFEHAITAGGLHVTEGGKLWEAYREYEMAILTIIDDEEEKAKQVQRIRVLFHRQLSIPLADMESTLAEYKSWEAEQGNANDPSSDFDGVPSNVVSAYKKAIEMYNVRKQYEDQLINGDVSDSDKLQEFLKYIKFEESSGDPARVQVLYERAVSELPVVSDLWMGYTNYLDKTLKVPAVLKSVYHRATRNCTWVSELWVRYLLSLERIRASEEELRHVFEQAIQCSFPSIKEYLDIYLTRVDSLRRRIADGLDFQLIRQTFMDATEFLSPQMGTEDLLLLHAYWAKLECTLGNDLASARGVWENTLKKSGSVLEVWQHYIAMEIETGHIHEARSLYKRCYTKRFAGSGSEDICHAWIRFEREHGTLDDYDLAVKKADPRLKELMMFKAQQEVKVDTYSVPKETTANDSSQKRKPSKMPTKQEPPAKKRKDNPPKSAEPSDDQRSKEQSSTDNVKAGDVSGEKTEASMEMNLADSSRTEYTSSKESKPNFYSDKCTAYVSNIDLTANEEHIRRFFSDVGGVTAIRLLRDKFTKKSRGLAYVDFLDNEHLEAAIRKNKHKLLGKKVSVARSDPSKGKKSREAGSFSKDQDRLTASGDRGEKAVFASKSDKEIPKDEPKIIGKNTFAAPRSVVKPLGWTKKDDKSDVGAEELKSNEEFRNLLLKKRC >OB08G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:679143:682509:1 gene:OB08G11160 transcript:OB08G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl terminus of HSC70-interacting protein [Source:Projected from Arabidopsis thaliana (AT3G07370) TAIR;Acc:AT3G07370] MAIRLDSHSVKAHYMLGLALLNRDELTEGIKELEKSLELGRGAHPASYMVEEIWQELSKAKYIEWESLSRERVCQLHMLKEACKQALRNYNSLDNPAAYAPEEQLNELEEVFQKAAKSDIPAEVPDHLCCKITLDIFRDPVITPSGITYERAVLLDHLHRVGKFDPVTREALEPHQLIPNLAIKEAVHAFLSEHGWAYRMR >OB08G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:682984:684210:-1 gene:OB08G11170 transcript:OB08G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYCDGKSKKPGTKSRRCRCSATMLTMLLFVITNSVSVLLSSGAGAFLLRRYKPDSVRLWAWDDSAALLDDLNATHRFQDDLERYMEYKPGGECPSDEALAHQLMRNGCDPLPRRRCRPPSPARYVQPAPLPKSLWTIPPDTTVVWDAYRCKNYSCLAHGGGEFDLRGREKGRWMRDDGALTYSIDGVLAARPNGTVRIGLDIGGGCGPGAARMRERGVTVVTTTSMNSGGPSGSLIASRGLVPVHVSPAHRLPFFDGTLDIVHWTPELGSRPPPVTDVSLEFAVFDIYRVMRPGGLLWLDHFVFSGEQLSATTDRVGFRRVRWNTGKKLVSALLEKPMT >OB08G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:694424:696814:1 gene:OB08G11180 transcript:OB08G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDHQDVAARGGGGGGDDGQGNDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKEISLCRVYKRPGIEDNFHLTATTTKSSGSKAAKMDKKHAAAYRTSSATAAAAPRLAPMFDGGGGGHSSALMGKASAYHEGTNAIAMSLSEAAAGITTTTTAGLHPPAPPPPSMFRSTASVASLSSTTSTEEDGTSLFHLKGSTNPMQQLPASTHALLNTNSSAMATIPIDELSRAIGSYSNHHQSNSTAATNLVPPSQQSPLLPFASMEKIWDWNPLLESPKVCTSFKQ >OB08G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:699744:700111:-1 gene:OB08G11190 transcript:OB08G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVILVRHDEDAMAGHRPASGERVSGGEAAFVKRRGVAGADAEGVGGGGGGYLGVEAAVLLGLVTATLLGLPPPPPPPMLLLVPVAIFGVLVLLVLLPSDAKGIGGGAGAAASSSSSSYL >OB08G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:709779:713846:-1 gene:OB08G11200 transcript:OB08G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G23093) TAIR;Acc:AT2G23093] MGVVIEREEWALTPLAYPLLSAASLAAVLLLPCFSPPSHSTGGAAASSSHPPSPFDVGTTPFLRFRRAFLFVFSLASVAEGIHSVFGEDECTRCGLGREQMASRLAAAAAAALFLGGLSGVVSDKLGPRRACIFYWTLQLAVGTLKSFSGLRCACINNLISALASSMFYFCFETWFVAEHEKQGQKQDLLFDSFWLMTFFESMSLVGSQGITNLLVHDDDKGFLLPYAFAALLSVVSILYIRKNASSTTHHASVIGSYQKSFFAHVFRDKRVLILVLAQASILFSMSAFWFLWAPTIVADGRDAQLSLISPCFLASRMFGSISFPWFYGATAPFRNEDSLTTAFITAGIALSIVAYDYQEIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAALFIFLMQGAYHQNIANSTILGFAACGLLVSGGCIHMLRRRRKHPRQDTRSL >OB08G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:715151:715601:1 gene:OB08G11210 transcript:OB08G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLLAWWAVAFHPSNSRLWMVPVGLVLACTPPVVYLALSFSSQPPSSAACVRVDDVLH >OB08G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:725682:726762:1 gene:OB08G11220 transcript:OB08G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTRAQQQAAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSQVIEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQSFFTDEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASQQPCYANLCSISTPSAPVCPKLQT >OB08G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:731834:733425:1 gene:OB08G11230 transcript:OB08G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3MPU4] MEPKRSSGGLRLVVLHLLLFAPGSATAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLNDGAQYNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVLDFGKFMGAELPNARAAVRWGADYLLKAATATPGALYVQVADPNQDHRCWERPEDMDTPRSVYSVTADKPGSDVAGETAAALAASSMVFRRTDPAYSSRLLHAAMQVFDFADRHRGSYSDSLSSFVCPFYCSYSGYHDELLWGASWLHRASKNVSFMSYVQANGMQFGAGDDDYSFSWDDKRVGTKVLLSKGFLRNRLQGLELYKAHSDSYICSLVPGTASFQSQYTPGGLLYKEGESNMQYVTTATFLMLAYAKYLRSSGATVSCGGGGARGEEVSPADLVALAKRQVDYILGKNPAGMSYMVGFGCRYPQHAHHRGASMPSVRAHPGRISCDAGFGYLHSGAPNPNVLVGAVLGGPDSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTK >OB08G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:735336:738343:-1 gene:OB08G11240 transcript:OB08G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVAFLLPLVVLVVGLRLAGSSPPPQPVACTSGTTDCTVTNVYGSFPDRTICRAANASFPRSEQELVAAVAACPGGRDGTIISTRFLNRTVSVDAGRRLMTVEGGMVLRDLIRAAAAAGLALPHSPYWYGLTIGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELVAGDPDLDAAKVSLGVLGVISQVTLELQPQFKRSVKFVTRDDSDFAEKVAVWGGLHEFGDMAWLPRQRKVIYREDDRVDVATPGNGLNDYLGFRAQPTLGLLTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSDVARCLAARAPSALFELQAYGFTNDGVFFTGWPVVGFQNRIQASGTCISSPEDGLLSSCTWDPRIRGPFLYNSGFSIALSKAAAFVADMMRLRDLNPRAFCDIDAKLGILMRYVKASSAYLGKPEDCVDFDVTYYRSYTDGEPRPHSDVFDELEQMALRKYGAVPHWGKNRNFAFDGAAAKYPNSGEFLKVKDRYDPDGIFSSEWSDQVLGINGSPNIVDRRCGIEGLCVCSDDSHCAPEQGYFCRPGKLFKEAMVCTNDISGAGGRRDEL >OB08G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:747936:749098:1 gene:OB08G11250 transcript:OB08G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGFAAGSCRRRWCAMRSGSRGQSSTPSSIYFDRLQQALLDYDCVLYEMVTNHENLKNRKDPTFANKLRSSLRGFSILGFIPKQVVNILSLDCQFDCLDYGNEKWQHADLHFETFKQLQITI >OB08G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:757620:759364:-1 gene:OB08G11260 transcript:OB08G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGTTSSWLTAVKRAFRSPSKEDSPKKAARLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPCPSPAPPAAAAPCGSSKSSYSVDTSSFWDSKYTQDLAADRRSVERSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEEMDVDGQPRWAERWMASRASFDTSRSSVRAPAAAPGRASTDHREQVKTLEIDTARPFSYSTPRRHAPPSHSYHASSSPMHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRAPRGGSRRSCGPTCRPPARRASAGTSSPHPPPPTSAAGSVNPPPPPQPPPPARCSL >OB08G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:776007:776501:1 gene:OB08G11270 transcript:OB08G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKSNKPFEEDYEQLLGDARDIHRRTGCTVTVLAVHPITGEPHEVVVDGTDDRNNDVPAPDHFTAPNVRRYLRLKGRLGDTTLRVLSSELGGSMTLPEVEKLMFRVRAVRLAMLRRSKAAPAGGGRGPPEQGLKLASHLVALGSSIVNRREEKTITLTMFG >OB08G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:776698:777539:-1 gene:OB08G11280 transcript:OB08G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVAVALLLLAVSSSPATPVEGGGWDNAHATFYGDDSGGETMQGACGYDNVFRQGYGWETTALSVAMFAGGWSCGGCYEIRCQGSSACRAGAAVAVTATNLCPANYSKPYENWCNPPLKHFDLSKKMFIRLVTDFRVGIVPVAFRRVPCGGKSGGVRFEMKGNLWWVTVLVFNVGGAGDVRAMAVRGSRDGRWMGMSRNWGMIWNGDVRLEGEGLSFRVATSDGRAIVFGDVVPPTWTVGQTFQGNGQF >OB08G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:806472:808047:1 gene:OB08G11290 transcript:OB08G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVHRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPDTASAAATVRHCCCGGGGVRRRPGGWLGGVQGVQEEAPPQGGRERRRRREARRRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVASHLNGQPDAPDDQLCFDAAADDDDDDASAADGLAYYSAAATRLLGGTATTIASDDDLWSFTRSAAPPPPPPSTTPERLSHVAL >OB08G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:827822:828151:-1 gene:OB08G11300 transcript:OB08G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKKMRVASAAVHPWAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSNAGGDIGRLILDGCMAGRWTWSSPAT >OB08G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:830861:836106:-1 gene:OB08G11310 transcript:OB08G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >OB08G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:836692:837075:-1 gene:OB08G11320 transcript:OB08G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKAKRLLLPPSSSSREREKPKRERERRRRLWSASAPLPPRAAPVGSAPPLPAALDSPVPSRRSHASPLASASTNHCTRASAAAPPRGWVVGSPPLHSPRLPDPPPRRAPRGSVLGMAVVETSADHAAP >OB08G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:840980:842556:1 gene:OB08G11330 transcript:OB08G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPALFAKLLENRSVDDLILSVGSGGAAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >OB08G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:842911:873300:-1 gene:OB08G11340 transcript:OB08G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKHQLTINIWNQEIILVNVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKITRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDKVVTLIKASNFMCGSLMAMSRQDEVNKTVEISNVGIYCDHLEEQQDPCDIGALTETNFSFSPGLAHPRDYLINPFNVTIFVLANKAGKLDGAPQYNITVELTALILSINEIQIQQILNLCDYFSICALRTKYGRHRPSQSSLSKRHKGWQRMWWHYAQRSVLADVHRRLRKTSWSYLGQRLDCRRRYVNLYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQQLQEALVKSTKDNFSSPGSPRTDEQSAGAGQGWLKWISRGMLGAGGTADTNSFADVSDDIIKDIYEGAEFHPISSAENHLTKENHYSLFVRLSFSEILFLDKSFLVMLAILSDGCLEVGLFQLKTLKFGIKLVDAMFSGLGVECKIWDYSTTILAWLDSLAIINPLNENKVLLAEKCSTADGLGAPVISVQVDFPKSNQSSEASTRVVVQEFSAIYEPAFIFNLLYIYDLFSSFQFQHDRVFEAGDVSMQSKDTVRDASWMQESDSFLDLILKTLPPNFSDDLLIGFKLDDLYNHFEVSLTDFEVKVLMPDRHDSASTLIKLDASIVFGLCIFLDEPVLKQLEVAFVVPFADMYFSQTMYSAFVNLCFYVKETNLIRNNASDDMKSEPKKLALNMFASLKLAKLSLRVDLEDHHEGSSAITVCVGDVDIRYAIQELSEIWVITKMVQITSNNLKEESNSRVLCLSGNYKSNTCANLAGCPESSTSDACLKLHYRTHKYQDQMHQVYQLNINDVDLHVIPSVFGQIRRFLKFLDGVYPDGTDVFLSELDLGSMRFGAADAKFPKFALSNFCGVDGTLFAGVPVDHFPFVRMDLISGHDREFLETQGVQASGGSCSKSKCNGTSDLNCYSAQGLASNSLCRTKHSDCSSNSSKNSKNDSLTVLDLSLVSVRVHFHESCGILATLTIPESIATLSLSDVSSWDFLLSAKDIMLASSWTSPSVHELLWGRSSHGNANVLNIRVKRDFPALSTEVCIGVQNVCCILPSKVLAMFIGFFLLDDWNPLTEEHHSVENHNLDNSGESLDSMTYTFEISDCILLFPVENQDFFGLKLGVPYFFGEFISTGSTAEFAKRIPKEFFSSECMFSSRVDVISLCAVKTSISLIFPDDQSNFMLKSDENIPSKIQSLLEKLDANIWIQIPCTELSFSEQSSLHTFIMSKISKCSLIAEDLYFVDGMDAVFSVTDELISVGKASKLYKGNALQFLEQRILNESPASSDSINITVSVNDLAIFFCHSKDNGLELEKIANANMKFDVTALLVNEKAELIDMDIVSLALQSSDNHTLVSIVSDGPLSPVFIKFVKHDGRDDILMSVPSFEIWLYLVDWDNIINHFHSYVRKEESSSHVVHSAALPSSDSPMSPFPETDCGSLDDSKLLVTCENIAGVVHVPIWQKTENCASNVMRGTPGSCKMQATTHLFADIRSPEPKDCKFISIMFNSKHFVVSLGDSKMNFRCDLDSIKIILEMIRGDKGTSVPFMHISKAKAAGYIHQPEGNLLHFSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKAGLRKGSLLLNDGRRGSHGPVIEILVKNLSVQFSQMNDRIEVSGFVDLLVNYNNIDKVMWEPFIEPSKFQLNVLRKCANHALDISPSTEVCLNSSNQLNLNISEPLIEAVLRLGQMITNSLNPVSEGSLQEDPGFLRLSRDDVHTRRYAPYILSNDTSLPFKFRVYRGAVNSDDVDSFSVVDENSVPAGCAVPIYVEEALDEFFFQHREARSSEHLIEKRMNAVSHYMISIEFDATSGSSKPMSMDLVGIYFFDVNFSSSKKPLSGENWEAFASNRKGNHENGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSFPTSSSNQKGQYCTEHLDVQPNYGNSTPKASKKISSRKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEISLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRVESLSTAAKSNGSKFSSTETITFYSNELKGPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTVTESSYERNESTLVIPSSFGLDGPTRHLLGKNGLSLLSEDQPIQSVSFLPLKSFANKIPQLDFVDGCSSYLNRTAANNSKDAPKECDKEAKAYMFAPAGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSVNATIPQSSSSGAFLIAATSIPVSTELFGRTRAIAFQPRYVICNACSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGMSNMVRVEVQNADLDVQINKIAGRNNSSTGTILILLSDDKTGFVPYRVDNFSMEKLRIYQQKCESIETIVYPYTCCQYAWDEPCYPHRLTVEVPGERSLGTYSLDIFNDDVHVSLPLTPEKAERKFCISVHAEGAIKVLSVIDSNCHSMDKRETDLLGSREPKDANQKQELDLNFSDVFKIHLPFVGISLISSLSQELLFASAKETTIVAMQSLDQQQIMFEIQSMQIDNQFPDSPYPVMLSFEGSHKGKHMNVFKSRDMMRRTQNENSAPEPILRLSAAKWRSNEAPFVSYQCINMSIAPFHLELEEQLVFSMIDFFRSVSTRIHLGQLDRSFDLSILDGATDIFGQYEKISKHISGKPQSSYMVEAQQEQLLPSVVPIGAPWQQIHLLARKQKKVYIELFQLTPIKLTFSFTSTPWLNRNEGSLDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQNPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTFDDQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGMSLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDSQWVIETEMSLKSIVHLDRAQEVVNIVGSNGATSPRDKRGSIRNRAASSSFIPLFHFSVEMPNIEDAEGTLQILLALIDKGKARRWDKNIIHRSNIY >OB08G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:874580:879121:-1 gene:OB08G11350 transcript:OB08G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT4G27490) TAIR;Acc:AT4G27490] MSAAATTGTYSPAASAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKTMMYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACTKLCDILRERLKDASSLAE >OB08G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:879698:883404:-1 gene:OB08G11360 transcript:OB08G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32930) TAIR;Acc:AT1G32930] MFSSVCFCRYWTVPELPDCRNKANSDNPGVVMNQASQTREVIIALDRTISDIEMRLAAARTMQARSQGLSPSDSGGDQGNMRPRLFFVMGIVTTFANRKRRDSIRQTWLPQGEHLQRLEKEKGIVIRFVIGRSANASPESEVERAIAAEDKEYNDILRLDHVEGNGSLPSKIQTFLSTALSTWDADFYVKVDDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVIDKNESKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYITANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >OB08G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:888125:892139:1 gene:OB08G11370 transcript:OB08G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSRSATAVCVRFRPLSHKERKANGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDSEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLIQRVVDELFQSLRSSESIAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIMSSSDALECLSEGIANRAVGETQMNLASSRSHCLYIFSVQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVFDEAKTINKSLSALGNVINALTTGKQNHVPYRDSKLTRILQDALGGNSRAALLCCCSPSALNAPESLSTIRFGTRTKLIKTSPKSTSPEVDSVKTASKSTSPEADSVKTASKSTSPEADSVKKPILDSHDQNDMRDQILSKLRLSLKEEDVDLLEELFVQEGIIFEPDYSMADIDSVCQDVVSQEISLLTQAVEELKETVEELTDENERLRGELELAQETATQVQAQLAAAQADGTLFGFMPAAAISYLLRPFGLVPD >OB08G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:480751:895513:-1 gene:OB08G11380 transcript:OB08G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >OB08G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:900889:901366:-1 gene:OB08G11390 transcript:OB08G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >OB08G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:906523:910318:1 gene:OB08G11400 transcript:OB08G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKHALLPPPPPPPLRPPSPPAIAAAPSGSAAAGNAEVDALMELKAALDPSGRSLPSWARGGDPCGRGDYFEGVSCDARGRVATVSLQGKGLAGAISPAVAMLPGLTGLYLHYNALSGAIPRQLGDLPLLAELYLGVNNLSGAIPVELGRLSSLQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLAGAIPASLGDLPELARLDLSSNHLFGSIPSKLAAIPKLVALDLRNNTLSGSVPSGLKKLNEGFHYDNNSELCGARFDSLKPCANGDGDDNEDGGKMPRKPESTSVNVKPLQPPQTMNVNRDCDNGGCSTSSSSSSSTATTLSSGAILAGTIVIVGGVAACGLSVFSWRRRQKQKVGSTVESLEGRGSMDKQKEACQRSNASSSLINVERGVLQRLGHLVGGVPARRPAVAGVVAERAVQPGGGGVRDAVLLRREPARQERLRGDVQGHHARRHGGRRQEHQQEQLQGGGGRLPPGAPRDHFAPARQPRRPPRVLPLPRQRRVLPRLRVHGQRLPLPLPRRQGRRSRRRRRARLAHARLHHQRRRQRNRVSAQQQGEQGSSGAPEHQRGQDLDGPPLHPSPLRRRRAQAGRRRRGVLDAQGQRRHGLPGAGVHDDGAVHRPERRLRLRGGGVPGADGEEGGVVAAPPPRRRRVLRQAGRPRGPAPRRAVLQARGRQARRRRAALHQRVAGAAPGHGRRAAAARRHTVVTSDSAGPPPSCHCRSSSILYCMPS >OB08G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:908541:909156:-1 gene:OB08G11410 transcript:OB08G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTATAVPSRMVPLYVAAKPLLPSRFTSEKYCVAHSTSSRLNRTLGDHSGDSRTPCWDPSDEVSQPLEDSSTLINDDDALLLWQASFCLSMLPRPSKLSTVLPTFCFCRRRHENTDSPHAATPPTMTIVPARIAPEESVVAVEDDDDDDVEQPPLSQSLFTFMVCGGCSGLTLTEVDSGLRGIFPPSSLSSPSPFAHGFRESK >OB08G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:912508:915020:1 gene:OB08G11420 transcript:OB08G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAPATVRLQKEANVNQSLFCFQTNSGATSSGVFAGDDPLKFYFPLFLYHVCIVFALSRGIQAVLRRANVPLVISQILAGTLLGPSFLGHLVPRVGEMFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIAKSGKKAIAIAVFGTAAPHLAMYIAGLALKARVPAAWAASFLITNLTSWWSLSAFIVVCCTLQDLNLLSSKLGRLAMSAALIGDFANTFSIAGVTSYLLTASPSEKLQRIGFASVIAFTTFIAFMALLARPTILRLIHDVPEGALLSEARLVGVLLICLTCSFAGELLGLHATYGPFMLGLMLPGGAPLGVTMEERLDRLVAGVLMPLLFAQGGMRMNVHKITDASTCVLLETFLVVGVVSKFVASVLPCLYCHMPVREAVVVGLMMNFKGITEVVYASAFMDAKVLDDQVYAAFMINVLVLGAASATAVKYMYHPEEKYVAYRRRTALALVAHMAEDAAIGLNVFRFKLPPEWQKRGGDDEEDHLDEEELQEFVRRVDDSRVSYSENLVSGSDEMVAVIRKTSPAFNLLVVGRRSEGRESPLTAGISDWSEHLELGVLGDLLTSTDFGCKVSTLVVQQQTRAAAGESSRSPELPAQQHPSGGPV >OB08G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:915081:920073:-1 gene:OB08G11430 transcript:OB08G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLLAWLQECAALLSLPQFWKPPPPNRELGKPAPRPAPIRSAAGATASAPCGLTGKLQFYWLEWSIMGVSDNLVAVTSYMIEHSYSSFGCCQGISQYKMQGGRGRRDGFFGFGDPFPGLGNFGPPGSLMPSFFGGANPFDDPFFTNPFGSMMGPSLLHPSMFGTFGSSMFGPHGNVNGMSNMGGFLQQAPEPSMPRGPIIKELSSDDEDGVDVNKEDEKRNGNPRKHPRMGQLLYVEDPDEDTEDNKRPKHEQFRREYVGASTSHPQPQTFMFQSSTVTYGGPNGAFYTSSATRRIGGDGITFEERKETDTTTGKATHKISRGIRNKGHSLTRKLSSDGNVDTMQTLHNLNEDELAQFEESWQRNAGQNLSGWDPRVNMLANGSVRSGTRDENRMLALPAPGPGQSRGTSSHKTKRPSQSVHWKGPSRRT >OB08G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:928146:931417:1 gene:OB08G11440 transcript:OB08G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGRPLDFAATGKLHHHHHHPQQQFGFHFDSIGDMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKLRVEAAPALAQTPPAVPISSTDTHSSEQGQHISVAPRTNIITSAYNADQSWASPAQSIPDSARTPSGDVKVTASGA >OB08G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:935568:936360:-1 gene:OB08G11450 transcript:OB08G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADRDQEERASESPTPAVSVQSWAERGYSVVTVQCRDRPKLLFDVVCTLTDMDYVVFHGTIDTTGDQAHQEFYIRHADGRPIRSEAERQRVSQCLQDAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTDAAGQAVNQSAIDAVRQRVGMDCLVAKEEHRPQLYQKAGPGVRDDGNGGGGGGGIGLFYLGNFVRRNLVSLGLIKSCS >OB08G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:936468:937040:-1 gene:OB08G11460 transcript:OB08G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDRLGRKLTDDSVITYIEQSLGTWNGPARPAALEGLTALELTGADRTGLISEVFAVLADMDCSVVEARAWAXXXXXXXXXX >OB08G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:936502:936771:1 gene:OB08G11470 transcript:OB08G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding HARASTTLQSMSASTANTSEMRPVRSAPVSSSAVSPSSAAGRAGPFHVPRDCQPTQTTTPIRKTQLRRAHVPPARNHRKGQTPTCSM >OB08G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:953620:953922:1 gene:OB08G11480 transcript:OB08G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRMHMRSSRSSSSSAAAADVPRGHLAVYVGERRKRFVIPTACLKHPSFVLLLKRVEDEFGFDHRCGGLTIPCATEGDFASIVGGVASAADH >OB08G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:960921:961238:1 gene:OB08G11490 transcript:OB08G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQHIMERLHLGKGGGGGGATTARDVPRGHFAVYVGEARARFVVPTAYLRQPAFVALLKSVEEEYGFDHCFGGLTIPCSERDFAALLRRLSSSASPSPSWRH >OB08G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:962443:964780:1 gene:OB08G11500 transcript:OB08G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01820) TAIR;Acc:AT3G01820] MGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCLMKRRFGGDICPHCGQLFDVSRTASTDCNPSLGSCTWPSQVQHAAVLGLEDSRMERMRAYAEQTKLLEEYYRKQHKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTV >OB08G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:965959:970235:1 gene:OB08G11510 transcript:OB08G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G14250) TAIR;Acc:AT5G14250] MESVETLVAHIQGLSGSADELAHLHTLLRQADGEPLRAHSAGLLPFLAQLHPQAHSLGFLYLLEAFASSASNLRAQGGGDFLVTMADFLTSCSAEQVRMAPDKFLNVCKVLKNEVMQLNVPIRGIVPLRAAIRKIQTSSEELTPIHADYLLLCLLAKQYKAGLSILEDDILEVHQPKDLFLYCYYGGMIYIGLKKFTIALEFLHNAVTSPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYASTTAQRNLKNHAQVYVDLSTCYGKGSYSELEEYIQLNAETFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLNTPKEAEMHVLRMIEDGEIHATINQKDGMVSFQEDPEQYKSCEMVERIDSSIQRLMALSKKLSSIDENISCDPAYLMKTGRERGGRFEYDDFDSVPHKYF >OB08G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:971226:974096:1 gene:OB08G11520 transcript:OB08G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPASSGDSPPPPPPQQHTESGSISSMVATSAASAAAAAADLTRRGEALGADMAAAARAAVDTAIAHSRSSAAAAAEAASSAKADALAAFPGLTLIAKEKLEWIKNEYMAHEQMVFGKIKESVIVAIEHPRIAAGSTTIAGIVLFKRPRSYLIQRVRRIFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMDRAATAEKRFQKGWNTLREEGRAIQSELNQIGDIENQAVGLKSILGQLPRAHASEFRSEISGLASQVKKEKRVLSTTLSKIVNYGVPI >OB08G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:975431:978046:1 gene:OB08G11530 transcript:OB08G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G27790) TAIR;Acc:AT2G27790] MPREAAAVRVYTVCDESKYLVVRNVPSLGCGDELANLFATYGPVEECTPMDAEDCEPYTDVFFIKFSHVSNARFAKRKLDESVFLGNLLQVSYAPQFESLLDTKEKLEVRRKEVLARMKSTSGRPEELSHHYPGQGSSSGNSHHQMNSNKREYTKTLHSSQIDDPRFSHVSSNKDYFPSKSMNATVKLVREKLDKIQSSSDNSNAVVTSKKPRIDNRRRI >OB08G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:981702:986319:1 gene:OB08G11540 transcript:OB08G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSDSSSSYSSSSSSSSSSSSSSWSSSGDSWWSSSSSSSSPKKDKKTEEVDQETHESVGTVAPPPPPPPQVVLTPWEKFWVVSGFAGLVFGLFFLIKKNLPPPRTISVIKLQVALRGVAAAKSFQKDLNKIAERVGGSSRRWYKFILTETISSLLRHKDYYMSTSLSVDEDSEYSWNGHFKKISLEERRKFDEETLSNLEGIKRKKVYSTKTDGSKNEYIVLTILVAADGTLDFPEAIRSSADLEAALAKLNSTRQRDLQGVHVLWAPQDEDDILSKERMQKDYPYLKLLSEVAALRLVGVRDAVGIDLAPAPPLVVRGDFHAQPFANDTFDFEFSNVFDHALYPDRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFHRSEVVRISKVDAFGLDTEVILRKKRSSP >OB08G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:986773:986958:-1 gene:OB08G11550 transcript:OB08G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACKIPTYYSPHITTHTQQLRHPHRYLYTVLAANVNDSIILADVQCTGKIMPTSYSISTATQ >OB08G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:992205:992438:1 gene:OB08G11560 transcript:OB08G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKIHKCIISAPEGSQLATPGQNRIGHERTRELSKSCTREEAMEIAEMLHCWLLYVIVAPSFSLIKRPCSAVMQEM >OB08G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:992230:994273:-1 gene:OB08G11570 transcript:OB08G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGRGRRRKARRGARAKAKRKTKYLSLSRHLIKVEEDLEAVRLPEVEVEVVPKEETPSSPEEGGDGREEEKEEEQEKRQMEVFALNPEPSTLFAAAPSLIDILGASAGGSESSSASQDVSGGGTDEFPGEEDDLARRALRGRERWVYCSSSSPSGTTTTSSSCSSAASTGASSEGGAARSLLLKLDHEEILAAWAGRGSLYIGGAVAAAATTGAGHGAAELELDSVGPSSEAEPTAAGAWSVAGTAARAEKVRRYKEKRQGRLFAKRIRYEVRRLNAVKRPRLKNTLVDLAPMRNPWFFFTRSSLIELQLFVNCYGNERIRRAVLLGRRKAPQSHREASNEASLQSPSLLRVYMICSVLSFSHVQFCSALGLQVVSLQVQR >OB08G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1000909:1004403:-1 gene:OB08G11580 transcript:OB08G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3MPX9] MAAVALLRRSPAARALLSPALSSRLVASKPHSSSPAPPPPSRANTKTFSIYRWDPDSPSTKPQLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDSPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLLGTLIKPKPNMFMHIQARGYHGVSEKRNLLDHKRRLLAAKHELKGKLYKAVCRDPDLPADMRDQFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRSLANKGELLGVKKASWWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKHIDTLKKLQLEG >OB08G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1003603:1004127:1 gene:OB08G11590 transcript:OB08G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSTTISQSPSPDQSHANQLASRSITSTDKLHITIEWRQGRGGIPIGVEQRGGAEVLLGVPPVGRAGGGAAGAEDALVHAVELGAVGLGLGDLLPGLRRRVLALEPRLDALVLAVEVGHVDDEVLDDEHVGQRRDRGCRGGGGDLGEAGEAVVAVDVHGAAAADPLAAAAAEGE >OB08G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1011205:1014781:1 gene:OB08G11600 transcript:OB08G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGESARSGRLANSPINIIKANARVKAALHNQNCSSVISGMSRATRDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKVFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSPGQNQPCGHCEECLAIFSGNSNSVIEVDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSSSVGWCQSYRFCKVDDAEITLRLSMICMNEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSSEVRKVTGKHTSAEVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDAAVSSMFTESQTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKAIENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWKPLVVSLQNLLKCNVDIRINLSPISARNGVVSKNSSVSLVMQSREEDQETQNLVSTGCRTVASSRKECPSPLVGQPKEKPSHILGCLHATDGDPVDAESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEGRARRGCLSKILQRGAHDPYQKPRSHDKNGALPRKGLFKCCFCKIRPDCKTKAEETDVFQGHSKN >OB08G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1018810:1024060:-1 gene:OB08G11610 transcript:OB08G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGGFLTQDQREKLRIAVQNAETLSLASPRSPTGGTTSALLQQYEQQKEQQHGAGGKGTWGKLIDTDTAACLDRNDPNYDSDEEPYELVEAPVSTPLDDYKKSVAPIIEEYFSTGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDVVDVLALFIARAVVDDILPPAFLSREKASLSESSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRVTDLLKEYIKNGDTSEACRCIRELAVPFFHHEVVKRALTLGMESPTAEALIVKLLKEASEELLISSSQMMKGFSRVVDSLDDLSLDIPSAKSQFQALVSKAVSEGWLDSSFIHLGANGDVQDDEHEKLRKYKREAVSMIHEYFLSDDVPELIRSLKELGSPEYNPIFIKKLITIAMDRKNREKEMASVLLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPNCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDKIAKLLEEYESGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNERILGLLKECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAKEKFMSYVEHAKKSGWLLPSFGVAASA >OB08G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1026352:1027641:-1 gene:OB08G11620 transcript:OB08G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3MPY3] MAAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLASIGVENVEENRRALRELLFTAPGALECLSGVILFEETLYQSTRDGRPFVDVLAAAGVLAGIKVDKGTVVLAGTDGETTTQGHDGLAERCRRYYAAGARFAKWRAVLSIGASRPSQLAVDANAQGLARYAIICQENGLVPIVEAEILVDGEHGIERCAEVTELVLAACYKALSDHHVLLEGTLLKPNMVTPGSDAKKASPEAVAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATRNLNAMNQAAAKDKKPWSLTFSFGRALQQSTLKAWAGKEENVGKAQAALLARCTANSQATLGAYAGNAAGGEGVSESLHVKDYKY >OB08G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1027488:1027787:1 gene:OB08G11630 transcript:OB08G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNRTAMLHCTFVLATVGSHDQQIRGTKASWGSRGDRSGGRRRRQVRDGGMENVFVAWCPIYKQLESSEAGHVGTNAGWSQEADMVLAFSAHCFLDFN >OB08G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1033008:1034351:1 gene:OB08G11640 transcript:OB08G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAVVIDISLKHIQERMDKIPVLGLDDDILDAAVRQISRIHVFPRGLRGIGGPDDRYTVPSFVAIGPYHHGQPHLQDMEEVKLAAARRFVAESKRSLEDVYVELLSVVGDVRGCYAEEEKVRGFGDANLATMMLVDGCFLLQFMVGSKDRMLSSEYGILKDMMLLENQIPWLVLETLMKFHDVDVDGFVAEVGDKFFPREESEGCCSWIQSVCGSWQCRNSTEALERSVHGSHIYKARKPTHLLDLLRLSQIWCMPQEHIDYMPGHVSLLSSSAVELAQIGVNLRASAAAWFGDMSVRKGRFWGGELSLSPVFLNDVTACWLVNMAALEAAGGNAVNGSAVSSYLSVLAMLMDREEDVQQLRGRRVVLSTFSNTQTLEFFKRIGQHLSFHGRYFAVLEQIEAYQRNRPVRTFLHKFVYNNYKVVGIILSIASVLVGIFKALRGR >OB08G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1036008:1037093:1 gene:OB08G11650 transcript:OB08G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLAAAGRFVAKSERPVEDVYFDLLSVVGDVRGCYANEEKVRGFRDADLATMMLMDGCFLLQFMVDSKDMMLSSEYCILKDTMLLENQIPWLVLETLMKFHCGRGRLCCQCGRQVLPQGGRRSLVFMSPMKRPWWQSYKARKPAHLLDLLRFSQIWCMPQEHIDYMPGHVSLLSSSAVELAQIGVNLRASAAAWFGDMSVRKGRFWGGELSLSPVFLNDVTACWLVNMAALEAAGGSVVYGPAVSSYLSAVAMLMDREEDVHQLRGRRVVLSTFSNTETLEFFKRIGQHLSFHGRYFAVLEQIEAYQRNRPVRTFLHKFVYNNYKVIGIILSIASVLVGIFKALRGR >OB08G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1039037:1039501:-1 gene:OB08G11660 transcript:OB08G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLGSTSLLSSSAVDLAQTGIKLTASTAEWFGDMISVGRWAVTGELSLSPMFLNNVTSSWLVNIAALEESGAADATTSVVSSYLSVMAMLMDGEEDVQQLRAKEVLYSTISNTQTLEFFKRIGQHLGFRELFSIPEEVTRGMVPLVILETKRY >OB08G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1048298:1049751:-1 gene:OB08G11670 transcript:OB08G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAVVIDISLKRIQERMDKIPVLGLDDDILDAAARQISRIHVFPRGLRGIGGPDDRYTVPSFVAIGPYHHGQPHLQDMEEVKLAAARRFVAESKRSLEDVYVELLSVVGDVRGCYAEEEKQRQDAVVGGFGDANLATMMLVDGCFLLQFMVGSKDRMLSSEYGILKDMMLLENQIPWLVGDSFFPREEGEGCCSWIQSVCGSWQCRNSTEALERSVHGGQIYKARKPAHLLDLLRLSQIWCMPQEHIDYMPGHVSLLSSSAVELAQIGVNLRASAAAWFGDMSVRKGRFWGGELSLSPVFLNDVTACWLVNMAALEAAGGNAVNGSAVSSYLSVLAMLMDREEDVQQLRGRRVVLSTFSNTQTLEFFKRIGQHLSFHGRYFAVLEQIEAYRWNRPVMIFLNKLLYNGFKTMTIASLASIVGVLVGIFKTLYDKQN >OB08G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1054877:1056612:1 gene:OB08G11680 transcript:OB08G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAATAGIISSPSASPLHANTRASFVVSFPRRSPPAATLLSVSSAVAPAPPSANPKYHNAKVDAGDEEVDGEEMLLRFRREVARAGVMEEIRRRRRHEDARDKRKRKSRNAARRFRRRPFKGPYPFDEEQEPKEGIMDDDKHDNWELPGGELPSYR >OB08G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1058323:1058694:-1 gene:OB08G11690 transcript:OB08G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIRTVHVWRHVSCYDNAVAKLDLEIFMHWTTVPEYLAGNRLAHLCLSLWHGEQNPPLCTLNWLDPFNPVYLLVAVNCIVLTAYWRDEMRCSFRFRCRAAGSITSCCTICTYLFLYNWSLSH >OB08G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1059693:1063836:-1 gene:OB08G11700 transcript:OB08G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDKIQQILVKTMFSKRKKNKLSYASFRPVLKTAWPSATLNAVSSERGAQQAGVVSVDTVLPSVHIQHAIALPDHVLLMLRDGSLLPSSGQFECLYSPVNSSQLRRLPLSVAALPDGPSLVHCPAGPARVAVSLSLAQSAPVAPLQWDRLVYTALIDSRDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLRMTTGGKSSRNNDEKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNRHRRQKAHSMCVCTMLRNQARFIREWIIYHSHIGVQRWFIYDNNSDDGIEEVLSTMDPSMYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNRTLQDVIRNYSTKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPKDWPKRFCEVYDTGLKDFVQNTFADPHSGNLPW >OB08G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1060717:1061235:1 gene:OB08G11710 transcript:OB08G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSRMKRAWLRSMVQTHIECAFCLRCLLYRGSGSGCAIEGSVELPRPLVLMETNIGFSSLFLLLFPPVVILRYRRMRTGVTHRNISWAAETTGEVTTSFGFEKSRPKTHSYRDGTPRRPGRRFIPLANTTVELSRLSISAVYTSRSHCNGATGADCASDRDTATLAGPAGQ >OB08G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1072139:1076157:-1 gene:OB08G11720 transcript:OB08G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKEKPGKSGEKDLAGVGSSLPGPVPDARTTTDLTMSSRIANPNNYTAAVTNPGQNYTTKNAHVGAGVSNGFLAPPVYEALPSLRDVPTSEKPGLFLRKVTMCCVVFDFTDPTKDVKEKEIKRQTLLELVDYVTSATGKFPEPVVQEVIKMVSINLFRVPTPAPRENKVLESFDLEEEEPVMDPAWPHLQIVYELFLRFVQSPETDAKLAKRYVDHGFIIKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCVTQFVEKDCKLSDTVIRGLLKYWPITNSAKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIARCLNSSHFQVAERSLFLWNNDHIEVLIKQNSKVILPIILPAIERNTKGHWNQAVQSLSLNVRKIFMDHDPVLFEECLKKFEEDEAKETVLRSKREATWKRLEEIALSKSTRSEAEAAVPLEATVH >OB08G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1079305:1083322:1 gene:OB08G11730 transcript:OB08G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRAAAATVRAAARRPRSPAASTFQSAKHPASPPRLRRSFVAAALASADSLMPLHSAVAASRLRSCIAADSSCWSCLSQGEAFASAAPTGCEKC >OB08G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1083141:1085396:-1 gene:OB08G11740 transcript:OB08G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSVLTSASTTTTTCVVGLMLLAVCYEPVAALSFNYSNFGPDEQKNIRLEGDAAFSADVSFSGDGGGWIDISANRLDGSIAHSRGRASYALPIPLWDRDTGEVASFTTRFSFDIYPPPPAGINNKGAGMAFFLACYPSRLPSAGSYAYNLGLTNQTADQVAAGDDRFVAVEFDTFNDTIVHDPHDTYDHLGIDVNSVVSKKTLTLPSFSIVGNMTAVVEYDNVTSILAMQLQLGYGLSGPRHRPPYNLSYKVDLKSVLPEQVSIGFSAATSTSVELHQLRSWYFSSSLEPKVASPSPSPSPPSPTSATSSGVVAGATVGAAVFAVLLFAMVAAVLVRRRRIKKMREAEDDDDDSEGDPIMEIENGTGPRRFPYHKLVSATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFIKNSSKQGRTEYKSEIKVISRLRHRNLVQLIGWCHGRSELLLVYELVPNGSLDAHLYGNGTFLTWPMRINIVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGIQTMTHPSGTPGYVDPECVITGKASAESDVYSFGVVLLEVACGRRPMSLLDDQNNGLFRLVEWAWDLYGRGAALMAADKRLDDDYDKAEMERVIAVGLWCAHPDRCARPSIRAAMAVLQANGALPVLPAKMPVPIYAPPPPVTSSEGQLSSSTGMSSSSLTQTAISPR >OB08G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1094207:1096562:-1 gene:OB08G11750 transcript:OB08G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVTTSFMAAASALASLLVLLRCLPGSATTLTVSFNYSSFRNTTQNITLQGSAAFAGGSTGWIELTTGKNLPSGGTMGRMVYTPPVQLWDAATGEVASFTTRFSFNISPKNKSNKGDGMAFFLVSYPSAMPYMGDGGALGLGSRSYDSGTPVNRFVAVEFDTFNNSFDPSVTYDHIGIDVNALRSVKTDSLPSFILIGNMTAIVEYNNNSSILSLKLWANGSTTPYNLSSKVDLKSALPEKVAVGFSASTGASVEQHQLCSWYFNLSLEQRQPTGARSSQQHSRGGAVAAGVAVGAVLLFAMVAVLLVRRCWRKKMKEAEDVDSEGNPIVEIEMGTGPRRFSYHALVNATSSFAADEKLGQGGFGAVYRGYLRELGLAVAIKRFAKDSSKQGRKEYKSEIMVISRLRHRNLVQLIGWCHGRNELLLVYELVPNRSLDVHLHGSGTFLTWPIRINIVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAIGIQTMTHPSGTPGYLDPECVITGRASAESDVYSFGIVLLEVACAKRPVSLLDGQDNGLFRLVEWVWDLYGQGAVLAAADERLNGDYDKLSMECVMAVGLWCAHPDRLARPSIRAAMAVLQSNGPLPMLPAKMPVPTYAPPMASSEGQLSSSTGRSSSSLTQTSITPR >OB08G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1112015:1112254:-1 gene:OB08G11760 transcript:OB08G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSGGQLPALPAKMPVPMYTSPAISVEGLFASSVGLSSSSATQSSSTASGYGTHTSASSDTSSSAGLKDSSSLLRHQY >OB08G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1112271:1114484:-1 gene:OB08G11770 transcript:OB08G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLITSATVCSAGLIFFSVCYLAPAPVAALSFNYTNFTRGDENAIKREGQASIDASAGLIDVTANRITGIGGSVGRAYNAQPMLLWDKDSGEVASFTTRFSFVIQPVQQEGGINNKGAGMAFFLAAYPFPDAARSGSYNLGLTDQSVDTIAAGDGRFVAGGGGAVEFDTFNNTEVKDPDDTYDHLGIDINSVISSTNVSLPNFSLTGNMTAVIKYDNVTSILAMALQLDDSRNMNYSLSYKVDLKSVLPEKVCVGFSASTTTSVELHQVRSWYFSSSMEPKTAPSPPPVVPPPSMPVTAPTTSGSVRGGVVAGATVGAALFAVLLFATAAVFVRRRLSKRRREEAEEAENRGSDDDDEPIVEIEMGTGPRRFPYHDVVEATKSFAAEEKLGQGGFGSVYRGYLREQGLAVAIKRFAKDSSKQGRKEYKSEIKVISQLRHRNLVQLIGWCHGHGELLLIYELFPNRSLDIHLHGNGTFLTWPMRVKIVLGIGSALVYLHEEGEKCVVHRDIKPSNVMLDESFGAKLGDFGLARFIDHAAGMQTMTAVSGTPGYVDPQCIITGRASAESDVYSFGIVVLEVACGKRPMSLIDSDNLRLVEWAWDMYGKGEVLAVADERLDGMYDAAELERVVAVGLWCAHPDPAMRPPIKDAMAMLQSGGQLPALPAKMPVPMYTSPAISVEGLFASSVGLSSSSATQSSSTASG >OB08G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1120758:1121138:1 gene:OB08G11780 transcript:OB08G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHMFRLKPGAGEEEGAMGGSRWRKSRRRRGREAIMAIKKKMLQCSSAKEHQGRRFKRSYLAGGEQGDAAASAIFYLACLATCAPYPFTSVKTFTSTIQKKFILALDVTHHPSQKNDSDMGCNTS >OB08G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1122925:1123345:-1 gene:OB08G11790 transcript:OB08G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSEEHYPSPDSVLDTVTSLRFPCRKRSSPCTDLNADRKLSYGIAAVGSKMTRMRNEMDGGIMKFTDDDLSNLTLADINNLEQQLEFSVTKIRARKAKRSI >OB08G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1128966:1130852:1 gene:OB08G11800 transcript:OB08G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEGFRPWPPSCDRCHFAIDTSQATVTSECHHRFHLHCFFGSVCPACNARWRDVAGPNPSPPSTLFPAAPQASWIFLESQLYDDDEPVETPAQGAAPGGGESVQAAANNGSLVIKTHCEFPAIARSTPMENFAVLVHIKATGIDAEATAVRTPVDLVTVLDVSGSMAGYKLTLLKRAMGFVIDQLGHGDRLSIVSFSGDARRVIRLTRMSDNGKASAKTAVESLAAGGGTNILRGLDEAAEVLDGRRHRNAVASVILLSDGQDTYNLNGYGAINVGGTTTNYSVLVPPSFKRAGDRCLPIHTFGFGTDHDAAAMHTIAEETGGTFSFIENQAVVQDAFAQCIGGLLSVTVQEARITITCSHPGVRVRSIKSGCYASLVDADGRAASLDVGELYADEERRFLVFLDAPVAGAGEDATELIKVSCTYRDTASRQSMVVAGVDTVVERPVGVTADMEPSMEVAQERIRVETTEDIAAAREAAERGAYAAAKAIPPRRQEALARSATALSGDARSAALVSEVRELVARVADRREYEQTGRACLLAGMISHEQQRATSVHLFGSAAPPFSSSSGTPFASTAGGRRGAAPYGAPAPPSYGGFGAYTTPAMQSMMELSWKKRENGGESSLGSK >OB08G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1133702:1144184:1 gene:OB08G11810 transcript:OB08G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTFTLRSLLRPFRAATESDVHDGEDEPVESPARGTPPSGGVAVNATANDGALVIKTHCELPAIARSAHRDNFAVLVHVMAPSMSVEATAERAPVDLVTVLDVSGSMAGYKLGLLKRSMAFVIDNLGPGDRLSIVSFSCDARRVIGLTRMSDEGKASAKGAVESLEELARTAERLGDDEMCGALVSELGELGARVADRWEYEHTGRACMLAGINAHGRQRATSVQLLGSALASMPAGAAPPPAMGRFGAYETPVMRRMVESSRMRREGGGTTEDLELDFLRDLN >OB08G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1145515:1145697:-1 gene:OB08G11820 transcript:OB08G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTIRGQSQKEKANKVKNQKQAALNMCNLVFLLLLLYVFVKSCFGLCRTPPCILYLGWAPF >OB08G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1147366:1149132:1 gene:OB08G11830 transcript:OB08G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTFTLRSLLRRRFRTATESDVHGEEDEPVESPERGPAPGGGEAVHATANDGALVIKTHCELPAIARSAHRDNFAVLVHVMAPSMSVEATAERAPVDLVTVLDVSGSMAGYKLGLLKRAMGFVKNNPSAA >OB08G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1148754:1148963:-1 gene:OB08G11840 transcript:OB08G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLYMLNSNHKPQTKPNTTKFNQTFHQPEVYMSLSKGKKEVQFTCLELLLLPSSVLPPLSRLIRDDSTI >OB08G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1153322:1157327:1 gene:OB08G11850 transcript:OB08G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3MQ06] MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDSKTLLLGEKPVTVFGIRNPDEIPWAEAGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEDKYTPDIDIVSNASCTTNCLAPLAKVIHDNFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYDAIMSAIKSASEGKLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDHFVKLVAWYDNEWGYSNRVIDLIRHMSKTQ >OB08G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1158462:1159640:-1 gene:OB08G11860 transcript:OB08G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGGWGDLPPDLLDVVADALPLEDYTRVRAVCTAWRAALPPTLPSLLVRRLDGGCHRLSPWCLSAATAVLQCPPTMLSPTRRCVGSGSGPGWIAVCGPEVVGLVNPLTGEEIPFRSFPQRGLVVSKIVFAPNPTARDFTAVVISDHACFTGRGRIAYTTRGNGGWAHVEVPGLPRRDGIADVVYHDKGGGKKVAYCLTGSGDVHVLHLPAGRHGQRRRRPLPPSFQRLFDKASMVFYPTVAFAPPYDDATRRTENAKNLVLCHDGHFYQIWRNKCNDEMLVLRYYPRRRPCWVAAKDLGGFSVFIGQNNAVALRVDGSGGAATPGLRRNCVYWIDGSRNEAKVFDMETGKSSPCFPNGDEAHAAICWCYMGTGVAKSPHHVHVQKRARRV >OB08G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1162115:1163643:-1 gene:OB08G11870 transcript:OB08G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWQLAALPSWVVKAIDKKRRAFLWTNSDSVHEGQCKVSWANVYRLKVFGGLGIPNLRSRQGFRTIFVGIGQATNATWRIQLIRPSSLVSSSSLVLICSGVRKDSEIANHIRLKYVFARQNWLCVLSVTGWASLSPPRDVYLQDWCSSSIALLPKHLKKKKEHSTVFGAAAAFEPPYDMIGKCTGAKNVVVVCDDGEHLEERHLHPDQAAARRGRVPRGEEPDIQNFRW >OB08G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1166226:1169952:1 gene:OB08G11880 transcript:OB08G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELAKLAFSRVQRVEPENVGKIMGVMLLREPDEDEMVQLAYGTDAALLARIDDAKAALAVIYARCSAVHGPGGGGGGGMCKNGQSCQYSHHQAAYQDHAGGDVACGTPGSLETLELEIAELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGFSLTRLLSRLNKIRVIERPHGQHSVVLAEDAGKYMDFRGGGGGGDTGSVPASAHQIYLTFPAESTFTEDDVANYFGQYGAVRDVRIPCQERRMFGFVSFHSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDNLKSMPPPYSPSRFFDFDQDLYTAEFDASRLMRKQLAEKHERMLEMERRHAAVRRLESLTPQFAYFDCSIDDHSFPEESKQLDIMNTPFASTDPLEIVSADQSPPTQPENSYGDHESSQVELLPESPFASSAPAGNIISAII >OB08G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1181634:1183434:-1 gene:OB08G11890 transcript:OB08G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRLRTPAPTMAVTLWKAEYHHLAFLDDVIGSQSSIFFSCSVRDLLVLEAKAKYRTGNVQCSNKDFSIVQDKACGPCEEDTFVSC >OB08G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1182990:1183445:1 gene:OB08G11900 transcript:OB08G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLDFRKPKNGKFEIENHWHSKEIPFTEQISTFLKVPKTESEKCKRFEIFFPIRHVSMHKKELNSPEEEQSFLHSVACTTARSVICSKARLNQWRENCCRIPGPSRRRRSTTGCRSRRRGTPSGGTPPSTMSPPWSAPASSASPSPCPSS >OB08G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1183548:1184798:1 gene:OB08G11910 transcript:OB08G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNHVDDNILITLSKPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARTLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVLLMVLSPIGGLRQIIMDAKTYKFYS >OB08G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1184238:1191357:-1 gene:OB08G11920 transcript:OB08G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRMSSSDKRDLEMRFRKELEQVKALQSRLFSRPTAVSMNGAASASRDVFAKKSNGKLKRSNSVQSDRGVPPSAAPPVVRSANYAEAFRQCSNLLTNLFKHQWSTPFVVPVDPVKLNIPDYFDIIKKPMDLGTIEKKLNAGMYSTPWDFAADMRLTFDNATTYNPINNDVHSMAKTLRKIFETRWKFIEKKLPSLDDKLSVRREPSKKGAVKKDTIEQDYPSEKKHSTKGAHKKDIFTKENAATKPVSQPKKRKASPLVQDALEIPVVEAEKVIEDAQVVQTSKEIMTDEQKYELSVRLQSYGGLIPNHIVDFIRSRLPDDNEGEEDELELDMNALSDSTLFELQKLLDEYDSVNQSGNPTKDEPCEVEFQSEYGVSNSSVHHEGNEVIEEDVDIDGNDTYPPAVLESEAPERSSKHSTSSSSSGGSESSSSDSDSSSSSGSDLDVSVPRPTSGVKDNTQSVMDQENDPLNTSNPPEQSSDPVPISADDEGENVSEKQAPPAKNYRAAVLLNRFADTIFKAREKTLDQVAKKDPDKLQHDMEELERLRREERARLQAEAKAAEDARKRAQAAAAAEAAAEAKRQREREREAARKALQQMEKTVDINEGNLFLKDLEMLGTVTTGEQFPSSVGETSPSHTPEGLGFQLGSNPLEQLGLYMKNDDEEDEEGESADEPTVDVEEGEID >OB08G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1193607:1195539:1 gene:OB08G11930 transcript:OB08G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVVALAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPAQVFAGGVLGFAVATFTGMIAGLGNSGSFP >OB08G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1196116:1199292:-1 gene:OB08G11940 transcript:OB08G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRPSKSTVKDMRINLGISAAIVVWIGIMANADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAAVNVIEFAWQYTPRIVYYYQELIVTAATSVLLYITASYYR >OB08G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1201251:1205197:1 gene:OB08G11950 transcript:OB08G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEDGVENAASEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLFKSFQIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEREIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKTNMARKEAAEDEPVRHEEKRLATWGTDVPNDLVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMKDFLR >OB08G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1205732:1206532:-1 gene:OB08G11960 transcript:OB08G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHALLLSQLDRLLCVRETERINYVLGMSCFGMGSGSSNTGWPLSSPSPARSGRRRGVKPWRWWRRCAGLAAAIHSKIRRRVVRWPDQGVRRRRRPSVSSSLRDGWCHHRSFAPVYIDELYSHPTTHHVAVHEVQLQQQSTSAGKNASATAATTTIAGANGKAPRPLAANNGATAAATNNVAGARAAGGKNAAGAASSNGGGGQARGGVRSLLMSPLRGGVAGGGMGEGDVRAELFIRKFREEMRLQSQKSAEEFHAMLARGL >OB08G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1214640:1216676:1 gene:OB08G11970 transcript:OB08G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSTTTLATMKNTSMASLLVPLCLCFVLLRGASGLGGGSCDRIDRRIRALEPTRRVDSEAGHTEFYDERDGQLACAGVTAARITIQQNGLLLPSYSNSPRLAYVVQGKGSVGVVIPGCPETYQETSSSSQQEQEQEHDERRRGGRRGEERRRSSEGEESEEGQEETSRRSFEQSIRDEHQRITTVRQGDVVAIPAGAPFWVHNDGDGALVAIAVYDVSNNANQLDQTSRRFRLAGGQTSSERRREGESESSESETERGGEESYNILSGFDTELLAESMRVSRDTARKLQGRNDKRGNIVRVRRGGLHLLRPATERVTDEEMMRGANAGAAAAGNGVDEALCLMKLRENVADPMKADLYTPNGGRITVLNSQKLPVLKLIKMSVNRGVMRRNAILAPHWNINAHAAVYATSGSARLQVVSSEGRRVFDGELRRGQMVVVPQSFAVLGSAGDEGFAWVSFQTSDDAMNAPIVGKSSALRGMPADVLANAFGVSREEARRVKFGRGQELAIFSPKSGDRRDMLAAAA >OB08G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1218767:1221315:1 gene:OB08G11980 transcript:OB08G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKALSPRLLVPLAVALLLALGLVADFLWASSTSARRVASSSRAVRVGSAQCWPVKVRKSDRVRGKKPAAEGYLNATYADIPAPHWEWEEMPAAPVPRLDGYSVQIRDMLYVFAGYENLDHVHSHVDVYNFTSNAWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIPIPRGGPHRACIVANDRLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYADVYVLDDGNKWKQLSPMPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGSTWRTKLHL >OB08G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1226257:1229993:1 gene:OB08G11990 transcript:OB08G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MAPSNRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVFGVALPSVAVVLSPCRGEGRGRGCDEFEVEVFEVCVLVSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFRIILWWILPCFAVKVTREMFRFSYIFQQSTWKACIVLFASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYNGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYITTPNSSGNLVPFPAHMFLRDFSGSDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLLLDYIRFP >OB08G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1228631:1234835:-1 gene:OB08G12000 transcript:OB08G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13180) TAIR;Acc:AT1G13180] MDAAARPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSQFKSSGMYSLKHPIHHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPGLYISVQSVLSLSAGYAFLKSISDENSDSASDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDITQFVLQLLQERGELIPPEDSLDIARKVKEMHCYTASDIVKEFKKHDKKPDKYIKQWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEIYSTDFSTPLPELIDSCVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAVWFGGSVAASNPEFYECCHTKEEYEEHGASICRTSTVFKGMY >OB08G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1235886:1236080:1 gene:OB08G12010 transcript:OB08G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHGCHELKEACIQFVAAHAKERIVETEGYKNLKRTRPSTCGRGSSEHVKTNTNIQQLPGTV >OB08G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1246306:1247046:1 gene:OB08G12020 transcript:OB08G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFDPAMYGVRVDSIQMQRVQSHYVRGDRIIIDCTLHVAGKPRVSAAEPLPEIDVPPPDLQDHLGKLLYSEAHTDVTFDVQGEGFAAHRVVLAMRSPVFKAELFGPMSNTGETVKVVDMQPAVFKLLLGFVYTESLAAMDDLDEDDKRELARHLLVAADRYGMDRLKIICGHILERSLTAETVASTMELADRHGCRELKEACVKFVIAMGMNDETMPSRQEGDQLSCFSIIKHFFSQIGSFLKIH >OB08G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1248444:1249730:1 gene:OB08G12030 transcript:OB08G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHLRRAPPLSPTRSPSPPRNRVRTRAASSPTMALPAYQYHYQSGTAPDARRGYVSARVRPPSMTTRSTTSTCTTGTVEGHHRFVIPHYGWLRAHLGGGQCFPLGSFSVAGYDWAVVFFPRGATVGENHDHAAFYVELLTSRAAAAATFDLRFVRAGSGSGSGRPLPMHQPDTEPHRFSTMTHFDPAVYGVRVDAMLMQSVQSNYVRDDRLIIDCTLHVAGKPRVSTAEPLAAIDGPPPALPAHLGKLLDSEAHADVTFDVQGEEFTAHRVVLAMRSPVFKAELFGPMSNTGETVKVVDMQPAVFKLLLGFVYTESLAAMDDLDEDDKRELARHLLVAADRYGMGRLKIICGHILEWSLTAETVASTIALADRHGCRELKEACVEFVVAMGMNDETMTSRHEGDQLSCFSVIKHFFSEIGSFFKIH >OB08G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1253030:1254197:-1 gene:OB08G12040 transcript:OB08G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGTVKWFDATKGFGFITPDDGGEDLFVHQSSLKSDGYRSLNDGDTGECMRSLCLYCRCLRCLGLCGRWLPGLIK >OB08G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1256139:1259502:-1 gene:OB08G12050 transcript:OB08G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPASSGKAASDPSAPAAANGNGTAPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPDKPLPSTAPLSEQVSTNSSLTGASSRLASLQRLPHHFLNALRTKKSLAKKDIVGINNWRFEKLKEHIQGDIDAENEAYERYTQNVGLLEETFGLTEDAAVEPEAEATSSEERMETLVSEAKVRLKSDSANLDGFKDRIATILDQKIKELLESKSTYEDDNPSDQNLDDHPKPVKLSIKHQMERGAKMTELLGKMIRAHSEADLKPCSHMAAQLFGKENEFSSQEAAAAVAFPYSFPKLWTSVEIDDAMMSKINGEFSSSTSVTQL >OB08G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1261237:1265292:-1 gene:OB08G12060 transcript:OB08G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIMFDNQTKPCKSRVDSKSNVNVLKPKFGSSWGSQIVKGFTADKKTKKTAAAGSKKPPLASVENVVNTNNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELVSQSELRQCRENPRVSELEKQLDSRKNEIDRLVRLKTSLEAEKTSLSEQLSALSSMVEQHEENARLDGHGNRVSSMDGGNASSSENLEFEVVELRRLNKELQFQKRNLAIKLASAESKLAGIEKDAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDGDFSDGMVCHVDDCDGDERLAENSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSIVKRRQSDTFICLPEATDESLSCTKDETIREKRELLVEKYDFGRSESARFLLGKSEICKTQSMDIEKRALRIPNPPPRPSVSAPHSGPSNGSAANPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDASGSGICEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >OB08G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1272499:1277959:1 gene:OB08G12070 transcript:OB08G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASQTRGGMEYFDARRKPHNAGKVIAALVLTTLCIFVLKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNMGAVKILQELFPQPGRLQFIYADLGDQKTVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIIETTPQLPINPYGKAKKMAEDIILDFTKGRKDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPHGYGSN >OB08G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1282783:1283040:1 gene:OB08G12080 transcript:OB08G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFCLLNMAYFRKPVMLSYVVALVLSRVLHRDMFSRLCELAMEPCLQLIFTNRLSNSSVGFVVLSVDCCYGIWHAAVVFGLLSS >OB08G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1285030:1286662:1 gene:OB08G12090 transcript:OB08G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MPSHLHGTAPLMDGSDPPAAASDSAGDDEPATAPAPQPERCEALAAAIAGVLGGALQEHEASAAATARSQDELAAAVDRLNGELDKLLENAPSPVIMQQAARISSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHSHN >OB08G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1290964:1293484:-1 gene:OB08G12100 transcript:OB08G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLETELDSIELQLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCASKSWFTSEDVVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANRDGFFNVMLTCVVILLVLLLCFLFPSLYSHVSAWRTRRALTRSSSQNKRHLKLFKGHKEGYIRL >OB08G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1297001:1298651:-1 gene:OB08G12110 transcript:OB08G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLICSGCRRVVQYRRGVAGVCCPGCNTLTAVNPSAAANMSELICSGCPTLLFYNRGASNIRCPSCNRLNSTRSANSELQTELKSSDPELTINGFFEAAANQIAHLTCGQCRTTLMHSPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNVVVGVTSWKR >OB08G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1312809:1313519:1 gene:OB08G12120 transcript:OB08G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQRRIIFDLLAVYVPILVLQMGHLLNTLGVEIGNHVTICKDDDLARLVDNDMQITETLVLQNSEQRVQDATQETDKETQMCASELPIQFTDHNEFGETSIPATKKDLYESADGIASFRCKMASIR >OB08G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1316039:1316233:1 gene:OB08G12130 transcript:OB08G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVYMDCMTSANHYLFQNISSGQPDLKILAYIPSCLAGFSPSIKLSHVHLHVYNYARLNELKI >OB08G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1318633:1321012:-1 gene:OB08G12140 transcript:OB08G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTMRLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAHMQSENYDEAEMLYRQALAIEADYNKECNLAICLIKTGKVAEAKYLLQSIPDNCSDESHVRSFARATEMLKELESPTLPSPITQIKSKESLIWLAIDAENLEHLQPQILSTALTQMKSEEPHISAPAVAEKQEDCNSQVFPSPITQMKREEPQNMIATSGEKNKKCLDEYQDLSRLFNDAATPQSLLEKLRKRLVKEDTPNISTQHQAQIPSYTECLPKSNGVKDAIENPMQEGKAPVSVGKRWADMVEEDEHQLDDVRSTIGMDTTEQNVSSKHANEKMYRTPSSSQESSTFQMSSVGDHLQSSSAGSWRCNVSKISTDENVNLKFARTAPSWRQHKVEDYSNRVSQRLDTVHLSEKAQGTEQPPWRSSTAQRSLFPEWKSKCERCERYGHGYVPFGDSEHFQGSSHFEATHRWPKNATDARPWRPQNRLRVFQEITNGINQKVV >OB08G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1324754:1326756:-1 gene:OB08G12150 transcript:OB08G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMAGGCHILIPKFDAKSAFDAILEHRVTSFITVPAIMADLLSCARKQKISGHGMTVTKILNGGGGLSSELITGASHLFPHATIFSAYGMTESCSSLTFMVLTRPKFQEPKDQLDSSSEGVCVGKPAPHIEIRIDRCGRNSSSSSLGNILTRGLHTMSGYWVNNSIDTLDSVRNGWLDTGDTGWMDKTGSLWLMGRQKGRIKSGGENVYPEEIESILSQHPGVAKVVVIGVPDSRLGEKVVACVSIRDGWKWVDAGAVHQREGREVSAQILQDHCRIKKLSRFKVPRLYYRWRKPFPVTTTGKIRREQLKAEILESIKIPSNL >OB08G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1328670:1331519:-1 gene:OB08G12160 transcript:OB08G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:J3MQ37] MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHAEQTGNKEFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAPAASKEEVKEEPEEESDGDLGMSLFD >OB08G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1332347:1337680:-1 gene:OB08G12170 transcript:OB08G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGEDSCVVDLSDIPGGAKAFELAAKFCYGVKFEMTASNVVHLRCAADYLEMTEEIAEGNLIAQTENFLAQTVLRSWKDSIKALHTCDDILDLAEKLQIVKRCIDSIATKSCTNPDLFGWPVIQYGGPMQSPGGSVLWNGISTGARPRSSSPDWWYDDVSCLSLPLYKKLISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSMSSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAMDQETGGASPGLGEDAQILASPSLMPVTMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAVPDYARPIDDGLYRAIDIYLKAHPYLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLLPTVSGEQESQALREGMDSMKQRVADLEKECSTMRDEIERLGRSRSTGKSRFSLGMKPQICSTKEAVPATAAATSDDKIAVVKGDDTTPRLKNRHKKKLSIEA >OB08G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1343794:1348961:1 gene:OB08G12180 transcript:OB08G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G21060) TAIR;Acc:AT4G21060] MADDAWVLGLTAWEDAAAFEGDPWELAAVDTASTDKCPSAVSMRARGRVVFLPCGLAAGSSVTVVGTPRAAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGSPPEDNEDKVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTIQAGVEGFHMYIGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEIRSSKVVARFFVALNSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGAQNLTAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRTGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNATSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVQGRASCCNYR >OB08G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1350290:1352146:1 gene:OB08G12190 transcript:OB08G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53600) TAIR;Acc:AT1G53600] MPQRDVISWTALLTAYADGGDLASARLVFDDIPRRNAASWNALLYVYLRAAQPRAAHALFYKMPAKNAVSYGAIVSGLAKAGMLREAELVYQEMPWQWRDPVGSNALMSGYLRAGELAMALSVFEGMTVRDVISWSAMVDGLCKYGSVSEARRVFDAMQERNVVSWTSMIRGYVKRGMCRNGLLLFLDMRREGVQVNTTTLSVALDACAEASLAREGIQIHSLIISMGLGLDVFLGDSIIIMYSRFGWMADARRAFNCMQLKDIVSWNSLITGYVQNDMVEEAHVLFNLMPEKDTVSWTSVVVGLANRGWMRESVEMFEQMPGKDEIAWTAIISSSITNGDYLSTVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAQCGRLPEAHHVFSSISNPSLIAINSMIKAFVRHGFIEDALQLFTKMQNDGYRPNHATFLGILTGCAHAGLVQQGCNYFESMRTLYGLKPNPEHYTCMVNLLGRAGLLSEALEMINSMPQNDHSDAWRALLSASNLHSNLAFAKLAAQKLLEKDPYDAMAYTVLSKMFSSAGMKEDEEMLKVIQLYNMASKRPGYSLIMQDKTTNIAHTENF >OB08G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1353403:1355875:1 gene:OB08G12200 transcript:OB08G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 703, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G01280) TAIR;Acc:AT1G01280] MDPFLLSIILCSWIFVVVSWKRLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDLDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLLKIQEELDAVVGRGRMVAESDLAQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPAQTRIFINTHALGRNTRIWDDVDEFRPERHLPASTDAAGAGGRGEILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVAKPRLPPHMYARHGKQV >OB08G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1356435:1357270:1 gene:OB08G12210 transcript:OB08G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHSTHKQEPRREKKKTTTTKTRMKGVGGASVVAVVVVAGCLLAAAAVVDGAVTCGDVDASLLPCVAYLTGKEAAPSAACCARGSXXXXXXXXXXXXXCDCVKKAAARFKGLNGDAIRDLPANCGSPLPFPLTLDFDCSTIP >OB08G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1357841:1359358:-1 gene:OB08G12220 transcript:OB08G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRRHTSVAAELEGTLLISGDLFPYFLLVALEAGGPLRAGAPLRAVVLLAVYPLVALLGVALSDDDLPVSVMTFVSTAGLAVADVAAVAKVTLPRFLLGDLRDSAFRAFARHAGGERYVVTRLPTVMVEPFVREYVAEGARVVGTELRVVGRRFTGTAVVSPGVAVAGGDRSLGALVAVLGRDRIVDVGLCSGDGAVNRQPAFMQICQERRVVATPDKAPATPLPRSEYRRPLIFHDGRLVGRPEPLACLAVVMWLPLGVVLAVTRILIGVFPYGVSLLLAAATGFQIRAHLGDAAATGAPPERRLRGTLYACNHQTLMDPVILSTVVHRKVTAVTYSLSGLSELIAPIPTVRLTRDRGRDQQIMQSVLARGDLAVCPEGTTCREPYMLRFSPLFAEIADEVTPVAVRAGGAMFHGTTVRGHKWMDSFFFLMNPSPWYHVRLLPPVSSSSSCGGDDGDASSHDVANRVQRVIGDAIGFECTALTRRDKYRMIAGHDGVDARAS >OB08G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1364765:1365928:-1 gene:OB08G12230 transcript:OB08G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPEGHGLYPGHGKLRGFVRFFNLSTGAFARVRLPTFKDHCVLYSVEGILLLQRDHGTAICLLHPFTGDILDFPPLDTMLRYVSSLLVGDKWYRLRDIRVASINVRAGQAVSLMIFSQGMMQIAFATSGEQQWKVSSWCFNQYVSPLPFQGKLYVVHHYAQIDPPQLEGPEPWLPPPRLITKCPACTPQATFCYHLVECDTVILLVSLRVGVDYHGINRTSVYRLADLMLGRTVRVTYIGGNALFMGFTNLCVRSKAFPTVVGDTIVFFDHHYNYLAPYHLSSGTSLPAPSDGSISTREFAIPSPFSIIYHIYTCCYRDQWNKGQIRFGGGGSKGWRVKRKWR >OB08G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1365114:1366105:1 gene:OB08G12240 transcript:OB08G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTTVGNALDLTHRFVKPMKEGIATNVCHPDSPSQHKPWLRPFQLSFPWNGNGLTYWLKHQLDTFHCCSPEVAKAICIIPCEKIMSDTAGLARSHVDGGNTDISETIPLISHQQGAHVPDLSMVSRGGKSKMYVAGEGVEEADCSAVVSLQQEDALHGVEDAVVFEGREADAGEGAGRQVEEADETAQLAVARVEAVALGEQHPPPRVEAPVDDAVAARAGCAGSPVGANGAEAGVVQQVAGQHPPRDRLQQILVQRRPRR >OB08G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1366637:1368698:-1 gene:OB08G12250 transcript:OB08G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT1G18490) TAIR;Acc:AT1G18490] MGWGMPTRPPPTSTPPRVQALYELCKRTFPAPSSVAASSPSPPPDHAIRAISSLMDTITPVDVGLRDANLEDGRGFGFFESNFMKNSARAARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVFSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVLYPQNGGNIHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGHAKVVHGPDNYAWLEALNVPVTINMRPGMYTGPTVQERQP >OB08G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1370806:1373790:-1 gene:OB08G12260 transcript:OB08G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDNGGGGVAVAGAQILPIFAAKRKREEDDLEKDCSMPGCEVEEDASRYGIDKNGDKCAMDAKQSESSDNMEEQCATDVKQSDIASLEEKWKVSMEFGREPELTWEEKVVKVLHMVRRREITEYNHKMDFSVPTRFCHENIAFFDLDKESKLGRGLPVKSLTFSEYWWGMDSVNVIAIKVAESDVGYPISIFGTVLARDEYDFRCVYLFRRDRNNPQIITSPDDTLTLTGPNRALGALDRMYFEFHLKIRDDGGVDKDFCKGLREHNAICYTRKPMTLSLESCLSTVDLVYSPVSFAVEASVAVNIKGIASKLFTGKVTAWTTGDDENKIILYDSEAEGTNKVLGAGGSVDLTRRFVAVRLDDALVLNVSVSEGDHKAETFDLVLGHNDEECIREQGPYELQVKVVWTAALKDGRRNMWEFIGDFRVLW >OB08G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1376989:1377213:1 gene:OB08G12270 transcript:OB08G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIPTTLEEIITREAPSNSKGNKLFSKIAGKLYTPKGGTFLIKLKPTKTSPDDAIVTLLFSWKDLYFEAFHAK >OB08G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1379208:1384540:1 gene:OB08G12280 transcript:OB08G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGEDTTSSGTLHPLNRGPDPGMSPSHGIGRQAFVASLWNVRWPSKFRHNLTEKYDSNINPSEFLLIYTTAIGVVGGDDRAMVNFFPMALKGQAAMKRELLDTIPTHETAWRSCYLEVPLTFDQTDYPLNVDRGGHLAMVVSSSIYNVKMGRVLFDSDGSLNIISLTTFEEIKALGMSQVVTVDHQHNPEAHVNTGPTFQRTTRITLSSQIGHNVEAYLDNLVIKTCDLETLLSDLAKTIDSLRSTRVKLNLGKWRWSRSRHDLANRRHPQVYGPPRLSGHQQYGEIRGAPRGTKGSGQNGDPLLACVSDSELVVNQVSKEYKCFDPLLVCISDSELVVNQVSKEYKCFDPLLACVSDSVLVVNQVSKEYKCFDPQMEDYVREFQHMERRFHGLDPQHIPKWENTLADELSRLASLRAPIPQAPLKKGLPIHPPTMLSLLVPRQADPPAGTSSVDDYALLVIRDLGAGHPRPLQEGMQGYEYLYVTIDKFTKWLEAYPVIKIDKHSTLKFIRGIIARFGKATTHSPRATRYQQSLRHYHHPQVYSRSQQVNDMVLCHVQTRASLTKLSPMWEGQFKVINVPQPFSARLVMADGTELSNPWSIEHLRQFYP >OB08G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1386035:1386442:1 gene:OB08G12290 transcript:OB08G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:J3MQ50] MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGGGGAT >OB08G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1386123:1386339:-1 gene:OB08G12300 transcript:OB08G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPCLDPISIGTFRVDPFLLRLVLLLYWELLYVLLDVKPIVDLFLSFVESFSRLDREFDKPMIFFRLS >OB08G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1390486:1391046:1 gene:OB08G12310 transcript:OB08G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAEEALPPRSQLHYSMKEKEGVFQMTISTNYDDIGGYDIEVGQRAFSNCHRSLLMAEDLVTQKRLRELNSGPLSLPVVAISESIRFPLLQQWVLGTFSAPPSVNYQEKRVPQKLSDDFKKWASYSRALVTQDLPTRCELTLAQIAEKLGVLKWKADWMQHAGAASPSPKRFKDVRSQSHSHTSH >OB08G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1406728:1406994:1 gene:OB08G12320 transcript:OB08G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPRVWEHCYVTFFKASDMIRQGNMKSFTSGDSSALIVPAVGIAEALRFSQLQRWVLDNFKASRDLKVPVVYTDLFDCWSNFSCVVYS >OB08G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1413515:1414339:-1 gene:OB08G12330 transcript:OB08G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEYCEHLDKADECEDPYMCMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFIAEQVSHHPPMGAAHCENAHFTYIVLQISFITQSTISI >OB08G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1416052:1418339:1 gene:OB08G12340 transcript:OB08G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSQKVVASGAAAVEQNFPTVAMKRKQELTCDCEEKAPTNEPGSSSPNRSIEMMGEEQDQESSSSAERCADDMEEDYLDDSTISSKMEDADEQSAMQNQVELPWEEKVYEVLNIVRRQKFAEYDPKQDGIVYTRFCRNIAFFDLDRESNIGPGPPIHSIPSSDYLWLMDSVNVIAIKVAESDLSYPIRIYGTILARDQQDYRCVYLFRRDKEHPQHIASPEDMITLTGPYRALGSTDIIIFEINLKVLGEGNATKDFSKGVIEHRCVHHTKKLMKFKLTSWLSTVELIYTPVQFAVQAFIAVNVLEGLSDFSGKVIAWTTKNEENEIVLHDSQVSGFSNKLRNNGSVELTRHIVAVPLDGELVINVILFDGDHEDECFEFVLGLYDEESTCKQSCYELQVKVAWTGILGQEETEIWKRIGEHVFLGDWD >OB08G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1420270:1430314:-1 gene:OB08G12350 transcript:OB08G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKGYRRCLLSSRPLHIINYSNLFPFCQDGQSVLKHDLDTQVTSNIQFSNFGVLGFPYWSVCHLERVPTWGHSTGQCQQHIRQVNVLPGRCNIKVSVDIPADTELASPLVENCIWRQVRGSGAEISGSDEPASNTEKVGIAQQWYDEIDNLAFSEVPEEPTAHESDQKPTDGGYQDQRRVHFTCAVNVSPGTCELVASAALYLKIDSTKNDQGEQKALVKKIIQCQRREEHAGADLLMQNCKDARDRERAAMERTSSSSSAARGAWRDGAVTYLHLLFYIAISSGQIFFNKWVLSSKEINFPYPVALTLLHMVFSSVVCFTITKVFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASFGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESVSWNFPPFTLFLNCMCTFILNLSVFLVISRTSALTARVTGVVRDWTVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKVKPQGNEPQGTDNKVNPGSPQDVETSSISTKEAS >OB08G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1426425:1427024:1 gene:OB08G12360 transcript:OB08G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSELDTDRDGFINLREFTASHGRGLGEAELRVAFNVYDVNGDMHITVAELEKFRMEGYTINARSKICHDGCVLKIISNYYLTRDDYKAVEKRETG >OB08G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1435617:1438889:1 gene:OB08G12370 transcript:OB08G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFGGGGHRRAMERTVTNRAGGAGGDNRRRAMLSKKYSYIPDSYTSLEQVTAALRQQGLESSNLILGIDFTKSNEWTGKLSFNGQSLHKLGTTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSSCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSIDTSDNDLSPQERATVDSIVMASSYPLSIVLVGVGDGPWEDMQRFDDKIPARHFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRIMPAPPPLPSAPRQPSLRRAGSNAAAAAAPPSPRGDQVCPICLTNAKDLAFGCGHMCCRECGESLTRCPICRQTIRSKLRLYSG >OB08G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1439733:1440101:1 gene:OB08G12380 transcript:OB08G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCVANPSASGAALRANLEFACSESDCAAIQGTGGCSFPGGDDDGSLPTRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSSGSCKYA >OB08G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1440265:1442449:-1 gene:OB08G12390 transcript:OB08G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEIVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPD >OB08G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1443738:1444280:1 gene:OB08G12400 transcript:OB08G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTSSAAGEKCQRQASTPAAAVAVGEKKRNASTSGAAADGTSPPVDAPFETAHQEFRDAAAAVAAPGVTLRTIVFFPGMAGAEQVSLRAGASTSSTPAQAQAKRVGDLDSARKLIERDVSKMSTLDEVMAHQKRLMTLRKAVVRKLEDMEKKAEASNVGAGDGDDDDNAGRNKIRRIE >OB08G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1446630:1448602:1 gene:OB08G12410 transcript:OB08G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLINSKMAPSTRPQKRAKSSSNNESSSVSTRSRMHQLALKNVNRLWDMDLRSMYRGQVTKCTSLSLMGKFDMNANDEVARATCTNIIKDGIRQQRYRLKSKYFNNVPISEVLSKGPPPRVSPEDWAKLVEKWTDPKHKNAMVSKREQNQEEGGHAKLDEEIVAEVLSERNTSSTFLVNMGFPNKKSGNTTSSMQVQELRDQLRVEKEDNARKQHQLTEQLESQQQEITELKRKHQEEMDNLKKSQDEKMDGLRKKQDEMEAMFRFFIRQQQTQSSMDQGTSK >OB08G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1451587:1453587:1 gene:OB08G12420 transcript:OB08G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGLLIFYWKIGRCVARLDTFDILRQSQDYVNANFWSVCPFGLVSILQDYS >OB08G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1455272:1458896:1 gene:OB08G12430 transcript:OB08G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEPRKERSKWDSKGPPDIVEISEDESLPMNMDDHRKGGDSLPNQDFSHGNDNQIGEADGLNKDIKERPSKASSERLPLRMGDEDHNKNGWHNRGFEKAGRYADDRKRGDGWGTALSRGYSSRMSSGPDVWKQRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSRSIGRGRGTSRSRSPYFTDRGSEWRAERGRAPGGPALPCRDFVAGRCRRGSNCRLPHEDGVRRQFDEHYPVDSRERYGHQNREFMDSREQDDYLRSRPSRGGHYDEGTWERPEPPRRDYRSTMQCHDFVKGRCSRGANCRYIHDDSTSHVGWRDEVRGSAIGRGGPDSSYGSRTEHRRTNKNPCKFFADGRCRRGQNCPYLHEEASQSQMGLGAPDEPLGYTGGPTTRGNYSNWSEQNNAVQASSHILSRDDRENPVPQSTGRSDSRYENKNRHSKDAGSSQYQIFPQEGFGSLEQNKPETAASQPSQFLPSVQTGAESINIDKVSDMGGQSGPGKVGNLSMQIRMHSANPVGGQNLGEKADIQDAIPQISAALSLPVTTQLQNIASSVTLNGQQSDFSLHSNRQDQFVVPHATINNSAPSMQSQPVAPYMGQSQQGYIMGAQTSQDLSARNGQIFNVVGQVPQNLPTIVHAGQSQGTSDTPNPGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSLVDMAGAPLSQNAVSSLTASLAQYFAPSLTADTTGLQSSQPNPNSSLMTNSSAAPQAVQPNHWPWLQQAGMIQPPHLVPSEQPASQIFQAPMAAGSSNGNPLVLPHSVAPTGPAAVLANEIAPAENKKEEPKDTDAEAHEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSRDIHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYVKKD >OB08G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1461617:1464993:1 gene:OB08G12440 transcript:OB08G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:J3MQ65] MHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYRRADGTGPLIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDIRMIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGTRVVVNCSGRGDKDVHTASKYLDV >OB08G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1465949:1466338:-1 gene:OB08G12450 transcript:OB08G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSAYGSQQLVVLAVLVSLALLPLLGMAASTATYPTGSFCSEISDSNFIARRRSVNSLLAGLTAKARSNGGVPPLPPARATPSSTASRSAAATSPPATATPASPRPPSRSSPTAATTRRTPGCGKY >OB08G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1473398:1474215:1 gene:OB08G12460 transcript:OB08G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSAYGSMAVLAAVVFSLALLAMAASTTTYPIGGFCYESTYGEISDSNFIARRRSVNSLLAGLTAKARSNAGFATSSAGRGDAVFYGLAQCRGDCRSTATSKLGQLCGGAQGCRIDYSSCWVRYEIYPFYFPLAAGAGRAAATDMTKYTKITVH >OB08G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1483301:1486329:1 gene:OB08G12470 transcript:OB08G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLDGHHHQLPMPSVLQQHHHNGQHLLDHQHQQLPPQATTTSESDARAPRDELEMSKSGGSDNLESGGGGGGGGGSGEDQDPNQRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENEKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAGAVAAAYPSNRSPLDHMGMPGAGADVFGADFDKPLVIELAVAAMEELVRMAQLGEPLWTPALSGEALGEEEYTRAFPRGLGPKSPELRSEASRETAVVIMNHVSLVEMLMDVGQWTALFSSIVSRAATLEVLSTGVAGNHNGALQLMSAEFQMPSPLVPTRESQFLRYCKQHPDGTWAVVDVSLDGLRSGGCPPACERLASAMASNVASSGDAGVITTSEGRRSMLKLAERMVASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDSTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPADGAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAIAGENAVAPCPL >OB08G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1490868:1495811:1 gene:OB08G12480 transcript:OB08G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGCEAAVGSLQPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYDVFATVGGNRVTTYSCLKDGNFAILQAYVDEDICILPQKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFAWTDLPSKFPTKYVQFPVLIAVVHSNYVDCTRWLGDFVLSKSVDNEIVLWEPKTKEQNPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPSIKN >OB08G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1497890:1506842:1 gene:OB08G12490 transcript:OB08G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) TAIR;Acc:AT1G18450] MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFSSVVGSIEQTGETEEAKADKDADTASDSKNGAKPMDVDKAKTKRKLYVGQELDFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRQRLLINPEEHPMLIAEPSTNTGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVSPGEYKVVDLDLPNTTESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADTMSSRGLPRMVIDSVNRCDVDIRKELLSSILLSGGSSSILQLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >OB08G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1508408:1512450:1 gene:OB08G12500 transcript:OB08G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRAKTGPANKNHKSSRKYAAPHDAKPPRYTHRNPSVTASAIASEPRDAKESPSSATDAKETVQKFPTIPPLPARITKLVPLEGLGCEEVVGSLTPSEEREYKATNKHTEGKRPVYAIVFNFLDVRYYDVFATACGPRLSTYRCLMNGNFALLQGYLDDNNNESFFTVSWACDVDGNPLLVAAGSTGVIRVINCATEKVHKSLIGHGGSINEIKSQPLKPSLIISASKDESIRLWNVQTGICILVFAGVGHRHEVLGVDFHTSDIYRFASCGMDNTVKIWSMREFWPYVEKSYSWTEVTSKFPTKFVQFPVLVAEVHSNYVDCTRWLGDLILSKSVENEIVLWEPITKEQSPSEGHIDILQKYPVPDCNIWFMKFSCDFHFNQMAIGNREGKIYIWEIQASPPILIARLSHPQLKSAIRQTAVSFDGSTILACTEDGNIWRFDEVEQPVVPTPKQETKVN >OB08G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1514954:1517474:1 gene:OB08G12510 transcript:OB08G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGIAVSWPLAARLARVLDSCILRYPHLYGSDARIYACVAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRASAVEHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNVTDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYSRQQPKRCLPNLTPLGNIHKIKVTSEPLRLVTGKALRRHCCDVVPSSSETNMGINIRKCKDDELIAMHS >OB08G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1522736:1523639:1 gene:OB08G12520 transcript:OB08G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSAAADRSWRRSELPRYIDHHPQLMCSMRPSNNRRAIVASTHAQIMIDRYKLASAQSIDRGLCCQGTSLSLTLSSAVRIASSMESARAPAMAMAAAMLAAVVLAQAPAAGATIYTVGAPDGLWDMETDYNEWVGRRSFHPGDKLTFTYSKELHDVVEVTKAGYDACSNANNISAFRSGNDAVALPAVGTRYFLCGLTGHCDSGMKIRIDVVAAAGAPGPVAGGPGAAPPLPSTSSSTPSPSAAAPAAGSPNKTFIYSLLPLW >OB08G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1527312:1527993:1 gene:OB08G12530 transcript:OB08G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAAKALFVVAMAAAVLGTAMGTTYTVGAPSGSWDLRTNYAQWVSNINFRVGDQIVFKYSPSAHDVVEVSKADYDSCSSSSPVAPFTPGDAPTALPAAGTRYFICGFTGHCAGGMKVAVKVDAATSSSPVPSPPXXXXXXXXDAPNGAAVPPAAAGGRPAPPSSSASKLTVGLSLGAIAAGLMFFY >OB08G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1531442:1534523:1 gene:OB08G12540 transcript:OB08G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSAALLVVAMAAAALATTAMGATTYTVGAPAGSWDMRTNYAQWASSITFRAGDQLVFKYSPSAHDVVEVTKDGYDACSASSPIATFTSGNDTVALAAAGTRYFICGFPGHCAGGMKLAVKVEDAATGGSTAPSPMAPRAGAMPPAAARSPPSTPATTPWPSPPPAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVDGRCLRRARRASLLVPWNLSLVSALAPLPLVS >OB08G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1536988:1539581:-1 gene:OB08G12550 transcript:OB08G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVPPESGGGGGDEWFLDCGILEDLPAAACGAFPWDASPSCSNPSVEVSSFVNTSDALKEPGSNKRVRSGSCGRPTSKACREKIRRDKMNDRFLELGTTLEPGKPVKSDKAAILSDATRMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQMKIMTSTPAYMPHPTLMPAPFPQAPLAPFHPAQGQQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDNESCPPVA >OB08G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1541926:1543527:1 gene:OB08G12560 transcript:OB08G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50390) TAIR;Acc:AT5G50390] MQLACGMIAEARQVFDGMPARSEATWGIMMGGLVDARRPRGTLALFQELWEEVGGDALSPRVVVVAVRAVTALGSVLAGQQLHCCIAKTGMYDDQYLSCALVDMYSKCGQLDEARRVFDGMPQKSVVAWNSMLAAYSLHGCSEEALDLYHSMCESGVHIDQFTFSTMLRVFSRLGLLEQAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGRMEDARNVFERMPSRNLISWNALIAGYGYHGMGHKAVEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRTFQLMTENRRMKPRAMHYACIIELFGQQGQLDEAYSMIRKAPFIPTANMWGALLTACRIHKNTQLARLAAEQLLAMEPQKINNYVVLLNLYINSGRQTDASKVIETLRRRGLCIHAACSWITVRKKDHMFTFKDSLHPQSSEIYKKLDSLLKEIKQLGYVAEENELLPDILPNEQKMLKIYHSEKLAVAYGLISTSSSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVVRDGSRFHHFKLGTCSCGDYW >OB08G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1544250:1544450:1 gene:OB08G12570 transcript:OB08G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCFLSLRQFTVSKISVHILVISTSYAFITVGGKLAFVMCLVENYFSEHDHICIFPVFPHAPDLL >OB08G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1544812:1545854:1 gene:OB08G12580 transcript:OB08G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRIGAALLVCAVILALDITAGILGIQAQAAQNKVKKVTVLFIQCEKPVYRAYQLGLAAAVLLVVAHAVANFLGGCACICSQMEFIRASINRKLAATLIVLSWLALIVGFSLLVAGAMSNSKSKTECGFVHGHTLSLGGIMCFVHGGITVAYYVTAHAAAYELP >OB08G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1546823:1549584:-1 gene:OB08G12590 transcript:OB08G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54590) TAIR;Acc:AT5G54590] MWRMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTIPIRSNGVNACAILSNSTTGQESPREAEDRGESLWLEGPGRKSVISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKVLANNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAYMPNGSLASHLYGENIAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMLAGRNPQQGLMEYVELAAINADGQTGWEEIADSRLEGAFDIEELNDMAAVAYRCVSRVSRKRPAMRDVVQALIRVVKHSQSRKHQRKRPPAGRADDESVDLEASEVQSSLSGHQRQESVGSVSDLADV >OB08G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1560403:1560981:1 gene:OB08G12600 transcript:OB08G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIPVRPATFRHHRRPPPPDAATFRRGTPPAPQTPAWTPPSRCACTHVRMHRSIIIYMSQAVFIATYLPVFIAVCAGKNPGGVAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRWVQHGSRRARMTGASSASCAPSPLSCPLSLSLSLSPERLF >OB08G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1563826:1564669:1 gene:OB08G12610 transcript:OB08G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRNRRSFSVQVGRYYAATVRGGVAVGGVDDGGRCPTPQRRPWECARRRQPERGSIQGYRWANHQWNTSGDAGIKRQLARRLGHRCTNVESLEQNTGRCTVPNHIRQEKVIKRRWQNQMQYKSSTQCIQVHALLITNELYCADQHPCHCPKMRADQGKLGCTLSYVNVFLFWATSHYPVHEQHMPPADKGYDNSNERVITPTQPAPHESGQAGQGSLLAKV >OB08G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1564421:1569235:-1 gene:OB08G12620 transcript:OB08G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSGFRRMFSISAFSPPKPPTPPPKADPSPNLFVSGLSKRTTTDGLREAFAKFGEVLHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKFLDGWVIFAEYARPRPPQQQPEMNSQPQQSWGPPSSSWGAQ >OB08G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1570365:1571222:-1 gene:OB08G12630 transcript:OB08G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCLIQRCNAFKYSPAAHDVVEVTKGPGRLRRLLRLQRHLRRRARPPREALLHLCGDAGMKLQLVKDGKIKLSPYMRPVPKSFETLNTLVTGSGNDNLTCSTGPIK >OB08G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1571937:1575199:1 gene:OB08G12640 transcript:OB08G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFYLKQISNVSFKPQISKRKLAARVEELWNEWEIQVLVLVSFSLQVFLLLFSGTRKRTTSKFLRILLWLAYLSADTVAIFVLGHLTLHINGGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNTLWKRHLLDLGVQVGLAAYVVGKQWQGDNEHLLAPMVLMFISGTIKYAGRISALMFVAQLTSRGDIVLMINNRPRSYQHITDYKHLVLEANRRMFPSMVFLLDIESGVAYRHIIYEYLTRNLLSIVEMERRVQVCYKLTELQLSMVYDNLYTKLGVHFEREEWLNGWVLQLVTFGSTFTALFLFAWADIRGNLFNYNRADIIVSYILLGGGVILEALSICIVISSFRAMEAVAYGGKFHDMIISIVSRAHPESRSQWSQKLAQYSLITGCMQDKKLKAVGGGLLERMMRATGIKPSSTNTTSHADVSYELKKLLLDKLLQVADRRNTDDEWDSSKLTGQWARLELQSKMQLETSSIAHLQELLGDSLQRAVSLMFSVLTWHVATEICFFSDEDEIGCDRSSSRGPSRLLSNYVMHLFATYSMHSGGHAGRIMLQSAQRFITNDCLSHRQLKGLDQSAVARLIVDELQLKRPAVSPFLEEKLVDGKAALLLAFQLSEELLKIEEANDRWDIIMLVWMEMVCYMALHFSVGFHTEHLSQGGEFITHVKILVHNLCLLPLTNQDSTVAGH >OB08G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1577234:1577814:-1 gene:OB08G12650 transcript:OB08G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAAVLVVAMAAAVLATTAMGATTYTVGAPAGSWDMRTNYAQWASSVTFRAGDQLVFRYSPSAHDVVEVTKAGYDACSASSPVATFNSGDDTVALAAAGTRCFSCGFPGHGAGGMKLAVKVEAAATGGSTAPSPMAPRAGTPAAMPPRAAGGRPVPPSSSASKSAGAVESLVGLGVGAIAAGLMIFY >OB08G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1578998:1581217:-1 gene:OB08G12660 transcript:OB08G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPAAAATLLAAQAFAFALAPKPSSSSCALFPRSGVAFPSLVKRGARRGQARQPVVAGAGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRQQAAQPESASS >OB08G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1581411:1581596:-1 gene:OB08G12670 transcript:OB08G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSLLSVYGLLWGIHGRLPHQVYHLASGLIYISPTAWALRSSFVIFFNKNLFLLIIYTHIKE >OB08G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1583614:1585828:-1 gene:OB08G12680 transcript:OB08G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIYIVYYSMYGHVAKLAEEIEKGAASVEGVEVKLWQVPETLSDDVLTKMGAPPKKDVPIITPTELAEADGVIFGFPTRFGMMAAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGTPYGSGTFAGDGSRVATELELQQAFHQGKYFAGIAKKLKGSA >OB08G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1594528:1595535:-1 gene:OB08G12690 transcript:OB08G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MQ90] MEVSDQASSWPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVAPVAAAAGGAGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAAGSARAAEVLSLFAKSREGRHELSRIPGIVAVLTGVASSGNARAIEQALVVLNWICSESNELAREAVKLGAFHLCEALVNDDNCKIAKNAIELARTLEKL >OB08G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1616056:1617537:1 gene:OB08G12700 transcript:OB08G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFGDEGEAFQPLNVDDVRSYLHKAVDFISDYYKSVESMPVLPNVKPGYLQDELRASPPTYSAPFDVTMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQMLNLPTSFMNRTGEGRGTGGGVILGTTSEAMLEHIRSDVAMAKMFEEFVRGDDRFEVVVPRNFALVCFRIKANSAMTEEEADEANRELMERLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELIKKTTTEMMTMN >OB08G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1628546:1630115:-1 gene:OB08G12710 transcript:OB08G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPAAYAAFAADVEAFQPLNADDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLLRLLQSAPPSSSAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQAAPAATELEVLALDWLAQLLRLPASFMNRTAGGRGTGGGVILGTTSEAMLVTLVSARDAALRRSGSNGVAGLTRLAVYAADQTRSTFFKACRLAGFDPANIRSIPTGAETDYALDPAKLLEVMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFNAWVHVDAAYAGSACICPEFRHHLDGVEREHIRSDVAMAKTFEDLVRGDDRFEVVVPRNFALVCFRVRPRKPDTAGEAEAEKASRELMERLNKTGRAYLAHTVIGGRFVLRFAVGSSLQEERHVRSAWELIKKTTTEIVAGAEEDKTRKKV >OB08G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1643271:1643498:-1 gene:OB08G12720 transcript:OB08G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDHDGGTSRSLVLDVSYNVLLTRIGQELWVHLNKLRSLPSSVCEMRSLHLLMGFSSVCLPLLAIAVLRLQHDL >OB08G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1644305:1644565:1 gene:OB08G12730 transcript:OB08G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMGLPECRQAMSPDAGVALQDAYHVVAHVGATVGSDEDGVEEAGMASCMSCSPKPYSPVSMYVLKYHAPVGSMYGIHSSDAMPL >OB08G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1644379:1645026:-1 gene:OB08G12740 transcript:OB08G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MQ95] MMNMDEQTSLCFTPFNANLRRRLPAHLLGWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGWVGVPPQARCTRRHGGVAGSGAGPASTGEHREVIYKGMASELWMPYMDPTGAWYFNTYMDTGEYGFGLQDMQLAMPASSTPSSSLPTVAPTCATT >OB08G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1645034:1645483:-1 gene:OB08G12750 transcript:OB08G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPDKATRSSGSLHLSAPAHLPRPRVPTTAAASLFDGAICTKFSPCRTCRQQGRAHGERGQPPRELLHVHPPFTSAASSLFVHSLELDEPKKAVVNRWRMGRGRRPAPVSLRRGRPARGSAATRTSSLVDLDGGGGASVTSLPVPASG >OB08G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1646935:1650769:-1 gene:OB08G12760 transcript:OB08G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRSRRRKGGALGKQRETSKPQDDEEADLEDAAAKAASSKPKRKESMEELEARVEEEEDDDDESWNAIHYRKFWNDVYSPEHGSYEDTTRIPPMRFTHKPGLGRHIANMSDTLQIFSVKVAAATTGGLQWPLHVFGTVSVRDFVDRNRNVLFHCTRDNCQTLTQLERNLVLVGPTRAVVLSTDPVMIDAELKMKGSTESEDKFLSLLAVPLVCSSMYSRVLKSGSYTSKLSTLEFRLGYIASSVEATISVRVICGSWPDGFRGQFGAFTTGVYCRHLVREGDIASIDHEEIVLLDSRDEKLVVTGDGKIKLSRCVVSVESMGELKVCIRVWDVDNNVVEKVKVFTALEVGLSNGELDIGFCKLEVNVAWSLISEKPVFADSVL >OB08G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1659134:1661049:1 gene:OB08G12770 transcript:OB08G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGGILAPGESIIATVFKFVEHPENNEKPLDKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEETGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >OB08G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1662275:1668560:-1 gene:OB08G12780 transcript:OB08G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFIWEGASRAFSHRPTFTNLVLVLGAASSGGLVAYADSKSDDVLDKPEGPPKKKVVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDIKFWEAECFKIDSENKKIHCRSNIRTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCYFLKEVEDAQKIRRNVMDCFERASLPYLNEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVRISLIEAADHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDISVPYGMAVWSTGIGTRPFISEFMKQIGQGKRRVLATDEWLRIRECDGVYAVGDCATINQRRVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQVELYLKSRQMNGIADLVRTAKGDAEKESIELNIEEFKKALSLVDSQVKNLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYATKQISWRTRALVISDWSRRFIFGRDSSCI >OB08G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1671760:1672834:-1 gene:OB08G12790 transcript:OB08G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRADATVFLLAMALLLAGAGVGVVDACDGVTSMSREDTCRKAFGAAPSTGRQEGACATACIPPMHELCLTLTVYAVVAAKTAQWSYEASAEAAGRLLGDASLAGDERAAYEACAGRYAAARSLAMAVQGQLMECSYGSAKQELVDARVHVEACGGELWRFASSPLYAMNAADQLKATLACELTGLIVGK >OB08G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1673995:1675488:-1 gene:OB08G12800 transcript:OB08G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGVIEALLLSAMAAASLLVTGGDACDGAPWMSAVAACQQASTAGVMSQLFDPIYFPIFPALTHTTCEARYDFIPHGVAPPCANSYSISSPGILDRPDLSSSLSLVMITPPLTDIGLKSPAQLETNQPFPSTDIAT >OB08G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1676036:1676239:-1 gene:OB08G12810 transcript:OB08G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGVLDHLRGCALADLRTDCATAAAAIDECATAVLPVGGHTPLYSLILLGRDRSVLALRLAILLVPNKI >OB08G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1681163:1681363:-1 gene:OB08G12820 transcript:OB08G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGARLGGCQLTPDIRVDLATAAAAIDDCATLLLRAAGGAPTPLHRMVLLDRDRAVLALRLAMLLLPS >OB08G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1684672:1685004:1 gene:OB08G12830 transcript:OB08G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTRQQSTKAVAHLRCYYLFSLICTKSMESYIDTTFMDLLSSHSTNVVPESCSQAQHIDVSIPQNQKMSSSPRIQRSSKCPGSSSVRIDVAPSDGGEDQEVNRGSIAG >OB08G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1685211:1685513:-1 gene:OB08G12840 transcript:OB08G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSASSPPGAPLIPPLEMAGSRRWMKEVRWQEAVRGRPTLESGMVVVIVDGSGRREVQWDPKSPARASREGPARRRGIRSSSPFPFLFIFPFHPPLRLR >OB08G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1689412:1689621:-1 gene:OB08G12850 transcript:OB08G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAVGHLRGCELPELIRDVPDAVAAVDDCATALLRVTGSSPMYNMVVADRDRGMLTLRLTSILLKHPGN >OB08G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1691711:1692283:-1 gene:OB08G12860 transcript:OB08G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMSLLRLLVLVAASSSLAAAGDEKPPCPGAPSMTVEAVCRNASGTQAMYDVCKDALGGGAVPDPLSDHDVTVYALAAERGALASVGATLGTAVDLVLHNSSLSGDEKDAYTQCAEAYATAERAMGSVVGKLDVCRLDGLADDYLNGLLDIESCRDRVLKLPASPLYAMVLVDRNKAALAFLLGKLLGI >OB08G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1696550:1696873:-1 gene:OB08G12870 transcript:OB08G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDATVGAATELLTRNRSLSGEERDAYAECQDAYAYAEHAMGAAVRKLRACSFGGLGDDYMDGLLAVERCRDRVIRLPASPLYAMVLVDRNKAGLALFLGKLLGI >OB08G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1703690:1705414:-1 gene:OB08G12880 transcript:OB08G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTVYKYRDLSRKEGTSCRKYTSHHAKTFRASSRSNLMHVFSKLPRDLNFIDHTSDNGRKAYLLLTEEQWLSIILDSSLADKQNGSSLCCVFVRLARAMPMIVDPGFPMKTKGELFWIPERRRSLDARPNGLAGLGAGRPHDPAAPADRVW >OB08G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1722928:1724524:1 gene:OB08G12890 transcript:OB08G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPNSVVFPVSFLAVLAAGGVATTVNPSSSPKEIAAQARATGASLVLASRDGARKLLSPLAAPVVLVPEILDLSVGAAAEDEDQRAFAEFRALLDDDSESAEIATVVGQDDAAAILFSSGTTGRSKGVVLTHRNLIAMVELFVRFEASQYARGARENVYLAALPMFHVYGLSLFAVGLLSLGTTVVVMRRFDVREAVRAIGRYRVTHLPLVPPIMAAMGGGRRPSAAGGGGLPGAGLLRRRADKGHAHPRIPSGLPPSRFHSGLWHDRIYCRWNSRFQH >OB08G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1726584:1729651:-1 gene:OB08G12900 transcript:OB08G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFNKLLEHATRLREYLKASAEKISLGEGIERLRRLYKVATSDEEREVLDWHLANLEFSNAGCLSDLSLAHWDQDDQYEMGGDHCFLAGGNSRLVHALCDGVPVLYEKTVKQIEHGEDGVSITVEGDQVFKADMALCTVPLGVLKSRSIMFEPELPERKLEAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCVNKERSKRGEFFLFYSYHTVSGGPVLIALVAGEAALEFEKVDPAVALHRVLGILKGIYGPKGVTVPDPIQSCCTSWGSDPLCAGSYSHIRVGSSGADYDILAESVNDQLFFAGEATNRAYPATMHGALLSGLREASKILCASENRVNSDYKKYALQKSIRPVDGVLDDLFMEPDLECGRFSFVFSFITPEEQQAPGLVRITLEKHLFPPSKKRKVKGKKNDQEPAAEKIDQQVFHLYATVSQEQATELMASEDDKSRIAFLCKDLGAKLMGYDSTCNVVSSLISGILRARKARKRLEGSKNFKLPL >OB08G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1730939:1735165:1 gene:OB08G12910 transcript:OB08G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGWGMSTGPGRPSASGDRGWLLPLVASLLVSAPLFLAAACGLFSPPSLGAGDDSILIDVATWDDAGGGGGVESEIKNRLLDDDDDPDTAAVNSDASDAEPPRIAYLLEGTKGDGARMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLDWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHMQISGWKMISRAKPIVLDPGLYLSKKFDLTLTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWENLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPPKQHPNILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGDADPCSSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKTRG >OB08G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1756353:1761648:-1 gene:OB08G12920 transcript:OB08G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGILGSNADWQYAANEFVKQLPDDVIVHRSEKNMNTLTLDGVDVMGERLADEVVDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPNDTFKGETKGTICGLEAVNFITVATPHLGSRGNNQVPLLFGSIAMENVASRVVHWIFRRTGKHLFLTDNDEGEPPLLQRMAEDYGDLYFISALRAFKRRVAYANADCDHIVGWRTSSIRRNTELPKWEESISEKYPHIVHEEYSEEFDDERCQNSATDCDSDILEEKMVTGLRRVSWEKVDVSFHTSMQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQV >OB08G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1766002:1769101:1 gene:OB08G12930 transcript:OB08G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDDSDDQPLSRTSSQEIEEENLEDAQPVTAPVIPPAPVPMLSSSSGPPPVPTMSPVAPGPVFTSALVTLPVSAVAPQHEEKEPAASDSNTRETGVMSPEVMPPYGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGAAQASHEVVKPVAVHSKSPVHVDELYSMSELSLKGETSVSNGTPNSPLPPKPIGRPARQSAFHGKGPADGSSNGLIPAK >OB08G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1792763:1793155:1 gene:OB08G12940 transcript:OB08G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRGLRLAPPRARRRALRHAVRCRRRPRGRRRRRRRRGGGDAGGVQGVRRRRRRVHLGVGAAGGAQEAGAPGGRQPRHRARDDLQRRPQQRRPRRLRRVQVHDAGHHRLERLIHPSLHESIHSSNPSKSS >OB08G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1797176:1798795:-1 gene:OB08G12950 transcript:OB08G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDYERKQNENIKNNEAMVQSLHLRKLVESLSKTTKRKSANKHTRCHKNMSKNVEDEGSDSEYDPCLEKCTQSDEDNPELEKDGIGQDALEEEICTSTRPHVKFCFLDLPGFFDGSWSPPVDGINMPISLNTSVGGQSQLGNNLNEDYSTFNTNNHTIDGDACEDQHAQVHSNSSLVVSIGGAPTPKRRDVRKRTMGHGLDKINERHGSRLAIFVPEGKIRPEHPVQAAKLASECGVALRDHLPIYPHWKNYKKVYKNDNNEGATVENIDHISKTRLAVDVNHDGPSKKTCEDIVKKGVRQQRYHLKKNYFNGLSYEQIQLRGPPPNVNAADWEKLLKKWNDPKHKETCDKNKENRGQVKLHQRT >OB08G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1807335:1817062:1 gene:OB08G12960 transcript:OB08G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQPCGSSAWRHAVIQTAGELNLCSRRRPSSRTTTTTVALAKRRPAVRCRSSLRDDSCGGGAACQLVDDGMPVLRRRILEMKAAAAAVAEYDEEDDSSTITWNDETTPEDVEGGGGGGGGGLDDQLFHVLRGFLMSSRRPGVAVGMVVLLVLSVPASAFTVVCNRLVVEWQRLLFNL >OB08G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1841143:1846380:-1 gene:OB08G12970 transcript:OB08G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPDKFLRVRNRSFDECTAECSRNCSCRAYAYASLSSVDGTMDDRSRCLVWTGELIDTGKFRDGTGVENLYLRVPSSEGNKTRSSVLKIVLPVMSVLLVILASIYLVCKSRGKQRSKKVQSKHSFQGRKDYPSEVGNENVEFSSVGFDAILAATNSFSDYSLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIQEDEKLLIYEYLPNKSLDSFLFDTNRKDVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKTSNILLDTEMAPKISDFGMARIFGGNEQEANTTRVVGTYGYMSPEYALDGCFSVKSDTYSFGVILLEVVSGLKISSAHLKVECSNLIAYAWSLWKDGNARDFMDASIVESCPIHEALRCINLGLLCVQDQPSARPLMSSIVFMLENEVAVLPAPKEPMYFTMRNYENDEHSRDNPRRSLNHMSITTLEVR >OB08G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1846392:1847435:-1 gene:OB08G12980 transcript:OB08G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLQVFVFLLLLVCFCKSDDSLTPARPLSPGEVPVSGGGAFALGFFSPTNSTSELYVGVWYNKIPVRTYVWVANRNVPIKTSSSSSSSVKLFLTNGSDLVLSDANGGGTVWTTANNISSGGDGATAVLLDTGNFVVRLPDGSEVWRSFEHPTDTIVPNVSFRLIYVADRSRRIVSWRGPDDPSAGDFSMCGDSSSGLQIVVWNATRLHWRRPAWTGAPIFGVMQRNTSFKLYQTIDGDTSNGLRFRLTVPDGSPPMRLTLEYTGMLTFLSWDSNTSSWTAFSEFPAAAYDQYASCGPSAPAPAARQLRRASASTASFPSTPSTSPEDVGGRRPTWDAAAAPEMAS >OB08G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1862836:1863009:1 gene:OB08G12990 transcript:OB08G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVNAKALATAVGRVQTCSAQPMLVYSSWIDIFLTKNNVLVTLKKEFPVPFIPSIF >OB08G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1863856:1869958:-1 gene:OB08G13000 transcript:OB08G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G17370) TAIR;Acc:AT4G17370] MAAAAAAEVRYGIVGVGMMGREHLHNLAHIAGEVGRAEPPVRVRVTCLADPHPESLRLGLRLADELGLPVPQVFSGHCELLDSGLCDAVVVSSPNMTHYQILMDIISHPKPHHILVEKPLCTTVQDCQKVVEAAKQRSDILVQVGLEYRYMPPVAKLMDIVKSGSLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRVGKRAGGRDGVVTVMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVNLTDGLLSVAIGVAGQLSIEQGRFVTIEEVLSGS >OB08G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1878442:1880735:1 gene:OB08G13010 transcript:OB08G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLSGTIPATIGALPSLEVLQLWNNSLAGRLPDSLGASRRLVRLDVSTNSLSGPIPPGVCSGNRLARLILFGNQFDSAIPASLANCSSLWRVRLEENRLSGTIPAGFGAIQNLTYMDLSSNSLTGGIPADLVASTSLEYFNVSGNPVGGSLPYMAWRAPKLQVFAASKCALVGELPAFGATGCANLYRLELTGNALSGGIPGDIGSCKRLVSLRLQHNELTGEIPAAIAALPSITEVDLSWNALTGTVPSAFTNCTTLETFDVSFNHLAPAEPSPDAAEGGNAARHTAALWASAVAVAFAGMAVLAGTARWLHLRGDDTAATDATGSGGGGHPNVVVGPWRMTAFQRLNFTADDVARCVEGSDGIVGAGSSGTVYRAKMPNGEVIAVKKLWQAPAAQKEAAAPEKNQKLRQGSDGSSKRTVAEVEGGVSYLHHDCLPAIAHRDIKPSNILLDDDMEARVADFGVAKALQSAAPMSVVAGSCGYIAPEYTYTLKVDEKSDVYSFGVVLLEILTGRRSVEAEYGEGNNIVEWVRRKVAGGGVRDVIGAAAWAEDGGGARDEMVLVLRVALLCTGRCPQERPSMREVLSMLQEARPDRKTGAMAKKKQAK >OB08G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1878777:1879601:-1 gene:OB08G13020 transcript:OB08G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLGILARYTVPDDPAPTMPSLPSTQRATSSAVKLSLWNAVMRHGPTTTLGCPPPPEPVASVAAVSSPRKCSQRAVPASTAIPANATATADAHSAAVCRAALPPSAASGDGSAGARWLKDTSNVSSVVQLVNADGTVPVSAFQDRSTSVIDGSAAIAAGISPVSSLCCSLKLTSLLQLPMSPGIPPLSAFPVNSSLYKFAHPVAPNAGSSPTNAHLLAAKTCSFGARHAMYGSEPPTGLPETLKYSRLVEATRSAGMPPVSELELRSMYVRF >OB08G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1883935:1886371:1 gene:OB08G13030 transcript:OB08G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVRWWEEWQLRVLVLSSLGVQFFLAVFGAWRKSRIPPWFRVFIWLSYLGSDALAIYALATLFNRQRKHGKGGSQELGPRQRKHGNGGSQELEVVWAPVLLIHLGGQMFITAYNMEDKRRHILTSVSQITVALYVFCKSWSSSTDRRLSVAEILLFIVGIVKCFEKPMALKAASFHSLARSKYHDKRAKDESSEEQLESFIRDARASLIQKPPVQYPHGNLLSMEDMNLTLNELHLPSELFVDSTHPYSVRLENLKFLWSNGWPFSYYVIRDGLSNIFNLLYTRNKFRAKDASVASFLLWANMASNSGTGHPSHWPSAQLPQTVL >OB08G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1887497:1888320:1 gene:OB08G13040 transcript:OB08G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISNYMAHLLFANPKMLMAGSGKNNLFKIASKELKDMVQAEKNLHIIDDEKQLTKLVLDKVKPKKDSFIHDAWRLAQGGYLHAKSLGTGVEYLSYVRLLLSHAGMETFPDRLQRRHQVRQHKGVQVRENNATTTSSSDSHGFEPVDHKEGESTAALSASQGEGSIASELKEIVVSP >OB08G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1891454:1897301:-1 gene:OB08G13050 transcript:OB08G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAISSLLPKLGELLLKEYNLHKDMKDKIESLSAELRRAHAVLRMVGEVPLEQHTELVRLWASDLREASYDMEDIIDSFLLLHVDGGGGGDKPADPRVLRRLGRKVKLLFKKTKHRHNIAGAIQDMEKKLEEVTARHGRYSADKIAKPARPTPVDPRILNIERMAKDLVGIGEPRDELIKMLSHDEKTKTLHVVGMGGLGKTTLATAVYEKLKPDFPWSAFVPVGQNPDMKKILWYILTRLDKDKHINHQDKEMLNVHELILELKEFIKGKRFLIVIDDVWEKSSWELLEKVLQYNECGSRVIVTTRNSKVATIASDVYNMKPLSHENSKELLYTRIAGGEGSSSAEACDKILKKCAGVPLAIITIASLLATRPGQDWFEVYNAIGFGEEDNDEVANTISILSFSYYDLPSHLKTCLLYLSMFPEDYEIKKNILIWRWIAEGFVQRKNTGLYELGESYFNELINRSMIQPIENEDRGYIYGCPVHDMVLHLARSLSSEQNFVTVLDNDEQRKLKSSNARRFAMHRTTINSYQSVDMDVNKVRTFVAVGCKRGKGNNLAPPTFQVLRVLDLERCDDMADYIESSLKHVGRLCHLRYLRLDSYSHFVKLPKELCDLRLLKVLELDSHSVIDELGLLQQLLCLRITHRLQMVPAGLIRKLTLLQELYIYIIHEESVRRFVKELGNLRELRVLEAVLYDALAEEDTRRDLLQSISCLNKLHTMSIYGSEQREGTSPSCHRLWQLSLPGVKFFSLPAWINSSTLGCLSHLELRVHVVKEQAMETLGSLPMCYLELDSEYTRLVTIKKPADGDYFQKLRLFITPSSFVRFDMHGCESSSGASTIMPILESLLFRVDVRFLKDADLSDGFDGLLVGFNAFGTTSLRKVTAMISCNDAFAAEVQEAEEALEHAANNHPNRPILKTNKDQVTTRYVCTGQQASLMEKISIYQSIHRQIIIIVTLVSFGC >OB08G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1904295:1908217:1 gene:OB08G13060 transcript:OB08G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWLEKESGAKCFALPARKLSLPWEDGEFSWRWTPHPLSRFEEVAQLVDCTCLDIYGRLPASALTPATAYAAYLVFGTAEGQRGLSFPDQETTVAIGGRVVARHAVCLRPDDAEARNLTHEGSEKSAQLIAASLVESWCLKEMGKKVLVSISISIYLILLCQSLEISCKTRKQ >OB08G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1912372:1916797:1 gene:OB08G13070 transcript:OB08G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPPPSGSSGDAAASVSEADGAPSPSPAVQDLAVGVAAMSLQDRFELVRGIGEECIQEDELMNLLQKKPVPVCYDGFEPSGRMHIAQGVVKTINVNKMVKAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKHANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQILYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPKIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFTNMNELIADYEGGALHPADVKPALAKAINQILKPIRDHFKNNSEAKVLLNTVKNYKVKQETS >OB08G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1922594:1927515:1 gene:OB08G13080 transcript:OB08G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAAMRLFGVTIAPAPEPEPDPSDHRDPSPPPPVAVREDAMRKCKSMGNLAAAAASGDAGGSGDGYLSDGGLLQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKRRSSLFDMMSNNLYTCELQSPASNCPKLEDMVAMTKQLQNSTLEGISSSSAVNLSPQVARDLPPPIPSFKATNLDSNLSKMNHMDGFLRAPMLFRPIPRFTEGASSPTPATANMDALAFQANLSACTNALFLRSHSKPSPLPKKADPPADEDLDLTVAPPSQQTRASISSQNAVGVIQVI >OB08G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1934355:1936711:-1 gene:OB08G13090 transcript:OB08G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAAGGGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAVGATAPLPALHVRHHRRRQPAAVVGTNPDPPPPPPPVVHRQLHRRDGRRADLPAASSGRHGGHHGSRGHGLRRIRRRPPPSNHHHHCRRRHGHHHQWLLQPYSPSPAEAAAAAAGAGGGGAAAAAARASSARARARSVGRRRSTASADVGPRRANTIQPGGHGKWCSLPPFYGTK >OB08G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1947703:1950698:1 gene:OB08G13100 transcript:OB08G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNTGK >OB08G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1954144:1970502:1 gene:OB08G13110 transcript:OB08G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNMNKRYAKINEDWQDKDSTNAPKSKKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPHQLQSQPASSSYGCLSLLKKKRSGDLFVGNKPRAVGKRTPRVPVASMYQRDEKVGPSNRQAKPEGNNGDDEGAHVAALALAEVLHRGGSPQVSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDVDFPEGSLGSREAETGDYPKYSSYLMNNEGSASGKSQQKVKRTQRKRKKAARKTDDRLEDDREACSGTEEGHSAKKAKDESEVNAVGRKARWPKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESESSAQIKDENKDIDSDEKPNMPASVSVLEKKDNSRSTVKKVKRQSELASSDMATRKKARIVKVPHGDGSTISETKQLDSKFGVKTEKKKRKPSVAKISKDEKSALKYIEKTEVSAEEGKVSSNKAMDTVDTATQGTTTQQADLASKGRSRRKIGILKALAPECRPTDGTDDPRSDKLSYPVNNVIDLKDSLSHCLSSRLLRRWCMFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGAREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPDMGVEFVTDIDCMPLHPLENFPESLRRQNIVNKYYNGLSEGKFEDRPKELGTGVPTRFTSNVCFDGGDTTSSIPSSHPINTLMKQAKAKATVNDVTVAAQQSMYSQPCTLSQIQEREADIRALAELSRALDKKEALLVELRHMNEEVSGRQKDGEAIRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCRVSEGDDAYAKIGEALDNLNNRSGGSGSSILGIRRIPPDSGQANSSHQDNNTSGHVDSATNSTSSPRLPNGCDSEPQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNIPIFREIEMCMGIIKNQMLALIPTPSG >OB08G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1971970:1972260:1 gene:OB08G13120 transcript:OB08G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTVFSILQIFVSLVIVVNTKLHFVFQSQGHKYLKNWEAGNTKLGSKEEPLALRLVLAHRLLPLPLQFHPLLLVAAATDAAALVILLLLSLRRRSG >OB08G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1973734:1982393:1 gene:OB08G13130 transcript:OB08G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRQVAALQLHRGQRCRYEQSDRWIELMQPSVIGKSSAAGAEDDSGMTNGSIQHPEGWYSDDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARSLKRRGGGQAACSPSRQEPGGGADPVELAAEVTRLEQKAASLGRVLEEQRDGIQKLNAEARAAAPPTHSLPDLLLVAQWREKAAAVEAMKEAARREKECVQQLLNARTQELSSKTSECGRLQEKSLALAKELAALKLSTDMNLQEEEILKLASLGNHGIPANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAREFIKKLKARVQDLEKELEEKENGLIRDLRSAKKFKADQTNSGNNTTNNGFSGLAAGCGNQTMELDEMQDPSNRPGPSPEAKTDLNIKDKMDNKHSDVIDLDVDDSVSQDEQKTGLSAKPFGNCANNLDLQSRPGLYERGRNESVACKTTYLAEENSFRKHSILSERSALQESLTKNKLQNLQETPVLRTMRATTSTWEKEALTIDGISKKATRLSSGTGPQQVHNFNSLSDDFQMPTRIFGGEGTRKSVGKWCKGAATSGSHNANANKSNLISVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKLGSKGGQSQIDHFFGKR >OB08G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1984650:1989562:1 gene:OB08G13140 transcript:OB08G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monodehydroascorbate reductase 6 [Source:Projected from Arabidopsis thaliana (AT1G63940) TAIR;Acc:AT1G63940] MATAAAGAXXXXXXXXXXXXXXXXXGGGGGAARAVPVAVAPRRRFSVSAAAGFDNENREYVIVGGGNAAGYAARTFVENGMADGRLCIVSKEAHPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGRAKKVVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYEQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGARPVIGPFEAVGLNPEVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRITRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETIEVGSFDPKIATFWVDSDSRLKGVFLESGSSEEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIASSSLQSGASV >OB08G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1991090:1992457:1 gene:OB08G13150 transcript:OB08G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMVVMSSSDENQNQNQVAINMCSTPADDRSLPGVGGGATPRSPGVSKAVVPIESPEKTTGKSQPDDHDQQQERAKEVPLVKKVAAEFIGTFILIFAVLSTVVMDAQHGGAETLLGVAASAGLAVVAVVLSVVHISGSHLNPAVSIAMAAFGHLPPAHVLPYAAAQTLAASAPARRSSSRWRSPSCSCSSSPPSPPTRARQSKELVAMAIAAAIMMNALVGGPSTGPSMNPARTIGAAVATGEYSRMWVYLVAPPVGAVAGAGTYTLIKP >OB08G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1992615:1994325:-1 gene:OB08G13160 transcript:OB08G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYRSGAMDAAVSIPAAPLETFSDDRIAIFMSPMPQKSPSIKIHPLGLHNETIQPAPSFARSTVISHIKKVAAELLGTFLLVFLVTSALIANEMHGDALGPVGEAVASGLAMVVTVAPLVHVSGGHLNPAVSLAMAVFGHLPRAHLAPYVAAQLVGAVAASFAARAVHGAANSGAAVIATVPTVGAAEAFGVEFVATFVLVFVITALATDPKAAAKELVAVGAGAAVTTNSLIFGEWTGASMNPARTLGTAIATGTYTKIWVYMVAPPLGAIAGAGAYTALKLN >OB08G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1996352:1996519:1 gene:OB08G13170 transcript:OB08G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIQQVLTASAAANSQSPRVSKMQPFAHACKNRAEFQRPIYRAPQLLHAMLLIQ >OB08G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:1996650:1997915:1 gene:OB08G13180 transcript:OB08G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKSSIHAAPVTVPPMQTDESNRIAIIISPRAGSSKILPFELVNDAAANAGSQRHAGPAESTPEAHHHHHLWHPGLPKIKPPVPLVKKVSAEFFGTFTLIFTVLSTIIMDEQHKGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPPAHLLPYMAAQILGSIGASFAVKGLYHPVNPGIVTVPKVGTVEAFFLEFVTTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGPSTGASMNPARTIGPAIATGRYTQIWVYMVATPLGAIAGEGFYFAIKL >OB08G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2002253:2003726:1 gene:OB08G13190 transcript:OB08G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQCKSGMDNAVAVTIPPMQASESNRIAGNVSSHLWRPGLPNTKPPAIPLVKKVSAEFFGTFILIFTVLSTITMDEQHKGVESLLGIAASAGLAVTVLVLSLVHISGCHLNPAISIAMAVFGHLPPAHLLPYIAAQILGSVAASFAVKGLFHPLNPGVVTVPNVGTAEAFFIEFVITFTLLLIITALATDPNAVKELIAVAVGATVMMNILVAGPSTGASMNPARTIGAAIATGRYTQIWVYLVATPLGGIVGTGAYIVMKL >OB08G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2004644:2005654:-1 gene:OB08G13200 transcript:OB08G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFQYAMFCLLVYMCFGDRPGDARIREIEALQRDLLGSFLSFQVFAFVPAITKLVFRKRWSKLVSLRRRQEELFVPLIRARREAGAGGNCYVDSLVKLTIPEDGGRGLTDDEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDRLREEITAVVGADGDDEVREEDLQGMPYLKAVVLEGLRRHPPGHYVLPHAAEEDTTLDGYRVPANTPVNFAVGDIGLDGEVWTAPREFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWREVAGEEVDLTEKLEFTVVMKRPLKATAVPLRGERSAAVKCSA >OB08G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2009280:2010971:-1 gene:OB08G13210 transcript:OB08G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLYYLLSFTLCLALHLLLRAVTGKLAAPPRLPPGSSAVTVIIGPLLFLGRSNFAVKPIIRAARRCVVESVMAVQREFSTSALGFQALGMYPAVTKLVFRRRWRQMLSLRRRQEELYIPLIRAREARHRHGVAGEEGVAASYVDSLLTLRIPENGGRNLTEGEMASLCAEFFVAGTESTAAVAQWIMANLVARPEIQAKLRQEIRAVVGVGGGGVQEEHLRMPYLRAVVLEALRLHPPGHFVRPHAAVPDDDDDAAAALIDGFHVPRHATVNFTVADMALDEAVWPDAARFRPERFLPGGEGADVDLTGGREIKRMPFGAGRRACPGVALALLHLECLVGNLVAASEWRDVAGEAVNFAEKQEISVVMRRPLRAVAMPCHTILQIKI >OB08G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2011109:2013759:-1 gene:OB08G13220 transcript:OB08G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTSSSSSSAAAASPLLLSPADGFLCVKDGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKNQPKRGLIQNPSWGFQSVKSAGTWEDLDTTNGGSSRNYFSSMFNTAKQKASTLRWSQPDFTMKDDISEESVSSAAPGSSQVGGHGASTPSDAGKDDLPVSSQLLDNNTATTNESFSSTDISRTAETYNKFKEEQELKLQEWLRQSEEADDNKE >OB08G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2014662:2014889:-1 gene:OB08G13230 transcript:OB08G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRRRNKLRTKLSYLISSGTIRYQLVSSAPHDEVRTESMRQRSASVCGAARRSGAWEQRRDDADEQRVDGVACV >OB08G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2015308:2017175:-1 gene:OB08G13240 transcript:OB08G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MDCSNGEVQHQWIEDVKSGGAVPFLEPDNCPNGWATPPGDTFMVRGPEYLTTKVKIPGGEYLLKPLGFDWMKSPGKICEVLNNKNHRVRKAIDGEVSHGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLVDQFLKGDETFRKSRLKLIANIVKGPWIVRTAVGEQAICILGRALSCKYIQGSNFIEIDVDVGSSIVANAIVHLAFGSVQTLTVDLAFLIEGQAESELPERILGAVRFAELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNAREPSSSSQSTNGSLHREDVDENTTRNLNKDDVDGNTTRSLHKEDLDDNTTGSLHKEDANEKTAANLFQEDGYESATGSFHEEDTEEDTNGSSHKGDADENTK >OB08G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2019317:2023130:1 gene:OB08G13250 transcript:OB08G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVYCSALNKCLAYNPCLSRNYCQSSRTVKLQRSQVGRILLPRKLRKSTPWHTNFTERQIATRCSSDLSTSCREEVPNYLPVTVLQDQSYARQGVFRKVLVILNPNSGFRSSREVFYQKVQPTLELSGFIMQVVETAYAGHAHALASIVDLSTCPDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGTRDPVSAATTLAKGGLTPIDVFSVKRIQAGITHFGLTASYYGFVADVLQLSEKFRLHLGPFRYIIAGVLKFLSLPQYSFEVDYLPLSPRRNRKLLPVNEKCNDHLSADSSAEDNWVTRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVRGSGRLRLFCFFIAYQFCWHLLLPYVEYVKVKHVKVRPIGNTHNGCGVDGELLHGEGQVEWQCSLLPAQGRLLGRNPSTSE >OB08G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2024068:2028618:-1 gene:OB08G13260 transcript:OB08G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERREEAAARVAEQARELQDAAAGLLSRAWAEEEALRXXXXXXXXXXARLRRAAAHADSDKVEEDLDRATCLIGDGDIVSLLPSKTHGAFLKMFLGPVNLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPTILLFLRSWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWMCHHYCAMLMALISLTWEIKGQPDCSRKQRGVELFLCWAIMQGFAMMLQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKKKSKGRRDPDTCNSPTGLSPENSTARA >OB08G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2034503:2047738:-1 gene:OB08G13270 transcript:OB08G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MVGSDVAFLAETAAAVGSCDGADDALRGVCHLIHDIMCKTNMEINSSCLLAMLKFLDVLMQCSLEGACGKGLSARKTALDTVSECLQILRFLSKDFGGSTSLPENVHLLRALISIVSCMQSELNLLDRPNGAGFSSHTSGLINNRNSNIWDMEVSAFSMIEDTLSKIASNLSEDLWQSVVEVLRKVMDFLTARNFIIENNIMSRFYTSFLRCLHSVLSDPKGSLSAHVPGIVANLQIFFVYGLKSSPPAITPVEYKTVSKSSAGRYKPPHLRERSGKGDDSFDGRSSDNESCRYDVSSSDSDMSDSDGYVKTGDRFRSSKARLTAIVCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPVTKVRIEAASTIAAMLEGQALVLTQVAEYKESSRRGSFTTLSCSLGQILMQLHTGMMYLIQRETQTTLLAALFKVFILLISVTPYARMPKELLPTVITDMCKRLLDRHLNKTEQYASLVNVLNCLEAAFSKEPPSSNVFEVLTQEGCAGASHAQQESSVIAVLLHCIEQEMHFSVRCGALQVLRSAVHNYPRCANIIWTKVRDIVLDLLQMQSLEDRDANVGPPKEESSVKGRCLVVGMKVIDECLRVSSGFKGADDLKECRLQDIQHISDCTINKTVKSAPHFEIDVPGPSQNFTLDITLGTNRWIEVIETLLPQGLSHISATVRTASLTCFAGMTYDVFFSLPESKRDYVTSSSIHAALSDTVPTVRSAACRAIGIVACFPIILSSPSLPGKFIDAIEFNTRNSSTPVRVTASWALANLCSSIRFRALGTNPSAGVLDKSAIPLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNYHSDTVDDTSNSVFKGDPVWLERMVHALISCVTTGNVKVQWNVCHALSNLFMNETLRLPDMPWASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGCSFPDVVRGIEHVLESLSSNSLSSPSNFKHRDNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLCSLFNNVEDQPLANEAINDEDGFSPNVAQKFMLSSAVKSLVDVYTSEDQHTFAQRFEQLARSLESV >OB08G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2053769:2059674:1 gene:OB08G13280 transcript:OB08G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHDEDHSISSSQFDDMDESKSTIPVPSESSTDEKPFPFFRLLCYADAVDWLLMALGTIGSVIHGMAFPVGYLLLGKALDAYGTNINDQEGMVHALYKVVPFVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTAKIITGVTSHMSVIQDAIGEKLGHFVTSFSTFFAGIIIAFASCWEVALLSFLVIPLILVIGASYTKHMNGISLSRNVIISEATSIVEQTLSHIKTVFSFVGEKWAMKSFVQCMDNQYKLSKKEAVIKGIGLGLFQAVTFCSWALMVWIGAVAVTRRKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIERKPSISYEKHGSVLGKVRGEIKLRRVHFAYPSRQDKPILQGFSLCIPAGKVVALVGSSGCGKSTVISLLQRFYDPASGDIFIDGHSIKKLDLESLRRNIASVSQEPSLFSGTIKDNLRIGKMDASDDEISKAARTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALDKAMSGRTVILIAHRMSTVVNADTIVVVENGKVAQTGTHQELLGKSTFYSNVCSMQNIEKEAGKRVESSSDNAIEDEIDEVYDRQLSPKQGEQTKLERPNSKQSKQEVRKEIHPFFRLWYGLQKDDIAKILLGSSAAAISGISKPLFGYFIMTIGVAYYDPDAKRKVSKYSFIFFTAGVITLASNIFQHYIYGVVGEKAMKNLREAIFSSVLRNELGWFEKPKNGVGFLTSRIVSDTSTIKIIISDRMAVIVQCISSILIATVVSMYVNWRMGLVSWAVMPCHFIGGLIQAKAAKGFYGDSAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMRVTKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFEMLDRDTQIVPDKPESPSNGWLMGRTEFQDVNFNYPSRPEVTILDGFNLVIEPGQRVALVGPSGAGKSSVLALLLRFYDPQRGRVLIDDKNIKDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEETSETEIIQAAMEANIHEFISSLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPAILLLDEATSALDGESERVVMSSLGAKEWNKRNEASSKITSITVAHRLSTVINVDMIVVMEKGEVVELGNHQTLISADDGVYSRLFHLQSNMRD >OB08G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2062603:2068722:1 gene:OB08G13290 transcript:OB08G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIQEKDHPVENSKDSSVDEERITSPPVAEKAVIDQQFPFFGLLCYADGLDWLLMVAGTMGSFLHGMGPSMSYYLVGKGIDVVGNNIGNREATVQELSKLIPYMWALAIITLPGGMVEITCWMYTSQRQMSRMRMAYLRSVLSQDIGAFDTDLTTAKIMAGAINHMSAIQDAIGEKLGHFLSNFSTFFVSIIVAFVCCWEVGMLSMLVVPMLLMVGATYAKMMIDMSMKRMALISEATTVVEQAFSHIKTVFSFAGENSAIKSFIKCMDKQYELSKKEAMTKGLGLGMLQIATFCSYSLTVWVGAAAVIDRSAKGGQTVAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEIINRNPAINYESNGTILEKVIGNIEIREVYFMYPSRVDKPILRGFSLTIPAGKVIALVGSSGCGKSTVISLVQRFYDPISGDILIDGQNVKDLDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIETAKAANVHSFISKLPNQYLTEVGERGVQLSGGQKQRIAIARAMLRDPPILLLDEATSALDSESEKLVQEALDRAMQGRTVILIAHRMSTIKNADMIVVMENGKVAQSGTHEELLEKSPFYSSVCGMQYLEQESGKGEERFTDQVREEQDNGTGTNNEPSSTAHEQEKSLELNSNQPNQEIRKRTSVFYRMFLGTFMLEPGKVLLGSTAAAISGVSKPIFAFFIMTVGVAYFDPDAKRIVGKYSIILFLIGLLTFFSNIFQHYIYGLIGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSRVVGDTSMIKTIISDRISVIVQCVSSILIATGLSMAVNWRMGLVAWALMPFQFITGLVQVRSAKGFATDSSTSHRKLILLTSEAVSNIRTVASFVQEEEILKKADSSLQEPMQTSKIESIKYGVVQGVSLCLWHMTHAIALSYTIVQLDKSLATFENCVRSYQAIALTITSVTELWSLIPMVLSAITILDPVLDILDRETQIVPDEPKVHCEDRITGNIEFQYVSFRYPSRQEVIILDGFSLAIEPGQRVALVGPSGAGKSTVVSLLLRFYDPCRGQVLVDGKDIRDYNLRFLRKQIGLVQQEPILFNFSIRENISYGNEGASETEIVEAAMEANIHEFISGLSSGYDTVVGDKGSQLSGGQKQRIAIARTILKRPVILLLDEATSALDGESERLVMSSLAAKEWKSKEGEPSNKITSITIAHRLSTVTSADVIVVMDKGQVVEMSNHETLVAASNGVYSRLYRMQSKGVRD >OB08G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2083783:2087126:1 gene:OB08G13300 transcript:OB08G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPRASTRAARPPPPSPPPSPSPSPRPAESGESHAPAAVSGRWTRSRARALGLDTAEHPRAGGGGGAPAAAPPTTKSSSTGMTLASRPLPLRYPPYPTLPPGKRPTRKHADAVLKWIDERRRVAKLSKQQAQRKDIPTLRDDPEHSEPLTDDAVVKPPYKAMVRRVARSVVGVSSKIPDGELISQCTGVIISWEGTTKRAKILTAAAAVCDFRGELHNPTLKLSVQCQIRLSQKDSCYSSMCTMVSPFWR >OB08G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2091416:2092643:-1 gene:OB08G13310 transcript:OB08G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNNNGPRRPNLLTSSVSVNQNWITTTAAHSIFSVEVAVLYEEEDNIETLTNYVELTNALLPPNKRGKTYKKTDVETHKRKDLPKFGQRQQTGFLVEKRGNSMYILTTAHAVDTVYKKGVHQVSAEDLNQVFTFSVICTHQEAYLKQAEPDKSVSELLRSYSSAGVVAVDTQRDLLLLETNENNLRLHDVDDGGFVACSSQHPVIRMSNSPPVQDEPVLMQGWPPLRVNSSVWGNANCVYRTYDVLTSINKKGYTMLLMEVPQFYCAAGFSGSPILNGNCDFLAVYHGVDDESQFGYCISLDDVRHFLTTALDNVFGECLWQEAREKITRDAP >OB08G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2099542:2100789:-1 gene:OB08G13320 transcript:OB08G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRYQTESTPSYHTGSARAAGNQVPTPVPTFLGGGSTIPSNRGQAAWWPRPLPQATSSAATSTNWTAKRLVFDPDEDERLVSAWLFHSTQSMGIARKMRSTGVMYMNSTIKLHLQTEKERRNISKIAGKKLRDGWVFSVHVGRRLPQFILADIQMTS >OB08G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2104667:2105486:-1 gene:OB08G13330 transcript:OB08G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMNSIVHASTSPSPETASPPPEGRQEHAELAVHVVGVGVGDEAAMLKDDEAAPGGGVDYSGRAQWLRAALLGANDGLVSVASLMIGVGAVNESARDMFVSGLAGLVAGAVHHVINPFYSLS >OB08G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2109422:2110293:-1 gene:OB08G13340 transcript:OB08G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEELDYVLVPLGLALMVAYHAWLLHRIRRRPATTVVGANAINRRIWVRHIMELAVVDYVTATLNRGSYFWSLGARAFYFSCPVFLWIFGPIPMLSACAAMVGALYFLDVCDDWWKEDDDEQSESDDRLEEVKV >OB08G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2122495:2125087:1 gene:OB08G13350 transcript:OB08G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEYFVALRSAMECSSDESEISDADIDDYVDKSYMDLKSGKNVVRLGNEKFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAPKVRANHMALANLLKNDYTDAAGSLPSQQVVAPSNPPRPLPDQEVYVWPWMGILANVPAEQTEGDGASLMQQLADFNPLHFTSVYCSEGRYTGYAVVRFSKDWIGFKNALAFQNYFKSQHSGKTDWIAHGQEKYIFGWLAKEEDYKSGDAVGRFLSANGDLKTVSDLEQDLSRKTETLIASLSHQITAKSKYLVELECKYNQMNLSVKRAMEETDLLHKRYNEEMRNMQSAAREHSQRIFQETDQLRKQLDDKESAIERRSKQLSKFVAQTDMERRKLESEMKKNSEQNDSLHMARIEQQKSDKSVLKLVEKHKKEKEIALSKILQLEKQLDEKQQLELEIQQLRGRLRVVQHMEGEGVDVKKLTEELTEELNEKIEEMEDMEALNQALIIKERETNDELQEAKKELISGLSDLLGPRSNIGIKRMGELDERPFLTACKQRYGADEAVMKAAQICSKWQENLKDANWHPFKIVTIGGKTEQIINEDDEKLVGLKEELGDEVHSAVITALLEINEYNASGSYVVSELWNNKENRKASMQEALQHVLKQWKLHRRKR >OB08G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2128056:2129826:1 gene:OB08G13360 transcript:OB08G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16835) TAIR;Acc:AT4G16835] MPVRDVASWNTMVSGLSRSGAVEEAKAVFLAMPVRNSVSWNAMVSGFACTGDMTAAEEWFRNAPEKGDTVLWTAMVSGYMDAGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSQADDALRLFRTMVRDANVQPNASTLSSVLLGCSNLSALGFGQQIHQRCMKLPLIRNLTVGTSLVSMYCKCGDLSSACKLFGEMRTRDVVAWNAMISGYAQHGDGKEAIHLFERMNDEGVEPNWITFVAVLTACIHTGLCDFGIQCFKGMQELYGIKPRVDHYSCMVDLLCRAGRLERAVDLIRSMPFEPHPSAYGTLLSACRVYKNLEFAELAAGKLIEKDPQNAGAYVQLANIYAVANRWNDVSRVRRWMKDNAIVKTPGYSWIEIKGVMHEFRSNDRVHHQIHLIHEKLGQLEERIKAMGYVPDLDFALHDVDDSLKVQMLMRHSEKLAIAFGLISTAPGMTLRIFKNLRVCGDCHNAAKIISKVENREIILRDTTRFHHFKGGHCSCGDYW >OB08G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2132009:2132752:-1 gene:OB08G13370 transcript:OB08G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVQLDYVLVPLGLAIMASYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHTIFSGSGGGELLVGAAGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTGFLVNVPLQAHRLRRPGLAVDYVTAALNRGSYFWSLGIRAFYFSCPVFLWLFGPIPMFAACLSMVCALYFLDVYSEWDKPDDEDDLNGAGAGDPIKCHE >OB08G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2138942:2139724:-1 gene:OB08G13380 transcript:OB08G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKELDYVLVPMGLAVMVAYHAWLLLRIRRRPAAPPLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAHTILSSGGGDGGGELLVGATGETALSVKFFAILVSFLVAFLLNVQSIRYYSHTGFLVNVPLHAHRRRRRPGLAVDYVTDTLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLLMVCGLYFLDMYTEWEKPEEEDDLNGGDGDAKTAAAGAGRVMPQQDVV >OB08G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2148388:2151865:1 gene:OB08G13390 transcript:OB08G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQMQVSPTQAQLLAMLAQILGAQRCIEVGVYTGYSSLAVALALPESGCLVACERDGRCLEIAKKYYQRAGVAHKVDVKHALAVDTLKSLIDHGEANSYDFAFVDADKKTYEEYYELLLKLVRIGGLIVIDNVLWYGRVADPLVNDLKTISIRNFNKKLLEDNRVNISMVPIGDGMTICQKLVDT >OB08G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2151355:2151588:1 gene:OB08G13400 transcript:OB08G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGTNRYGGILGTKIIPDAYCCIEQQKYWQACFFVQMHFRPACHKMELSMLNGNYGFKALKHEADNVLGCCLVLFR >OB08G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2155549:2157236:1 gene:OB08G13410 transcript:OB08G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVVFAVLCKIGSVLASSASITLGGRFDANLTIINEIENRIKQIEVELKLMQAFLRQAQKQEGYSEPTEVYLQEVRKAAFEIEDIIDEFLYLSVKHKSRFFNGEFLSYFRKLGKTSWHKIAGDLKDLQCHLQNLQNLRVQYEIQLPNGGGGLTHKEDHRLPHHLSYYLADKMVGVEQEKTMIIRWLSATTRLTSVIAVWGMGGSGKTTLVNIIYEDERIKNRFDCHVWITVSQKFNASDIMRKMVRHILQSRCPSNIDSIDGRDLVEILKRTLEQRKILLVLDDVWCTDVWMDLAGTVGSSSNENKVIITTRIKEVASLASEDQVLELKKLNEADSWCLFCRWAFKNNMDRACPPELEPLGREIVGKCDGLPLAVVVVGNMLSFKKQDTEEWSKCDDQITWELRDRLRDQELSSVMKILKLSYKHLPSHLKNAFVFCSIFPEDYMITKKRLVRLWVAEDLIKPEKRRTVEEIAEEYLNELIDRCMLQVVERKHFRKVKEFQMHDIVRELAISISEKETFCMTQPGEPEYRCR >OB08G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2154302:2158130:-1 gene:OB08G13420 transcript:OB08G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVSFSDMEMASSLTMSCIWNSFTFLKCFLSTTCSMHRSISSFRTQRHSLGGLVSVYNSASESSSPNSIPGHAICRAIPRLSDHHTYSTLRYLVS >OB08G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2157959:2158743:-1 gene:OB08G13430 transcript:OB08G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHQHQILQCQEQLNAMRHLLELSVCSLGNQPLRKMMLAPSYASPIWSVFRLEREEMEINGSMFILLPVRYSSSSLAPTV >OB08G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2159964:2163170:-1 gene:OB08G13440 transcript:OB08G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDEATTSKHHRRDKDKDKDRDRSSSRHHHRDKEKDRERERERSSSSRHHHHHREDKDVERERDRDRHHRDKERDREERKARGREKEKEKEKERERARRREERGREESSRSRRRGADEGEDEGEEEDRHRKRRRRSSGHHHDSETEAAGPSSAAAREVEEEVDEEEAERRRQKRKEEEQKRLDEEMELRRRRVKEWQEMKRLQEEAKRLEQEEEARAGDGASTAAATTTAEANGDGDANAGKKWTLDGEESDEEGSKEDAEKTQDSGGVTTDLPNVVNDANGAAAMEEDDIDPLDAFMNSMVLPEVAKLETVVASMGSTPAANMDDKNGKSTVSNGDKKVPKKAMGRIMQGDDSDSDYDDDEDDEGGSEDEDDEEFMKRVKKTKVEKLVIVDHSKIDYQPFRKNFYIEVKDITKMTGEEVAAYRKNLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVPIYGGSGVAQQISELKRGAEIVVCTLGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQRGYPCLSLHGGKDQTDRESTLADFKSNVCNLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARRSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDVAAQAIAAAQAAAALAAAKAASNANQQVPGTNAGSLLSIPVVANAPNNEATARALQAALNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQDWTGAAVTTRGTYIPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSVQTGKYSVI >OB08G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2165051:2168600:-1 gene:OB08G13450 transcript:OB08G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGFGPVTVNNRAVVPVPFGHPDGDVTLFIGDWYTESHVELRKMLDDGKDLGIPDGILINGKGPYQYDNTLVPEGLQHEIVGVEPGKTYRFRVHNVGTSTSLNFRIQSHNMLLVEAEGTYTLQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVANEPRWRDVTGVAILQYSNSKGRASGPLPDAPNDVYYKSYSMDQAKSVRMNTTSGAARPNPQGSFHYGSINITQTFVLKNELPLRINGKLRRTVNRISYSSPETPLRLADLHNLTGVYKADFPTVPSNAPPRMASSALNASYKGFLEIVFQNNDTDVQTYHLDGYSFFVVGMDYGEWTPDCRGRYNNWDAVFRSTTQVFPGGWTAVLVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIKPDNALYCGLLKDLQKPQIHQVSNKSSAQAADRRSARVLAMVLLIVAAVIFS >OB08G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2174239:2178595:-1 gene:OB08G13460 transcript:OB08G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12230) TAIR;Acc:AT1G12230] MALSISAPTSSSLLPASFQVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTLEKPNDRMSCFLTKVEHEEIWQALANVGAELAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYNDHDVSSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQASAAAQAGASVVQIFVGRVRDWARTHSGDPEIDDALKKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEECVTDTDVKYGYVPRLTPVLGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >OB08G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2185953:2191487:1 gene:OB08G13470 transcript:OB08G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNPVMFDNDDDEGPVSFKRSSASVKNRPTPTKPEGSSGNVGSVRSPKSVASSQQRNGLTGVSRPLQQRPQSSTPNPRPLGSVQLNSSKEHNNNSNAMDKSKLKRPFVEDKSDDSEDDHKPIGLRRKVEDKNFKKAAVGSETADDSDDNKPLSLKINSTKTPSNSTNKASVLKVTPKIVQPADDSEDEKPLARRLPAKTTLKSGANASDDSEDEKPLAARFSKVTGSASASISSSKDKVQSANLKPSSSSKVSNNDTNTSRNSIKRPIDNNNQSISALKKARPSDVSVSASVKRESKADDNDNIPLSQRLKMGESSKSKPPAKNIVKKNPSSFKKDSKKMKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFSVMKDTDYASKQTFIDNFFTDWRKILGKNHIIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKAVKEEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIQPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHIHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDDDEAETVGCCTLKVDNVTCVPPNNLQFDFLGKDSIRYFNTVEVELPVYKAIEEFRAGKKPGDDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVKKGKSLGYDKDGKPKRNLAPEAFEKKISQVETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLIAKFSWAMDVDPDFRF >OB08G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2193235:2196597:1 gene:OB08G13480 transcript:OB08G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G03330) TAIR;Acc:AT1G03330] MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >OB08G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2206899:2209802:1 gene:OB08G13490 transcript:OB08G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTSCGEELLDIQMQDSSCSLPQIERRSKLPEPAEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSHLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPVGVLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNHQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGNKASFLIGKWDENMYYSNLDTSKVKSADQLKGASLLWEKNKPSPNPTRYNLSSFAITLNELSPELQDKLPPTDSRLRPDQRHLENGEYEKANTEKLRLERRQRMSSKLQDNGWKPKWFQQDGQDGTYRFKGGYWEAREQGHWDGCLDIFGEFSETL >OB08G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2209575:2213742:-1 gene:OB08G13500 transcript:OB08G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT1G63780) TAIR;Acc:AT1G63780] MLRRNTRLRREYLYRKSLEGKERQHYEKKRRLREALEEGKPIPTELRNEELALRREIDLDDQDRAVPKSLIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHAIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSIDLKEVGPRFELRLYQIKRGTVDQAEAQNEFVLRPYMNTAKKQKSLGA >OB08G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2217379:2221211:1 gene:OB08G13510 transcript:OB08G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSARFLEIQPSELAFPFQLLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVMMQAQMKAPPDMQCKDKFLVQSVAADNGATTQDINAEMFNKVAGKVVEEFKLRVVYVPTTSSAVPEDSEQGSSARSFAQGNGTYNSTVQQPVSRSSAEPTKERPTEASSEISKLNDEKRDAIQQNQKLRHELELLRKESGKSNGGFSLTFLAIVGLLGIIVGYILKKT >OB08G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2221276:2221611:-1 gene:OB08G13520 transcript:OB08G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGDDGGAADGEMSGRGGGGGGYTGGSGVTEMVTAANAATSAVTTTTEEGERAGTVPAASSSSSSPVWRWHVLNLMLGFVVGVAVAAAAMLFLKRGGGGPPPQLCVVPT >OB08G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2223490:2230112:-1 gene:OB08G13530 transcript:OB08G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMLPESNAQAADVLGDAWDYGGRPPARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACAAGSAACERAAGAQMGVLYLALYLTALGTGGLKSSVSGFGSDQFDESDSGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRHLELPSDPSMLYDIDVGKLAAAEEVSSKKSKLKQRLPHTKQFRLLFVQKYVPAITETSVQKLLMSFLDHAAINEEAGVDGEASKWELATLTDVEECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKLTGDRHAWIADNLNRGHLDRFYWLLAALCFANLAVYLVAARWYKYKAGRPGAAVEMADAEPCLH >OB08G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2243153:2243443:-1 gene:OB08G13540 transcript:OB08G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTFNIAFLKKLLLLYYPTGLPPYQMKEQLLQKCEMASQLPLTSNCHHLNCIHVEQMNAVNCRFEHDVIPIGMDTCQRFSILILLSKVLCCLVSA >OB08G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2251995:2261354:-1 gene:OB08G13550 transcript:OB08G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3MQH6] MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKRRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMEKINETISTAKNEVKELIKQAHDKHLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDTVFRYELDDENWRPNYMLPEHVEDLKTIREFRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDVDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEIQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKYGKVNKFEDNDGFKPDNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRLSPYSQTSPNYSPTSPTYSPTSPSYSQPSPSYSPTSPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPRAANKDEDDAQ >OB08G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2265600:2266177:-1 gene:OB08G13560 transcript:OB08G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAYLLLLLLYLSSSVCRATSRNHRLFVVHGGADDGVAVVVPAASSMAHGDHRGTAMHVWRSTPPGEDVPAAELTTTAAAEKSALPGAIEGVAMAPMPAAADRGQAEVGGSDSGGGVGGGGGGGGGGGGGGGGQHDGAVDDTGVDYAPPKTHPPSHN >OB08G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2270529:2270834:1 gene:OB08G13570 transcript:OB08G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKYGFAFLAGTGFGAALTSLRRADGHCPLHRHRRRHRHDHDHDHAAELVEDKDDDEAAGEERYTRESGKRGSPPTAKAKKSSSKKVAAAAREEEDGDE >OB08G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2272823:2273056:1 gene:OB08G13580 transcript:OB08G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGAAAGVYAAQNYKVPSLRGLADRGVDAARQYEEAYRKKPAAAAAGKKKINKTVEIDDDDDDDEE >OB08G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2287816:2291118:-1 gene:OB08G13590 transcript:OB08G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVADAERKSDRDGRGSSGAMARRRNRRRKRGGGAVLGKRRETSEPQAAVEEKQVPVVEDGCTTAGMERDEVFLRFFIGLSAEEYAASKAASKPNKREETSEEWEARVRKRLEEQEKAYREEMGGEDDESWAAIQYRQFWNEVYSTHHGSYQDTTRIPAMRFTHKPALGDTAGTSDTLQIFSVKVAATSGGLQWPLDVFGMVSMRDSVDRNRNVLFHRTRDNCQTLTEQEHNLVLVGPTRAIVLSMPEPVIIDVELKVKGTTESEDKYLSLLAVPLLCDDKYYSRVLKSGSYTSKLSTLAFRLGYIVSSVEATISVRVIHGSWPDGFHGQIAAFTTCICFKHLVSDDIVANIDHEKIVLLDFRGKKAVSDGKVELSRHVVSVEKRGELKVSVKAWEVDNNVVEKVTVFTPLEAGISNGELDIGFCKLQVSVAWSLISQDPVFADSEL >OB08G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2291831:2295777:-1 gene:OB08G13600 transcript:OB08G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMTTAVTASSTAFLLRVITVHEWCHRCHLALAGGDRERDRMQERRDESYGVDLKSYSGQISKVFLEVDQFVKPWVFFCDELVKELTLGERLRVGDDAGEDLLVDPREREEAVVDGELDLADDVEAVTEEEVAVAVDAATEGVLDGEDDTVGDPELDGLDGDLKLVVGYNVVARVGLCRCRRLAVGPRELLAVDGASANAGKDECGVPRAVARVRGPIAPLPRKGSVVVYLPQGHLENLGDAAWSTSTVSLSTSFAAQCFIFLSPWLCVLLQLRPLTRLVNLFFKIQGVK >OB08G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2323618:2323821:1 gene:OB08G13610 transcript:OB08G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKWCCLVLTVEKIDQERLRSIRLFFLPVVVNCVKDAERVKETRISRLAAKRRVCTKVEAGKELSPTC >OB08G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2323298:2326461:1 gene:OB08G13620 transcript:OB08G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHEMTSSKPPGIRLFGGVTAVRTYQALVLVLTFIAYACFHMTRKIPSIVKSALDPQTKLGSSPWGRLHTKNTLNTGWLPFNTVDGSALLGEIDVAFLAVYSVGMFFAGHLGDRMDLRIFLSIGMFGTAVFTALFGAGYWLNVHNFYYFLVIQMIAGSFQAIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVVAGLMVFILLPVSPEVMEIDIDDGEVKSVKDTTKEPLLEPGQEAKHSAVGFLQAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTVFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSRSWSAVFTMLMAAALFAGLLLTQLVCTELRGKASSNASKDVADAQATYSDEV >OB08G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2326732:2331016:-1 gene:OB08G13630 transcript:OB08G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPAPAGELLRIDPLELRFPFELKKQISCSMQLSNLGDDYIAFKVKTTSPKKYSVRPNTGVVSPRSTCDVVVTMQVQREAPPDMQCKDKFLVQSIIAPSGVTVKDITGDMFTKESGNKIEEVKLRVTYVAPPQPPSPVPEESEEGSPSRTSESENGDGHAGGFTRALRERIEPQENSIEAGDLISKLKEEKNTAIQQNQKIRQELDMMRREISKKREGFSFIIVIIVALIGIFLGYMMKS >OB08G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2333359:2335989:1 gene:OB08G13640 transcript:OB08G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAK >OB08G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2348154:2351068:-1 gene:OB08G13650 transcript:OB08G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sporulation 11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) TAIR;Acc:AT1G63990] MAESGVAAASLFGTDPRLCSADVLPPAEVRARIEVAVLNFLAALADPSAPAISVLPLISRSAANRGVRRALLRDGVSSVYLSHAFCRRSLTRANDGKAFVRVWKVMEVCYKILGEGKLVTQRELFYKLLSDSPSYFSCQRHINQTVQDVVSLLRCTRQSLGIMASSRGALIGRIVLQGLGEEHVDCSILGPSGHAITGDLNVLSKLIFSSDARYLILVEKDAIFQRLAEDRIYNHLPSILITAKGYPDLATRFILHRLSLTFPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQEKHRAELTLMLEMGKRAEIEALYSHGFDFLGKYVARKVVQGDYI >OB08G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2352710:2356518:1 gene:OB08G13660 transcript:OB08G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGHGHHHGIGGFGGGGGGGSGGGEAQIKGALTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGACGIICAAVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPQYPKQQFRSRFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCPAPFSFDFEQPSLSEEDIKEIIWREALKFNPEPIH >OB08G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2358075:2371906:-1 gene:OB08G13670 transcript:OB08G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MGFPSVCYCVILPQPLVLVLQLLDLLRHAFLLGASAVGLAAPPTADEHPAXXRRAPCASARWRRATASGSSATARTRSTRRASTSGSTRARPPARSAAPPSSRPPTTTPSPPPAGTSPPPPPPPPXXXXPPPPPPAQPPPREAHAPPPPREQQTKPGLPRVETEEERRARKKREYEKQRAEDRKQQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGAPRPAATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPDDLGIPLDLLDMSVYNPPPVQPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGVSWLVKTQYISPLSTDAAKLSITEKQAKERRESREGRNTFLENLNDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWALPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESQAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGSMMERGESSSMHENLKRQRSSVDDDLYDHPKHSRVEDMDQYSGEEYSD >OB08G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2382173:2385882:-1 gene:OB08G13680 transcript:OB08G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAATDEEACMYALQLASSSILPMTLKNAIELGLLDTLQAAGGKLLTPEEVAGKLPSTANPAAADMVDRILRLLSSYSVVRCEMQEGDDGKLSRRYASTPVCKWLTPNEDGVSMAALALMNQDKILMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGKDARFNRVFNEGMKNHSVIITKKLLEFYTGFDGVSTLVDVGGGVGATVHAITSRYPHIRGINYDLPHVISEAPPFPGVEHVGGDMFASVPGGADAILMKWILHDWSDEHCARLLKNCYDALPPHGKVIVVECVLPENPDATPRAQGVFHVDMIMLAHNPGGKERYEREFRDLAVAAGFTGFKATYIYANSWTIEFTK >OB08G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2396211:2396399:1 gene:OB08G13690 transcript:OB08G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGVYELVIQIQLSQARLCHLTCYLKKMICRFQRTSGIGASFLKFWLNSSASNAPFFHSL >OB08G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2409484:2416193:1 gene:OB08G13700 transcript:OB08G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSSLSCETSIREVPNDKATKSNLRSNSTSQMAGDAALEKLQRKEISEKGSCSEVINLFEIPSASFSSVNKGSSNHDASRGMEPTKTEIKDVVILERDSIHNGVVKNAKDINDQEMERLNEMHISSKSDHSHENCLDASKQQSKPKPNSVEATYADWSARRDSHYQMDRNGVTGIPATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDRSDTAQPFPHNYTAFAPIMQCHCDQDAYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAIDSNSPDPNQENISESAQGSHAGSPPNMASIVAATIAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFPTADVQRAPEKDIDCPIDNAQKELQETRKQDKSEAMKVIVSSESDESGQGEVLLHTELKISPADKDDTKTATGANTSEVFGNKKKQDRSSCGSNTPSSSDIEADNAPEKQEKANDKAKQASCSNSSAGDTNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQAEGSKEVTKEDEDEVTTVTVDLNKNVTIIDHELDTTDEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESETST >OB08G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2420874:2421675:1 gene:OB08G13710 transcript:OB08G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDLTLGGAVLQVEATEEEEEEEEQALGQEATPAALVLGRREVVVGGGGGGCGAVEREHMFDKVVTPSDVGKLNRLVVPKQHAERFFPAAAAGTQLCFEDRAGTPWRFRYGPGSATMFLDTVVPVVAGHRVDVGPTGQRSFRLFGVNVECGGNDAAAAAAAAEEADDAAGDDDDDDGDRRGEEMELVMWTNHR >OB08G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2426685:2429755:-1 gene:OB08G13720 transcript:OB08G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDGSIAMHREDKVPGDTRPVSSATACFRVNPLYSGMGSNGRKCSTVKIESPPNKMSETNKIGCGLISQSCITKNVTESKEDIMKNASFSQTLEVGSSGISQDAMTCSKEASPVFHMRPLHMSTGGADPCDSAVDGLKAEPSECSVDSPCWRGTSLSHLASVFDVLQTSNPQLINQESGAFGAGQKKSTSAVQHSEVLIASQNLDTIENKQNQSQSHVELSVSMKSGDIGTSQTKNSHKELESAKQCAAKCTTEQKHSLEVRDNSVKRSGLNFAAPDFVPASVGKLSNIKESASPTGRKMSGILKTMENLSEALRDSCSLDKIGLDEHEHTLLQSIIENLQTCLDQTIKGPIRDGASNKPGLRAPHSQSTILKSDAGNYKGSCTASGGKGITVNKPMGPSHVPNNFGNNSLTWSQPSCNNIPRMTSCEEHSQILVYKNLWIDAERANCKLKYLLKNNLMKIGPESSMAHVGGPRNPSIQACDFESAGPSSSYGGAISYSPTLSFRKDDPTEETSKAMSTGFLYTSDRIRLGDNNVPSCSESINSHPIRPKNFQADI >OB08G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2433272:2436682:-1 gene:OB08G13730 transcript:OB08G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRAEAEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEMDRSGYSPTPSRSTPDNIEPNEEGNTPLNKESPESPIDLPALAETPAAPITRWLADRTDNVMRNEANISHMPLHGLDEEIKQASPSVGALVHPNCSQQSIHHNDELTTVSAPPMPQPEDFCNFPLGSLGNFDGNMTPQDTLDEFLNRTLADPDEHSSTTSKAQYDSDTGILPIDFENPGLMQGELMDDLSGLENLNFWPEDPPPQMSALYEDTPLLPYDTTDQDVLSMDSGAESLQDLFNSMDDSNVRNNVWINEPDLSGTGFPMYWPSQSNDLFAKQGTANRRLKLQESLCPDFECEDEESGIVVTSKYANEATGESTAEKDMPSDGDDAESTGITILRRRNVTAAILPSDEEDTESTGITILRRRQTPTASSGGSFTQEGAAVRRVRLQSDFNAAPCSSVDASSSCIIDESGSECTMEKAEIEENAGTTLAEDDELSGICHEDEKEMPAHDASVAVAEAESVLRLRKTAEGSDKENKQDDGALDSHVRAPPVNKREFPAYIIWLVLSVALVLLISVGVYGWV >OB08G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2448413:2449282:-1 gene:OB08G13740 transcript:OB08G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNRVRVNSVSATAWAETGATLGELYYAVGRSSQSSSLAFSGGSCSTIGLGGVISGGGFGLLSRKFGLAADNVLDAVLIDPNGRILDRSSMGEDVFWAIRGGGGGSWGVVYAWKLRLVPVPNNVTVFIVDRTGPVEYIARMVDTWQFVGPKLPDEFYLSVYFPTGSSDGNISISFEGQVLGTKQHTLSVLSQRFPMLGVTESDLSEISWVESIAKFANVDVVSDLPNRWLGAKSYSKSKSDYVKAPIPRHDMVEIVRHLSSGPPGSIILDPYGGTMARIGSGETPFPH >OB08G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2449369:2450329:-1 gene:OB08G13750 transcript:OB08G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSGLSICTSLFTTAQAQASSFSTCLASHGVSNFSLPASTSYTTLLDFSIRNLRFTLPNVTRPAAIVLPSTKEDSSEPYYAHEMVR >OB08G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2452186:2453772:-1 gene:OB08G13760 transcript:OB08G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAIAATANATLVLFSCLLLTTSSAQPASNISSCLLSNGVRNFSLPESPSYATLLNSSIQNLRFALPNVSKPAAVVIPSSKVDLQRAILCARDSSLAIRVRSGGHSYEGLSYTTENHVSFVVVDLMNLNRVQVDMVSNTAWVESGATLGELYHAIGQSNMSLAFPAGSCSTVGIGGIVSGGGFGLISRKFALAADNVLDAILIDSNGNALDRGSMGGDVFWAIRGGGGGSWGVVYAWKLRLVHVPHNITVFSLGRTGTVKHIAKLMHKWQFVGPYLPDEFYLSIYIPTGTSNGNVSMSFTGQVLGPKQYAMSVLHHTFPELGLAESDLSEMSWIESIAKFAGLSSVDDLSHRWLGAKQYSKSKSDYVRSPISMQGTINIIKYLSNGPQGSIQLDPYDGAMARIESSKIPFPHRAGNLYSIQYGVSWHALDNGQAEKYIGWLRSFYAYMAPYVSKNPRAAYVNYLDLDLGTNNWMNATNGTSNGSVIHARSWGRSYFLKNFDRLARAKAKIDPTNVFNNAQSIPPLQY >OB08G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2456733:2457773:-1 gene:OB08G13770 transcript:OB08G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVFWAIRGGGGGTWGAVYSWRLQLSPVPERVTAFIVNRPGTVESVAQLVAAWQHVAPWLPDEFYLSAFVGAGLPELNRTGISVTFKGLYLGPRHEAVEILIARLPEIGLSDLNPIEMSWIESVVFFSGLPQGSTLSDLMDRVLHKKNYFKAKSDYVRRPIRIDELIRAINLLSVEPKAYVILDPYGGVMDRIGSMDLPFPHRKGNLHGIQYMIEWTTHDDDHREEYMNWLCRFYEFMESYVANSPRTAYINYMDLDLGMNNWSNLQMFGSHESPNPEVEAARAWGERYFLGNYDRLVHAKTAIDPENVFRNAQSIPPLGSPRMTRTPRGISPKVTCNDTLYDS >OB08G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2461672:2466883:1 gene:OB08G13780 transcript:OB08G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYDALSTPVNWGVSIVPEKKAFVVERFGKYLKTLSSGIHVLVPVVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVMQLAQTTMRSELGKITLDKTFEERDTLNEKIVRSINEAATDWGLKCLRYEIRDISPPRGVRVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILAKSEATARGIRLVSEAMRTKGSTEAANLRVAEQYMKAFANLAKKNNTILLPSDAGNPSSLIAQSLQIYKNICQTNSLKSGRHLTEALEEEEPEEEEIDTTDLPSLSSGMPSPDMPEDHDKTFSLQRRNKDNL >OB08G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2463214:2463478:-1 gene:OB08G13790 transcript:OB08G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYLDVEDAVDLDGDVVLGDGGLVGDGDGLLLERVDVGDAVDDGHEHVDPGAERLEVLPERSTTNAFFSGTMLTPQFTGVLSASYLQAK >OB08G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2476225:2482023:-1 gene:OB08G13800 transcript:OB08G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MRAVAGGRGFLLHPRPPWRAHASSPPPPAATATALARRLHRRRLREGILSTLEQGVSTVHLCRWVHSATRGVTLDDRSQPESVNGATSDLVQKQEKVGAFQRIPMVMPATDILMSAQRKSRNIPPTKGIANIAKRERNKGAKQLDALMKEISVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIGRVDALRKKINSVGKQHASVCSKSLTKREAEERLSEGRKKLEEAFEHGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIERLTLAVLSHLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWLDVISKSDLLGNNMSSESDDSDDEIGRYRRFGPEGAIRVSVQGQVGVKELKEKVHQLLTSQMARIKVDTINNEIQRTDDNVPQ >OB08G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2484092:2485063:1 gene:OB08G13810 transcript:OB08G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45420) TAIR;Acc:AT5G45420] MEFVDDDWDSQPRARVIHSRGNGNANADAAVETSTQPSRSLPHTAACAAAAVALLAAAYYLLPEYQILASFSVWVASSLLLAPFAPSSATGGDIPVGRGDPLPEREPDEEPVPDPAPTSRRGRRQSSNPPQPPPKPSDPVTRPVQPPPPRQRAAAVVTAVSDGAEAVGDAGEWTDQEMDILRRQMVKHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGGGAAAASGGSFDQFLRKRKPLDPRAEAADASGNAGGGESGDGSWFAGDDRALLNALKEFPKETAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSSKAASEAAP >OB08G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2489035:2492172:1 gene:OB08G13820 transcript:OB08G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNFVVMVVGLAMVGYGAYLLVVWLQVLPSPPAPPPAGGARAXXXXXXXXXRLGRPLLLLLDVSMSDGIEERLSSAWFIFTFIGVGVILFITSIFGCSGASRGGCCLSFYSFLIILFILVELAAGGFIFFNHSWKDVIPVDKTGNFDMMYSFLKDNWRIAKWVALGAVLYEAVLFTVAIIVQSGNQADYDSDDEYIVPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNRFQQATISPAEQRSRCTIL >OB08G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2495835:2498840:-1 gene:OB08G13830 transcript:OB08G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPVPFTPPNGTQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGADQKPTS >OB08G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2516570:2517348:-1 gene:OB08G13840 transcript:OB08G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDLGTSSYLHHHHNVAYERLPLEDGDEVVPPAPAGSDQGGGGGMPLGVDPSGGVPGGGLPFFNMPFGMPPMPVEGHAGWPGAGAGVGRPPFS >OB08G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2529562:2532103:-1 gene:OB08G13850 transcript:OB08G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEAREEHQIPIMTSSGPAPGDAGSGRAYKGRHCKKFYTDEGCPYGDACTFLHDEQSKARESVAISLSPTVGAAGGYNSASAATAAASSAAANGPAQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDLDSRDAASTPDSKQAAASAKAPTDTAIASTAAPPHADVYHLGVQAQRSTIVNQRSGQVQRPIQKWKGPDKISRIYGDWIDETE >OB08G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2535793:2538975:-1 gene:OB08G13860 transcript:OB08G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDVPSSKHHHRDKDKDRERSSSRHHRDKDRDRDRERSSSRHHHREERDDERDRDRERRHREKERDREERKAREREEREREKEEKERERARRREERDREERDRDRDRDRDRDRDRERSRRRDAEEDEDDRDRKRRRRSSHHHRDAEPEGPPAAREEEEEVDEEEAERRRQKKKEEEQQQLDEEMETRRRRIKEWQEMKRREEETKRREQQESGVGTSAAATGADDGGNAGKKWTLDGEESDEEGDKEDAKKSDDNGGSGAGIMDVDTQNGDSNANGATAMDEDDEIDPLDAFMNSMVLPEVAKLESMPTANVDDKNDKSVKDAVTDGDKKGPKKVMGRIIQGEDSDSDYDDDVDDEDGSEDEDDEEFMKRVKKTKVEKLAIVDHSKIDYQPFRKNFYIEVKDITKMATEEVAAYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPAVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCNLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKSLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAALVAAKAASNANQQTQGTAVGPLLPLAIASNAQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKYSVI >OB08G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2541451:2542935:-1 gene:OB08G13870 transcript:OB08G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRSGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKSFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMVSLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIEDEQRSIAEELRAATEEQKMSIEEGSGGASDAMAVD >OB08G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2550736:2553882:-1 gene:OB08G13880 transcript:OB08G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGIGMGSGSDGDGAAIAVLPPAAKNLAFRPWWLSWPCVAALAPVGRPIWRERSGCEGKSSIDLTHSLPLTLRSNLSAIQQYYSYSYTSNTLN >OB08G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2554314:2557849:1 gene:OB08G13890 transcript:OB08G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSEQADGFENGSAGEICDENSLDLHGGCRPEAMAAAARHGREDWSGFPSESNTGSMQTLKDMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >OB08G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2569478:2572913:-1 gene:OB08G13900 transcript:OB08G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHPDGTSSLPGLDVFVTTADPIKEPILSTANSILSILAADYPVERNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINALEHDIKQRSDSYNAAAGLKDGEPLATWTSDGSQWDGTWIEPSENHRKGDHAGIVLVLLDHPSHTRQLGPPASAENPLDFSGVDVRLPMLVYVSREKRPGHNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPSLGGMFAKSRYQKPGLEMTKPGAKPAVGVTAAAYEKKTGWGSDLGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGAASSLHGHHAAAHKKMQLDRVFYGWP >OB08G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2574036:2575155:-1 gene:OB08G13910 transcript:OB08G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGGGRRGNNEGGGGACVCGFPVCASARSAPSTTTAGWPSTSATATTSTAPPAASRTPSTTAPSSVPRRSRASSSTPTVFGLERVSKEGNLFGTVGERE >OB08G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2602393:2603660:1 gene:OB08G13920 transcript:OB08G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGEMTVGGAAARRQEVLSVPDQCAITKDNVRVHTSGILFVKAIQSPQSFNLP >OB08G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2608299:2612453:-1 gene:OB08G13930 transcript:OB08G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32190) TAIR;Acc:AT1G32190] MLSGCSVSSLAARFAFFPPDPATYAVRKDEAAGRLVASNVPRDGAMDVLRVDTRRGSKVVAFFLRDPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMENITTKMRLKKIRQSLQPAKKAHRVHTGTTTTFTTNCCCRIRVRKPSCPSCNFSCSCCSGLKSFFSCRLFKCSTCFSLKSCFKCPTCFSCSCKSCFKCPTSFSCNCRSCFKCCCCGSCGDAQ >OB08G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2620338:2622856:1 gene:OB08G13940 transcript:OB08G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related [Source:Projected from Arabidopsis thaliana (AT3G03070) TAIR;Acc:AT3G03070] MATAARRLLPALRNSLSGSGGAARGVSTERAVGPAAAAVLGSHTAKWMQDTSKKSPMELINAVPPIKVEGRIAACDGRKDKGHETASLGHPIEYICLDLDQPAVCKYCGLRFVQDHHH >OB08G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2623471:2626265:1 gene:OB08G13950 transcript:OB08G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:J3MQL6] MSPNPNPFDDENVNPTASGAATASAPAPGKKKSWMPAGLGGSGKHGATIDIPLEDPRKKEKELLAWEEDLRRRERDIKQRENAMDRAGVTVEVKNWPPFFPIIHHDITNEIPIHAQKLQYMAFASWLGLVVCLSWNVLAVLIESIHTEDIVLFLLAIIYAISGCPLSYILWYRPLYCAMRTDSMVTFGQFFVFYSMHVGFCVIAAVAPPIIFKGNTLTGILVAMEVLTGNMFTGVLYLIGFAFFTLESIISIWVLEKVYMYFRGHR >OB08G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2627049:2629239:-1 gene:OB08G13960 transcript:OB08G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPAEDAAVQEKAPPPTEWYDPVSSPRPVRVYADGIYDLFHFGHARALEQAKKSFPNAYLLVGCCSDEITNLYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTDGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWVVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSDPNLSGEDSDS >OB08G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2631357:2633749:-1 gene:OB08G13970 transcript:OB08G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNPGDNKKEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASVDAGASSSMNGSANRWFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGNENKFKSYNDSVGTSSSQTRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVHQKIELVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIKFDVVFPKRLSSEQRQNIRKVLGGQPQQQ >OB08G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2639419:2643563:1 gene:OB08G13980 transcript:OB08G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MQL9] MVRVGVGAAVLGVVVLACALGAGADGSDHRYKDRDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRTSKILCQKTLSKAEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNDDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKPSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGFTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIILIWVLVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSILCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >OB08G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2643979:2646203:1 gene:OB08G13990 transcript:OB08G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPAFTGNLKKAFAGLRRINLDGLRWRVFDAKGAWTVGRLASQIVVVLQGKDKPTYAPHVECRTTKDISVTGRKMADQIYYCHTGYIGHLKERRLKDQMEKDPNEVIHKAVMCMRPRNRLHDDRDHKLGIFSGSEHPFHDRPLEPFVMPPQQVRVRRASRARRALIRAQKKELDRAA >OB08G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2644978:2645499:-1 gene:OB08G14000 transcript:OB08G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITKTVVPPQRWENCSYRSRVNKLSIKLRPELANELISNPESQNHKKTNGILTYIMQPITGTHAHHSFVNHLIWVLFHLVLKPPFLQMANITFRGGGGGKTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGEGGGGGGGGGEQT >OB08G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2675023:2684239:1 gene:OB08G14010 transcript:OB08G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPIQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDIGSCTLPLQAALMKDAAISVNRCLWSPDGNILGVAFSKHIVQTYAFALNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQSGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNHFLAAGDEFLVKFWDMDSTNILTTTDCDGGLPASPRLRFNREGSLLAVTANENGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLAPMDVSRTPDVKPRIADESEKVKTWKLADIVDSGHLRALRMPDTSATSSKVVRLLYTNNGIALLALGSNAVHKLWKWQRTERNPNGKSTASVTPQMWQPANGILMANDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQPPPNRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALSAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDTDPKWGVAPPQDNGAHPTISAAPAAANKPEV >OB08G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2686682:2689149:1 gene:OB08G14020 transcript:OB08G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22760) TAIR;Acc:AT4G22760] MTSGAKLTQCRRDPFYRTNVVKNYCGPEELVTLLTPELRFPCSKFSHLLFKCSTDCPPMPAADVNTTWTLAIRAAADQGHPRRAVALYLSSLRSACRPCPFALAAVLKSVSRLFAAHPLLSAAAGSLHAHLLRLGLLAHPYPRAALTHLYARLPDTSHAHSLLDETPPRPVWGRAQAHSLLVSRNSLLASLLRSGDLAAARSLFDCMPVRDVVSWNSMVAGLAKAGHLDEAIELFDRMPERNAASWNALMCGYIAQGNLSKARELFEQMPVRSNVSWITMISGYTKSGDVHAAGELFERIENKKDLYAWNAMVSCYTKNGCAREALAVFNRMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAESFMGSVGIALDDHLRTALVDLHTKSGRMDRAFDLFRGLGMRDVVSYSAMIVGCGMNGMFNEAVNLFKEMSDAKIDPNAVTFVGLLSAYSNAGLMEEARACFSSMSVKYKISPSMEHYTIMVDLLGRSGKLNEAYQLIVQMPMKPDASVWGALLLACRLHNDVELGEIAGYKCFELEPGESGYYILLGNIYVEANEWDKVKRLRNIMAEKGLNKMPGSSWVQAA >OB08G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2691064:2692997:1 gene:OB08G14030 transcript:OB08G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07590) TAIR;Acc:AT1G07590] MLTPLCALLRRRRPPRRSSDLLYELWRRPYSAPPSSCAGGASVCAAIRGWMADGRAVHRGHIFHAVNRLRRRSLHRTALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSSPGRQKTISKILTQMKADGVTPHTSTYNILLKIKAYEHNIDGVARVFNDMKREKIEPNEITYGILAIAHAVARLYTVSQTYVEAIENSMTGTNWSTLEILLILYGYHRKEKELKMTWDLMQGLPHIRHKSFILAIEAFGKVGSIDQAEEIWGKIKSTRKLKLTEQFNSILSVYCRHGIVDKASAGFKEMRANGCQPNAITYRHLALGCLKAGIVKEALKTMEIAKKEVVSRKVKNSTPWLETTHMLLENFAENGDLLNAKRVFSELNESKYCRNSFVYNSLLKAYVKAKVYEPDLLRAMILRGVMPDAETYSLAGLIEQFKT >OB08G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2694758:2696242:-1 gene:OB08G14040 transcript:OB08G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPPLPQPPGRLDVAADYPPPPNSGGSAVVVANEQRSSSEPQEVAVAPPAATPPLPVVVPTPPAGAAYSPSNALSTPEQVLVDPVAAWLVDPVDQRAVPLETPSNMAMASSAPAQFARYDAEFVQALEAAGERRRPQTWLVQSGRAIPTALIRGAEIAAARRESAQASPAATERMTPENRLRRLIQVSDQHRIGRGGPGQVSRGHHENSPEADSLAQSINRSHNSLEASRRQKLDGDGKVGKTDAAKKDDSCGGCHGSFECNICLESAKDPVVTPCGHLFCWPCIYQWLHRHSEYSDCPVCKSELFEVNVTPIYGRGSAEQNSPINGIKIPPRPSAQRTESLRQQLQMPDRGIANMVRRLIQNQDIVAGQAASSAGVERTGASAPRPRARGRRQARQDQNATAPVPATQQQVGNADTGGGNQAPLPPPDANDAAPAVAVAPQQSSSVEQASTSSTVGVAVGGPAQGRRSRNSESTPTTTRRTRRRQQ >OB08G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2703002:2705564:1 gene:OB08G14050 transcript:OB08G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYVIKSLDSNIEEDFDTSCHELQVATALDKIDQFVEEQKLDVLSSDKTNIEDIKQRISKAKKDEIRYLKSLLEEVEEKNNAMKAQIELLKKDDGLAAGKQVLEKLMQCNSELQSYNDR >OB08G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2706493:2709106:1 gene:OB08G14060 transcript:OB08G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MAATLSSVPAPSKHSSIARAQLSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGGGRRRRVLQAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGASVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFDEQPDEYGCPQCNAPKKRFAKYDPETGKAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >OB08G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2709536:2710124:1 gene:OB08G14070 transcript:OB08G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWGTSPAWGPGRRGGGPSPVVPLLIVVALVWVNYNETLTEWYDMAANLPETVAENAVTLVLAGGLLMLVSVLLSRRSEVVIVPVALVLSMLLLQNIMVFLILLLVAAYFAGIYYFPAADSRYGGGGGGGGGGGGGGVCSGLGFYMLLLLCLVLCAMFADEGVKWWIPGTLLAASLLCLNLFSGGQVLGYGNL >OB08G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2709991:2713368:-1 gene:OB08G14080 transcript:OB08G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT1G31812) TAIR;Acc:AT1G31812] MGLQEDFEEYAEKAKTLPESTSNENKLILYGLYKQATVGDVNTSRPGMFNPRERAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAAAAGAS >OB08G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2396115:2717682:-1 gene:OB08G14090 transcript:OB08G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:J3MQN0] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPIARGEKRSVEVVKVTDEMKSFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >OB08G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2721862:2722143:1 gene:OB08G14100 transcript:OB08G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITSTPMCHPMFRSKETNKSSPSRCVLMLSSRKKGCFRLPRWKFLLASCGRCCFVHFSIGNRFSLGLVEMLHVIALLQNDSLSLFNYLRIF >OB08G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2723315:2724742:1 gene:OB08G14110 transcript:OB08G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVGIKYSSVNEGEEHKGGHGIRKVSIVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYLKSSVPVLGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLIACTAAVPVDRELWSDGYFSDVARILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSILAMLVGFALQPGLVYVEKRRWLKFSISAELPDLPDSIAEEDSTTPLVF >OB08G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2725386:2728023:-1 gene:OB08G14120 transcript:OB08G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPITPLPDLVTIHAPKEEEELRPPVLIPEA >OB08G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2730135:2733928:1 gene:OB08G14130 transcript:OB08G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >OB08G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2733972:2734426:-1 gene:OB08G14140 transcript:OB08G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSGGGDMKSFFRQQKAHAAAGSVKPTGGVSKKASQPRHHQKPVAQAVPDLADDPRRRIDEEEEERERMGREFDMDMRYGPCLGVTRAQRWRRAAALGLAPPHAVIAVCSDDQPCLWEGRV >OB08G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2738278:2742082:1 gene:OB08G14150 transcript:OB08G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor A [Source:Projected from Arabidopsis thaliana (AT1G64860) TAIR;Acc:AT1G64860] MASRPLAPPPLLPYPLPPPLRPPRWWGEAGTGRKMTATPAVIGLSAGNRLLSTSFGPSDLMADKVSLSVGGGGGGGEAWLFRATPKLTVAAHRLKLSPHGRAQVMRALRHHSSAPALLSPPPPPPPPPLSSSSSSPSRDAADLESSLESILLLQRSMLEKQWELPFEDEDHASDDGGGDKHAMAIGLAEDEIKEDKVTVVVARSTLSARQRRMSGRRRGRPKNGAAHFAVSPELMQSRNRIYLRGTVSKELLTHKQVVHLSNKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMRESFLAREMLTMSNIRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRSAKYALEDQGIAPTIENIAESLNISEKKVHNATGAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWYGFEEQYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQLGLIAMEKLKHAARRKNMDALLEDY >OB08G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2751746:2753143:1 gene:OB08G14160 transcript:OB08G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT5G17640) TAIR;Acc:AT5G17640] MDPQFFVRLSVGQLGLKLPGANARKAARSFLCEIRLKGFPVQIAPVPLITSSEFNLDPHTNAAVFSLDESDLNALSAPGCFGAHGSHLEVAVYVVGRRGGHCGIVTGMRRLLGVVMVDVGAAEWRDGKPAMPHHGWVGVGRGEARPELHLRVKLEADPRYIFEFDDEVALNPQVVQLHGRIRQPIFSCKFVRDRRGSQVDQYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMVTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMSCRVEGEARSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTAAAKNKKTAATTSSPDPLDLDT >OB08G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2755996:2756440:1 gene:OB08G14170 transcript:OB08G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKADGSKISFQYSGRSVQLLREELACHVNYDFTLCVRAGRHGRVTPLLIDLPRSRETLHVVLVRSNNEGPYF >OB08G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2758230:2762840:1 gene:OB08G14180 transcript:OB08G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLCERRAHQPWMEVFDGVEFAALRMWQSDTYLTADEDGRSVHHVSLRGVASHSAVWAVEKRLAAGTPPRRYLLFRGAYGRYLGAREDHEGCYAFCPLRTGSLVATQRDRDQEEVDAIMWRALACSGSDVFEHHDARGVVLLHDRSGRYLRGNKNLLGCRHSVSIDDNLNAERTLRWEVLPIPTLDRPELPFATNPDLAETLDRSASSTSGQRREIRYVKADDAGNFSEEEGDWTSFQFTSRSVQILREELANRVEYEVTLCVRAGRHGHLTPLLINLPRSEETLHIVVLRRNSAADEQLKFPNPARPVSSSPSLRDAFKSTASASASKRNRRNKLFLAYGYLAAAALSSSSPSHATPRSDHNMEEGYLHSPSGPSRRHFRMLGSLRGMEVFEDVVFARLRSYECGTYLHADEDGRSVYHGSLALASHNAVWAVERRLVASGDGDGDGDGAAPTLHLLFRGAYGRFLGGPDARDGDGNGERRCRLLKVTQRDRDAEEVDAIMWRAIACGRWDVFEEHDARGVTILRDRSGRYLRGNKGFLSCRRSVSVDGNANKEATLLWELVRVPPSLGRPQLPFATEADLGVTVCLPTRRREIRYVRADDEGNIVGSWESFPFKGKSVRILRDSVVRRVLLNTPASERPQLGENFTLCVRAGLHGHLSPLLINLPRSLEALNVVLLRENSTADNELIFPDVDALPDQPSFE >OB08G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2764126:2767196:1 gene:OB08G14190 transcript:OB08G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFQGVDFVRLRSWEYDSYLVADEDGRSVQHENHCGASRNSVWAVQALFAGAPPEPYLLFRGAYGRFLGARADATERCCGCCPLRSCLLEAAQRERDEEEVDAIMWRAIGCGIDVIAEHGASGVVLLHDRSWRYLRAYERIMGCFRCVSVDGRLNDEKTLRWEVVPVPPSPERPELPIAIADTCWDDFFSPLEREIRFVTADDDGNFDADEEGWDSFQFTGRSVANLRKEFQVRLGNNYTLCVRAGHHGQVTLLLIDLPRSRETLHIVLFRPNSAVDELLISPDLDALSLTRSEDYRRMIE >OB08G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2768310:2773243:1 gene:OB08G14200 transcript:OB08G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGQEAGHGMMEPQVVDLEDDSINFWASLGVHGVDNMPLHGGVHIVDQVPTPALSQSVCKELFPVDSDPCLEPRLGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCSESVIMLRFVCSKEGFSKEKHVVEGKKTRKRPASIREGCNAMLEVLRRGDSKWIATKLVKEHNHEVGMPSRVHYIATESDVVVDPYIGMEFESLEAAKTFYYSYATRVGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRESNGGDTKRVQALDPSRDGCDALFEIIRKDRDAWKVSKLILEHTHELNPAPTSRVRCVRSQGEVLVIAKNFSDTRNLLLNGLDSPHHRELRYNDLGPEDVQSFLGYLKKAQTEDPSFFYAAQLDKNGHTANIFWADAKARMAYLHFGDAVRFGMLCRNSKEHIPIAVFSGVNHHVQPVVFGCALIVDDSEASFVWLFEKWLESMPPGPPVSLVLEFNQAMAAAATKTLPDTYCLFCEKHILGVVREELQSLDLELEDFVTDLRKCIDWYRLEESFESCWDSVIRKYGFINNELLRSLYDIRRQWAPAYTKKVFCARNLLPQSCHNLENVVEKHFSCKTQLRVAVQQLEQAVCNFYEKEAQADYLTTFQMPTLRTASPVEKQASSIFTRSIFDKFQEQFVESFGYHADRLEVEDVMLHKYCVTVSEGNEEAHTVSFNPEKKTVGCSCCLFESCGILCRHALRVFIIEGVRSLPMAYILKRWTKHAKSIVTLDDYIDLRGYHDDPSTARYNDLHSDAIKCAKEGSTSSELYKIAKEALHKALDELVSLRKSRYQQNLQSSITSVKKPIKKSVKGKDSNHCTGKSLNRLTSI >OB08G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2768631:2774593:1 gene:OB08G14210 transcript:OB08G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGRQPLDTAIQQADRTGGKREEKGTTLQAYPQSSPLHSDSTVASWRLLLDRLNSSRRACRMHITPQPCAEMRGTPFLVIYKKRNVPTAMCIGLPLEDLRLARGKESKMDALVVVSELQIAELGGTCAVAAVRIRMGALQSGSLSLSFQFSPSRPKRTCPNSQQWVLPCTCPHRLAQPHQIRRAGPRSPWLEIEIGGVLCVIDAVSPFFGQTQRGPVGSSSFFLVYKRSEPLHLSPAITIVLHNSCSILARCVVSHHAIQSIKNAHDMLAKKETER >OB08G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2777596:2778809:1 gene:OB08G14220 transcript:OB08G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAGIAPQIGAGDGEVGGGAAANGGGSEAELKEVRGKLAAAYERHIAPAVKLDICAREGGGVGQGGAAAAAARRRGKAAAEWTTGWWTQFTVLLRRGMKERRYESFNKLRIFQVLSVASLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLLKERSSGMYRLSSYFAARTAADLPMELALPTAFVVILYWMGGLDPRPGAFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMAVGLNNHWVDVCVMALLLVGYRVIAYIALDRLKPR >OB08G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2783104:2787670:-1 gene:OB08G14230 transcript:OB08G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAEQVARMQEQARFVGVAAGNAGVGFDEGRWLSRVRESMAERAAEELGAAVVRVFDVPKVLRSTRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKKFDDLVQRFYDIHERILAPYHRLVELNEHTLAWMMAIDTCFLLDFLEGYHRDEATDMVSSATNWINAVVRDAMMLENQIPLFLFACALELRHDAEQAAADALRGVLGRFITEVSPITPPANAAMAVAGDLSRHAHLLELLYHFLVPTDAVAEAAGDEPPPLVPEDFSAVDVFDQMQQELPDYDKVKQACVQVSSLNVAPVRFIKKNLISRPMSLMTSLPGTAGKLVNRVPLLSAVAPLVGKLWSSQDVEARLKGVNLGTIINSPLAQELMIPSVAQLAACGVRFAPAVEGIAGIAFDAATATLSLPVITLDGNTEVILRNLVAYEAVAVRGPLVLARYTELMNGIIDTAKDVKILRQSGVVVNRMKSDGEAAEMWNGMCRATRLSRVPRLDGAIRAVNEHRSRRATVRLRKMLKRYVFRAWRVLTLLAAVVLLLMTALQTFCSVYQCNRWFGNMLQMPQPGAKGP >OB08G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2790778:2793239:1 gene:OB08G14240 transcript:OB08G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chlororespiratory reduction 6 [Source:Projected from Arabidopsis thaliana (AT2G47910) TAIR;Acc:AT2G47910] AALQPPVSSHRLTLRRFPGSGSPGRCGGPVAGAAAAAGSFCLRVSFNPSGNFDLSLSTDQDDAPQVEPPPPPTEGRFEIVINNDIIRTLDLSPVQEVLGDSDSLTPAQAKNLLDRTVGFTINYEREDPYDVRELSELPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVHSWLKQQNHPKPALKTADMARMLGFGIGDELFDLIEKYPVGPS >OB08G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2793491:2794044:-1 gene:OB08G14250 transcript:OB08G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLALASVTLLIMAAATAHGLRLDMGFHAALKNEEILNSKWQASASRPIDTRRTSNGRRDPGRSRTKPLKMNNPHDVAPRFSEDYSGPGGHSPNHHRTTPCGPC >OB08G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2814025:2818730:1 gene:OB08G14260 transcript:OB08G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPPSFSNENDDQAQRKANTFHPSLWGNFFLNYQPPSAPKQAYMKARAEVLKEEVRKILKGSNGVPEILDLVITLQRLGLDSFYENEIDELLSIVYNTDYDDKDLNLVSLRFYLLRKNGYDVSSDIFLPFKHKEGNFIADDIRSLLNLYNAAYLRTHGEKVLDEAVVFTNSRLRSELEHLKSPLANEVSLALETPLFRRVRILETRNYIPIYKRNTTRNETILEFAELNFNLLQLIYCEEIKNLTQWWKELNVESNLSFIRDRIVEMHFWMVGACSEAHYSLSRIILTKMTAFITILDDIFDTYATTDESMMLAEAIYMCNETAIELLPKYMKDFYLYYMKTFDSFEDELGPTKNYRVSYIKELFNGLVQGYTKEIKWRDDNYTPKTIDEHLELSRTTVGAYQLACASFVGMGDFITKGSLDWLLTYPDLLKSYTTCIRLSNDMASTKREQIGDHYASTIQCYMLQHDATVHETCIGIRELIEDSWKDMMKEYLTPTQQPKVVARTIIDFARTGDYMYKQNDAFTCSHTIKDMIASLYVEPI >OB08G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2819800:2820650:1 gene:OB08G14270 transcript:OB08G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGTLANGCGAHETGEQQSVWGEGAVLATRAEGAGVRRRRVRGVGVAPATGTEGGADAEKIFSLYEPFGHATNIGVAKQLAKPLSVAWQHYLATPLTLASWQDCHADQAAWQHWRGQKVQF >OB08G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2831672:2834868:1 gene:OB08G14280 transcript:OB08G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTALMSFALEVAREMGLPTMVLWGCSAPSLMTHMRIRELRERGYVPLKDESMLTNGHLAETIIDWIPGMPPISLGDISSFVRTTDPDDFGLRFNETEAHNCAKAGALVLNTFDDLDADVLDALRAEFPRIFTVGPLGTLLDAGAGDGGAGALSLWKRDTECLAWLGAREPGSVVYVNFGSLTVLTPEQLVEFAWGLAATGRPFLWVIRENLVRGGGGGAARASAMMLPEEFFAATEGRRCLASWCPQEQVLRHRAVGCFVTHSGWNSTCESVAAGVPMVCWPVFADQYTNCKYACEVWGVGARLDEEVRREQVAGHVERVMESEGMRRAAARWKAKAEEAARHGGSSHDNLQRMVKAIKSFVSEA >OB08G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2838667:2843315:1 gene:OB08G14290 transcript:OB08G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTRILDVPLRHRLPRRSEQPKPEARPGLLPTGASVSARIQSTGRMDYGRKPPSLLELCIRTTMDNLRYVDNVDGVEMNLLERILPHCKLEDLTRIESNTKMDLSPITNKLWKLFYRRQFGEDCVNGVIKRMKTSGARYTWKELFEAKTEKQKEFEDKMGQRLAEKYELAKAERQSKQIKICTKVPPSSKRSFWGGSGPSNLSSYKSPMLKKARMEVNSHAKMQAAIQRNTIARTSQPVRMTSAHAQPMKTTTIHRPNSTITVTKPIGLNRPLQSNKPTGLNRPLMSSKPTGLNRPLQSNKPTGLNRPLQSNKPTGLNRPLQSNKPTGLNRPLQSNKPTGLNRPLMSSKPTGLNRPMQSNKPTGQNRPLQSNRPKF >OB08G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2843706:2851915:-1 gene:OB08G14300 transcript:OB08G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSVVFSKHYLEELACVPTEISLSNFFSQLNNLISLTSTYAQVIAIKDLASAFVQFLSNPAIPDDAVLVATKLYLEILFLENSLPLHRTLISVLAKCKKHYSLISGSFALLCEEYGGSGRKAKKSFLVSRAALSLIGYPKLGFLDEAVKKCAEIMALDVVVGLDRVITDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKSVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSKDICWFISQGLFSICAEQKDIRDLARHEMLSDFNLCEEIRDISVLSRLCLLRGILTAIPRTVLNMCQLHSNGSLWTILYDGILPELCKHCENPVDSHFNFHALTVTQICLQQIKTSILTDSTDFSGDYKPLSTDAINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPAGEPEENSKLFLFNIASDLLCLGPRCKGRYIPLASLTKRLGAKSLLRLKSNLLLETAYAYIDDDVCCAATSFLKCFLETLRDECWKDDGVQKGYDAFRFLCLPPLLQGLVSGNSKLRSNVNTYALPAVIEVDADSIFAMLGFINVGPSAKAIKLDVYLKNDQCIAALVSLLKVSRNLALVEGDIDLDPDELSQQAANNCAAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSSLELSLLKEAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSGDKDSNSVDTFKATTSQRAEHLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRFEGKNDLYPYSDSITLPDSTVSFVGSIIDSWDKLRENSFRILLQFPTPLPGISSSTSINSVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLEFGCVLAFTKESDCLQCHTKSTNDVTEATCQNPVAQYIASLIQWLCAVVEEGEKDLSEACKKSFVHGILLTLRYTFDDLDWNTDIVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSEIIDEDQPGALEIAETNIKSGNNSKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSPHGDLAGNTEETDSSGDILDVEQLEKMGNHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMVLLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLVNFQKDCNQKLQTVEYFPGEPCQLQSGTTAGVHSNGNLSKSRNEGIVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRSLTGLEFFHRYPALHPFLLSELKVATELLADGHSSNLESQIAKAIHPSLCPILILLSRLKPSPISCVTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALVGLVSNERLQYVVGDILHNLPCGSHEVAAHRALCSDPFLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALMDSTKKDQIFSQLIEFLSKCSWLGCINLCTCPVVSTSYLRVLDLMLDVARIGKSGHMEAIQTLLLELSYQCLNNRTLTHYAFHDPTQIELRQQATASYFSCVGLPKRHDETTDEDDQSQILHTTSSITEMPHKVSIYELHKEITSCLVDPVYDVRITVLKRILQLAKSTRSGDNKKVLHQWAKANLQPVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNFGEDSSTFLSFWDRLVHLNSTMSHAKTRETILCCMAMCLRQFAKLLRGVILLDPKTQEHSTSFVRIDEGKNLASAILSASSFVSLVKNQSAPSETVNARRAAAEAIVASGLLEEANVFAPSLSNAYLSSEHDESHIEERYSNANVGEFISLYACKILDLWFVCIQLLEDEDTYLRQNLSNNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYIEYLLSLVLDTANTFNSRGDLVRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYQVERATEVELFLQNWRESFLRQLVSLTSGFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRQQMYFKCISDLDGVMTPFLRNPLISNLYSLVKKSHQMFNSPNKPEDQVGSSAPESFDPYFLIR >OB08G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2855449:2857666:1 gene:OB08G14310 transcript:OB08G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTNNDYFEEVYQYYARGNAWRLPAGAYEMLHDLKNAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEAGKAVHVGDDETADKAGANGIGLQCWLWGEDVKTFAEIRDRILTRFPE >OB08G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2857532:2857771:-1 gene:OB08G14320 transcript:OB08G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERFRVSINCDNAGISEVKEAEEAAREVTWIHPNIRDPSGVSWATRRGSLESISDAETMMENGDRDDGIILDHVSITQ >OB08G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2860044:2860265:1 gene:OB08G14330 transcript:OB08G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMASLAATMRLRMARNLTVSASDFFLSSAWPRVAPASLAFTIPSVVTVGTTLSRCPCPAMPPRTTLDRRR >OB08G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2862810:2863682:-1 gene:OB08G14340 transcript:OB08G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAGAMGALVPKLGQLLKDEHDLQLHAGVRKKIESLSRELESVHAVLAKIGEVPREQLDEPLRLWARDLREASYDMEDVADAFLVRLDGGGGAADPRTLRRLRRKVGRLFKQAKARRRIGKAVEDIDERLREVAARRGRYTADDIAVKPPAPAATVDPRLLNMYKTSSELVGIGRPTEELIKLLAIGDDDDDDDDLDVSMNKKKTMSISVFGFGGLGKTTLAKAIHDKILPSFGCGAFVPVGQNPDVKKIFRDVLIDLYSQQYSHMSLMMYDERQLINKLQEFLQKKRY >OB08G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2899174:2903336:1 gene:OB08G14350 transcript:OB08G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIVGRVYFSDAVGGGSGRSGPGGFGILVSSAVTMAVAAAFDHYTGYPAPLDTPESADLAWRIILMAGAVPAAVTYYWRMSMPETASLHFSFMLQQKDSGMMYSLFVLGGICLVGLALTYAFTPETMTRSLEENESEQAQSQVGDGDSDAAGTRSAGLRFQELSIYTEAAKSPASMASSHLSMSPILPHRASL >OB08G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2906807:2908656:-1 gene:OB08G14360 transcript:OB08G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGGRAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKIVGRVYYYPDVDDGGSGGSSRSRPGVTPRAVVSATVGVALLGAVIGNVVFGALGDREGRRRVYGVCLLLMVCSSVGSGFSVCRTRRCALSSLCFFRFLLGVGIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILVSSAVTMAVTAAFDHYTGYPAPLDTPDSADLAWRIILMAGAVPAAATYYWRMSMPETARYTALVQRDVVKATNDIGRVLTDLDLIAVAEEEAAALRRPPPGAPAPPPSGPYGLFSRRFVRQHGRDLFACSAAWFLLDIPY >OB08G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2913891:2918922:1 gene:OB08G14370 transcript:OB08G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEEMKKNPESNGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKNKWTKKSWEKAVIFVDNSGADVILGILPFARELLRRGTKVILAANDMPSINDVTYPELAEIINKLKDENGKLAGVDASELLVANSGNDLPVIDLSSVPPELAYLANDADLVILEGMGRAIETNLYAQMKCDSVKIGMVKHPEVAQFLGGRLYDCVFKFNEA >OB08G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2921683:2925798:-1 gene:OB08G14380 transcript:OB08G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRPLGSGNKQQQPPQQKKKAAAQGSSVIGLKPNVITVQVGEDVVSRVMSFSRNGWAVCVLSANGTISNVTLRQADSSVATVNYEGHFEILSLSGSYVLSESDDLSSRTGGLSVSLAGPDGRVLGGGVAGPLNAAAPVQVVIGSFPADGKKGPKGPKQAVPGGAPFPGMSTPTSRGTPSGSSGGPGSPQNQSASGSFNTSSQQALADFPWRSASAFS >OB08G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2934668:2935006:-1 gene:OB08G14390 transcript:OB08G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVAAPAVAVLALMVAAVAAAPVFGDGRVQVQSLEPAGGGVGGGGNGTYNATSVAGRKDGGGGGGGGGGSSGGSSWSYGWGWGWGTNGGGGGGGGGGGGGGGGGPEAGGGAG >OB08G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2946668:2954062:1 gene:OB08G14400 transcript:OB08G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3MQR1] MGSVAMDSDYGVPRELSALQKARALYRPGLPPCLQGTTVRVEYGDAAVAADVAGAHVISHAFPHTYGQPLAHFLRKTSVVPDATVIREHPVVRVGIVFSGRQSPGGHNVIWGLHDAIKAHNPNSKLIGFLGGTDGLFAQKTLEITDEVLSSYKNQGGYDMLGRTRDQIRTTEQVNAAMTACQDLKLDALVIIGGVTSNTDAAQLSETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAQKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSVENISSHLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASVDLKGKPYELLRQNSSNFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >OB08G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2955092:2960655:-1 gene:OB08G14410 transcript:OB08G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKGHWHKITKSGGPGPMKNTPDRNMTTESIVRPVRIKRAKKGKGTADEDVRLDSTKKEHFTTEIRNVRLDSTKKFYYLRPKKTSFLSPHVCVTKTLFLRMEEVRASNTDIARLECIVDDGHQLLKGYSTNQTFVSPHGVGRPITLPPQAASPLVHPSQPPGAATASSSGHHDEDSNNLMEHDKNFDHHNEASWAAGIHGAAAANRTPATGDLVRRRGQLGDQMEWTDAHVTLACELMAEQVSRGNRPNTHLNTVGYTEVSDRFYQMTGILLSKTQIKTSGINSSRLDHMAKVEEEANGNITNEEVDHWNPMSDNPIVPINHEQPIDVDSEYGDRKKAKSSIALLIHEAILKISDCTSSFTAKKQEGVTIKEVMEHVLDCGAEYGSDEHDIATQLFVKKDYREMFMTLPTREIRLNWLRKRHNDKYVVGANVAAAYVDLYCCAKNRPRISLLCGMGWLIETLNTPGECQSQLRISTKIFYDLHDMLVGRYGLKPSTYIFLFVCAGNESNRKTQNRFKHSGETIHRKFYEDLIVLMEMSKDIRPKDPNFPTVHNRIRNEDRAYPHFKDCIGALDGTHVRVSLSPDDQARYIGKSGKYYVVDAEYPNRPGYLCPYKGEKYRMSEWHRGMELNTPKEKFNRMHSSICNVIVRSFGLLKIKWQILFRMSSYPMFKQKMIVVASMVLRNFIHEHGGEDLDFARCDHDPNYIPTIP >OB08G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2987495:2989264:-1 gene:OB08G14420 transcript:OB08G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEGILIHVLAKCGGIPEVIISLARYAASLQQDVRQRKLNDLNANSMRELETKPEFVGLRDLFAWMHVRFDELPQSIKTCILYQSVFYQAKRIRRSHFVRRWIAEGYSKGTESKAMEGYTGELFDRLAKQITTMDEWRFNSFFHEYINSRLMEEGVVLFPLVVSVLEGTRSLTTQGMGQHLVIASSWKRDEEYLYEDMDVSHLQSLTVHGTWRPFFVPNKMKSVRVLDLEGTAHAGLGEDELGRILELLPRLRFLSIRGHRQITHLPDTLSGLKFLQTLDIRHTSVVYVQLEAALQELQYIRAGTTATAIASEEPSTGSCNVAVVSSYYLPKFLRRGPVGPCQGVRMPTGIRHLKNLHTLGAVHINSAGGAAILDDIYHLKQLKKLEVSGVNRENSERLCRSIINHKNLESLSLQLDKEKHVVCWDHIAPPPSVRSLKLYGHVLELSSPRFNNLRNLRKLTLETNSTIFMVNIQLLGRVPSLRTLHLQVKQNQDGTLLFSADLFSELEHLKLTCISRSKKYVIFDERAMKKLELLNVHCHDGSSLRFYGLKNPVSLTQVRVQGISGDAVQQYRDQLAEHPTRPALYLS >OB08G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:2994464:2995390:-1 gene:OB08G14430 transcript:OB08G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAIGISKTMVEALLKKVETAMKEEEELWRIVQDDTVFMMDELEMMQSFLNAADCERIKDSVVRTWVSQVRDLSYDAEDCIRSILLMDTNRSSFWTICLRLLQACCSCKSPAASPLGQAVAEIKLLRARVEDVRSRNLTYSLINDSGSNPQHVASVPAAIGTSSAVAVLAEAWCNAKQQRGLSVDLTMLVDENSDALRVISLWPTGGGLGMASVVINEAYEKPEICQKFRARAWLKLAHPFNPREFIRSLAHQFYANTCSEQRREDTGRVHGQDTGRVRLLFTHSLVFRSTLSKQLKCMFSSKIFL >OB08G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3001836:3003123:1 gene:OB08G14440 transcript:OB08G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSIFITDRENIVRHMLGWNNLCLPFLHEIGKLPRQRKRACHSFDRGLGKGSITIPEGYQ >OB08G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3003644:3006339:-1 gene:OB08G14450 transcript:OB08G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTNNDYFEEVYQYYAYGDAWRLPAGAYEMLHDLKNAGFKLAVISNFNTRKLLKDLHVSEMFDAIDVSSEVGHEKPAPEIFKIALDQMGVEAGKAVHVGDDETADKAGAKAIGLECWLRGEDVKTFAEIRDRILTRFPE >OB08G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3008998:3013045:-1 gene:OB08G14460 transcript:OB08G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKKRPQRIEPFRHRVETDPKFFEKGWRKLEDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYDKLMKNMAEHLQEMRISIEAAQGGLFLEELQRKWDDHNKALQMIRDILMYMDRVFIPTNKKTPVFDLGLDLWRDTIVRSPKIHGRLLDTLLDLIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFEKPFLEVSASFYSGESQRFIECCSCGEYLKKAQQRLDEEAERVSQYMDGKTDEKITAVVVKEMLANHMQRLILMENSGLVKMLVEDRYEDLTMMYSLFQRVPDGHSTIKSVMNSHVKETGKNMVTDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGMKESNEDDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQGFYGATPDLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGYYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTVIPAADLKRCLQSLALVKGKNVLRKDPMSRDISDDDNFYVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRIESLIEREFLERDRTDRKLYRYLA >OB08G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3017118:3020089:-1 gene:OB08G14470 transcript:OB08G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPASSEPAPPPKEGEEPEQQPRAGGTDPPGPGAGEEIAALDEQQAVADGGGDEGRAAAGGGGGGKLVAEAMRKYAAPRSSRYHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHVYLGSYVTEENAARAHDLAALKYWGAGLNTKLNFNISDYEKEIELMKTMTQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGVHAVTNFDISNYCEDGLKKLEGSSEVPKLEGPSEVAKLAGQ >OB08G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3021412:3023526:-1 gene:OB08G14480 transcript:OB08G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSITCLKQLAFLRNIIVYLHSGRTAYNLVLHKHGPKLYDKLIENMKEQLQEMGTSIEAAQGGLFLEELQRKWYDHNKALQMVRDILMYMDRVFIPTNKKTPVFDLGLDLWRDTIVRSPKIHARLFDTLLDIIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFEKPFLEASASFYSGESQRFIECCSCGEYLKKAQQRLDEEAERVSQYMDGKTDEKITAVVVKEMLANHMQRLILMENSGLVKMLVEDRYEDLTMMYILFQRVPDGHSTIKSVMNSHVKETGKNMVTDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEYFINLNNRCPEFISLYVDNKLRKGTKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKATSDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQGFYGGATPLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGYYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADVLSYRDIEQTTAIPAADLKRCLQSLALVKGKNVLRKDPMSRDISDDDSFYVNDKFTSKLFKVKIGTVVTQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKARRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRIESLIEREFLERDKKDRKLYRYLA >OB08G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3027232:3030156:1 gene:OB08G14490 transcript:OB08G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPPSCSTRLRSPASTAAACFLPAAALFLLVLLRRPPMGSFPPAVPGGSVSSRRAELYGRMARDLDERGAAFLEGGETSQSLTLSELFDTREDGAVVPRLKVANPPVRANVLYLDPEFAAVISKAVKEVFLPYFNQAIWFQNTSIYHFSMFHTSHHLEPIVATVEQIEAEVGAVKRVSEAVCPLRIVLDRVVLTSTGVLLGLWQVESGTDPADIRSRLREALPHAPQKQLYDPVLLHTSLARILGHPKLPQEGSAQSLDRVKFFHDLVAQVNRKIRGFKATVSELWFVEEYDVLALALNGKMKVRRLQFGCNEGLGNGKI >OB08G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3041161:3047044:-1 gene:OB08G14500 transcript:OB08G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3MQS1] MEDSEITDQLSPNITSTEMDFMDVDDTGGGNEKLFPMDVDLRSIPSLKDGGHAEPPVLVPVGKSPMDVDLRAIQSLQDPSVKVPLDKSIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFVSLEEVTVDPDYDPSKKAGPWRHATIKFGRVELEEPVFWSDNTDLDEQTLKLKPKHARLQKMTYSSKMKVEMTVQVAPLTPLVLWSVYSLDKSDKAKTGDDPFIQRKDIMKETKWVTIGRLPVMESECEYDFGGYFLIKGMEKVFIAEEQKVLSRIWINDRPIWEACYQRSQIQREKISVKLVQSNDGFRKVINLYFLSATIPIWIMFSALGISSDKEAFDIIDIPECYASVANIISSTITESYEKCEGFHGGDRASQYIDKLIRNTKFPPKGSFDEYIGRYMFPDVSGNRSKALFLGYLVRCLLMAYSGNRKCDNRDDFRNKRLDLPCELLQRELWGHVMHAKRRMVKVMQRHLNGGDALQPLERYVDASIVTNGLNRAFSTGSWRHPYNKSERCSGIVATLRRTNPLQMMSDLRKTRQWVAYAGKAGDARYPNPSYWGKICFLSTPDGEKCGFVKNLAVTAIVSCSVREPLVDMLVSCGMKKLDGLLLQEISGKDRIFLNGSLVGVCPDPDEFALRFRSMRHSKQIDPQVGIKRDRQDKEVRIFSDSGRILRPLLIVENLRKIMKPKDGSYSFQELMDQNIIELIGVEEEEDIRCACGIRHLFAGDEEESFSFYTHCELDPSFLLGLSCSIIPFVNHNNAKRILMQAEKISQQAIGYFTTNPLFRVDTLSHQLYYPQKPLFKTVAADCLGKRDYKSGSKHDFVRPEYFNGQNAIVSISVHQGFNQEDSLVMNRSSLERGMFRTQHFKSYKALLENKEVTKRLKYKEKINFGKIPSKKGRVDSLDIDGLPYLGASLQTSDIVIGKVSDSGEDHSIKLLHTEKGIVEKVVLSANDDGMNSAIVTLRQSRSPCVGDKFASMHGQKGVIGFLDSQENFPFTQQGIVPDIVINPHSFPTRQTPGQMLEAALGKGIALGGTVRYATPFTCPSLEVITEQLHREN >OB08G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3051207:3051593:-1 gene:OB08G14510 transcript:OB08G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTLHARDVIRNIISTPLRKMLIKLFAYFHMRGVLCCLSQITSLSIFLFLFFINSLICIGSIKLICIHIMLSWNLVRYSFHVKMFDVKNKLANVGPRQKYRKVLKKTRKEEEHNRCGFEVIKQNLAI >OB08G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3051962:3053220:-1 gene:OB08G14520 transcript:OB08G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKLCGLIGRITSCGRAGHKDAAAGVKETHSASAQQTEIRSRGLPPSVSGGSKPHTN >OB08G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3060997:3061323:-1 gene:OB08G14530 transcript:OB08G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAGAPPPLLDEAAWTMFCNGRKTGFAVRREATDDDLAVMETLRPVSMGAGVLPGSRSSSCSAGTDDEVAYMRGCFDHFVGSRDSESLYMIAPQGGGTGPELAVFFVRL >OB08G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3073464:3073652:-1 gene:OB08G14540 transcript:OB08G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFEVVHAELVEAAWELAASCGADVTVVVLPPARSSGAPPLGSHFGGALLERELPAHTEE >OB08G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3076737:3080306:1 gene:OB08G14550 transcript:OB08G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEALLTTTRKFSYSLKIWIAGDEAHGGGVVGALGEDLAVEELPGSSPPSSSSREYHSFAQTETAADGDGDGERMELPGRKAGLLGCGDVPEKEIRLFWDGDYMNHDDSSWFDLRVDVFSYLIGVEDDVPVDIKMPVATSWFSKMKELIGRMSQSNNPGLNF >OB08G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3084735:3086292:1 gene:OB08G14560 transcript:OB08G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVARLHVPTIAGEQQQLLLLHARRRPAGQAHLSVVPGTGGAVVIPLVCSSGPFLIRSNGVTHRRLRCNPAAAAFPVGDDDDKPAGALGDELHKEHSGAGGGGGPPPAGPRALRRRRWWLPSVIPRRPHGCCVQKIRCKQKAEAAAVDDDADGGGQKKKKEAPAGGGEGGGKLKTSMKYSVGSPAPGNGPHYN >OB08G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3094145:3094681:1 gene:OB08G14570 transcript:OB08G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASPTSPSSCFLPPHLLLHASTPSGLQQGERGLPLVLLRDGTPATGRGPQHNAILPGRKVATAAPADDTPTQGSGPHTNAVLEVRPRGGGELRLSDPPVQGDGPKINAVLEIKPRGGAPRRPTPPGGAGPKEGTGGRGGAIHAVARSTPQRPGSPAGGAGGNGGAVHAAPAASSS >OB08G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3101056:3101736:1 gene:OB08G14580 transcript:OB08G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKEKSFEEGEAMAAASSSPFFAHPSSLLRHVVHGCAGYLSGLCRSLQNLKPAAPSLKQDQADESHQQHYYCCKLSWLMAELGVPLCEQEEAVAVENVQISTRAVATPRRPLLREGNGGKGGAHHNAGF >OB08G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3103443:3109851:1 gene:OB08G14590 transcript:OB08G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEELQIKEMKSSMAPAATPKQEANDGQAIVTPPRAGFRISAAYRPHGRRRTPRYSLDARARRRRIIICCGVTAAAPACCSVRCSAASSIADSLATTACAWYCG >OB08G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3109605:3109805:-1 gene:OB08G14600 transcript:OB08G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAEQRTEQQAGAAAVTPQQMMIRRRRARASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDT >OB08G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3119316:3121504:-1 gene:OB08G14610 transcript:OB08G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVTKPHYSREDAIPNQDGGELARRMLNMVAPKLPEASGEYDYSQRFRLARRTFCLGADGIRALKRRIDEMASAEAAAAAEHKPVSTFVALAAMGWVAFVRSKGLAAGEDTYLIFLADLRARLDPRVGDGYLGNCVRMCLASCPDAA >OB08G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3125761:3126446:1 gene:OB08G14620 transcript:OB08G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVWRFLEAWATACRGEDPWSAAPAPTFDRGAVAFPGGEELTRDVLRKHAPNLPVATMPQFLVEGRVNLSRRTFTIAGAQMHRLKQRVAGGLTASPAPPSSFVALAALSWVSFVRSKNSAGAIADDDEEVYLFFFIDCRGRRAA >OB08G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3126893:3127108:1 gene:OB08G14630 transcript:OB08G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTRFPAYEAADFGWGPPSRTELVTMNHDGQVVLVAAKGGAGGVQASGALSLHPAHMDAFKSHFEGYLD >OB08G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3163529:3165331:-1 gene:OB08G14640 transcript:OB08G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNREIQICPEKNSRNGSDSSARERGIKKGRIRTKRHASNQNHPNVSQHLAVGTHSARSEKTPAASPHRSKSKQTKPSKQSSTPHPSPEAPIHSKHSTQTPDRRPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSLPPSSSAPAAARGQAADPAQIPAQLSPPSPPPPPPLLPLSESIPSSSSRFSTTSFY >OB08G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3165534:3171190:1 gene:OB08G14650 transcript:OB08G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGDALHSLRTNLVDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGMIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPSLCGPGTTKPCPGAPPFSPPPPYNPPTPVQSPGSSSSTGAIAGGVAAGAALLFAIPAIGFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWKTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQNNYIDVEVESLIQVALLCTQGSPGDRPKMAEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRNSEWIIDSTDNLHAVELSGPR >OB08G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3173631:3175226:1 gene:OB08G14660 transcript:OB08G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDIHNHWKTGEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKEMRKKGLRVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDIVPCIIVSFDKEQIIVWRGKDYNGTIQDHAQKIPVPVLEKESAGVESENGDQEQTSSDWSSDECSQFSNSDEVSDDQSVISEVDSD >OB08G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3180186:3183184:-1 gene:OB08G14670 transcript:OB08G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G34220) TAIR;Acc:AT1G34220] MLDAFFKGAGGSGGGAFRGAKCKTLLKLTIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDAKMKLLKEIAEEHEIDWDPEATETEFLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASATEHPDEDYDESDTGFDSLDLPEVPKAAIRPPSDTPTPDIGTHVQSSQPSSHEFSNVQSSQPASHEFSNVQSSQPASHEFSNVQSSQPASHEFSNVQSSQPASHEFSNPGDLEENPTANAAFMTELKDTKPISTPFSQPTMPISPNEKNQYVPFAPPPFTAPSPIEKYESNPSPSPSPPVKPTDPEMFTRTIDEVTPPPTTTDYLFSKQPEQLHSTSTENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDGARKEGHPQTEVTQKPVFDHQDSFSSDTQGYVPSHVPQRSPSMEDDPYFSYPNLFSSKP >OB08G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3184815:3193047:-1 gene:OB08G14680 transcript:OB08G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRTGAFKLGLYANLNVKSSIQEWVDETRRIYFLRTTNNVKKNISNGTTPLRVGNLRHDPSEDLRSSNYPSLYDLRERGPSNSIVNRNVDTDLAKHPVIYQSAHAVPAPFSIVNNDMKPLNTPNGSSAEIPWHDSPTVDSSLPKVSKSETTLVVNKANVIPDKKEHKHIVRKVTPNIPDKTSLTSEAINARKVLAAIYDKVLVVDNVESARSVVKLLTTKYRGFIHACDTEVANIDVKEETPVGHGEVICFSIYSGHFDGQADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHIIENCGIKLAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDQRVMDTVLKDLPKTGKMSMKTIFGRKKVRKDGSEGKIISIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKAAVAERKLAANKFRNWASKYCPDAKYMNVNSDTQIRQLFFAGIENRNKLGETWPQSKTFKVPNDENIATEGKKTSKSRTIKLFSIVEDLKIDMFTPSGWPSVSGDALRSLAGKIPTDHIYKIDDDQEFGEDGSNLELTEQDVEDSSPYGTAYEAFGGGKKGREACHAIAALCEICSIDKLISSFIIPLQGDRISCNEGRVHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSTPAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVREARDTLKLWYRDRKEVSAWQKTQKALAFEKCEVYTLLGRSRQFPSMTHAGPGQKGHVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEVAKAIVVDCMSKPFYGTNILKVDLAVDAKYAKSWYAAK >OB08G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3196214:3199276:1 gene:OB08G14690 transcript:OB08G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSPSWIRCHLHLSSVSSQASSRSLCRQSKIHFALCLLQADAPFHGRVVSDISERLFVVGASNLTVDFFRRIPFLIYSSLVKNQISKLLTSVPESHATVVKAAISNYRKAIDEGLLLKLPFTTIFEYLQLLQMVAEAMNCLGRQGMFYLAAAVSDFYVPWESMAKHKIESASGPLNMQLNQVPKMLFILRKQWAPSAFCVSFKLETDPDILLEKAEAALRKYGMNVVVANELANYKDVVVMVTSNGRTTVRRPSKEDDVEEQLIDLLVKMHSEHIVQLNQDHQTCAT >OB08G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3200288:3206453:-1 gene:OB08G14700 transcript:OB08G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIKQKEMATLGLTPNTNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTIFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWVPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQAEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDESQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNTDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRITTGRK >OB08G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3208695:3210376:-1 gene:OB08G14710 transcript:OB08G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPAHPVMTEPKFESQVALPLQHGDEDDAALHDAPPRQSSDSFDQEGSRPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAQLEQEITRARQKGVYISSNSPPSLPAPIDSGVATFELKYAHWVEEQNSQTRELRAALQAGASELQLKILVEAGLEHYEELFRAKSEAAKRDVFFVMSGVWRTAAERFFLWIAGFRPSEVIKVLAPQLEAMTEEQVAKVQGLQQKARHLEDALSQGMDKLKQTLADSMLAEAVASTSSGDDNAASPHDPSSADGGGGGYMAQMGSAMGRLNGLVAFVDHADHLRLGTLQNMHRILTPRQAAQGLLALGDYCQRLRALSSLWAARPREPA >OB08G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3222988:3223182:-1 gene:OB08G14720 transcript:OB08G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSGVCPLELIQSPCIYEELLSHFFLLQCSLGRFGDFFWSSSKEGTTSSTISSQFCLVYSVYS >OB08G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3229907:3231492:-1 gene:OB08G14730 transcript:OB08G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLFNDIGAEVIIWKEAGTFRDSEGCVLDPKGTELGRGKTQQFISAGMVYEAAVASALKVQHRGHKGSAARSHEHQVSKEKKQQQQAGCSILWAVRRIYPASSISGKK >OB08G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3232759:3232971:1 gene:OB08G14740 transcript:OB08G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTDKEEDDPPPPAPLSQPSRPASTSETLSLWLRRHPPSLLLPDCRSPPGHHDGISSCLAAEAWQLAPA >OB08G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3234471:3237252:1 gene:OB08G14750 transcript:OB08G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYKPKGSAMAGASKKLAYVLLLLLAMAAAALSVVVLHKVRERRAFAVLLRERDRQLIATRILLQKEKAFNKEMKRKLEEMKATTSSLRTQKTDLKTKIKGLEATSMDLKNREKELEAALTIKNSHIREMEERAAGTNPDQMAALMELLQRKEAELEEIKVRFQDYKTTERKSVSSKSPPLTNNANLKPDNAVVEKVKAEQKSSRNTSTTTTESKNTKDRSSEEKQVKYTATSMEDNGLQDKTGDVLEDIDDIYGESHSGKAKFPSQKKKFLTNSRAGSQEELDRLGHSGNSLDQDSDRVRYNKLLEKEIDKVSDETKKEKNADGNLEKISKHISDGNKNRLKYAVEDKASAAALKPNMSVNDDESQQQNKRHKKKKTRSKKKVIDSAAIGDDGEVSKEKEVDST >OB08G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3238831:3242535:-1 gene:OB08G14760 transcript:OB08G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPMVRGVAGKAADELVLTVTRMWGVDDYRRRLERHLVYVQSLLADAEEKSKTNEAVKMWMKALRSVAYEADDVLDDFRYEALRREAQSLRQRSMASKVLLTNIFSSKKHRLVFRYKASKDLKHVLDKIDELTHSTLDESEDIFGRDDDKEVLVKLLLDQQDGQRHVQVLPIIGMGGLGKTTLAKMVYNDSRIQKHFELHLWHCVSENFEAPHVVRSVIELATKARCDLGDTIELLRGKLQDVIGRKRFLLVLDDVWNEDHQKWEDLKPILCSSIGGLGSMIIVTSRSKRVASIMGSFPPHELSCMSEEDSWRLFSIKAFSREVQEQPELVRIGNCIVNKCKGLPLALKTMGGLMSSKQAAWEWEAIADSNICDTSRGKDEVLPILKLSYRHLSFEMKQCFAFFAIFPKDYEMEKYKLIELWMANGYILEEETMNYTQKGEFIFNELVWRSFLDVVHMSSDFYVEVGCKMHDLMHDLAKDVTNDECASAQELDQKKASIADVHHMQLSKTELRKISGLLNGKPSLRTLLLTYSVGEDFNELKWMPLRALCYQISYYGINDQLLNSAHLRYLDLSYSSMVALPNSVCLLYNLQTLRLNRCHNLQYLPKDLATMRKLSHIHLLNCDSLEQMPPNLRLLHNLHTLTTFVVHTSDGCGISELKDMRQLSNKLELYNLRKVIKSGSKVNLHEKKNISHLCLYWGRKEGYVPANDKIKNNEEQVLESLVPHCELKMLGLHGYSALAVPQWMRDAQMFPCIRELSISNCPGFKDMPIIWLASSLEKLRLRNMNNLTTLCKYVDVETTRCNTSLEIFSKLKRVELQDLPKLESWAETRSGEPWIGLLVFPQLEELNIKNCRKLATLPQSPALKKLSCYRESSGDVAFPLSISMGSWPSLVRLEVGLVADILMPPLEEQQNQGQNPPTTIRTLRIQSNDGFISMLNPSKQQVELQGWFAFVEELFIGFCNCFVCWPMEELRCMPCLRSLYILGCHTLEGKKGCSSEEIFPLPRLEKLGIDYCEGLVEIPMLPPSLEAIYIDGCKSLMALPSNLGDLAKLTDLRIHRCDALKVLPDHGMDGFAFLEQLEINECAGIEMLPPGLFQRLPALKYLFLWHCPRLKTLPDWMDTMHSLVSLNIHWCPMIEKFPRGIEQRLPSLKYLRIYHGCPDLQKRCRPGGEYYDLISSIPDKKISAPEPNRKIKMFVKKHFLLSC >OB08G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3249075:3253587:-1 gene:OB08G14770 transcript:OB08G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMRRYCINDRPVQFQIVSDQAACMQNRFGRVNFEDPNFNIGLPVFTIHGNHDGPAGVDGLSSIDILSACNFVNYFGNVDAGSSDVGQISVCPIFIEKGATSVALYGLGNIRDDKLSSMLETPHKIEWMKADSEDDWFNLFVLHQKRRKGSTTNGINEQLLPHFLDLVIWGHEHECLTDSQEVPGKSFHIIQPGSSVATSLSNAEAKPKHAVLLEINGRQYRQTKLPLKSVRAFKYAEVLLKDQLGVEHNSEESLYEHLNKIVSNLIDKTATSGSEPKLPLVRVKVDYSGLSTIIPQRFGQKHVGKVANPNEILLFSRSTQRHRKHTDGSEEIEPNELGQKNVEELIAESDLKMQILDKRDLESALHDFVSKDDSMAFHSCLNKNIEAVKNKLNSAAKDFKAEDMVLQLDQCMKELMDEDSLPSKGELLCSQSLPTNTQSAFQELKCSSNDEQENQKSNELIETSDEQLPQVASQKRPVPADGSSASSRRRKTDLTSFYQTVVKNGHDGAKKCKTPVAGRYGTIRRR >OB08G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3258998:3262309:1 gene:OB08G14780 transcript:OB08G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G03870) TAIR;Acc:AT2G03870] MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQADGA >OB08G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3264317:3268289:-1 gene:OB08G14790 transcript:OB08G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSSLCGISRVAWRRHAVAGAGGALGQQPHLRYLVPRPPAPPPIGLALGRYFSSSSRRSAKRSAAAKQSSQPPPPTPTPMDGGAGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLHKETEEYLAARGLRHPLYAINAADARDELFDGLVPCPFQQPDGTGTSTLKRPLEMEPGPSKKQPKVVEQEPLPDSSLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLRYAAKKGFKYIRAQGDSKLVCNQVQDVWRARHDTMADLCKKVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPVGEIQEQANFP >OB08G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3268720:3278145:1 gene:OB08G14800 transcript:OB08G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G12470) TAIR;Acc:AT1G12470] MDASAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDFSFEDAHDLDLGSGRSGEHSVHRVFLDPGGKHCVATVIHPGGPETYYHHARWPRPRLLPRLRNSLVNAVAWNRQTITEASTKEMILGTEDGQIFEVAVDEADKKEKYVKFLFKLSELEEGIKGLQMETTMVGNATRFYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGDIPSSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGTKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDNSPEISKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKDYATALSHCRNSFQRDQVYQVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDGTGTSSNAAAESKDSEYRSIVTEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRHNVLVELVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPDFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQVGRSYTSAGHMAPFYVFPCGHAFHANCLIAHVTRCRSQEQAEKILDLQKRLSLMDRKAAKDNGGNMNGESVISTTPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >OB08G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3278925:3280480:1 gene:OB08G14810 transcript:OB08G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVQTVGGKTYPGRMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSVYAKAKASKDTNQYCKFNSQLLTLFTSSLYLAALATSFVAAWVTRVFGRKWSMFCGGITFLAGSALNGAATDVMMLILGRILLGVGVGFANQSVPLYLSEMAPANMRGMLNIGFQLMTTIGILSANLINYATANLEGGWGWRIGLGLAGVPALIITLGALVLPDTPNSLIARGYAGDAKRVLVKIRGTDDVHDEYEDMVAASEEASAIEHPWRNILERKYRPQLTVAVLIPFFQQLTGINVIMFYAPVLFLTIGFAGDASLMSAVITGLVNMFATVVSIVSVDRLGRRALFLQGGTQMFISQVVVGTLIALQFGTTGDGEMSRSYAILLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMLLTFIIGQAFLTMLCHLKFGLFYFFAGWMLVMTTFVALFLPETKGVPIEEMNLVWSRHWFWGSYVTGHDAGAAAAGNRRSHNV >OB08G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3279038:3279685:-1 gene:OB08G14820 transcript:OB08G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGAADLDEHPLRVAGVATGDEGVGRVREDQRAERDDERRHAGEPQPDAPPPSALEVRRRVVDEVRREDADGGHELEPDVEHPAHVRRGHLRQVERHRLVGEPDADPTPSRMRPRMSIITSVAAPLSAEPARNVMPPQNMDHFRPNTRVTHAATNDVASAARYSDDVNSVSSWLLNLQYWLVSLLAFALAYTDGKNSLRNESMDVTPPEMPMS >OB08G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3282733:3287151:1 gene:OB08G14830 transcript:OB08G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPAASTSGAAMSMKEYLKRYQSDDAQGKAKKKKKKPKPAAASAGGGGGVLIVDEDPTWQKPVQIEEEPPSSGDEKPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEEPNEEPNGCRRRNDTPSPERGGAGRKDLSPPRRRQRQDTPSPDPRDAVGKDLSPPRQRRKRQDTPSPKGNEIAAQDDLSPPRKSRQQQDSSPPRRLARHDSEDPQDLSPPRRRTRHDSEEPQDLSPPRRRVRHDSEEPKDLSPPRRRVRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPRRRTRHDSEEPKDLSPPCRRMRHGSHEPKDKLPRQKRQSLDKSLDDGDISPPRKSKKEVPPKDVRRAGLMTAEEVKEDIRKIKEDERLKFAAQDPSMIGKGAKAVFRDKQGKRISEEDMKKAKEDTKPKEIHIEWGKGLVQKREAEARLHELEAEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKRNDTDLLLEDLGDNEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVSDM >OB08G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3289903:3290319:1 gene:OB08G14840 transcript:OB08G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAASVAAKAWWTVAMSVGAVEALKDQSGLCRWNYALRSLHLAAMDSLELHGGGGVVTAIRRKLRRSAAAAAAAAEGPGGGGGGAGGGGAPPLPLGGSCGDQRRRRQRRPRGRGGGGGGRGGGGGGRGGGGRRPCH >OB08G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3292005:3292235:1 gene:OB08G14850 transcript:OB08G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYASRLCARAVQAAVRAEQPVTHRRPPPHAGRLSPPSSSSSGGPPAAKSVEDEVRRRAEKAEKVMHLVCWGPS >OB08G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3294853:3299702:-1 gene:OB08G14860 transcript:OB08G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:J3MQV7] MEGEMDTVVGSSSKPCGPLTDYCIPDYILRPDAQRVVLDHAPPCPVLAFINSGSGGQLGSSLIKTYRELLNEAQVFDVSEEAPDKVLHRLYANIERLKTEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVRHAKEMKIDSWHIILRMRAPKEGSCDPVAPLELPHSLHAFHRVSSSDSLNVEGYHTFRGGFWNYFSMGMDAEVSYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFCASLSHPSSRNIAQLAKVKIMKKAGGDWQELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTRKVEERDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHQGATDHTFMRVDGEPWKQPLPKDDDTVVVEISHLGQVTMLANEPCKSKSVHDESSHAQHDGHDEDDKDSMEDDDEWEDGRRKFGAADTFKIPDEVDIVHLS >OB08G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3307147:3309782:-1 gene:OB08G14870 transcript:OB08G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAAEGKEKGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARXXXXXXXXXXGDGDGVDGEEVVVEGEEEDEDEDDDEEEEGEGENQVVPWAEEAEATPPPVASSTSSSSREAAANGANAADHAKEDQPCSTSQPSLRRYASSARHGGRSDEATSSRNGTGGRFLAPRHRKRSPSDFRRSGSAQSGSGTQGRNCSNAAIGRNE >OB08G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3308970:3309248:1 gene:OB08G14880 transcript:OB08G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEQFLPCVPLPDCADPDLRKSEGDLFLCRGARKRPPVPFREDVASSLRPPWRADDAYRRKLGWDVEHGWSSCKRRIDQHSQKTFCKNMV >OB08G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3322570:3326677:1 gene:OB08G14890 transcript:OB08G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MQW0] MLMCSLRFSNIILLLLCWVLSSVHLCASDNRLVAGKPLSPGSTIVSDDGTFALGFFSPSNPKKHHYVGIWYNNIPEFTVVWVANRAVPITDASSAALALTGSSGGGLTLSDSNGHVVWTTTTAAAAAVTGNVSTEAMIENTGNLVLRSLADNAILWQSFDHPTDTLLPGMNLRLSHNTHPLQRLVSWNGAEDPSPGPFSYGADPDNLLQRFIWHGSAPHRRSPVWHSYLLLGSYSNSNDNDNLMNSTIYMAINHDGDEVYMSFGMPTAGPSSALIRMEIDYLGKVNMLFWQSNTLAWASLFSEPARECDVYGYCGPNGYCDNTETVSTCKCLDGFEPAWSSGGGSKTTFSRGCRRRRALRCGHGNGFLVYPGMKVPDRFVHVRNRSFDECTAECRGNCSCVAYAYSNISIGASEGDNTRCLVWMGELIDMEKVSQGGEDLYVRVTRLNGNKRKTNILGTVLLAVASLLMLICMLIWICCIRGKQRSNEVFSGLMLGDSSTSSELSDRKTEFPFFSFREIASATNNFSDSNILGHGGFGTVYKGIMEGDKDIAVKRLAKGSGQGVVEFKNEVFLIAKLQHRNLVKLLGCCIHGDEKLLIYEYLPNKSLDAFLFNPSSDSALDWTTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQTNTNRVVGTYGYMAPEYALEGVISVKSDVYSFGVLLLEIVSGLKISKVIDPSAGHSNLIAYAWSLWKDGSMGGLVDSSVTESSSLGEALRCIHIALLSVQNNPNARPLMSWVVSSLDNKDIELPEPKEPMCFAHSSFGTDGAGESLVNDMSLATLEAR >OB08G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3332551:3335842:-1 gene:OB08G14900 transcript:OB08G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPAAGRETTNSTAPYWNPSSGFTVAADGAAALGAAGGGAPASLSAKSALEGVGDSSSIITKKAKVDSTPLKKSRTGTPSALPTTFKACIFPPLVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKSSGDNNNSKQGGGGAGEAAAAAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >OB08G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3348486:3350365:1 gene:OB08G14910 transcript:OB08G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPSQRYCRGVPDPKIRIYDVGMKRKGVDEFSHCVHLVSWEKESVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKPNNAIHASEALRRAKFKFPGRQKIIESRKWGFTKFSRDEYVKLKSEGRIVPDGVNAKLLGCHGHLSARAPGQAFLSEDMAA >OB08G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3352333:3355158:1 gene:OB08G14920 transcript:OB08G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEFADWRDVGAAEPEVTAAGKPRLVFAPPSREEAEVATTELRDAIDRVYFNEAPVEVVKEQDKDLSKLGADAIIPAMPGHVVQAFTLLKSSPEAQGVVASLASDKNVWEAVLKNEKVMEFYKTHQQSLVYTFPEDTATVESPEKLVDAASENVHLGEKPTGFPFADFVDNAKKTVIDIVDNITHFFQDMFRKPDENQASTGKGGSHSFAEMAAGGSFVALAIGVILVILFKRS >OB08G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3356966:3357187:1 gene:OB08G14930 transcript:OB08G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGVSWKNLFFFTEGVSWEKSIVRACQTTSEPTLRGVTQVGTILYSRRMLPIRGAILAVNHALAQKVIGLINQA >OB08G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3357649:3361858:-1 gene:OB08G14940 transcript:OB08G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEWRDRVDVIFSLLWLKITPSPDTVNPKAALPRHRYSGTAPSEPNQIETLLPIPAASHPFPAAITGGMNKRPRDDPSSSFASAPKRQFGAGGGGGAGGYGGQGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYAHPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLCEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRETDGMEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVHEYLQKPEFAELMRRLGALGDGRQDQSTLSRDEWEVAYLYLAFVLRKRGQPPTQRRANNANRGKMFLTESDIDVLGV >OB08G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3369929:3380787:1 gene:OB08G14950 transcript:OB08G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 [Source:Projected from Arabidopsis thaliana (AT4G15180) TAIR;Acc:AT4G15180] MGDGGVACAVPPQRAVEGFRAGGLVRRAGGEAMPDNGEKGHHSNNHHHHSHRKQQPASAAELEEGELLNGEADSARDLERSMPPKKWRKVLAASSTAEVEPGEIVSVHAVSSKKARRNGELEKGQIAPERQRKEKSDKTRRKSNKDDVEPGEVAPSDKKRDRDHNRKHSSSAQVRDDGKKGSSRDSDEEPGEIRPESSSSGTARKSRATEPESNNHKHQAETFDQTGSKSRRKGEAKSSGKHLSGRNRDISPMTRDRHERSPGILGRFPHDRLRHDRSPSRLEPSPRDRSRPYDNRDRSPYISPRHRMRQSHHRDSTPSRIEMHHHRDNTPSRIDNSPRRSQHEDFRDRSPLRRDRSPSERGRTTESHEAGKKSRGPKLENNSLEKAQHKSKSSKQLTKSKSSSNGSNERISKEKATETIQYTELPPPPPLPPPPPPPPPPPPPLPPNMPPPLPPPLPPPPEPELNGVPAEDVSMEEDMDICDTPPHTSSAPEPTEPPASDVGKWCYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSDFPSVYSDVSTQLVSSPEAPGNLLDEAREEASGAEHEQMEEASAEEQEDFYIDDRVDALIDGSIMVGGQELEILGELLNAHFEPVNWESEDFSRFQVKSEGDDGTKRSTEFPDSRTAHIYGAGAAERDTYQQYVESSEWFSGRWSCKGGDWKRNDDFSQDKPYRKKLVLNEGYPLCQMPKGGHEDPRWLCKDELYYPLRGKKLDLPLWAFSSAEENDETVDDASKSGVMPGRSGQTKQPPKGVKGTTLPVVNINARVVKDQSSSEQRIKSKGTDRPPSRSSRSHSIGTDRSSTYEGSSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVEELSVKVGDWYYLDGTGHEHGPFSYSELQELVKKGTILEGSSVFRKTDNTWLPVLKDLKSGSSARNGEAGSSNSALIHSNQSSVAVNHGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIAKKQPRKELETYFSHGSASKNFVQEDGGSTKRARLLPDQTDEYTDMSEDILASQKDDCSFEDLIEGTTHVKESSLNSRMESESWGLLTGHVLARIFHFLRADTKSLISSAATCRCWNTAANYYRNLCRSVDLSSVGPQCTDSVFHGIMAGYNLQNVRTLVLTGCSNLSSLALVEVLKQLPHISYVHIQGCSQLGDLKNRFQHVKWIKNSLNPDASYQKIRSLKQIDDGSNGTSKVGRAHMMGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDARKSSDVLSRDAQMRRLMQKKAENSYRKMEEFVINKLKEIMKISRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRNELGDMKQIVVAFIQLAKKLENPRLISDRDGTVVQRDGSDTSQYSSDLKLKKKQNKTTSERRGWNAGGADLSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSVAWDLKGNGTKLFESSESSTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEDVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWSSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSSEDSGDKRTLKMCLGMLKAMRNHPEYNYVAYRKGLGVVCNKKGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGQYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFFERQKLPNEIFKHNVEEKRQFFSDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLDDLKAKIRAHDPSASEDIEGEIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTIKSPPVLISPLDLGPKYADKLGPGFQEYCKTYPENYCLGQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGTRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >OB08G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3370180:3390815:1 gene:OB08G14960 transcript:OB08G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNMNSDNFIDLSSDSDLDFDFDLDDPVGSFGQQQASSSSRPTENRNDQYRTLPPFASGRHIENAHHALGSGDQTYPHSSSFGGSPNDSARATPSNRTDVVKRHNGFASDGNDNDKRILPSSFSNGSTTKSIHSMAGNEARRFPPSFTNGNLQRLGENRMGKNVANGIGEPSSSRLPSQSSYLGNNKKVITDSDNEDLYVYGTSSSQRVLPPSFGRNSSANHREFANGIDMQGRPILENRIIDSDERAVYQEALQNISQDKREDDLPEGILSVPLLRHQKMALAWMVKKENSSHCAGGILADDQGLGKTVSTIALIQKERNQQSKFMSVDSDRLKAEALNLDEDDEAVPVVDKGEQTKNDEPTKDPGAGSSSTAAGTSEAGPCTSQINTAPDNTIKRNVEPKKKNKANTSSSMRSMTRPAAGTLVVCPASVLKQWANELTDKVCENAKLSVLVYHGGSRTRDPSELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPSKAQSKSKKKKKKHKDSDIDLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYNSFCTMIKHPIARNAIHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLEKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVAAICSVCGDPPEDAVVAMCGHVFCYPCIYERLTTDENTCPSPNCGNTLSTDSVFSSGALRICISGESSSHAAGSSSLDDESSSISQSSYISSKIQAAIDILNSIINIYALTDSDTIESNQSQVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMTLNSRDRAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRTMVSSAFGEDKSGGHATRLTVDDLKYLFRM >OB08G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3397832:3398644:1 gene:OB08G14970 transcript:OB08G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRAAARPKPKPKPKAKPSPASLLSGGSSSLPPAPASVSTVAELRGLAASHLDSSSAGSTRSTMQTQSCLQLADEAEKEHRKMAGKISEHAEAVKASYKKFVAEVCASTSRVCKVTIPEMAKSADRAIDGLRSRYNIPATAV >OB08G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3401783:3405261:1 gene:OB08G14980 transcript:OB08G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDDHDSDELPLGGASDEAHVAFRARGKKRSKVWDEYKPIYVNGAIQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEELELTQLQDSGFPYVLNDMNPVGYIHPDSLDDINLGSHSDSSRFRSKVWEEFRPFLVEGKIQSAECVHCHKLLTADKGRSHLNRHAQTCPARETGTNHKKGLFPPSNVPSFKSEVDELSPALTNGKVQIAEYASKILKGNSSVEKTPQSQHILALPARDNMTSKEQNTSYTYTTPDISTRKFDQETSYQELTKLIVLHGYPLSIVEHDEMKRFAKSINPLFTMASSIDVEEYSTLLYQKEKADLKEKIDLSSRRISLSASIWAPHGAEPTVKYICLTAHFIDAEWNLQRKTIKFGVFSSSPTDLERMILHKEACVLDSASGPYNVIGEAIRDWNLDKKLFSLISVSEIRNHGDTVKLKDMLIQRNSLPIRGELYNIACVDDVLNNIVSKVQSMLHLIDNILERFMLAHRASSLTQQQLFEVVTNTGLKCPQEDAKWWHKIFFRFEVVLHCKKVFPSEELLPEEDYKIVESICKILRSFYRAIEVISGPVCTTANMYFNELWKVRTTLQEEASSDHTEVASMVGEMQEAFHEYWESSYLWLSVPVVLDPRFKITFIEFRLKRAFGADAAKYVAAVREIIRELFHEYCCPVDKPGVHTSNHEAHGVEMDGFDSDSLEDWDQHLNAQSRNQRLTELDNYLEDGLVPRKDDFDILNWWMSHSTKYPTLSNMAQDVLAMPSSAVHCRAAFSSEGSTIPKQWSTLNIKTIEALVCTQDWIR >OB08G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3410041:3413869:1 gene:OB08G14990 transcript:OB08G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPIPHPPAPPPLPPQPSAPPPSSSVSASAPFHVRHRGLSLHSHQHRVRPLAPPPLPSPSRSASAVVQLRRQRHQQVCVARVSQVFLQIKVQFSTLRIQVKSKKQCGSRQFDKLSNVDASSHQLQETVS >OB08G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3414153:3415093:1 gene:OB08G15000 transcript:OB08G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFKLTLCLLLSFFAGSFLRRYLTTPIFIGIQTLCVLACVHGVAMLTISTAARMLCLWPVTCALGPDVQLVAVLLALPLTALGTGGLKSSVSGFRSDDQFGETKPQTARFVNWLFFSIRLGSLLAVAVAVLVYVQDTLGRPWGCAAAVFIVFLADTHCIFQLKKLAGVSIGVNFGGHALVLSLAGILAAVVLIAVSVRMTQDPTAFGTTTTFPGITCRHLAVVWLAAVSTAITALTGQDNPANCISLFVCILVGITLITAGFLGV >OB08G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3416749:3417270:-1 gene:OB08G15010 transcript:OB08G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPFFITVPTCAKLSRGSKYISCHHLNSIVRGVCNSITVSSRSIGTVLAPALLPACIHSLFFDARSSFWFPRDLDLSCLFRFFAFCRRQRRGDDLTTTRTGDARRSRRRRLCSLRRRRRRRRHRAGGSSTRPSVRHPCLVRRVSWLHGSPNPCRPASMSSGAAATVTSPYYR >OB08G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3422770:3423361:-1 gene:OB08G15020 transcript:OB08G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDRGGVLPPGVLVPDFVNRDTAALHSSAGVVLAREHGLPFEKAQGVAADGAPPTSPDAGQFLQVKVQVDAGGEAGVPTAAKAAAGGRGGDFAIAVVGIIAASSAVTMVVGAAHVRWRLGSSSSYSAVSPWPSPAFAVCKLMVDRLRSM >OB08G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3428230:3428764:-1 gene:OB08G15030 transcript:OB08G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEETLRAAAAPPSSSRHAEQVAIDVPVDDDMASGVPTAAKATDAGGRGGNLATVAGIVAASSAVTVVAAGGGGVSPPVAFAAFVLMLGGLFVAVSGVLEN >OB08G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3445030:3446994:-1 gene:OB08G15040 transcript:OB08G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTFEFLRDRSRWDDGLACFCRIFWCLFKSSGCYCFLFGEELTVTCSIDLFYDAFCLSFEPQFSRGLSGTGSERGRCAAEEVELDAVAARAASSAVSNVPSHLRTARNLQEGKNNGERKESSRQQQGTIDRGNSADKQKVCSSSKDAAPSNMGRGELTGGRLEKGCGRDGELTAGRRWSRTNGASLLELDQKRAAAGQWKERDAGRPLDLDKGGTACGHWKECDAGRPLDLDKGGAAGEHWNVGGD >OB08G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3447067:3447348:-1 gene:OB08G15050 transcript:OB08G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATDVKLANLRAGDMLEHGKAEKKATMAEKAGDAPGGRGSGCPDPVVVGVLAASSAVSVVAAGVGPPLAFGLFVLLLGGLSLAVSGVRRA >OB08G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3462267:3465104:-1 gene:OB08G15060 transcript:OB08G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPATASRSAAAVCVTGAGGFLASRLVELLLSSRDHYVVVHGTVRDIGDGKNAHLKALENAGERLRLFKADVLDYGGVAAAIAGCDGVFHVASPVPSGRPTNPEEDVIAPAVTGTLNVLRASYEAKVKRVVVVSSVAAVFNNPKWPKGKPFNEDSWSDEELCRKSEEWYNLSKTLAEREAFAYAAKTGMDIVTVCPGLVIGPLMKPTVPTSIKMFFDYMKGDGETAENRLMSVLDVRDVADALLLAYEKPEASGRYLCSSTPRKLSDIINISKSLYPNFPYPKRFVEEEDTITFSSEKLRKLGWTFRPIEETIKNSFESYIASGILT >OB08G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3468489:3468686:-1 gene:OB08G15070 transcript:OB08G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYACRPSVAASSPGTYCAVGLEWRGGAVPGLAAGGAASAAAGFGLFTALLCGVSLVTLRVVDA >OB08G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3473049:3474083:-1 gene:OB08G15080 transcript:OB08G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTVTAGALALEVNPSDTVGEVKARIQAKEGIPAEQQRLMFAGRHLDDGLTLAEYGIRKEANLHIALRLRGGAGGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPGPLPLPPSRSSFFLPSRSPA >OB08G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3497434:3502110:1 gene:OB08G15090 transcript:OB08G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQTDLLDSHHLQQALQQQYFDQIPVTTTTAPADSGDNMLHGRADAGGLGDEFESKSCSENVDGAGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPDALGEMSFDEHH >OB08G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3502239:3506272:1 gene:OB08G15100 transcript:OB08G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGAGDLLRSVSAPIHPHPLDVDKPMIVELAVAAMDELTQMAQLDEPLWSSETLLNEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYNGALQVMSMEFQVPSPLVPTRESYFVRYCKHNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASALASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPPSGNGQAVGENGGSGGGALLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKSAVCRDSDPQ >OB08G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3507694:3515983:-1 gene:OB08G15110 transcript:OB08G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRPAAVSDIRQSESISPRPSHGIHVNPKYLEAQQQFKHTTSVHQPITRGNRQMTDLEEDQINGLTSKSSRGWPATNLKLQKSAMPYADGLDQQETFHSHTGGPLPNSRRTMSRSPPLDVLPRNASPKRALERPPLSHSVLGPDPRRLPDRNGWFDRKWAFEDGAPRPPMSILDEEYRKQSARELIDAYGNSQGKDVDERLSKMQRLDSNGMASRSTAQKWLASEEEEYTWEDMSPTLADRNRTSVPSLPLPGSVRAGFPGPNSVLLESDIMRHSWPAQAPRPAIDGSALNLEDRIPANGPVDRAANRRYPGNFGVQNGAFLEYQSSEHTLDPGRTAMPAPPWQQTIGQPLRVQAPQPASILNRMPLPTDSEVPVKRLATGGTYDALNVDIPLLEKHRSSPAHAPMEWPLHTQSLTIQPTPSDTRHPRGAADSLDSRPYISQGANSSVFVPQHQYDALDRRTINTDDLAQPSYQHPDLLPLNQQNQGTILGNQAQPHHPPQFLPHPHSHLQETVRSFAPSMSVAPQNIFQGQGGSAAVPPVPLLPSSFPVPPAVAPYGLPSMPGFPLPSLPSGPPPPSQIGPSSSQVGGPPFVSGLLSNLMQQGVISLQPPSQPQDSVGVDFNVDLKVRNEFVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADTKEEKELAVPADEDQTACALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNVYALDRSQLGPIVHAKCLSGPNNT >OB08G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3525110:3529690:1 gene:OB08G15120 transcript:OB08G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVKLSPGPVAFSGTNLRSKSALVPSVSSLKPSKFVVSSLRPLYLAPLDGPRAAVQKPQRKPLEFKCAASAADDKKSKTEVVPVRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALTEVGPNVVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKQ >OB08G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3530434:3531357:-1 gene:OB08G15130 transcript:OB08G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNNASFCTYHLVLYMYTCMYISSSLCMERGVPDGGGGVLRPGGVRLVPRPRPDAGAEEDDHLQRLEHPVPVEQLVPHAADDAVVVVVRRPVVRRVLGARHEHAGGLQRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSWQRGVMAYMAS >OB08G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3532074:3533666:-1 gene:OB08G15140 transcript:OB08G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILILYRGRNYDSKQRPEIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNMGLNSPPLMKLTRNGVYVNVVERVREAFKTVEVVRLDCSHVGSSDCKKIGVKLRFYGIDNDQKILPATYFWIAGNIKNYINWLLDCSSTLSCSPAAV >OB08G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3536764:3538001:1 gene:OB08G15150 transcript:OB08G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKQNKDDRTVVLALPAPGSSDFRPPRRRSVVPRKKKNSAQHKEEASSSNPIATGAPAATTGTSDLNLQAEDINQSQMRIVEESIGITDLQESTTVLHGGAQIMGEATDIGQQADAVNNFQENQVDPIGEK >OB08G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3538211:3538516:1 gene:OB08G15160 transcript:OB08G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding YWFIREIEGIIHTQRERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERERAVIFTKKIVNMR >OB08G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3539367:3539942:1 gene:OB08G15170 transcript:OB08G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNEALTKWHNFSIGFNQQQPDLANVGPQIPEQAAANPNEDMNDMFEFALNNSILDLDSLETTP >OB08G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3542461:3543253:1 gene:OB08G15180 transcript:OB08G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKFFLLTALIALVVSQAVASDPSPLQDFCVADKDSPVRVNGFPCKDVKDVNVDDFFLAANLDKPMDTTKSKVGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHMHPRATEILTVLEGSLYVGFVTSNQANRENKLFTKTLKKGDVFVFPEGLIHFQYNPSYEKPAVAIAALSSQNPGAITIANAVFGSNPQISGDVLAKAFQVDKKAVDWLQAQFWENNHN >OB08G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3545603:3546387:1 gene:OB08G15190 transcript:OB08G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKIFLLLTAIVGLVASQAMTSDPSALQDFCVADKDSPMRVNGFPCKDVKDVNGDDFFLAANLDKPMDTTKSKAGSNVTLINVMKPAGLNTLGISMARIDYAPKRQNPPHTHPRATEILAVLEGSLYVGFVTSNQANRENKLFTKTLNKGDVFVFPEGLIHFQYNPSYDKPAVAIAALSSQNAGAITIANAVFGSNPPISDGVLTKAFQVDKKAIDWLQAQFWENNHN >OB08G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3553720:3554814:1 gene:OB08G15200 transcript:OB08G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKQNNDDRTVVLALPAPGSSDFRPPRRRSVAPRKKIISAEQQEASSSNRIATGASAATIGPSDFEQQADAVKNLQENQVEPYR >OB08G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3557636:3558428:1 gene:OB08G15210 transcript:OB08G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVFLLTALIALVVSQAVASDPSPLQDFCVADKDSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKVGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYVGFVTSNQANKENKLFTKTLKKGDVFVFPEGLIHFQYNPSYEKPAVAIAALSSQNPGAITVANAVFGSNPQISDDVLAKAFQVDKKAVDWLQAQFWVNNHN >OB08G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3566219:3567092:1 gene:OB08G15220 transcript:OB08G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFPLLPVALLALLVSLQGAIASVPSPLQDFCVADLNSSVLVNGFACKNPANVSADDFFKAAMLDKPRDTTTNKVGSNVTLINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATELFTVVEGTLYAGFVTSNPENKLFSKVLNKGDVFVFPKGLVHFQFNLNPHKPAFAISSLSSQNPGIITIANAVFRSNPPIADDLLAKAFQVDKKIIDLLQA >OB08G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3573551:3574072:1 gene:OB08G15230 transcript:OB08G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNADHFFKAAKLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNTLFSKVLNKGDVFVFPKGLIHFQFNPNPHQPAVAIATLSSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >OB08G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3577296:3578106:1 gene:OB08G15240 transcript:OB08G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSFCLLAALLALLSWQATASDPSPLQDFCVADMHSPVRVNGFPCLNPMDVNADHFFKAAKLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >OB08G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3581236:3582346:1 gene:OB08G15250 transcript:OB08G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFCLLAALLALLSWQAIASDPSPLQDFCVADMHSPVRVNGFACLNPMDVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGVSIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKELNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >OB08G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3586126:3586987:-1 gene:OB08G15260 transcript:OB08G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFMLMATLLALASWQGMASDPSPLQDFCVADMHSPAVRVNGFPCLNPMDVNADHFFKAAMLDTPRKTNKVGSNVTLISAVQLPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNRDNKLFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHN >OB08G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3592733:3593822:-1 gene:OB08G15270 transcript:OB08G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFCLLAALLALLSWQAIASDPNPLQDFCVADMHSPVRVNGFACLNPMDVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFTKELNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQLWENNHY >OB08G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3597596:3598467:1 gene:OB08G15280 transcript:OB08G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFMLMATLLALASWQGMASDPSPLQDFCVADMHSPAVRVNGFPCLNPMDVNADHFFKAAMLDTPRKTNKVGSNVTLISAVQLPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNRDNKLFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHN >OB08G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3600390:3601247:1 gene:OB08G15290 transcript:OB08G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSSSSSFLLLTALVVLVSWQAFASDPSPLQDFCVADMYSPVRVNGFACKNPMDVNADDFFKAAMLDTPRNTMGSKVGSNVTLVSAVQLPGLNTLGISIARIDYAPLGQNPPHTHPRATEILIVLEGTLCVGFVTSNPDNKLFSKVLNKGDVFVFPVGLIHFQFNHDPYKPAVAIVALSSQNPGTITIANAVFGSKPPISDDVLAKAFQVEKETIDWLQAQFWEDNNY >OB08G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3604313:3605989:-1 gene:OB08G15300 transcript:OB08G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCSRYLEGFETKHNQPTRNDDSDESVACSDDECTPYLFPHVGKPLGKPRSYVIRGLTKMQAHRYVLFNCPDINSYLRTHADEIRRTYRQGRVTPKIIERIQNEKFHEWFRAHIMDLERKNGICSVNNDHRWLARGPIGPAKRYRAFNTRGFRFRPKHLDGVTQNSGVVLSAKTSSYTKSNDANPILGDLTYYGRVIDIIELNYSGQFSVVLFKCEWVDVVSGKGVKKDKYGYTLVNFSHLIHTGEKVEHEPFIFPNQADQAYYVDDPINPGWSMVRKNKPRDIYDIGEDESAGDIEIEPFHVSHLGGMSSNANNYKQCVRTDVEGTIVDVDNNAFNNEG >OB08G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3609772:3610344:1 gene:OB08G15310 transcript:OB08G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKHRNLEKFAKTTEKQETQKKTAHHMAQNRVHSSSMKVGTTIILITAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEDLVRPVKHCKTIGDAHYEGISIAWPSFCVQKINS >OB08G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3611811:3611999:1 gene:OB08G15320 transcript:OB08G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDTPTSRGVNLASLARSTCHCIEDFPCRVINFRMTRLRCPRSWHPMLNREPRPRSSPPRT >OB08G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3613064:3618712:-1 gene:OB08G15330 transcript:OB08G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPQPXXXXXXXXXXXXXXXXPAGPNEVRTLWIGDLQYWMDEHYISSCFAPTGELLSVKLIRDKQTGQLQGYGFIEFATRVGAERVLQTYNGAMMPNVEQTYRLNWATAGEKRDEGPDYTIFVGDLAAEVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDNDPSNTTIFVGGLDPSVTDDALKQVFTPYGDVVHVKIPVGKRCGFVQFASRAAAEEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGATTGYYGYGQGYEAYGYAQPQDPNMYGYGAYAGYPNYQQPLAQLPQQQQ >OB08G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3625017:3625469:1 gene:OB08G15340 transcript:OB08G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAVASLGSRVGAEGELYSKLVWLRVVGSNAMHERWLYWMKLLHEEDEDDDVGCSIDRGKVPPRWQQKRWQDNNAVRGSLVDQRVDVGVAREELTAAAAWPWSAKQRRRRRTHGRTHGERDCVRELDGWMDGLNERDSDSRQIGGKSE >OB08G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3627113:3632944:-1 gene:OB08G15350 transcript:OB08G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKVAVDKLEDMAAKELKLQTEVGKKVLELRHELDWLRTFLRDADRKRRPAAGAAADDLIEVWVRQTRELAHDAEDLLDEFVHRGELHCHGCFDLPSFLRWLRHSAAGIFARHAIFDEIKDINERIGKMKEQREKCNLEKLPCASKPHRKQYTDWSSLTELETENNMVEMDHSGEVKDLVLNTTCERTVITLTGKSGIGKTTLASYLYRRSGIRRRFDCTVWVHVPRKFRFADPLADVVCQAKASSGAGDDGDGVVDCYGRRQLQDAARRGGVEAEARLKAELAGALRGRRELKKLDSDRAARLFCQRMYGRKVPKNKQEQLQSLVESMTRGAALPLNVVMLAGLLRSKKEDEWEGVISSLDDTLAAGGATPSEQTPQLVPDDILPTGDGETSTTPEQVLGEQQEAKKKKKQAVPGRLLKPTSTEKILTVCMDDLPSHLKSCFLYLAGFTAQTPIDADKLLRLWVAEGFLQAKSGETTEERGAECLKELISRCLVQLVETDFAGKVTAISVHQAVLDFVQAEARDTNFFHVHSTAAGLSHGAARRIALRNTYDSDLSVVVETPKLHTLLCDIPERAAAAGSPAVWQRAMEFVHGRAPTFSVHGSRFLRVMDIKGVRLPHGETLPDEIGWLIHLRYLGVSHAAVRRLPSSITKLRNLQTLDVSHTAVDALPWRFWHIPTLRHVLAGRLAAGSAPDERDVLFDLQTLHGVPWGRWARSGGAIEKMTSLRSLMAWNVGAPAAALRSALAELECLRSLELEATHGGELPLHDLLAMLGLRQLQYLTLRGKVSKIPETTPLQGRRDHHYLLPNLARLELHGSACEQSLIYLLAALPNLADLVLEEGSYDAAAMSIPAGGFPKLNKLQLTSLDKLTKCTVAPEDDDGSKGDTLPQLHHVTVFHCKRLNRFPAKLPKLALFNVHESEELKKFMDAEAEVNEKIHVVNGKMANRRRMWQICREPEIVDLCDGSPDDNDYDAEMELEVDSEEESRKMNADDIDYDAKSELEVNNEEEGVKLDADVDTDYIPDFQGMMVHQMMMKRLMR >OB08G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3628786:3630420:1 gene:OB08G15360 transcript:OB08G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHCSLPDRRGSGGGSALRDVAEEGVELGRLHHDAEVGVVGVAERDAAGGAVGEAGGGAVNVEEVGVARLRLDEVEHGLVDADGGDLAGEVRLHQLDEAPGDELLEALRAALLRRLPALGLQEPLRDPQPEELVGVDGRLRGEPGEVEEARLEMRRQVVHAHREDLLRRRRLQEPPGHRLLLLLLRFLLLPQHLLGCRRRLAIAGRQDIIRHQLWRLLRRRRSTGGEGIIKATDYTLPFVLLLGPQESGQHDDVQRQGGASRHGFHETLELLLLILRNLPTIHPLAEQPSGAIGVQLLELPDVTAGAAMSPATTVATAAGHRRGLGGHGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCRRGRRGARRGRRPTPPCSARHPARSGTASRGERRRAPPSAWPPPPPLRDARRPAAGGGRSSPPRRRRRLRRRRRPSPGRRRRRGGRRTGTCAGRAPRRCSRSAS >OB08G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3637078:3639750:1 gene:OB08G15370 transcript:OB08G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT3G23620) TAIR;Acc:AT3G23620] MERKVETGKKTLILHGTKTSAVLNSVLANLFHLKRDNAVKFSKKNDNIRPFESGGETSLEFFSLKTDCSLLVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENFKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFRGEVVENLNLAGVDRVFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTADHAKKMKNVSKDPVHGKLGKVYIPDQQIAKMSLSNDVKGLKRERREAKQNKDHSKKQKINPE >OB08G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3642709:3645335:1 gene:OB08G15380 transcript:OB08G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLSLSFLFSPLHIPRHLQVQLLRKDHVGNRYGLKNEISSPISVLERHSGELHKAQLHHASDVFPTTCLENATKWELDGIKGTESDDAYAIANQLNIIDEQKGENYVSTLQVKLQQTQDRVGKLEAERLSAKKQLDHLFKKLTEEKAAWRKREHKKVQAIVEDMKADLEHEKKNRKQLEKINLKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVRELEEQKAEIEALKQESLKLRTEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYAELTKLQQDVEAIVASFSDDKGDGTTVQVAKNIVQSIGSAREQEIEFKYDPPPASDDILSIIEELRPSEEPATRETEPCHKHNSPLHESENQEDCPMADIFLENPTKVYSNKSPYNESDMDDSSSWETLSNEERQGSSTSRNGSEPSVNKICDKISWTSGDDSEAGRHDTLSGELIDAYFADRKQSKKKESAISKLLKSSPLKSCEIFKKDVVEMMNGRSSNERLSNGMHSSNEGANQDVGLSSPSIGQWSSPDSMNSQLNRGFRGCMEMVQKQSLKAKLLEARMESQKIQLRHVLNQKT >OB08G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3645965:3647943:-1 gene:OB08G15390 transcript:OB08G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:postsynaptic protein-related [Source:Projected from Arabidopsis thaliana (AT1G61780) TAIR;Acc:AT1G61780] MVCTKCEKKLGKVIVPDKWKEGASNTYESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >OB08G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3651840:3653207:1 gene:OB08G15400 transcript:OB08G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G71090) TAIR;Acc:AT1G71090] MDWVSVKANPQQVSVHGDWVSAVMPLMKLLCLTVIGLVLANRRIQIIPKATFKLLSKLVFALFLPCMIFVHLGPSVTIQNVLDWWFIPVNVLISTAVGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTTDHPFGRGCDRKGIAYVSFAQWVAVILVYTFVYHMMEPPMQFYEIVGEGAEIEEEPEQTSSFSRPLLQEAEWPGMADKETEHSKTPFIARIFMSISGSSQNTFPDIDFCAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPLFKDFVFGADAPLSFFTDSLEILAAAVVPSVMLILGGMLAEGPNENALGIRTIIGITVARLLILPCIGIGVVLLADRLHLLVEDDHMYRFVLALQYSTPSAILLGAIASLRGYAVKEASALLFWQHVCAVLSLSIYLVVYFRLLTF >OB08G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3656209:3663564:-1 gene:OB08G15410 transcript:OB08G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:J3MR12] MYKAAASSPSARLLLLRSLPSSSPSPSSAPSRLLLSRTRALSRPCAGAWAARAAGGGGWWSGRVASSSVGGARAQIGAVAPAVERFQRRMAATAAAEHPFKNILTTLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTPNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYDEPQTERIYSSYLQLDLNEVEPCISGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSAAIAENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFKDLWPSTEEIAQVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGSHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPTNISEIRPGQDVTVTTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAGSQN >OB08G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3669879:3675039:1 gene:OB08G15420 transcript:OB08G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVFILRIDYRRQFTDLTLLGFLLDRRIESELSNRLNWRGLICACMVSGGLNWGANNVMLLARLAAFSLCRRSGNGHADPAEEFSILLKNPTHQVRPRMHRKAWCSLDAPISFGLMPLLHRAFIRMEEIEIRVIERWGDAHCEVAPVDEALRDSEPRWQGKSSPTVEKLNPGLWELACGILHPCPETKPWVFGAEFSALLLTTAKLRYCFTGNLNLDMGNASTHGNLPDIMTGALGSWRSSNCSSPIWLNKSLLRTENMNFCEETALRDFLMLLFKISHEMFLYFDVTSPYFDAYANYTAVLKIGFLRYA >OB08G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3676339:3688697:1 gene:OB08G15430 transcript:OB08G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRPTFIIRPASNTRGGGLAQYFLVTGRYNGSRIVRCMVSSSDCPNRKPKRVISLHTEVTSSRGYAPRITAESSIQEREHINSDDETFETYNRLLHTEATDWKKIDTTEVDSSQDVSSSSMTKVDAAGEAKVDILDDDLNGNLLSNVTMGEVDLVDEAGVEEDIFEVDLSALHNTTVGKMDAVNGTETEMDLSALHSVAVGNVDVVDGAKAKEDLFEMDSLPLHNVTVGKVNAVDATGAEGDIFEVDLSALASNKSMIEAVNVMDEAKAIEDMLEVDLLGNTINSSAYGEVKFEVDSARNTSSASMYGAVDGIYESRSNELTFNVDSSENASDNATYGRTDVVDERWADGDTFKVDFLRNSSSGAEYGKVDEAQIDDFTFEVDSLGKDSDNAMHGEVHLVGEDWDDEAIFEVDLFGNVSNNAVYGEVNVLDEARVDDAMFDVDLLWNASSNSTHEEVDVVDEAQTGESTFEMDLFGNALRSAAYKEVVVMGKPQDDEVDVDVSRNASSTETVKEVDTVSEAGVEDKIFDMDLLGKQITINSMDDDVVEEGTQHIEYPILSSTSIEGKTLDETSASLKPEFMSVVKIQEQDKPNFSVHRQEGSISLNAENQSMIDFHEQEQMVITFDKQKEPAVNLSKEDQQIANFPEQNLSVDGFNRKNQSIIGLPFQYQSNVGSPEKDQSILGFHGQNQSIISSHKQDKSIVGVPKKIQTIVGATKHDHSIVGVHKQNRSIVSVPEQKQSIVGFRKQDLSIVAISEQNLSIVAISRESQSKQLSIVQRHDPLHLKEVETKDRDDTSKKFHENTLHVKFEEELSQVEDEERAVAYEKQHEAVMISLSPDIQESPQDNVDPQELRRMLQELAGQNCSMGNKLFVFPETVKANSTIDLYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELNGDWWSCKLQIPKEAYRLDFVFFNGRLVYDNNDNNDFVLQVESTMDEDSFEEFLVEEKQRELERLATEEAERRRHAEEQQLMGEQRASELAAREQAKKEVELKKNKLRTMLSLAGRHVDNLWHIKPITYGQGDTVRLYYNRNSRPLMQSTEIWLHGGCNSWTDGLSIVEKLVECDDENGDWWYANVNIPENAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTTEEYWVEEENRIYTRLFQEIREREEAIKKKAEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEVRAGTTVDILYNPSNTALNGKPEVWFRWSFNRWTHPSGVLPPKKMVKVEDGCHLKAIVSVPSDAYMMDFVFSESEEGGVFDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHNVEVILPKYNFMNQSNVKNLHVRQSFSWGGTEIKVWFGLVEDLSVYFLEPQNGMFGVGYVYGGGDAGRFGFFCQSALEFLLQRGSSPYIIHCHDWSSAPVAWLYKEHYAESRLANARIVFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRVKLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVKGLEPNGFSFDGADSNGVDYALNRAISSWFEARDWFHSLCKRVMEQDWSWNRPALDYIELYHSAHKF >OB08G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3690098:3692165:1 gene:OB08G15440 transcript:OB08G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:J3MR15] MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >OB08G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3699428:3702171:-1 gene:OB08G15450 transcript:OB08G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase I homolog [Source:Projected from Arabidopsis thaliana (AT1G11840) TAIR;Acc:AT1G11840] MASGSEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYNYGVDKYEIGAGFGHFAIATEDVYKLAEKVKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYDDEDKTTVIELTYNYGVTEYSKGNAYAQVAIGTEDVYKSAEAVELVSKELGGKILRQPGPLPGINTKIASFLDPDGWKVVLVDNSDFLKELQ >OB08G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3706651:3711359:1 gene:OB08G15460 transcript:OB08G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59040) TAIR;Acc:AT3G59040] MEAAAAAISGARSPLSFSSSSLCNAKVSCGLTVHNVKIKSSRRFEVVCRGMLTTRKFMQKRKKEEVFKDANDEAEQKNWRLMMREIEESGSAVSILKTQRSKKEPLPRHAVLGTLVRFKQLKKWNLVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYNPSVISQTALMEAYGRSKQYRKAEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTGLKPDVVSYSLLIKAYGEARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVEEAYTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSNLNNVERVMRVYERMRMQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTPEEQEEANELTGNCAIQLEAKPDGTTCGLEVNGGGNEHEHGETDAGLHSLLDVACTTSRLNGKVEVGEGHFEEVDDDDDDYDEEDDEELNFVSFKDKREPNFAT >OB08G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3715640:3715894:1 gene:OB08G15470 transcript:OB08G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAARITMEVAPPKLSSIIRRTRLPRKLDTIMEDDKETMESPRASSRSTSYTKETGDTSMHYTKKGVFLASMMKAGCLKIKA >OB08G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3717592:3718792:-1 gene:OB08G15480 transcript:OB08G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKVLACWVHAAMQVALSAGTRSTVRTLHARQQDSPTKLQPAHNRNRPSCPTDDHHIGGRRCKILICKTDGRMEGRCWVHAAMHVAVEQVGKILQGDSVAPDVKIRDKKLCM >OB08G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3719370:3719672:1 gene:OB08G15490 transcript:OB08G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVWFLNSSYHEYFLTRAIFNGHQNRLNSIILFSTPLNGISHDCRNNKTGQRSCGIIPSKRSLTGGNFGNRTKKVALIQFPLLLTYLKKRNGLFTNEK >OB08G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3723089:3725104:1 gene:OB08G15500 transcript:OB08G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLASLLLKEARKLQLEADREANRVVEINEMWRARDRELELESKTRRDNYRRDYRGDKRRNDSRSQSSSPRVEQESTSYNSSYLDQEGTLGDDEIEMFLHSRVKRGQGAIGSRMDETGPYLNASSSSQDIGPCPNIRVEEKWELRVQGPERPLSLRSQSSADCWHRKIMDGEPSISEQYKKKGKGKDNNSEKRHKEERRKKNEKNKPKHQHHHHHHKSRRRE >OB08G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3736588:3738941:1 gene:OB08G15510 transcript:OB08G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVLAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKRCTQILTRLRKQKISVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHDAFAADVRLTFNNALRYNPPDHHVHRYAGNLLATFEGLYKEAVSWFEEESQRLQPPMPLALPPPPQPPVPVPVQAPPRIGGGGRRPKPKAREPNKREMSEEEKHKLRVEIGNLPEEKMVNVLQIVQKRNTDPALMGEVVELDFDEMDVETLWELDRFVVNCKKALNKSRRTVVVNGGVVDATTIVPIEDDAMQVNVHPRAVVDIGDSENDMTEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQVASSSSGSESGSSASSDSDSRSSGDSDSDGDDAQSPN >OB08G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3740545:3747490:-1 gene:OB08G15520 transcript:OB08G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGPFTALDMCVYAGNYMPKLERIIVHLYYENLNLVQSLSTCMVASAFLSFGRGKGGKKREAEDEIEKAASAKKQKAAPPAKAVPAPKGDAKKAKKQPPPKKAESSSSEEESSESEEEVKVQPKKAAKPVKQESSSDESSDETSDEEDAKPAAANNGLKKGKPESSSSESDSDDESDEDEKPAAPVKKPSLTSGQKKKDDSDSSESESDESDSDEDVPAKTKAPAVAVKKDDSTDSSESESDSEDEEKTKKPTQPAKKTAPATSKRKEESSDSSDSDESDDEPPQKKKKDAAPEVAAKESSSDDEDDSSEESSDDEPKPEHKKAQMAFEGSDEDSSEEDSDEEDGKLAKTPKKEAPAVTKSQNEEPKTPASNQSQGTEPTTLFVGNLPFRIDQDQVKEFFQGVGEVIDVRLATNEDGESRGFGHVQFASSEDAKKAIELNGQDLMGRAVRLDLARERGAYTPHSRNDTGSFQKQNRGSSQSIFVKGFDSSLEESKIRESLEGHFAECGEITRVSVPMDRETGACRGMAYIDFKDQASLSKALELSGSDLGGYNLYVDEARPRADSRDGGGRSGGRFGGRSGGRDGGRFGGRSGGRFGGRGGRDGGRRGGRGGGFQNRHGAGTASTGKKTTFGED >OB08G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3754351:3760509:-1 gene:OB08G15530 transcript:OB08G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSSKLSRFTCGYSSSSPPPVDDDDDERDDSAVPFLCGRRSPRHRSTRTKDPCSAAAASCPRRVLADDDDEADEKKVTEGGKADGEERLATPALATPPRSPELRGEGEEVGLPCLAFPSLDGYRVFSLAKKCMCDDGGLACRRRYVASPYGGKVFVTDLNWRYSSHLVDPFTGERTPLPDLPIPFSETEPMPCAADGDEPRAGTTTTSRDDCFAWDWSPWGAMISRGDTASRARHRAPSSPAWAPVTDIGDRAAFVTRTHGFTVGVDRVPGGEHAAAVRRNHAYVPLHCVLKDRMGRIVFNHKVGVVDLKNPMPPAALPLRQGEINSCLVHRKAGEPQWIIRNDDVMYRR >OB08G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3761670:3764272:1 gene:OB08G15540 transcript:OB08G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRLPLLAGILRSASAASSLPPNPKNVSYREGFLWSSCYFHGTSHQTSREGEPMAKELLDPFALIKDEVSEISNRLRSLVVAEVPELTLAAGYFFRAGAEGKRTCPIVLLLMASAISTDMADPIVGSKNELRERYMCLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNTEVILLLSTAVNNLVTGEFMQMTITPAQRSRCISNSLSTFLALKLFLGDGAFPSDIAHKEIEKKKLLLIDFYALGCD >OB08G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3768451:3769413:-1 gene:OB08G15550 transcript:OB08G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSIPVSPDSDRDWSELPLSAIFMKLWTVEILMGASFVCHSWLEASKSPELWRFVDMTRHKVILSKRTGILCAMAKVAIDPSDGQMESFWARKFVSCDLFYYIMRRASTLKSIRLIACTFVQQRPLAMLAAKCPLLEEIECSHHTILAPTIRNDLIPRGTNVSRYKRKDGSKTLDFFKIPFGAYEPSIKANPMAEVG >OB08G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3780895:3782916:-1 gene:OB08G15560 transcript:OB08G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVMMRAWNEWGIQALVLLSFTLQVSLLVLAEFRRRVDSGVLRFFIWSAYMMADGTAIYVLGHMSVTSRLPQHQLMAFWAPFLLLHLGGQDSITAYAIEDNRLWLRHLQTLVVQVAAAGYILYESSIISSHSLLWGATMLMFMAGVVKYGERVWVLTCADSGQMGKNYRTLQVSSRGFECSYYLDKIISTRPWDTEAYLLMAHRMLEVPGSWLKGPPPHNLSQYPFASDLLGNGKDVYKVVEMQLSLMHDVFYTKVEVIHSSLYGLCIHMLPAIATAAAFFLFQLLILGGHHRYDKLDVAVTYVLLVGAVILETASLLRAMFSSWTCPFLVRWSRANRGMEDNTVCNNLGRTITSLRRLVHAAKWRRRYWSGSMGQHNLIELSAGSRSSKRSKIARWMGVEDWWNIKAYSRSIPVPELIPDLLVNHVLKIRSGDDDDHNNLFDSKGQAELKRWGLYERGLTWSVEERVVVWHLATNVYLSWRCEKTKAENSYKAEEAAVQSLSNYMMFLLAARPYMLSPTASRDAYVEMAYALTPAGGLRCCSSAEELTRFLRAYGDAPEDERGAVRHKHGSSLDFTTQHHLQAVLDTGCELGARLIGNEESSLQDEDAAAAPEDTLGLIAQVWVEMLCHAGKQCSAYSHARQLSNGGELVTIAALLVEYTTKRILTFPR >OB08G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3831906:3832945:-1 gene:OB08G15570 transcript:OB08G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDGETVEEFSLRLSGLAPTLATLGNTIEEPKRTNADFGGSMLQQVTILIETLLNLNSIYLEEVTGSLRNAEEKRAGKKLRAQSRKQMLTEQRLVQRQQPMTPWPRPQARRWQLVHEGQESQQRARHLSKLWKVRPLGQGLPHPQEDGGGPPGPARGGGQHTSHDLGWPSALGAGRKSTREHRVAHHRRQASTRVVPDNTAIGDRRSQGACAAQRR >OB08G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3834859:3837172:-1 gene:OB08G15580 transcript:OB08G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFVLALRRGSEMDDLPAPETRDWSQLPLDALSLVFAKLGAVEILMGVGLVCHSWLEAAKVPDAWRCVQIARHEIVMGWPRTKKHLLRAMAKVAVDRSDGRLEVFEGARFVDDELLKYIGARSQSLKSITLFCCPSVSSAGLTELMRNCPLLEDLNLIYGCSSCVGANLFEAIREACPRLACIVIRTEEDLDWNLLVEIATLQQLRRLTLGGFDFSCEQLTAIVDGCPRLEFLDVRYCCCRQMLAGNGALRANCARIKTLRLPPYIDDYY >OB08G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3841362:3842461:-1 gene:OB08G15590 transcript:OB08G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARKGSASASASKKVLAATHMRTGEGSRAAPEQVAAGVGGGVQLASSPTSITDRSSFFPEIGYRISVTLSEGPLPPFIDRCDLDVVFTTCVATMRQLRHLAVGGKPIRNEDLTAIVDGCPHLELLDVSECAGLHVDGALRAKCARIKTLKLPPSYISGSA >OB08G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3847558:3848742:-1 gene:OB08G15600 transcript:OB08G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHAACCAMANVAVDLSDGRLEVFEPNSFVNDDLLVYVADRSPCVKSLRLECSSPMVSERGLAQLISMTPQLEELVLQWPLHTTHLDVASLGIAKLHQLRHLNVLADNTIDDKKELTNIIDGCPHLELLDVSRSIVFDVVDDVLLAKCATIKITLKPPFFFDIGAIDL >OB08G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3847706:3848002:1 gene:OB08G15610 transcript:OB08G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVSSFLSSIVLSARTLRCRSWCSFAIPSEATSRCVVWRGHCSTSSSSCGVMLISWANPLSETIGEEHSRRRLFTQGDLPVYEFTRIIIFIFRNTVT >OB08G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3851784:3853227:-1 gene:OB08G15620 transcript:OB08G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSPLPETRYWSEQPLDALSVIFSKLGAVEVLMGAGLVCHSWLHAAKVPVLWRTVEMLVCREMGSSFNRRGILNALGKVAVKRSNRQLDVLKCGGFATNELLTYVGHRSSSFLKSLYLDSCSKVTKRGFKQLIRKSPQLGDLVLKFCEKLRGNVYEAVAKACPRLRRLEVRRWISEDELLGIAAMHELRCLTLKGMVVRGGALAAIVDGCPHLELLDADGCVLLDVDDALRARCAVIDSLKLPSGFVAASDYDDYYFGREEDDDGGFEFNLVHNDYDYDEFGECNSYYYR >OB08G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3855162:3857325:-1 gene:OB08G15630 transcript:OB08G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRVLTLRVVFWQFSPKGMVPGLKSLRLISCNDVSNEGFGEAIKRFPLLEELELSLCENVFGHDVFETVGRACPQLKRFRLSECGFYSMEEYEDINDDEAMGIASMTQLRSLQIFGNSLTNAGLEDILDNCPHLESLDIRHCFNVFMDDTLQAKCARIKALRLPDDSTDDYEFQIASPVWDDSGNSSDASDDRKVPSPVLEELGSSSGDMDHDDYQVPCIECAIYSEENECLDDDINEEELDEEGRMVLNALRALLM >OB08G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3865838:3866191:1 gene:OB08G15640 transcript:OB08G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTVSPAPATPPVPAREWSELPLDALCVVFAKLGAVELLRGTGSLVCRSWQKATMAPDVWRTVDMMTHHQMLRWTKASGLCAMAKVAVDRADGQLEVFMGSKFVTNQLLTYICDR >OB08G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3875643:3878770:1 gene:OB08G15650 transcript:OB08G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGETQLRPTAAGHPDPGLSTSSAEYVAPVGPATTQVAYPYIGTYYGGIYGAYSGQPLVNAALMAMPPHSVPLATDVVLEPIYVNARQYHGILRRRQSRAKAESENKANKSRKPYLHESRHLHALKRARGSSGRFLNSKAMEGKQDSKSVDKNDGALPAEENRDNKDTNSNTKS >OB08G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3883297:3887578:1 gene:OB08G15660 transcript:OB08G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRVGQDIRDRMTDDILFSLQMKLQMTTSTTLIDLQKVATRIEERIYTIATDYGDYLRRISLTKGDLEDSYPMLLNNFLHIRQQASIHSSILLHQNNNQGILSNELTLNDHKKPFHPNAKDRISELPNDLFQHILSYLSTREAVRTGVLSQWWVNRWAFLQSIQLDVDWFHMDREKFSNFVDNLLVNRHHADVPMDTFQLHSFAIEHANCWINHAIKHNAKVLKFTEYQRWEPFYLDPKLVGFSSQYLKTLELTNAALYNLVFDPLNNACPALQNLILTDCLMEVEEISSSSLQKLDIIGCFLLKDLSICTPGLVSLRIKDRRMDNSSYKNSYLVFTNVTLIDASNVTSMELSAVDRKFTFVEKAGSSPMFRNLRSLHLSEWCIVDLFSPLRQFIQHSPMLEMVTLKLSLLRWRFQITDEHAKTLVRVRDARGLLLDFDWY >OB08G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3888143:3894789:1 gene:OB08G15670 transcript:OB08G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAADPTAEEGEPGGVDDAVASLGLVCFLHGFVGNHFFLLLVLSCVFHGRLCPLCRPAVRGNGAQSNRPDGGGGRARRRGGCRRRGRQTQRTPGRDPAAHHVVLAGVGGSAHLRALAAGGPPLGPRAPRQPFGEMALKATGPTAEEGEPGGAEDVVAADDKLSELPDVILQHIMSFLPAWEVARTCVLSRRWRHLWATAPCVDIRWAMDRREPPKDMRGFVDQLLISRDEHAPVDMLRLSFIRGDGYPYKFDNRDAKELIREATNRKAREIQLADHSKRFHALDHQTFASHHLKILKLSYAKLVDEVFRGFSSQCPVLEELELKECWVRAHEISSVTLKSLIMVKCNFTMNLSVDTPNLVFLQCITPVNWVPVLKNTGLLVTGSIMLDDPILSAVFRKEDEAYGFSNDDFYVDSSDYYLVDGSSDNSDDYDYGSDIDSDADTYKYSEIASGSEFELFTNHDDGGDCSMGCKYHGSSSSHGFNDYRTLGGQNVLQGLSNARSLELLGHSGEVVLSRESKSCPTFSILKTLSLGAWCINMVVDFDILILFLQNSPNLEKLFLQLEMGYNIQKELERGIKPNGGSFACRRLSMVKIKCTKDDLRVHILAQLFNSNGLPLEKIFVRRSGSFLSACEIQTYKRSRSGPLRMTLEPKDGGRTLLRSVRRGGAANPFDGMHRRSAALGAPRRREGEMSRCGEGGGGGGGGGGDGDGDAVDRLSALPDRLLHRVMSFLKAWEVVRTCLLSRRWRHLWASAPCVDLRVRYRDLDSEPPEELRDFVNRLFRRREASAPVDTLRLQSSDQDGLFDNDDANAWIRTAIMRNARFIHLTGHRNEIGVLKHRALILKQISSGCKSLEELDLKDCVITGPEISSASLKTLKMDRCKINVEFSITAPHLVLLNLITPYIRVPSFKNLESLVTCSIILDDLFLGDAYEHSSDEDDIDETTDDDDDFDDHKKTYKTKRYGLGRNKDDYGYGSDIGSDDNTYEYSEIANESGDLQFGHNSSNSVQGVGTSQQTNAEISGGHNVLHSLSNVVLSRELKSCPILSNLKTLSLGEWCMAAEFDALIFLLQRSPNLERLFLEPKLFNSRKPIGSDVKPMGRSFTCKNLQMVKIRCSKDDARVHVLAHLFWANGIPIEKIYVRRTGSSCLRSKQMMRDLAKQELEFWGSDSEFWGPNSEFCGPDSEFEDSDMEF >OB08G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3912623:3913054:1 gene:OB08G15680 transcript:OB08G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFGHMMEKRSQRVEITDMEAAVFRAMLGFIYTDMVPELDHQNDGVNIAQHLLAAADKYDLDGLKSMCEEKLSNGGTTVETAAGALALAEQHGCRRLKAKCLELIAANLDAVMATEGYKHLMASSPLAMNDLLRAVRGRKN >OB08G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3918127:3921627:1 gene:OB08G15690 transcript:OB08G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTATARWVPRYRPEPVGRSGPGVPAPAAHAFGQMPRGATTVLWVPRRQGEGEGRPSGSSRYAVAPADRLSALPDEILHHVMSFLKAWEVVRTCVLSQRWRRLWASAPCVDIRVRSCGRDGDPPEEFGKFVYRLLLAREVSAPVDTLRLRSSDGEEYAETYDNDDVNMWIYSAIKRNARVIHLNGHRLDDLVLEHTAFASHSLKILKLSHVKLDGKILKQLSSVCTSLEELELNNCPVNGGEIRSVSLKKLMMVKCSITVDLSVCAPNLEFLCCVTPFCRVPLFQNLSSLDAATIMLDDSFLRNDEFLHVNEDEELEETSDDEADCETISDHYDNTRDTDRDAYDDDDDDFLCDEYLSSCHDNLVDDYNYGSDIESDDNVYQYCQIANECRGGKYGNYHDSKQIGNYQELCEHADTFSGQNLLCSLLNARSLELLAHSGESIKYLPACMAKVVMIRELRMCSTFGKLKTLSLGEWCMAADCDALILLLQKSPNLERIFLKLELNYSNKETVNVGFELKERSFACHNLAVVNIRCSKDDGRVHILAELFGANGLPLEKIYVRRTGSTYSKHLITTKFDLEHTDHLSKAVVS >OB08G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3935160:3940191:1 gene:OB08G15700 transcript:OB08G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT1G30580) TAIR;Acc:AT1G30580] MPPKASKKDAAPAERPLLGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIEPNEARVNVPDERFDWLCQLHKPKNEVCAYLEINDIAGLVRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEVTHIDDSVDPVRDLETIGEELRLKDIEFVRNKIEDLEKSMKRSNDKQLKIEHELCEKVKAHLEDGKDVRFGDWKSADIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCAFERNLADMPQDEAAKYCAENQITSVISKIIKTGFAAIHLIYFFTAGPDEVRCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >OB08G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3946830:3948237:-1 gene:OB08G15710 transcript:OB08G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATIPGSKPSTRTLNNIGMQITTSSSHWTKCRSTSAAVSGSAIMAAAVVRQREEEEAEWRSYLAPEKLEVLTQMEPWVEEHVLPLLKPVEAAWQPSDLLPDPAELGAEGFHAACVELRERAAGVPDLLLADPDAGVRALAYMLRRGVAMPTSPIADGRHDDLYACVVSLAEQSGTYTVFDYCAILDHLIREWRVEELAAGARRAGDYVCALPQKIRRMKEKARDRAQKKPISIPINWIFDRHVSVILP >OB08G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3959513:3960472:-1 gene:OB08G15720 transcript:OB08G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSYTLLASWFGYPNSNRHFLKPPAATATLIRFWASASAMTAAGGVAGGRELAVEEAEELRRCLAPERLEVLEEMEPWVEAHALPLLKPADAAWQPSDMVPDAAALGADGFHAACVELRERAAAVPDEQLVCLVGNMVTEEALPTYQSVVNRFESARDVSGADGTAWARWIRGWSAEENRHGEGFSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRLPRRRPVRALRRRGAAGRGVHRI >OB08G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3962710:3963390:1 gene:OB08G15730 transcript:OB08G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MR44] METRIIPITINMCQMIPKDARSYQQVSLTRLLEVKGTVYTHADEVKQDAHGTLVSENTIAVYHDYYVTYHQDLNVNDTNNSFVKSTVTAIRDTGCDTPRRSYWMVRREVAEREADGEETSVLADHRPTWHSSNRVRRRGWGTTSDIGSSRPTRRCRPC >OB08G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3994457:3994615:-1 gene:OB08G15740 transcript:OB08G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYVLPQGILPGMSVACPAKICQQIISGVFTNLSPFFLIYKDTTKMTVLVVFL >OB08G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3994428:3996712:-1 gene:OB08G15750 transcript:OB08G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMITSPLVAKGLPSISRRGSSFAIVCSGGKKIKTDKPYGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYSPEEWSPSGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALSG >OB08G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3996669:3997112:-1 gene:OB08G15760 transcript:OB08G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSRLQLHPLAPSRASVTDTLGPGHHRFADSFHFLVDSFGDFHGEEISCPILAPQNFPVNSGQTKLLLMSTENPQRITFWHWHIRTGPYACCHVARRRSHVCTLHYPVHHVVTGMATRFWRHKYIELPLLATSALHPSDQLRNSE >OB08G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3998460:3998935:1 gene:OB08G15770 transcript:OB08G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSELMWKINKDDSQEYLNMPNKGSKLTAIDEFGSHVIIVKFHRRDTRAFETKRKPWLSNRQKRSSYPVLTME >OB08G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:3998099:4003950:-1 gene:OB08G15780 transcript:OB08G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFVNEMRHTGKRPQQHRDHDREDRRDQKRRPVPRTQENSSNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTNAKVKVVDPYPGADKRVILVYCYVKHRNLDVNDDDDREPVCTAQDALLKVHEAIVDALSITSDSDNEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVTPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKENIPLETSVSELPPSIIVPSDLPVYPSSNFYAPSDAAISSGHPSLSILGSTPHFPELAVSADAHSRLPIYQSVLPVIPAYNTPTCSGELLLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSTEATDDAKSAAVEAVLLLQSKINDDSEGKINLRLLVPGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVDNLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTAATTDPLYASSFPLPALLPYRQQITPLGYDQRGEVERGLEAYPRSSSYGYSSLQVVDDGYPALSSYTSKGYGGYVGIELPMNSDLKRLPHMEMTIPASGLSKVMGKHGTNLDNIRKISGAHIEIIESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >OB08G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4007178:4010326:1 gene:OB08G15790 transcript:OB08G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACCFMFGNNTNQTSEGEQGEKAVRIFSYNELRKATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVREFINELTAISDIMHENLITLIGCCAEGSHRILVYNYLENNSLQHTLLGSGRSNIHFNWRARVKITVGVARGLAFLHEDIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPLNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWAHYEQGHLDIIIDADLENDVDVEEACRFLKVGLLCTQDAMKLRPNMISIVGMLTGEKDVSTERITKPSVVGDLGDDLRGNNQQRPNDAHSLLMRSFVTTEPSTSSDTTTRSSL >OB08G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4012459:4012623:1 gene:OB08G15800 transcript:OB08G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHFHYSIVYVTPLTKSQSNRENVFTATTPAEEKNMSPLYKVISTLHSSVITI >OB08G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4012663:4015397:-1 gene:OB08G15810 transcript:OB08G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTVEARMPPGFRFHPRDDELVLDYLLHKLAAGRGGGGGGGGVYGGGVAIVDVDLNKCEPWDLPEAACVGGKEWYFFSLRDRKYATGQRTNRATRSGYWKATGKDRSITRRGGSGDPAVGMRKTLVFYCGRAPKGRKTEWVMHEFRLDPQPLHLKKNIQEDWVLCRVFYKTRQTIPSPSSEEPGRSNELDLPSAPSLPPIIDAYIAFDTTPTKTTTTGSYEQVSCFSGLPALPLKGSISFGDLLAMDTSEKKAIRALHNSSNTAKMEFSPDWGQESTGLSQMWNPAPLNNL >OB08G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4034538:4035068:-1 gene:OB08G15820 transcript:OB08G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQAAARADVDDGDGNAAANRRNGAHAVLMAASALVGLLPSANGEVRALVLLLLLLLGCTVVVLSMGGRRHVAYAAAPRADRSTARLRWTGFHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRFAPPSDADDD >OB08G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4043317:4048221:-1 gene:OB08G15830 transcript:OB08G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPKLDVSTRWNSTYDMLVSGFGLWGEVQFTFTRSVSSCNPCTLTLARDRISPISQQRFLFRRSLVYSAASCLGLFAAGLSCLWANHSYPQKPYCRRSIRSGAPWSNSRKAGELSSNPVAIVEESGNSHRITGFHFCRARNYLLRMAETVVSASKGVIGPLLNKLTKLVEDKSDSLVGMSKNIVFLKDDLPTMNALLEKLEDTDELDPLVKDWRNQVREMAYDIEDCIEDFVHHVGGGNVEAGFIDRVSHFLRTLRARLETAEHIKDLKIRLMEINERRKRYKFNLDSIPSSSSVAVDRLLPAVYCEAANLVGIEGPREQVISWLTDKDQQLMVVSIVGFGVSQRPDITRFLSGIQSKLGIKVSSPCLEVKHIIDSIRVYLQHLSYFLADRHSVASILGSTKEKLLNGPYAIVVGPKFNRYLFVVDDLWDAPTWDIIRCVFPENGMGSRVIVTTRVEDVARWVCCNHRQFIHRMEPLSDENSRRLFFNRIFGSEDGCPSQFREISSQILKKCGGTCGSGTNPTLEGMRQILNLSYKDLPPHLRTCFLYLGIYPEDFTIKRDNLIRQWVAEGFVHDFHGGDSEKLAKSYFNELMNRSLIQPKETKYGEVVSCTVHDMMLDLILRRCAENNFICMAYNLEEMAGQHESKVRRLLLDSRVGESGDAKITGTTAPRLLQLRSLQLFGRSMPNLQRIVLSYVDIEWSGDAPVGIEHLLNLRLRDIHLLPVSDTPVDAKRISLAFAGAVRAHRSRGGADPYLHYMPASKGIPWTTPRAWTIADYKSTINIF >OB08G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4050365:4061494:1 gene:OB08G15840 transcript:OB08G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCKSVHGFAWLVFVYACCAAAQAQQAAPRTDPTEVAALNTILARWGLRASPAWNISGEPCSGVAVDGTDVDNNPNINVAIKCDCSFNASTVCHITRLKVYALNIVGQIPDELQDLTYLDNLDLRKNYLTGPLPSFIGKFSAMQYLAVSLNPLSGPLPREIGNLSNLLSLGISSTNFSGELPSELGNLEKLEQMYIVSSGFSGPFPSTFSKLKNLRILWASDNDFTGKIPDYFGGFPNLQELRFQGNSFQGPIPASLSNLTRLTTLRIGDIINGSSSLSFISNMTSLNVLVLRNCKISDNLEAVNFSNLAGLTLLDLSFNNITGRVPQSILNLNKLGFLFLGNNSLSGSLPYFKSPSLNNLDFSYNGLSGSFPPWVTGNNFQLNLVANNFVLDGTNNSILPSGLNCLQRDTPCFRGSPEYYSFAVDCGSNRSTRGSDNTLYETDAQNIGPASYFVSEHARWGLSSVGRFNEALDGSYEVYSSQPFQSTLNSELFQTARMSPSSLRYYGIGLENGNYTVVLQFAEFDYPNSLTWQSIGRRVFNIYVQGDLKEKDFNIRKTAGGNSFIAVNKSYIATVSKNFLEIHLFWAGKGTCCIPTPGHYGPMISALSVTPNFIPTVRNGFPRKKSKAGTISGVIVGTSLFVLAAVVGVFMLLKKRRTMAQRREDFYNMVGRPNIFSNAELKLATENFGSQNILGEGGYGQVYKGKLTDGRVVAVKQLSQSSNQGKRQFMTEVATISSVQHRNLVQLYGCCIDSNTPSLVYEYLENGSLDQALFGDSRLNLGWSTRFDIILGIARGLSYLHEESTMRIVHRDIKASNILLGPDLTPKISDFGLAKLYDEKKTHVSTKVAGTFGYLAPEYAMRGHLTEKVDVFAFGVVALETIAGQSNTHHSLVEGKASLFERAWALYEREEALGIVDPRLEEINGEEVFRAIHISLLCTQGSPHQRPRMSRVVAMLTGEIPVAAMVTKPNYITEWQFRGHGANSSSSGSTADEFSGQRGTIPLTPSPAITGAIDPHCR >OB08G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4073067:4077602:1 gene:OB08G15850 transcript:OB08G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLEYLRLQGNNFDGPIPASFSNLVKLTSLRIGDLVSGSSTLASIVNMTFLNILTLRNCRISDNLSSLDFSKFVQLRYLDLSFNSITGNVPPILLNLISLNYLFLGNNNLSGSLPVTKSTSLNTLDLSYNMLSGELPSWLSTENIHVNLVWNNFMIDSSNRSILPSGLNCLQRNTPCLPQSSENSSFAVDSGGGTPIIGSDKSNYEPDDVSLGGASYYISSPVRWGISNTGKFMEAPNGSFIVNTSHQFMNTLDSKLFQTARMSPSSLRYYGIGLKNGVYKVELQFAEIFFPDDQTWKSVGRRIFDIYIQGQLIEKNFDIKKQTNGKSYTVVLRQYIINVTENFMDIHLFWAGKGTCCIPTQGFYGPSISALSVTPYGSNNEVDRGSNKNSIGSKKTSNRKTGLVVGLVVGTIALGFSSTGTIIWRQKRKKLVAEMGGKTNLNLDWRTRFEICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDSDLNPKISDFGLARHYKDDMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAYGVVAMEIIAGRPNFDESLEDDKKYLLGWAWRLYENKQTLELLDPKLAEFDKEEVARVINIILLCTVGLPQQRPPMSKVVAMLTEDAEMSEVDMSMWPSYVPQWQKKSVSDSFFGSYYSGSSMQQSSGSQVSAPSSSSNNAKSHRETSPLVLSANSVSEFDQGR >OB08G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4075999:4076484:1 gene:OB08G15860 transcript:OB08G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTRAKLHDGRMVAVKQLSPTSHQGKREFMTEIATISAVQHRNLVKLHGCCIE >OB08G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4083131:4090557:1 gene:OB08G15870 transcript:OB08G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGGGGGPPWPAALVWVVLACSWVAAAQAQAQQAPRTDPVEVAALNAILGRWGTSPPTTWNTTGDPCSGTAVDDTNIDSSQTINPGIKCECSYENNTVCHINKLRVYSLDVAGPIPAELENLTYLANLNLQQNCLTGPVPSFIGKFPMQYLTLSINSLSGPLPKELGNLTDLRSLGIGSNNFTGELPEELGNLTKLTQLYIDSSGVSGPIPLTFSKLKNLETLWASDNDFTGRIPDYIGSFTNLKELRFQGNSFQGPIPASFSNLTNLTSLRIGDIVSRSSSLAFVSNLTSLSILILRNCKISDNLGTVNFSKLSGLTLLDLSFNNITGQIPQSILNLDKLAYLFLGNNSLTGNLPAVKSSSLNNLDFSYNQLTGSFPSWATQNNLQLNLVANKFYFNSNNNSILPSGLNCLQQDTPCFLGSPEYYSFAVDCGSNRSRRGLDNTIYEVDPTNIGAASYYVTKETRWGVSNVGRFNDAPNGSYLISSPQQFQNANDPELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAYPDSKTWQSNGRRVFDIYVQGDLKEKNFDVRKTAGGKSFTAVNKIYNTTVSKNFLEIHLFWAGKGTCCIPTQGYYGPIISALSVTPNFTPTVRNGVPKKKSKVGAIVGIVIGASVLGAATLVGIFLFMKKRRKEAQQQEELYNLAGRPNIFSNTELKLATENFSSHNMVGEGGYGPVYKGKLPDGRVVAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSSMPLLVYEYLENGSLDRALFGSKSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSLEEDKIYLFEWAWVLYERNQALGIVDLKLKEFNDKEAFRVICAALLCTQGSPHKRPSMSRVMAILTGDIEVTEVVTKPSYITEWQLRGGDTSYATSSYYSESTTGEFRERRETSPLHSSLEITGGIDEGR >OB08G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4089734:4089981:-1 gene:OB08G15880 transcript:OB08G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHQLFQSGGLSWHTQVLGSHLKRSDRTKIRLVFKHELRRKAWEMFIQGACDFCADMGLLLIIEFGKSKIRDLGGEICVK >OB08G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4099939:4108945:1 gene:OB08G15890 transcript:OB08G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGWGGGGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTWGRGRGGGGAGHGWRRRLWVAVVACSWVAAARAQGQTKPAAATTDPVEAAALSTILGRWRTKPPKTWNTTGGDPCTGTAIDDTNIDNDPVINPGIKCDCSFNNSTVCHITKLRVYALNVVGQIPAELEKLTHLDNLNLMQNYLTGPIPSFIGKFPMKYLSLAINPLSGPLPKELGNLTNLMSLGISLNNFTGKLPEELGNLTKLEQLYIDSSGFSGPFPSTFSKLKNLKILWISDNDFTGKIPDFIGNLTNLEDLRLQGNSFQGPIPASLSKLTKLTSLRIGDIVNGTSSLAFISNLTSLNVLILRNCRISDNLGAVNFTKLAGLTLLDLSFNNITGEVPQSILNLKNLQYLFLGNNSLTGSLPDVKSSSLNNLDFSYNELTGSFPSWATNNNLQLNLVANKFNIRSNNNSILPSGLNCLQQDTPCLLGSPEYYSFAVDCGSNKSVRASDNTVYEVDATNLGGASYYVTGQTRWGISNVGKFNEAPNGSYLMYSSQQFQNPLDSELFQTARMSPSSLRYYGLGLENGNYTVLLEFAEFAYPDTQTWQSIGRRVFDIYVQGDLKERNFDVRKIAGGKSFTAINKRYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSITPNFTPTVRNGVPKRKSKAGAITGIVIGASVLVSAALLGIFLMIKKRRKVARQQEELYNLVGRPNIFTSAELKLATDNFSSQNVIGEGGYGPVYKGKLTDGRIIAVKQLSQSSHQGKNEFVTEVATISAVQHRNLVKLYGCCIDSSTPLLVYEYLKNGSLDRALFGHSTLNLDWPTRFEIILGVARGLTYLHEESSVRIVHRDIKASNVLLDIDLMPKISDFGLAKLYDEKKTHISTKIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALEIVAGRSNTDNSLDNEKIYLFEWTWGLYEREEAIRILDPKLDEFDSEQALRVINVALLCTQGSPHQRPPMSRVMAILTGDIEMTEVVTKPSYITEWQLRGGNTSYISSTYNSRSTTNEFREQRESSPLTPSPTITGVTYDGR >OB08G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4116330:4123692:1 gene:OB08G15900 transcript:OB08G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQWQRLKAILGRWGRTTSPLWNMTDEPCRGVAVDDSTALDGNPQNNPGIKCDCSYSSGTVCHVTQLKVYALNVVGQIPSELQNLTYLTNLDLDQNYLSGPIPSFIGKLTALKELHVGFNPLSGPIPKELGNLTNLELLGISLTNLTGGLPEELGNLAKLRRLFTDSAGLSGPFPSTFSKLKNLVLLRASDNNFTGKIPDFIGSLTNLEDLAFQGNSFEGPIPASLSNLTKLNTLKIGDIINGSSSLAFFSNLNLSSLDTVILRNCRISDNLGAVDFTKLTGLKFMDLSFNNISGHVPQSILNLQNLRYLLLGNNSLTGGLPDGGISSSLTNIDFSYNQLTGSFPSWATKDNLHLNLVANNFVIGDNNNSILPPGLDCLQQDTPCSRGSPKYYSFAVDCGSNRSIRGLDNTMYELDATNLGDSSYYVTNPTRWGVSNAGKWYQSPNDSKIIHSSEKIQNAVDSELFLTARMSPSSLRYYGLGLENGNYTVLLKFAELGYPDTPTWQSLGRRVFDIYIQGDLKEKDFDIRKTAGGKSFIAVYKNYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSISPNFTPTVRNGVPKRKSKAGAIVGIVVGASVLVLAVLFGIFMFRKKRRTQAQQQEELYNLVGRPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVRLHGCCIDSNTPLLVYEYLENGSLDQALFRDNRLNLNWGTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTFGYLAPEYAMRGHLTEKVDVFAFGVVALEIVAGRSNTNNSLEESKIYLFEWSWALYEKQQALGIVDPRLEDQFVRDEVLRVIHVALLCTQGSPHQRPPMSKVVAMLAGDVEAADQAAITKPTYITEWQYRGGNSSYVTSSYSGSTTPELMTVDQKHAERD >OB08G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4130689:4137390:1 gene:OB08G15910 transcript:OB08G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSVQFVWMLLLVSSCSWRIAAAQAPPTTDPTEVAALDAILGRWGRKASPLWSMSGEPCRGVPVDGSTDLDGNPKNNPGIKCDCSYNRSTVCHITQLRVYALNVVGQIPAELQNLTHLTYLNLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGPLPKELGNLKNLKLLGISLTNFTGELPEELGNLTNLEHLYTDSAGFSGPFPSTFSKLKNLKLLRASDNDFTGKIPDFIGTLSNLEDLAFQGNSFEGPIPASLSNLTKLTTLKIGDIVNGSSSLAFISDLTSLSTLVLRNCRISDNLGAVDFTKLTGLNFLDLSFNNISGHVPQSVLNLQNLQYLFLGNNSLTGRLPDGISPSLTNLDFSYNQLTGSFPSWATQNNLQLNLVANNFVLGSTNNGILPPGLNCLQKDTPCLRGSPEYYSFAVDCGSNRSTRASDNTMYELDSTNLGDSSYYVTSETRWGVSNVGKLYQAPNDSKIIYTNEKIQNAVDSELFLTARMSPSSLRYYGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFNIRETAGGKSFTAVFKSYTATVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTARNGVPKRKSKAGAIVGIVIGASVLGLAVLFGIFAIIKKRKRQARQHEELYNLVGRPDVFSNAELKFATDNFSSQNILGEGGYGQVYKGKLPDGRVIAVKQLSESSHQGQNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFKNTLKLDWATRFDIILGIARGLSYLHEESSIRIVHRDIKASNVLLDIDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALETVAGRSNTNSIEERKIYLLEWAWDLYEKGQALGIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSKVLAVLTGDAEVVEMVTKPAYITEWQYRDGNSSYATSSYSGFSTSEFTRQKEIDPLTLSPTITGASHEGR >OB08G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4138718:4147021:1 gene:OB08G15920 transcript:OB08G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCSSVHGFFWLVLIVVYACCSSAQAQQAAARTDPAEVSALNTILGRWGLRASPAWNISGEPCSGVAIDGTSVDNNPSINPAIKCDCSYNASTVCHIVRLKVYSLNVVGQIPEELQNLTYLNNLDLRQNYLTGSLPSFIGNFSAMQYLAVSLNPLSGPLPKEIGSLRNLLSLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLRILWASDNDFTGKIPDYFGSLPNLQELRFQGNSFQGPIPASLSNLTRLTSLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGHVPQTILNLNNLGFLFLGNNSLSGSLPYDKSPSLNNLDFSYNSLSGSFPPWVTGNNLQLNLVANNFVLDSTNNSILPSGLNCLQQDIPCFRGSPEYYSFAVDCGSNKSTRGSDNTLYETDAQNIGTASYYVSDHARWGVSSVGRFNEAPNGSYAIYSSQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFAYPNTQTWHSIGRRVFDIYVQGDLKEKNFNIRKTAGGKSLTAVNKRYTATVSKNFLEIHLFWAGKGTCCIPSQGYYGPMISALSVTPNFIPTVRNGVPKKKSKAGTIAGAVIGASFFGLALVVGIFMLLRKRRRTAQQKEELYNMAGRPNVFSNAELKLATENFGSQNILGEGGYGPVYKGKLTDGRVIAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNKPLLVYEYLENGSLDQALFGDSRLNLGWSTRFEIILGIARGLSYLHEESTVRIVHRDIKASNILLDIDLTPKISDFGLAKLYDEKKTHVSTKVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDHSLVEDKTYLFEWAWGLYERDQALEIADPMLEEIDEEEVLRVIRISLLCTQGSPHQRPGMSRVVAMLNRDIPVTDVVTKPSYITEWQLRGRNSSNVTTSYSSAAVELSGQRETSPLTPSPEITAEIDDREMNAMLQNDCWRNRSWKMIEDLQSAGGYVGLK >OB08G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4147858:4148996:1 gene:OB08G15930 transcript:OB08G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLSDLAELGSTDWKCNGKAIRTVLINFSRPSGKLWAIVEPGIRGWQPPPHTLIFGATNRACYEGLGLVRSGRPLRRPGPPAQHGGRRPSAQTKRSWRDVVARDAQQATAEPEGEDGWITVRRKGRKPHQHSWRLAATTPRSPTTRRLPLKEERGMARRTVLLSAWGWGTGNPSVRVQLAAFCAGFPGTSLAAVRRADVQPRRPRIRANIIAGYMLT >OB08G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4150834:4151715:1 gene:OB08G15940 transcript:OB08G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFPSPPAQKTLHFCACDLLTGKHDLLPALHTACFDERGVRGYAILPAADHRGTRYLSAGYSTSFQVLLLGVHRDDHKVYLHRFSSATATATAIAQPSWSTPINCSKRIPGHVRGPFGNRVAAITNGTAHWLLCGEEQSLYTLDVSIDTDNIGTTKIPLHVLSVVFDRVWLYESVDARLSLLYFDNNKLDIWTQQECQEDGPVIWASTQSTCVGVRMGLFGTEPLSSVCIGEKSGTMLTHYHSDPSSAYAVDLPSGSTTRVEDWKRWFNYMTAVPFDISWPAFLLSRLGDFL >OB08G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4155222:4159088:-1 gene:OB08G15950 transcript:OB08G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3MR66] MFPLVLAILITMAVVAMPHPVLSHPLDPLSPLELTAATSAVLASPLLHGHGRPVSFHYVGLDEPDKPDVVSRRHRAAAHALLLPRRAFVIARAGGASHELRVDVTDTAAPSVVSHGVHRGPGFPMFTTEEQVAASAVAGRYPPLAESVRRRGLAMGDVGCGVFSMGWFGDSGDGDGEAATRLAKMQCFVTGGGATANFYARPLEGVTMVVDLERMAIVGFRDRAVYAVPKADGTDYRAGKAGPPFNGAVPAAGVVVQPEGKGFHIDGHVVRWANWEFHVGFDMRAGTVISAASVRDADAGAWRRVLSRGFVSEVFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPGADCPPSGAGYMDAYYASADGSPVRSGNAICVFERYAGDVAWRHTEVAGPDAPVTEVRPDVTLVVRMVATVGNYDYTFDWEFKTSGSIKTVVSLSGILEMKATNYTHVSQIRSADDAHGTLVAENTVGVYHDHFVTYHLDLDIDGTNNSFVKNTIVPRRNSGVPATGGAPTPRRSYWTVLREVAETEAQGQVDVVNGAPAELLFVNPSKKTKIGNEVGYRLDPGGATAASLLADDDYPQRRAGYTKKQVWVTPYNKSEKWASGLYADRSTGDDNLAAWSKRNRRIKDEDIVVWYTVGLHHIPYQEDFPVMPTLSAAFELRPANFFERNPLIRAAPPRSSPNCSCSPGS >OB08G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4167282:4169057:1 gene:OB08G15960 transcript:OB08G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQKDFFFKMSIWIPGWLMKKSQLKYYKSVEFFRSNTRVIHLVFTIASLLDCQPKIMQEWDRILNFLGSTFGGNPSLESMRQILNLSYKNHPLRRRTCLLYLRKCQEDYSINRDHVDRQWITVGFVRCRSYFNELISRLLIQPVQNDYMYSWGCRVHDMMLDLILSRCKEENFIIFATVVKRNTSMGKPRGYSCNKLHRLSLQSKAAESDCTMLTEGREVPAHLAQI >OB08G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4171111:4173741:-1 gene:OB08G15970 transcript:OB08G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEYTPADAEADLLLPPPAGGGGGGPPPPPASTTPPEKNSRNAFITAVDYFSYLYPGAPVDRVFSVSYMLACFLPLLLIVLCFPKSSAPARVNTGLALFTAALLVVPVMDAAYVKGVPRLYAAFDVTVAATVLCGVADALVQGGVIGFAGELPERYMQAVVAGTAVSGVLVSALRVITKGVYPQDSHGLRKSAILYFVVSIVVMIICIVCYNVAGKLPIIVYYKNIKKRAQKAEEDGGMSGSSWRSTLWSIVGRVKWHGIGIAIIYAITLSIFPGYITEDVHSEVLKDWYPIILITAYNVFDLIGKSLPAFYFLENANVAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIQHSETAGIVITLFLVAGLVVGSFVAWFWVI >OB08G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4176956:4177644:1 gene:OB08G15980 transcript:OB08G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADGAHLGRDMPGLDDATWARWWRLRGEHGAAKAPCCHVEGRGSMMCSNGSSEEELQWINWCRGMCRSGWRVIRAWRGGQDEVLGDYMGRKELASTETGKFVVILTLLFLASTS >OB08G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4199903:4202119:-1 gene:OB08G15990 transcript:OB08G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGFLALLLIVLCFSKSSAPARINTGLALFTASLLVVPVMDAAYVRGVPRLYAAFDVTVAATVGCGVCGVADALVQGGVVGFAGELPKRYMQAVLAGTAVSGVLVSALRVITKGVYPQDSHGLRKSAILYFVVSIVVMIICIVCYNVAGKLPIVLYYKNIKKRAQKAEEDGGMSGSSWRSTLWSIVGRVKWHGIGIAFIYGITLSIFPGYITEDVHSEALKDWYPIILITAYNVFDLIGKSLPAFYFLENANVAITGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILISLAPKAVPIQHSETAGIVITLFLVTGLVSGSFVSWFWVI >OB08G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4207160:4207867:1 gene:OB08G16000 transcript:OB08G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGFSPDLTPALLARDRTNSFSIMEPYRPEVPQELRKARAYAVYPRASLLNHDCLPNACHFDYTDRPGPGNTGIVVRALHDITEGREVCISYFAANWQYKDRQRKLLEDYGFQCECERCQVESKWKLDDDNEGGDGDDTMEEEREECGGDGADDDGMEQEEGGGSSDGEDDFPHAYFFVRYLCNNGDCCGMLAPLPPLPNGEPSHVFECNVCGNLKNEDEIDAPDGGDSSMAD >OB08G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4210653:4212917:1 gene:OB08G16010 transcript:OB08G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVLRVGMSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTEFWDAKPATNESAAPAAASS >OB08G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4213014:4213706:-1 gene:OB08G16020 transcript:OB08G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQDHQLKRVESEVSVNCCDGCRSKVLKALNLKGVLTTEVHPTAPDGRQGGRRRDVDANVAANRLVKRLARVGKIAEVIAQSPPEGGKRRHDGGGLN >OB08G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4219037:4220659:1 gene:OB08G16030 transcript:OB08G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQAPPPSTTGYPAQATQQQAYPVYVAPPPAGYPTREQEQYPAGAGAGASETRSRGHGHHHGGGFWRGCCAALCCCCLLDMCF >OB08G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4221092:4227692:-1 gene:OB08G16040 transcript:OB08G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGRGLLLLRSSAASKAQELGVAVSKQGWMSVFDLFCIRIKASSLVKYVAATGRLPQYSSEAPARYFSSEPSLQVDSTEENGFKGHGMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILMMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIETLKIYKERNITEHIQKISPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPDEVEEIITKYGDALKATEERIAELKSKKV >OB08G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4233930:4235015:1 gene:OB08G16050 transcript:OB08G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIVIRCCLSAIEDYPANYYLSMFPDCKNFLRVRDGEIVTSMTVEAINYWGIEHKLLGVTVMEGVGNSILDIQELENNVEVQNYLLGRCRLLTIPCMAEWLHDLFGFELSELVLGTSLAWFGYMTCSPLCKQKYQQVRSQLHLNPPSLGSRKWYLTFYLLEAALLFDRTAPNVEQTDPQLFTHKPSSDKVQAMEIFCKIARSIYQAIKVVSSPQYVFNSYFHVLWSVRTTLQELSTVRNIERIVDVGKMQENFDRQRRRVYVWLSMAVVLDPRYHINFIEQRFRQAFGNDAYMYISEVRGKLFELVMHYSCHVNQQNINTYSQMMDILLSIMSGTKSQNETNQILNGNTGQDGFREICQF >OB08G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4242316:4243749:1 gene:OB08G16060 transcript:OB08G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGCSLSLLPCPSFSIPHGVAPRVRGEIQVQRQRRSLRAFTAVLGRVKDFLGDMAKANEKLQLDVKNKRPEGYERPEECDIEALTGNEKEYIEMDLLLGVADLHSEKAVEVAEAIMNGFPPAGRSFTCSSSDSEDDSDEDGGDEQTMSAKDEDELETQTSK >OB08G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4252718:4252912:1 gene:OB08G16070 transcript:OB08G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNQGETLLPFPFLDCRLRFRGNSVEKRNTLFFCLLEFSIQNFKCIRSKFPICGLIHLPSSSPAR >OB08G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4252717:4258443:1 gene:OB08G16080 transcript:OB08G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MR79] MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDEDEDVIGALSLIIYTITLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGRPYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNNSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVFAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPDTIYWPVFIIATLAAIVASQATISATYSIIKQALALGCFPRVSVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVAIAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPLRGNSLTRYSNQTFGDELEFLNRCKDAGVVHILGNTIVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >OB08G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4258854:4260433:-1 gene:OB08G16090 transcript:OB08G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:J3MR80] MATCGSALYGPHSMLHEHLNDPTAVAHWSASLGMDHPKHNKANEGLTGDNHDDTYAVTTYQPLVMVHGNASGSAAFPTASEGAPVYPASNPPQLPEQPQLAIQQVQQLQEQQKQQLQAFWADQMTEIEQMSEFKLPNLPLARIKRIMKADEDVKMIAGEAPALFAKACEMFILDLTMRSWQQTEENRRRTVQRNDIEAAIKKTDIFDFLVDIFDHQEGGTGSQVASMNAMVSPYAPGVAFPYELYPNQHPLVLMWPPQEQHEQWPPQDYQQQQQQQQDSGGGGQDE >OB08G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4261164:4261325:1 gene:OB08G16100 transcript:OB08G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRR >OB08G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4264021:4268173:1 gene:OB08G16110 transcript:OB08G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G35040) TAIR;Acc:AT2G35040] MAAAEAGAGASTATQAKSSAAGVKQALISLSDKTELAYLGNGLQALGFSIISTGGTASSLEAAGVNVTKVEQITKFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGVISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHEDYPALLEYLRGKQDDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKGEIFPPNFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYQLAVKGDPVSAFGGIVAFNTIIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERAPQDNELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEDAKGAALASDAFFPFAWNDAVEEACQNGIGVIAEPSGSIRDSDAVDCCNKYGVSLLFTGVRHFRH >OB08G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4277452:4284056:1 gene:OB08G16120 transcript:OB08G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRSGLVRLNNTMESNEVLFSKTRSGLVRINSAVKSNEVLLSKTRSGLIRGNVNVSSNEGSYSARSELVGGNATVDSNMGDSCSKTRSGLVRRNAIVDPTDGSCSITQSGFVGGNATSDSNEGSFSKTRSGLVRGDRVVNLSEDSSSKTRSGLARGNTTMDASDDGSYSATRSRLVRGNIVVDSKEHLHSRTRSGLVGESIQMDYSDSSCSRTRSGLIRRKPCAVQIKDEPIMNGLSERGHLKSNLVESKDKPVMKGPDGWWKEDMLIKNESEHRSDPVQRKDELTINGLPDGWWKEDRPRKNGSNQKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCTIRPRKRTVQDPHTIENQSQTATLLQRTRPGTADKAIQCELLTSEGIMLPMPELESMIAAQRHANIVDALQYKSVLSASAEDAPREKKSFKRKRKEPNAEVKPKKHKVISAKMVATPLRASPRLASLKITHDLNTQPEDEPVSVPLFNEVQTIQENSTDKSCLSQAGVAVQMQTIQESIANQLHSSQADTANHIHVEEGDTTDYSLLRQADTVNQTNQESATSQSQSGHADSFVQIQTRQEYITTHSQSQFSHSATVNQIQINQGNMVNQLHSSQAEHENSASQLKSNKAASVTEIQAIQETITDQSELQLSHANQKQTDLRNTVDQLQSSQAHNIFQMQTTPEYTANQSRFRQADTMNHIRFNQDNTANHFQLRQADTVNKIRTMHESTTDQPQLIQAISVNQIHANGENTANYLQSRKADAVNHIQVNQGNIANRFQLRQADTVNEMFTMQESTTSQPQFIQPFNVNHGENIANHLQPNYAENNILQGGFSLFSEPEEAPVTNFWKNVENHELPVSMQTDEEPVVRSVLNVECQNVPATAPAQPTRAALSGAVADPSGLAVPSLFGNSWSDPCIEFAFKTLTGDIPVLDDTSAVEEYFPQHDLNKLPSDYSASPSCFTSSLDNTRSFTQVNNVSVPTPHPSDKPYNGGWFPPKRFP >OB08G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4286414:4291197:1 gene:OB08G16130 transcript:OB08G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVAERAPAPAGRWGAAPPQELLERLKDYGQEGAFALWDELAPEERDFLVRDIESLDLARIDRIVRCSLRSQGVPLPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSTDGNTPQIHWYIMTSPFTDEATHKFFESHRYFGLEPDQVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVCAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQRTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGFTTGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYVGENLEAICRGRTFHAPSEISF >OB08G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4290160:4292450:-1 gene:OB08G16140 transcript:OB08G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MR85] MVAKIAIIALPCCTAALLLLSSHLHSPATSLLGILLDLATPSLPFYLLASGNTNSTENHRYRDEYNPFCDDFPPDFPPPDTATVSIFCVDPNGCCSFTTVQAAVNAVPSFSRKRNVVWINKGIYYEKVTVPATKPNITFQGQGFDLTAIAWNDTANSSHGTFYSASVSVFATGFVAKNISFINVAPIPRPGDVGAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRVISMADAVPAGVRTITGSVTAHGRESEEEKTGYSFVNCSIGGTGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDSSRDQTVFYGEYRCTGDGANLSDRVPYAQKLSDGQVLPYLNTSYIDGDRWLMPYCDSLIFA >OB08G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4291925:4295443:-1 gene:OB08G16150 transcript:OB08G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKSGKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGGKKAFTAA >OB08G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4299804:4300085:1 gene:OB08G16160 transcript:OB08G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFIFHALYLTSARIEEPCYTSKLSGAEWICEVLEDDRGEDYAKFRMEPQILQKILDFFRSKNLLRNTRGVSVEEQISMFIYMLSRMPAFIN >OB08G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4332287:4332409:-1 gene:OB08G16170 transcript:OB08G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAGRLAGVRESVMILVEQSGAILVEESERESGAILVE >OB08G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4333457:4335684:1 gene:OB08G16180 transcript:OB08G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding PADARPGGGIVAGDEEGATGHASHVHVHTHATHGHAHGHVDDHGHGHSHSHGSGPAALTSPDDASIAETIRNRVVSQVLELGILVHSVIIGVSLGASLRSSTIRPLVGALSFHQFFEGIGLGGCIVQANFRAKATVIMATFFSLTAPVGITLGIAITSIYSEHSSTALVVEGVFNSAAAGILIYMSLVDLLATDFNNPKLQTNTRLQLATYLALFIGAGLMALLAIWA >OB08G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4339283:4342511:1 gene:OB08G16190 transcript:OB08G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G30960) TAIR;Acc:AT1G30960] MVGAVEEEERSREVALALLEAALEPPDEDDDVGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGRKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVMFDVNRHLKTPDSRVIKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFEDLPGFERYFMVSGLKGKGVKDLVQFLMEQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLNHIHQEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQVRVAKKRNA >OB08G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4342857:4346174:-1 gene:OB08G16200 transcript:OB08G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGNQHARKAAARDASGHLSPLVLTRTHARMLELECSIDLAGMHAATWPALAMVCHEITGVVTEVGKNVARFKAGDRVGVGSIVDSCQSCESCHRAFENHCTGGIVFTYNSVDRGGAVTYGGYSTTVVVRERIVVGFPESMPLDDGAPLLCAGITVYSPMKHHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGVKVTVISSSPGKKEEALGRLGADAFVVSSNADEMKAATSSMDGILSTASANIPMAPLFRLLKPNGKLILLGLPEMPLEVAPFDLIIGSKTLAGSSFGGMADTQEMIDFAANHGVTADVEVIGADYVNTAMESLAKSDVRYRFVIDVGNTIHDGGAATEVTTVHQSSFKIQAKLTTLDKPFLYHCNIAANITKQFSSSSGTAAHDSNAWAS >OB08G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4353890:4355826:1 gene:OB08G16210 transcript:OB08G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLELLDVWEIQLLVLVSFILQVFLFFTGSLRKRSTNGLLRGTIWLAYLGADLVAIYALGYLSRHVDTTVKKGYSTLGESHPLVFLWAPFLLIHLGGQDTITAFSIEDNNLWLRHLLNLVVQVTLSLYVFLKSTSWHNNVQLLVPGILLFAAGIIKYGERTVALMRALVDYKSENFVPELDPSMVPKVLDIELSLMYDDMYTKGALLQKRSAIVTRCISQVCIIAALVIFAVMSNKQAPGISHSSVDVGITYMLFIGSILLDVCALFTVLLASPWTWWWLEDRGYHRLAHISWSLVGRPESRPLWSNKMGQYCFLSYLGSYNEPVTVSQRVVGMMRKIARAVGVESQEINWKLFWVSKLVDTRYETVDKEVMKCVVDEILSLTSSRQFRQKYRHMGPFINWLSGMGSQLGGFAFSIKLLHVITNTYLMKVSEASSSSITALAKVCQKLSNYMMYLVSVDKDVSTLLQRGIMNTRFFWTILDDITVNSMDFSPDDLIEKLRYGDAGKVFPWMEEQNEEALEELRDVWVRLLIYAASKSRREAHAAQLARGGELLTFVWLLMQHRGIGDGMPLRLDLSAAPSGDDPIFKVINLFDL >OB08G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4361379:4361921:1 gene:OB08G16220 transcript:OB08G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTDVFFGRRVIVAYKEENLGNFDVENFFVAAMLDHRLLAKVLDIELSLMYDDMYTKGALLRKRSSIAIRCTSQVCIIGALVTFAFMSNKQAPGIISHSSVGRWDHLPAVYRKYSSRCLCFVYSAAGFTLDMVVARGSRTSYAGSHIMVSCWEARRIKATVVKQNGPVLLSKLPWRVQ >OB08G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4361968:4363049:1 gene:OB08G16230 transcript:OB08G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAGVEGREINRKLFWVSKLVDTRYETVDKEVMKCVVDEILSLTSSRQFRQKYRHMGPFINRLSGIGSQLGGFAFSIKVLHVITNTYLMKVNEMVDNEVMKCVVDEVLSLGSSRRFRQQYRHMGPLIKELSGMGSQLGGFAFSINVLHVITNTYLMKVSEEDEASGLAKACQKLSNYMLYLVAVDTDVSISLLQQGLMNTTFFWKILEDNITVNSMDFSPDDLIEKLRYGDAGKVFPWMEEQHEEVLEELRDVWVRLLIYAAGKSRREAHAAQLARGGELLTFVWLLMQLCAIGDGMPFRLDLSAPSGDDPMFKVINLFDL >OB08G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4376381:4382272:-1 gene:OB08G16240 transcript:OB08G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQRPEPRKKAAGLAARDASGHLSPITIDRRSTGDDDVAIKILYCGICHSDLHSIKNEWKSAVYPMIPGHEIAGVVTEVGKSVTKFKAGDRVGVGCMVNSCQSCESCNNGFENHCPGTIFTYNSVDKDGTVTYGGYSSMVVVHERLVVRFPLDRGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGAKVTVISSSPGKEEEALGRLGADAFVASKNADEMRAATGTMDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPIDIPPFALVLRNKTLAGSCVGGMADTQEMIDLAAKHGVAADIEVIGADYVNTAMERLARADVRYRFVIDIGNTLKDPAAAAAE >OB08G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4386069:4388118:-1 gene:OB08G16250 transcript:OB08G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTEVNALKHALTAHSLISIFGRKIAAEVVQTELAKLVRADWSWEALPHDENSFLVTFPSEEELKRTEDVEFRLKNHGVSLSISKWQDAGDISPLYELDEVWVHITGVPHAWRHYLGFWAIGTVIGATLDVDMLTFRKTGTIRIKVGLMNRDQLPFTQDVVFGKHGYGITYSLEPKEFQPAVSTQTDNLDHYASTSRNDGKTGGDKADHTTKKQKADTTPSGSSNVTVGGSSPMQFALTPVGNCCPMQRGKEIVCDGGEPKLHLLAVTPKKVKEKMGSVEDVLSSSPQVECSTQTKKATPSSVVSLPIHTLVLVSPGALESPTPMTSLQRNLQPSPPLLSTNTILEPTSIPLKVYMRKRSKSARPNSRSIDSDYSYNLDGRGNLQEEKVTVHRSGRCNAVISFDGIVASDEDSLSKAMRLATKRNLDGPMMSKRWKH >OB08G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4392324:4392787:-1 gene:OB08G16260 transcript:OB08G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATGTIDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVATSKTLAGSCIGGMADTQEMIDLAAKHGVAADVEVVSADDVNAAMERLARADVRYRFVIDIGNTLKTTTV >OB08G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4393400:4398564:-1 gene:OB08G16270 transcript:OB08G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAEAEKNQHAVVGLAARYASGHLSPLSIPRRSTGDDDVAIKILYCGICHSDLHSIKNEWNNTVYPIVPGHEISGVVTEVGKNVTKFKAGDKVGVGCMVNSCQSCESCNNGFENHCPGIILTYNSVDKDGTVTYGGYSSMVVVHERFVVRFPESMPLDRGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGAKGTVRSSAPGKEEEALGRLGADAFVVSKNADEMRAATGTIDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVATSKTLAGSCIGGMADTQEMIDLAAKHGVAADVEVVSADDVNAAMERLARADVRYRFVIDIGNTLKTTTV >OB08G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4405693:4410750:1 gene:OB08G16280 transcript:OB08G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFTLSFAAHLFCFFAAHFSFLICVLISIESLLVGSCYRLAPHYSIFPGFVMDSGKRNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVSKSKMIAYLLKLVLRKNGQLKNDNANASILGQNNEDDTEKENGEQPHHFSSSTNYDSSMCKEAQAGSVAVCQNVACQAILRSEDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCKKSDGSFYCVWCGKMNWLMRSLRKQLAIARGARRVDVLCERLSLSCKMVKGSERYSELANIINSAVKILEKEVGGALDQVSAITGRGIVNRLCCCADVQKLCSRAIEMVDSTLTNTLDLEMDNNLKAGGSQPQVFFEEITPFSVLVVLKYQDSIAEEIDGCKVWHRSADMANYPAEPTYHVLRPNTRSLVSGLSPSTEYFFKVLPFGCSQGYGDWEGKCSTLSLDHGSSQCSTQNSGSMCIKVDLMQHQKNELNLKNKQRRAIQYDSPSTNSSENNVSVDLYPKRAKFARLDGASDNDESQLLPTSEVLPFVSSNSSLSEVPSKPDWLSSTPDSVCKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFIDALISEPASLVAQLIDAFLEVVCSKEKPSQPNGGCCNLCH >OB08G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4413106:4415831:1 gene:OB08G16290 transcript:OB08G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFARNDFDTCVGLLSQLKVLLTKFPSLPPLFQQTPNAVEELKIARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGVIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVTALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSIAEAKQVLMFSSDQELHQYITEEHPEWEIKDGCVFFQKAKETQPCKEIPSLQVINQTLSYARELERIV >OB08G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4419451:4422337:1 gene:OB08G16300 transcript:OB08G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3MRA1] MTPYTTETFTAAFSNTHPALQHAAARPRPRVLPEPRGAEPAGLLDSLQRRARLRRTRQTVRSNRARTDASSPSPPRRNVGGAASASAVSSMATCAIERERFKPVEPLPPRVPRLIPFSPRVRHLEPPSLSLTMAASAARLRAAELHHPPSPPPLRHHHHLGRSSRHFSSAAEIQCSEQKPQPPRRKLLREPLRRGTVSQRLPVPDRIPLPPYAGTDRLPDVSPDRQLHDREGIARMRAACELAARVLEHAGALVEPSVTTDEIDRAVHRMIVDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALQNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTVQLVKKLICCPVTNRSLKMMRGISACKHGASFKTIGQRISEYVDEYGYGIDPFVGHGVGQIFHSEPIIWHTYDYEPGYMVAGQTFTIGRAYPVHGRHPVHPVGRRLDGRHGGRQPHRAVRAHRLGHRRRRGDPHDAPVR >OB08G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4424891:4428037:-1 gene:OB08G16310 transcript:OB08G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGGGGGGGGGAGAAAGEHGGAAAQGQRDGILTALRGSGIQVMVGIPNDMLADLAAGAKAAEDWVAKNVSKHVNNGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQSVQAALVKAGLAGQVKVTVPLNADVYQSPTGRPSDGDFRGDIHGLMLTIVEFLAATGAPFVANVYPFISLYRDPNFPLDYAFFEGSSAPVVDGGVTYQNTFDANHDTLVAALRRNGYPNVSVVVGEVGWPTDGDANANPQYARQFNQGFLTHIASGRGTPLRPGPVDAYLFSLIDEDQKSIEPGNFERHWGVFYYDGKPKYPLSLRGGGGGGDNGSALTPAKGVVYLDRKWCVLKPSVSLADQKVGDAVSYACGLADCTSLGYKTSCGGLDAKGNVSYALNSYYQANDQDDRACDFRGIATTTTVDPSAGSCRFIVEIAPTTSAAAAPTTRLAAVLAAFICMYLLPML >OB08G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4446861:4451138:1 gene:OB08G16320 transcript:OB08G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related [Source:Projected from Arabidopsis thaliana (AT4G31040) TAIR;Acc:AT4G31040] MSYSAASSQGIALRLLLGWRIRRRRVCCACKMFGAGSERGMVVFAAKKGRGRRRRPKRQPSPRPWWKAWFSDWNDDEESLSGWREDDELLEEVGAQEALSDDDKFETWKRKAEAVVELREARQDAMNAEGRSWEDWIGGGSTSEDGAGAGGWGGDVDVEDLITDDPAEIVRDKGLIETFRDSIDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWALDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDIKTEKARYRFEVEIGQSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMVYGVSLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >OB08G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4459154:4476987:1 gene:OB08G16330 transcript:OB08G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISKRKIVYNLCCKSGKIQLPKIKPPPEPLATLLSNGDAKSRRFLRQIRSYNSMFAFTSMGASIDKSINTGNAPYVFKINGVVHHKIGTLLPSRGSVPKFAQLYIFDPENEVQNLLNIFQNDCDNTNKSDAEIVSALSAMLQNNNTLVQTFRYARERLSTHSDEKITLRLLGCNAKDEVQYNLPTNSEIAGIIVGDFLAKEYKFDVLVHVKGRGLHRVSSLHPSYMALQYPLLFPYGERGFHLGIKYAIYDGTGRKYVTMQEFFRYYMHYRLNEPNPLTCYGRLSDQIDVDIYSTIEANKLQFIVEHQDDLRSETVDGNVDAIDKGVTDADSVGKRVILPASFTGGRRYMVMNYQDAMAICCVFGSPDLFVTYTCNSKWQEIAESIRFEPGQQPSDRADMIVRVFNMKVTDFIADIREGRTFGKVLAEVQQVMLDICTYNDIVYSTYREACEARGLLEGDNEWHLLFDEAILSASVRQLRQLFVTIILFCSLGNISALFDKYWLYMTDDIHKRLKTALDNPRCVIPNEHLKNLLLHELATVFGNSGGNIRDFDLPQPSSLPQIPGCNRLIDEELSADPFLLLMHAESLVTQLNNEQSDIFLKITSRVLNKQPGFFFVCGHGGTGKTFLWNVIIAKLRRWYSTNGHKSGETYPSWVTIPDDLLIMTKGDKVAAIVKEIYPGFIESYNNPDYLASRAIVCPNNSMVDEIMGHILISHIVPGDSSSKLCARVSRLWHFCDLADETKLLHTDLVLLDEEESINVPDRFPGITFSLTPFEEIPSLIDKTQFYVGNSTIDITLWGERATAFDAEKVYADGQTQPQVIVFVGTLVKDYRDIGLTLTGSSPCKCFTTSFWPIKWVETSAPTHIFSPPEEKTVKELTTMNPHKHKRLRFIVRVTIRKICGESGWWYDACGKCYKGARAYGSSYRYKVVVVAGDDTKDAPFVLFGRTAQRLIRKPVEVLLEQNPHDKDFIPDEITSLLEQDFVWNVSFSENSSMRISRDMETFQVNTIVSSGNINQPPLLMAPPNSQASSELVVASPTSSAYTSPKSSDSTLGSPTSSKGKSILSMYESPSGQPKYIGACGKDETPTSKPSYERGSKKSKTSPQHKDSGDTSFIVPTTLQTENTIPTTYDESPPVNPSVPIKALAKKRGRASSSASATKKLFKEDNQQEEHGREYSVIIDKKSIINVSVEASDCMIPLQTEIVVENSATKGAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKAGFLGKGGEQPFYPPNVYAPQPQTIYSGGYMNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGIGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSAPGMFGQGNTPLAFGMHHGSMYAPGSYKSRQQGGKFGGTTPSWGSGRRFSAFELSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDEKNEKSVSLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRVAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPANIEPQKPAENKALGELMAHISDTFAQTVQLKETENSGSKPEVEGVTSAADASTATTKAEDGTVNANANATPVE >OB08G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4474359:4479700:-1 gene:OB08G16340 transcript:OB08G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKFHGLVGAMGVHDVGGNFCEMGYYQKLGEDSNMSVDSLNSMQTSMHGGSVAMSVDNSSVASSDSRTAMLNHPGLRGPVIVSSYSVGNSIFRPGRVSHALSEDALAQALMDSRFPTETLKDYDDWTIDLGKLHLGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKARLLEQQFVQEVMMLATLRHPNIVKFVGACRKPMVWCIVTEYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALAEIMTRCWDANPDARPPFTEVVRMLEQVEMEVINTVRKARFRCCISQPMTLD >OB08G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4486082:4493412:1 gene:OB08G16350 transcript:OB08G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLSSFAISVLRKASFCAASEIKSAWNFKKEVGKLERSLKSICGVLKDAEHKQSTSCALQVWLEDLKDIVYDIDDVLDDVSTKDLEQKVHNGFFAGVNRQLVYPFELSHKIKAVRQKLDEIAANRREFSLTEETIDTQFCSSSTRETHSFINELDIVGREQAKNKIVEIILSAADAYAFSVLPIVGLGGIGKTALAKLVYNDVRIKKRFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLRGLLQEKGYLLVLDDIWSDNVNDWEQLKNLLSSGGRGSVVVVTTRNMNVASVVKTLEPYCVAELSFDECMQVFIRYAFRAEEKKDAMLLEIGKCIVEKCHGVPLAAKTLGSVLFGKRDHKEWSLVKDANLWTIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYIILRELLIMFWMALGLLHKTREGDEIETTGGQYFNELDQRSLFQDHYVLYNGSIQSCKMHDLVHNLAVFVCHKEHAVVNCESIDLSEKVRHLVWDHKDFSTEIEFPKHLRKANKARTFASIDNNGTVSKAFLDNFFSTFTLLRVLIFSDVDFDELPSSIENLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDKLEKMPRDVQRLISLRFLCLTLKNKYLSEHDGFCGLTSLKFLFLNSCVELSSLTNGFGNLTSLRKLFIFNCPKLTSLPSTMNQLCVLQALSINNCYELDLLEPSEAMGGLTSLDVLQLVGLPKLVYFPESFISAATSLQYFGIGNCNGLKKLPDFIQSFTSLKKIMINDCPELSRRCAVKSGEDFPLISHVPQITIDKKTYRKITPSHPECSSGTSP >OB08G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4489468:4497976:-1 gene:OB08G16360 transcript:OB08G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:J3MRA7] MWKLKIAEGGPWLKSGNSHVGRETWEFDQNLGTSEEREAVEAAQSEFQKNRFRTRHTSDILARMQLAKANNFSIDLQKEKDANPINIDTATVSDILKKALGYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLSITLSSEHHREIIRYLYNRQNMDGGWGLHAEGESSMLSTALNYTALRLLGESLDDGPDMSMHKARKWIHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITNLVASLREDLHIHPYKKIDWKEARKLCAKEDAYNPHTWLQECLSDCLYNFGEPFLTRWPISYMRKRALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAGEFGSTLKKAHGFIKSSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKCSSDGEDYQMETCCYFDAVNVLLSLQNPNGGYGTWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPEHRKDEIDQCIRNATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSSVGQCYDDSTCIRKACKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLGLMKAGQIQRDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVLQNYQTSSIKQTNTAPSDGNAAMQNSASTKANLISWQNQANGPVYCRLCEKVQNSKSRICCIVLADFMRSL >OB08G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4492605:4492805:1 gene:OB08G16370 transcript:OB08G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGINSLVTLVAWEIWKHRNDCVFNGASPRVATVLQVVENEGYLWCVAGNSALQKLLSRVAILGS >OB08G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4493591:4499284:1 gene:OB08G16380 transcript:OB08G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQVSANFHTDGFMALLTKQMQLMQDMDHETFGQSISILKLFALANCKMKIPHGYSIILCCLVSFPGVSCLLFTSIMHMPVANVRCCKDWREFLMEKHRYCCDLIRGI >OB08G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4501479:4501637:-1 gene:OB08G16390 transcript:OB08G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHERRRAVEVRLLELRDVLEEQGHTDAEIASRLAEARKVAAADAATAAGR >OB08G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4504286:4508122:-1 gene:OB08G16400 transcript:OB08G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGQAEAPLASASCSSSTSSSSSSSSSPSASSGRGGGGRPDILSMLRSAVCLDSSSSDTGRGRSKLSTNKVTHGFHLVEGRSGHDMEDYHVAEYKYENDHELGLFAIYDGHLGDSVPSYLKANLFNNILKEPLFWTNPQEAIKNAYSLTNKYILENSKQLGPGGSTAVTAIVVDGRDMWIANVGDSRAVVCERGAANQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHVAIDSSVEFVILASDGLWKVIKNQEAVDLVKSIKDPQAAAKRLTSEALVKMSKDDISCIVIRFQC >OB08G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4507986:4508267:1 gene:OB08G16410 transcript:OB08G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSGRPPPPRPDEADGEEEEDDDDDVEEEQDADASGASACPPIITAGGVLGSSEPSPAGLGSLLRCAPPARNSSCVSGGCGEQTAAGVKLV >OB08G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4511102:4531092:-1 gene:OB08G16420 transcript:OB08G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPPPPPPPAPPAAPLPPPPPPPPPLPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLASAGPGFAPPPLPPPPPPPMPPVPLPHMPFLPMMPQVPVPMPPMPPPTELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMNELEETKVLSCLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIESKAVGIANGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFAAVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGFEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQGEEKIAEAQRKRHYGPDVSFKGRVRKLAKMEDLSDDGSDEYSLPESEHESTDSEEEFNMCQICNTEEEKTALLHCTGCAAHVHPGCLIPPWTGMITDDWSCYSCKEKLESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNKKDVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADQRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQNSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSIYGKKALMMMYRGILEQDGLPVLPLGCSEDQASLQGFITKAKAALVSVGIIRDAIMCNGKNGGKLTGRIFDSDMHDVGRFLNRILGLAPDIQNRLFDLFMSILDIVIQNARSEGQLDSGIVDIKAKSVKMKDPPKTVHVDSLSGASTVLFTFTIDRGVTWESANEILEERQKDGAGSSDGGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETENDNQRIVGLMIPNAAVESVLEGLQWVQDIDD >OB08G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4534995:4537570:1 gene:OB08G16430 transcript:OB08G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPPAVPASAAVVSVAHMPDTAYGNVLNAASAPASHPHLGRRLRRPCRHAGRLQLAWRERLTSSRQICTLGGKLIPLLAVRRGGEARFVQLAFTTLVYPALILGYMGQAAYLSQHHALDSTYQIGYYISVQAINDLLDPTGQNLRVREDAQLLMVSMDDGDDSPYQVTTKKKHHYVGHENAIKIVYEYIRDDHRVIETYMEKFSNGKGP >OB08G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4546551:4552092:1 gene:OB08G16440 transcript:OB08G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDLSDGSDYAQTILAKALDEYASIRLKATSEERMMDPRLEAIVERMLDKCIFDGKYQQAMGMSVECKRLDKLEEAISQCDNLNGALSYCINLSHQYVSHREYRCEILRCLVKIYQTLQNPDYLSICQCLMFLDEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTSATELSGDAQMTDNVITPNGNAHTVDPNDKLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIIVDKHEDTMSKMGAILATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQAESKAREKAEAPPSEDASTSMQVDGAAEKRAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYDPVKLAPSGFVLLRDLKPTETEELVLTDAPATQTTNAAAAQQVSGAAAMAVDEEPPPPQPFEYTS >OB08G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4558952:4563788:1 gene:OB08G16450 transcript:OB08G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQLVVDTNCNPRPHCTETKKSYQDNTPARQTMMGLLAFESNQGLWSSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKVLGDQLVVGVVSDEEIVANKGPPVLSMDERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEASITETTMDANVAVNQNGGADTCDLVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVGGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >OB08G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4564138:4567904:-1 gene:OB08G16460 transcript:OB08G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLRKEHAEVSNHGLSIFLDPKRLKLQDGEIPDMMEEEKAGAPTDANVPAMASSSWLQPTQDQKAVHHTLNIPYGMASSEPPFQAATATATVAAMDIEVELQQRQPQAQPCQQAPFWSGFF >OB08G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4571197:4574192:-1 gene:OB08G16470 transcript:OB08G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGGGDAAAEGRRGEGGAARWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCVFCLYMFAQSTDLFDQLPSWIPVGRDSLGGTQDST >OB08G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4586238:4592903:1 gene:OB08G16480 transcript:OB08G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPVPRARASPRRHIWTLVVLIFIAITGLWIYMYPPQDYTYPVRDWYPVRDWFPAEPARELTDEETATRVVFRQILSTPPFPSRNPKIAFMFLTPGNLPFEKLWELFFKGHEGRYNIYVHASREKPEHVSPVFVGRDIHSDKVGWGMISMVDAERRLLAKALEDTDNQHFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFDDPGPHGVFRYSKHMLPEVRETEFRKGSQWFSIKRQHAMVVVADSLYYMKFRRFCKPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSVDISYHVTSDEKKELLQRPCLWNGLKRPCYLFARKFYPEASNNLMNLFSNYTIF >OB08G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4595787:4596938:-1 gene:OB08G16490 transcript:OB08G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSKKPAASGRGRPEPGRKTVSTCSPDLVAEGVHVFDIFGYSDHRGMGTRESIRSGAFSVGGLRWVACLYLDGYGVEGMDYVSAYLRLVGDAPRRVWVSCEVKLLDRTTGLASTPQLYLRTAQAFGGGEKYRVLHCLMLPRPDLEVEPYLVDDRLTMEFHVTVKGDPRVSRTRRFPRIQVPPPDIKRQLGKLLHTREGADVTFDVAGEAFPAHKLVLAMRSPVFKAELCGPLKEEAGSQPIAIVDMQPAVFRALLEFIYTDQFPTARGAERGDDCEMIRHLLVAADRYAVDRLKLLCQSVLCKNLNVHNVATTLALADQHQCDTLKDACIEFVSSSRKMQRVVASKGYVDLQRTSPSVLADAMAQMSKFNKMSRRRSTPPR >OB08G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4600071:4601219:-1 gene:OB08G16500 transcript:OB08G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQIETAPRTVSTCVPNTAVGTHVFDVIGYSQIKGRSHEEYVASGTFLVGGLLWAVVLYPDPPAFVLDDDNVTAFLELRSQREGGGKVRASCDVRLVDQTTGLASASPSPHPDADKPFRVFDVEAEEITRASCLKMKRTQFEAPPYLVDDRIILECVVTVKKEPRVSRARQVPRIKVPPSNITQQLGDLLESKEGADVTFDVAGEIFPAHKLVLAMRSPVFRAELLGPMRETGPGPIAIADMQPAVFRDLLQFIYTDWLPRLRALEGDDNGEMIRHLLVAADRYAVDRLKLLCQSILCKNLRVGNVASTLALADRHHCGMLKDACIEFMACPNMLDDVVASQGFVDLDNAAPSLVAEAKEKMGRFKKMPRMTKSNDPEDE >OB08G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4607356:4607997:-1 gene:OB08G16510 transcript:OB08G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASLSVSICTARALYGQKSFTFSNYSLSIGLGPGEFIRSQTFNVGGFDWSIRYYPNGANHGYREYISVFLELMTPGAQVRANFSLRILAGHTQPSETCLINQEDSRVYTYGWEKFIGKTARESSAELMVLNSITIDCDIFVLTERPLVSGTHHVSPAARTPSSESFYNDFARLLESQEGADITFLVKGEAFPAHQVVLIARSPVFAGTASG >OB08G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4621811:4627798:1 gene:OB08G16520 transcript:OB08G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKIPFHRHKEKEEARKKREQDEVARVYKEFVESFEGDSTSGSKFVPGGVIDPNAKPTVHPEAMAGRKFKDQGSVPMKCSRAMADGEAYKEEKCPNKKERGKARAIDKIMVQGLQERRNKERGHEREGRHTDSSTSSSHFDELPDEFHPIGRFPGSFANEDLQSTNLYVGNLSPKVDENSLLRTFGRFGPIASVKIMWPRTEEEHRRQTNCGFVAFMNRADGQAAMDEMQGVVVYGYDLSIGWGKSVALPSQALAAPLLERITSVKPQKLELVLTANVPDIVVAPPDDAHLRCVIDKMALHVLDGGFTFEQAVMERGQGNSLFRFLFDLKSKEHTYYIWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPLLSRRSPEREKVSTFAAGRMAKQLQSCRSGAQID >OB08G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4632703:4633107:-1 gene:OB08G16530 transcript:OB08G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPATSSALEDAEDGEKDENEAVACMQLLAPWMLIIFSTETSLPPTFSKKLDID >OB08G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4639840:4640343:-1 gene:OB08G16540 transcript:OB08G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQKNNQAAAPVPRRPPPLPQPPMAHFPRRLEHEISRMDSCIRELEDESRHGSESDEGRYASLKTRRRIEKVKAMKAQLISRYLQYQQKHDDGDDDGDDAPPPPPAAGGAAAVN >OB08G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4651787:4653087:-1 gene:OB08G16550 transcript:OB08G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAIGVRKFISSGKFTVGDSDWAISSSRRTENDEARAWFGVRLLGKTQKTTWRIATTRMFRARGGADRRSLVSGWDRFLERTVLDDASHDLLWNNRLSIECCVYVLGKPLVSSAIPMSPPPSSSSLSSAALTNSSSSDELSRDFGKPLESKDGGDVVFLVKGEEFAAHKAVLAVRWPVTVEEMEPAAFGALLHFIYTNTLSPQLIINGGGGGGVGSNDKNEMLRHVLAAADRYGMEKLKDICEMELCRTVSAETLSATFTLADQHHCNKLKEHCFRFMSAMGDEITTIYLLGP >OB08G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4663831:4664235:-1 gene:OB08G16560 transcript:OB08G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSRAALVPAALLMLLAALLLILLLLPVAYRDVGEEEYRYMLFKANRRFVVNLNAAAPGKTAYGLNQFGDLTNEEVRDWKRSRGLVLLGIIDQDTGFNVEKLLK >OB08G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4667214:4668407:1 gene:OB08G16570 transcript:OB08G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLDSLDDIDPAPAAASSPPPASPAKTASSCTPETLRGTHVFTVHGYSSLQGVDDAASRGRFIRSAAFDVGGFDWCLRYYHNGSNGADDGYVSIFLELITIDAEAKTFFDIRILDQYTDSSFILVATRNNSARRVFGTSNFDTDRPTWGSKNFMRRSEIEESVYLRHDRIMIECNLTIIKTPLVKTEDEATMAGSIVRLQMPSTNLSKDLGRLLETKVGADVSFDVGGEIFAAHRAVLMARSPVFRAALYGPMRKKTEQHIVIQDMQPIVFKALLHFMYTGFILPVTDHDLDTDEMLELTKHLLVAADRYGVQGLKTICENTLCISISVDNVATIVALADQYNCGRLKDVCLNFIASSDRLDDVLATDGYESLKTSYPSVLVDIFERATKSRKIN >OB08G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4681030:4682235:-1 gene:OB08G16580 transcript:OB08G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSPRPVTRTASRCIQETARGTHVFTVDGFSLHSSLGAGEYVESGVFVVGGYEWRLRYYPDRDNDIGADADAGDDDDGERCISFVLQLLSKGVEVHAFFSFRLLNHETGRSQLVYSTSERHVFDNTGRKIGYGDHAYHDTGGLITRGELEESAFLRDDRLVIECDVTVIKRLRVIDRDHRRRRRPSPPASDLSMDIVRMLRDKVGTDVLVNVAGEVIAAHGVVLAARSPVFKAQLYGLMRPTVRWQQKQIAVHDMQPVVFRELLHFMYTDSISLSTDSLDRGDKTEMIKNLLVAADRYAVDKLKKICEDSLCECLNVENVVSMFAMADQHSCYRLKDACAEFMASWERLDRVVDSREYGRLKRSSPALLLDAVERAVKSQKIREETCCHVEPSQKMF >OB08G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4684946:4686080:-1 gene:OB08G16590 transcript:OB08G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGMDGLTGSAPVVVSGESLDGALASTSRPFRAIRSLPFAAGGHQWRLLFYPRTVLSGFFAAGAELLTGGKVGARSVAPASVGPVQRVLVRTSPPVYFDYSDADIQVVYQNRGWLSEIEPMVADHDLAVVFEMKLTVVPDEPPPAEDRDSAAAVGRMADDVPPSDMAQQLEEVFNSKEGADVAFSVDGEHFAAHRVILAMRSPVFRAAGDGGGRMKESDRGSSAPPITVDEIRPAVFGALLRYIYTDSLPPAITRTAADDDDDVDEHQQNGTGTGNDDMTCDLLVAADRYGVERLKLICERSLCKRLNAGNVADLLAMADRQHCKTLKEACIEFMATSGRMNEVAVSQGYTQLRSSRPLLLLEVLEKSAKFCKD >OB08G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4689604:4691607:1 gene:OB08G16600 transcript:OB08G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLARTLTLTLARPAPLPPLRGLATKVEVIEIDLADDAGADDAAGPPSVEAVGVRRLEEAIHGVIVRRAAPDWLPFVPGGSFWVPPMRRPHGHGVADLVSRMAAAGASGAEVVTGFAHEPEVYAPMTEEEALSFSTARGWPSADYFVEGKFPHSKKKSRKRAIYTDDEES >OB08G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4691763:4693750:1 gene:OB08G16610 transcript:OB08G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDRDANDDVVSNLINFHFGGPCLIMLVIRMYSILLRTGQAPTRKGCSGLDVSAMTNVHMDDGKDPSAD >OB08G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4700042:4700733:-1 gene:OB08G16620 transcript:OB08G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHREAAWRRGEAQARSRRPAKYPAKAGIFLPDARWVLELYLAMLVKNMTSISWLHSQGIAEFVGSKRCSIPSANHCICIAFMSRADLSNLRSVLSGPLNKHGENLERRHSSILSKPIYEFIWCCYTKSIRHALLILAYR >OB08G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4710976:4715786:1 gene:OB08G16630 transcript:OB08G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPIICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVVLLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNVCWGTRGVTGFISRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWESKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDVIPV >OB08G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4718618:4720922:-1 gene:OB08G16640 transcript:OB08G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MVLLDPLNDWCNMELGRHLDDTWVAYVGNGVGNRSSNVFSWQQLDSGIPSGRFGHSCSLVGDSLVLFGGINDQGQRLNDTWIGQIICEESHRMRISWRLLEVGPHAPPPRGAHAACCVDDKFIVIHGGIGLSGSRLGDTWLLDLSNGLRSGSWHQIGDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINESYPKWKELKYDLSSVLGEMPFPRVGHSATLIFGGKILVYGGEDSQRRRKDDFWILDLPALLQFESGSKKMIKRMWKKLRVDGQCPSYRSFHGACVDTSGCCVYIFGGMIDGLVHPAETWGLRLHTCLPLRMGLSVYGFKLSFLCQVHMFQRMSVNTIWSRSLLPYLMGSHITMKRGIPLPSMTN >OB08G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4722781:4723311:-1 gene:OB08G16650 transcript:OB08G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRSEKAARRVMEEEAAAPAIARLGYDQVVSILHLLPAESVLSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPWRRIYSEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGS >OB08G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4732004:4736496:-1 gene:OB08G16660 transcript:OB08G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVGLVARLIQTISTQVEKEVALQQSESENTRFVMDELETIGAFLGVVENMKEDSPKYQMARVWAEQVKDLAYDMEDCLETRFTALTTQSSSWSQYITNYRVLRPFAVKLNDLKSRIVEVSERNRRYNLVTADQPINNHLNLMVVLGGVWSRSLKVSTEIDTYDDWKKEVVPWDEPSKVPTSNEETRNLKVAAMVGMCGSGKTTRAREIYEDKRTIKNFEYRVWIKVSQAESITKVFMDMIAQLSDASARKGKHIGDEDELARQIQGKLEGKQFLLVFDDLWTTRAWYSIKRALPQVGKSGSRIIVTTEIFHVAEDCTGSFNRVHRLPLLSDQKSFQLLKDSILQSENSKMSPEDKKDFEELDLDSLKLPEPLFNTIAQILRKCSGLELAIETVAKLLASNSPRKWGKLCDDLPSLLYNDPSLNEIRKVMIRSYRNLPPYLRPCFLYLSIFPEDSHINVETVVERWLAEGLVRERTGMSLRAVAQGYFSELLDRSMIVAVQTKNRSCKTCRIHPMMRDILVMIAQEEKVSVTIGARQSSSLLVKRMRHLTLDGQSDRKLARCVEFSGIRSLTVFSEPSESIAEIICSSQLRALRVLDLSNASFQITQRDIRRVGELCHLRYLNLYKSNICELPSSIGMLPFLELLNVRKTCITKLTSEVVRLGRLYSLRASRRAEDCCHNKWKQCCCDSAVTVPKGIENLQDIEWLDIVDIKDSCGSKIKALGKLPRLKHLGLTGITVRNSKEISKTLKNISSSLIYLYLGACRNDGTLACLPISEKKKKRPLQFPCLQSIKLDGHIGKMPYWISNSLTLEVVKLCRTNLQQSDIMSLEKLPCLVMLALLDNSYVSDTLVFYAKSFRALNTLEISKLPKLKTVIFTEGAVSHLRRLDIRCCTLRLKGRKHLKLRYDPQDGVEVV >OB08G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4740510:4740809:1 gene:OB08G16670 transcript:OB08G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDLLMAADRYSMEKADLRARAGQQCKRLDGGNVADLLAMVAIGNTARPTLKEACIVFMATSGRMNEVAVSQGYTQLRSSRPLLFLKVLEKSAKFYKY >OB08G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4743406:4744605:-1 gene:OB08G16680 transcript:OB08G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSPPRPATRTASRCIQETVRGTHMFTVDGFSLHSGLGAGKYVESGVFVVGRYEWCLRYYPDQDNDVGTDDGDNDDDGERCISFVLQLLSKGVEVHAFFSFRLFNHETGKSQLVYTTSERHVFDNTGRKIGYGDNAYHDTGGLITRGELEESAFLHDDRLVIECDVTVIKRLRVIDRDHQRRRRPSPPSSDLSMDIVQMLKDKVGTDVLINVAGEVIAAHGVVLAARSPVFKAELYGLMRPAARGRQQIDVHDMQPVVLKELLHFMYTDSLSLYTDGLDRGDKTEMIKNLLAAADRYAVDKLKTICEDSLCECLSVENVVSMFAMADQHSCFKLKDACAEFMASWDRLARVVDSREYGRLKRSSPVLLLDVVERAIKSQKIREEICSHVEPGQKMF >OB08G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4751835:4752101:-1 gene:OB08G16690 transcript:OB08G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARRAMGWCGAEEERELTGSPQKAPGSCPRCGGAVVATEVESARRILCLPLCLRNKKRFSCTRCHRLLRPPPPPPPTHHLTYYLN >OB08G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4769333:4771566:1 gene:OB08G16700 transcript:OB08G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAAMISSTILLLLVFYFYVAYRISKNRPVPPIEWPIIRMLPSVVANLSKMHDYAAALLLSELGNTLTARGPASSGMRLVITCDPANVRHILASSSHGNYPKGPEFAEIFDVFGGGFFTVDGERWRRERAKIDGFIREPRLVASMASSCRDKVRDCLLPLLASAAGAGRPVEMRDVASRLMFDVTAMGVFGVDPGYLPMMETRKKKATTTTTHSDAMDITSYYLSDPDHYNADQNLLRAMLVNFMIAGRDTIGTVLPWFFYSLATNQHVVAGIRQELAPIAAAAAAASRSRGNDAGAAVMFEPDDTKPLVYLQAALLEALRLYPPVAMERKTVAAADVLPSGHRVWAGDAILVHLYSMGRMESVWGEDCREYRPDRWLTGDGGQQKLRHVPSHNFPAFNAGPRMCPGKSIAMTEMKTVAAAVVWNFDVEVAVEGQAVEPTMSATLRMKNGLMLKVKKRTYVDAPV >OB08G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4785726:4785923:-1 gene:OB08G16710 transcript:OB08G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKFPTLSGCVCIYMQVCDCCCRTRKARTCTLCPCWACTYFGPSNIFLQTNVSCILHYQCQPFL >OB08G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4786034:4792396:1 gene:OB08G16720 transcript:OB08G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSLVGRLVETISSVVEKEATLMRSVPENTRFVKDELETIGAFLCVVENMKEDSSNSKYQMARIWAEQVKDLAYDMEDCIEEQIAALSTQSSSWSQYITNYRTLRPFAAKLCDLRSRIVEVSDRNMRYHLVTADQPIYNHIMVFFGKVEPGYQESSTEKDTYGVWKKGVVPWGEASKASTSNKETRTPKVVAIVGMRGSGKTTWANDIYEDKKNAEKYECCVWITVSQDVNVTKVFMDMIAQLSGASSSQDNQNGEEDKLAQHIQGILQEKRFLLVFDDLWTTKEWHRIKRALPKVSKSGSLVIVTTDIVHVAKDCTESNGHVHRMSLLSKQESLNRLKDSILASKNSEMTYEDIEDFEELDLDSLEVPEPPFNSIDQILRKCSGLELAIETVGKLLASKSPHKWGKLCDDLPSLLYNDPSLNKIREAMIQSYRRLPPYLRPCFLYLSIFPEDSDINLETIVCRWLAEGLVRDRTGMNPRDVAERYLLELFDRNMVVPSILSNNRYCKTLQIHPMMRDILVMISQEERVSITVGPRKSSRLLVKRVRHLALDGQSDRKLSRCVELSGIRSVTVFSEPSESIDALICSSQLRALRVLDLSSATFQITQRDIQRVGELCHLRYLNLYKSNISELPSSIGMLPFLQLLNVRKTWVTKLPSEVTQLSRLQFLRASRRTEHSCHNRRNPFCCDSGVTLPQGIDNLQDIEWLDIMEIKDNSGSKIEALGKLASLKHLGLTGITMENNTKVSRTLEKLSSSLKYLYLGACRNDGVLSCLPISEKKGKWSLEFPCLQRIKLDGHIGKMPHWISNSIRLEVIKFYRTNLQQSDITFLERLPCLAVLALRENSYISKTLVFYAKAFRELRTLEIVGLPKLKTVIFTEEAVPHLQHLAIRCCTLRLKGRSNLEFQSADLDDGVK >OB08G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4792484:4793351:-1 gene:OB08G16730 transcript:OB08G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIYRLPCHQLVMKALHFNIAEGGCLRKKSGKTANHQDRLPPASGLHHLSHARSPVAFGRSLMVSRKSPMAVGQSHQPLPISDRRTDMAKVEPDPALPLSRHCSPSCQTPCLVTVDAGHDLVRELPKEMKEEETLHRHLCCRVVSGSRLQRRSTKCKEHFKKRKQNPTKKPGYLPVLRVKTSTDS >OB08G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4820847:4821590:-1 gene:OB08G16740 transcript:OB08G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGFSPRACPSAEAEAEQRAFQEAAAAGLRSLELLVSSLSSGGGELHHRRLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPGGTPRTLTPTPTPAATAPRSASTASAAPSACRRRARAWPTSPPTSSRGASTGRSRSRGPPTRAATTGAAP >OB08G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4831487:4833895:-1 gene:OB08G16750 transcript:OB08G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MRE6] MASYTIPLYLILFIIHGNPSMGAQISERSIPQGSQINAAGTQSWVSPSGRFAFGFYPEGEGFSIGVWLATGATRTIVWTAFRDDPPVSGGSILLTAGGSLQWIPANQGSQWKLISATPTSATSAAILDTGNFVLYDAKRQVLWSNFGSPTDTLLPGQNLPPGNQLFSSVSNNKHATGKYRLANQDDGNLVMYPIGTRDPGSAYWASDTSDRGLLLTLSLHPNGTVWLFDRNSSYTKVLFLANQSLSASTNSESYYHLTLDADGLLRLYLHVFFKQGKEPLTKIEWLVPSSTNRCGVKGVCGPNSFCQITASGETSCSCLPGFEFSSANQTTQGCWRVQTGSCTGNRSSGDIRPIATMVMVKNTSWSDLSYNIPPQTTTIEECKSICLSDCACEIAMFDTYCSKQMLPMRYGRMVQGSNTMLFVKVYSYEPKGPIRKTRSSVSVSMLISGSVLAIFSIVVLTVSVVLCKRHQLLRYTRAPQHQDTEFDEESIGIRSYSFHDLELSTDGFAQELGRGAYGTVFRGVLANSGNKVIAVKRLERMAENREREFQREVRAIARTHHRNLVCLLGICNESTYRLLVYEYMPNGSLTNLLFKPDTPLPSWSKRVAIALDVARGLQYLHEEIDVPIIHCDIKPENILIDSSGMAKIADFGLAKLLVGNQTKTFTGIRGTRGYLAPEWSKNNAITVKVDVYSFGVMLLEIISCKKSMQFKMAGEECNISEWAYECVVSGGLKEVAAGEDVDEIELERMVKIGIWCTQNEPVTRPSMKNVVLMMEGSVQVRHPPPPASYSQSLLHTGSRGEI >OB08G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4836540:4836893:1 gene:OB08G16760 transcript:OB08G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIFICSGPIQRSRWPPRLPLLGGNSVRCLPSLHPLTPDSPSAEIVEGVAFTGITAWSSNTSSQYTVVRFLDMISGRLEEMAQGAAAFQQPGVISSVQPSGKREVTLLMTLATASN >OB08G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4856224:4857947:1 gene:OB08G16770 transcript:OB08G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MRE8] MKLVGGNSAGTVTSFYLSSGEGEGHDEIDIEFMGNLSGDPYVMNTNVWANGDGKKEHQFYLWFDPTADYHVYKIIWNPKNIIFQVDDVPVRTFKKYDDLAYPQSKPMRLHATLWDGSYWATRHGDVKIDWSGAPFVVSYRGYSANACVNNNPAGGWSSSWCPEGTAAWISRELDGAGLGTVAWAERNYMSYNYCADGWRFPQGFPAECSRN >OB08G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4860990:4862041:-1 gene:OB08G16780 transcript:OB08G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MRE9] MAPPLSLPCSGERCRGVRKVLAAVAFGFVAAAAASMYDDVEMVWGADHSFFYMDGDVDTVALCLDETHGSGFRSRDAYLYARFDIDIMLVANNSAGTVTSLYLMPEDVPWEYHDEVDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADFHTYSIVWNPKHIMILVDGVPLREYRNEAARGVAFPTWQKMKVQGSLWNADDWASAPPPGVAWCGDEPPESPWFEQQAAGDAAALRRAREEHMLYDYCADANRFKHTGLPVECTPASN >OB08G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4864503:4865864:-1 gene:OB08G16790 transcript:OB08G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACPLLAAGCRPPSLASSVLAASPDACRSSRQTAQVPQRPGRTFIPLYTRKQVSTERYERYREKRAWRQSAHARRRSAAGRGGGRGDGGQAGGDDVRCHRGRVAAGVGLSHVGVACDDRRQRATKPDHFSLPFALNAATSLQLLPLGASLHALALLCPSSTRRSSRALEEQRRKER >OB08G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4868182:4869792:1 gene:OB08G16800 transcript:OB08G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37320) TAIR;Acc:AT2G37320] MHNRFLHGCLHSLSEVAASAIRVPIASYGTSARQSRSRFQHDSDINSALKVLDLVPRKSEYDKVEGPRHHRLIHDCMRDILGVQSNHTLLKGNSISFNSCSNPAQVKVDRFVSKNVSACTSRIRISKDDMFMLIVELHRKGEASVDQSILAAAVSSCADGKKFTQGTQLHALLVKAGCDSTVFIGSSLITLYSRCGQLGSSYLVFQSMPTRNIVLWTAMISGFALHNRIEPCLHLFASLRLSRCKPNDITFATLFSVCTKHALLALGKSLHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQSIFGCIAWKDLVSWNAMIFGCSQYGLAKHCLDLLKEMDRQHIDPDTLSFLGVLSSCRHACLVEEGRECFKKMIEHGINPGLDHYSCMVDLLGRAGLLLEAWDLIQTMSVPPNAVIWGSLLASCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKERGLKTNIGCSWIEVGNKVYSFTAENRSKSHQLNSVLVILDCLQAHMECKYDILAEGLE >OB08G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4875153:4876800:1 gene:OB08G16810 transcript:OB08G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPVKAAEDAKPIFAALKGEGKSVGVGGYCWGGKVAVEAAKTNEVEAIVISHPSLVIADDMKEVKCPIEILGAENDAVTPPRLVYHFVSALRERNEVHYFTKIFPGVAHGFACRYNATNPFAVGTAEQALALMLDWFQKHLK >OB08G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4882177:4884921:1 gene:OB08G16820 transcript:OB08G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAVKAAKDAKPLFAALKGEGKSVVGVGGYCWGGKFAVEVAKTNEVESIVISHPTDVTADDMKDVKCPIEILGAQNDFATPSRLVYQFVDVLSERTDQVDYFAKIFPGVGHGFACRYNYSNPFAAKTAELALALMLDWFQKYLKLDDIL >OB08G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4889435:4894703:1 gene:OB08G16830 transcript:OB08G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPLPPACACKDMAPPASSHLLLLCAAVVLAVASSXXXXXXXXXXXXXXXXFEAYVTGPVHSSRVVLLATDIFGFGAPLLRKIADKVGEAGYYVVVPDFFHGQPYTFDLNLTEWLTAHSPVKAAEDAKSIFAALRKEGRSVVGVGGYCWGGKFAVEVAKTNEVEAIVISHPAEVTVDDMKEVKWPIEILGAQNDTVAPPRLVYQLVHALRQRTDQIAYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLNWFHKHLK >OB08G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4901603:4905215:1 gene:OB08G16840 transcript:OB08G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MRF5] MTNTPEVVLASGGAPPRHDGRAAGPVAFKDAFAFAFAAGDVDAAPLEQYDAVVSAMPARVSHGTKMRQNQGYWVLDAFARGAVAMQRGGFAPRAGDVLIASLPKSGTTWLRALAFATMARRASPPASPDHPLRRLNPHDCVPYLDMLFAVGRGAVVDALPSPRLMGTHMALSLLPPTVVDGTSGCKIIYICRDQKDVLVSMWHFLKRNGRQDLSLQEVYDSFCEGTCFQGPVWDHILEYWKASNEDPARVLFLRYEQVLQDPAGTVRELARFVGQPFTGAEEDAGAVADIVELCSLESLRSQRANREGAQGVFAKFSHESYFRKGVAGDWMSHMSPEMGERLDGILRERLDGSGLVL >OB08G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4907315:4907498:-1 gene:OB08G16850 transcript:OB08G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLNGFNFNQFVVSQGHVINTWADIINHANLGTEVMHERNAHNFPLDLATLEVPSFNR >OB08G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4909150:4911372:-1 gene:OB08G16860 transcript:OB08G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAITQYLFLTTLTSLIQYFFLTDLDKSASTMRTLVVCGEQCRLCRVSTGPARFQVGRPQSLQRGQATLPHGKWARGYRVRVGPPRPSCSGAVTVAVPRPYSRGALRYLRLPYGNRANGYRGSGRDVMRRGGSGFFDSGDKQTEQVVFSASSGNHAINKRVLSTSQPTASDLKTRVKTTK >OB08G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4926111:4930923:1 gene:OB08G16870 transcript:OB08G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MRF8] MTNTPEVVLASGGAPPRHDGRAAGPVAFKDAVAATGDVDAAPLEQSDAVVSAMPARVFHSLKMRQNQGYWVLDAWARGAVAMQRGGFVPRAAGDVLLASLPKSGTTWLNALAFATMARRASPPASPDHPLRRLNPHDCVPLLDRLFALGRGAVVDELPSPRLMCTHMALSLLPPTVADGTSGCKIIYICRDQKDALVSMWHFLKRNGLQDLSLQETYDSFCDGTCFGGPVWDHMLEYWKASNEDPARVLFLRYEQVLQDPAGTVRELARFVGQPFTGAEEDAGAVADIVELCSLESLRSQRANREGAQGVFVKFSHESYFRKGVAGDWMSHMSPEMGERLDAILRDRLDGSGLVL >OB08G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4932360:4933878:-1 gene:OB08G16880 transcript:OB08G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MRF9] MANTAGLGEPHAAGAAAARDGQVQVQQGPVAFKDAVDADAVPARPPTAHGDVVSALPVRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALAFAAMARRACPPASPDHPLRRLNPHDCVPLLETLFTVGRDTLLDQLPSPRIMSTHMPLSLLPATVAGGKNSSTKIIYICRDQKDRLISTWHFRRRNDLPDLSLQEAYDAVCDGTCFAGPVWDHILEYWAASSADPSRVLFLRYEQVLRDPASALRRLARFVGRPFSEAEEEGGVVGEIVGLCSLDSLRGQRGNAGGAMQATLMEFSHESLFRKGVAGDWRNHLSAEMGERLDSIMREKLAGSGLTL >OB08G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4938040:4939704:-1 gene:OB08G16890 transcript:OB08G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MRG0] MARRACPPASPGYPLRRFNPHDCVPLLERLFSTGRDALLEELPPPRLMCTHMPLSMLPPAVVDGNSASKIIYICRDQKDRLVSMWHFRKRNGSQDLPLQEM >OB08G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4952187:4952553:-1 gene:OB08G16900 transcript:OB08G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFFCSRVVMMRKYEINYCWGLIVQTDYIAEVTSSSCISSCRLLEFGGVCRIASIFHLPDCHSYMSCVDSAYNQHAILFKLPFCLCRRP >OB08G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4953543:4955050:1 gene:OB08G16910 transcript:OB08G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MRG2] MGQTRVHLLASLGAFYLILAISMVTADLTNDLDILWGNSKVFYDSSGKRTISLSLDRWTTSAFQSKSTYLYGRIDVDIKLVAGNSAGTVTTLYMITEGLWQFHDEIDLEFLGNITGEPYTLHTNLYARGKGGREKQYKLWFDPTADFHTYTIIWNQRSILILVDDKLIRQIKNNLMYGVPYPNYQPMRVYGSIWNADDWATMGGRVKTDWSQAPFTAYFQNYRAIACPPQQSSPLCAQSFGNWFNQELDETRKQQLQEVDTNYKIYDYCTDTKRFKDSLPKECTLN >OB08G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4958703:4959874:1 gene:OB08G16920 transcript:OB08G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MRG3] MTIHLALVESMGQARPQLLASMAALYFILATSPVISDMTDSLDMLWGNTQVLYDSTGHQIVSLSLDHWTTSAFRSKTKYLFARIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYQLWFDPTEDFHTYTIIWNPQMILILVDGRPIRQMKNQLRNDIPFPLYQPMRLYASIWNADDWATQGGSIKTDWSQAPFTAFFRNYQANACVPHKTAWICSQGSSDNSWFTQDLDEEGKQKLKDVDDKYKIYDYCTDSRRYPNGYPPECGSQ >OB08G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4960905:4963059:-1 gene:OB08G16930 transcript:OB08G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQVEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >OB08G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4969400:4977304:1 gene:OB08G16940 transcript:OB08G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMKDLDPAFRGAGQKEGLEIWRIENFKPVPIPASSYGKFFMGDSYIILKTTVLKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEHEHETRLYVCQGNRVVHVKEASYLDNYFLFNYQVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETAFKLLCFNQGKLEPVNYESLLHELLETNKCYLLDCGVELFVWMGRTTSLQDRKRASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRVNDKDKMLLSSSDQSKFYTGDCYIFQYMYPGDDKEECLIGTWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRIYEGKEPIQFFVVFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQSIQVDAVASSLNSSYSYILHDENTVFTWTGNLTTSLDQELVERQLDIIKPNLQSRSQKEGSETDQFWSLLGGKSEYSSQKVGRENESDPHLFSGILSKGNHEIKEIYHFTQDDLMTEDVFILDCHSDIFIWVGQQVDVKVRLQALDIGEKFLKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMNGNSYQRKLSIVKGGGSPALDKPKRRTPVYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSTTPDSSNAPSKSPATASLTGSFDHPKPVKDGSELEKPKQEEGAKEGINTMTSRVESLTINEDVKENEPEDDEGLAIYPYDRLKTTSTDPVTEIDVTRRETYLSSAEFKEKFGMTKEAFIKLPKWKQNRLKVALQLF >OB08G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:4994503:4998064:1 gene:OB08G16950 transcript:OB08G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRKKVVVRARRAWAAVSGRIRVQNQGSGGLLKLHEDVQTCDYKDVQVMFEMLTSELEASHARKQQQLLSPSPRTLPTPAWPGSSPPEKQ >OB08G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5000798:5001148:1 gene:OB08G16960 transcript:OB08G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIKPKPEETKNPKRTCLQDISNKSAKKKEAQGHRCKISGTGLNNLDGQKAFCNGEETVREEVKRYKGLVVRKKNASGHICKEIYSARDLDRIRKKIWTISRFVRVILAQGPC >OB08G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5005515:5009712:1 gene:OB08G16970 transcript:OB08G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMGRRTVGGLLLTKGGSILVYREDSPRHKATTCCTRLGCSSKLFRDKDKRMRRPTKEAATQESQLVIGSNSLSPQARMAYGSSTSRNAAGNCSRTGNRPRRETASKDLLARLKERVNASKKRSLSEGSSPSSPNKSSAGSLSSSSRSISRPLHRSAAKARKDGGRNGSIARTRSGSNGNSAGDGHTKVDWQGPAGGFISGNLFRHRSRHQGEPVPYSEDSLNDSEYWRYGLDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLSDDELSKCLKRILYVPSASTSYEDGDIKCIICQEEYLPAEEVAEMACEHYYHITCIQQWLRQKNWCPICKSVGCSMKP >OB08G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5009648:5010216:1 gene:OB08G16980 transcript:OB08G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIQQFKSKLFFIDMTTSGGNGFLQVWNVPCGTAHNSGNEKAAHNSGNEKATLGPVLAMRGRDKAVRLKAGNTAIHSRQQPSRR >OB08G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5013965:5018569:-1 gene:OB08G16990 transcript:OB08G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTITVGKVYLYGGQVTSWKNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKAFVDLILKPSDEDLKIWPHSFEFRLRVALGPNGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >OB08G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5037755:5038264:-1 gene:OB08G17000 transcript:OB08G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSHDDCLVRGTFQMTYALRHLFVTILVFCEVNNIRASMVKHKDSMLEDYTKENSNPTSVVQMALRDLRDLLCFMGKDITSYYLPDLNDIGKYLNDIMTEVREDLNVKINKEHLDIYACFNEEPRTGFDEIIDHV >OB08G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5042675:5046939:-1 gene:OB08G17010 transcript:OB08G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMATATANGLEQIRDEAINLENIPLADVFELLKCTEKGLTTDEGNQRAAVFGPNKLEEKKESRILKFLGFMWNPLSWVMEVAAIMAIALANGGNRPPDWQDFVGIVVLLLLNSSISYFEESNAGSAAEALMANLAPKTKVLRDGRWAEEDAAILVPGDIISIKLGDIVPADARLLQGDPLKVDQSALTGESLVVATCLTVYLDLGFAHMRGIGWRWAGVIWLYSVVTFIPLDWFKFAVRYALSGRAWDTLIERKVAFTSKKDYGRGEREAQWATAQRTLHGLKTPEVEDLVNERRSYRELSELAEQAKRRADVARLREHGTLKGQVESTVRLKGLDMHNVQHHV >OB08G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5067967:5068618:-1 gene:OB08G17020 transcript:OB08G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHLHLHDGAVQASHGGHRGGGGEGGEPARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRKSRSKNKLRGQAARXXXXXXXXXXXXXXXXXGGGGGYYHHHDVLGHHHSPPFLMHGGCVVTSATAAPVPPAGGPPXXXXXXXXXXXXXXXXXXXXXXRGAGAGDDHHYSSYADNDSTQLSYHQPTTGQ >OB08G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5092549:5096640:-1 gene:OB08G17030 transcript:OB08G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridylyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G16880) TAIR;Acc:AT1G16880] MAEMAVTVALRPCSGVSPAASNHRRPAAAFSGWRALAPAATARLRLSSPAVKVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDSTIVEITLGDRLGDLVDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLSTGRKITEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTEQVDVDIATHIDIYDDGPDRSLLVVEAADRPGLLVDLVKIIDDINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEEASF >OB08G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5120560:5122573:-1 gene:OB08G17040 transcript:OB08G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAPAPDVEAGHIYIPVAREGEGEGEEEEQQQEEEALPLRRKAGDELAKSGDERTVRPPEFIREKNPRSALPPPLAEDVARARAGGEHITDKFKGVNRLNLTVSTLTDALECVWFQGQDGLEQS >OB08G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5122386:5125562:1 gene:OB08G17050 transcript:OB08G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit F [Source:Projected from Arabidopsis thaliana (AT2G36990) TAIR;Acc:AT2G36990] MNSGGRTVLSSPLFASSSPAFLRSGSASSSCCCSSSSPSPSPSRATVIPMVHDNAGGRAAPXXXXXXXXLVAAEEQLHGRSKDAVSPLMGEKALLELLLDMALEQQGTQGKKLSSTEEREESELDSYLRDDKSHIFYHPDFSEGDGSTSVSSSPLSANSGDSSDLGTASATLTKEVALLAEESRTSGTQLNASHIYRTSLDSSKSSEELQSKGQVFIRSTRSLERRSKRRIAPRAAITDVSCSVDNSKKKEKSKKYGRVLDPDEPFRLFLRDRETTEFLTAKEERHLFSKIQILMKLEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQEITEDPNVEPPDLSVDRMMMRQQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALQKLKTSASTQGFDVYFDLLT >OB08G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5124999:5132743:-1 gene:OB08G17060 transcript:OB08G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSRFDLRASSHPHQHAGGGGGGMSDSAGGAAAAAAFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVSQPAVAGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVHSAAAEV >OB08G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5137507:5137686:-1 gene:OB08G17070 transcript:OB08G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRHPVPRCRPHHFGGWDDLLYDEEQQESTGKRSTPLLTRLLVALFSFLGSWLRIL >OB08G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5137524:5137781:1 gene:OB08G17080 transcript:OB08G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGRRTMPQEGASTAASTSCLCSPAAPRRRGGHPILQNGAGGSVGRGGAGGPTSQAALKADAARGRGWHGAVGTGGMSRLLLGN >OB08G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5140798:5141142:1 gene:OB08G17090 transcript:OB08G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRHRPCVAAIMHSTAATIPFIAGSCNDTTTIHSVIAKIHSLTANIHSTSRGRISKQTWRRGAGGREGQAAGSRKSLAGKLPSPIIRSGRRACKVPSLVMQTLSSAEKYYMIF >OB08G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5145797:5150816:1 gene:OB08G17100 transcript:OB08G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPPQPPRAPGVAGARLFASLPPPPPLQSRREVHVWYVCPDELNDHSQLDMYMELLSPAERKNALSMNGPRLQKDAMLSRALLRTTLSRYTDSKIDPRSFEFKKNKFGKPEILWRPDDSNMDWPLHFNISHTSSLIACGIAMDAPIGIDVEEKKRKTTKSILSLARRYFTPSEVDFLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLKTDSRIQITKAPKACNDSDSGDYLSENWRFTLAELNSYYYMAVCIEDNSRVSENGSVPLGLKVWKTVPFIKDTLVSGTDAVKLII >OB08G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5151480:5151671:1 gene:OB08G17110 transcript:OB08G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYIARRFDYTWIYLCVYIIISIKSNKLDWSHKPNMAHHRTRVPSAMTNLITPITLATPCTVSRN >OB08G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5177184:5180757:1 gene:OB08G17120 transcript:OB08G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEYEERLRKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLDDFKYAVFGLGNRQYEHFNKIAKVVDELLVDQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVATGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVVVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPAFPSPITVRNALARYADLLNSPKKSALVALATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPSMAPTRIHVTCALVHETTPAGRVHKGVCSTWIKNAIPSEETKNCSWAPIFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQAGAELGRSVFFFGCRNSKMDFIYENELNTFLEEGALSELVLAFSREGPTKEYVQHKMAQKASEIWDVISQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >OB08G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5183971:5186633:-1 gene:OB08G17130 transcript:OB08G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADEGSAAAPTPTPRAAVSHVIFDMDGLLLDTEGFYTEVQERILARYGKVFDWSLKAKMMGKKAAESARIFVDECGLAGLLTPEQFLEEREGMLQELFPSCAVLPGALRLIHHLHANGVPMAVATGSHKRHFALKTQNHKEMFTLMHHVVMGDDPDVKTGKPSPDIFLAAMRRFEGKVEPSNCLVFEDAPSGVAAAKNAGMYAVMVPDPRLDVSYHKGADQVLSSLLDFKPDEWGLPPFKD >OB08G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5196244:5196963:1 gene:OB08G17140 transcript:OB08G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLPLPHAADLPTGASERRHACSANHVYGGGSEDDVDDFEFTPLLPQRRRRTVGPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRGRRGGAGEAHVVSQAGRHAGLSPPCRRGRRRLAGRRGGAQAGRLLVEVERHEREAVAINVVFLTSFFLLFSSLLLFPLCLI >OB08G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5200859:5209882:-1 gene:OB08G17150 transcript:OB08G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQLQQSASSAAAAASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTSSDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPDKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADPWPAVEHLAHDRHAARDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEAPPEEAAPAEKDPFAASELVNKPEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFSNEEASLAEAFQGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQATNAAGGTPLENLLVTKSTEMTAPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKVAGKETEFSFRLEGTSGMKKAALQSAVLSNLENGMFHVRTPPKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQFASNPMMPQPLSNVTFIIKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDDLATTGIEYNCVPLPKVELLMKNCQVQGHFGPHKNIDDKTDPLSLNGIFPVIISIPHLVEASTVVRHRKEAKVLQHHSREAALSPSIVVHGRGTGGRGSRGRNGARQKGKGVSVVPISVENRICAWGYSTAAVLVRICNCSMVKAEERETAIMAISNAVAENRVHMERKKRKSDPNYHSHDGTVANTRQRTRKGDLDPQADNLKELWDAFTVALESTQLNTSEGAANTKQVDNKRQVDNDCNHDICVYDDLGQVCHTCGLIVEAADKIFHSQWKKVPRKRTNVYEACSKKVDTDAISLSEDIAIHPRHAKNIQPHQLEGFKFLVNNLVTDKPRGCILVDAPGSGEIFMLISFIQGFMARYPTARPLIVLPEGILGIWKQEFQQWQVEDIPLYDFSSIKADKRVEQLEVLKSWNSKRSILFVGSKQFTQIVCDDRDENAVTECRDMLLMVTSLLILDEGHTPSTQETDILQALHKVQTPCKVVLSGALFHNHVKEVFNILNLVFPGFLKMSQPVVKHMMRQLKISGKKSITEVSELVEDTLLNDDDMTKNVNVIRSLRELTKDVIQYCKGEMLDELPGLLDFSVSLELSPKQKGVLHKLETGHEMVKTSSVEAALYIHPCLLEISEANAVDRDATVDILLNSINLRDGVKARFFLNILSLANSAGEKLLAFSQYTLPMKFLERLLVKEMGWHVGKEIFVINGDSSSEGRQLAMDQFNSSADAKVLFGSAEAFGEGTSLVGASRIVILDVHLNPSVTSQAIGSAFRPGQKKKVFVYRLVAADSPEEKAHETAFKKEVIPKLWLQWSERCTIEDFKLNQVDIDDCKDELLETDVIRDDIKALYRRY >OB08G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5221820:5225159:-1 gene:OB08G17160 transcript:OB08G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLEQWQKDGFFQAAEEVQESADLMESIYRTWIRERNNGANLEEVNDLQRELKTALGTAKWQLEQFERAVNMSNDKYSLEEGTVARRRQFVVAMEDQISQVEKAINDYSINSDRQGLNWVKLDDEERDDLVAFLSAPAQFSQETKKRESTYHSPSKQKSALIGVDDSRDMMAMSKGRHNTEVSSREIFNAQSEACAEQLNAHSTNLSSDDHWKINIADDKDNDRKLSPNKVEASSQATTFSGIMKTTESFTRIRWLRNSLWKAKSNEHLPLRYDMPNHLDWRVMTLLAQRFNGLTERSRSCFSGWKENSRVSGRMGGLHIQGQQHTTQFGRSIRITLLLVLSIFLIVPFLVYSA >OB08G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5230584:5235209:-1 gene:OB08G17170 transcript:OB08G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G18850) TAIR;Acc:AT2G18850] MQRILDSRNLSCLFQVPTSCSAADTFKILDTMIETARVAHMDELELYFSGDNDFGPLSSRNELESLNLLLKILNTLLLSANDGAAGVLQVIRDEVLIRLRSTELEDNGQMVVQMQDQHMEDSLLKWGKEHGVKTKLQIAFFEGAGRGMVASENMNVGDIGLEIPESAIISEELLCQSDMFLALKGLDSITTETMLLLWSIRERNNPSSNFKIYFEALPKNFNTGLSFGIDALAALEGTLLFDELMQARQHLRQQYDELFPILCINYPDIFKQDVYTWDNFLWACELWYSNSMMVVLSSGKLATCLIPIAGLLNHSVSPHILNYGRVDKATNSLKFPLSRPCKAGEQCFLSYGKHPGSHLITFYGFLPRGDNPYDVIPLDLDTSMDEEDCSSMSVTTSQTSHMVRGTWLSRFGGPPTYGLPQRLVSHLRAVLNCGQNESTPKADIKENDRMVLETLLSIFTPMLEGLGEPHDFDRGNACWDVKLALDYKDLQRRIVLSIVTSCTSGLAMLDS >OB08G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5238917:5239531:-1 gene:OB08G17180 transcript:OB08G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEQEHGTLLRRVLQRAARATRAVVRISSASPSSPAPASSSPGCSSHRLSRTPSLLDCMDDGDGDSGESSFFYSAASSPAAAEVVRSPRRAVQPSRVAGAGDDIDRRAAEFIERFRRNESLLDLRYCAALSPLSPVGPPMSPDTYFKLSRLQQHGGAAAAAGGGGGGSRGAWGRKSSLRPRPGSGMSIKWPTATAGRPTVRV >OB08G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5249399:5255064:1 gene:OB08G17190 transcript:OB08G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEQKPAAAAEVIFRSKLPDIAITNTLPLHRYCFERLPEVAGRPCLIDGATGDVLTYADVDRLSRRLAAAFRRAPLGLGRGAVVMNLLRNSPEFVLSFFAASRVGAAVTTANPMSTPHEIESQLSAAGATVVITESMAADKLPPHGVGGGAPPLTVVLIDERRDGCLHFWDDLMSGDEAAANDAGGDDDEGFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNIGLHAGDVILCALPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLAAMMDLVERHRVTIAPLVPPIVVSVAKSEAAASRDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPLPVKSGACGTVVRNAELKIIDPDSGESLGRNRPGEICVRGQQIMKGYLNNPEATKNTIDADGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPNIADAAVVGKQIEPEIGEIPVAFIAKTEGSELSEEDVKQFVAKEVIYYKKIREVFFVDKIPKAPSGKILRKELRKHLQNLQQPA >OB08G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5257971:5258267:-1 gene:OB08G17200 transcript:OB08G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWKTRENKLTSGGQRTRPQAGCGGGLWCESWIVSAKEEDSGLKKVEINVNVSFVKTLLGLSSRFGSFLLVAMGCLKTSFLDVELSQPLRSLTNGLLVQ >OB08G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5258830:5259147:1 gene:OB08G17210 transcript:OB08G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYSARDCYRRTQFNFWALVVFTSYRAIRVMQYMCIAASFTHLQGCKSATYHWIIASNNVSQLKTAWSLQKQ >OB08G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5259328:5265911:-1 gene:OB08G17220 transcript:OB08G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSKDLLWWPFTQHDLVPVDSVTVIDSRCGENFSAYKAKEKKIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDWASRVYFSDNGSTAIEIALKMAFRKYACDHSILVDSKKDITSERSIQFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYIKNKTTHLSVPPSICHDQQNSCDTCFSSLTEVFCKTRDTSVAANLYVSYISQQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECKNRKIPVIFDEVFTGFWRLGVESASELLGCSPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCAAAVKAIQWYKDPSTNSNLDLDHMRLKELWDGALVNYLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTRDSCTRQLTKVHRRLEKLN >OB08G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5273810:5277882:1 gene:OB08G17230 transcript:OB08G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRTWQLWMPSLLSHALLFLTATSQSMDGDDLSALLSFKSLIRNDPGQVLSSWDALSNGTNTTALEFCRWAGVSCDDSRHPGRITAIHLRNTGLVGTISPRIGNLTLLRVLDLSANSLDGDIPASLSGCPKLHAMNLSMNHLSAAIPDDLGQLSQLAIFDVGHNNLTGGIPKSLSNLTSLVKFIVERNFIHGQDLSWLGNLTLLTHFVLEGNRFTGNIPETFGKMRNIIYFSVQGNEFEGRVPLPIFNISSIRYLDLGFNRLSGSLPLDIGVKLPRIKRFNTLANHFEGIIPPTFSNASALEYLLLRGNKYHGLIPREIGIHGNLKVLMLGDNALQATQSSDWEFFTSLTNCSSLLALDVGHNDLVGAMPAIIGNLSKELSSIDLSYNQIIGTIPKDLWKFKLTSLSLSYNLFTGTLPPDIGQLPRINKIYISHNRISGQIPQSLGNISQLNLLDVSNNFLDGSIPVSIGNLTKLDTLDLSSNTLMDQIPQGILKIPSLTRLLNLSNNALSGSIPTQIGLLNNLIRMDLSMNELSGEIPESIGSCVQLSSLNFQGNVLRGQIPQSLNNLRSLDTLDLSSNNMEGPIPEFLANFTLLTNLNLSFNKLSGPVPDIGIFRNVTIVSLSGNRMLCGGPPYLQFPSCSSKDSDQVSVHRLHVLIFCIVGTLIFTLCCITVYWFTTRRMKLSVTDNVNLFLNEMNERISYAELQAATQSFSPANLIGSGSFGNVYIGNLVIDQNIVPVAIKVLNLDQQGASRSFLMECDALRRIRHRKLVKVITVCSGLDQHGDEFKALVLEFICNGSLDEWLHANTTTLTTSNGRLSYMKRLHIALDVAEALEYLHHHIAPPIVHCDIKPSNILLDDDMVAHVTDFGLAKITNAEPCTESSSFVIKGTIGYVAPEYGTGSPVSMEGDIHSYGVLLLEMFTGRKPTETFNNSMTNLIDYVKMAYPNNLLEILDASSTYDGNTQDIVELVIYPIFRIALSCCKESPRERMKMDAVVKELNAIKKASSAHGYA >OB08G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5277933:5280070:1 gene:OB08G17240 transcript:OB08G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGCGFVPAALICDREEGPAAPARVEAAGTQAERIDGGRMPAKRWTSGKQAAAAESRLPRASTATENRLTQPNRGRYAAATATTRPTAPTKANPKQPADADELGRNVSRNFIAAGATDGTVSNIVYALTICRGDTNASACMCNCTGTIFQDETTMNRF >OB08G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5285174:5286573:1 gene:OB08G17250 transcript:OB08G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHATSVNLADRLQLKCSHDLLSHRMVLNNFWLCHWICGSNGNHTANITYQANLKQLADELRWASPPPQSVHVQPKFKKVIKHPYCVKNILADAPPRGAYACAATSIRPAVADMWQQRQPTTRPTAPTKANLKQPVDADELDRNVSSNLFAAGAVSTNLDKE >OB08G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5302269:5303610:1 gene:OB08G17260 transcript:OB08G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEQHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRGHHHHRSQSATATAEDDLPAGDAMNDSGGEQRSLSASAMERIQLCMQLQELQNPLAMHQHHNPLLWPNKDHGNNSFNSNSSSSMNVTVAEHGLSSSLGDHHQQLNGQLESAAAMAASPSSGENSNVVTIEAELQELLYGEGSQGRGGGGGAVIVDCDVGAPQGDVDWWSYDQGKQSPVNCWDFTPETSSMFQDYTSVYDI >OB08G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5304470:5311118:-1 gene:OB08G17270 transcript:OB08G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYRIMIDINE B [Source:Projected from Arabidopsis thaliana (AT3G20330) TAIR;Acc:AT3G20330] MAAARATLPLPRLPAPSPSPRPFPFPPVSPPPPPPGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATANIPVINAGDGPGQHPSQALLDVYTIEREIGSLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >OB08G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5317663:5322646:1 gene:OB08G17280 transcript:OB08G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) TAIR;Acc:AT2G24765] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSKSG >OB08G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5340381:5341850:-1 gene:OB08G17290 transcript:OB08G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGEVFFGIGFLEGWHDAVGRGAGGVVHVEPYAVVGEGEPELGLLRGGAGPLCFIGILQEFRENSSIPPVFL >OB08G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5340973:5341811:1 gene:OB08G17300 transcript:OB08G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSSTSGGAGEERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRASTTTASSSSSEDDSTAAAAALTGAATEEAKFWLSFSDDGVGFYMDNAAGAAAYGVVPTF >OB08G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5343500:5348243:-1 gene:OB08G17310 transcript:OB08G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57670) TAIR;Acc:AT5G57670] MDTIEECSAADDGRRQLLLGSRILVGVPNNSRGCSELLSWAIRVVARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVICSSSIWRALTQEATLTDANFLIVGRSGNAYRRNHYEVANYCYMHASKNCSVIAVGKDGLPQSSARFKSRSFDDSSMFSSSTWSRRFPPLQKLLRSNSARKPAQSVGEATENKSSPRAVLDGPEGGGEHHATEECYSTSSNEVSRRGIWRRLSDMKLWLPFLRSIDDESAKGGDNCSTYTEDQKPAWRCFSYQEISVATSDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSGKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGNDYDKDQLKRMVAVASRCIMRPAMWRPSMSEVLHFLSTDECLKEPENWNIPEDEVDDMDDSPMFSESFSP >OB08G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5356504:5357060:-1 gene:OB08G17320 transcript:OB08G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASHHSPSIAISSSPSLQSLSLSQSQAKNWQGHVRMRPMATAAPPPAVSAAVSPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTDHSSSSPAAGNGDDGSKSPATAAPASPALFRDLVAVVMAGENMPTFLAAPIVRQPPSTDHTSAAADGAAGSPENRCNLRGGGEASRAQLS >OB08G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5369879:5370632:-1 gene:OB08G17330 transcript:OB08G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLATFVVVVFFLGLAATTLAGDPDMLQDICVADYKSLQGPLRLNGFPCKRPENVTADDFFFGGLTNPAGNAAVGSVVTPASVENLPGLNTMGVSMARIDYAPWGVNPPHTHPRAAEVIFVLEGSLDVGFITTGGGNNTLFARTVRRGEVFVFPRGLVHFQKNNGGSPAAVVSAFSSQLPGTQSVAETLFGAAPPVPSDTLARAFQIDAGTVELIKSKFPPKH >OB08G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5380537:5385519:1 gene:OB08G17340 transcript:OB08G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G21215) TAIR;Acc:AT3G21215] MCLGHGPIELVMFDRPERRVRVRAALDGRSRHPPVPPAVGAGAGVRTIFITGLPADVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSSAHHAVAAKAALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPPYHPPPPAVSMWGTPGYIAAPPPYNHYAAYPVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAASAVHHNLQGAVIPSSGRGGMRIQFSKNPYGRRKDSIGGIGGPLNGAPSN >OB08G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5387998:5396685:-1 gene:OB08G17350 transcript:OB08G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:J3MRK6] MVGVGRYVEHLSRCGGDVRAIARIQATLVTSGWLRRSAELHGALIRALAGSARPHLALPLYAGLLRAGLLPTQHTLPSLLKALALSPATPGASGLALAVHAHAVKLGLVGFLLVSNALIRVHAGLIGRLSDALKLLRTADCVDASTFNTLITAYARAGRVADARALFDEMPARNTVSWSAMVNAYVQAGDGREALGLFTRMQAEGVSPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNKRISVFLGTALVDMYAKCGELQLGMEVFKGMKEKNVLAWTTMIKGLAMHGRGSEALMLFAQMESLGVKPDDIAFIGALCACTHTGLVDKGRELFNSMVRKYGIRPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKSVELAEYVVKHWIELEPDKSGAYVLLSNIYAASGRHASAREIRHLMREKGVEKTPGCSTVEIRGVIHQFIVGDLSHPLIEEILAKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKEDALSRHSEKMAIAFAFINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTIVKPSDFVEYALACLEQLADAGDHSAKFIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPVPPVAVIPLGTGNDLSRSYGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHVVVSMPARDEEEEEELNLPHSLRNLGECTFYDDGTAEGELPETVSCFNGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLSIKKMDSSEWENIPVPSSVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLNPEYSTFVDIKKVPYPSLIINGGDR >OB08G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5399907:5404342:-1 gene:OB08G17360 transcript:OB08G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDHTKSMTTSFCCGMNFPYQTTDTRMLSLSTALPWYKSRCRLGPASAGATVARSENSLPCSEWPAQPSVASSFRPRCLREPYKSEAASREKVHFAISKWADGKQEKPTVRTDDE >OB08G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5404156:5404436:1 gene:OB08G17370 transcript:OB08G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSLSERRAVLSDNILVSVVWYGKFMPQQKDVVMDFVWSLTPMSWQVVTPSTVQWWSTLSAFYLSNATMGTRH >OB08G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5407775:5416414:1 gene:OB08G17380 transcript:OB08G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIGQILEKQVLSAAKAVEDKLDEEIAALDRLDPDDIEALRERRIMQMRRASERRAKWRALGHGEYGDIPEKEFFSAAKASERFVCHFYRENWPCKVMDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVILPTLALVKNAKVDDYVVGFDELGGKDDFSTEDLEERLAKAQVIFLDGEGPANASKHATKRSVRQSDTGNSSDSE >OB08G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5430878:5434927:-1 gene:OB08G17390 transcript:OB08G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRCSPRRRGCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGALKWLVAGPAAAASEGAKAAVAEMGRLLRRFGRGGVWAVCTAACTTYLRCKVYHPGMEAEWDLHAVPIARGAPIATGPPLRPGGSGILNSSVGMLSPALRPMPGSSPTALRWPPGSNQTQAAKPGMCLLCKGGYERELAKLEAEQADKPASRPEAAKPGLPHWLQLSNDQNKAKEQELKFKRSTEELEKKWREMCAQIHSVCPMAPALSVPLATFTPRPPVEPKLAVARPTAIPTLKMKTNLEKPSVAPTLELRKSPPASPVKTDLVLGRLDPGTNPAAENEQKESCEGLTALQKAKIAGISDIESFKRLLKGLTDKVCWQSDAASAIAAVVIQCRSGSGKRRNFGTRGDMWLMFVGPDQAGKWKMVNTLSELMANTRPVVVNFGGDSRSGRVGNDGPHMGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDVVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWVPEELKGSNVETLLRGEEKMLESTSSSWQLELSIVDKQVKHRADWLCDDVRPAKLAKELSSTHGLSLDLNLAVGPLDDTEGSHNSSDVTVEQEQEKGQLAVRRSTPAPGSDLLELVDDAIVFRPVDFAPFRKAVTDCVSAKFESVMGSSSSFRIDEDAIDRMVGSVWLTDEKIEDWAEKVLKPSIERLWHNVKHDTGRSMIRLTSVPDKALPRWGGGREGLPVAVTIAIDGM >OB08G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5500807:5505423:1 gene:OB08G17400 transcript:OB08G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C4 [Source:Projected from Arabidopsis thaliana (AT3G28180) TAIR;Acc:AT3G28180] MAPPPSTYAESWWGKEERGTPVVVKMDSPYSLVEIDGPGMASSEKARGKNAKQFTWSVDRILLCLGCFWIKLRGIKPTIVSSSDDVETADGAGYFPMVLIQMPMCNEREVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIRAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMACDYVRDYEFVAIFDADFQPNPDFLKLTVPHFRGNPELGLVQARWSFVNKEENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKISRWKKANLLMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPMIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDILALAEAEAGPPPPAKLVRGVSEGGLREWGRLKEHEEWAAKLQQEKKEHDAAAAMTPPPAPATPVKKSKAAKAPNRIFKKELALSFLLLTAAARSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >OB08G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5514406:5519019:1 gene:OB08G17410 transcript:OB08G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGVRVKAGTRPPWVGLAAAAWVQMAAGNAYAFPLYSPALKSALGYTQQQLAMLGVAKDAGENFGVVAGVLCNSCPPWVLLLVGAAFCFVGYGALWLAVGGAVVAMPYWLLWIVVAIATNSSAWFLTAVLVTNMRNFPLRRGVVAGLLKGYIAVSAALFTQIFSGVLHRSPTGLLLLLAAGLPAVCLATMYFVRPCTPAPALIDDAVQVDGHFAFTQAVSVVLAAYLVATTVVGNAVRLPDATGYALLAVTALLLLAPLAIPVRMTLFRTGPRRRRQQQQEEEPLLTPPPGDDAAAGDEDYPDEVGVPLAEGEGAVRAKRRRPRRGEDFEFGEALVKADFWLLFVGYFVGVGTGVTVLNNLAQIGVAAGIGDTTVLLSLFSLGNFLGRLGGGAVSEKFVRSTLLVPRPIWMALTQTVLAVAYLSLAYALGAPAVAHACTAAVGLCYGVQFSVMIPTASELFGLRNFGLFYNLISLANPLGGALFSGELVGRLYDAEAERQSGGGSECLGPGCFRVAFVALAGACALGTAVSLVLAARIRPVYRALYSGGSFRLPNASQQH >OB08G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5520526:5521145:1 gene:OB08G17420 transcript:OB08G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAGEARPSAEVHRGTALLLLRSSAFSAPPSSLGPERARVDDTGAVGDPVHDLRVRLPGGEHVASCSKTESSMLLPPYALLMPFERRAREAVKWAGVKRLGVPRPMPCGDNCVISINWHVATDYPSGWSARVMLFNLEEADMAEWFMAVEWRWRRRGSSSSRRSRSSRRGGERAA >OB08G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5522311:5528917:1 gene:OB08G17430 transcript:OB08G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MATPQQCWLPTAARAPPPPFAPLRLGPVSGAPASLRFRGRRAASASAGRRRRASPRCTLETAGPVFDPLGLYNGGPSRPDTQSSPLSNFFGILSPVFGSSSGARKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKILEYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFIFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSRISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >OB08G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5526998:5532793:-1 gene:OB08G17440 transcript:OB08G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHRPMNIYKQPAQLRKSSPISLFQATRRFWLYERDKKASLAAVGEELALETRFGKNRRKMVRLNPVENKAGDKQHNLATGSVKAMSREIMLKLKDCSRIGISQKERMLDPGGNVVLTWSRVFLVSCVASHFIDPLFFFLPIVKGRDSQLCMTMDYHLAIILTCLRSFLDMFFIVHIAIRFSTAYVDPGSKVLGKGELVTDPKRIAKRYIRRDFFIDLVAALPVPQFLVWAVMPYMSFKHINAPFFLIILVQSAIRLYTVILLSINILEMVGFIAKNGWEGAIYNLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDSMAHHVPCDLKFLDCKYAISSDSQSWANSTNVFTGCNANSNNVNINYGIFIRAIQNGVTTTSFSEKYFYSLWWGLQQLTTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQIQMRSLSKNTEDWRIWQAEMEDWMTDHQIPDELRYRISQFLKYKWIATQGVEENSVLRQLPADLHRDIKRYLCLDLIERVPFFSAMDQQLLDAICERMTYFLSTEGTYIIREGDPVKVMLFIIRGELESSTTDGGRTDFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTIAELEAFSLQADDIKCVANTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRHQNRQKMAEVGLSNRWESFFSLVNDFNEMRCDDANGSSSTVSKEATVTVSKIASIFKKAQKERPEEPDFSADHHLE >OB08G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5537869:5539094:1 gene:OB08G17450 transcript:OB08G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGPSTARPKSHFPFFPSPRATPTDLSGAGVFGHLSDAFLERKGSLQVVCVLNGVFSVLTAFAPNCWAYAELRLLTGFSAGRAGLYSFVLATEPVSPARRGDVHLLLLIRHRDAVPVVVEDGRSTWFRLVLSVVINLLPSVVYYGLSLNVINLMTNLYIISVLMHNAMDVPPENTEEEG >OB08G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5547415:5552237:1 gene:OB08G17460 transcript:OB08G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 7 [Source:Projected from Arabidopsis thaliana (AT3G21200) TAIR;Acc:AT3G21200] MTKTVSTRNNNAIYVFTIFALQKPLWLLDLDRTFTPFRQSGARTPQCTLLGALTKPSDELVLKKLSTRWQKKFGEEIDQDLLYLISVERILHIEDFSEDGMWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATDVKMIWVDRLGFDLHVQSGEGTFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYADPEFEKVDILKKVR >OB08G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5556771:5556941:-1 gene:OB08G17470 transcript:OB08G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDYCYSAVSKLIVCVGPTCKLDCWLEAKYNKGSVKAHRCKKHGVFTDCYCEICVKF >OB08G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5573021:5573481:-1 gene:OB08G17480 transcript:OB08G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKLVVCLFLMLLLTLGSSWNPNPIDTCTESVSTLPLCVGFTCKYHCWLFAKFSKGKVLSRKCLGKGYKTKCYCMVCRK >OB08G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5582346:5583008:1 gene:OB08G17490 transcript:OB08G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADLPDEVPHPARPGLMLRLVHHHAGDAPVNFRCDGCREPGEGTRCASANLVLHTHCALATPTLQHPLVRGTLQLRRHVASDGDLFCQACYGDVLGLHKTSKGEYPDLHPCCAKLPVSITVRGGLTFELREEVSRRCSSCRAMEGYWSPWCYRSTNEPRVYLHVKCIKEIMPVLFGGGGGGGVGEVLLVLMRVVAGLLLGDPTAPLLAVNLIFPSNW >OB08G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5587748:5588712:-1 gene:OB08G17500 transcript:OB08G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPPAGFFSFLKHGVLVPARGRGIFLPLLALTAALAGALLLANSLAVQPRAVDVLLDADALSRADPASAAYPKLVRRFRHDLRSLLVFSVAAVTAYYFECRESKQAEEDMAGGHHYTKLPNGDEANI >OB08G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5593464:5594047:-1 gene:OB08G17510 transcript:OB08G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSESWNKFVCNTIPQLDRFVKGGTCQPLPIRTEVDTDYELQKAKPMTKSFLSTAIHKEFGLVKQRHDTKRVRTQRIPNVFSVFNGSELNAYTSRNARTFPGAVKTTYLLMTSHASFGLFTHCWFPEKHCKIIETRN >OB08G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5593440:5595428:1 gene:OB08G17520 transcript:OB08G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGWQVPPLTNLSSCGIVLQTNLFQLSEGMLQMSTRSVPWRKIIGICHEHHSSCLWPLLPFMLSFSSNIVLFLLHHLLLIFEHTVLVSFICCYFE >OB08G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5603734:5605696:1 gene:OB08G17530 transcript:OB08G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSWWEFLKKKIRGSAGDVAGAAAGSAAASGGDGSALPRADQAVVDIPDEAEQPTRTTTTTTSRASSSNAGRPSVPVVAVNENDWRSWTFRFRNVQLHRLSNAGASVQDWLSQLITRTQKTVPLEALLCMTMYTYDPSFAGDRCFTVAILSCSSALVIDAMSVVMFNDCTNSAAVCWHRRLHCCLVGGSTVSMAMVPYLVLVSFGRLRAYVIVVAPLPAAAALIHHKWCGPPAFSLDTDGDEEDDGLDHVLEISWAVNNIATGGGLIGTIFGHSTTTSSPGSYTSPIGWLLFLTIFLALYLVLVATVEPPLLTRHAGELGILLLALLMACGLVAAVEAVGLIITCAVAAAEVVETALIHAAVGREKQEADGQKLTSGVLATVTFGMLMKLHSQSKVAASAVASGHRQAVRMVIYLSAFMWSANRMFFTGAASLSGAGAGDSRAGGGRAWAWLRLAVQWLRNATVVLAMLDFVCTFGAAAFFMPSGLKQ >OB08G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5614224:5618336:-1 gene:OB08G17540 transcript:OB08G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEATALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPQFWNVMHAKSTDITNKEAFDAVALQMLINSKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSPVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKNSVEGILTKRPEVPVRILPSSYLSLPLMSIVRIARECGWRKTSVSSTCLDQCAICLDTECAVSAEGCGHEFCTKCALYLCATTSSSTSIRGVPGSIPCPLCRHAIVSFVRLASTTPIKELPWTNKSLALCAAGASTGSKYSGPAAISRSKYAGSFDRRSEMRSLRSSSVDLGCSSFRTVSSGKLPSIKLNCTGADETMPCLVSCFRPDVQRSSSYRERIRRYSQFS >OB08G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5619170:5619436:-1 gene:OB08G17550 transcript:OB08G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCNFVPGEMRLRSGLVKLFISSEMVSFFRLKERMSLYCSVDARPALRPSLFVLVRVLDFFPFTITSQNLELKARAGSCAVPPWTKK >OB08G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5628853:5629496:1 gene:OB08G17560 transcript:OB08G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPLCLARVALLPCNGAGAGASSPRGCSGWTRTRRPAGRDVRRSRHDDGRPGSTAQGDRHGAGCSRRPATPTSTLASRSAWRAEAWCTAAGRASSATDLEEMVRRMILEGLGVAKHHETQSESMWHLFRMSEYKAPNSDEKVTGYITHQNTNWLSIVCQNEVNRNEMQTRDGEWGLVKPSPTSLIVNVGNTLSNTNTVNA >OB08G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5632458:5632661:-1 gene:OB08G17570 transcript:OB08G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITASCGFHATGVKRMRGHGHDEPYYLHAKHMYNLHRIKHQKPKVYLCVLGALSIGIAIPVYAVVF >OB08G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5634870:5635211:1 gene:OB08G17580 transcript:OB08G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSARAAIVGMEEAGVGGGSCRLKPAQASPVGGRRPGARHIRGARWRAAGRLGDGGGGGVAQREGGDESGRRRGRAARGLSGRAARCWRHSGHERRRWPLPDEREKEQARG >OB08G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5640866:5643336:1 gene:OB08G17590 transcript:OB08G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVGSCVRKLHNIVMEEAILILGIKEELRTLGRTMAQLQCFLNSAEQRRFEESKVNDWLGELKDAMYEAEDIMDLASFEGSKLLAQNPSLPSSSSINFTRCAGFNNVLSCIPNIRRRHEIAIRIKNFNSELEKILKMGKRYLKLQNMQPTVIVSAVKPMKTCHLMEPNLVGKETSHACTRLVQQVLAHKDKKLYKLAIVTGGIGKTTVAQIVYNNHKVEIFSKRAWICVTQDYSEVDLLKEILRNFVVYQEQGGTVTELKSKLAETVKGSSLFLVLDDVWKPEVWTHLLRTPLLSVATAIIVITTRQETIACAIGVEYMHRVEPMSSSVGWELLWKSMNIEEKEVEYLKNIGIEIVHKCGRLPLAIKVIASALCSKDKSKNEWTKFINRSAWSMRKLPIDLSGALYLSYEFEELPHHLKRCFLYCALHPEDWFILCDDLIGYWIAEGFVEEQEGQLLEDTAEEYYYELIYRNLLQPERTYFNNIMCTIHDLLKQLALHLSGDEIFYGEPQALEAKTLSKLRRASIYTKNDSTVFSHVDKEHTRARTLNIQCNKSSVVENTILNRFPCLRVLNLTGSPIEKIPHCIGDLIHLRLLDLDNTNIYCLPESIGSLKNLQILNLDRCVALHRLPMAITQLCNLRRLGLSHTPINEVTKWISGLKFLNDLGGFSIGGGSGNTKRQDGWNMDELGPLSQLRRLDMIKLERATLFSGTDLLLTSKRHLKVLYLCCNGLTNEVHSDGEVINNEMIFEQLTPPRNLEDLSIYHFVFAKTPRTLRCKSCMHLPPIGRLPYLKYLKILGTNIAKIGS >OB08G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5651098:5651256:1 gene:OB08G17600 transcript:OB08G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNWMCYKFAFSRLCWPSASSLAIHRQERRPSLSTEMDLQGVAELSYCSQ >OB08G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5654418:5657662:1 gene:OB08G17610 transcript:OB08G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFQRVSCINGTVPLLPSLASAEELCSTCKFMEDGEERIVVGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDMKKDHV >OB08G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5658887:5659361:1 gene:OB08G17620 transcript:OB08G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRFVLVYINDMLVLSKTYQEHIAHLEIFFRKVEQNRLILSKETLEICKDKINFLGHRKIHLQEHIAKKILEFTDVMKDKKQQQFLEIVNYARNHIDNLAKLAGNLYLKLRKMPKIFNSITIRLVRIIKKRVKGLKPLESPLDNNYFINETDASQ >OB08G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5683836:5686525:1 gene:OB08G17630 transcript:OB08G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTMPHAYISPLLLLYNLLKPIISYNLHKPINFSFSSSSSSSSSKNQEHQGGGGWCHWLVGWGKEGSRSNACSKKVQEGLHGAAPAEPAGGRAHVQVHGPPRAPRRREAVLRRRHGVGARPRGAVGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGGGRGQEGGEEQGDREEVAEGVQLQEEEEEPAGNGERRRRIVGEEDGEVQAAAAPAARARRRGAARLLAAERDARLRRVPQGAGGAHAEPVQRIPATAAEAATSCSCSWDEMLIILAQLLPDCKTCMRCVSGVNEKL >OB08G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5690957:5691352:-1 gene:OB08G17640 transcript:OB08G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAPALVLGGAIIAVSLAGLAGACFRASALLWAYLLLTGLLILAAVCFGVFALVVTNAGAGRAVSGTGFKEYRLGDYSTWLRRRVEDGGNWARIRSCLVDAKVCRSLKSNQTLDEFVNSNLSPLQVRQASL >OB08G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5696071:5698056:1 gene:OB08G17650 transcript:OB08G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHSEKMAETAILLAIKKISIAVAGEILSLSKPMLANNAELVIALPTNMELVKDELEIINAFLKSVNTRGYKDEILETWMSQVRRLAYDIEDIVDQFIYVVGEHQGKGFWSNFKKVVKKPKDTLTEWLYSEHCSSRIIAVCGMGGLGKSCLVYNVFKRERTHFDCSSWISVSQSYKMDDILRNMLNQLRGKRSEATLDVSKMGIEGSRLIITTRIDEVAAIAEDACKIKLEPLSKHDSWILFCKKVFWRTENHAFPPELQKWGENIVNKCEGLPLAIVALGSLLSLRDKTEAVWKCFHDQIMWELQNNPEINHVEWILNLSYRNLPNYLKNCFLYCAMFPEDHLLRRKKLIRLWVAEGFVEHRGSSSLEEVAESLLRELVHRSMLQW >OB08G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5711993:5715233:-1 gene:OB08G17660 transcript:OB08G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G68220) TAIR;Acc:AT1G68220] MAGFATSRPASLAFAALLSFLSLFAFLLAVGAERRRSTGKVVPDEYDLRSYCLYDTDASTVYGVTAFFVLLLAQLLVTAVTRCLCLGPALSSRGCAVASFILSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAAMMLINLVASLMYYWSYSKAATGGFIKHQNEVGMGMTDYGLDKGGSGP >OB08G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5751968:5752576:1 gene:OB08G17670 transcript:OB08G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAASRSSSSAVVATRAQPPANAASSSRTSGQHGFTLRTECRRAPEPARPRCHAPTAVGVVASRSSSSVVVATRVQPPANAASPLRASGQRGFTPRASVHRHHAQIWAKPDLATPLPPPYAFLPRWREKGAPPPPSSQSHGFRQPARATARQQQVGRAAPRSHASPPEPALRDDTGVFFLYFKPNSVSLDWNCKRLKFF >OB08G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5756346:5757960:1 gene:OB08G17680 transcript:OB08G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLQELVVSPVTILVLVLSYIAYWRSKHRLLLPVDWPLVGLLPSLVANLRNLHDYVTAVLAASGQSFAANAPAATNMRLFITCDPDNVRHIFTANHENYPKGHEFAEIFDVMAGSFFTMDGEPSRRPRAEQSILGDPRVVASMVSSCHDKVRGGLVPLLASMASRRTPVEVQDLATRLMFDVTAMAVFGVDPGCLSPDMPSMRVSAGMDTIMEVGLFRHTVPACWKAMRRLKVGPERKLAAAHAVLHGFVTEMMEKAKKYRHGHGDDEAEEKRAAAAPPPSAVDILSSYINDPDYNADGHLLRTMLITYMVAGPDTVGTTLSWFFYNLAMNPHVVSAIRHELAPIASRNKAAATSTAATTNGDHHTAVMFSPEDTKPLVYLQAALFETLRLYPPGPIERKTVVADDVMPRGHEVRAGDAVLISLYAMGRMEALWGKDWREYRPERWLTVSVSGDGGGRKKQLRYVPSHKFLAFNSGPRMCHGKHIAVAQMKTVAAAVVWNFDVEVVGGQAVEPKLSCLLQMKNGLVVKVKRRAV >OB08G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5824803:5825042:-1 gene:OB08G17690 transcript:OB08G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSLFYWQTWVTRNYRWFWFTNAKRKIIIRYYQTGPKTKQYYLHILAVLVFLCSAVAVVVLAYPPICVTVTERAPSMH >OB08G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5880272:5881869:1 gene:OB08G17700 transcript:OB08G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPFLQELLIISSLTIMSLLFYVCITYWRSKNRLVPMDWPIVGVLPSFAANLHSLHDFLTAVLASSGQSFAANGPAATGMRFFITCDAANVRHIFSSNHGNYPKGSELGEIFDVVAGSIFTVDGEPALRQRAKFKSILASPRMVAGMASCCIGKVRGGLLPLARMATAGTPFDMQGLIARFVFDVTAMPVFGVDPGLLRPDGMPDTHVAAAMDTVMEVAVFRHILPVSCWKAMRRLSIGLERNLTAAHTVLHGFIAEMLEARKAKETHGGDAGEQQDDQAAAPDMFSSLINDPDYNNADLLRAALINYMIAGRDTIGTTLPWFFYNVAVNPHVVSSIREELAPIVASGKASPANGDDTTATFSAEDTKPLVYLQAALLESLRLYPPGPIERKTVLTSDTMPSGDEVRAGDTVLISLYSMGRMESLWGKDCREYRPERWLSGGGRKKQLRYVPSHKFLAFNSGPRICLGRDIAVVQMKTVAAAVVWNFDVEVLQGQVVQPKVSCLLQMKNGLMVKVKKRQHNLIICGSVC >OB08G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5883313:5893348:1 gene:OB08G17710 transcript:OB08G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSLNAILRDAEDADYNQKDYEKFTRLVSDSETPLYDGCKSNTKLSATLDLMKLKASNGWSNKRFTQLVRRIHACPNDCILYYKEYANLDTCPVCKASRFKRKDRIDEGKKLKRGGPAKVVWYLPIAARFKRILANPKEAELLRWHVKGRKSDGMLRHPADSMQWRNIDRIYPYFAEDSRNMRVCLCTDGINPFGDMSSCHSTWHVLIANYNLPPWLCFKRKYIMLCLLIQGPRQPGNNIDVFLEPVVDDLEILWNEGVQTWDSYEREKFNLRVLLFGTINGWPALGNLSGQSIKRMNAGINCKKNTRSLCLKHSRKMVYLGHSKWLPIRHRYRRMKRSFNGKNELLPAPKTLTGKEVYDMVQDVHNEFGKKRKPSTQKDKPLWKKKSIFWRLPYWKDLEEWDGRAVGDALRAVRDKPRTRVTVVTVPSAEAQLRFCGFYCYLVGSAGARYRGFHGKNGFGNPEKDSRTRFKKLLVELWLESKNTYRNMRAVVRSLRQLRRFAQLHADGHLSMNRLIKQQNALMLCSSASCSMSTVCYNREIDRYVSPSVELLRSKFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQQLQRLYEELVENEEACQLDRYQSSEKSGRSRWLWSRLITQPSTYSPVKGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIDTLKDRCIAHPIGSAVDYRQLGSAEQGFYFVGKEYNTLLKQKFQTLIGNEKPRPQTVEVVMGRQLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFITLVDVMYENKARLLCTAEAASIELFENVVTVAEAHKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEARLRQQPLQGVDNGIDIVLA >OB08G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5899835:5900128:1 gene:OB08G17720 transcript:OB08G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSLSSPHRRSQNTFFLSSPKKLQTSKDDVGSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGTPDACSGLAGTEKAVCRAKSALRHGKLKFF >OB08G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5902869:5905311:1 gene:OB08G17730 transcript:OB08G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIHVALLHSILYSWFAAAKNGWFINSRPLVGTSFLCKYGRIRSASLVGAWLNNSNDPIHANYKKNEQYWKEVTAAYNSAVPKKRARLMKQVKDRFGRIKKRVQWFCGSWKEANALWASGESDVDLMDRAMKLYEEEHKKDGPFSFKHCWDILREEPKWDAYLERIANLDPDKRKFNVDDDVVHDFSIESDTEERPIGYYLADDDVVHDVVHDFSIESDTEERPIGYYLADDDVVHDVVHDFSIESLKFIFQSMVMSMTQVTILLMVYTQNGLSL >OB08G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5909092:5910419:-1 gene:OB08G17740 transcript:OB08G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAGARKLKKELDSAEAAALRLGGGGVPNLAVEALGMVVACPTPGWAIKCLERESIHFKIQKFTLGGGCWSSALGIERRSKSINVVWREDRQRSAVLGEGQMD >OB08G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5916903:5918624:1 gene:OB08G17750 transcript:OB08G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRVFDVSRNKLDSAIPPELFTSWAELTQLRAQNNSIAGSIPAAIGNATKLQYLRLAKNRLAGKLPVEVGRLASLQALELAENFLTGPIPNTVGNLTALVAMALFSNGFTGVIPPEIFNLTTALRIIDLSTNRLEGDFPAAIASLRNLYGLDLSNNRFSGTIPSDLGSRQFLTIVLANNSFLGEFPMAVCQQHSLQILDLSNNQLHGGIPGCLWDLQDLVFMDLSHNIFSGDLPASAHPNSSSLESVHLASNNLTGGYPVVLKGCRCLTVVDLGGNCFSGTIPSWIGTHNPLLRFLILRSNAFHGSIPRELSRLSHLQLLDLAANNLVGSIPTSFGDFASMIQPRNELNLRSKAQQRILDGHVDFMYIDRIGISWKRQYHTFEGTAALVAGIDLSGNHLSDEIPSELCNLESVRFLDLSRNHLSGIVPKEIGGLKMLESLDFSWNELSGSIPPSISDLMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYSNNFGLCGFPLNIVCSNGSNSTSALIGGDKDSQELEILSWFYSILAGLVFGFWLWFGVLLLFKPWRYAFFHQVDHLQKKIM >OB08G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5919659:5922750:-1 gene:OB08G17760 transcript:OB08G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASVSVAVTPLLRRRRLSSSARAFAPRASAAAMEAARTAVQXXXXXXXXXAMGPPGADVLVGRGEKVPGDAAGPILVCTRNDDLDAVLEATPRSRWRADLVFFQNGMLDPWFESKGLLEANQVLAYFAVSKLGEPPVDGITDTNPEGLTAASGNWAPAMAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLTEKALAQGKPDPCPLHTAWLKEIKVI >OB08G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5931978:5935839:1 gene:OB08G17770 transcript:OB08G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30840) TAIR;Acc:AT4G30840] MADPPSFRRHPHPFSIDLIRWLPSSASSDRLLAAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEWVPAGEDGRVHVVGGSGDGRVVARRLWDGKGMAGYEAARWASPAEFATGGAGCGVQWWDRRKGDAVVAQCKGIWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSSLGINGPTQSVCESEVWEVLFDNYTQSSDIISSSSTRILPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGRDTMTEE >OB08G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5941278:5945117:1 gene:OB08G17780 transcript:OB08G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSQVLFFAECIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVALPLDADRAAFDSITLPDTFNLDDLNLDDATCQIDTPDIHRKSLDQITLADGDYVMIDLDEDARVELSTPRRSLHMGPEPTEEERLPPFHINFESNDNLDNGISIDRPQGNSEAVKPSNINQADKAPDLPEIMREAPFQGPELNLPDSDEVINDPMDVTEEPSPFVSKNITPPTMEKIISPGQGLSGTSIPSVQRTTSTTYDNIEDVVPIHDGMPDLMIEPSPPQVQDNRRNAQPNVQYKNKRKRKPIYDEEIVFSNAYMKKQIYGDQHHLVSKRRKLPQAAVDVWKFSRFHQKEGFLLEPLVHGMCAKLRQTYERTFPHVVDPDPESGSVEHSPGVSNVHIQDALDHQLSPKSPGSTDVQPEDQLNQQAPRNLDGQPEPEVNPKSPVNADAQPEPQLHPKSPRNADAQAEPELNAKSPGDAGTSCFEDMPEIPRFSPQNIPSPAGDDDSPFKTPGATRTPKSGPGGTAATGTPLADMSYMSPVQDSHPEFSPFPFNDELDGDLPEIPSLISTPGVISTAGTGTTGLGSMSARTRAVAQYFKDHMASATSDDQPGKFSLNKILEGRARKQAARMFFETLVLKSYDYIDVQQDEAYGDIAVSVKPSLSGAKL >OB08G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5965053:5966549:1 gene:OB08G17790 transcript:OB08G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETVVEKHSLINTVPYFLDLFFARRMIKCDVKSQHYYTFTNGGSINAGIRWKFQNLRVSTLTVDFGRISSIMSMLTLLRRAPQIEQLHIEVDRQETMGEEISEAILDAGMPDDSVKTLKRVMLTFAKCFPSEMFFVKLLLAKATSLESLQVMLYWESMMPSPEACIQFAMYKNDAASKAKFEVHGGMGTFNIVS >OB08G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5967851:5970711:-1 gene:OB08G17800 transcript:OB08G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLPPRPPPPAGVVVGGRESAVLRLRELQWRSGSAGKITGRGRDAQAKHAEKIMTGQHKKEAAAAAAATTRTTSTVLELKHHSLAAISDHPAAQESYLRRLLAADETRANSLQLRNRAAFTQSGHAAAEVPLTSGIRFQTLNYVTTVSLGGASSRAANLTVIVDTGSDLTWVQCKPCSVCYAQRDPLFDPAGSASYAAVPCNASACAASLKAATGMPGSCSSGGGERCYYALAYGDGSFSRGVLATDTIALGAARLDALAFGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGPPWPPRGSAPPTSSSTPAR >OB08G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:5995474:5995872:1 gene:OB08G17810 transcript:OB08G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWATSLPRLLLSHAFCVGAATPKRVLVPGVAGAGGGGGAATADILNRTGAWITVVMVDAAGDHGLLLEAAFGFKLVADLEGEAFDLIALPVCRTSLGSHYHRSSHAACEDGREGRWQDGKRDGDDMLLIS >OB08G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6036412:6037877:-1 gene:OB08G17820 transcript:OB08G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSGNQQAGKFGAPVRGRVPGGFGAGSHFSLRMAVGARFRVNQLYRTNLISGGVCFNNVNVNVIGNASTANRLQRNRLRALPLFG >OB08G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6043692:6058954:1 gene:OB08G17830 transcript:OB08G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPLSLDADIDMADLAALDAPTSSNAAGGGGGAPSTRFRPKAKGKPKTKLEPKVEEPKPKPKLEDPKLEAAAPMENSMEVDELGPRTRASASAEGVGASASASAEEVEDGDDFVVREIDVYFTPKPFDDEDSMLYLMQYPLRSSWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEFPVPLRRTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDVVVQLRPSMLHVNSGRSNSREALHGGANSDASGSTMPSVKRNERLEDSKDCTEESEPWISLTYQPAGSNIASKYHAEMVSNEGGPIDFTMNTSDYLMSLCPGGSTGSKGVNRSHAIREMLKLPLEERLKKWFTEVSQVNQFDALMHLAQTYSEDDLLKVLPVYADLVHGLWVCKSSLLFDDGYACKRDRILLEFTKKDSIPLKDVDRLIRVDDERRKILLPPLCKRREKLQDYKFILPVDSSFIKRYPHIVKEQDHAWSVREITMRDSQETSSHTEARKTKNSAKSNIPSKGPDPNTSKAKDGPVQGVENLVQSVLETIFTANKVRSIQAIRRDLRQLAAKYASDRKDGQKLQALSNAATSCAALPLVELQKSVEQVAVHVHGVYVAKTPTKKSLRHLILKLFHDKDPNAKLTKQEILDSAVILKRDIEKEYHQVISEICIQTEDGHLVLKNGDEP >OB08G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6062935:6064266:-1 gene:OB08G17840 transcript:OB08G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEEGEVAALREALRQRAAAVEELRAELEEERQAASSGADEALAMILRLQAEKAAERMEAEQFRRVAEERILHDGDSLAFLKAVVFHQEMEIASLNRRLLASATLRSTTRASPEIISEEDDDDMASRHSAKARKECNCSDHTTIAELGEGIEQIKLNVQNLQTEFIKTKESAITNGDSQAQLLAKICAKLDMIQAQQQDVQVEKRPSAPVPPVRALSREGGSSSKGGGGHTQSELLLMNHFIEVSAAIFSAPIVRPLIKAAASMPFFRCFLILVLAVVLRKVLIN >OB08G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6083231:6083849:-1 gene:OB08G17850 transcript:OB08G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKSCFLALFLLLHALASQGTWCGAEGTYVINGAHLRPHLQVGELHVTEGKKLGQEHEHTHHDEVKIPMRMAIAHRGGSAGGVGGGTRAGGTSVGGRNVNGAADTRPHTGRSSAAAMPAPTSILALAFACAIAVSACSF >OB08G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6085660:6086531:-1 gene:OB08G17860 transcript:OB08G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLALFFLLLTIASQGIWCAADRSHRINGAHLRPHLQAEELHVAEGKKPLEIQVPRKLGQADEHRRYHEAKVPKRMAIAHKGGSTGGGTTGGASNVNGGPASHAGRKSAASLPVPATASILALAFTCAIVLSAFSF >OB08G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6087635:6090603:-1 gene:OB08G17870 transcript:OB08G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSASSLAAGELREVRVRHCSDDALAFAAERSPKLSILSIRSSPSVSDRSMLSIASCCRMLTELDISYCHEVSYKSLEMIGQNCPNLIVLKRNIFNWLDSSEHIGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLNAISGGCKELEVLDLYGCANLTLRGIQQATSNMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNVFQI >OB08G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6101808:6106341:1 gene:OB08G17880 transcript:OB08G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAATSLFAARLRCSGVDPDEHPIKKEFERLSLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLSHLTADQKRSMQAISKGEGGSYSGNKRKPQPPFQNKKSVRAATEEFLAKAALELSGHNGSKVKGPIRLISDEDED >OB08G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6111642:6113868:1 gene:OB08G17890 transcript:OB08G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKVVLAQPAARAPPSPLLPRHVRGGAVGVGEEVYRARAKFKNLLQDYEELLKETHAKKKRLQVEKLKKQKLLAEVKFLRSRYRSMSENPSQTFVYRVKNPALPPTLQHNGWVHGDEHQTFQAIGSPSKGPSAHRRLKSAPRTSPVIDLNEACQPSSEEMEELHGYQQPVRAGKVMKYPMEDDGATGAGPSNAKMAAFWDARSAASRAGKRKISWQDQLALRV >OB08G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6118040:6122621:-1 gene:OB08G17900 transcript:OB08G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMVKCGVVVVMANGKGCEELSWEGERRRRQLAVKIKGGVWDSLTDSMQAMDVVTPVYLKNYFLAGAKANASASAVPLPAKRKTPATASAATSSKRCKPDLTCAACGITATGEKAMEEHLRGKGHKKKAAALALSAPQEPAGQEEAAEDAAPTSTMQLASDGGYTPTKLSMLTSAGVVYEVLQMDGYLLCEECNVRTADRVTMMCHLEGGKHVSKAMKLKHQTAGKPPAPAFPEKVEVESKPHAMAVKSKAPGSFLVCDACNVKAPSVSVMQSHLAGRKHRSNAAAKAKSEAAISVAGEADKKLEVQETGGMAVEDVASNVDDDVSDAPGGEKATKIARPAPVDVLDPMYCKVRTTSASDMRIHLVGRRHKNKSKLQEGKTAAAAAAMPMAKAKSEAAASIKEQGAAQRASAAATPLPARRRDAADADASMAPTEAKQPAMTRHDVSVTPSIVGSFGGSAMLQETGRGGSADELIKCR >OB08G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6123425:6124003:-1 gene:OB08G17910 transcript:OB08G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMYRAGDDRSRSPPPPPVDASSGSALEIPASEDGHGGDSTLVPCRPDSPMAAAAGGDELRRRAKKEKIRERILREEAEEWELEAEVRRELMGQIFALLGSSRNAATPCASPPAATTTALQIY >OB08G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6132457:6134248:1 gene:OB08G17920 transcript:OB08G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGVLTSRAMVIVMERYAAANEVKKAIRTFDAMEKFKTEADQTAFYSLLRALCKNKNIEDAEELLLVRKKFFPLTTEGFNIILDGWCNIITDIAEAKRVWREMSNYCITPDGTSYILMVSCFAKVGNLFDTLRVYDEMKNRGWIPSIAVYNSLIYVLTKENCMKDAQNIFTKIVDEGLQPNVETYNSMIVPLCESRDLDKARMVLEDMMLKGIVPTILTYNTFLRQESIDETMILLRKMKQDRCGPKSDTFLMLIDRFFQLNEPGNALKLWNEMKRYDITPSCSHYMSVIQGLIKQGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGSGKYNIIPQRSKHFARR >OB08G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6141297:6142004:1 gene:OB08G17930 transcript:OB08G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLAAMLCAALVVFAAVADARDLTVGGNGGGGGWKVPPQPDALNKWAAATRFHVGDKLVFKFDGAADAVLEVTREDYNRCSTASPLATYKPTGGAGAATVPLTRSGLHYFVSGAPGSCDKGERVIVLVMSEKHSRPGHGFFAPVPAPAPGQSPLAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAGF >OB08G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6169593:6174899:1 gene:OB08G17940 transcript:OB08G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQRTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGPFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEARVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSTP >OB08G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6189306:6190710:1 gene:OB08G17950 transcript:OB08G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWWKEEFFEEMSKKGGSFDKRRGGGGGKPWLRSISMNTGNGNANGDGGNNVEASGLDGSSFRRNRKRSRRRGRSVGSDMNSGDFLSRDLSTTTSMRGTVCYVAPECGGGPYDHGVDLLEKADIYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNLLELMDERLDGGYEKDQATLCVQLALLCLQRQAEHRPDSTDIVKILAGEMELPPAPVDYSPSPRVRPFPRNSSYYSFPNLAMNSLGVNPNNWSLNLAKI >OB08G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6204972:6207568:-1 gene:OB08G17960 transcript:OB08G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MRR7] MREPQMCQIVCKISVGEKEAKVLKEKIEDEYRVNMILDNLPLVVPIQRMDQEGAYFYQHGFHVGAKGQYAGSKDEKSFIHNHLSFTVKYHRDAQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAKRIITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGAEWKNIALRTAFTFPGSVFTIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAMEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSAIYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >OB08G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6216206:6220734:1 gene:OB08G17970 transcript:OB08G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G15980) TAIR;Acc:AT1G15980] MQTPTMSTSMATPATATRRQWQCDGYQQQPQQVVVQLGRRSGVAGGAARASGSGGKRKKKNPWLDPFDDGPDDEFDYRGVFSGGKQEEDPRPAEDPANPYGFLQFPAGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQLLRDRYPGVLVDVVASPRGKQVYEMCKNVRYADVYDPDDEWPEPAEYTHQLGVFKNRYYDLILSTKLAGIGHALFLFMSSARDKVSYVYPNVNSAGAGLFLTEMFTPPTTNLSDGGYNMYKDMLEWIGRPAKGVPEQSIPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVIHGIASDSVANMKSRGDDDCLLPLEHWAEIAKEISSEGSGLKPLFVIPHEKHREEVEEIVGKETSIMFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKEKARLFLPYAEEKKGCAVIVSETGKLIDIDVDAVKKAVKEFEATPGFALAQT >OB08G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6219668:6225223:-1 gene:OB08G17980 transcript:OB08G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDPAAAPEVEPPAAADVAGEHPPPEPPGAKPGEGKGKEVEVGRVKEKEGVEGKGMEEEEVEVERVKETETETEKAEAEQKETEGKAKEVQCKDSVEEGEGGKEKEGKEMEAKVKEEQGAESMEVDGGKEEKEKEKEKQKSMEVETKRRPAGVGGETPILAVPMVAVPCFLASPAFAGHFSMSHQAALASVTAQAQIQLQSPTTSSYSEGLPSPFPITPKAVMPLQQAPSGTAGSVYRPVLEKSASFQSRPQHHVSVNMVGDGFNWRKYGQKQVKSSENSRSYYRCTNSSCLAKKKVEHCPDGRVVEIIYRGTHNHEPPQKTRFLKERVAHITGSSGDDETLRLVNTEIIDSRSPGCKLEPGAVPEASEQQLFCSSDCEGDAGNKSEDDHTSTDPQPKRRIIETSTPPLTPVLRTVREQKIIVQAGKTSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAPDDDNNIVVTYEGKHNHDQPFRNSTESRDGPVPPMVITAETTSEQPSTMTSASDQKPTISMPKDGGNEPAKDKTSEPGGEKAVESAQTLLSIKTNPDDMKSTLLKDTSAVVPVQNN >OB08G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6235380:6238338:-1 gene:OB08G17990 transcript:OB08G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNMSRLLQDPFGKANNDPMVNEYGAQNSLNSGPYHETKAFYDLLRDAHDPLWEGKDKANDDFCSKCGTSRWKNIEDKTTLTKKERRRGNPKKSVTNSQNIRFAMASDGFNPFGTLSSTYGCWPVVLISYNLPSWLCMKASSIMRALIIPGPSYPGKEFHVFMETIYEELFDLFEVGTPIYDASRNELFQIRATTLFTISDYVGIGIFARYSVNELMLMRLEEHIGKAASPQRLSDVSKNSMNGSEHIVKNDHRWLARGPIGLAKRYRAFNTHGFQFRPRHLDGVTQNSGVVLSAKTSSYTKSSDTNPILGDLTYYGRVIDIIELNYSRQFLVALFKCEWVDVVSGKGVKKKYGYTLVNFSHLIHTGEKVEHESFIFPNQAD >OB08G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6252650:6259732:-1 gene:OB08G18000 transcript:OB08G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24230) TAIR;Acc:AT2G24230] MLFPNGNINFPYTVHAPNRDISDSTPLLLNSNKVSTTYNQQNIKFEQGTLLGNLLPLLIGHVAKDDSGRQNYMLSFRYGNRLAALPNDLWEVGASLLVLNLSRNAIRGDLPNNVVNFASLRVLDVSHNGFSGTLPPALGSLAALEVLNASHNQLQGRLPADFITGCTNLTSMDLSGNALDGDLPDLSPFRSLSYLNLSGNQLRGSLVGAFHEQLRVVDLSKNSLSGQNFSSGYRGSSLTYLDLSSNELVGEFTVANRFRNLKHLNLAFNQLSMANLLMSISGISGLESVNLSSTGLHGQIPRELSSQLVGLKVLDLSRNNISGFVPDLSALRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIQRSGGKRKGMKLALAIVLSLFFSVFGLLCVAVACRRRRKRGDVLPAVKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHSNLVPLTGYCLAGDQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDHNGNVATENITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCAMEPRLSDFGLSMIAGTSTDDNLLHHSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELVTGKKPLGDVYPDQKEASLVNWARAMVKANLGSSIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQE >OB08G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6266480:6266771:-1 gene:OB08G18010 transcript:OB08G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIHMPIILETNNAEVFEAFSDHAVSRSPWEAIIKEARGMMQCLQSVQVFKIKREVNRIANALAQMAMRSRLCAEWKVCAPPGISELIDQECNPLF >OB08G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6327454:6328666:1 gene:OB08G18020 transcript:OB08G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRVKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OB08G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6332412:6335246:-1 gene:OB08G18030 transcript:OB08G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPLSSSTPTQSLPPPSWPLLPSQRSSQKISSMEQGGSASNAPWSIPCNIGTAHWTSLASGSAGEMIITLLVPLLQQAFMSQNVHFVSGPANFPLSLASQRSIDNENAEKSSPPSPAGLHTQPSINVESGDEEGEDRTNKRLCWTHDEDERLIWPRFSKLELSFGPTVNVTSWQKAFNIYSSGQNDKQLMDKAHAFYEADYQVGQFKNVKT >OB08G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6335089:6335313:1 gene:OB08G18040 transcript:OB08G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGIDQGALEAEPPCSIEDIFCELLWDGSNGHDGGGNDCVGVDDDNGKDGGISFARCRHDMFVEPFARTHGAD >OB08G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6352720:6354634:-1 gene:OB08G18050 transcript:OB08G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNYAYSPKKPKPVPGEKGKAKMPVKWIDKEMDEFHKSLIKEWREWKEEHEERQRQRATREKAEMERREELKLRPPPMGGLRKVAIQNREKEEHDGEWRESWLGCSMQRMWGQKRQGQGRHVGVPGGAFRRKRGIEWQVPLAGQACQALARVPTLTPSRSHLRLATSRRKEGGCPIAWNGRPPLRCDTNRCDLAGEAWPPPPPTILYRGLGKDSKADMPTHPLTNQDRHDNRKSPHHQAAA >OB08G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6361508:6364032:1 gene:OB08G18060 transcript:OB08G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSKIPPKTLTDKELHKRQDTLSNLKSKAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVGLQRQTMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIRYL >OB08G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6367932:6371625:1 gene:OB08G18070 transcript:OB08G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAQRPLRRIPLARLLSSASGQGGPPADAARLRSRPFSSSSASGEGGPPAVSPAESAVREEELRRAAYKQVAGLRWDSNDDFFRALDIMRSVPPKRKEFGLDFHLVQLFFVCLPSLAVYLVALYARSEMKRMDAEAEEKRRKREKQEKEKQIEADSIKDDTDSKLSEVLVRLDTLEGVVNEIVDDKRKGSAHGSSNKEEAIKKGEALSPDRISDSKSNASDGQVVPIKSKDINGATNASPNSAQQNPTRNGDRPSSVPKV >OB08G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6375700:6376548:-1 gene:OB08G18080 transcript:OB08G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSSQTVSMDVAVKVEGAIGGGGGGGGEEGEGEGEAYKVEAAAMELDLLGALRAEGMEKGKAPPAVMVSEVAPPSVGERAAAASAVAGGGGEVRRRLFRCNYCQRKFYTSQALGGHQNAHKRERSLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSSRGGPVSPFPTTTAAGLRWAEGSAGGTVHSTAATATTTGDHNVAAEAKKQEEISSSKIDLTLKL >OB08G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6384755:6385066:1 gene:OB08G18090 transcript:OB08G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDLNARWKVTEGTCNQISGRDKYVNSCLWKITKKNCYLGVTSSASFLFKKTYNLISKSCCYLIRLFELMLSVMFVNDHISFTCQMIDTTCVRMDKVNQITS >OB08G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6385254:6385421:1 gene:OB08G18100 transcript:OB08G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVHSVLDIAGAEPSDHCEYLARLHRKLSRCKNNMVVVICHHQGCFTCPKNSTI >OB08G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6385401:6387253:-1 gene:OB08G18110 transcript:OB08G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT2G39725) TAIR;Acc:AT2G39725] MASRSKLSGIQKQVLALYRGFLRTARLKSPEERHRIESVVSAEFRENARNIDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLQINKSSSH >OB08G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6392234:6394953:-1 gene:OB08G18120 transcript:OB08G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stromal cell-derived factor 2-like protein precursor [Source:Projected from Arabidopsis thaliana (AT2G25110) TAIR;Acc:AT2G25110] MAAASFAIALLLYLGLDLPEASPAQSYAADPDRVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSFWIVRPQPDTSAKQGDPITHGTIVRLQHMRTRKWLHSHMHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKSWRQDQRIRLRHADTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVNQGK >OB08G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6409116:6409790:-1 gene:OB08G18130 transcript:OB08G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSAYGSQPVVLAVVVYLALLPLLGMAASTTTTYPTGSFCYESTYGEISDSNFIARRRSVNSLLAGLTAKARSNGGFATSSAGKGDAAFYGLAQCRGDVSAGDCDACLAAAAKQIVSYCGNYTSDARLWYDYCFMRYYEYNFAGEVDTRADASVAMRTSSEEVDNPRAFQKAAGRAMGKATAQAVAAGNAGLGRAKEQYAAFVSVYALAQCTRDLAPPACA >OB08G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6419716:6422089:1 gene:OB08G18140 transcript:OB08G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMESLTSRIPMMVIEGNHEIEPQGHGGAVTFASYLARFAVPSEESGSNSKFYYSFNAGGIHFIMLGAYVDYNQTGAQYSWLQKDLRKVDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQSMEGLLYQHGVDIVFSGHVHAYERMNRVFNYALDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPSDNHPEFGAVCHLNFTSGPAKGMFCWDRQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCSLQQTTTTSASSDNTCPSEGKCASPMSNSSRSGAPKVIRSGGYLLWNASVVIWMVLFSFVFRGDACSHS >OB08G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6456999:6470375:1 gene:OB08G18150 transcript:OB08G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MRT6] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDDKYQDYIGDIVSQLRIHFSDASFMVFNFREGDGQSLLANILSNYDMIVMDYPRQYEGCPLVSIEIIHHFLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSSQWPPSDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQEREEMMFRVMFNTSFIRSNILMLNRDEIDILWDAKDLFPKEFRAEVLLSEMDTVNQVDSMEVGNIGEKEGLPVEAFAKVQEMFSNVDWLDPTGEAAAQLFQQLISSENIQLRKGLLSPNKKDLSIMKEVGQLNLDLRSPTNKESDNIKDKSSSVQHSTIYVNRQENDDMQGLNPQEPTTDLDPATVSHYKSRISSIDKMISSLVHEGTTHVVGIATDMQSPIYNKIMNSSRPVLKDQNGKLDEQSGSVKRSSPTMIMPHRFPVSRSSSLVFSNSSPRSLSAYPRFHSAPSALGITTLLEDHVLFGENEKHMKVPCVVVKIPSKQSTQQHPIKVTHVLPKCTQSPPPPPLPPPLAPVVLVPLDAIMMSQAKGMPQQAQNYADLPSFPSPSPTSHKQSTLQLQQTIQSKNHQQSSSNNAQEPSQIFPAPPPPPLPTPSSTSHSTSSNCLLVDSMLSTSTTLLKPPIPPPPPQSPSTPSCSPIRLLVSPPPPPFVSTSPLIKILGPPPPPPAPAPNSPPSRLSPPPPPPPLLASTSSHVRPAATLPCRSHASTSFPLQLVAPPPPPPPQTLSTIACLAIAMPPLPRATSAPPPPPPPPPPQTLSTIACLAIAMPPLPRATSAPSPPPPPPCSSVKQLSNSMGNSFAPPPPLPSSFSKDNKGPSCNVVPPPAPPGANSTLPGIRGRGPTPPSGPMSRSLQSGQVASRRSNLKPLHWVKVTRAMHGSLWEEAQKTDEASKAPVFDMSELENLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLSDLMSAILTLDDTILDADQVENLIKFTPTKEETELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFRSQVSDLKRSLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLCEKLPELIDFPKDLASLDLASKIQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSDIFRRTLKDFLSGAEADVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFARSHDENCKQLDLEKKKAHKEAEAEKTKKEPERAQKEAGAKTTKDQENEKTKHSNSIKELGISLQSPAQTASAK >OB08G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6471377:6474302:1 gene:OB08G18160 transcript:OB08G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKPHVYNHQYVLLVLSTNELSKIAIRHNGPATAWPFVKTHTTRPFQHGLSLIQPRKDHFLSIAIRHNGPATAWPFVKTHMARRPWSRLTPTNTYTVAPPCHVTRANTTGAGCTYRDTVTGRSVEAEAARILNVRRESLSQSAPFMSSDVTCKALAVELQGPGRACVRRAEYAHTGHT >OB08G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6475621:6480664:1 gene:OB08G18170 transcript:OB08G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVEEEEQQQQLTAEASSSERAFEGEPVPTLGETVTARSAAVSVALGAVVSVVAMRLNLTSGLVPSLGVPAGLLGFFMARAWIRVQDVFGVPHLPFTRQENALIQTAVVSCSSIAFTGGFGTYILGMSAKSATERHIGNEGKNMDEPNIGRLIAFLFLVNFAGLFIIIPLRKMMIIRHRLTFPSGTATAHLINSFHTPHGAKQARQQVGTLLKSLGATVLWPLFQWLFTGGKNCGLEAFPTFGMLAYQRGFYFDLSTTNVGIGMICPPMITASMLAGSVVSWGILWPYIETKAGRWFPENLDANDLGGIMGYRVFVGVSMILADGLFTFLSSLVRTANAMRKRRRGCGGHARASTTTSAAGPPLFFALALVLSAAREAAERRRGRAVRYIPSTVGVAVAFFVPPRIPVGMAAGCLALHVWRTRVDAGGAGLLSPVVASGLICGDGLGSLMSSMLTLLGARPPICVKFLSRFQNQKLDAFLTTLRAS >OB08G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6486109:6493948:1 gene:OB08G18180 transcript:OB08G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:J3MRT9] MQQQGGGAATRRRLSVPRSGGAGGAGTGASVWETRMKMDEVKGGVKVFSAGGEDADEEGLRVYRRLRHDQGGAGGTSAAAAKKRRNWKASEPVTAIGDLRKPRSDAAAVGVVTTAKRSVTRVTTPEKKVAAAAAAAAEVKEVVVVEVPETNNMEDEAEGDYDEELDEEEELEAEDDQEEEKEVLDQDHMAIDGDEAAKSTAPNQVDEEDLEPPTKRGIKIIQTSVEDERAANPEPVKPPQEKKIAATIDLRAMNPEPIVIPPVEKKAMPVIIHRMTNAEPAKPSPEKKASPLIGRRIPKQEPVTAPPVEEEYEEIQGRPSAPSRSNGRMQNIVDLVMWRDVSKSTLVFGLGTFLLISSSYAKDLNYNTITAASYLGLVYLGLRFLSKSILNRGESVDCDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGRFWLERLRDGWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVEDAQEAGDDEPPQVETPARSSPAKAQDHEAQAFGAAAPRHRRTPVSGEFARERLRVRGGIQPR >OB08G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6529435:6533188:-1 gene:OB08G18190 transcript:OB08G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSAFLNGPIFELVYVEQPPGFEDPKYPYHVYKLHKALYGLKQAPRAWYECLRDFLTKNGFEIGKTDTTLLTKKFKNDLFVCQIYVDGIIFGSTNVSFSEEFSRIMTKRFEMSMIGELKFFLGLQVRQLKDDTFFSQIKYLKDVFKKFYMDGAKPIKTPMPINGHLDLDVNDHHDSWKQQQCAGKAMQEASRPCFQFHRVRWRQLPASAISKGRIGKKKVDEVGKKKVDEVSASRGKKLQTAVVEVAVDWYNSVFLGRKKPAITKMKWIDWEFLKKQNSVVAKIVVCLCHEKHVDTLMSLEHAWSAELIGQFYATAYFEDTEDESEQQIRWLPEGYEYTVTMSEFAQILELDEHDLTRPNIIKKLYVDDARQIRLGTTKGLLPHFDLLLRLFKTTLAPKSGDKSTLTTRHAALLLRMCSTAQPFSIMKYIWNEIQAIVLDPSKGMSYAPFLHLMIQRATGFFIKGECKHYPTVQRFLKLLRPMQQTKPLEQPVLPPLEESEEESEEEENEEAEGEVDHDNEDNNEDGDDDEEEE >OB08G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6549969:6551852:1 gene:OB08G18200 transcript:OB08G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSADTLGNDGGASSDHRNDAVVVVPSEEAAPTEGVTAGSSSDAPTGHAYVHGRDDVASPQVGQRGGGGAPAGSTDASVEPPLDPAATDQALRRCYIRLGKMTQHFTEASTALPAAAPGPPAEKLMIDGIRAAATPRLPPRKNGDNACNDVPAAAPAALVTGVRFYSFSPELRRILENLDATEPEYVWQKVLAKSDVNLYHNRFLVSCKVNQLSNCPITHILTEEETHVVHSPTAPDDIQAVENAAMAVVPVPVEEEVTVEDDIIKNEEEEEEEEEDKKKKKKKKEEEEKKKPGLTVMMLDKSGNGYKTKCRYLESNGGYRFIEEWGKFLRTNGIGISEGQDWTRNVLVKLFAFRSQKLPGAQQCGHPNGALGLVMEHHESESRDGGNIRKKKKPRMAMSSSSEIASTSSAARVSPEPDVSVAAGGAAVAASSSASGMRPKRAAAVASSYSAEGMARKRAAAVAWSSSAAGMAPKRAAVVASPSSAACMEPMRAAAVASTSSAVGMEFKTAVAAAAASYSAMDMAPTRAAAVASSSSAAARMAHTRAAAAASSPSARSRMDHTRAAVASSSSAARMGMAPTRAAAVASSSSAGAAATAPTWSTEEEKKRESEAVEGILKLRRLFS >OB08G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6554883:6564389:1 gene:OB08G18210 transcript:OB08G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGGGGGGPRASPAPPAELLMFGRPMLTAVALGDGGSFFDKLPKAWFIYLFIGVGAIIFVISLFGCIGAATRNTCCLCCYAFLVILLILVEAGAAAFIFFDHSWKDVIPVDKTENFDVMYDFLKDNWEIARWVALGSVVFEVLLFLLALVVRAMNKPAEYDSDDEILATSRSTSIRQPLIHSQNAPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDAGRYQQNGAPPAEERSRCVIM >OB08G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6579869:6580566:1 gene:OB08G18220 transcript:OB08G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQVKLLMILFACLLASISIKGQAEGCSLDNIAVSQSATGGWAHGQPEYAVTVSNTCGCPQSDVRVACDGFNTTLAVDPSKLRPAGGDLCLVNGGTPVVQGHDVTFSYAWSPQFKFTPVSSTLNC >OB08G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6581277:6581435:-1 gene:OB08G18230 transcript:OB08G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGINGGLERYILMWNFRKRRIFFYSINVVHWHVHYQWIILVLALFCSHM >OB08G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6582520:6583332:1 gene:OB08G18240 transcript:OB08G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLPSWSSSTKNPSTDVNPSAGERKEEDSGEVTGEKATESTDAGWEEAVITVDVGHYKIMFWLEVTAKKDREERQERALRGGSAGSLQWGQRMMKRSHSF >OB08G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6584396:6590512:-1 gene:OB08G18250 transcript:OB08G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGAGGDKAPPPSAADPSLGFLTKRDTEVKLPRATRGKNKTPASVQITAEQILREARERQGPESRPPQQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDIERTREVYRECLKLIPHKKFTSAKLWLMAAQFEIRQKNLQAARRILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDRTRELYERLLDRTKHLKVWISYAEFEASAGLLGEDSENEEVKNDANYQEQQLERVRRCRAVFERAFDYFRTSAPELKEERAMLLEEWLKKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTVAYEEFIDYIFPDEVALAPNLKILEAAYKWKKQKTGDTDDD >OB08G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6599797:6605125:1 gene:OB08G18260 transcript:OB08G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKGANSFKLAKNWSRAAQVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKASDYLERAADLFDSEGQSSQSNSIKQKVAEIAAQLEQYPKATEIFEAIARQSINNNLLKYSVRGILLNAGICQLCRGDAVAITNSMERYQDIDPTFSGTREYKLLSDLAAAIDEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >OB08G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6608030:6610708:-1 gene:OB08G18270 transcript:OB08G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKIYSCSYGGEICLMDVEKENFNMIHLTDYPIFSLCQAPNSPSCLYFGEGNDLKLFDERMGKVSVTWNSHDNRINSIDFHPENTSMLATSSTDGTARIWDLRTMKEKEAESLKVLEHGRSVQSAYFSPSGRMVATTSLDDTVRIFSVDNFDNSDIMKHNNKTGRWLSTFKAIWGWNDTDLFIGNMGRAIDIISVDLNDRSLSATKKACLESEHMTAIPCRFSAHPYKVGHLACASSGGKVFLWTRV >OB08G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6628057:6630627:1 gene:OB08G18280 transcript:OB08G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLGPVGAIVAHPATPQKIYSCSYEGEMCLMDLERENFNITQLSDYPIFSLCQAPNSPSCLYFGERRDLKLFDERMGKVSTICNAHAGRINSIDFHPENTYMLATSSRDGTACIWDLRTMKKEAESLVVLEHHRGLQSAYFSPSGCMIATTSLDATVRVFSVDNFENSHTVERNNSICRCLSTFKAIWGWNDTDLFIGNTTRAIDVISVDLNDTCITATNNASLVSEHMISIPYRFSAHPYKVGHIACSSSGGKVFLWTRA >OB08G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6634618:6638706:-1 gene:OB08G18290 transcript:OB08G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGKCGFLEAAAAAAVVVVGRGAVLLADAARVVEFGEVGSPPAPSPVADAPYLPDVASPPTVRTEGSNHYQKQILVAVILALTAVIVTVVSAIFAWTLCRKDREALDSKDKKLSSTTKGHMLLPMLGKLNSMKTSKKEVVAMMDFSVLESATGKFSEKNILGKGGFGCVYRAYLDRGVVAAVKKLNCCRQEVEKEFENELDFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGSSNGSSLSWYIRLKIALDAARGLEHLHEHCSPLIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNLNKDNIQPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGESHCQSIVSWAIPQITDRTKLPNIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEPPRQTG >OB08G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6647369:6648657:1 gene:OB08G18300 transcript:OB08G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09280) TAIR;Acc:AT5G09280] RVPAMRPSIMASRRSNAPLLLPLAVLFLLGSGGVSAANINGANVIDRCWRGQRSWAADRQRLAVCSVGFTGKMRQNRGAGVAAYTVTDPSDDPVRPRPGTLRYGATVLPGKVWITFARDMHIRLAQPLFVKSFTASDGRGADLHVAGGAGIVLYHVNDVIIHGLHVHDCRAQPPGRVVVPGGAVQASGSGDGDAIRLVASSKVWIDHNTLSRCEDGLLDVTVGSTDVTVSNNWFHDHDKVMLLGHDDGFSTDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWRDYAIGGSMGPSVKSQGNLFAASGGAGDNKKVTRRMPAVARDAGGKGDQWHWHSVGDAFENGAFFRQVGNRVRPNYNRHQAFPVASAGDVRALTSGVGALRCSAMAAC >OB08G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6668493:6668732:1 gene:OB08G18310 transcript:OB08G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLVNPPATHGDYIQWWKDTRLQVTKEARRKFDGIVVYAAWEIWLHHNVRIFDNNCNNSPRQTADKVFQHLQEFNLS >OB08G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6672861:6673049:-1 gene:OB08G18320 transcript:OB08G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAWRSIVALRACKGEKGREVKLTRSRSSTPSYCADQSVLNFTIFHHAVTMACICFDSSLPLF >OB08G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6681389:6693341:-1 gene:OB08G18330 transcript:OB08G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G35170) TAIR;Acc:AT5G35170] MALLPPSISVHPPWLPIVDRALRVASLMRLGLRCRASEGEGTAAGQREAPLKVMISGAPASGKGTQCRTIVEKYGLVHISTGDLLRAEVSAGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLAQPDVTQKGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVLPTYSDLLNQIDGNRQVEIVFNEIDSLLQKICENASANKLAKTNGKPQDSKDTAASKIEWRGIPTRLNNIPHSREIRRYFYNDVLEATRHAIEDKKTRLQVDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFSGIPLQLAGTRKILEIMDWGDYGAKDTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGERPVILVNPRLKDMPGSSGVMQAMGRDMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLAEFVEKPTPDEITNAFRPRKNEKEKSESGFWGFLSGIL >OB08G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6713156:6714010:-1 gene:OB08G18340 transcript:OB08G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNFGQPCREQVAHSVSAHKPAAFELVMATTACSFGTYASSCRCSREVCEAVEGETAFLPQSPTQCQTEAARHGRHALPGGAGAGGEEAAPFGQARAALLDAGGEGNREEQAALLDPGHPSQVWSLGPLPASCLAAGFSLLCQLLLAL >OB08G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6714051:6714458:-1 gene:OB08G18350 transcript:OB08G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPRVFIFFFSLLCLCLLPRDNDSSTLSSETISNFPSFSSLPCLFAGGRRNLAGGGAVAPGDMGARRGGGWPRAARXXXXXXXRRSEVGKKLWRRASNRHRCNPFQMDNTWISDGPLVLLRTALFSTGDSRLSF >OB08G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6714687:6718171:1 gene:OB08G18360 transcript:OB08G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MRV7] MATPLASRPTSAILSTLLFAFLLRSGHTPAAAFYLPGSYPHRYRPGEALAAKLLLGDRIETSPYRFSMLKNATAFLCRSDPLPPATAALIMSRIDDAYHVNLLLDTLPVVRHVKNLAAPGVLVRSTGFPVGVRADDGEYYVYNHLKLTVLVNKPRNGTTRADALMATADSVELISFAGGSKDGGGYTVVGFEVVPCSVEHDAAAIKGKKMYDELPARAAAGCDPSVVGMRVRANRPLVFSYEVAFVESGVEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYEELGGEAGAVQADELAGWKLIAGDVFREPSHPVLLCVLVGDGVRILAMSVATILFAALGFMSPASRGALVTGMLGIYLLLGFAAGYTAVRLWKTVRHGDSAGWKRVAWRTSCAFPGVGFAVFTALNCVLWYNGSTGAVPFLLFAVILLLWAFVSVPLTLAGGLVGSRVRHVEYPVKTNKISRQVPAAQCSPWVFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVMALLVAVCGEVSVVLTYMGLCVEDWRWWWRSFFASGSVAAYILGYAVYYLVFDLHSLSGPVSATLYVGYSLLMALAVMLATGAVGLAASFSFVYYLFSAVKLD >OB08G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6721746:6727394:-1 gene:OB08G18370 transcript:OB08G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09850) TAIR;Acc:AT3G09850] MLDVSIDTAPCADLAAATVPVYSYGPVGVIGLGFHGEENAEEEEEEDGLHLGLGFRGCGNDVEVEVLEGASFVTPRKPKGKHKGRRNEGFLSIGGVRIYTEDISSPESEGLVGSDLESQSDSDEIDGSDEEEDTDVNEGGSESEEESSGSDSKQDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVASMNLVDSDDGDEMDGFLKERKGKGKGHVEGYALMNALELMDASEQYGMKRPNSADRMKGKGPAVRACDRDLASMRVMGLDDVMMVKDLRMTSRSRKGAKVASSSSDLSRSWPNESRKSKKYHSVPGEKKKHRKELIAKKRRQRMLGRGVDLDQINTKLRKMVVDEVDMVCFQPMHSRDCSQVQRLASIYHLKSACQGSGKKRFVTVTLTADSCLPSAEGQIRLDKLIGTEPEDFAVNWENSKRPAQTKGLSAPGKLSRNQTSSGKKSSKRQVSLADRPVSFVSCGTMAESVTETIAVASTSGEASCEKIVESNSVKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIETVQRPKSLGLGVVFDSEAEAIKARSEPTAKARSEPKRFSRKVEMSGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVTPLTAVRRPKSMGLGAKDKY >OB08G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6740940:6745493:1 gene:OB08G18380 transcript:OB08G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGGGVREAAEILVSFRNRELVRWPEWIPRPSKEEDEEVEEEEEEEEGQRSKFADDMNRNEEGVLMFDLNECLDEQHWS >OB08G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6754837:6760560:-1 gene:OB08G18390 transcript:OB08G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRVLLHCAHHGPVSAWCMYYRRQKKASSDANGNVLIPGVATCLNGISLPPSNRVHDWGYGDTGEWGSISARHVKLINFLSSLHQHTANSLISSEMAAANTDSPLEQTKQKDSTAIIVLDSDNEDEDAEGCGQLTSINNNQLTASGSTSPYTTWIVSGAKDQVNGTLHAYGDQSTQIVPYGQNAPLINQFPLQTSYQPSLLFEKVILQKKPEEQRIQDLLAASHAEKIAETQVLPTLPTERKRRKSDPNPSTPVDGDAGTALGKRKRKNQPNQAAVDSTLDLQQNDVPSQSYRTEIEEEEPVKESDGLDDLWKDFSLAAECTKLDTHEDMSNENEVDDEKEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKFSEDFIVSDIAIHPRHAKQMRPHQLEGFNFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRTEQLEVLKSWEAQMSILFLGYKQFSRIICGDAGGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGGRSLKGVPDSAFTEIVENTLLNDDNFTRKANVIRSLRDLTKDVLHYYKGDILDELPGLVDFSVFLKLTPKQKDIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGAAADRAINLTDATVDGLIESINIRDGVKARFFMNILSLANSAGEKLLAFSQYILPMKFLERLLVKMLGWHVGKEIFMISGDTNPEDRELAMEQFNNSPDAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAAGSPEEKFHETAFKKEVIPKLWFEWSEHCTTEDFKLNQVDIDDSEDQLLEANAIRHDIKALYRRETLERASVPTGEASEPPALCAPSPLLALPALPLLCGRAALLLPCAPAPISACTLRAVFVARHDTDDIR >OB08G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6772783:6773136:-1 gene:OB08G18400 transcript:OB08G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRAHLDAAAVSVAATILMRGGYRWWREGVASRGPLLPGSHRRHVCRPRGGXXXXXXXXXXXXXXXHRRHVCRHRGGGSKLGRGWAPIGEGQGRGGRGREWALMIEGKGGGGSGRK >OB08G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6778522:6788496:-1 gene:OB08G18410 transcript:OB08G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREVHAFFSPPSSNQLAIDALSLELVKPVAKLGALMENCCDIARAIIEFFVSNCNPRDMLSILCEAVDEPVASNGLVYFVLLFKELAKVLVLIHRRHTEQVKVALPAVLQVMNAAIPEYDEEHGKIIIDMFNAALGIGNAIQEMCKKMVNQKNEELCSVLSLYSLQNIHFFQSDVDEDADLLKCFSFAMDGASLAVIWTYMDDLISKYAEAELESALKDVKGNHTRMWQAINILRYVFSSTHYPWVLKSNGLDLLLSIANESCIEEINDHVDASSSGPEIFATLKAIESVMISAPDALMRKKAFAALKQATLKFYQVVSMVPSSQRFDILQALVQNSIFPSLTAILLDLVKDEVLRESRQADQNFIESDQLQDGREWPPPWFCHALELVGLILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFDKETMRKVYSEWLIPLRPIVAGIQSESEEDGSDAANHIMCSLNPVQLDASGSAPASSRSGDGLLTPRVSALLLHSLIADRSAIRTGRRLLSRLLAAHPLHTAAEAVADAASIASSDFLIHTLITCPAPASLYRAADAFRVLSSRGASPSIKTCNAFLEALARAGQLDAARKVFDEMRENRNIALNEYSYTAMIKALCKAGKLDAGFEMLAELWRAGLQPTVVTYNVLMDALCKSGRVDEAFRLKGRMEEGGVTPSVVTFGILINGLARGERFGEVGMVLREMERFGISPNEIIYNELIGWHCRKGHCSEALRLFDEMVSKEMKPTAVTYNLIAKALCKEGEMERAERILEDMLSNGMTVHCGLFNTVVAWLIQRTGRLESVVSIMNEMVTRGMRPNDPLMTACMRELCKGGKHQEAVGIWFKILNKGLGVNLATSNALIHGLCGGKYMKEATRVLQTMLNKGIELDSITYNIMIQGCCKDSKMEEAIKLRYDMTRRGFKPDLFTFNTLLHAYCNLGKMEETFHLLDQMKNEGLQPDIVTYGTIIDGYCKAKDIHKAKECLNELMNHGLKPNVVIYNALIGGYGRIGNISGAVDTLESMKSKGIQPTNVTYCSLMHWMCHAGLVEEAKTIFTQARENNFDLGVIGYTIMIHGYCKLGKMGEAVTYFEEMRSRGISPNKFTYTTMMYAFSKSGNSEEASKLFDEMVSSGIILDNISYDTLIARCSEVNSLDKDIGVPAELSSGGLTKDDCLYKILANGINAPWCQKEAASSAE >OB08G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6789844:6790286:1 gene:OB08G18420 transcript:OB08G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRPDENTAGVVVVAAADQAEQLQQGQAQPPETKIRKKRKGRDHGGLPVTLGTLVHLRGGIKQLLLTRWDSSGAAIIKGEGYLDFIARCGLMEKDVVHVWAFKQREFRIFGATYPEKPLYMVIASAPRRAAAPQLPLPMPMPMPPT >OB08G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6802264:6802944:1 gene:OB08G18430 transcript:OB08G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLGVGDATARGPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTMATCAARGSRERWRRARGCGAAMPRRSGDGCERRGAADDGSSDDGAVRVSWEAQSWPARWSPGERGVRERRNRETKGRRKRRERGREKIREVGCSIFLATSSDTWRNSNQ >OB08G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6802757:6806670:-1 gene:OB08G18440 transcript:OB08G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRGVAKQRPPEPPAADAPLRERLRWLNDQELEHRSAAIKAIQAAEIESILSRLHLVQSYISKEQQEGCALQYFQENLPNLSVVLNEKQNELELKREDWDSRIIGDHCDEKIFRASVSSLPNVGGVQFSGDSVQKSFMENMAFNFNDFAWDQLPEDQLAGVADALRTPGAVSTRLSFGMTPKTLRLPKKGEMLLSVRGSPLGVYKEENLAAVRESTNGSEDAA >OB08G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6819128:6820866:-1 gene:OB08G18450 transcript:OB08G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCGIVSIRGLHGKVNGDSNFHGEGSTDHTHGSLLLQLRVSASTYRALDLTFSYLKATALECAVKLGIPNTIHRCGGAASLSELVSSIPVPESRKPHLPRLMRFLTAVGIFSLDMSTTEEEITQKVTCMYRLTPLSRLLVDNSISGHGSLFPFVLSQTTKYHVSAAMHLSEWFMTEDKEVAIEMPFRAAHGTDLWGVMARDANMNEVFNAGMGSDSRLAIDYIINKCGEVFDGISSLVDVGGGTGTSARAIAKAFPHIKCSVLGLPNVIDTITVDGIVEYIAGNMMEQIPPTDAVLLKYILHDWNDEDCVKILKQCRNAIYSQKSGGKVIIIDIVVGSSLKSMFEAQVSFDLLMMVITSGKERDKHEWHKIFIDAGFTQYKTRPVLGFLSIIELYP >OB08G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6860160:6869866:1 gene:OB08G18460 transcript:OB08G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELELMASYVSKLKFILQEEQPELHTATLLSSLGSSNQDERYGHSAGENRMETRIRKKRKYQVLTAQQRDRLNCAFQSCLHPDQNAIEELANELNMSPDRVRYWFQNHKTQMKRFKNNEERNLLQKENEDLKEENAELRNRMRNLTCHTCGLPLFHKDCCHLENAMLKGELKCKHGSASTLIPQGVSSLLPSSSGLIAPGSNLGSNAVLVPESVMPSSVSQPAPALPNANWPILHKLSGNPNDGYGERDILFDLANRAVIEFITVMEDEALWLPNMDILAVESLNYQKYLAKSMTIGLKPVNFKVDATRDTAIVKGSCADLVKGLSDVNWWRELFPGIVASANTTRIISTGHSNSCDGLLQLMHAELQVMSPEIPVGDVTFLRQCVQNGTGLWYVVDVSINSVLGKSKSSQHSVQSTRTTERHMEVRFLPSGCIIQEMGNGYSKVNWMVHAVYDERVIQELHWPLLRSGKALGACRWVASLQRHSQFFSSLCNSIPCPGSTVKEMLWRRNALHLVKQMTSSFTALCASMSKAMLQDVDVTQFANQIIGGATGEPVGQLLSATTTIWLPGVKPRHVYDYLHDEQCLVEWRYLFGDQLLQGNALPYGAPRNGEAVQKFYRMVNGLHEGHAISLINPREIDGSISSTLLLQEARTDLSGSLIVYAGIDVNTMHSIMTGDLDPATVFLVSSGCVILPDCLDSCPLLPPPTADQASSSSSAGIASRSRTGGSFVTVAYQMFVSSQSSGGSSINQGHDALKNATNMFKASLETPILA >OB08G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6884773:6889448:1 gene:OB08G18470 transcript:OB08G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MVGFTISVQQTLCVKNNLITFAGKDTSARFSTTALSGQKLKYSVGNSMVLPSSNTTATSSMAYPVSLSSLHHHPNNHHACFPPSKPNQDNTHKPIESPRISRRSLIFLPVPSLLYASSSSALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTTNFKATCTSSAYRGTLVHKLLQGQFFVAGRQGPRRDKGEVQPPTGLVRNAETVDPKAFELRHTRPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >OB08G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6928645:6935148:1 gene:OB08G18480 transcript:OB08G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSSNVKTKRKSNAGDNNS >OB08G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6941366:6941758:-1 gene:OB08G18490 transcript:OB08G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERERHGGVGCFSCCFGGGDGEGEGEGEELGHRAARALRTSSRWVRDRAVELPELVARAGRRRRKHPPQQLAGEFRYDPISYALNFEDEGAGGDGDGEAEPFKYMAFSARLPASPPPPATVLDVDRSP >OB08G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6969945:6972574:-1 gene:OB08G18500 transcript:OB08G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQYDRYDADGDVTRYLLDPTTEIAMFSEPVAAVTVPITVESCNPVPATHVEQPTQVIHANPSHAADNSSGNNLLPVEQDANMLAFRPLLPGQLNCSCCHLVRHVMHTTYSRTIHFFVHSTAPGSFEHAIVDRAYTGAHGQITMEEQLYFDLSKHTEQWASNFIASNIQTMRDNTSGELVDSGYTSFVDAAVRANENDNPHTGPLEVDSLQTIVSTPSSDHHNTAAPPAESPRAAASSKKAPKAEDYARLLLAIEEFHVAATIRPIPKSDIEILESSYVAQQAARDKPIMYPSMRARKSRKQVVLGMRARDVIDYVHRTRKETEKEINTLSSFGDIFENDGMLTYMMKELRRLKKKIWRYQTTVHITMTSTMMGSLKMDIDDLRVEKARAYAQFMKCVKDVMRKKGHAASIL >OB08G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6990886:6991140:1 gene:OB08G18510 transcript:OB08G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSIYHNIKSLSHSSGIQLCKLVLSIFIAEKALYCWLICVARQLLHPSIVPPSYSLPNNFTVFLFPGGLLVFCLLPPLVGKQT >OB08G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6991431:6992932:-1 gene:OB08G18520 transcript:OB08G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHWFRDSADLVAFREGRRQSFEYGWYGNPTVNVLEEKISALERTKALESILDQGNASDDLMHVTMFYADSLTKPHLKVVDVRRVAELCHQCGELVCIDSTLASPINQKPLTLGADVVLHSATKYIASHQDVIVGCVSGSEVLISRIRVWYHDLEPPHPYEELRLRCMANSASIQQLGLPAYTPNGQRTATNSKNKKTNERNREDANYDPLHDDAGEQDLFHDDIAKVMILLSWQWLYWCSFAPVGVQFLLPLLLESNNKLFQLLHFFGAACPAGVEGTRTWTLDFFGAASVIS >OB08G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:6996011:7003460:-1 gene:OB08G18530 transcript:OB08G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MESSSSDVHMSHCAEDSDNTESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAVNEGTTSNPTRRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGQAPSDARPSEGAQSSTQNSVRAVLDQLQVPPLFQSEAAQGLSEPNVIPDSLTTISQYINFLRDSFRREGFMDNGQTLNNPDHRTAGSDHGDGTQNQENQLDSASAHGLPTAALLAETMHSTRQLLVDHAGALLSQLPDQLGDIVNVTDATARRSLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQMGPIFSSLTSHRSVLHPRDIDIHVRTSNLGSPVDTNGGNSTQTSPGEQNGQGPFSQLMDSFPWIGSLFPGENSRANGTSQQIPMPPAEQVDVRNHGAPEVPGVSDEGLRFASLVRQIMPFISQAEANHHSASAASSSTPQAAHGSLNAQRDGRSDSRNLHHHNRDPVDGPNSKRQRRSE >OB08G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7006627:7006981:1 gene:OB08G18540 transcript:OB08G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHCWHILQHQPKWDSRFSQKKQKIHVDASPSTNSSQFEYNPDTPTSDPMVRPVGKKAEKEKRARNVSSSCTSESSLVVIALNNMWSEKKEMCAQAREERNDIYTQVLTLERERLQIEK >OB08G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7012199:7012595:1 gene:OB08G18550 transcript:OB08G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVETYKSERKPFPLTIYFLDEPVRFDDAGTSQSPQIENPFPCSDAGKCRLFDQNIVHCLPREPPSDCSPWSWFFLAPNACEWYSIEVIRELSQEQEGVDPLHV >OB08G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7013636:7029319:-1 gene:OB08G18560 transcript:OB08G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSAAEKGKEAKGKAAAASAPTFEPDVPYLEAGTAKRIRMFEEIQAKQALERLSIGGEPIKVTLPDGAVKECKKWISTPMDIAKEISTGLAAGCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAFYNDTTLNETHFGIIDAQAQKAVAEKQPFERIEVSRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRLLGQSQDLFFFHPLSPGSCFFLPNGAIIYNKLMDFLRKQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRESQIKDEVRGVLEFIDYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNSVEYAKQVRARIHEAGFHVAIDETDRTIQKKVREAQLAQFNYILVVGAQEAETGQVSVRVRDKAELATVSIDDIITRFKEEVAAYK >OB08G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7093790:7095986:-1 gene:OB08G18570 transcript:OB08G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKVRAALEEKGVLVLDKETVPPPEQHNAGRVKGQGKLKNSHKKCDSAIEVQELIHLEDYGQDENTELTINGQENGKKHAVKKQFDCKHVDGNQEMQTDQLEANGAKEHRNHIEDKETNGLEKEGQNHKPAKHTAGKKNRRSDAKKQKHSAMRPVHHTEENHHENHMETNAHVKEVVKDLKLVFGEDIRCAQMPANCNLSQLREIVQNKFPSLKAFLIKYKDKEGDLVTITSSDELGWAYSLADLEGSIRLYIIQVDRAQELGVDVFRRRSSFASLEKGYYSMSENGSTRHDDDQNCSVDDWMIQFARLFKNHVGFDSDLYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMAAARKRPPLSDDASLECILEEVKVAYEWACAEYGKAGVKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGFFNHAEDNMEKGMEMWEGMQNTRLRGLSKPNKEKAIFEKMGIDGYMKDISSDEAFEQASSIRSHVNILWGTILYERSIVEFNMGLPSWEESLTVAMEKFKTGGASSADINVMVKNHCANETTQEGLSFKVEEIVQAWNEMYDAKKWRSGAPSFRLEPIFRRRAPKLHHILEHIHYA >OB08G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7120285:7121637:-1 gene:OB08G18580 transcript:OB08G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNIKKLLLFPRTSDDYLAGIDGFLQFAYREKSLEDKIRCPCKKCVNKWLLRRDEVYDHLVCHGMLLGYSPWGCHGETASFISANSRGTQSQSRGMDCNMRQLVQDAFGNTNNDPLLNEYDAQNSLNSGPDHETKTFYDLLRDAHDPLWEGCELTRLSFLVLLFHIKSVNKWSNKSLNDLLAILQQAIPNGFDPVAAPFVPEVVAEVPRGSKQGKWHPSLIILNP >OB08G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7129111:7137144:1 gene:OB08G18590 transcript:OB08G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3MRY0] MAISAHMDSETIVNFFRGRSILITGSTGFLGKVLIEKILRVQPDVKRLYLVVRATDIGSATRRVENEVIGTDIFQILKEQYGSGFKSYLEEKLCPLAGDIIYENLGLDSDKLTELFKEIDIVVNSAATTNFYERYDVAFDSNVMGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMNEALRDGAHLDITSELNLIKETKIEMRTKCCSMKAEKRTMKNLGLKRAKHFGWPNTYVFTKAMGEMLLGHLGGDLPMVIIRPSIITSILKEPLPGWTEGIRTIDSVLIGYAKQALSFFLVDPDLIMDVIPGDMVVNAMMAAMAANSEQPAQTVYHVTSSLRNPALYAVLVDTGHRYFLANPLRTGKNGERARLRRMRCFSTVASFRAHMVVNYKLALEIIRLVNIALCGMFSGRYEEMSRKYSFIMHLVELYAPYTLFKGCFDDINTQKLRIAMKKQGQKTDGGYYFDFDPKSIDWEEYFYKVHIPGVVKYLCD >OB08G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7170408:7180305:1 gene:OB08G18600 transcript:OB08G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3MRY1] MISQMNSENVVGFFRGRSILITGSTGFLGKVLVEKILRVQPHVKKLYLLVRANGVESATRRVQNEVTGKEIFQVLKEKYAGGFEDFIQEKVCPLAGDIIYEDLGLESAKLTELSKEVDIIVNGAATTNFYERYDVAFDSNVMGAKNICEFAKKCTKLKMLLHVSTAYVSGEQEGLILEKPFLMGQALSEGTQLDITSELNLIKETRRDLKANCCPEKTEKRTMKELGLKRARHFGWPNTYVFTKAMGEMLLGHLRGHLPVVIIRPSIITSILKEPLPGWTEGIRTIDSVIIGYAKQALPFFLVNLDLIMDVIPGDMVVNAMMVATAAHSEQPTQTVYHMTSSLRNPAPYAVLADTGHRYFFANPPRMGKKGERARLRRMRFFGTVARFRTHMAINYKLPLEILRWVNIALCGMLSRRYEEMSRKYRFIMHLVELYAPYTLFKGCFDDINTEKLRIAMKMQEEKHDGRHYFDFDPKSIDWEEYFYRVHIPGVVKYLCD >OB08G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7195735:7201968:1 gene:OB08G18610 transcript:OB08G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: UPF0103/Mediator of ErbB2-driven cell motility (Memo), related (InterPro:IPR002737); Has 1074 Blast hits to 1072 proteins in 474 species: Archae - 213; Bacteria - 366; Metazoa - 159; Fungi - 135; Plants - 54; Viruses - 0; /.../ Eukaryotes - 147 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25280) TAIR;Acc:AT2G25280] MERVRRASHAGSWYTNNASKLDEELDGWLRDAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNTTGKFEFMDHSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPNAFKQYLQEYENTICGRHPISVFLNMLQHCSSKVKIGFVRYEQSSQCKSMRDSSVSYASAAAKLDTPAEEDEQD >OB08G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7202551:7202739:-1 gene:OB08G18620 transcript:OB08G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQFFQTVVPKPHPQFPPLPIKLCSPCSVEIKVPFDSKEFHRNFRGFHSYRNFSIKPFESKE >OB08G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7208464:7209147:1 gene:OB08G18630 transcript:OB08G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKPTRIELRSSDRDELEDHLRAPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGRRAVRLPRRVPEHTRRVPAGGRRQPRPRRGARRDVV >OB08G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7227897:7230737:1 gene:OB08G18640 transcript:OB08G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMRYSPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQIRVYGLPIRPSFCRAVLDKDELRKELDMDPELPAVLLMGGGEGMGPVEETARALGDELYDLRRRRPVGQMVVICGRNQVLRSTLQSSRWNVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTRAEERRRHSRNALKLAQPDAVFDIVRAIPRLQQQQQPATVTRIPFSLTAPFTYSL >OB08G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7256869:7257078:-1 gene:OB08G18650 transcript:OB08G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRKCFTRICFLKLHAQLLCKRISLTGKCSISNNPIGHANLTMINCFMDCLTSLDYYIFIPLANLCI >OB08G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7261604:7261801:1 gene:OB08G18660 transcript:OB08G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAIDTITLVLQTPNYKSQLCPVGRVATETSKKRHLHLHWGIGQHRSPPTAKLVRTSQQTQSRQSE >OB08G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7263114:7264130:-1 gene:OB08G18670 transcript:OB08G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLARRIAGIVQADSNTSFRALNEVIFSLTQYRVKYEKAQRANLHQGFQVLHAYNGYRWYFPTGKYKDTLLTAIAMDGNDQLLPIAFALAEGENNDNWSWFMSILRLEKHKYKYVIGKFKGLCYLLEKKDFKKLKALWNVLKKPRRAWLKIQKPQRTKWAQAYDEGSARYEMMTTNVAEVMNNVLGVTRAMPMSAILEFTFKKCNKHFVDRYLHTQKEINTGHKWAGS >OB08G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7264673:7265334:-1 gene:OB08G18680 transcript:OB08G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVRAYSGGTVSSDGKFDAINVNVLVFATGPRWAELCDPLCSRIGEISPTVMLRMEGRYDAGIGSREYFVMMPLSCEMEWSTGNHNKGETISGEFDTGTHIIGDHTTSSPISRDFDNGAPYSRDSDTTAPLAGVVGHENDDASMDVTPCGEPIVNAIINYMQNNGQGND >OB08G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7268134:7270911:1 gene:OB08G18690 transcript:OB08G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVILFAVKKIGVALGTEAINQATSYFKKYVIQLTELQGSMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEVRVLVHGIEDIVDEYLHLVGQKLDTGCRTYLKKGIKRPNVMVSLSRIASSVKEAEANLVHLFQAKDRWVLLPTDNSSYIVERSQHLAATSRSICDEDLVGVDNDKTAVTQWLQDDVTECLVVALLGMGGLGKTALAANVYRREKEKFECRAWVTISQTYSRVGVLRNLIEELFKDKEDAPTDIATMDVFSLEAKLKSFLQEKKYLIVLDDVWTPEVFVELSNAFVRNNKGSRLVITTRESNVASLASQSHVLTLKALPNDKAMELFRKKAFPSDTDEECLAQLGKLSEEIVGKCKGLPLAIVSVGSLLFVREKTIEEWRRINDQLSWEIINNPRLDHVRNVLLLSFIYLPSYLKSCFLYCSLFPEDYPLKRKKLVRLWVAEGFIVEKGESTMEEVAEGYLKELVHRNMLQLVQRNSFGRIKTFKMHDIVRDLAVDLCRRECFGVAYEDGNHGRSLEENDERRLVIHKFHEDVGRSVLGVCRLRSIIALDKSTPPSTILPSVLDNSRYMSVLELSGLPIETVPGAIGDLFNLRHLGLRGSKVKLLPESVEKLSNLLTLDLSGSEIRRLPRGIVKLRKLRHLFAEKLMDATWRDFRCCTGVRIHRGLGNLTSLQTLQGLEAQEGSITHLGELRHLRSIRVWNVRGAYCGRLCASLVKLRFLSNLYIVAGNENEALQLQEMKPTPPKLERLFLRGRLAQGTLQESPLFLDTARLNLHELRLYWSQLEQDPLQSLSLLSNLTDLRLTKAYTGHKMVFLPEWFPNLTILWLRDMPGLEELEVQEGAMKKLQRLTLVNLTKLAEVPPGIEFLKTLQYLGFLEITQNFLNSLQSSPRIANFRWQYSLRDSGVAADR >OB08G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7272403:7280504:-1 gene:OB08G18700 transcript:OB08G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCISTIDPPSPHLYAITLMEMENGSLGFACIVSSSLYVWSREVNSEGAAEWVQCSVIELEKMVPVANQNDKAAVVGSAEGVGVIFVSTGVGLFAVELRSRRVKKVQQPGVYFSVLPYMSFYTPDRGTLLSLARTH >OB08G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7285680:7289899:-1 gene:OB08G18710 transcript:OB08G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHTMSGTPKDGSVVDAENIIVGCYMLVHEVHLSTKAVEVWDTRRREHGVVAHSATSVEASRRWRTRAPDFLFAKMHI >OB08G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7291333:7294926:-1 gene:OB08G18720 transcript:OB08G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFNPLVAELPCFGAVCCSLREKSKVDFILKVGGGEMTAIPGISDAIEGTIRDTIEDQLTWPNRIIVPIVPGDYSDLELKPVGLLEVKLVEARDLMNKDLVGKSDPFAVLYIRPLHDKTKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTLKIYDDEGIQSSELIGCARVDLSDLHPGKVKELWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKHEGVSNPFADQIQLTSLEKVLKTDSNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGEAKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYMGRCILTLTRVILEGEFQDTFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >OB08G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7368210:7375971:1 gene:OB08G18730 transcript:OB08G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3MRZ4] MASTAPREEDLLMEDDEHRPSLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRAKADQVRYVVLKWTFCFAIGIITGIVGFVINLAVENVAGLKHTAVSSLMESSSYWAAFWLFAGTNLVLLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKIIGNITAVSSSLHVGKAGPMVHTGACIAAVFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCSSGRCGLFGKGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVAGALLGALYNFLMIKVLRIYSVINERGNAHKLLLAAAMSILTSCGMPGLAPCRPCPADGPPSPSPPNGSCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGPPGRLVAMLLGGHSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILQLKGLPHLDGHAEPYMRQLAVGDVVAGPLRSFDGVEKVANVVHTLRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLLVLLKKREFLPAAERYPKDYVAGRFEAQDFDKRGSGKQDTIDDVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPALQGSRWKRLRWQKGAVAKQFRSLLDWIATSG >OB08G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7374159:7374785:-1 gene:OB08G18740 transcript:OB08G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKESATVLEMSSTSMTSGRRSRLLVSSRMMTHTDTVIRSVPPRKEAEPRMATRPWSRPEWPPSSMATRRPGGAGEDDGYEQPRRRDDAVAEHAERVGGDEEGEHGARVVDVVGARGVEVADGIVVDVEEEAGQVVVVPRRAVEPPEPIQRVAAPIRRRRRRRPVGRARPARRQPRHPEHDVRMDIAAASSSLCALPLLHNTTQQI >OB08G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7386008:7386187:-1 gene:OB08G18750 transcript:OB08G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDIYNLRQIFLQLICKHPRHYLVNHITKTYYGLEISCLVRLFHSWDKSYTMIFFLEK >OB08G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7385667:7387432:-1 gene:OB08G18760 transcript:OB08G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTGKWMRSNIYDIITHPDVYKEVDEEQVERERMAASNSMRMEVRCCWN >OB08G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7404633:7404833:1 gene:OB08G18770 transcript:OB08G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCLLITCQLITAKCLLHFVTNIYGVHMSRDASFMLPLYNFCCTKENRVVGQMLVVHACPQLLLF >OB08G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7408568:7408756:1 gene:OB08G18780 transcript:OB08G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYLNPDLGGFKLFLWQFNRGKPHNPACLNSTHIMLIGKRIFTISFIANFLAPLLFLPTKN >OB08G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7462288:7463576:1 gene:OB08G18790 transcript:OB08G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVFALLLMQLAAAATVSAAKIPLGRRCERMCGGVDVPYPFGTSYGCHRRGFRVTCDRTYQPPKLFLQSNGPEVLEMSVRNSTVRVRGAVWLFAAGNASAEEEVEAPIPTGLVSFHVRLSWLDRNSTAAAAQAQPPPLWVAPGARILAVEEDWWSDRQNVAAVKTSLFASGRTDGLVIPAILDWALCCAQNRSARQRDDRRSFVLKNECFPSKAPKKFVFFVEEPTVFQRKTAKI >OB08G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7468528:7469321:1 gene:OB08G18800 transcript:OB08G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCADAAKRADYGCIGKNSECVNSMSSAYGYVCRCNDGYNGNPYLPNGCMAPRMRFAAGVLLSMGVGIARRLRAREVKKVREYFFKQNRGLLLQQLVDKDIAERMIFNLEELEKATNKFDEARILGGGGHGMVYKGILSDQHVVAIKKSRVVI >OB08G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7473256:7473660:1 gene:OB08G18810 transcript:OB08G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELLTRKKPSVYISPEGIGLVAHFTMLLNQDEVCDILDGQVIEEGEDEVQQIVAIAAICLRVKGDDRPTMRNVEMKLQGLQGNSNNNIGMQEQIHELYGLTFQYGNGDANDNCRSRQHSMGEEMVLSASLQR >OB08G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7484769:7486823:1 gene:OB08G18820 transcript:OB08G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDMESLASRMPTRDLRTYTTLVNAYCLAGDIPAAKRHLASLLQAGLAPDSYAYTSFVLGYCRAGLLTHACRVFVLMPLRGCARTAFTYTALLHGLFGARMVREAMAVFVGMQADGCAPDTHVYATMVHGLCEAGRTGEAEVLLEKAMADGFEPNIAVYNALIDGYCNAGDVEHALKVFEGMDSDRCSPNVRTYTELIHGFCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLLETSGLIPNNWTCSVLIDALCKREKVEEAQLFFGSLVQKGVQVNEVVYTSLIKGLCKAGKIDAADELMQKMISEGFVPDDHTYSSLIDGLCRQKNLSQAMLLLEDMIEKGVEATAVPYTIIIDKLVRELGSEGPKKLFDKMIEKGINPDVVTYTVFIRTYCEEGRMADAESIMVQMVDRGIFPNIITYNTLIRGYANLGLISQAFSTFEQMVGKGCKPNEESYTVLLKLVVKKNSCDNISENSVDLWKIAGLKDLQGLLEEITQRHLPLDVNIYSCFIRCLCRVDRLEEAKYLFMGMQGANLIPSEDVYTSIIDCCCRLKMLTEALTLLDSMKKGGQFPHLESYRVIISSLCEGGNFQAAKEVFGDLLLKEYNHDEIVWKILIDGLLQKGSVAECLSLLSVMKAHGYQPNDTISAMLTGEITVKNEVQEIAR >OB08G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7506615:7516932:1 gene:OB08G18830 transcript:OB08G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAQEISAAAGAGAGAGGDGAAAAEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSIHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEINTIYKITRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMAAIPPGMEFSHIVPHDVDLDGEEANEDGSGSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATQNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQMWAQCRQNGLKNIHQFSWPEHCKNYLSRVGALKPRHPRWQKSDDATEVSEAYSPEDSLRDVHDISLNLKLSLDSDKSSTKENSVRRNLEDAVQKLSRGVSGSRKTESVENIEATTGNKWPSLRRRKHIVVISVDSVQDANLVEIIKNIFEALSNEKLSGAVGFVLSTSRAISEIHSLLTSGGIQATDFDAFICNSGSDLCYPSSSSEDMLSPAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNESGQVVLVEDEECSSTYCVSFRVKNTEAVPPMKDLRKTMRIQALRCHVLYSHDGSKLNVIPVLSSRSQALRYLYIRWGVELSNMTVVVGESGDSDYDGLLGGMHKTIILKGSFNAVPNQVHAARSYSLQDVVSFDKPGITSVEGYGPDNVKPALQQFGLLKDNV >OB08G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7523157:7525804:1 gene:OB08G18840 transcript:OB08G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16270) TAIR;Acc:AT4G16270] MDKLATVVALYSALQLALACGAAAASAAAQGCDGSVLLDDNPFFVGEKTAGPNANSLRGFEVIDDIKAELEKACPETVSCADVLAIAARDSVVASGGPRWQVEVGRKDSRTASLQGANTNLPAPTSGVATLVQKFRNVGLSTKDMVALSGNHGCSGGPRWQVEVGRKDSRTASLQGANTNLPAPTSGVATLVQKFRNVGLSTKDMVALSGAHTIGKARCTTFSARLAGVGVSAGGGTPQGDMAFLQSLQQLCAVSAGSALAHLDLATPATFDNQYYVNLLSGEGLLPSDQALASAGPSAAARADEDVAGLVAAYAFDASLFFDDFASSMLRMGRLAPGGSRHHRRGPPQLPGGELAEIYIPHAFNLGVDLK >OB08G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7537487:7538244:-1 gene:OB08G18850 transcript:OB08G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSRSLGASSVAALQPSPSQVLLRSLECGTWPCSAFREFRSGTGNRNGRERHPENIWNVIRRCREHGDGSKKIQDQFVDIGRILVVLPLRGAVTAAARGKGAAAARGVRWEARRGSGKGKGRMVRVRCDAAITEKPIGEEEAADEQFEY >OB08G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7544331:7545700:-1 gene:OB08G18860 transcript:OB08G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAFATSVHRRKMWDTAKALTLRPQQCNLGLQRCSAMLLLLMVNAERVKCLERKHGGHVVMAPRVDVNLTQDTSADPYIPPVKKAKKCSSQVWNHFDKYEKKTVKDDGMESIELWAKCKKCSYKSRREDNWGNRSSRVPPHFFTPA >OB08G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7549431:7562640:1 gene:OB08G18870 transcript:OB08G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTIAAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPSKYSKLLVEMDDGLEFSFTDKRRFAKIRFLDDPEAVPPISELGPDALFEPLQLNDYVQSLSRKNTPIKALLLDQSFISGIGNWIADEVLYQARIHPMQAASKISKEKCKALHQCIKEVIEKSIEVGADSSQYPENWIFHSREKKPGKAFVEGKKVDFITVGGRTSAYVPELQKLDGMDATASSAKISREKGRSNKAAREVDDDEEEAKPAKRGRKQSGKATHEVPARMPDTK >OB08G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7566750:7567062:1 gene:OB08G18880 transcript:OB08G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPVPHRARSGSHPSPRGRAAAPRRVARTTLPHSSTRWRRRLPPCNRAGLLRIPRLRLRPTATGRSRWTTHRSQWSASYSCSTTNPIQSSQPVINHSSAN >OB08G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7572577:7577747:-1 gene:OB08G18890 transcript:OB08G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1 [Source:Projected from Arabidopsis thaliana (AT5G19400) TAIR;Acc:AT5G19400] MMTVPMDKATASPSPRELAQRLLKKNAEHESRLRRSTQSKVPSDPNIWLQMRENYEKIVLTDHDFSEKHEIEYLLWQLHYKRIEEFRGHIVAAGKNNANLDRAKRIRSSFRGFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDCSPVPDKDEKKMVGVKKGLLSCYRCLIYLGDLTRYKGLYGDADYANREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDSPFSAARENLILAFDKFHMQNHEIYTQLSGNNKTPAGRSLPSRSVGRARGRGETRFQPKGHSTEQTSKEREYSIPEILKAFYVRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPHEELNFGVEAAENALSVVKLVAILIFTVHNANKDTDNQSYAEIVQRRVLLQNAFTTAFEFVGYLLRRCVELHDIASSIYLPAILVFIEWLACHPDFVACSEMDEKQADARSFFWNQCVSFMNKLILTGLARVDGDDDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQVILDFSNKHVFGSEGSAKEKKSRVERILAAGKALLNFVQIDQLRIYFDASSKKFLIAAEPPCSESSIPPVGSSNVHMTNRTEQEPEVSSKIGSVGENLDVLESKAQFYPDGDDDEEIVFKPPVSEKLLRVPLEQTSNEFKQPGLMPDGNWSNNGAPPPMTFHSNGPVPTPNIYVQSFPISSIGWAANAGQQAIPGVGPRSTSEFLESLKASDRTWVSTGAPLAGTQDTVSMPSFSNIISDQRTSASSLGCFSNLDNTPMLPGQDPFVLSALKNVNIGASGFLDQRVNGGFSGLQSLGSVPQVSVEATLNSTNSIIGQFPTEVTIPSAFRSVMPSVASSDGISMKSMETQTGVSKKNPVSRPGRHVGPPPGFNNAPSKRQDDSNLVGTGQHVQANDGTWLDGYRPSSEHVNNQRFGHSNVTTASSAFTTPFPFPGKQAFNMHPRGNGEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEPFPAQSVWSGNYLV >OB08G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7580115:7580276:-1 gene:OB08G18900 transcript:OB08G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDRGMAVRGSTLAKGKTVLPHFLSFMNYFYSCICTKSNGSGKWKKCRPKQV >OB08G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7614655:7616017:-1 gene:OB08G18910 transcript:OB08G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSDHVFGERRCNATTLDSNYDGCPDPGDRSIFAAPPDNDSDPSCGFNPGDRSILTTLDKDSSMSSNGGNNEGVNGGDGNDIEKDTVNNNTSSIEQAHEIQVLAKELENNNCELPDRFVAGDIIAKLPSSWLNFVTSLKYKRQKFSVTDLIGSLGVEENVRAEDNCGKKFNGGSSANLMKKKKPHAPHNKKVKLDVKPNATTTFKKKDM >OB08G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7616699:7616914:1 gene:OB08G18920 transcript:OB08G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKRAGNFPFPPQPYKSHKSGHPLGIFSWHLQILLENKDSSSREIRRGKKRKNSPRSCPNPCSSLETHGEH >OB08G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7622178:7624448:1 gene:OB08G18930 transcript:OB08G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTMSFLDMLSQSGLRMGLFAYSALLIQLSRLGMTGAVIDRYHRMLGELVQPNLIIYNAVINALCKDGNVADAEAIVKKVFESGMRPDAFTYTSMILGHCRKHDLDSALHVFNQMANEGCEPNTVTYSTLVNGLCESGRVNEAFDLIREMTLRGVHPTAHTCTGPITALCDMGCYEDSWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMVRDGVLPNTVTYNALINILVENSRIEFASVVFNLMDRNGCSPNTVTYNEMIKGYCITGDAEKAMLMLNSMVQAGHSANVVTYNTIIKGYCDSGNTTSALRILELMRDSGCEPDKWSYTELICGFCKISKMELAFGLFNEMVDRGLCPNEVTYTALIDGYCKDEKLDTATTMLEQMKRSGCRPNVQTYNVLIHALTKQNNFSGAEELCKVMIKEEIFPNVVTYTAMIDGHCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIHALGQEGMVEEALNLFGKLEGQGLIPDEIAYVKMIEVYIMSGKVERAFDFLGKMIKAGCQPTMWTYGVLVKGLKNEYLLADQKLAALPDVVPTCSFDYQTTDQEAVSVLSAKLAELDSDLSVQLHNALVSKFSAAGRWFEANELLGSMISQGLCPDQEAYNSLVCSLLRARNVDLAMGVFKHMSAQGCEIRLDGYKELICTFCQLHRRKEARITFENMLTRTWNPDDVVQTVLIDGLLRAGLKDVCMEFLQIMETSHCVPSFHMYTILAREASK >OB08G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7670923:7671096:1 gene:OB08G18940 transcript:OB08G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRVSVSSLLTCEYSGWSARILVMLYPSAAAAAAAAAATTIATAASRRSRRRALS >OB08G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7670780:7674256:1 gene:OB08G18950 transcript:OB08G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLRRRAAVAMVVAAAAAAAEGYNIAKILADHPEYSQFNKLLTDTRLAGDINRRRTITVLVVANGDMGALSAGHYTLPTLRHILEMHILVDYYGAKKLHQLARGDTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFAGLLAANADVYSNLNATKDNGLTLFCPVDAAVDAFMPKYKNLTAKGKTAILLYHAVPDYYSLQLLKSNSGKVSTPATASVAKKDYSYDVSNDRDSVLLDTKVNSATVTATVKDADPLAVYAITKFLQPKELFKVTEDLAPAPAPEGPKKKTKKKKPSATSAAAASPSDDSXXXXXTAAATAAAALALAA >OB08G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7677030:7682103:-1 gene:OB08G18960 transcript:OB08G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLGGELDGDVDRGNGGCRWRWFEPAVDVIGGLGRNSGCDRGGGGSSVRLGEEAVAAASGWGRRQRQQDGGSKTSLAHFDGSLCLAYAGGKLGFVYIPVGLIDGGRTKDMSASFAVLAFASATPASSLSQEMVATSCSNSSCSR >OB08G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7705387:7713090:-1 gene:OB08G18970 transcript:OB08G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGILLSTLCAPSVHGPAAATGLCAFAASSFYTIRFATWSPRRGTSRGHPHILLHSLVTAPPLRCVTSPIANVEDYTEGEVAPGYTMNQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYKPYFYKHCQERIDMENDSSMKQKLVVLARKVKKIDDEIEQQMELFTQLRENPTDINAIVARRRKDFTGEFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKMKELDSSLILLINRAWAAAKDSTTMKNEVKDIMYHIYTTTKESLKSISPPEMKLLKHLLNIEDPEERFGALAAAFSPGDGHEVKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEA >OB08G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7718012:7722612:-1 gene:OB08G18980 transcript:OB08G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLASPYCPLYCRASSFPRASVVRSPSPGEGARLRSRSPFIFKIIGSLSHCGKDSIVEELAQDDLVNAVELSQWENGKSINGIAASQGIRIRRHCRPGAPLEEIEEELGAPRNILEKIIWAKEVEVAEGHAKKPMEEVIEAAKNAPPVRDFFGALGSAFKRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKFFQGSFENLEKVRNSGVKCPLLCKEFVIDKWQIYYARSKGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLKISGVQLIGINNRSLETFVVDTSNTKMLLEKHGDTIREKRILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQDDPGRAISGLFGKELLH >OB08G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7728313:7735111:-1 gene:OB08G18990 transcript:OB08G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANVKTLRSYMSDLEEEATKRSAEEQRQLTAIEAHGADLAQVRAQTKQASEEAEQLSKRKAHVCVEMSEKQGRIAALEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLEVITIEPSAEASQGKQNLLQGQSHGTNSCGSIDKGNDIGNKQGEVRIQLESTKLKVEEIKAKQSALLLEINNSKQTIEQEKNTISGFPAPLQQMDIKSLEEERKALQADKDGEAVSAAVKCRCGLEYKVELGSKAMDLS >OB08G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7755003:7755916:1 gene:OB08G19000 transcript:OB08G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCRSQAPSDEDTLSPAPATSVEVMAMQTQPVQVMVNNQRNRESCSLGEFMSSKPPTFTGAEEPMDPEDCLCKIDKKLTLVLHEHVSYIFLLIHYVWHFIN >OB08G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7760339:7766744:1 gene:OB08G19010 transcript:OB08G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGKEEEEEVNDHPIEEVRNTVPVRDDPSEPCLTFRTWVLGLSSCVLLAFVNEFFMYRTTQLSIGTVVVQIATLPIGRLMASVLPTRRFRVPGGWSFSLNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRAKGQLTRLQFFIIVMTCSFAYYIVPSYLFPAISTISVVCWMYRDSVTAQQIGSGASGLGLGSFGLDWNTVAGFLGNPLASPAFAIFNIMAGFALSTYVALPLLYWTDTYNAKRFPLVSSHVFDAAGGRYDTARILDPDTFTLNLKEYNSYSRINLSVLFAINYGIGFAGLMCTLSHVLLYHGRDIWGLWRKTAAEQASGGSKEQDVHTRIMKRNYKAVPQWWFHLMLVIVMALSLYTCEGFGRQLQLPYWGLLLACGIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMAQALTFVADFKLGHYMKIPPRSMFVVQLAGTVVASTVHFGTAWWLLTTVRNICDMDSLPAGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGLLAPVPVWLLSRRYPRSAVLRNVNMPLIFAGASGLLPARSVNFIMWGLVGFVFNHVVYRRCKAGWMLVDAAQLRARRGARRRRRLHGRAHLRFAGLLRRIRGAVVGC >OB08G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7768593:7768961:1 gene:OB08G19020 transcript:OB08G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRFAPSKSDSHTRDLDHNSTPPPLPNWATGSSFRIIATADWQHVPYPRHLVAFLELIRSSPFPSTPVSCGQASSTEGWQYRRDVGRRAPCRRVRGEEIIDGDWVIHTTIANSSSIEKWRA >OB08G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7774235:7778265:-1 gene:OB08G19030 transcript:OB08G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G13224) TAIR;Acc:AT3G13224] MAGGKMKEHDGASPAKIFIGGLPKDTTMGTFKEYFGKYGEIVDAVIMKDRFTQKPRGFGFITFADPAVVDRVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSRFGKVLEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPPRSIDSEPRGRPHADGYDGFGSSYNYGGSFGPYRSPGSFGTRHGGYSSSYGPGDYASGYGAYGGALGGYRGESSLYSSRFGSSYGGNFSGGYGGGSYGGGLGGAFGRDVGGFGGSSYGPSYDSSSGPDPGVGFGTGGLYGARGGYGSSGGSAAGRYHPYAR >OB08G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7801839:7802421:-1 gene:OB08G19040 transcript:OB08G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQKLSRWEGDSELLAQTGTGGGVEKVGAWQDEDDDGNVGLLEIGGGGEVGLDRAGRDNKH >OB08G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7802344:7802844:1 gene:OB08G19050 transcript:OB08G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPSIPSFPSLSPAKSSPESHRSHRRCTALFDRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPPPRTPPPCEEKKEREREKRKNVREKNRNFLFKNNSRNSLFTLNLFAYPFDLRFQIRDLF >OB08G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7824060:7824350:-1 gene:OB08G19060 transcript:OB08G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRFNTCYCSEIWCKFLGVTIGFLVCWDSLSPLNIPSNMFLRYLRNPTSMFLCPPSLSMCAGPLPLSLSCVVCRHCLALPPPPLPTAIGHHHSC >OB08G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7828243:7828548:-1 gene:OB08G19070 transcript:OB08G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGDIVPLFQNHEAKAKKNATSYFDPVVDEPCLTQEKFKTLGELPIKLVETNKLVLCFYELVCSFLKLVLILLVITASAERVFFFCIEPSKNQVEKQYL >OB08G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7835659:7846250:-1 gene:OB08G19080 transcript:OB08G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPPSESSADASASVSATAAAASSSYPSSSSVEGLAAGMAAMSLKDRFELLRGIGEECIQEDELMNLLQNKPVPVCYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQILYPCMQCADIFFLRADICQLGMDQRKVNVLAREYCTDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPNVVDGNPCLEYIKYIVFPWFEMFEVLRKEANGGNKTFTNMNELISDYESGALHPADIKPALAKAINQILQPVRDHFNNNSEAKVLLNTVKKYRVTS >OB08G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7854297:7861675:1 gene:OB08G19090 transcript:OB08G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPERREAHGEGRILDITHYYREDMPSWESDGGVGQFLWLPASMRNGSRANNSEMRLPTHTGTHVDAPGHVFQQYFDAGFDVDSLDLDVLNGPALLVDVPRDDNITAKMMESLHIPKGVQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTNIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIMPGIYSLHCLPLRLRGAEGSPIRCILIK >OB08G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7866215:7870173:-1 gene:OB08G19100 transcript:OB08G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT3G46630) TAIR;Acc:AT3G46630] MAPVAALARATAFAGPLFLRVRRLAPCAAAPRRNSLFSGLHTADEPPPPAQPPASGKGAEPGPPLPWRAAEAEIVRDIEPVVQLIKDILHSDRYADGECLTSKDENIIIEKLLAYHPRVDDKIGCGLDAIMVDRHPQFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >OB08G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7904397:7908550:-1 gene:OB08G19110 transcript:OB08G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein [Source:Projected from Arabidopsis thaliana (AT2G23310) TAIR;Acc:AT2G23310] YALRVWFAGGYYIVTYALGIYILNLLIAFLSPQVDPEFAEVLGEEGATLPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFSVFDVPVFWPILLFYWVVLFTVTMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >OB08G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7963594:7967330:-1 gene:OB08G19120 transcript:OB08G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64670) TAIR;Acc:AT5G64670] ERTLKPHSPPKPAYEAEAAHRSGNVAPRLPAPTQHRHRHRHRHRPPPPPPATALSSRVPQPRPASAIPKLLPAPKLASSLSYATQAAAEATRAPTTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINSGNIDSSELITMKTLKDTGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRTTARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKREAARVIA >OB08G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:7979253:7993094:1 gene:OB08G19130 transcript:OB08G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:UniProtKB/TrEMBL;Acc:J3MS34] MKLLPREAEKLALHNVGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKTVTDLMDLGKHLLGRRQVLPAVPHLLETVQVEGTFMDGTKLITVHDPISSDDGNLELALLGSFLPVPSLEKFSCVVVDDFPGEVHFSSGRIVLNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVTLVSIGGSKVIRGGNGIADGVVNKSQINEIMEKITANGFGHEDYPDSSVGIIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIENDFAIYGDECIFGGGKVLRDGMGQSAGYPASHCLDIVVTNAVVIDYTGIYKADIGIQGGLIVAIGKAGNPDVMDGVNEDMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSHMKLMLQSTDELPINMGFTGKGNTAKPDGLAEIIEAGAMGLKLHEDWGSTPSAIDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDRNIPEDVAFAESRIRAETIGAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKRQRGRLPVSSSSDVEEDNDNFRIRRYIAKYTINPAIVNGFSEFVGSVEVGKLADLVIWKPSFFGAKPEMVIKGGAIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKAAKEGGVAVQYKLGKRVEAVGGVRGLTKLNMKLNDALPKIDVDPETYTVTADGEVLRCQPAPTIPLSRNYFLF >OB08G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8020566:8020868:-1 gene:OB08G19140 transcript:OB08G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding TISTIERKKKKGSNSLVFTRKKAFYIGIVKTTICPISCRKKGHFTRIKIGRKKVWPISQFPNLLKKKGAIEELEKDLQKEVNSVNHNDQCIFWILYYPHV >OB08G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8026292:8027642:-1 gene:OB08G19150 transcript:OB08G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAKDGGGGGKLARELESSITVEDWPRVLYHGGGLASSPLSRWRTGLESSITVEDWPRVLYHGGGLASSPLSRWRTGLESSITVEDWPRVLYHGGGLASSPLSRWRTGLESSITVEDWPRVLYHGGGLASSPLSRWRTGLESSITVEDWPRVLYHGGGLASSPLSRWRTGLESSITVEDWPRVLYHGGGLASSPLSRWRTGLESSITVEDWPRVLYHGWRWSSSPLSRWRRQVPLTNRHVVADNALSQVVPSVAVLRTTAPQAFDTEVIGASYAMGFVVDKSHGIILTNRHVVMSDGDRVHNESCHFPVEFWACCHGGNVREQGGDPFYPLYRDPFILGSMKGF >OB08G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8037003:8038979:-1 gene:OB08G19160 transcript:OB08G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGPVRKKNVMKANVMLERKKEYATILAFDVKVMPTACDLAEESGVKVLMSELWQGRRGACSAPHGRQVGGPRGGSGNGYGSGQTHHGSGWGGCGSSRLGGGSPTLRCLFAAAASAGHSSPYGWRLNMAAGVSSLCGGHGTYRAFGHLADGYVNWRRLTAT >OB08G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8037338:8037715:1 gene:OB08G19170 transcript:OB08G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTPKTIGSFLLNTVWHNLQNLGENSFFSTFFLLLFARVLNVCCKLVKNMVYYICHKDLYTRLFSKITGSRHHFDIKCQDSSILFLPLKHNIGLHDVFLAYWPYTEITEGNIHNRALQELGKSFQ >OB08G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8040854:8047516:-1 gene:OB08G19180 transcript:OB08G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVGCGGSPVRRIVTISLLSSVSSIAAKVAFLLFDSWSAKKKEHYVIPTPTAPPFQYAANLAANPASLIQTGALRTNDMLLSDGIQSPRSASAAFQDHNYDNKDNVPSPADSFKKLQASGIVESKSFDIFTTLQEQDGRKGLFVDRGVGCTMFAKSFSSCSLASVLNFVTGKAMSEAAGAVAAAAVADQLHGSNEDRNLAIVLVGLRARGKTFTAVKLTRYLCELGHETRHFNVGKAVLKDLKYADTHDKYDKRSQISRSRYRTFHLRLRPAGVTITFGGFNLIKTESSFYDLFNLTTSSHYALPNYCTVNISTVHSVAFYMY >OB08G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8051318:8051851:1 gene:OB08G19190 transcript:OB08G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVIPSSKIQANESHSINRKKPTQLGVDDDAVNCGGGFGGHQAQIQRSKGWPGRVPAENTVEESHEVTAQRRFLTSWTSRAGANGDLGPRVWGLEIGGDLAWIWDFMHRFGAQELVWRELDERFGKEIEGGA >OB08G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8062200:8062442:1 gene:OB08G19200 transcript:OB08G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFGQTLESFLEETLEAIPESVLDAPIGKDPSSEEGHFADMDSLLPPRYTSLTNSSHESSVLNCIHLTHHCFRGNAKITGEK >OB08G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8068149:8086771:-1 gene:OB08G19210 transcript:OB08G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAPTPSLIRCRIATSSSAGVLGRGWSTCRRHPVAWAARAAADQQGGVQQQQQDEEDDVVDTNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGASNSVKCANCGSSLVYDSASRLITLPETAEA >OB08G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8089458:8091653:1 gene:OB08G19220 transcript:OB08G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRGVGKDLDCFFVMNDERFDRDRLRLNIYLELQATLTHNLNNRLRLELQVLCKIFIVSAITARYLRYWLAAITAGGGVAGDQAGPMRSPERGSGEEAVARGEEAAARGGWEQAGWRRGWGGRRMAGSARRPARGAGRRLEGTAAGRTLEGSGAREQAGGGCSAGGRWR >OB08G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8093507:8095706:-1 gene:OB08G19230 transcript:OB08G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPASPPPSPPCSEPPHLAAAGHRRLPQTPTPSPCSVSVSASASLIAGLTAVISSGHPASTSSFPSIFPRALRAAADLRLPDLGLQLHALLTKTGLLDHPLSASALLHLYATLAPLPCARLLFDRIPKSAATVPWNIMILRYAQDGFLDEAFELMMEMTECNVPVGASTWNAVIAGCISAGEGALAVSLLGEMVSAGGVMPNVATFNTLLHVVAVLEGADVLRELHGFVLRNTRIVGFGHVDLDRLWESLAAGYMRSCCVEYADRVFQDVRMSTCHLGNLMISGFLNSGQRKQAFDVFREMAFGCGHQSQHLPTISLSMVLPEVDLATKRGLEIHAYAYRHGFECDTSVCNALMAMYAKGGNIYLADIIFQGLADKDAVSWNTMISSYAAIHDYDVSFKLFREMQRNAVRPDEYTFTMVLNACSFACYLGQSMALHGQMMKMGLCDSYVDDMNSLMSAYGKCGLLEAAQKVFDETNPKDVISWNIIISCYGYSALPWQAIPLFHQMQDEGYKPTRVTFIAVLSACSHAGLLDEAFYYLEEMDRTYNISPGKAHYACIVDCLGRAGQLEKAYDLIKGMPVVPTACVWGALLSSCRIHGNIILAEICAKNLIELDPQHSGYWILLKNIYSKAMRWDDAEQLRAAMKDKGIRKCAGYSWIEIGNGEVHRFLTGDQLHEQRDHIYEVLGGLTRQMMDEGYEA >OB08G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8097067:8102297:-1 gene:OB08G19240 transcript:OB08G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKREELHVVKPSTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEADIFPEVDSTWKTFREIQKLLSKTNGKIIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVNSSGKLVGIITRGNVVRAALEIKKKLEGNL >OB08G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8109188:8115332:1 gene:OB08G19250 transcript:OB08G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:J3MS46] MAAQVQAVPATEGGGAPPQAKGVVAPAAAPATFQATSLYVGDLDVSVQDAQLFDVFSQVGSVGSVVSVRVCRDVNTRLSLGYAYVNFSSPADAARALDMLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALHDTFSVFGNILSCKVATEISGESKGYGFVQFEQEEAAQHAINKLNGMLLNDKKVYVGPFVRKQERENVSGNPKFNNVYVKNLSESTTEDNLKEIFGTFGPITSIVVMREGDGKSRCFGFVNFESPDDAARAVEDLNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNIKEAADKNQGTNLYLKNLDDSIDDDEKLKEFFAEFGTITSCKVMRDLNGVSKGSGFVAFKSAEDASRALTAMNGKMVGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQPGYGFQQHLIPGMRPGVGPIPNFVMPMVQQGQQPRPAGRRAGAGGIQQPMQMSHQQMLSRGGRGGYRYASGRGMTDTGFRGVGGLVPSPYEMGRLPLSDAGAPQPLPIGALASALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLHNAQQQQANTPTDRLAALTLSDGVVS >OB08G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8120259:8137640:-1 gene:OB08G19260 transcript:OB08G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MSRGGGRGGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKVLEELHSHLYNNGEYSSVTFSMVDSEEVPSSTATGRLVNSMQPLSRRTRSIKGDNHFGASATPDGIPKTNSVDGSSFDGPDDDSSLDMRESDGGRIRRDSKSISREVPSFLSCATPDEFLESMTKADASLSVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIRAYSEEASKSNIDRAAKGTSNVSHSNGRFAHYQLLKQKTKNGASLMASQLVVSPISPAMAPIGDAQRAASQLLSAIFECLVDILENHITVGELLEQKSTEVDNVNTPHMANGDASWNPDSESSQATGGFTVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDAAISVPNEGQGWRRNSTVPQEGYGTASVLPDQGIFLAASVYRPVFEFMNKIGLMLPQKYSQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSSGQLDNSIPDSEAVEVEIELSELLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFIGPSTTLENKSHIHQGHHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLESIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGR >OB08G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8141027:8141650:-1 gene:OB08G19270 transcript:OB08G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54500) TAIR;Acc:AT1G54500] MALAMTRLVHHHPRCMLSKNPRTPAPPPATQHHAPRPLATTPSSHFLLRSVDVSKDDKPTLETTTTPPPDPPGAAAGLQTEEQAVPSQEEEEPKVDPRRLEEKFAVLNTGVYECRSCGYRYDQAAGDPSYPVPPGLPFGQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTGDQKALLIYGSLLVGFLFFLSRYFLQ >OB08G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8141726:8144726:-1 gene:OB08G19280 transcript:OB08G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAASDLIDGGQEAISTETFSLPAVQQRCVPTETWGQRAVAGSAELGVASKLFSRFSSLRAVDCGAHTRTGLIHTCLSPHPFSPSIVRNPRQHILLSNASMDFF >OB08G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8146080:8146373:-1 gene:OB08G19290 transcript:OB08G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGWSIASRPSARGTPLSAFTAPTPSSSTSRRSPRPSSRTLTTPRLPLSPLSLDLTRRTLPPIQIHEARSPASTPTSRWPERGSRRTHSSTGRAS >OB08G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8146971:8158061:-1 gene:OB08G19300 transcript:OB08G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse E/G-like [Source:Projected from Arabidopsis thaliana (AT2G04270) TAIR;Acc:AT2G04270] MSASAMGASPPLGATLAPRCTAAAVDASEPPHGCRRSAAALGSALSGHRGRHTLCSVQLMDALRGGNLLVEPNMVHSPKPLMSTRHDDSAITCKGFCTISWNLKADVLDGYIIFVTGDPVTLGCWESDMAVQLAPSVESSNLWMAEIKVPYGVHFKYNYFVRKENDSSSDIIWRPGPAYSLSIPSVGWKEHVIVVKDLWMKTNVAGIPSPSWGSWLMEASFLEDQFAENGEHQSIAEAHSVIDTVDQFSSVGEHIILRLGNGTPLHVKNISHNPSASVHDDFTVTDKTNSKSSINQHERNQPVEEPWILGSVVSAKKSVAAVKHEKNRWKFVNKKQDLIEVSENISEQDQPVEEPWLFQSKVVAKNPVVQTKGKIEAKDIIRKLRKMDKPPSPLEESKTTSGEPSSRVILINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIMKDAKRDSANFSDYNDDSLPTYEEDDDMSDGELADEENEDDSSAFPVEVVSENEESMAFLPNSKINMIHSSEFESLSSYDEEKDDEIDDNMEDEYSEDVLPGDQSEVSNDLKTLSSIQHALRDSSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVASGHSWEELQKDLERLLSTWKGIIEHAQSAALAAEEGVEGAVPVILHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQERAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKRLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKESDEQKEETPEPPPKEAGSPKLSVFPIKKWMSRAKRAK >OB08G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8191886:8192637:-1 gene:OB08G19310 transcript:OB08G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDAQKTMTVRQSRRSLRKMTPKNRCSRTVKDKTSRRVCQTWGQLRKNTRNSVANTVQARQVTSMNHTPMSKETSRETLRDGRGARTTGEKSTGNVVMLRRTPLSAATTSGCVDHRQRHQPRGETRRQPEA >OB08G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8195708:8198812:1 gene:OB08G19320 transcript:OB08G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSWCSLTLSLHPRNFMSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >OB08G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8213846:8216500:1 gene:OB08G19330 transcript:OB08G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVELILLNTRISSCIRPEIGKLRKLKVLDLSHSLVQHMAWFKLISEFNTGILYNKIRANSHNDLAGELPDSIADIESRGPRGAQRRLQHARRCGILLRPDSGPSLMFDTTDRPTWKAVTVHCSQLGWEEGGEFLQKLKMDRKWLYYVHRSSTEYREGITEFVKFTDNDRKSRMSLYISCPCNDCRNEKMIPDSSEVYSHLIRRGFMENYTCWSKHGEQEAPDVDADEEVSDQNTVNVAAAPESMFVPSPFGGDTIDVYCHAQKFLT >OB08G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8240994:8241332:-1 gene:OB08G19340 transcript:OB08G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRPQGYAPAPAAAAAAAIWLGKEMVAEIGDCLPAGPASADAGPPEPPSPDSLSRWPSSAANAVREDGKGRRREVEGRSDRRRACGEGSGDRAGGFEKLADTLYASCKTY >OB08G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8241556:8243542:1 gene:OB08G19350 transcript:OB08G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G47790) TAIR;Acc:AT5G47790] MYRGGLDRFKKAQALEPFSVQSSSTAKNAPAGPRTAKAPLPPLTLPQNSHAGASQSHQSPQGTSLRVTGQETGVPGHVGTQIGGGQSAWQPPDWAIELRPGVYYLEVLKDGEVIDRINLEKRRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKENPVELEVGQSLRFAASTRTYVLRKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDSLSRSKDSSGDASGANDDNQPAGRPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQPSSKESASPSGVTDKLKQVLNKVKSTSKGGIYDDLYGDSVKAQLGSSWAYRSDDQAEKVKATDEKRSSGNMDANSAHDNDDLFGDL >OB08G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8252489:8252941:1 gene:OB08G19360 transcript:OB08G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQLDVSASDLSVYARLVASVSAENPNDMIGIRYGPGSRTVVSYRGTVLCSGRLPAFYQGHRNTTVMVVAMEGRHGFGSGLQSALEESENAGNVPLDVYVSVPLTLRLGDVDLREVTVNVHCALVVDSLSPKKKPAIKSAQYKFNAEF >OB08G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8291493:8291648:1 gene:OB08G19370 transcript:OB08G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHPKLGLLMSTIAFALSDIGLPLIARLHCASYHATQLFHSCHVISHASCV >OB08G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8293192:8295939:-1 gene:OB08G19380 transcript:OB08G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDGLVLLRWHHRAIAKRPRRPGASLIKSPPPLRRHLSPPSSPSSPSSPFGTPSCSVPSGEMAPKRGGRAPVPARKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >OB08G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8301009:8303142:1 gene:OB08G19390 transcript:OB08G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLKLPQRREEASWHFLPGLQSRARPREIPSWRPAAVSDNDDDSWAFDSPHTAPTSSPGCSVGHLWEALAMAYRLGDGPTDPAVSNALVACHSRLGDISSRLSHF >OB08G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8303564:8306727:1 gene:OB08G19400 transcript:OB08G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVQSLGGAVEACEKQEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHTAIGDRLHCVFVDNGLLRYNERERVMSTFESDLHLPVTCIDASEQFLSNLKGVKDPEMKRKIIGREFIVVFDDFAHKLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSHAVALRAITSEDGMTADWYYFEREFLVDVVNKICNNVRGVNRVVQDITQKPPATVEWE >OB08G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8310231:8310665:1 gene:OB08G19410 transcript:OB08G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGQRRRGGGVPRGGRRCREARGQLLLANVAHHVSRWLRVRQRRRATTCCVRRCGGGGAAVATVTARWATTAARVVDVAGGIKSRQSFSWTAPLPSQDGHVRRASGGRGDAFSSLTLSPSYPTSPSYPTTWMDRGTSEFWSP >OB08G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8313268:8325409:1 gene:OB08G19420 transcript:OB08G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRPFLAVAALLCLAAEWQLAQAFKKSYIVYLGSHAYGRDASIEEHARATQSHHELLGTVLGSKQMAKDAILYSYTKNINGFAAHLEEEAANQISRHPDVVTVLESTMLKLHTTRSWDFMDMERDGQILPDSIWTRARFGQDVIVANLDSGVWPESNSFTDEDVFSEVPKRWRGSCQDIAKYGVPCNKKLIGAKFFNKDMLVNNPGAVDTNWTRDTEGHGTHTLSTAGGRFVPXXXXXXXXXXXXXXXXXRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPLADADSLLQEPVTLGSLHAAMSGISVVCSAGNAGPLEDTVVNSAPWVTTVAASTVDRDFPNVVTLGNSFHMTGMSLETSTLHSSELYPMIKASDAALAGCDPVIASTCPLGTLDPAKVKDKIVVCVRGGDIPRVTKGMTVLNAGGSGMILANGEMDGDDIVADPHVLPATMITYKEAVSLYEYMGSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPYVLKPDIAAPGVDILAAFTEYVSPTEVPDDQRRSEYAIMSGTSMACPHVSGVIGLLKAARPGWSPAAMRSAIMTTARTQDNTGAPMRDHDGTEATAFAFGAGNVHPNRAVDPGLVYDLSNDDYFTFLCAMGFSTEDLAKLSAGKFACPAKVPPVQDLNYPSIVVPALRHSATVTRRLKNVGRPATYRATWRAPTGINMTVEPAALEFKNAGEQKEFKVTLKSDKDKIGKGYVFGRLDWSDGTHHVRSPVVVNALD >OB08G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8351860:8356655:1 gene:OB08G19430 transcript:OB08G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MS64] MGRRRSVLLLLLALTVLSPLVLYTRRLSAALNPAIQRRDLPGEIANQVRGVKASKLNALSLETVSSLKEPVGIVFSEESRESGSKSTEPELLLMKAGEHKNRVLSEATAADGARSEDEDLIEQVTSREGEGDDGSTRVSLDQQQTTMASQQRSVSEVSSLESVSEQTSVSVLEESSLEGNNDGQSKTAVLLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLRDLRQRIREVQKVLGDASKDSDLPKNANEKMKTLEQTLIKGKQMQDDCSLVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPGHQQFPNQEKLDNPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVQNIEEFTWLNASYSPVLKQLESQSMIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPKLHKIVFLDDDVVVKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRQQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTFPLNRSWHVLGLGYNPHVSSRDIVRAAVIHYNGNMKPWLEIGLPKFRNYWSTYLDYDQPFLRDCNINP >OB08G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8360035:8361745:-1 gene:OB08G19440 transcript:OB08G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18180) TAIR;Acc:AT4G18180] MEARQRLLLVVVVVAALCASAAAGGGGGNASSSSTTGYHGNPTFNAKNYGAKGNGVNDDTKPLMSAWKAACSAAGAVTLVLPPGTYYIGPVQFHGPCSKASSMTFLMQGTLKAATDLKRFGNDWIEFGWVNQLTVTGQNGATIDGQGAASWPFNKCPIRKDCKVLPTNVLFVNNKNLVVQNVASLNSKFFHFALLQNSNVKISGVKVSAPGNSPNTDGFHIERSSGVTIADTTIGTGDDCISIGQGNDNIEVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSVAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNVKGTATTPVAVLLKCGVPCQGVVLQDVDLRYKGKGVTSSKCENIKAKYGGYQNPKPCG >OB08G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8365425:8370735:1 gene:OB08G19450 transcript:OB08G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASATAGMQMVAARPCMSSSQGMLASRAAVSRTAHALSSSSSTGFASCPKLCYSSPLGSSRRSGVAIRAMASGSVPQGLPIDLRGKRAFIAGVADDNGYGWAVAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGATISLTYIASERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTISS >OB08G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8376274:8376726:1 gene:OB08G19460 transcript:OB08G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKNAMESAKEAAANVGASARAGMDKTRAAVQGGVEKATAHNASDRDAAEVRRQERVRDAEEEKQRAVRANADAKARVTTGGGGAYHQTSSQGAPGVAAEPTGGHVQEGVAESRPVGMATGTARPSAAHNPHVGSDFSQARGTGGQYQ >OB08G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8386543:8388777:1 gene:OB08G19470 transcript:OB08G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLKWSYIHVDFYIHVDVDFYIHMDFTKSWTSLKWSYIHVDFYIHVDMDFYIHVDFMKSWTCLKWPSRSQVSSVLGASPLGHGVIGEKLDNRRESGPPYGPSFLPRAVVGSGTVGGDMLHVLQLDDFPPNKLQLDRTNTMNTDNLGPMPRLTAHKTITLHLSLVFGCFLRFDIREVTKSNQEGDSLAIMTPTTNLFDNL >OB08G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8391869:8397043:1 gene:OB08G19480 transcript:OB08G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPSYQNRGVRGGGRVSGNGRDIVSAVPYNRTQPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIREWRETKSGHQADMVNNTQRSHDRIPSPTTSARKRQKTSQSIPSSSVPAPSPAVHSQALTAPMQPLSSATKKVAPSGTKGKKTKPGQKLPGGSAVKTMSSAGPSGRGPVMNKNPSGGLPPEPISVNPLIGRKVMTRWPDDNSFYEATITDYDPQLDRYALVYDINTPDETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGASVHGGKKSSNRNGPMTGAGRGSRGPQKNTSRKDFPPSQNGVGKKSSDCIEILHTETLIKEVERVFSASNPDPLEMEKAKKVLKEHEQSLIDAIGRLAEASDGESADERAQPLQHNRGWRNHHGGNYANDITIDGHMLGDADAL >OB08G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8409865:8417396:-1 gene:OB08G19490 transcript:OB08G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3MS70] MTAAGVVPAVAAALLLVLQLHGATAEWSLTKKGTVVSYDERSLMIDGKRDLFFSGAIHYPRSPPEMWDRLIKQAKLGGLNTIETYVFWNGHEPEPGKYNFGGRFNLIKFLKLIKDNDMYAIIRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDEEMFAPQGGPIILSQIENEYGNIKKDRIIEGDKYLEWAAEMAVSTGIGIPWIMCKQSTAPGEVIPTCNGRHCGDTWTLRDTNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHSVIRSYQKAFLWGKQSFEILGHGYEAHNFELPEEKLCLAFLSNNNTGEDGTVVFRGEKFYVPSRSVSILADCKTVVYNTKRVFVQHSERSFHTSDESTKNNVWEMYSEAIPKYHKTKLRTKEPMEQYNQTKDTSDYLWYTTSFRLESDDLPFRRDIRPVIQVKSTAHAMIGFANDAFVGTGRGSKRDKSFVFEKPMDLRIGVNHIAMLSSSMGMKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKARLEGEDKEIYTEKGMAKFQWKPADNDLPITWYKRYFDEPDGDDPVVLDMSSMSKGMMFVNGEGLGRYWTSFRTLAGQPSQSVYHIPRAYLKPKGNLLVIFEEELGKPGGILVQTVRRDDICVFISEHNPAQIKTWESDGAGGQIKLIAEDHSTRGTLACPPDRTIQEVVFASFGNPEGACGNFTAGTCHTPDAKAIVEKECLGKDSCVLPVVHTVYGADINCPATTATLAVQARCKVKKKA >OB08G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8419770:8431844:-1 gene:OB08G19500 transcript:OB08G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MLRRVSGAADAAEALDIVAESAGGTGGLDAPDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAGLRVSDALRIIDYVSRAGISSAEEVPFGMVIRCPSCMVAVSVAQPQHGTQIVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVMKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKIYARSQGYRAGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLAGALALLASGDAASAFIDPTLPRLITATAFASAAVGTTLNQVILPEIRKLPQKTVDIIALRQQLLSQYDMLQNRLKDLKQLIEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVRESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAERISEQIQQLMEIDSLEEQWRIQAEANDEAERLLSSDSSETFSTEHV >OB08G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8439136:8450841:1 gene:OB08G19510 transcript:OB08G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEVLHSGSYRRLPKCVEEIGTQNTLFQDEQKPTLKKLNTLVRTKLLETSLPKEISEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKTGPIKLEETRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNALRQGRWKDAIKSELISDIHSGGGQGGNSALMQLGQEGELDSSGSRIPGLKINYWLEEKTNASAELDSSPFIKIEAGQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEAVILKAIACNRHTRLLEIQRELIKNVQMSQSRTEVILKQEVHGVGFQKKAHRSDSDDCCTNEMLQVRAYGQSYIHLGINIRNGRFLLQSPGNILPPSAVLESEEALNKGSTTATDVFVSLRTRSILHLFAATGSSLGLKVYSQSQVTLKIPKSILYGSDFMVMGFPQCANAYYLLMQLNNSFQPVFHLLEIQSNEGDKNNADASTDAKEVIRFIRIDINKLKVDEDLQIGSLFDKDKLLALPNVEDRPQRLSGPDELLPARPSFSSVVDEVFGFDTGSPKTENQRLPSYNLPSSHSSYQVGLHGANGGAGSPVKDYGSLQSNINSAKVTSSISLNNYLLNNSKHAQSTTALFGSVPAGSGNISSSRPEGASIKRSLSEFLRNIPPLKQSDGPSKRRNMPESMLDGLPLKAHSPNMQPGTTLTYGKLLEEVNSCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNIPHVEEAGLRTPSSNLWLRLPFAHDASWKHICLRLGKAGSMSWDVRINDPHYGALWKLHGGSTSTEWGSGVRVANTSEVDSHISFDDDGVLLTYNTVEADSVQRLVSDLQRLSNARAFSCGMRRLIGVKLDDELVENQAAAEKKLQSRRNACRDRLSEQMRKTFRIEAVGLMSLWFSYGVVPMVYFVVEWEAGKSGCTMRVSPDQLWPHTKFFEDFVNGDEVASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAVYSVVPKQNNILSTVGSANSSLPSSVHNMSVPQGAAVAHANSHLQTSSMLSAAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLSARHTGPQLNASASTVSGSQQLTPTPNRFGGAPGVARPTSSVGNQVATSLSRAGNAMMASGFPSGIAGSPAHLSPANNIPAYMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRVQLLLQVLNVKRFHHQQQQQQQQQQAPQSNNGQEELTASEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKNLSQAHGDNATAQRARIELCLEKHPRLVSDDYTSSSSSKSNIHHDRANNSVDFALTFVLDHALVPQMSISGGAAWLPYCVSVRVRYTFGDNSHIAFLAMDGSHSGRACWLQHEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLVQLRDGPGSAAS >OB08G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8451331:8456922:-1 gene:OB08G19520 transcript:OB08G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLKSAFKRGDHQAPSGAGGGGGSASSGGSGGDDEAAAAAAAAQDLSRSSSSSTGGSSGRKGRKGGGRGDDGSVDGDLSSRDSLEQQVFILSMTSHHMQPNHGCKESKNIKGAAAALRNSKLGHAYEAFPWERKMMDLLPVPGNSGFLSLLLLPRATDESQTKFHSLDDTLARAEAWVMSSQMSGVPIVPMNMQTEALLTKICGDVASSTVNMNSLGDLANMATVSLYGFEDYHGVDIGVVRAIRIWYAPFAGEMALEIKLQPGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASKLLVVSRVGDEKVLPWATATSGDVRCFDTVSLSQRLSLHRHALRPVTLHFLMWERLPLSAVLKGAAAGRPATVQMMIVQQGDEEEQAGAGEGGEDADEVAFDGDGPEIVLSKDDSDDRSFRFQNIGLPDSWL >OB08G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8459184:8470735:-1 gene:OB08G19530 transcript:OB08G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGSVVRRAAEDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEILHSGSYRRMPKCVEEIGTQNTLFQDEQKPTLKKLNTLVRTKLLETSLPKEVSEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKTGPIKLEETRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNALRQGRWKDAIKSELISDIHSGGGQGGNSALMQLGQEGELDSSGSRIPGLKINYWLEEKTNASAELDSSPFIKIEAGQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEAVILKAIACNRHTRLLEIQRELIKNVQMSQSRTEVILKREVHGVGFQKKAHRRDSDDCSTNEMLQARAYGQSYIHLGINIRNGRFLLQSPGNILPPSAVLESEEALNKGITTATDVFVSLRTRSILHLFAATGSSLGLKVYSQSQVTLKIPKSILYGSDFMVMGFPQCANAYYLLMQLNNSFQPVFHLLEIQSNEGDKNNADASTDAKEVIRFIRIDINKLKIDEDVQIGSLFDKDKLLALPNVEDRPQRLSGTFALLPARPSFSSVVDEVFGFDTGSPKTENQRLPSYNLPSSHSSYQVGLRGANGGAGSPVQDYGSLQSNINSAKVTSSISLNNYLLNNSKHAQSTTALFGSVPAGSGNISSSRSEGASRKRSLSEFLQNIPLLKQSDRPSKRRNMSEFMLDGPLKAHSPNMQPGTTLTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNIPHVEEAGLRTPSSNLWLRLPFAHDASWKHICLRLGKAGSMSWDVRINDPHYGALWKLHGGSTSTEWGSGIRVANTSEVDSHISFDDDGVLLTYNTVEADSVQRLVSDLQRLSNARAFSCGMRRLIGVKLDDELVENQAAAETKLYPRRKASSDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWEAGKSGCTMRVSPDQLWPHTKFFEDFVNGDEVASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAVYSVVPKQNNVLSSVGSTNSSLSSSVHNMSVPQGAAVAQANSHLQTSSMLSAAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLSARHTGPQLNASASTVSGSQQLAPTTNRFGGAPGVARPTSSVGNQVATSLSRAGNAMMASGFSSGIAGAPAHLSPANNIPAHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQASQSNNGQEELTASEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKNLSQAHGDNATAQRARIELCLEKHPRLVSDDYTLSSSSKSNIHHDRANNSVEFALTFVLDQALVPQMSISGGAAWLPYCVSVRVRYTFGDNSHIAFLAMDGSHSGRACWLQHEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLVQLRDGPGSAVS >OB08G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8489155:8492239:-1 gene:OB08G19540 transcript:OB08G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEDSGYELYFLCGHCSAGGGEGSNPDPTAFTSLLQLRLSDPNFAPLRRTHKGVPRTHA >OB08G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8497746:8499418:1 gene:OB08G19550 transcript:OB08G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSGDGGVSKLEDGSAAAEREPWFPSSGGGGGGRMRGKEKERWWRGRVLVVGVVVALPLLAFFVLGRESASSVLQLASDKLTAMNVEFTTNTSRGGGATRQVDELLGGLLPPGMDRRSCRSRYQSAWYYKHFPYAPSPHLLAKLRAYEARHRRCAPGTAPYNASVEQLRSGRSADGMECRYIVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPPDTSELFCEPFPGTTWLLPPDFPVGNLFGLGPRPDVSYMSLRAKKKIVVDAAANGTVPAYVYLSLGWHMVDRLFFCGDDQRALGKVNWLLLYSDLYFVPSLYSVAEFNGELQRLFPAKESACHLLMRYLLHPTNAVWGMVTRYHDTYLSPANRTIGIQIRMFSFASIPVDDLYNQILACSRQEHILPETDDGGDLSETNDNNSNGSTTTAILIASLYPDYYERIRATYYEHAAKGGVLVAVFQPTHEERQATEKLLHNQKALSEIYLLGFSDELVTSGAHGHRVPATPCRRAVSMEPCNLTPPRAGDEECRGKAVDVDDLARHVRPCDDHDKGVKFYD >OB08G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8504591:8505663:-1 gene:OB08G19560 transcript:OB08G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIASASTLLGLSILVLVVFLVYNKQKCLFPGRWRSKNAPRIQSLLRSQIKSYTYSEVRKMTKSFADTLGKGGYGTVYKGILSDGSEIAVKMLEASDGDGFVNEVASIGRTSHINVVTLLGFCLNGSKGALIYEYMPNGSLDKYAVGVSDNTMQGENSLSWEKLYGILVGIAQGLDYLHRWCNHRVVHLDIKSQNILLDQDFHPKISDFGLAKLCKPKESKISIGGARGTIGYMAPEVFWVRRGAVTTKSDVFSYGMLVLQMVGVRENMKADTTDTGSKYFPEWLYDVSSQEAACNATGTSTSEVARKLVIIGLWCIQSTPEDWPCMSEVIDMFDTSVSDLQLPPRVSCCGNDNQS >OB08G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8507080:8507493:-1 gene:OB08G19570 transcript:OB08G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVLEVTLVSAKDLKKAMVFSKMRGVHRDVYLRLGAHAPDARRPGGRPEPQVERIAPVPHPWPRLRQCACPHAARVAPCRRPVLRLWPHDVRARCSSGDKSQHGVGGGAGFGGMVGGMVLGDMLADAEMDGGTAS >OB08G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8535122:8537284:-1 gene:OB08G19580 transcript:OB08G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRICFSVKSLSNYSYFLQNCRHGRGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGEREGTLPLTAAGKPHVFCKMVSSNWTQGNYGSFFLGCSKEKSSAFQSLFFSSAIQS >OB08G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8537965:8559625:-1 gene:OB08G19590 transcript:OB08G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRRRCPSIFFYFFKAGDEFVSFSILSFRFDENHELPSSEGGDEKGVYIFGSDPEGLQHVCKHVIAWRVHLDCKKPKISVLPSEDKDKWIRLFKLHKGYAEDIARSVLITVQMIHFVRSHPAHNERALPNNLSGVFRSFVTKPPEVDDLRKQYPVIMYFVEKDPTLLKSKVPSSRSWSMHADHSMEGYNILAFCMTNGVAMGGGGMLISVCPVKFTFLCEDTSPRKYFVRLNMTIVQPWNSCTAPPFILMFGVSEFLFGDVVTLQAQKEYPPHMPCKDKFFIQSTKVAVSTNMDEIPLGTFNKEVDKVIEEIKPRVVYTLPSGSSDDSGITSSESRSFRSGSDDLAYIRDDELSWFRSAQLFAALTSFLLNSIPTIVTVVMLKRSGTTCILLGPPIQPSLYQKAIGVTSLGHDLGLLPGGALTEIGERGVNITRGQKQKVSMARADAHVGRQTLLVPILPPRTCYIRLYAIVLTCVNHMPLVAIPMHFFLLPVYCRQAHLSGCARVIASILYVLEEFGATDGISVRLNLISFRFEPIADDTTDDTRKIQDVADKEPVLQGKHHTQRCRNRCGEFEHRKRAELGFEVLELEAVLEREKRLSRILRCLSTLVPTKIRGLLAELAIFYLEKKVDDLRLRLHRERKWTDQCILQQQQQNWPQNRNQRHSICSLGGRRELEGAELIPRLPCPGSDETIECEIPTFICLNCQYKQHECFKCGKLDSSHETNPKGTSTCIVTSHCGGGDMAERIKKARGVLFSEEGVPMVHTLLLALDYLHCNRVLHRDLKCSNIFLTNDDNIRLADFGLAKLLMEDFASMVAVCSRSYHTALHSKLHMLSQKLNMSYDDAELWIMNLVRNSKLDAKIDSVSGTIVMTTNHVIIEFFQCFPNCNHQQLIESLKSLNLRTFMLAKNIVEPAQAVQQETRRICLSVYSWYNCSQEARQGTPRPLGGQFGGDRRRRQLPAMRPEAADAGAVTDPAEVATDPSGEAADSWLQQPQPELPHEDSCRR >OB08G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8581953:8593485:1 gene:OB08G19600 transcript:OB08G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFSSSAETRSFAADIYGKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETLTSTSRKSSANTSSKSRKHFRRKAEDQDDGNDDDANDTTTQDAGRNVRRRTEEVDDEDGDNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDNNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDTDQEDADEKAMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQSPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVSSNASDLDAIKKAITSGFFHHSARLQKNGSYRTVKNPQTVFIHPSSGLAQVLPRWVIYHELVLTTKEYMRQVTELKPDWLGEIAPHYYQLKDVDDSGTKKLPKGQGRAAL >OB08G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8593831:8594355:-1 gene:OB08G19610 transcript:OB08G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGASSGSYHLFFIFSILSLPSLSSALSSLSWRPACSAELAATVADGGARRHVGRAVDPGGGRVPXXGRSRWRRSFTAVVTDGSRVRRGGGGEGGADPPVDRPAPTRYCPLPPFPHTRRCIGGVDEDRLRVASAAMASLGVPDLTSAGGILSPLLSWLSLTSLSLTKPSSLAKK >OB08G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8597978:8598241:1 gene:OB08G19620 transcript:OB08G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQECREREIGLYSMGGGGGELVRGKQIDPQAAAAASSFVGGGGGRGEVLRGRRGVDASSFVGGGEVLHGRCGVEALLVRRGGGCGGI >OB08G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8604810:8605424:1 gene:OB08G19630 transcript:OB08G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRLVVSLLLVAAMASSFSFSPSTAQSSSSSCASYTFSGNQLYGSCATLPRLGATLHYNYTAATNTVAVAFRAPQAGGGKGWVAWGLNPSGSGMVGTQAVVAFRHSNGSLVAYPTVLGSYAPSMAPAAAKDLPLPVSAVSAEESAKDKEVVVYATVALPAGKGSKFNHVWQQGSSVAGDVPAAHPTSGDNVLSVGSIDFSK >OB08G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8611468:8613669:-1 gene:OB08G19640 transcript:OB08G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVVSGFTKRRRRPLDAQLPEPPPHHLQVHARPRPLAKFDTNGLVWDVLLKAHASGDYSVAVAQANEAARFINRRLFTLIQEFAVENRGLSVELFEKAFGATEFEAKHHVGAIRHNSVCPSMM >OB08G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8662557:8663389:1 gene:OB08G19650 transcript:OB08G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKHHRRPGRWQKREGKRAPGPGYRGDSVSLGCGGQNRGERAGDGGWSRPGTATVETAVGKGDGRGSSGGVKRNRMWARMRLGNGRGQRRDVHRGGRTEETEPGGGASVNTGGWNSKSGELKLGCDDSGRANRRGFREIEKEVAATFFAGHGSNERGEIRRKQQQRRHLGGWRSYILCFDSIRVRRLRG >OB08G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8672761:8674659:1 gene:OB08G19660 transcript:OB08G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVERHAERRKQEQPAGDLEHGGQLEMAPTVREARPCKPGGGAADQRRVAPDDGTGSEYDQQHNDQYLAGDTLLVDDRVARHRLGFLHGYRTSASSSSGGHDQMRQCLITASLKLWIVLDLDLAWLDNGKRRYLFYVYLAPSKVYNQPTDTKQSMLKNLLSKKNCMSYTYMDKLSLVLLPRSRLKLQPYQVHFNLAK >OB08G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8681427:8687448:1 gene:OB08G19670 transcript:OB08G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVRSHPAHNERALSNNLSGVFRSFVTKPPEVDDLRKQYPLIMHFVKKDPTLLKSKILRRLIKDSWNVIESELRKASPKQSFIVSNESYTSHGDYSEDGNSDDNGDSDTYSDATDDDTDPVCAICDEGGTLLSCKGECKRSFHPKQKDGVESFCETLGYTSREVKEIPTFICSNCQYKQHQCFKCGKLDSSHETNPKVFQCCNSSCGHFYHPKCVATLLEPGDSDGACELEKHIVAGMPFTCPAHWFSKCKQMEDRAERDMWLAACRRCVKSYHRKCLPMEISFETMDVNITTRAWEVPKGNNETIFIYCMDHDVDATMETPCRDHIKFPLAPKIKRIKDLAKKKVKVTYVSNIDEVSREYVVGSTKPRGQCDQTQEGPIGNPMGHNLLQHAHATNDLKVDMLCEPPIVGASAAPISSEVVNRQEKQAGTSILKRATARISPCAAEKRYFHMFSCGLWLNLNSAL >OB08G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8697118:8697667:1 gene:OB08G19680 transcript:OB08G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSTQKFLTLIKEKENTMASEANIFKQMEPHREFSSLYKGPHRFNSIKDENTNEMECESLLDLIQSKCSEVKRLKAELIDSPEIEETSSLRHNAETLIMTTQMDINLVWSNLKHILSSLSRATMLESKVRSLVKKCYFVADQSNGLVRCLCKSS >OB08G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8703176:8707754:1 gene:OB08G19690 transcript:OB08G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIMVNICRLKSQLSSIVQGFRVLEHYINTQIRPKNIRKEFMVGLVLTMIHQGGTDPTELLELQFSCRRPAPKTCTPGKNSRRFPMRSACNFFIWEDLIMKYVVGMARADADIEHLEAAWQTGRMGRDLRPAPTPTLNTLRRRGKRGGWEGIGGRAQDGTTTVEDLGSGDHLADSARANNFSPPSRSASPNRACLRVGRLPRLGRRAPPRGVHHRVGARVPRARITVYREVHDKDHITIMVAQEKLFTAKLEAIWRGSVAADATDGE >OB08G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8717751:8719743:1 gene:OB08G19700 transcript:OB08G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANRGKEISARCRRSMRREIDREIEREREREGKGAIIYNLADTMFDDDDDVEPPVSDVDNYYFEKSEDDPVCFSVLPIKFDENEEVRHCDYKEVNLRGVTDNNLKEVFKKVVAWRVELDCQEPKISVLSSEGKWIELLKPRKSYYEKRARSILITVQMLHFVRKWPRKQERSLFCHLTEVLEYYIIHPPFIPLLMSTNEL >OB08G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8721681:8728006:1 gene:OB08G19710 transcript:OB08G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPEQCDVSRLAQWPISDILVQAVIHVISTNGDIIGWFIEDASRKDIKPIPRRENAQEQFIVSDESLESSDNSDVCSYSNYDDSDDYSDGRNSDDNDDNNTDKDTDADTGISGNAVDDGTDMICAICDDGGWLLEFIANCKITGLPLIVEHETISCEGQCKSSFHPTVNHGKKSNCRTLRFTSAELKLKESGTFLCKNCEHNEHQCFKCGELEPSCGPNAKRREHEFKFYCFVPLREHIAQVEWLARASHQACCVNHRMLPHRYNAFSFVYVERTERERGRGALGHKWWRESSSNGAFPVAPHVGEVEQGETANYDGFWRRLVLRAGVFQCNKESCGHFYHPKCIAVLLEPEDTNGACKLEERIADGMPFTCPVHWCFKCGKMEDRTQKELQFAVCRRCPSWCSRHHGIDATTGTPHGEHIKFPSVPKIKKTKKNSKKDFKVIGKRKKSANKISTKSKELENLSPTGEIEETRRVAKNSSSEHITLKHGYAVKRLKKDLQFELPMVDVAANLSGAKTMEGKEEPPVTPKIASCVVDGETEKSVTSMAGKETSVGTSQDMATRSGLRQPSRIEAVGMLECSVQHFGA >OB08G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8732868:8736694:1 gene:OB08G19720 transcript:OB08G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSQLMEDKLEAVGKSCNFKNYDLFQHKKDMCFEESNWVTMQPKDLPHGSNLVMVLDPPLGIQAVSANKFVDKVLTFKPRLIIIVSPTDIDRLDCKEEPYHLIWDYNQHIFGKPLYQPGDVDVNDEGKNGLYAIPLSLSLWSCPDKAEENRRIARKHGHLNVGYKTHSVILKDPLVNKGAKWDNEIFTAGKEDTSEREHTSKRYSGEQLTIPSEDTFHANQEQNDVLQSLHREKHADGSESGNKSGSGKQSDMHWGENECGHYSVAQQEKEISKREERMTDSKHEENTRSGKEEIPRDDNNKGTMKPHRVDGLPPEKHVEVAFVNKTTTDRVDTQQECGYNVTVDVNGSYAHPHEPKSPYCNGNIKGTEMDTSGDNSRRGQKEVTDAKRLDLDRKRKPVHMKNRRDAHHGDDRTAHPQVHNDPPCVDNRMPDVLDYPSRSGINSPSRNDDQRAVEASVCSKSRERWGSNRRLEARDTISKNSHRHRLPVGKRNRRNVPDRSNNYPPGWGRVDDSENYPTTSNRHRYEQIHHGDSGPTRSHRSNSHPRAEYGNRRSSSPWYTRRQYYSSAARHRSPPYVRMPRGSGVGYETDWNAVPHRGNSPDTEYNGTVYNSEYGIDGYATDSRYGYCAMENPRVDRYHHPQEYHNEHATVYDRRRNDYGGVTHYRSGNSFSLECRSSARGTVTDRYAPSLERTNHQIPGQGSLQDDFMYDGRNSISMDMHRPYW >OB08G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8743136:8759129:1 gene:OB08G19730 transcript:OB08G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSFADSGFGWCCAAAMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEEVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDLPEITVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFARRRPMDSEKDLWDHLHGVFERFEVGPSEDDFTDNQNLIKLYAQRDSDFTNSQVFQVFVKDKIMKNISEVASNNLGVKQERDVKQELGVKQDWDVKQELGVKQELDIKQEQDIKQELVADVNEMDEMIEEDSTYVPNNDDDEEDEEDGDLFDSVCAICDNGGELLCCEGSCMRSFHAKIRDGEDSYCATLGYTKAEVKAIKNFVCKNCEHKQHQCFVCGALEPSDGTNAKVFLCNNATCGHFYHPKCVAQLLHPNNMNEASELEKNIMVGFSFTCPVHWCFSCKGLEDRTQEPLQFAVCRRCPRSYHRKCLPREISFEDNDAEGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHLRFPHVAKTAYSVKKKVKELAEKKRQIFDDSNVHEPLQKRKLNEKFNAKSDRAKKAGVKTSFEGHVFESEKKKTKYLKEATLPEASLVECVAAASNSRDQHMKELEKELGTSSLMGKIPQSSFPIVDSETEKRVLALVEKEVSSLTLADISRRCAIPSTYASSGQQIDKIIVRGKLERSIQAVKAALQKLETGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKNEKLVEIVEKLHWYVQPGDMIVDFACGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNSFNFEKRDWMTVRQKELPHGSKLIIGLNPPFGSKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKKQPYDLVWEDEQRLSGKSFYLPGSLDVSDKQIDQWNKYPPPLCLWSRADWTQKHKRIAEQHGHFSHTDEELVYVCEDQSTKNHDVYNKQKSTSGKGKEAHFNGNKNFAAGKPVQADGFPPEKLVEVAYEETKVVSNRSDMYQADQIGVHDEERDAHSDLMISRYNSMRAKEVSNSSRDKRKSDNTGHGVKGDSDMSISPPDSRNYQHKSSNREPPLSSRSVHTTSERLGYHDNCFNHLVQEHSASSLQMSTFEDSYFRPVNEYGVASVENNVAFSTDNGPRMYSPDLELTGLYASDPTGNAYGAVSGGIGGSFYRRQDLQDHALGSSDSAHVNPVPGREMQENARIYYGHMDELPQTSMNTPSMDIRTQIRMYGAGHIRDDPDIRAQIRMYGRYSGSDHQHASRYSSRSPDAIASRFEQPALTSYGMPTLGSTGRSVIDRYGPPIDETNYRTGQRGPYSAPDFRRDRHPDTQNFAASSHKQHPYPPPGSSGGWHD >OB08G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8775899:8778950:-1 gene:OB08G19740 transcript:OB08G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07890) TAIR;Acc:AT3G07890] MFGTQVRDLEDDFNPRRRRTLWNTPAASMPTTTTHTIRYSTPRKGHASAHQLSVKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGGEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHPWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLTKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >OB08G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8827123:8829353:1 gene:OB08G19750 transcript:OB08G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGGSAHFAFTPLLARSRPPLELLGSRRWEINPNSGVRSEARVLAIAGGVLVWAEDLVSFLLLAEERGGGRKRKRACCAVAAFRGEDRRPFCPLLIRTERAGFGEARAATTTPTTSLPLSSGAGGALHRIPALRLPLARASESLRHPPAEERCGAAVVESRSIKHGGKFFFKCVENDQDVPDSCNFFKWVDSYRKMVEGMTVQSIDEASSDVALEHFVAGPKENKLRVDDGKMDKLINLIQVLVMINICLLVVCFIGVFVMILK >OB08G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8843940:8849348:1 gene:OB08G19760 transcript:OB08G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPQKRQKRRGMEAEQTKPCNANATARHPRAVTEQQPAQEPQIWSLFKLPQIKSASIYLFIYSFISSIYFWSRFYLISPSLPPPRPRQHGVSRXXXXXXXXXXGGGGGWISGLVSGAGRILASVLGPDSPSATASTTTTTSAAASGGASPSASSSRHHGNSPVFPPKVLFNQSENEAVMKGYAEASLAIISEIEPKHAIMQLLKLETYSRSECSALVQIIQERVVDSNSGGVDAGGLVLPINWKTGRQANIGYSSLSPKGLLPATTSLPVQDHVFDSSAAGGLHTTITDDGSPCTHATDKIQSVFKRSYSVASNTADDSRRVRPKTNGNPLDISKFKQIDVIQNHLGDDKKLSEVPLFGTNNLTYSNFISKVGSAEENIGIPNKPSAGDSTFLNTCNNKDLKNSFPLKVEPLNVCIPFEQQMMDPYQQKHEYVVCDDSSVSKLMFKEDIENAHSLPVGVPLENNSKNRRRRAPNTQKLTPASPAKGSRRKNNDITIKSEMDLLEQSKFVLMEQSPDLGDIPVKRPVGRPRKAK >OB08G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8851970:8853464:-1 gene:OB08G19770 transcript:OB08G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDRKGMVAPWVAAVERQLKQDGVADPVAEAARWRKHSVYRVPAHIKKDGKGKRQRAAASAPPSEPQLVSLGPFHHGRADLLPMEEHKRWALLHLLRRTGRRAGDLVLALEAVAETLGDADMDLADGRGRGDGDGGGGRDRFVEVMVTDGCFLLEVMRTAEVGGEVDDYAANDPVFSRHGELYVFPYVRRDMLMMENQLPLLVLQRLVGVVYGAHKSTDDAINDMVLRFVSMTPDPPPVSSGALALHPIDVCHRSLLHGAPPPTSSSSKGQREDDFVPSATELEQAGVHFSRSATRSLRDVSFRRGTLYIPELAVDDTTEHKLFSLMAYERLHPGAAANEVTAYVFFMDSVIKSSDDARRLSASAIVDNGLGSDKAVAEMFNRLAKGAVLDRRSALHDVHREVNAYRRKRRNKWRASLVQNHAGNPWAIVSLVVAFVLLVLTVVQTVYTVLPYYQQERPPASCSGNLL >OB08G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8895705:8907086:-1 gene:OB08G19780 transcript:OB08G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVVNSETRVGLLGGSQERKRIQSDNGGEFKDTQVEEFLDEEEIKDEFSAPYDPPQNGIVESKNWTLIEMARTMLDEYKTPDVFWAKVVNTAKRPRSSKFASKVDEGFVLGYEANARAYRVFNKTTGIVEVSRDVMFDETNGSQVQVEVNDAGIRISREDIDNKVVGDVRPREVEGEQEQERDVQQESSTEDDSVLVDDDGGNKNLGDGIDVVGTLEDALGDPDWVMAMQEELNNFKRNEVWSLEKTPKQNVIGTKCRMNEDKFTFTPNDPRSAMKLNVDFRSIKGHKFNKVDGNKPAPPLLNLSKDSDKGGGYIFEFENVTSRDLSRDFVARVLGKQQGTIPPPENSVTSTDPEQLSAAEMQRRMKLLHEDSELQKLHRKLVIGNILQESEFWATRKNLLDDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAFLNFVPKKMSEKDFWTKYFRAEYLLRTKNTVAAAAEAAEDEELAVFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIENDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEALARSKREPPPSSISDDTSHERLVKVARMTEIEDLQAPRSVPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSAHDAFDHLLGQISSIKVNGLNYPVVQSDVAFKVLNELNDGISRTRRLNLKNPQDSLLGQIPRHTRDELMDHWTAIQELLRHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQNIKESAQPDVRHEISQLVKPMTQALDAAFNHELEQQQKSSKSGNKPNGF >OB08G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8909040:8911199:-1 gene:OB08G19790 transcript:OB08G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAMASSSSLRSATVPFLLLQLLFAAATAPAEATGGILLPSCKTVGGGSTYFDVQFCLDALGSVGAGADARSYQDLAAVAVGLLAANATSTSARIDGLLRGGVGIRKVDAATARCLRLSTVMGTDEEVLMDLIEWELSTRGACHGARGGGEVARRRAALGDEERRAGGRRTSLGDRERRSTDDAGRQGEERRRCATRRGEKACGGHRWATREEIDGQRRATRRVEAALDDEERRARVRCGCLRGGMTLSFLG >OB08G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8933491:8936770:1 gene:OB08G19800 transcript:OB08G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGANGGGGDGEQAAAAAAAAEEEKATKRRRYVPVSVVEEERQESGKSDDENKGNDGEPSSTETEPSNGKTNINDTPMDESQDSGKNGGGTDLNLNLGLKDPDGDNDDTEEQDAEKNTHRENRVKRKSVAPDLEMRM >OB08G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8937292:8940963:-1 gene:OB08G19810 transcript:OB08G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:J3MSA2] MAAPRLLARFSRQGVAAAAAAARRHHDAPRWEGFVSPPPLPCASAGAERFAGSGFGCQVLPLLNQPRLYSTSIFQRFGFSSSAPQQDDKEGNKPTEDGVNKSTQSETEASNETNNSSGTKNASQAGSQDSTPQSNRRRRSTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEIKDMKDKVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNDSAEAVKLLKTLLEGVEMTEKQLGEVFRKFGVEKFDPLNEKFDPNKHAALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPTEEEPEDKSGKSLS >OB08G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8945682:8958764:-1 gene:OB08G19820 transcript:OB08G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:J3MSA3] MSRLSFRPRPLDIHKKLPIVKSARELEDDEPTLVVRAVPPVLRHSQPEPAAEGEAHPTSNKKNVQEIPTPQYDDVDTYERDYTRTFAQPTSYIRARGARAEIGEFVEYDLDNEDEDWLEEYNNERKNLNPERLEVLLFKLETLDHKARERAGIITPTFIGPIPVILQLDSAMEALQYLSIRYTVFHAVYTYWKSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSVQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVHLRRIQMRYKHEAHFIDDGIALSGLQQVGSSEDDYADSDDTANEQPYVRPVAFHPRFPDNKLSAVPPLRLKRDRELKRTHQNGWLFKRVPEMRDSDEPVMLFTRPVDPDKLKMAGIRPPLDPPIDSGMTAPPLRCQGRIGRGGRIIFDRWNPFLQVPVGQEANHRPSLPEG >OB08G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8982453:8984924:1 gene:OB08G19830 transcript:OB08G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39530) TAIR;Acc:AT4G39530] MSFLKPLFRAPSSLSTRIHSHSLAQVLLSCLAGGDRPPRVVPAIHARATVAGCLDDLFLANLLLRGYSKLGHLHDARHLFDRMHHRNLVSWGSAISMYTQHGGDGCAVSLFAAFWKASCEVPNEFLLASVLRACTQSKAVLFGEQVHGIGVKLNLDANVYVGTALINFYAKLGRMDEAMLMFHALPVKSPVTWNTVITGYVQIGCGGVALELFDMMGIEGVRSDRFVLASAVSACSALGFLEGGRQIHGYAYRIAAETDTSVTNVLIDLYCKCSRLSLARKLFNCMEYRNLVSWTTMIAGYMQNSFDAEAITMSWNMSQGGWQPDGFACTSILNSCGSLAAIWQGKQVHAHAIKAGLESDEYVKNALIDMYAKCEHLTEARAVFDALAEDDVISFNAMIEGYAKHGYLAEAMNIFRRMRHCSVRPNLLTFVSLLGLSSSQLAIELSKQIHGLVIKSGTSLDLFAASALIDVYSKCSLVNDAKAVFNMLHYRDMVIWNSMIFGHAHNEQGEEAVKLFNQLLLSGMAPNEFTFVALVTVASTLASMFYGQQFHARIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGKDVICWNSMISTYAQHGHAEEALQVFRLMREAGVEPNYVTFVGVLSACAHGGLVDEGLLHFNSMKSNYDMEPGLEHYASIVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGKYATEMALLADPTDSGPYVLLSNIYASKGLWAHVHNLRQQMDSAGTVKETGYSWIEVTKEVHTFIARGREHPEAELIYSVLDELTSLIKSLGYVPDTSGHSLLCETC >OB08G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:8991954:8996940:-1 gene:OB08G19840 transcript:OB08G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKVNFENRDSEKWSQQSRGAEEREEQRKKQSRGDEKKYLIILEKDSLFAIAVGSSLSQDRCFAVARGWGRRGRYFPSGAKKKRQIAFLPAQSLFHEKRYGSDGIVDEKIVENHLKGTIQEQMHYNRTLQEITQITHHPKNQMIGTILDVASAIKSGEDRQLVPLSQMWKRT >OB08G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9002685:9008966:1 gene:OB08G19850 transcript:OB08G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHFKKNKRSRPIFLEEDEGAEEAEGLANKNATTSSLALAVPSSGTAAKRRATYNFEAPATKDAKPKETKTVAAMIRKTPEEIMDERRSGRFQSTMERSTKSKEDKHYVDMQWALWFYECGMPFNVVASRQFEIACQATAQYGSGYKPMSKHMLREPLLQDCVKETSKMKVDHELAWKHYGCTLMSDGWTDRRGRHLINFLVNSMEWTYFLESVDASSEAHDATMLADLLEKRIEIIGKDKVVQVVIDNDCLRASAPLLIVLRAVDGDEKPAMPEIHTKKRNQLVHKRLNDVVFVAYNRKMKTRFQLRRENAGKAMTL >OB08G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9004019:9004871:-1 gene:OB08G19860 transcript:OB08G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANKEKMVPIDWWCAYGGHAIDLQRFAKRIVNLCASSSGCESNWSTFEFIHTKKRNRLVHKRLNDVVFVAYNRKMKTRFQLRRENAGKSYDPLVIEEFDWDNEWADSLHEPIQGARGRDITWDDVDEAVGASHSLRGCNVPRRAHNRADPITFQRRSRNSAMVEEDEEAYVQSDQEEEEEDPHDDANVSDCDEAPGGTEDGEGSMDVTTNLNEFDDDF >OB08G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9025143:9031157:1 gene:OB08G19870 transcript:OB08G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3MSA8] MAWSQNARKPIIGLLSRAQQHAARGYSNSAFQAHLSSRRVEQSGTLLRRFSSEVPALEQISLIKKLRERTCAPIKDVKASLVSCNWDIDAAQKDLRKRGVALAAKKSSRTAAEGLLAIAQDDKRAVVVELNCETDFVARNDVFQYLASSLAKLALSARDPGELVFPFGPEYLENLSVNLDHPKLSGETTVQSAVTEVAAMVGENVKFRRGFMLSTTAHGVISSYLHNCPQPGLGRLAGLITLEAEDSNAPLDALKKVGSSIAMHIVAAKPLFVSKELVSAAAVENERDILRSQAESSGKSQMAMDKMVEGRLRKYFEEVVLLEQKYIANDSTNIKSVLNDLSKEVGSKVTIGNFVRMEVGEGVDRPNESLGSEAAAHAA >OB08G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9052727:9060534:1 gene:OB08G19880 transcript:OB08G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G24840) TAIR;Acc:AT3G24840] MSVRRRSESMEFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFTFQQELFSRSLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDDVLCHYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPETLHQMFVVNAGNGFKLLWNTVKGFLDPKTASKIHVLGTKFHGKLLEVIDASQLPEFLGGTCTCAAEGGCLKSNKGPWNDPNIMKLAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGGAMPDKSKTSTPEVRAQAQPFGTSTTSYTTGSSSNRSSIPSSNRSSTVAPKEITDDGILYRFVRLLLALIVKAFAFFHIAYGQQDTPVSNPLPPAEPEPVSDDHPAVDTFSADNISPVIERLQRLEGKVDELGNKPREIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRSQLRRRRFCA >OB08G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9065909:9066064:-1 gene:OB08G19890 transcript:OB08G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQGQSAVWYSGSVMILSTWFKSLPFLIIRREKMMNLLPLCDRLSINKHI >OB08G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9067351:9070443:-1 gene:OB08G19900 transcript:OB08G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQLSDAGLLSYSLAGCHGIQYLNLSANQFTGNLPELASCSEVAVLDLSWNAMSGILPPRFVAMAPANLTYLNIAGNNFSGDISRYEFGGCANLTLLDWSYNRLSSVGLPRSLANCHRLETLDMSGNKFLSGPIPVFLGELQTLRRLTLAGNQFTGEIPDKLSILCKTLVELDLSSNHLSGSLPASFGQCGLLQVLDLGNNQLSGDFINTVIINISSLRVLRLPFNNITGANPLPVLASRCPLLEVIDLGSNEFDGEIMPDLCLSLPSLRKLILPNNYINGRVPPSLGNCVNLESIDLSFNLLVGQIPPEILFLPKLVDLVIWANNLSGEIPDKFCFNSTTLETLVISYNSFTGSIPQSITRCVNLIWVSLAGNLLAESIPSGFGNLQNLAILQLNNNSLSGNVPAELGSCSNLIWLDLNSNDLTGTIPPQLAAQAGLITGAIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLANFPAVHLCSSTRIYTGMTVYTFRNNGSMIFLDLSYNSLTGTIPASFGNMTYLEVLNLGHNELTGTIPDAFTGLKGIGALDLSHNHLTGVIPPGFGYLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSGLCGVPLNPCVHNTGTSDLPQTYGHRNITRQSVFLAVTLSVLILFSFLVIHYKLWRTHKNKTKEIQGGYTENLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFADLHEATNGFSSETLIGSGGFGEVYKAKLKDGNVVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDFVLHDKAEANVNLNWAARKKIAISSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPAEFGDNNLVGWVKQMMGEDRCSEIYDPTLMSTTSGELELYQYLKIACRCLDDQPICRPTMIQVMTLFKELQVDSGSNFLDDFSLISTNIEESSEKSL >OB08G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9071236:9071643:1 gene:OB08G19910 transcript:OB08G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDSIHQETTRNKLFLMDHTNSIKFYSKKQLTTRDKHLKNQLNQDETTPKKSSKDAEQQSPSIHQERNNTAAKSFAAKSKSRNSKDKDYIRTGREAITPHGEEGESRMQRRCGGGRRRRRPSRILAGVFNYYY >OB08G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9079107:9090920:-1 gene:OB08G19920 transcript:OB08G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSENMLTPAAAVSVEQAEASAHLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDYVVGHGELWSAQMLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFARRPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVEAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFNISAPGTMICQQPANENGDLEACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMLLNDTGIDLTQWKEHLKTEAEPANLDKFVDHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWAIERKDAEAAGEVLRYVGVVDVVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYREQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >OB08G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9097499:9102239:1 gene:OB08G19930 transcript:OB08G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRDEDWADYIVEVMREQFGLRPKEQIVMYRRPYLEWSERVRYHTGLVVQIGMCVTKIHCREQGSPYRASRQNRGTVLPRIPHDYVYIIFHLSIESEATRSFFFSLEPYTASTTSKEEALGRCPTYCVKIFLMIKNLNRFSYEEFICIKLYIHKFVCLKFIRGATGVGELRAVVVGVAGRRVDWRRAAGGAGLPQAMDDLRAEGGRRRGRRAGIGLATGQVRAKLGKNGPGLDPKIHP >OB08G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9105880:9112516:1 gene:OB08G19940 transcript:OB08G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEPFNRLGRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGPPVTKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLHDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGSADPNAADSSKNSQSQYNGTPMPYLGETKVEVALSGTGVKDGSAESGTNGNALKVLPPWMIRQGMTLTKEQRGETSNSSNLDEKSEVKDEKKQDSKEDEKSIQDEYIKAYYEAFRKKQEEEDAKRRVKQEGETLASVSHSERQVGMKSKREDDDEGVEWEEEQPTGNTAETYKLADLNVEAQESGDEEDNIVWQDG >OB08G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9113185:9116521:1 gene:OB08G19950 transcript:OB08G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTTLVVIVAVAVLGVEPVAAGVAPTRWGVPPGQALDTYEVRMVNRMGRDMEFECDGWPHDFTLKANGGDMNVTYETAFDRPGYNFLNPRVACLWSYAGNYMSSVMIWDEENWPEKKACLTGPGGGCLLLFENKEEVVVTPAGARRVLGDLAVKECSTHWYGKLLPWGAGCSYPKHDHAYAGTVHSTWSAAAMGSMIGH >OB08G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9129558:9135054:1 gene:OB08G19960 transcript:OB08G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTPLLLVGGVFIPPPIKYSRWGRNATILYAAGLTGGMWPVRPCYCATDRKLAVGALTGDVRGQAGQTDVEQSVRPTSARSDHHQLGLTDYGSVGSVSTTPRGQKLASRGIEVSLVKDGIMPSQDNYALDLSKKWMPTVSRSCTEAKYKSLANAMAKDKHIEVDYHFVRERTAKKLLEVRFISTNDQVAIGFTKAICARKLTYSNIISSCRPVYRQGRIIVGAEQTGCPVRVRPYRPPPCQYIGIDVGIDVGARTMVEMGGSFAYLGVSANLVTYLSGPLGQPNASAAAAVNAWSGTACMLPLLGAFLADSFLGRYASILLACTLYILVPTLNFPIYSLIFLLLPAAMSLNI >OB08G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9136957:9137579:-1 gene:OB08G19970 transcript:OB08G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGGWSVLTSTNYSTWAIKMEANMEAQGIWDAIEPANYSNPTKANLEYSELVKSERDLQRNAAAAEALNLGGGSGGASRVFDLEQR >OB08G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9145156:9145819:-1 gene:OB08G19980 transcript:OB08G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANMEAQGIWDAIEPTANEIAKKKTTKEDWESLKTRFFGVDRVKKARVQTLKSEFKALHVKDTESIDEFAGKISALANKLSDLGVTMEDDEQVKKLLDSVPDKFLQVIAAIEQFSDLDIMPFDEAIGRLKAYEERIRKRDDKNDEHLLLSSGTTSADRSRSKKPDRSKSMCYYCQELGHFAYECPEKKRRKRSLP >OB08G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9147388:9149475:-1 gene:OB08G19990 transcript:OB08G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRPFDLNAPPDDDDGLGDEAVAGEQGGVHVTQDEDVGGEAIVVDGGVHVAPDDVEGHQQPERRARKWLTDHERYVVYITLEARYKARTFKRSSTKEIADLFHADIRVIQRIWKQARQQIGLGQDVDVSNKRKGRCGVKIIEIDYSLHTTAPRNRRCSLRSLAKILNVSVATVHKRVKLGYIRRHSNTLKPHLQENKRQRLQFCPTSLGDIIQAVHEEFEGYEVSKINRVFLTLQTCMNEVMKIQGGNRYKIPMNKDGLEREARLPSSLACSASVYERVVANLQLVE >OB08G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9159707:9160812:1 gene:OB08G20000 transcript:OB08G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHGEGGKEDLRQGGEGRTEQGRGRGDVRSREVGGGDHTWKRGCFGHLNFCSLHDMGLKHMVEGGGPHRVFLSGVKIIKTNYEAFKCFKKIKAATKIELDMKLNAFHTDRGREFNSNEFTGYCVKLASIGTP >OB08G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9183523:9184002:-1 gene:OB08G20010 transcript:OB08G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDHSIQEEEPVAPTTIGDNDATLAEQTLTYQREKATWDNSDRVALTIMQHTINPEIRGALPKDRTSAKEFLTNLEEHFKGSSRALASTLMTRMMTTKYDGHGSVREHIMKLIDAANQLRTLECLFLKIIKFTISWLLSLPYLKTSKLITMEVIRSGV >OB08G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9205247:9209354:1 gene:OB08G20020 transcript:OB08G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3MSC3] MAASGEGASLPSQGGGEDARRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASEADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHISDIGIGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNTTKELENMKTMILEHLSQIEHVPSTQFHDRPSDPEAPQEEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNGDS >OB08G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9215894:9218919:1 gene:OB08G20030 transcript:OB08G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:J3MSC4] MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >OB08G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9254925:9260078:1 gene:OB08G20040 transcript:OB08G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITGILGRPKISGAQLVAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGELPTVWVVLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVAFLTEGIKITPTALQSAGLNVKQVLTRSFLAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKTA >OB08G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9259514:9262002:-1 gene:OB08G20050 transcript:OB08G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGGGGGSTAAANLEAPNGELEGWEQLGRGMEELSSLGLGLQSLQLRVQENSGKCNGSAYHREVMQTCGFYQRNQWTRNKDQDEMADLPRELIGETGVLKNRTDVTMTTTRLTQLPTECVTGDTLARIM >OB08G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9263675:9268338:1 gene:OB08G20060 transcript:OB08G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPATMFSPSLVLTTCSRALLLPLPRAPRPTTAAATARVAGGAPLLHLSSAAPAPCPGTGRARRGWICRDSSLRGPPGVDPVADEEEKNKSKAEAVAAAAAARVASSGSGGGGGSISDWTTSVLLFGIWAGLMYYVLQLAPNQTPYRDTYFLQKLSNLKGDDGFRMNEVLVSLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPSIDEDEIGQWPLKFLESKLTAGVTFVVGLGLFIFAAKAGGEDWHEFTRYFRESKLIHVTGLDFCLLSAFSPFWVYNDITARRWKNGSWFLPVALIPFVGPSLYLLLRPSLSSLLAAAGPSDDEPKK >OB08G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9269007:9272330:-1 gene:OB08G20070 transcript:OB08G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase like D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) TAIR;Acc:AT4G38190] MDGAEPASVAMKAEEQYMSNSLFTGGFNSVTRAHLMDKVIESEVTHPQMAGAKGARCGMPACDGKVMRNERGEDIDPCECHFKICRDCYLDAQKDGCICPGCKEHYKIGEYADDDPQDGGGGKLHRPAPGGGLNTNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDDDDRPGGGGGGGGVLPEQKPFKPLTRKIPMPTSVISPYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSASNPHGRSDLPGLDVFVSTADPEKEPVLTTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRNPDSYFSIKGDPTKGKRRSDFVKARRKVKREYDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGGDPSEQPKVKKATWMADGTHWPGTWAAPAPDHGKGNHAGILQVMLRPPSPDPLYGMHEEEEEAGQPAMLDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNAPFMLNFDCDHYINNAQADKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMFLQRVSYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQKLDVAFLCYLLTMTITLVALGILEGLLKVMAGIEISFTLTAKAAADDNEDIYADLYIVKWSSLLIPPITIGMVNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLLSITVSLLWVAISPPDANATGGARGGGFQFP >OB08G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9286132:9287675:-1 gene:OB08G20080 transcript:OB08G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MSC9] MVCNSKLPVQWKKVCVVGAGMAGLAAARELRREGHAVRVLEQGGDVGGQWRGGPRTGGLISPREAMGFTDFPFVPSSGGGRDPRRFPGHREVFHYLRDFCDAFGLMDAVRLNTRVLRVAVAPRRQGPAGGEPKWVVRSVRVGERDDTDVQEEVFDAVVVANGDYSQPSLPTIKGMEGWRRRQLHSHSYRLPEPFRGDVVVMVGCSDSGQDIALDLIGVSREVHLTAKSTEEATTPAMSKLLSKYANLHLHPQIEHLGEDGTVVFVDGSCVVADTVMYCTGYVYSFPFLETDGKVTVRDNRVGSLSFVGVPRKVPAPWFFEAQGKLVAQVLSGKRSLPPEEEMMRSVEEHYRAREAAGVPVKYTHELGSIEPLKYIEFGKKYCDFPRYEDWRREFVLSTIRNRDEDLETFRDQALDPSPLHATGAAMASLALN >OB08G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9301200:9305714:-1 gene:OB08G20090 transcript:OB08G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGGDASVHNEEDEEDREDAAARAAREAREGRNRVRGNRGRGGAHGGGRDHAPRNNHYAEFDEDDDDDVHYCFDRIPRRRNNDEERLGKLKFTMPKFDGGSDPEAYLTKELNVDKIFCIHNYSEEKKLAMASLEFVDYALIWWEQLMNERQEAGQDEIATWAEMKAAMRARFIPRHYRPHLFYRLQNLKQGNWSVEYYKEMEKAMIRANIFEDEEQSIARFMSGLHWDIQRIVEFQPYRNLVQCVHQASKAERQLQQDAKARKSGSFSTWVTPSGNKFMPRATVNRGTTVNFSGGLRSNVSGFYSGKDVAISSVKSKPTVSSTTSVRSTSMSRDTQCFNKESIIQLVRWKKNFSMMEMKMLDKRIPYEKVCKVIIDGGSCHNLASKEMCDKLGLKLWRHPHPYHVQWLNDSGDIKIGHRVKVSFKIGEYKDEVLCNVMPITVCHLLLGRPWQFDRSSQHCGRTNQFSITWKGRNFVLKPMTPQQIMAEHMQKSSEVRIESEKKREQNKLSDIHKSVLDVLRKEKLFANIEKCTLCTEKVVFLGFVISGQGIEVDESKVEAIKDWSTPVNRCLTEAPLLVLPDFTKTFEIECDASGIGIGGVLMQDKKPVAYFSEKLGSAQLNYSVYDKELYALEAHAGGLMGHFGYKKTYEVMSDQFYWTKIRRDVERFVQLCTTCHKAKSKLNPHGLYTPLPTPSVPWEDIGMDFVLGLPRTKRGRDSIFVGVDRFSKMAHFIPCHKSDDASHVATLFFRDIERNNMDALKRAAFVKKIHEKTKEEIEKKAHSNAAKVNKHRKKVVYEPGDLVWVHLRKERFPERRKSKLMPRGDGPFKVLAKINDNVDKIDLPDEYGVSASFNVANLTLFYAFDETESRTTPFQEREDDVP >OB08G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9315364:9320033:1 gene:OB08G20100 transcript:OB08G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKLEVRCDCLDVWYPIDVTYAFVAAVVSNFSRSSVKIEESSSTSSEESGIRYSKRHSQDEDKSFNSQALLLQSSQPFRAVDVTLASSFSRCLVLTPSQRRPFPKSSLKFLVGLSKASGTLL >OB08G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9328698:9328913:-1 gene:OB08G20110 transcript:OB08G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNLTSFVNRMEVRDQRGSIFKRSFELISDDKACTLETKIKKQRIDEVKMQLCRADTRKEVVEAFLGLLG >OB08G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9335431:9341528:-1 gene:OB08G20120 transcript:OB08G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLSSEIWGKDAKKDISALASQNNGTLTKSKKGQVQAKKDKVDGDSKEDATAVNENGGTLVENKKGKATKQKIGAEAKIGSSKETAPTASPTKSKGNHKDKLKEESKSGKSKETATIATQYDGTLVGTNMGKDDKDTLYGDTEIVVPKKATTIATLGDGTLVVSKKG >OB08G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9342867:9343256:1 gene:OB08G20130 transcript:OB08G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGSSNVLEKRHKKRQDPVSTSTESDGDNSPPVKYPRGRIGKKKVDEVGKKKVDEVSPSRRRGKRTANRGRPSGGIRIEEPSSSALPTGSARRPCDAQRLNNEAAVGAIRLFTKPVLTAAIGHETPR >OB08G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9343473:9344455:1 gene:OB08G20140 transcript:OB08G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLCHQKNLDTLMSLEHNWSEELIGQFYANAYFEDNDDGSEERKNAVNINSNERKINQILRNNGHEIPPESEDEDYIDLFMAYEAEVATRAAGASSSRAPQDSDEETKEEESEEAEGEEDNDENNDDEDDDIDDDEE >OB08G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9344980:9345324:1 gene:OB08G20150 transcript:OB08G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPSPSRLCFVVLFAVVWFTAWLIEMCISWSLFRSSRYLLQISCRLAFALSLCCVSGIWPDAGAKRLDLFALMEQVKDFELFGGRDDSFSTFSFPLML >OB08G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9345140:9347749:1 gene:OB08G20160 transcript:OB08G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAGCAFLKYGTKEQALAAIEALKGKHNIEMFQNVRSRCYRLNMSDQQLAELAFQGLLDQIREKFSA >OB08G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9368944:9375070:1 gene:OB08G20170 transcript:OB08G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3MSD8] MEERRCVLVGGGSGYLGQHLLAALAADGDVDVAFTHHHEVLPMSVCFVTDRPGAIWQTATNTGTGEKRRRAHSTTQDQERNPIDRQRKKEMNADFGAPKDLAGGLQHRRSLYRPALPPCLQGATVKVEYGDPTTTIDPTCANVVADAFPCTYGQPLVSFVAPPADAAADEARKPLRVGVVFSGRQSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAETLVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVISKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >OB08G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9380228:9381062:1 gene:OB08G20180 transcript:OB08G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSYDCSAREQSILDHGNNATFDEVSISLSCSFLNIYINLEYLNVVSNTSILVNDCPSNVAILPDTNGNATLFTKEFLVCFSL >OB08G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9379642:9386288:-1 gene:OB08G20190 transcript:OB08G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MSE0] MNVPLASKASPSRSNVAGEQQQSKRDKTGVDDARCSSKNKNLDRNVNEEDHFFPYEDIMVIPKGGAGRPPRRGVASSSGRRGRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDNERAKEMPYIASMGIYVISKNVMLQLLRDQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >OB08G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9393989:9394504:-1 gene:OB08G20200 transcript:OB08G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLMSTGEVKAERGDGVDEAVVEVGRPAEAGLGVGRQHQARDGTNSGKAASSIGIRAAVVVVDEAGEHLLGSSPAACCRRDEQAVDRFGFGGLGMEIEMEDEEESRKEYSVAGAHGRARRDRASSLPSMRRYMACHTFRFALGSWDHQTDRHLHQVNLGRDCLDKQRKRK >OB08G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9394175:9394495:1 gene:OB08G20210 transcript:OB08G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLPTFFFILHLYLHPQTTKTKTIDRLLVSTAASSRRGAEEMFPGLIHHHHRRPDADGGGGLAAVGAVTGLVLTADPKPRLRWTADLHDRFVDAVAPLGLDFACAH >OB08G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9394857:9400627:1 gene:OB08G20220 transcript:OB08G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTHYYADYNINPAEATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMVEQSKDAYILGAQSGANLSPRVPTPDVKESQEVKEALRAQMEVQRKLHEQVEVQRHVQIRMDAYQNYIDTLLEKACNIVSEQLNGFTISDHDLPDLSSAGVMLSSADPLSPSIFHQLSVSSINLHSPGGKSSPFAVPGDADLFFQKVPEKRNSC >OB08G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9411061:9415395:1 gene:OB08G20230 transcript:OB08G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGHTHGNPSTHEQMELGGNAMVPSNGGNNNANMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKAEDKDSGNLLAALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGSPRDTSSEQLPESERTNPPTPVPTSESPVQGAPRKKLNGSQVEPTKSPSHDDPPPHGEPLTPDSSCRPGSPTLSPKHERASKRQRGSDDAGDGSAFADGEFVLPHSIFESSTGSEFQQCSMPYSGQ >OB08G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9419070:9419971:1 gene:OB08G20240 transcript:OB08G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVAVSEALEAGKLSSAPEDGALDFAVAWVAVVVVSLAVAAACVTPRRTSPTVGLVGFLAAAIAAGAALAILVLLPIYDALVLGEEEQLPLAPVAAAGEEARELDR >OB08G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9445980:9452895:-1 gene:OB08G20250 transcript:OB08G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT1G79150) TAIR;Acc:AT1G79150] MGKSSGSKNKKKKDRVILPPQLPPELDDDEVVVSDEDLEFFGANDAHARALASLDRKSIDSYVTRVAHHVGDEVERLYEERERRRKAAEALRPKKDDDADLEVDRVDALPVKTLQGELVYNNAKKARFDDSSNGVETKSEDRGANAKQTLQRGERKSKSKSKKGDDKLQNDHAHTEAPNGKLQSKVLEEVKEELSAEELFEKKKAQLAEIGMSMLEDPEANIRSLNDMLHICNEKDQKVVKLGLMSLLAVFRDIIPSYRIRQLTDKELAVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPTFHTLAVRCICTLLDTAPHFNFRESILASVVKNLSSPDDAVRKMCCETIKSLFIDEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDEDIGKDDSEEEKGKPKKNKRRQNQEVPKQLPVSDKKKAKQELISKAREEVDAELRAVSFTLDPKERRRIQKETLSSLFETYFRILKHSMHTNSSHYTIRGKVINVSSDGSHPLLAPCLEGLGKFSHLIDLDFMGELVACLKKLSGYTDHYSEILHDNTLSVSERLQCCIVAFKVWRTNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKQQDMIRAAAFIKRLATFALSFGSAEAMAALITLKHLLQRNSKCRNMLENDSGGGSLSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISNANPLEAYRDLSMEQELSKSANKVLQLKCKKMRRGKEFVALSPSVLQGSDCIANGDELKGKLQNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKSAKTKSGKKKIVRA >OB08G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9476555:9481563:-1 gene:OB08G20260 transcript:OB08G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHQAKGISSNGGIPTMGGKQIKQTFQAPPADEQQDATYKGIISPVEVQSKSEYTQQDTSRCNANESQSRTTNNGNQSTSRYSRRR >OB08G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9484182:9484787:-1 gene:OB08G20270 transcript:OB08G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSTKASSKSVTPTNNRAPKEHNKKTDGKPTSSGAKPTATGPSTSVPPTNDKAPRAQLKTQHVNGNGLPKNVK >OB08G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9487850:9488265:-1 gene:OB08G20280 transcript:OB08G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKSKASSEPVTPTNDRAPKGPKNGQKMKTDGKPTSSGDKPTATAPSTSVPPTNDKAPRGRN >OB08G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9499433:9501128:1 gene:OB08G20290 transcript:OB08G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVIRGGYLGRIHPRGGMAAHPRPSMEPMKQAHGDQVRGVRPSEAVARGFFPRVEKRGVKLVRFGIAGQGLFSISIDNPNLASEKAPVRETEEDNKNGLSEEELRRLGGIYVVEAEDGEEELLFSIRHHHLKGTLCPLAKSTNFECPIANFAKLKHIFSDLMWEWKVKRPNDKEFLVTFPSKNIRRQLSRPKSFDFECFQIKASIVERIMIEETIDEFVVVWVKVYGIPKIAIDWRTC >OB08G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9513727:9515014:-1 gene:OB08G20300 transcript:OB08G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDINTNILTALGKFRSIDKQQTVAQHPGRSEWIITTQKGIPTQCLNSREQQTMVHHPTKTATRNQRLHRFTMDHQRVLRQAGCRRSKIQSSTTLTV >OB08G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9528650:9530008:-1 gene:OB08G20310 transcript:OB08G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYYSGVRNSVPPQQGTRFVGSSYDANNSQSTASSYASSKSTTGRVPTQYSDYRSSKPADQCYINSIGSQGQKLGGGGAKSSKGLTTNKYPPLKG >OB08G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9538048:9538881:-1 gene:OB08G20320 transcript:OB08G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGIRNSVSPQQGTRFLGSSYDANNSQSTASSYASSKSKTSRVPTQYSIFRLQVVEACRSILH >OB08G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9541425:9542654:-1 gene:OB08G20330 transcript:OB08G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLISSEEEISSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRLELEEICKTAHIEPDASTAPEQTNEMIDSGTIRMLLLLHLPVTAVYKFYIFLCMFHQACLTLLSFWRIEHPLVVHEDIASYEVSPQTVQALRKKQAIMKKCTPRRVSVEVANTSIPASNTRSKKKL >OB08G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9542726:9542992:-1 gene:OB08G20340 transcript:OB08G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKPSSSSSKQSRAQAQQQNGHSKLARYFDPEASWDKDQLLDAVHWICQVLGLACGLL >OB08G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9544215:9544394:-1 gene:OB08G20350 transcript:OB08G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGAALDSLLGHVLERVCGAWVGSRWLQLHRTVMSARRETTAVIIKWYLIDKSGDELM >OB08G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9550379:9551425:-1 gene:OB08G20360 transcript:OB08G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGISSSVPPQGGSSGKSKRQEDIIQEGLNLSGPKGLGNNNQKPYNGQVPHSHITSQNIIAKSKDLSKFPI >OB08G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9554630:9559118:-1 gene:OB08G20370 transcript:OB08G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKSPELFKDLVSCIHGLVAILAILLIHVPAKFRSFTIEGSSHLIKQTEKGVDLLASLCHNYHTSEDRLKEMMGKSYKVIEVFLSRKVLSASELKTTNLDKIDTDGLMYFKDLVDDEFFPSNLGKLEKLCSTTGCEGELDLEMFLISNDYILSAENPYGGSANLGCSKRIFETLASPTKTIKNMLAAPSSPSSPANGGLIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSNLEQFLSSCDTDLRTNVIKRVSIILEAIFPTKSVDRGASIGLNCANAFDTPWAEARKMEASKLYYRVLEAICRAESQNNNKNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIETFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLAQEINCIGLLAEPMPSLDGIVAWQNIHSEGLTTAPSKRRPSADVNCDPQSPKRLCTESRNSLVERNLQTPPPKQSQTGLSSLKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQIVDQQTALFFNRHVDQIILCCLYGVAKVSQLPLTFKEIVNNYKREPQCKPEVFRSVFVGNTNRNGGLGSRHVDIIVFYNQVFVPTVKPLLVALMPSGTRPEDKKNTNSQLPGSPKPSPFSNLPDMSPKKVSSSHNVCVSPLRQTKMDVMLSPSSRSFYASIGESTQSFSEPV >OB08G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9565710:9569537:-1 gene:OB08G20380 transcript:OB08G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAHEGKEKVDASTLSEEGMKGIGLIDTAKSIIQGEVYWSLRCNITTSRISNPVPPQGGSSGPSTVHTGTGQGGTTSGSSKGLSKSSPRNNHQQPYHGQGAHIPINSSQKSNGSVYGLFGELLIAAAFENLIHIF >OB08G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9587078:9587713:1 gene:OB08G20390 transcript:OB08G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVCAAIQFVKSSPARKAQFKKCMEKEKIGNHNFLCWDVDIRWNSTYLILESAVVYEKAFKRLEENNKPYLHYFDLEYAKQMISFLKLFYNVTIPLSGSHNVTTNTLFHDFLLMHNKLVQYSNGDDYTLAMMASRMKQKIYKYWANIGTLNPMLFIVVALDPRYKLKYLEFCFKMIYENDDAKCFFERVKQGLTLMCEDYV >OB08G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9600271:9604826:-1 gene:OB08G20400 transcript:OB08G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALWTPLPSRIPRQSIGSLPPLLGTGHEGKRLVSNHNLNKESSAAYNHDVDTISENDQVIVPLGCQRPEAMKDQLPLGEHSLYPSKVTAVDGRQSMIREQHYCCGWQTNSMAILKSSEPNSPWMLNNLSGLLAWKRCRKKRLSSSVPPQGGSGSSKRQADTNHQVTILLQVWVYLIPRNWNGQNIRDKTSQDM >OB08G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9614215:9616894:1 gene:OB08G20410 transcript:OB08G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MSG2] MSTPSHSLIFLFLCLPAVLVRANVYRHIYPCPCKSSHENETRLRMYLHQFPAWPSVPNRNEYGVINSSEPIGFGQMYVHDWFITTGPGANENVVGRLQGYHLQASQTSTTWYTAHTMVFRDGSFAGSTLEVSGILDKHDGRWSITGGTAAFGSAHGTIKFTESQSSTEIIRELDIHVFHTPETVV >OB08G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9658543:9660301:-1 gene:OB08G20420 transcript:OB08G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKADHCMIDIPTLAQELRVELSSLKSPAEWVAGGSVQPWIFVDKVGESTRSLDPHEYVPQFVSIGPYHRTGDLAREANKVNYLGDVLSAAAADSSAPMKLEDYLSELAHLEHSARRSYVHSFDIPSGEFVRMLLLDACYILVRFGDVLGRRPAPPQPDMANGVVPAGHRVVPTEERRAPSADQRENVAVVRDVLYLAENQIPFFVVDKVYELAFLDGKTRAVDAIARYVHDLLRWTGYTVATPTVVAPPALRPQPANLLHLVHMHFTPTVLTSGKRSRGGRPVGRWRTATEYYFAGVRFKRRALSTDGGGGARSILDVKASRGGGTLQVPRLSIDDQTWRLLRNLMALEQSNPEAAGTHVTAYCVFMSQLACTARDVELLSRRGVIVHGLGNDEQVAELFANLCKGAVFDFDEADQNYLRPVCQTLERRFRSRPRRWMAWLKQKYFLNPWLAAGLVAATIGLACSVIQAVYSVLGYIKPGN >OB08G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9667663:9670008:-1 gene:OB08G20430 transcript:OB08G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIQLEEYVPHYVSIGPYHRISSPKLARDVDKVHRLGEVLSAAAAYSTAPLRLEDFITELALMEHAVRSCYRHPFDVASKDFLRWMLLDACYILVRFGDVLRRRHGEEEEERTVEAVNGVMVRRWYRNLFRVPSKGFLRRVLLDACCVLVRLGDVVGLGRRRLKVPAAAEANGFASGENRVVPSVEREESGVDQQEAVAVVRDVFYLAENQIPFFVVDKVHQMTFLDSKTPALKAIAGYAAALLEGQQYSIATPTKVEEPERPPEPANLLHLLHMHFKPTVLTPSTAGGRPVGRWRTAIEYYFAGVTFKKRPLDLSAKGGARCILDVKVSSGGGTLEVPQLNIDAETWRLLRNLMALEQSTPVGAGSHVTAYCVFMSQLASTDTDVELLSRRGGIVPGLRHHSEVAGHFANLCKGAVFDADDAEQNYLRPVCQKLERRFRSRPRRWMTWLKKKYFANPWLAAGLVAATVGLICSVIQAVYSVLGYVK >OB08G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9670174:9670341:-1 gene:OB08G20440 transcript:OB08G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFVALNQQPDANNASLTELSTGCSRPSEAPPPMTIFPCTCQSEDISSCKLGF >OB08G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9679766:9681292:-1 gene:OB08G20450 transcript:OB08G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAQELRDGLAGMNSSTERADGESAPPPIVIDRVADMTRNVDKQEYVPHHVSIGPYSRRVHPALARDADKMVRLQEVISAAADYSAAPLRLEDCVVELARMEHSVRSCYKHRFDVPGKEFLRWLLLDACYILVGFGDVVVKRRRPDGEEHTAEAVNGVLPGRSHVGGRHKRLFLHRLLHILRQLGGIVRRRPDEPTAPSVEMKASAVHRRETVEVVRDVFYLAENQIPFIVVDRIYQMAFPDSRTPALDAFARCAHGLLGKYSIATPTKVEEPERSPEPANLLHLLHMHFRPTVLARPTGSQGGGGGMAVGRWRTALDYYFAGVTFKKRPLNHGGEGAAVSILDVKVSGSGGTLEVPQLSIDAETWRLFRNLMALEQSNPVAAGSHVTAYCVFMSQLASTDTDVELLSRRGVIVHGLGNHSEVAGHFANLCKGAVFEPDDAEQNYLRPVCQKLEKRFRSRLRRWMAWLRKKYFANPWLAVGLVAAVVGLVCTVVQAVYSVLSYKK >OB08G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9705762:9707694:-1 gene:OB08G20460 transcript:OB08G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQDHHSIDIPSLAQELRQELATVKPSSPVQFGGGAAARPIIIVDKVGELTRNVDTAKYEYDPQHISIGPYHRTGELVRDADKVSYLHDVLSLAAATNSGAYLELEDYLTELALMEGRARSCYAHSFNIPSKEFVRMLLLDACFILFRFGDVVRHREEEPPANGPIPFFVVDKIHQLAFLDSKAPALEAVASYAHKLLRGPQYSVATPTVVEPPARTPEAANLLHLLHMHFTPSTPLPVPGGGRPVGRWRTATDYYFAGVTFRKRVLSRVGAAPARCILDVKVDSGGGTLEVPQLNIDAQTWRLLPNMMAMEQRNPVVSGSHVTVYCVFMTQLACTPRDVELLVRRGVIVHGLGNHGEVSQCFADLWKGGVFDVNDANQNYLRTVCQTLERRFCSRRWRWLAWLKQNYFTNPWLAAGLVAAAVGLVCTVIQAVYTVLSYTKGRN >OB08G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9727159:9728670:-1 gene:OB08G20470 transcript:OB08G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSVVVEMSSLSLAQQYFERLRIINGGSPAGHGQTHAGEPSVVIGRVVEMARNMDEHEYDPHHVSIGPYHYRKGSPLAREDHKLRHVEQLLADAAGGATPGPDDFKRYLAALQLLDSRVRRCYTGEIPDMTAEELAGMMLLDGCYILVRFGHLGESTTTASRAEHTPAISVTPEEAAGAIAAPNGTMAGENGCVSTSVASETGSRRRSDRQMEDLALVRDVWFLEENQVPFLVLDEIQRLSTGGSTSAATRIAPYARELLQRKLYSTAPSPRLEPPPGNLLHLLHMHMTSTTPRADVGQDNGFAVGRWRTVTEYATAGVEFTARDLGAACWPGSILGVEQSGGTVLVPKLNAPTAAGQTLRLLRNLIALEQHNAHEVGSRVTAYCTFLTQVACTGGDVARLSKAGVVSHSMGNDGELAGHLADLCKGNVFDFDDPRCNYLLSTCQALEKRYKSRPRRWMAWLKREHFSNPWVTVALAAAAITLACGVVQAVFSVLSYKNGKN >OB08G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9737320:9742115:-1 gene:OB08G20480 transcript:OB08G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPVLQAKLPAAMISNSLTKSGPLGTAFLGAVSRYRNITRLVSPVYQPTPKSFTTICSSFSSSSDGNGYMAGNFSESDEDYVDSTVLEAVEVRSGADGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELYLTKVGDHTESVTFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAVAAGISDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAAAWRDKLMQLRSKRKNWA >OB08G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9775145:9777233:1 gene:OB08G20490 transcript:OB08G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGATSGSSGGTHRGSWSEGDIQLQAQMELKRKRRKESNRESAKRSRLRKQQHLDDLNSQVNQLKAENQQLGATLSMVTQSYAAAEAQNSVLRTQRTELDSRLRALREIVFYLNTPTQLLPAAYPSTTMTAAAAAAASGHYYDYYDAASANPWSSSGMQMMQQQQQPMDQFLLPVLGSF >OB08G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9786844:9786963:-1 gene:OB08G20500 transcript:OB08G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRQVKQMGRLNKALKEKRAKLYIIRRCVVMLLRWSD >OB08G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9799603:9799857:1 gene:OB08G20510 transcript:OB08G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFHSHRSMKTFRSASFREGVVCHCTACSNLARNFSGRKACLLILAFTFRALSMVCFLLIYVEFCFSVNYQLAWNCTSHAHLV >OB08G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9812981:9813769:1 gene:OB08G20520 transcript:OB08G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHVLVVDDTHVDRHVVAMALMRHNIRVTTVESVMQALMFLDSEHDVNMIVSDYCMPEMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIKKCLDGGAKDYILKPVKTADMPRILNHI >OB08G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9816262:9817044:-1 gene:OB08G20530 transcript:OB08G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVGTKSITQIAHELAKLNEVVESQQENISSAQVPLVEHFALVLVLGRKINHSRGVSFQVIDRVAEERLRLLAQIEAIEKCVIAAH >OB08G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9823802:9823987:-1 gene:OB08G20540 transcript:OB08G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAFRKNKSVKESESMTVNMLDLDVTRKCWMLDNINLIWLLLWCSDYLFLFHVLMGYFAS >OB08G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9825987:9826202:1 gene:OB08G20550 transcript:OB08G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRAAAARSTRAGSETAGGSRSAIPELGSGGDGYPHGERRGGSRRSEMRQKRMPLTSPMSVDGEEWRGE >OB08G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9831103:9835626:1 gene:OB08G20560 transcript:OB08G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAAVRALAAPPAAGASRRVALSPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPAGKAVVPDEEFTLAKVSFGVIGLGIGGTLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKYAELKPVSCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQNIREEVTDDGKYSLVLAFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKSGDDLYEVRLISETT >OB08G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9837807:9838070:1 gene:OB08G20570 transcript:OB08G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAFAEPIVAIILLAVLLLLCTSSASPHEQPLVPRSRRLLLQQCSGASSCSTRLDGLGRFSKTPKAVFESLKKMPTSKSNPSHN >OB08G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9839167:9841531:-1 gene:OB08G20580 transcript:OB08G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATQLGCHTIGSHGIKLARFHLYDWIILIFLAVVYGLSNIIEPFHRFVGRDMLTDVSYPLKGNTVPFWAVPLIAIVLPWVIFGGIYFKNKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKANFNNVTGDVICHGERSVIKEGYKSFPSGHASAAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESQSNSNAAVALRDTSPMLDSMESGRRL >OB08G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9846749:9848483:-1 gene:OB08G20590 transcript:OB08G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFSPLILNVLFFKGKMPGIQLGCHTIRSHGTSVARLHMYDWIILLFLAVIDGVLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIGIVLPCAVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTDVICHGEKGVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLQAFDRKGHIAKLCIVFLPLLVASLVAGSRGRD >OB08G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9851880:9852104:-1 gene:OB08G20600 transcript:OB08G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSSLPSHWGGSGTLLLYLRNPGCKLWPSPVALVHRLMKASYNTVVGVKVIQKKFNSIYQMFGKPINKQSNL >OB08G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9852728:9857636:-1 gene:OB08G20610 transcript:OB08G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAATPMLPQPIAGAGARRSSGEALFTPPPPNTRLRRRVLSPIRADAPPIHLSASAAAPSRLTVKPPVCTADELHYAPVSGAGWCLALWRYRPPPHAPARNHPLMLLSGVGTNAIGFDLSPGASFARHMSNQGFDTWIVEVRGAGLSTRDYDNSTTSLSGTIDDISNSRLALDKSSMLEVASVQSSGGSAIDYDDLGIVALDDPPLLTELANFFDRVSKLLEEASSNKNFHEITNKISVLSDMVESSTVIGPMREESLRILKNFQDQINSWERFVATQRDLNYEYNWDFDHYLEEDIPTAVEYIKQHSRAKDGKLLAIGHSMGGILLYAILSKCGFEGVPSNLAAIVTLASSVDYTTSDSSLKMLLPLVHPAQALNVPTVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRTGTFSYKDHLQACQTPVLALAGDKDLICPPEAVYETVKLIPQHMVKYGVFGKSEGPHYAHYDLVGGRLATNEVYPCIIEFLSLHDQ >OB08G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9864746:9865759:-1 gene:OB08G20620 transcript:OB08G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEDEQPENQENDMEQQEEHDESEGEDLVEIDPADVYTFDDFLAEDQVLDSFRRKIGDKLKAKLERACWAGMFTRGDKKVPTMILEAVSSRDLRIWHAFFGTAGAYNDINVLNKSPLFINAIKGEAPRVQFVVNGNQYDMAYYLADRIYPEWAAFGKTISKP >OB08G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9866617:9867920:-1 gene:OB08G20630 transcript:OB08G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQVEAAVTRDLMKATAARERKSSAVRERKGAAATKLPQGVAAAAVQKVPATTTTADEGSVSFQSLASFTGTTNGGFWSQCVGVCPIGNPWMRPQAFEPSTWDNDPTPPGGFTDFLNSQPQMSQNHHLVGRASHFGPFKPPQSFETSPSQEDTTTPRSSPVNVDSGDELIRTEKRILWTQEEDMSSSLLNLMDSSQRSDRKSEHYWVYVIDTYNPTTPGNRKRNLKQAKDRWHEINRWTDLFNDAWIKAQIIFTSGYNDQMWIDKAHVFYVEDNKKLNLSRFVLMDVWYMVKNEAK >OB08G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9869482:9874590:-1 gene:OB08G20640 transcript:OB08G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02450) TAIR;Acc:AT4G02450] MSRHPEVKWAQRIDKVYITVQLADAKNAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGDMMGGMGGMGGMGDMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEAGKSQESDAKAETS >OB08G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9887701:9887913:-1 gene:OB08G20650 transcript:OB08G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRSHCCIADGTAVYKSPGVHYSWQVTGECSGELVMIDDSAAGGGTDEITVASSQFEERNGELAMHAE >OB08G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9889664:9890970:1 gene:OB08G20660 transcript:OB08G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTRSHSRGPTRPTPHSSIAAGKPDSPAAAAPPVPSSAGIASQLRATTGLLFRPQGVPPILPGSGNQRGEGGREGDDAGGGGAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKGVGLRGLTSKRQMKLMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPPRPRREHHHHHQQQQLRGEPPKP >OB08G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9891329:9894596:-1 gene:OB08G20670 transcript:OB08G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ortholog of maize chloroplast splicing factor CRS1 [Source:Projected from Arabidopsis thaliana (AT5G16180) TAIR;Acc:AT5G16180] MEQEEEEKGRQRAVPWAAARDEELKVVLRREKKVRVPTRAEAELEPAELERLRQLARGMDRWARAKKAGITDEVVEELRGAWARRVQELAGVRIVEPLQRNMDRAREILEIKTGGIVVWTKGDIHFVYRGANYIENSKRHHDFVHNEEVSPVTANFPASQGKYGSKAESFHENDQSIDVHKDNEPVKGTLYEREVNRLLDSLGPRFVDWWWNTPLPVDADLLPEVVPGFKTPFRQCPPGVRPTLADQELTYLRKLARPLPTHFALGRNTKLQGLAAAILKLWEKSLIAKIAVKVGIQNTNHEQMARNLKRLTGGTVILRNKDFIIIYRGKDFLPGDVAESVIERESQVHDQQAKEEEARLKVVDSLQMLAAVSPEKSSVGTFREYQDFQDNHECGTTENNNVRIQLEAKKHLLEKELKDHEWRLSTLTKKIERSNQVLAKLHSSWSPSEQDGDRELLTEEERMILRKIGLKMDEHVLLGRRGIFEGVIEEIHQHWKHKEVVKVITKQNQARQITYTSMMLEVETGGMLIAIERLTTSHAIILYRGKNYRRPSKSSPSNLLTKREALQRSIEVQRRGSLKYFAQERKKSIDELKRRLTNVVREIRKLNHYTEQPWQHDQYQH >OB08G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9902970:9907269:1 gene:OB08G20680 transcript:OB08G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEGDEDEDGSSGGSAEEHQRRHGGRRIRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFAQHGHDVPQRSIPVQIQEFVGISAPLKLVFAKQLKLPIFTSNRLVDIDNNPMQIHLVDARTNHMVMPASTHPASSSTKLELLVLDGDFRCEDRPGWTGDQLSAAIVRAREGRRPLLVGAGLSRTMDRHGVAVIDEVSFTDNSSWIRSRKFRIGVRVVPAANNQAAVRIQEAVSESFTVKDHRGELYKKHYPPVLTDNVWRLKNIGKDGPIDKRLEAEGVKNVQDFLKLNTIDPNKLKSLVGMSDRQWSATLNHAKTCDMGGKCYIFKSEGCDLTFNPVGEILAVRIGDQVSSLHDLIPLQMVQIRQLANQAYKQWDQLEEVPNEMALVNKSLIPFHEEKPMTSSAIPSHIDQAEGLMENSGWEPSEMSQESMISSGSQSAMYLDSMGAATTSTAEMVATNSSTNLESVSAVPGALFGWSSGMATDDHFSWQNNTNLGAWDHADDPC >OB08G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9908074:9908298:-1 gene:OB08G20690 transcript:OB08G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEQFKSRHKQSNLNQTYGNPRNANSPLPTHGARRTTNPPLKAPHNQSKVWPNKEENSKEWESPAGSEEDEPL >OB08G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9921339:9922381:-1 gene:OB08G20700 transcript:OB08G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISTTEQVHEFVVLWQEIRRVNLLPQVQDSITWNLTARGTYSAKSAYLMQFAGNIQDNRFMFIWKAKAEGKCRFFSWLTAHNKILTADNLELRGWQNNELCPCAYARQTWRILLQSMKINLINKSATHDDYLQWWKDTRLQVTKEARRKFDGMVVYATWEIWLHRNASIFDNNYNNSPRQTTDMILHECKFMNVE >OB08G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9924129:9924536:1 gene:OB08G20710 transcript:OB08G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAVTSLALLLLLAAMADRHGPAPASAQVFCRSQFNLAYEACSIRALPGVRPPVPRRQLELNETSVAGGRRHGLHSRGDGDDDDEAGDYYYDADSGGEGAGGGGGGPPPPAAPGPAAMSTSWKTPTTRRAAGG >OB08G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9924926:9925801:-1 gene:OB08G20720 transcript:OB08G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRVSYSDSDSDFRRRPRRYKGNKHDQRAPAGRTVILVPPCLAPWCNCWILPRMARSLCSPSRGSGGAAAAGGDLPGPSPSSERMGRAAAATKKGSRLRPFVYQRQPGRWGVEFRDRHLDIRKWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSAAVEAPALTPQNPLPLAVDPFRGEEDLLANDHRFGFGLADIGHLPLPSFHANVDFSITDSDLSLFDAGFM >OB08G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9928216:9930217:1 gene:OB08G20730 transcript:OB08G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWPSPPEEALASAGPSSARARTARSSTTRRRTTRRRAATTPPISEVKQRENLKVSMLVEHWILQIQAKCSSIGIVVDRRILLILAVLLLLIVHMMIKYLLMCTL >OB08G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9943950:9944162:1 gene:OB08G20750 transcript:OB08G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPCARRSAPRRGSCWWRRHGEAVVRDGPFVMNTREEVEQARDDYRNRRNGFEMAAGWSSDYAATVAAH >OB08G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9946128:9947153:-1 gene:OB08G20760 transcript:OB08G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKLGLLYHDPFNFQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTILGGAVGYVMTDSAFSLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLIMAPVFWFLTGEHLSVFRAMESRGQNWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTTKGNSPVQREAVVKQGNGEDTEVELDEKQIQVSSPKDSNV >OB08G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9960895:9967182:-1 gene:OB08G20770 transcript:OB08G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein [Source:Projected from Arabidopsis thaliana (AT1G06560) TAIR;Acc:AT1G06560] MHKLVNLVDQNGLCGGINGLEIAQKNGGEQAPEGSSLVHKCPYSGLENVLFVQGSGPHALRYDSQPNQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAEDGGWTVGITRGTVLQGLQSDAHYEERKGLYIGQGITAMSRSGIFRVPHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDQGEIVALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVRKTNEANNTGMASNCTDTIVTLVDSEPCHTKVDAGITNASEDSSIISVTQIDNKRPDDKRYVSKVDLRKNLRRIRNGPGRNNCSGGRVQNSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEDTLESLRNHARYQRRMFDQAVKLVRSGGVIVYSTCTINPGENEALVRYALETYKFLSLGSQHPKVGGRGIVGSCELLNKTYTEEWLTEHESELVQRFDPSSSLDTIGFFIAKFDVGQKES >OB08G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:9981921:9988618:-1 gene:OB08G20780 transcript:OB08G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEKMASIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGDDLREMVQECYEIAAEYEGKHDSQKLSELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCNDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLSDVRDKLYNTRERARQLLSSGHSDILEETTLTSVEEFLEPLELCYGSLCACGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPQTDEVADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEGAPAAVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHILEKDIRNLHVLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGEKLRANYEETKQLLLQVAGHKDLLEGDLYLKQRLRLRDAYITTLNVCQAYTMKRIRDPGYHVTLRPHMSREIVDCSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >OB08G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10007372:10010494:1 gene:OB08G20790 transcript:OB08G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >OB08G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10082408:10090286:1 gene:OB08G20800 transcript:OB08G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLIKHVVSFGQKLWSDIPDLQHDEEDELYWKAWCESPTAPTANDACSCHLTPTGDYGGITAIFVLSREPSTDHPEQAGGAGEGPAALEMADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLTQITDDHTRFIMTMRAIQGALIISSCIQIIVGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMIVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRNLFIIGVSLFLGVSIPEYFFRYTMSALHGPAHTRAGWFNDYINTIFSSPPTVGLIIAVVLDNTLEVRNTARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >OB08G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10092538:10098212:-1 gene:OB08G20810 transcript:OB08G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLQLPAGSVKIDFASVLYAGKAEIAATAAALSHALGPPPRTLPCHQHPVIKANSSHSLWPPVLCSSAFAGKRPMPLPSPPPAKKLQVQRSSPMAMLPRAVPKIEVSTAEKPAKLQVWRGPRSVSPVLKQGSLKAEMPAPLPMPATSTDVMLNSQKPWSEILPESKDLTLTRNCSCKYSKCLKLYCECFAKGRYCIGCNCTNCCNNVSHENARQDAINVVLERNPAAYMPKIWNSAVHHCEEKTAEGLLVGSHTKGCNCKKSECLKKYCECFKSSVFCSENCKCMDCKSYKTTEDRKAIHPITQQHAVYVHHVQNPASSGMIGQSVVLSNATENGSSFSLVAPGIQSTTNQASHALSCSPTSVPKDETKSRIKYVPHEVTYRPSLTDNIQIEDVNALCNVLVLVSRQAGSALLDSGIKENTKQKKLCQAEDCLSSTNHDREAIQKEPDKHLFSVEKSLNEVLIHESTLVLPRVGPFDIKEGSKRPLSPETQALMCDERDAVFQESKAADATTSTTEENLLDIFKEQEKRVLTNFRDYLCKLVNCGRLQEQKPSLVSANQEQTSAGNSKDNSSIKGLRRSKYQSPGRQTLARTKMGNAGIGKLIGQKAANLVPLVVLTELDQQL >OB08G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10109411:10111729:1 gene:OB08G20820 transcript:OB08G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQAVRAFDDMEAFVGGEPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTVLIYGWCKVNRNDMAQKFLRDMIDHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKEMRERGIEPDVTSYSVILHVYSRAHKPELCLCMFHSMKESGICPTVATYTSVIKCLASCGRLEDAESLLDEMTSEGVCPSPATYNCFFKEYRGRKDVNSALQLYKKMKAPNSPTAPDIHTYNILLGMFIKLNRHGTAMEVWNDMCEGTVGPDLDSYTLLIHGSCDNKKWREACQFFMEMIEKGFLPHKVTFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGEEFKPYHIQPYKR >OB08G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10112341:10112556:-1 gene:OB08G20830 transcript:OB08G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNLKCQMLNSDIYSLSHCSSLPASDAIAPLCLLELLVLAAPPVSLPSDGIYIVSFPSIFDDLKWCLKN >OB08G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10110827:10118124:-1 gene:OB08G20840 transcript:OB08G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) TAIR;Acc:AT3G27000] MDSGNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGIGCLRKCGQA >OB08G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10120247:10124121:1 gene:OB08G20850 transcript:OB08G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03905) TAIR;Acc:AT5G03905] MAATRPLLRRVAALLGGRVRANHRLLSSSSPSSAAAAAAERASPSPADPDGVHMTDGCIRRLKELHAKDPPAEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRVFEKGGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMAK >OB08G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10125219:10125437:-1 gene:OB08G20860 transcript:OB08G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMARSFHFFMMKSPIYQNYILINLTSIMLRVWLHNIWKSGFLLIIMHALYRLLTPDQHFTCSCFSKCKTY >OB08G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10136718:10140691:-1 gene:OB08G20870 transcript:OB08G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSVATGALKPVVTKLARLLGNEYKNAKGVRKEIESLSDELVAIHAFLLKMSEEENPDVQDQAWMTDVRELSYDIEDTIDEFMVRVDDNSADPDGFINRCKNSLARMKTKRQIAKAIEDFRSQIKKVGERHARYRSRESNISRTTNTIVDNRALSIFELASNLVGIDGPKNEVIKLLCNDDGCELSQKHPKVVSIVGFGGLGKTTLAYHVYQELKGKFERSVFLTVSRNPNMMKILGTILCEVAQRDNAVTEARDEQQLIIKISDILSNKRYLIVIDDIWNVEIWNIIKGAFSKSSSYSKIVTTTRIIDVARSSCSSFSGHVYNMRPLDLVHSRQLFYRILFNSEEKCPPHLEEVSKEILRKCAGLPLAIIAISGLLVNKPCTEDQWCHVKNSIGSALERNPSVDAMIGILSLSYYDLPPHLKTCLLHLSIFPEDYSIEKDDLILRWIAEGFVHRKCNYTSYEVGEMCFSELVNRNLIQRHHNQHNWKVHDTILDFIVSRSMKDNFVTLVPSPEPTIVGTQIVVRRLSLQAAIGLEGRNSVLQRRLSDLSHARSLNVFCYQSKLPPLLEFKHLRALSFQRCTWLRGHCIANIGRLLQLRYLNLKGTINNLPEEIGCLQNLETLNISNNQMNQLPSCITRLGNLVHLFVDYWNQLPDGITKMKSLEILKTVDLSKQSSSVVQELGQLKNLRELNLFTYNHEPCTEHMKAISSCLLQLGTHNLRRLNIMTSIIFRKVCLPDPWCPAPLKLEELDISGLPMPRVPSWLGSFVQLKMLGLALEGVSHEDLSIVGCLPCLLHLSLRTPGYRSKLVIGGCHGFSCLREFCFTGQQPIFTAGSMPRLELLRLIINASKPDTLASAALENLPLLATVQYLLYQYDNNDRVAETAEAALKTAVSSHPNYPSLVRIYRN >OB08G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10156169:10156339:-1 gene:OB08G20880 transcript:OB08G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding WFFLLLLEKLLTCCISSHPSLTQHNGDPFDLEALPTFFLHGDTSYLKQTSNNYLTN >OB08G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10166541:10167718:1 gene:OB08G20890 transcript:OB08G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNFIRGQPMLIAAALESVGAATAALHEYYMKIPATKLKNRIPILFKRNHFFRFVNPEVFNVGFGNIYELLNFDALDVSIIRCYTLLMLMETKQKGLPIGFLDPEVMILSTSRVDKSYMVDYVRKAFRSFVKDEFIMFAHNPGDHWLLVVLIPKWGKCHQQRSGNERGFYTAHRMIVAFGLLDVIRLGIKCSVVFTGDIFLTGQLGGQQQTCVIDVLI >OB08G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10171423:10172630:-1 gene:OB08G20900 transcript:OB08G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAAAAAVGKKMKSLQGEVKLNIPANKAWEMFTNNETLGKISPEMLSGAEYLEGDGSPGSLRVFKLGPALHHFVKESVQKIEKVETGRCFGYEVVSGELKEVYDPYHVTFSFAPVPGKEEEQCVAGWKAEFEPISPTSPPPEKAKDAALGFLKLFETCEAQ >OB08G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10174213:10176707:-1 gene:OB08G20910 transcript:OB08G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKGQKKEDAANANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPEKFERNVQRAMAGGYVGEVHFPRCM >OB08G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10180210:10180413:-1 gene:OB08G20920 transcript:OB08G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGIGSIASCIDLTFFCRVYSSYLYSSLLYLLAHFGLCISKSIRLNISNHSGEIKKESTYSFIIML >OB08G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10181809:10184409:-1 gene:OB08G20930 transcript:OB08G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19720) TAIR;Acc:AT1G19720] MEFLLHPPFPSLLLPKPHHLPPHFPSSKLRHGRLQEPVMAFPQAPPLPLSLHETRSGAHAHPRHDRSIQEPDPGARPSGSSARSGVPRFFAETKLITVHSCAGRLGDARKVFDGMGHRDLLAWSAMIGAYAIRGMYGEVLALAVSMVEEGVLPDRFLITRVLQACAYAADLELGRALHSMAVRRGYMGRVKDVPVGNSVLVMYAKCGNLGCAHKVFEKMVCRDLGTWNSMIFGYCQSAEWEEARRLLDAMREEGTEPGVVTWNTLISSYARSGDLDVAMELLGQMEESGVAPDVVTWTSLVSGFVHMDRGDEALRCFIRMRLAGVEPNGMSIASAISACASLKLLNQGRELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAWRIFNDIPEKDIFSWNSMIAGYAQAGYCGKAYELFCKMENCGVRRNVITWNTMISGYIRNGDDERAFELFQMMQSHGVKRDTATWNILIAGSVHNGYYDRALRIFRQMQSLLVRPDYITILSIIPAFANLVACWKVREIHACIFHHNLELDGKIANALINAYSKSGDLTSACSVFDMHPSRNIISWNCIILAHLLHGSPNEALNLFCRMKQEGVLPDHTTLVAVIRAYGLMGKVSEGSQTFLNMANDYNITPELDHYAAMVDLLGRSGKLKQAYELIDEMPLIPNSTVWEAFLTASVMHGNVRMAHLAGRELSAIDPGDPRVQRLASSLQDLTGKSVDMPKEMAPNKGRKLDEAKSCSIEIRNKAYVFSNGDNVGLEDTVAELKSMMIKMGYSMLDIGIGILDVEEEKEEVIGLHCEKLAIAFGLSNSPHFRNIRIIKTARMCSHCHTFAKLVSKKYERHILIKDPKCLHKFEDGKCSCEDYW >OB08G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10186640:10191675:-1 gene:OB08G20940 transcript:OB08G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPTRQPIKKRPFQDGNSNQGNAKVQRFMESRSTIRQGVLAQRRTNLGGNQFPATKQAAKKAATMPIRSRGVKWNKPSAPPKSVQRRPVGEAFQNGKGKEVQNQAPKTMDALFAQMKQQRMRTMPQQQTNAVPGRQFTQQRRNQQQRRGRGYGGRTGGNQ >OB08G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10197687:10198615:-1 gene:OB08G20950 transcript:OB08G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYDILDVDRSATDDDLRRAYRRLAMRWHPDKNPNAKMEAEAKFKDITEAYSVLIDGGKRALYDQYGEEGLRAGGAPPTHHGGADDTFAEFFGSTPFTYCSTGAGNSARAKQQAAWDAGGGGSFFRDPGGATTTVAPPPPPVESKLACTLEELYVGVTKKMKISRNVVDGSGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDERPHDVY >OB08G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10208036:10215483:-1 gene:OB08G20960 transcript:OB08G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGAGAAGGGGKTGPXXXXXXRAVLRLLLAGFRAVVLDNLNNSSELAVRRVAALAGDYSRNLAFHKVDLRDKVALEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSNIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSSTAKAEKELNWKAKFGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHHLYGSTGSPRQNGHCTNGFSESTKHNGHNGYGLVDSAKHNGNGHFH >OB08G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10228114:10228661:1 gene:OB08G20970 transcript:OB08G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3MSL7] MAAAANKPPPALLAVAAAAIACILLAADAASAAGHQAFVVAGRDRVRDETRELAVVGGTGALREATGYVLWRTAKVWSEIHMALELDVHASLPPPPQEDGAAATE >OB08G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10237097:10239196:1 gene:OB08G20980 transcript:OB08G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25970) TAIR;Acc:AT3G25970] MRHRHHHLTISAARSHASLLKSGVASPTPWNQLLTAYSLSPAGLAAARRVFDEVPRRDAVSWNALLAANVASGANPEAWRLLRTMHSRGLAANTFALGSGLRSAAAGRRPALGAQLQSLALKSGLVNNVFAASALLDVYAKCGRLRDARRVFDGMPERNAVSWNALIAGYAESGETAPTLELFLEMEREGLVPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVFNAAITAYSQCGALEDSRRIFDGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRMMQESGAHPDMYSFTSIISSCSEHGRDDHRGRVIHGLVIKSGLEGVTPVCNALIAMYARYNENCMMEDAYKCFDSLVLKDTVSWNSMLTGYSQHGFNADALRFFRCMRSENIRIDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFSSNDFVSSSLIFMYSKSGIIDDARQSFEEADKSSSVPWNAMIFGYAQHGQAQNVDILFNEMLRRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMGTKYGIPLRMEHYACGVDLYGRAGQLDRAKELIDSMPFEPDAMVWMTLLGACRIHGNVELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWNDRATVQRVMKKRGLNKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEILRVLLQVEQMLSYCEDEDNIMITSSGICP >OB08G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10239563:10240020:-1 gene:OB08G20990 transcript:OB08G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAPNHDRFPYEILLQQPGLFLHATNDPPRKTALAQDAIPECSEKASEVADHLGYLISMSRIRMQHPYPFLGTKAERQAMR >OB08G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10245207:10255249:1 gene:OB08G21000 transcript:OB08G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRFYGGRKRWNGGSIRGVIATSVYLSWGKNDGSDQKTLGRLKQTLPIRIISHQCTRGATVKRANLSFHEFKQRLTAKLTVSGEVALPGGKAEEGDADDAATALREAKEEIGLDSALHLLVVVPVVGILSDIEAFKPVLNADEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHTASVVFQRPPDFPEQRVQFNLPKFSRECSPMP >OB08G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10258402:10261205:1 gene:OB08G21010 transcript:OB08G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTEAAEAAAVDISALVRRLRLYQAPPSPYDGVALTPPSAAAAAAAGGEEEEMFRTRRAAVLVCLFRGRGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDEIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVQFNLPKF >OB08G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10267026:10270043:-1 gene:OB08G21020 transcript:OB08G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLLLLLPSAAAAAASLPADFANLLAAKAALSDLSSALAAWDPGLSPSLSLCRWPHLLCSQSAASSSSPAVASVLLSNLSLTGEFPRPLCQLLSLARLDLSYNDMSGRLPDCLAAMPSLRHLDLSGNGFSGEVPRSYGAGFPSLLTISLAGNELSGAFPAFLANVSALEELRLAYNPFAPSPLPEAVGGVLGLRVLWLAGCNLVGKMPTSIGSLKSLVNLDLSTNNLTGEIPSSIGGLESVVQVELYSNQLTGSVPQGMGALNKLRFFDAAMNQLSGEIPADLFRAPRLESLHLYQNELTGRVPATLADAAALNDLRLVSNRLVGELPPEFGKNSPLEFLDLSDNRISGEIPATLCSAGKLEQLLVLNNELVGPIPEELGQCRTLTRVRLPNNRLSGAVPPDMWGLPHLYLLELAGNALSGAIAPAIAMARNLSQLLISDNRFVGALPPELGTLPNLFELSAANNMFFGPLPASLTVVTTLGRLDLRNNSLSGELPRGVRRWQKLTQLDLADNRLTGGIPEELGELPVLNSLDLSNNELTGEVPVQLENLKLSMFNVSNNRLAGALPPLFTGEMYKDSFLGNPGLCSGGSCATARRARAGRRGLVGSVTASLLTVAGVLLLLGVAWFLRKCMSHGGHGTENDAAGDKSRWVVTSFHKTEFDEEDILSCLDDEDNVVGTGAAGKVYKAVLGHGGDGNGAVVAVKKLWANGGEVKKAAAVEAGGGGGKDTFEAEVATLGRIRHKNIVKLWCCLRSGDRRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRVMVDAAEGLAYLHHDCAPPIVHRDVKSNNILLDADLRAKVADFGVARAVSSAPAAVSSIAGSCGYIAPEYSYTLRITEKSDVFSFGVVMLELLTGKMPAGPELGEKDLVRWVCGGVERNGVDSVLDPRLAAAPMDETRRALNVALLSTSSLPINRPSMRSVVKLLLEVRPESKEKAMEEKPLLV >OB08G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10267968:10268334:1 gene:OB08G21030 transcript:OB08G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHLACRASADHVVLVVEAAQDVLLVELCLVERRDHPPRLVAGGVVLCAVTTVAHALAEEPRDAQQQEDAGDGEEGGRDLPTSPRRPARALRAVAHEPPEHSPGLPRKLSLYISPVNRGGRA >OB08G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10277311:10278265:-1 gene:OB08G21040 transcript:OB08G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSVLGLIYTGLRSKQIEEHLTGCASTSDQSATHTEVMPPNNLLGNACLKKKEVRVRSSKRKRNWLDKKRNIGQQQQSKKSKSLKKQKTKENALQVGAAQVVEDSFAIDKEPGEYMHLNSFTQLLTGPINVDLLVDDAFLVMRSCSGE >OB08G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10282384:10283124:-1 gene:OB08G21050 transcript:OB08G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPLSATTVGVEDVADIEVLEMEYLFEKLLMPSDMCGSTEWLGIPEEHAWKLGRMVQDQDGYFTVFVEDGVVPGKQWHFRYWGNKKIHGLTKGWRCFVSEKGLKAGDTVSFFRGAVCSRLFICYRSGARANLCSFATSPHGLSAPLGQARPRAVNEVGGRGQRRQTSSGRSGALPLPLPVSSRRRHRTSVVRPIPEPMTEMPPILESMFLVATPPAVKTIRLFGVDIKVPLPSIVQPKRESNP >OB08G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10288002:10291598:1 gene:OB08G21060 transcript:OB08G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSRPAPQRQRFALVNLHALVLFQFQALHCETNYTSLCAFKTTSSRVTPENLPTLVNWRRAAPKACQNGSKLHLSSAFHPQTDGQTERVNQCLEIYLRCFIHATPTKWASWLYLAEFWYNTSYHSSLGKTPFEVLYGHSPNFFGITSQDSVVQDLQAWLSDRKLIQQLLKQHLNRAQQQMKHYADKKRSFREFHIGDWVYLKLQPYVQSSVAYRANHKLSFRYFGPFQITAKIGAVAYRLQLPDDSKIHPVFHVSQLRFTHGFSGTVLASLPASNSSLQIPLEFLDHRVAKQGNTTQSQLLTRWSDGHAEEATWENLEDLKTRFPAAPAWGQAGFQEWGIVRTPSDRRASAEREEQHCVPDDLQTTEPASASMGRARRQRRPNSRITGPEWVCASHIELGFMDFSAAAPAAETSGETKTRSTVEEEATGRAAKTVAATAVGGDGGGKAPPVKVPMPQGILQVILACEREPSEEIDAIIGYNVDDDFEKFQAEVRREFDKTGCYMVEESYLANIAAVQAELKEIWADSKIDWSTMITANWDDFN >OB08G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10295852:10296592:-1 gene:OB08G21070 transcript:OB08G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLALSATTVGVEDVADIEVLETEYLFEKLLMPSDICGNTEWLGISEGHAWKLGRMVQGQDGHFTVFVEDGVVPGKQWRFRSGARANLSSFTTSPHGLSAPLGQANPRAGNEVGGSGRKRQASSGFAGALPMPLPVSSRRRHHTTVVRLIPEPMTETPPVLESMFLIATPPVVKTIRLFGVDIKVPLPSIVQPKRESNP >OB08G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10299092:10299385:-1 gene:OB08G21080 transcript:OB08G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFTRPTLEQVLVVIANMIHWSLLTEEQVKGTDSLLLTSPLKNLSAEEQYWKCEFDFSVKTWLNKHGKQLLFFFSCRLERDHIDEYGWSDGDDFPP >OB08G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10305828:10305995:1 gene:OB08G21090 transcript:OB08G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSAILDDVEAAYRRSDSTPKGEENGGEERFSGCLLGRSRSTRRSATLLRLPV >OB08G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10325577:10330157:1 gene:OB08G21100 transcript:OB08G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRFRLGQDIRNRVTHDIMFTLQMKLQTAAFTTLTDLQKVAARIEERIHTIAIDYGDYLRRISLIKGDLEDSYPVLLNNFMHIRQQASTPSFFLLHQKNKQGKIIQAEGNIQGASSSSHKEPSHPCGKDRISELPNDLIHHIMSFLSMREAARTTVLSRWWCNRWTFLQHMKIDIDWFHMDRVKFSAYVDKILLRRDRANAPMDTFQLDSFAIDRASSWIHHAIKHNAKVVKFSEYERWEPFYLDPQLVGFSSQYLTTLELINADIDEMVFDPLNNACPALENLLLKDCLMDVQAISSDSLKNLDIIGCSLLKNISISTPSLVSLRIKDQRMANSSSRNSYLTFTTITLIDASNVTCMELSAIDRQFTFVEQDGSGPMFRNLRRLHLGEWCIADRFSPLRRYVQHSPMLKSVFLKLSLTDWRFELTTDQLTALVEISRGGVIFIDF >OB08G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10333085:10334938:1 gene:OB08G21110 transcript:OB08G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT5G46060) TAIR;Acc:AT5G46060] MMIVVQKSSLDLVLVPCGLVIMLGYHLLLLYRILRRPATTVIGYENHNKLAWVRRMVQASPAETGLALSVISSNISASTNLASLCIALGSLIGAWVSSTSKVFMTELVYGDRTQAMATVKYISLLVCFLVSFTCFIHSARYYVQASFLITTLDSDVPASYIQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVLILHLLDSNTLPLHNHQFTVRKRNDQRALASTVVTRHPSPQNPILSNPVLSPVTFFN >OB08G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10333829:10337740:-1 gene:OB08G21120 transcript:OB08G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15080) TAIR;Acc:AT3G15080] MAPPPPPAATPAASANPSRNPKRKPKPKPKAAALNPNWAQLQSKLPRPAATLLGKRKNRPDHPSPAPAPTEPSPPAEAVEVKLEPTSDDTSLTKAVAVDCEMVGVGVGGSKSALGRVTLVNSWGNVVYDEYVRPVEWIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLSHPKKDIRDTSEYEAFRREGRTRSLKDLTAEVLYAKIQQKEHCPIEDARAAMFIYNKHKKAWEKNMKEQFRFKKKFKKQGKKKSGEGNGNDPNVPTILL >OB08G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10339681:10340451:-1 gene:OB08G21130 transcript:OB08G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDGNKPPSDVSVDENDDWVIVKKQRITILIPPPSPVAANLQDDMEKISSGQTCLAKKSREYCDAARKKHPKQIVSEKAQEPLLEGIKVAANIKKAQENAFESTSHKDIPTIRGERSSHSPVAPAVKADRTKHADHAAIQGQFHEDIAKTGNSFGSICKAELPVISSQVTNKILRARLLERRVAGFGGLKNWLFTCGFGWFVDILDSEKLGMYQIVSLTMNQLKDMGLDAVGPRRKLIHAIECVSQPNQFEMFS >OB08G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10343692:10346011:-1 gene:OB08G21140 transcript:OB08G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin z [Source:Projected from Arabidopsis thaliana (AT3G06730) TAIR;Acc:AT3G06730] MLISIPSASAMAPPASVLPACAAVPTTLPGRASTASLSSRTARRASVQAASLSSRTGSRGRAPGVRRNAAAAEPYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYENNALFVKVDTDDEYEFARDMQVRGLPTLYFFSPDQSKDAIRTEGLIPIEMIRNIIDNEL >OB08G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10349614:10365408:-1 gene:OB08G21150 transcript:OB08G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPVAVAGAGARALAQEPLSSQLDILKNNIQSLRDSNTQDFDAWVSLIEAAEETSIYAAHKARLCTNKEVVEVYEQAVQAVPHSVDLWVSYCGFAVCACEDPSHIRRLFERALSLVGKDYLCYHLWDKYIEFENSQKHLIQLATIYIDTLKFPTKKLHRYYESFRKLVTLMEHEATDAERSPENLRTLEVIHAEDSEVDASINVADLHDENSGHLRADAVKQYLLSGESLYQKSSKIDKEISCFEASIKRHFFHVKPLDDDQLENWHRYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSCFVKGVPTFHMYHAMFKEQIGDARGARSLFIKGSNNLTSNFYVNINRMANMEKRMGNTKAASEIYETAIQDAMQKNIEILPDLYTNFALFKYSLNHSIRDATDVFVEGIKQAPCKALIKGFMQFMSTHGGPTEIAILDSVISDAVAPGSDISTVLSPEDRENISLLFLEFVDLYGDVRDLRKAWARHSKLFPHGTRNISQQYSNSENCLQENNKRRRTEYCVVAQNSSPKDAITLKQQSKSDCSLLVDKAVELQVEKITLDSGKGHTDAEEQSILGNVVVHQDDDNTAQECINMTHSQHSLDKSGMQNQASAHETSHDLSLCEQNDQIIESHPSVCENAPHAESFSCDSPSKSNSGSKINALDKVNIIDGSASVHQGAICPRSDSPSVASLPKEESSDQVQISPELEERQHDKIQVKLEAKDDMSPSNVNIEKSSDSPDATQHDREISTSSQEHIQSSQPQQLPVCTKPSSSEMATTQATTSSQFPPSTVTSQAQLLHQNSNSQMYQSNKLSLAEQNVQLKGLAYEIPQKVQTSSQSQAQIFSQPNQGNQQYLQMMEGYASQMWQYYQQQMCYLQAQHNQQLQSLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQLQQLQQNALPVQQQPDKKQSQYQRSLGDTKHEHNKLQKHETQIDHQSDQVQQQLYFQQQQQMYLMQQQQQQMYRQQRQQQQQLLQQQLMLQQQYLSQMPQQQQNMAQQQQLQQQQAIQQQMQQYLQQQVFQQQQQQAIQQQMQQYLQQQTNQQGAKPQSCELNTQDARNLKMEHGQQSEPSQRDDSKSRSGEQSELSYPSTPQSQHSNH >OB08G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10371331:10377790:1 gene:OB08G21160 transcript:OB08G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G27870) TAIR;Acc:AT3G27870] MAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLEVTAGLNEEHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQEILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSIMFGIRTRDELNAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQVPYGGIEEDCADIGHKGAVRSVRSVKGFNFTDDRLMNGQWSKECHQDAIAMFFRVLAVCHTAIPVADRNSVGISYEAESPDEGALVTAARELGFEFYHRTQTTISVHEYDPVFSRKVDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVILERLCKDNGKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNTEYSAAKNSVHTDHDAAVEKASEKIEKDLILLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKGMEEVYITLDNPSTNAPEEHNGEGSGMDPYEQIGKKLEDARNQILLKGTSAPIALIIDGNALTHALTSSLRSAFLDLAIDCASVLCCRISPKQKALITRLVKTRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNIAFGFTLFWFEAHAMFSGQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVDNLFFSWSRILSWMLNGVCCSIIIYFGSLHAILIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPSAISTSAYHVFWEACASCPLYWLCTLVIVVTALIPYFLYKVAQSLFHSQRCDQVRRTNSKELVRQ >OB08G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10380773:10385404:1 gene:OB08G21170 transcript:OB08G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHHLLAAAPTIACGRPCPAPAAALAALPLQASCSGGRGWSGRRRRLGVAVLAMAADGERGQGQHEAGRMNLNEYMVAVDRPLGLRFALAVDGRVFVHSLKRGGNAEKSRIIMVGDTLKKAGSPEGVGLVDIKDLGDTEMVLKETSGPCNLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLASWKSALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSNEQDRLNQRSTNLANRISEIVGLYSDEDDVDTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETVGITAVLNFQSESERTNWGIDSEAINKSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGWEGEDVELVGDFTSNWKDKVKCIHKGGSRYEAEVRLRHGKYYYKFIAGGQWRHSTSLPTETDERGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >OB08G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10385141:10386583:-1 gene:OB08G21180 transcript:OB08G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G23740) TAIR;Acc:AT1G23740] MQSLLSSSALLANPCTPAKLAAAASVSVVAPRRSVAAAAAAGGGARCVAVAASSSSPAVTTAEAGEVPATMKAWAYDEYGDGGVLKFNDAAAVPAVGEEQVLVRVAAAALNPVDAKRRAGKFKATDSPLPTVPGYDVAGVVVKTGSQVKGLKEGDEVYGNISEKALEGPKQSGSLAEYTAVEEKLLALKPKGLDFAQAAGLPLAVETAHEGLERAGFSAGKSILILGGAGGVGSLAIQLAKHVYGASKVAATASTPKLELLKTLGADVAIDYTKENFEELPDKYDIVFDAVGQGEKAVKVVKEGGSVVVLTGAVAPPGFRFVVTSDGSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLETGRATGKVVISPIP >OB08G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10417543:10419418:1 gene:OB08G21190 transcript:OB08G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLPLLLPLLLLLLLHNAVAAGPSSSSAYSPDLNVVGDSFRRYGRDSTRRADTFASYEAEGNVVTANFTSYAGGATGGTGAFASYAADRNGPDPPFTTYDGEANGRRREFTSYSQEANHGENTFAGYGKNGNGLRETFTTYGNESNVIASGFANYGESANGATDTFTGYGAEGNVPDNTFRSYGAGGNAGVDTFKGYRSESNVGDDTFASYAKGANGNAAEFQNYGGSFNPGSVTFKGYGEGTNPNHHIGFKGYAGSNNTFKGYAKSGVDFKEYHNTSSDNAAAATMSLEAAVSSGHHHLKWSPEPGKFFREQELVTGNRMPMPDIKDKMPPRAFLPRDIAKKIPFKPDAVSEVFGVPLDTAMGKAVASTVTECERAPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGEEIRLGNVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSDQQINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEVCHWIFEGDMTWTVAD >OB08G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10421094:10421300:-1 gene:OB08G21200 transcript:OB08G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHVCLIKDGYISLALLLFTGPSKALWACWIPYIMCGILISTRKLWIIQVDNIVENDHELYANVNAS >OB08G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10432950:10433186:-1 gene:OB08G21210 transcript:OB08G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVGVYSKFLSPIYPINILTSQCNIQQITVDHKFLSHSIFCTNLCTMLHIEEFRALIRTEDLAGSIHPQPSPAPLQP >OB08G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10433564:10433779:1 gene:OB08G21220 transcript:OB08G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVRIDRSTSKENIGRPELFNALRLVTVVWNWEKKKERNCKRMDTEADTWVLLSLTQNRDNRWRVCWSKP >OB08G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10437793:10442669:1 gene:OB08G21230 transcript:OB08G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 38 [Source:Projected from Arabidopsis thaliana (AT3G01480) TAIR;Acc:AT3G01480] MAAALASPRCFCRPSPRPSSRRRPVASCAASEKRNSFSWKECAISVALSAGLITGAPTLGWSAHASPLDPVLPDLSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILDGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPFEVPEEYSSMPLLKGRATVDMKVKIKDNPNVEDCIFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRSDGFVVQTGDPEGPAEGFIDPSTGKVRTIPLEIMADGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIVG >OB08G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10445247:10449314:1 gene:OB08G21240 transcript:OB08G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQMAYEQHKHDTLDSPKASKFTGVEMTEEQAVAEQQRMFAEARARMNNGAAKPKDPEPEAQQQTQPPSQPQVHPQPQQPLQPQLQPHSQPQQQPSQILLHPQSQHIPQLQPELRPQQQQSSQLQPQPQLHPQLQPAPQLQTQPQLHPQPPQPPQMQPQQPQLHQQPQQPQPSPQAELQSQSQPQTEEGLDSL >OB08G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10450024:10452887:-1 gene:OB08G21250 transcript:OB08G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKEFPGKVILFCEVPPPEGGETPFVPSFRVTERVVEEFPEMVEELDEKGLRYTFMAPTKNDTKSMRGRGWEDAFATADKAEAEKRARALGMEVEWTADGGAKTILGPRKLTRVFPERPGRRMWFNTVVGMHGTEVSTATMADGSEIPAGFVRRCRDIIEEESIQFRWEKGDVLILDNLATLHGRRPSLPPRRGLVARRHLQVK >OB08G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10460441:10464527:1 gene:OB08G21260 transcript:OB08G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B561-1 [Source:Projected from Arabidopsis thaliana (AT5G38630) TAIR;Acc:AT5G38630] MAAAPAVRLPVFGLVRLLGLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLRGLAAAAAIVVWAVHFRGGMAFSSETDKLLIFNVHPVLMLIGLVVFNGEALLAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWTVWKFHNEREIDHLYTLHSWLGLACIIFFSLQWATGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEALFMNSLGMLLVLLGALVILAVVSPGSGKIDTYRGSSE >OB08G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10464915:10482386:-1 gene:OB08G21270 transcript:OB08G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEAAFGVASLRLSGSARGAGLGSYRDHDVFSLASSSRAEAEDDEEALKWAALEKLPTHARIRKGIVADASSAAGAGXXDVAGLGFQERKNLLERLVRVAEEDHERFLLKLRHRIDRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLESLANLLHLVPNKKRPLNILHDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELSRREKAANIKPDPDLDVYMKAISVGGQETNIIADYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMLVGPARAMFMDEISTGLDSSTTFQIVKSLGQITNILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARSNRRYRYIPVQEFSRAFQSFHVGQSLSEELSHPYDKSTSHPASLTTSTYGAGKLELLRACVAREWLLMKRNMFVYRFRAFQLLVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPAWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRFVQGSNRTLGIEVLKSRGMFTEAKWYWIGVGALFGYVIVFNILFTIALGYLKPSGKAQQILSEEALKEKHANITGEMVNESRSSASSGHNTNTRRNDASDAATTGEASENRRGMVLPFAPLAVAFNNIRYSVDMPAEMKAQGVDQDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLPSDVDSETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVQGVSKIKPGYNPATWMLEVTTLAQEDTLGISFADVYKNSDLYQRNQSLIKGISRPPQGSKDLFFPTQFSQSFLTQCMACLWKQNLSYWRNPPYTVVRFFFSLVVALMFGTIFWRLGGKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSVVYGVIVYAMIDFEWEVKKFLWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPSMPVWWRWYSWACPVSWTLYGLVASQFGDLTQPLRDTGVPVDAFLRDYFGFRHDFLAVVAVAVAGFAALFAASSEAPAPLPPPPHHCNYKNLLQELLQQANKRLPIYSTKCKGEHHQPKFKSTVTVEDEQFSSASCHRRVKDAEKDAAKVAYDILVKRKESDDVNVTDVFRLIDQDVVFSKSILHEFAIKTKAAQPSYSVLKKEELSPVTPYVASVSFAGSTYTGGASRSKKDAEQKAARAAVKSILATKNACMAQIIRSKENLITTNTPSVYKEERGAANQEINSNPTNKGLPFVPIIFTAPVIYESYGGPGDIVPVSQPISSSPVAVQEHNIMPAADPASNPSAQAVHVSKKHKNNRISEPGPKEERVAQ >OB08G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10476747:10477076:1 gene:OB08G21280 transcript:OB08G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRMLNTITYPNSAPTPIQYHFASVNIPLDLSTSIPKVLLDPWTNCKIILTIRTAGLGQMKIFLSIGRIHITGSTCVQGTHSPQWRSSHIGAVRSSSPRSTISSHHLP >OB08G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10482533:10482724:1 gene:OB08G21290 transcript:OB08G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEARVHGHGGRGGLNGGGQTPAQGSSCARVRSAQVVVVVLLLLLLLPTDQRRRKEEEGFFGS >OB08G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10505279:10505749:1 gene:OB08G21300 transcript:OB08G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRWIQKIKNKIIMDQKRYVGRVKIESQILYTGEGGISSTPIHPSSWNGCSELQYFSPSLFCYQHRNKLPAPSICDLAGRHRRLPTTRRRATLGRVLEQRDTEESSSSSFRVADAFGSPHAGEGAAGGAPSPPAMCAVFARTRRTREREERLQQK >OB08G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10506730:10512695:1 gene:OB08G21310 transcript:OB08G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11020) TAIR;Acc:AT1G11020] MDRRDEEETVPNDSDPLLKRENEKAESSLQLTPLTPPKPATVSVSEIEDEETDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVMLVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLASTMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYTPPKLDPEHEERLKMLKLL >OB08G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10513250:10514230:-1 gene:OB08G21320 transcript:OB08G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPITRDPTGERRADLNTELLLLESINEHTRFGFGLAFGADSIEMSGAQGAQPKGAFTATTYRSAPAAARTELRSSEDERGLPVKRLEDKVEDAAGKGGPVFGAGDDDGKPDLGVTGTGTG >OB08G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10524865:10529214:1 gene:OB08G21330 transcript:OB08G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKEVRYTARSITPPVDRNGTSKSKSPTPVRRSTSRSPPPKRSDSRSPRRRSSSKSPRPRRHGRSRSRSRDRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDTVDDARRCIKYLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGSQRQSRSPSPYRSRRRERSRSRDRQRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRGSSPHGRDSHRRHGDRSRSPATNGNHKKD >OB08G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10526942:10527208:-1 gene:OB08G21340 transcript:OB08G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKVQTISGEDELGGGDKHQQGSSTSLVSTMVEESMACTNQVDVEAAHHNWKFRKSARGVKTPFEEQRSFSILTLGQHQSTTHTI >OB08G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10549589:10550609:1 gene:OB08G21350 transcript:OB08G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVGVTGGGGSVQMVVAELVRVQGLLRQLELHLGAPCSVELCRGLAAEIIALTDRSIGIVTSSSGGGGTAAHFADTPPALASCTPSPLSDVSDHQPFRTNPKKRKTTARWTSQVRVSAAGGAEGPAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPVASDSYGLAAAYGDWPHCCDGDLQEVVSALATVTSAPEHATIDADFMSYCFDFSHSYGGIGTPDLFP >OB08G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10552166:10554537:-1 gene:OB08G21360 transcript:OB08G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIAIVSLVMLLVLGALFVIVPRSRNKGEAKEANSNASAMASRSYTKEEISAHNTRTDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGGDSTKGFFGPQHGTRVFDIIEDFCIGQLKDS >OB08G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10570530:10576755:1 gene:OB08G21370 transcript:OB08G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53340) TAIR;Acc:AT5G53340] MSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEDKGVVVRFVVGRSANQGDASDREIDEENRSTKDFMILDDHIESDEESPKKTRSFFANAADSFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESNHKWYEPDWWKFGDGKTYFRHASGEMFVLSRAVAQFISINKSVLRTYAHDDVSVGSWLIGLAVKHVNEAKLCCSSWPSGALCSAL >OB08G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10579673:10584452:1 gene:OB08G21380 transcript:OB08G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVIYGSEPVCPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNRIEDFTRSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPLASSSQNAQNYRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGLKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHAS >OB08G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10587907:10593670:1 gene:OB08G21390 transcript:OB08G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEREEQLGKLREENGSLKKNLESSKAVSANSNGALERSPRGLQRNSVQDNPLNISKQNGYGGGASQGIQPNGLHSMTGHRKADVSEGERSNFAAKQASLENEIKQLKRQLSENSEKETETKRRLEDEHKRNEFLQQQLNELNINKERISTSMEELHKELSEKEAKLRRVQEDLSRRDKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQTNDGGASDVNEISDAEKVMEEVTSLKKALHDASYERDKAIQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRVICDQQRAHIVQVERALRFEMAKQEESKKTINEEQQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAVKLSQAERMLADGKRSMQKLEDDNSRLRQALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGSAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGIPTQASQDNQSFADLWVDFLLKETEEREKQREASEAARLSQEENQTASTSNTLNVSNQAPGISTSQQMFGRPDSEFATVPLTSSTYTPTQIPFSRPPPR >OB08G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10601097:10614077:1 gene:OB08G21400 transcript:OB08G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWSDVLLAMAGGVACAATAAVAAERGLSFAGAVAAPARPEGVSYLRKAANFLWQSDESSYHVWPPMEFGWRIVLGTFVGFIGAAFGSIAGVGGGGFFVPMLTLIIGFDAKSSVAISKCMIMGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTILLIILFIGTSTKAFLKGIETWKKETIMKREEAKQSEQTSEMYRPLPTGPEAPARSKTPSDHAVSILQNVYWKEFGLLAFVWITFLVLQITKNYIPTCSTWYWLLNLLQIPVSVGVTMYEGIGLVQGRRVISSNGNEQTNLKFHQLLMYCFFGITAGIVAGLLGVGGGSILGPMFLDLGVPPQVASATATFSMMFSSSMSAIEYYFLDRFPVPYALYLTVVAFFSAIVGQRVVRKLINWLGRASIIVFTLSTMIILSTFPLGGIGISNWIGKIERHEYMGFENICKYDA >OB08G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10621325:10624621:1 gene:OB08G21410 transcript:OB08G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMVEKVLWVATMVLLMCLAGAEEDGGVGLLGSPDYGDALAKAILFFEGQGGRGSGRLPASQRATWRGDSALTDGREENVNLTGGYYDAGDNVKFGYPMAFTVTLLGWSAVEYGAAVAAAGQLGGLRAAIRWGADFLLRAHASPTTLYTQLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLFKATRDAKYLDFLTNNQGSSNPVNEFSWDSKYAGAQMLAAQEYLGGRTALARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLVLSIYSKVLKSSGSSGVRCSARTFSPDQISSFATSQVDYILGKNPLGMSYMVGFSTKFPRRIHHRGASVPSIKVLSRKVTCKEGFSSWLPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDPSHSEPATYINAAFVGACAAAMGQKQGVKLDKPVDNLASMVSTY >OB08G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10624532:10626502:-1 gene:OB08G21420 transcript:OB08G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRENLKNRKDPTFANKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGNEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLITGLCLPVSDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINRGYKRIAVLYGGGHMPDLGRRLQEELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNGPF >OB08G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10627052:10627300:-1 gene:OB08G21430 transcript:OB08G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQGSVGGGGTGVCGGELQTAVVRYEKRFPWSILHPFLHVSLLTEATWHRVSPNHGDFSQRDEYKFCAIPAANCNSLLTVSAC >OB08G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10633606:10633989:-1 gene:OB08G21440 transcript:OB08G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVTEDGHPREGARHRPPSTSPVITGAAIDVDERGGGGGSTVIELLQPQRLDGGEQVRGEEKGKSKRGCAGGRRRASLISKW >OB08G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10657030:10662272:1 gene:OB08G21450 transcript:OB08G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIFFKVGYYIYWDFIIKLSLSPYNHRSHFSSSHLAHSTHNHRLRRRSPPTFPAILPPSPEDRRQPHTFWPRTRSSAIFVSFRRRKTRNQLCCHLLRPGRAQRLRLCVFRSLFLFRVSAAPRMGTRYRGFPFAEAAVAAPVEASYLRKVASFLWSGETAYQHVWPPMELGWQIILGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPIIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSIKAFLRGIDTWKKETILQREAAKRLEHTSEEPEYTPLPTGPAATAESKKPSDEAESIWQNVYWKEFGLLAFVWVAFLVLQVTKNYMPTCSTWYWVLNILQIPVSIGVTMYEGLGLMQGRRVISSKGTEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALFFTVTAFFAAIVGQHVVRKLINWLGRASLIIFILSFMIFVSAISLGGVGISNMVGKISRHEYMGFDNICNYDV >OB08G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10668708:10671646:1 gene:OB08G21460 transcript:OB08G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVKAVDGEDNIFQDKESRATAKERGEAALFGLENTAPNGAINPADLAPPKDAVDEWPEPKQTHSFFFVKVRPYEDPNLKMKLDQADKECQKKIQARSHIFEALRTKRNERSNIISELKPLTAENKQYNVVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRICHESISLDEEKRLVKEIKQLNGTRSKVVENAAKRAKMQDTVGERDTIHDQVKQIGVGIDEVKKERQNVRDKIKVLEDQLHAVDDEIAALQDDLTAATARKDKAFDALNELRKTRDLNNVSFHQYRTISNNVRDLSARGEVETVQQLCQNEVENFMAQWFSSKSFREDYVKRILISLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEREPTPLKKPVKQAKEAPAPPADIPPKDEIHVKAPAKTAKAKPSHEVGDIPDVYDDEAPKEKTKPKVVDEAKLKEMKRREEIEKNKMALERKKKQAEKQAMKAAARAEKEAERKLKEKEKKAKKKSAAAGGAESEEAAESDAKSDEAVEPEVKGEEPEAPATVKKEQKKNAPHRNTLTKTKAPLPKAVLKRKKSQSYSSWIAPAGALAAVLVVLLGVVVYYQHYYYLPASTSN >OB08G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10681810:10685114:1 gene:OB08G21470 transcript:OB08G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVKAVDGEDNIFQDKESRATAKERGEAALFGLENTAPNGAINPADLAPPKDAVDEWPEPKQTHSFFFVKVRPYEDPNLKMKLDQADKECQKKIQARSHIFEALRTKRNERSNIISELKPLTAENKQYNVVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRICHESISLDEEKRLVKEIKQLNGTRSKVVENAAKRAKMQDTVGERDTIHDQVKQIGVGIDEVKKERQNVRDKIKVLEDQLHAVDDEIAALQDDLTAATARKDKAFDALNELRKTRDLNNVSFHQYRTISNNVRDLSARGEVEMVQQLCQNEVEKFMAQWGSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEHEPAPLKKPVKQAKEAPAPPADITPKDEIHVKAPAKTAKAKPSLEVGDIPDVYDDEAPKEKTKPKVVDEAKLKEMKRQEEIEKNKLALERKKKHAEKQAMKAVARAEKEAERKLKEKEKKAKKKSAAAGGAESEEAAESDAKSDEAVEPEVKGDELEAPATVKKEQKKNPWHKTTVTKTKAPLPKAVLKRKKSQSYMSWIAPAGAFAAVLVVLLGVVVYYQHYYYLPASTSN >OB08G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10685695:10691464:-1 gene:OB08G21480 transcript:OB08G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRDSSSSRSSGLAAGADTPPASSASAAPPLPSPLTDLGVALSASDLRATAYEILVAASRATGAKPLTYIPQSAAASTSTSSLSSSIHHSLASSAAAASKVKKALGLRPSSASASSSKRRGRGRPATAADLVLLQLGVSEQADARIRRALLRIAAGQLGRHAESMVLPLEFLQRFKASDFPDPHEYEAWQLRYLKLLEAGLLFHPLVPLKKSDVSAQRLQQVIHGVYDTPTETEKNSKLMEGLYSAAKALAGRSLGQTLDECHWADGFPLNLHIYQTLVEACFDSEDGAVVDEIDEVVEMLTKTWVILGINQMFHNLCFAWALFNHFVMSGQVDIELLSGAENQLTEVVKDAKATKDPDYCDVLSSTINSIMGWTEKRLLAYHETFSASNIASMQGIVSIGVSTAKILAEDISHEYRRKKKQETDVVVHSRIETYIRSSLRTAFAQKMEEADSKRSSRNPMPVLSILAKAIGDLAKKERTVYSPILKKWHPLAISVAVATLHSCFGNEIKQFMAGLTELTPDTAQVLKAADKLEKDLVNIAVEDSADIDDGGKLFIREMEPYEAENVMENLVKAWVKERVDKLKEWIDRNLHQETWKPKSNGENFAPSSVKMMQIIEETFQAFFQLPITMHSTLHSDLTTGLDRNLLYYVSKSKTACGTQSTLIPQLPHLTRCDVGSKLFKKKEKPQVLMKRGSQVGSTTNGLSDIPELCVRINTLYYVQTELQSLEKKIKTYFQNIGSIDHKTYELNIPFKLSQAACQEGIRQLCETFAYKVIYNDLSHVLLDSLYAGDTASNRVEPLLRELDPILRMVSGIVHNGVRNRVITTLMKGSFDGFLLVLLAGGPTRAFTLQDSQMIEHDFRALRSLYVANGRGLPEELVDKASSEVKNILPLLRTDTGTLIDRFKRAISESCGSTTKSGFPMPPVPAQWSPSNPNTILRVLCYRNDEAATKFLKKAYNLPKKL >OB08G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10695757:10696869:-1 gene:OB08G21490 transcript:OB08G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVPVSGSDRLRDLQAFDDTKAGVKGLVDAGVTTIPYFFRHPPDPLPVATPSSEQDAAIPVIDIDLANKRPPGPSASSRWSNTACPGELMDEMLAAVRRFNEDALEAKVPYYTRDTGRKVRFKSNFDLFRSPAAGWRDTLVMEMAPEAPSPEEIPPACRGVAVDNAAAVRTLGERLFELLSEALGLHTAHLGRDAGCMDGLTMAGVEGRSAALDQLRLPAAAPVDVSATTATIPRAPP >OB08G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10717375:10718555:-1 gene:OB08G21500 transcript:OB08G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSVSGSDRLRDLQAFDDTKAGVKGLVDAGVTTVPYFFRHPPDPLPVAAPSEHDAAIPVIDLAKADVDREWVVADLRAAAETVGFFQVVNHGVAGVLMEEVLAVVPFYTRDSARKVRFNSNFDLFRSPAANWRDTLFMEMAPDAPSPEEIPPACRGVAEEYTSAVRGLGERLFELLSEALGLPAGHLGRDAGCMDGLSMAAHYYPACPEPEATMGTSRHSDPSFLTVLLQDASGGLQALLERPRRWVDVPPVAGALVVNVGDLIQLMSNDRLRSVEHRVAASGAGPRVSVACFFRPEYTSTRSYGPIAVASGARPAAAAAGDRSTTAGEFLAHYNGKGLDGRSALEHFRLPDPPASSVSSTAEHAADAHARTDVAI >OB08G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10768647:10769348:-1 gene:OB08G21510 transcript:OB08G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDGRFLLYGDGKRWKRQRKVFTFFPLSHWLIPFVFSPFLVDAGRRVYVRRTIRSAAGPGGPTAAAPSCGVRRPKATGGCRPSEKEKLDPTSCSVRGGDDGCPRSRAAGDEDHATRAAPGRHQSPSVAAATRGERRRGPSPPPPLASTGPPRKWPNTYRSNHASPQCSWWRALTHLDGSLFQRDGGRQFPSIAPNGRLTACYSKTWHMFLLNCNFWGVCRLGIGIGSRNYL >OB08G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10770083:10770400:1 gene:OB08G21520 transcript:OB08G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLEGSATPDAKWSASARVDVVAGEARGGGSTLWPSTFGAATPRPLYPSPLADVPVIGPTRLWWRGTRAVAGEARATTSSSAVARLQSLVLVAMVVANSTNVF >OB08G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10802635:10805086:-1 gene:OB08G21530 transcript:OB08G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNLLDLPWSFDLLLVLLLPLLVAVVYVSPALRRGRRISGGAEGPRPLPPGPWRLPVIGSLHHLALSPTPRLVHRTLAGLARRCGAPFKSLRLGELPVVVASTADAAREMLKTHDAVFSTRAMSVTLRESIGDRGGITFFPYGKLWRHLRGICTAELLGAKRVRSLRPMREEQVARLVDGIAAAAGGEPVNVSRQIAETVTDLALRAVMGDCFVWREEFLETVDSVTKKLTGFAVADLFPSSRLLRAVGSTVRDMKVLNARVFELVDRAIEKHREKKKAAAHDGGDDDTIGDTDRECLLSTLLRIHEEEDDGTLTMATVKAVVVDMFGAGSDTTSKALEWAISELVKNPEVMQNAQAEIRHALQGKSRVTEDDLINLKYPKNIIKETLRLHPVVPIVVPRECQESCEILGYNVPKGAIMIANI >OB08G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10816898:10819346:1 gene:OB08G21540 transcript:OB08G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19790) TAIR;Acc:AT2G19790] MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVVIFINPNNFVSCDCKDTQAHDHADATVVFHLFHQCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >OB08G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10851992:10852156:1 gene:OB08G21550 transcript:OB08G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWPDYILATCCCRYLLNDKNMQEPNRNSLSSTERREEKAQHFYSHQHTKFMKLN >OB08G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10874869:10875330:-1 gene:OB08G21560 transcript:OB08G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGAATWPAVTLDRLPFKIVALRRCSLCDKEEVGGRRSRRHCQQWCYYYDAGEGVYLHVACVKRIARRRWQAGMESGCGGRIMLASEELMNVGGALNSIASSSSEARKVIGAAVRVIIAVIFGDQTAVEGDVSSSVALNLQWLTCLFGIQT >OB08G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10907165:10909339:1 gene:OB08G21570 transcript:OB08G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCHQRLSFHAGERHALEKLYQWMVRQHESGMRLTVSDIAANIEHEIAYGGDGALTSPRSQYTTQNLQAQSHIPNTSTQQLSSCSSAANPSKDSMVFSKALSSPFRQNLQLYHIQQGGHAGCFADGIFSTGNRDSNLAVPNDSSVDMHSDSPGHDS >OB08G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10907323:10916376:-1 gene:OB08G21580 transcript:OB08G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGGAALAWQQYRSLVRKNATLTWRHRRSASLQLLSSLVFIFLIFCIDRAVRSRFSYTTAYRNLVAPPIPPCEDKYFVREPCYDFLWSGASSARVARIVDAIRRNNPGRPIPADKVLGFNTPDEVDAWLFQNPMRCPGALHFQVINDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLNNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTIFGFPYSSSFQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQRGQCQSFETDCVITIDDIYKWLISTFFLWFILAIYFDNIIPNVNGVRKSLFYFLMPSYWTGKGGGRLQEGGLFSFFGSNRPADDAAPTDEDVIAEENLVKQQIANSEVDPGVAVQIRGLRKTYPGSFSMGCCRCRTTKPFHSVKDLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAMIYGHSVRSTAGMANIRRMIGVCPQFDILWDALTAREHMELFASIKGLHPSTIKSVAEQSLVQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNVNGNAEVPVNPNIEAVKLFFKERLDVDPKEESRTFLTFVIPHQKEPLLTGFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGSSIQIPKGARFVGIPGTESEENPRGVMVEVYWDQDDNGSLCISGHSDQIPVPPNVQLGRPPSLSRRASARRGNHPVGYVIDPNEVTASG >OB08G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10930912:10936306:1 gene:OB08G21590 transcript:OB08G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDTDASAAARSRGSAGFATQANALLRKNLCFQRRNMKTNACITVFPLFLCVILVVLQGVLNRELNKPKYQCGCACVDAAPDGACRRTECGVEHSTLDQVGSCPIPSPTPWPALVQVPRPGSRAVRIASQPFDDLPNPTCRDTGSCPASVLVTGKNRSLAQSVSGGLFPAIPPSLNFTDYLDAFSKIVAGSDTWTWTTQFIEPVFVPGNTLYVVQPECSPNLTRTISNKAGPVPVQLNIDCVQGLSLWRESASQINNELFSGYRQQGGGGGGGKTNEFIAGYDFLNTNNNGLAINIWYNSTYNNNTAFAISLLRVPRLVNTASNAYVKFLRGSGVEMLLEYIKEMPKVGTKPKFDLSSLLGALFFTWIVELLFPVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFLALSAVYMVVFVVFGSLIGLNFFKTNSYSIQFVFYFIYINLQIALAFFVAAFFSSVKTATVVGYIYVFGSGLLGEFLLRFFVEDTSFPKGWIVVMEIIPGFSLYRGLYELGQYVFSGNAMGTNGMKWTNLNDHENGMRTVLIIMVVEWAILLPLAFYLDKISSLGGGARKTPLFFLKRFKKRSLSLRRSFGRQGSKVVVEMDNPDVSQEREVVEQLLLEPNANQAIICDNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAFVHGMDINTDMDNIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGTELEKAVDDSLNSVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHLSGTQKFELPKQEVKIADVFHAVESAKKRFSIHAWGLVDTTLEDVFIKVAKGAQSVNVVA >OB08G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10940200:10947322:1 gene:OB08G21600 transcript:OB08G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWPLPHATACDCVVLQAGPRAPPQEPLFPGLGRGLFPDVPTSYFLGVSNSNSSSYLDELSTIVPGTSTSPAHVLFIEPGFVPNGTLHVMQTQCIWDSRNVSGNSDAMPIRLDVKCVQGLPLWCRNSTVINHLLFKGYRSGNKLRTSNEYLAGYDFLDTSKTRFHVDVSYNSTFSRDNGHHPMTVMRVARLVNMASTAYLKFLRGNAEMRLEFLKEMPKAATKITLDFTTLLDALFFTWTVQLLLPVMLTYLVYEKQRNLRLMMKMHGLKDGPYWMISYAYFLSLSTAYMMFFVMFGSFIGLDIFRLNSYSLQFVFYFLYINLQIVLAFLLASFFSSVKTASVISYIYVFGSSLLGEALLQLFIEDTTFPSKCFPAIILREFHRILINNVNFTCLLGQWLVTMELVPGFALYRGFYELAEYAFAGRQMGKHGMQWRDLNDPINGMRDVLLLMSIEWMILLPVSFLLDHRPAWHPLFLFGFMSTKHSSPSLRPDKVKQRSRRCQSNVPNLVFIRVQSSIVVQNSIFQRKVVKKLLKDMDMRNTIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVDESLKSVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSSLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPMELKARYGGARVLTITTAAGHEGAVERAVARRCPGAAKVYGVAGTQXXXXXXXXXXXXXXXXXXPVQAWGVADATLEEVFVRVAMEARAFDALS >OB08G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10947806:10948883:1 gene:OB08G21610 transcript:OB08G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDFGVVGRLHCSCWMCGSCFFWLRCVEEEDKPWAGGMMGEIAEKSLKKMDLTEIDPYPFLVDRAPYRLGQMSGCTNIDQGWFKRGRKW >OB08G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10953744:10958668:1 gene:OB08G21620 transcript:OB08G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLIQVDQSTVAIKESFGKFDEVLEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKFV >OB08G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10958563:10958832:-1 gene:OB08G21630 transcript:OB08G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGNFTPRSTNIVEKNVKINYFTEMCLYLYQEAKKFSFLKFVPSIEKQTANKNMRIILSTICTFPVFPMKEFSNYKYMFHLLPISNAQ >OB08G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10959074:10959741:-1 gene:OB08G21640 transcript:OB08G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADVTKEHPKEEIKDKEHAEEAKPAKEKKEKKEKTEKKKKKEKVEETTDAAKLRAKLEKLDAKIDDLKAKKQEIVVRLLQLEKEATANAAADQAAPPASG >OB08G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10967860:10976351:1 gene:OB08G21650 transcript:OB08G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3MST5] MATGKYPSGIAILYPLVKFRTIKIPIPITAVAKTSGVRLWTARTNAIAPRRYDLRLRPDLAACAFSGSAAVAVAVSVPTRHLVLNAAELAVDGSSVRFQDLVPSEVVLFEEDEILVIGFDQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESKNMAVTQFEAADARRCFPCWDEPSFKAKFKLTLEVPLELVALSNMPATKEEVHGPLKTVYYEESPLMSTYLVAIVVGLFDYVEGSTLEGTKVRVYAQVGKSNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEDETGEPVKDLMTTWTKQQGYPVIYAKLDGRDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDGQNAGGEKSENCWIKLNIDQTGFYRVKYDDELAAGLEKAIKAKKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRDESDYTVLSHVTSVCLGIDKISVDATPELCRNIKQLLINLLLSAAKTLGWDPKDGESHLDVMLRSLLLIALVKLGHEETINEGVRRFHIFLKDRKTNLLPPDTRKASYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRVLGSLSSCPDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWEWLKENWDRVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRINARWIESIRSEPTLGQTVHELLQHEL >OB08G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10975958:10978049:-1 gene:OB08G21660 transcript:OB08G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of CFIM-25 [Source:Projected from Arabidopsis thaliana (AT4G29820) TAIR;Acc:AT4G29820] MGLEMTMPAAEEAAPAPARVEVYPLSRYYFGARDVAGGGGARPPTASSASRPSGAVRSSARAAAADVPGLKRKLSSKLSVAGNQDVDHGGDGDCDEWQIGECVGMWWRSEFDAAPFPYLLPNARAPKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGPIIAGIPNLLSKFSFNIITD >OB08G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:10990359:10995187:1 gene:OB08G21670 transcript:OB08G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDIWEMLCSAAQPRRSGWAEGGDARPAALPARSPPPPPPPPPRRLPAAAGRRGEGSGGVRGRGEEGTGYGGGGRCAEREGPAGAPARTVDAAGRNAVAVAGGSVTHAAERVAARVEESPVCSGRRSVYDGPNPELAEMLFWAAQPRRSGLTQRRATRAVALPPRSPLRPPAAGRRGEGSGGVRGRGEEGTGYGGGGRCAEREGPAVAPARTVDTAGRNAVAVAGGSVTHAAERVAARVEESPVCSGRRSVYDGPNPELAEMLFWAAQPRRSGLTQRRATRAVALPPRSPLRPAAAVGRSEGSGRAWERGEEEAYGGGGDAGEGEGGGGGGAEREGAVVAVRTAEAAGRNAVGVSSGLVTHGAERDARPVEERGNSGGELGKKRVLEQAARSPPPKWRAVFAKRNFPPGCGRDTAVPLGRGRDHGRDGGVRPLGRTTAIPLAGSEDGLLLDAAPATAVLDVVEKVASADGASSMVNVEHGDVVDAVLMKSSHGSDENQMACKIGSLENGAEGAASGKGADSGELLGRKEVLVQAAHLLPMWRMVPARRRFPPGCGRDVVAPLTGGEEGKAVTMVSGDNSVNQCAPNTVGALNVGVLGETVQRQELEEGEVVDEACEVQESQEVAGCVGLDESAGGRQKSVVHLTSDEVTRIMPLQEGCNDAALATENDLSMGQKCERILLDASSKCSFDGPQNEIVQGKIVLQSNGMKGNIPSLSMEDPGSSTLRGNTPSMSMEKSSMLTFRKRVVNTSGSEVDECIGAAGIAQIEQELDEEMTTRECHAAQECQVATGLITHEPATSRHKGTIPANSAPELFIRHSSNVERCGNTSQHERRIYASVAAEDVVVVTNKCKGTSSKAAGEPWAEGPSKELVECKRERENDSMRRSFMNVATAVSGDVTIKGKTMLTARKTVKPPIREMHKPPQDTMHRPFSKGKEESHATDSASFGPRKRLKVKCTAQSKHIPVKIASTSGLVSKENLMHEKALNLENDDILRALSVHDDGNLELYINFPSIVQWHRQYGNQIADDRGKIRMLCRRFQFICRCLVQAVEQGSLKVRRVDLEADKIIRKLPGFTKPGPTVGNVRGVEVGDEFLYRVELAMVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNREDDSHSKARQISTFTYDGLYLVVDCWKEGLKGSRVFKYRLQRIPGQPKLPLHIAKGLRTSVSRPGLCIADMSRGKEMVPICVINDVDNVYPATFQYISKIKYPSCLTKRRPQHHGCDCTDGCIDSAKCSCAVKNGGKIPFNFNGAIVHDKPLIFECGPSCKCHSSCHNRVSQKGLKIPLEVFRTASTGWGVRSLRSISSGSFVCEYVGIVLTGKEGDKRTNIEYLFDISHNYDDEDHSKGRPSTISGQNSFGACCQTMEDVGFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRLPHIMFFAVENIPPLQELTYDYNFKIGGVHDQNGRVKVKDCHCGSPQCRGRLY >OB08G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11001387:11005100:1 gene:OB08G21680 transcript:OB08G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDRKRAPKLAYCPSSSELQHGKNNGNCRNSEDRPVPNFMPLSCNPMNSNLPPDIRWWLQSQPNLAGQKNLAGEHLYFLDREISKKEVEDPASKNTHEEPLFSEMVDTNPVKIEDVFEPLWMVSTAFMKHSSETDLQEMEDMVGYSQVPLKCKENANDYLFDDKEFLDFKNVSRPQKANFDIDAPWKGGERSQPWWQITDENELALLVAEKAMQTIENCDLPRPSHTIRVQGAESRSHENMGTYRGVSRPAGTVSYPDPGQCEHIECSYSTASTDELELTNGGVWQQRETNVRHSDAQDFSRGINAEPQSNRMYQNPAERAQLLEALCHSQTRAREAEMAGKKAQSEKDDIIKLLFRQASHLFACKQWLKMLQLENICLRLIHKEHQIATIIPGVPWMTLKKKTTPDQERESGARNKGRKQKNGGGGFFDAILLAVGFGIAGAGLLLGWTFGWLLAKL >OB08G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11008193:11012705:-1 gene:OB08G21690 transcript:OB08G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRVFFKILVFLCFIGSSQGIHHFTALHLPPLMKASSFSIIQHETYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNISYLENFHISLMDDSPNAPSQGFISTDGVINARARVLGGGTCVNAGFYSRADPSFIQDVGWDAELVNQSYPWIEEKIVYSPNLAPWQAALRDGLLEAGVTPSNGYTYDHLFGTKVGGTIFDETGYRHTAADLLAAGNHINLRVLLHANVNRLFFSKGQGNRKPRAIGVDFKDENGRYQHAFLSRHRGSEIIVSAGAIGSPQLLLLSGIGPRKELEKHNISVVLLNEHVGEGMSDNPLNSIFVPTNDPPKQSLIETVGITDGGVFIEASSGFGQSPDSIQCHHGIMSAEIGQLSTIPPKQRNLSAVKKYVHNKHCLPKEVFNGGFILSKVDGPLSTGNLVLMDTDINSNPMVTFNYFQNPKDLSRCVYGIRTVERILKTNHFNKFTPNGSGYPMEVYLNMSVTANINLIPKHINDSTSLEQFCKDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGVRVIDGSTFFRSPGTNPQATVLMMGRYMGVKILRGRLGRAAGV >OB08G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11019004:11023546:-1 gene:OB08G21700 transcript:OB08G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLLHGVMEAKILEAKLSSVSSSSVVSDHGQPNPAAISKSQKIPRTKLLSLIMKLPFIFTCQGPAAWDVLDNFEQRWRRQGGGDALLAHLPRSSAVREAADQDADAWNVQVFRSIDSRAVVGFPENADEAARCGLVTGAAGDTVERSIQDGYIHAIRRAKYFIYIESHCFLGSSFAWNHPDVAGAAKNGAALHLIPRELSLKIASKIRSGDSFRVYVVLPMWPEGVPESATVQAVLDWQRRTMEMMYKDVAAALAARGSTGQNPRDYLSFFCLGNREAYVPGEHEPPERPEHDTDYMRAQQARRFKINVNANVMIVDDEYIIVGSANVNQRSMDGGRDTEMAMGAYQPRNLDTSSSWPRGQVQQFRLALWREHLGAAACAGDDVLYPGRAGCMNRVNQAARQNWDMYASEKTTVRDNLPGHLMAYPVGVGDRGELWEMVPCFPDTKARVFGSSSDDLPPVLIA >OB08G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11027382:11027921:1 gene:OB08G21710 transcript:OB08G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRNKGKRLMAKYGGFGGSSSKKTRSNSAGEALHRLADLRMKSMESMANKDQEKAARGTKATLDVFVQDGHPRGGALYEMARDLFHDHYWSEFFFHYCETAEEHTQFVERNYYKNRGSSPPPPPNCGGGATGFDGWFSGGGCGGFGGRWGGGFGGCSASFGPTTVRSDFNRVLSSA >OB08G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11035909:11036583:-1 gene:OB08G21720 transcript:OB08G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESSWWPLSPWLSPAAAWLVLFSAVVGAVVLMSSRGHSAPPPSTGRRSLTRSASSMVLERLSSFSVFSVVLPVSGVRGDVDSITASPPSETMLTPAAAEHAAASATRGAEAAEESPLADEAHPDPVVVSPSQPPQAAALAGEAASSEEEERPSKRKELAANATVVKRRGRAFAEEVEGKAEVNARAERFIRQFREDLRLQRLMSVLNRTHTLNGGGGGSPAP >OB08G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11043629:11043868:1 gene:OB08G21730 transcript:OB08G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGEAHVDKPVHLTRPAYSLERNDGLQNLMACMFIAMLCSFAPLILEPTSAKKTMTQAWGEGGGRFLCVHELYITEF >OB08G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11047242:11048279:1 gene:OB08G21740 transcript:OB08G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVGGCCRVIADLERQLDAETAELNTVLHHLALCRQAAATGASASVAAIMPAGMLDDPCADLDVTSSNQPLLLSAEQEVVDALYANQEPDATILHGDDGHHNQNGSQHEQHHGQQQRLYDYFYYDSTTGDDVSSKPNLDINVDGMQHFDFDTGYDVEHKLELTSDQMPVVVHEPNQIHHKEFETKAAPSFVDALDMRQEMVDVNTEIEVKEIVDMNADLDVKEMVDMNVKTTMVDVNADIDVVKTVVDVNDDIGVKEELQEVGNGKIVDAGKATQMAESSSNCRLGLGFSSF >OB08G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11056915:11057736:1 gene:OB08G21750 transcript:OB08G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLSSGAAWFVFLNVIVGAIAVMSWLGGHGAAATATGTPSSARRKQLERTASTVVMERLRSVANFPFQYLSGDYGVTPLHGEVSGTDCFYCPREAEEVVAQTTSVVAVQAAAPVKPEPPAPVRECLPETTTSMAMAPPSAPATAAAEAQAEAEPEEEDAEAEDSISLDEAYALAQQARAPRSAATSSPNPATAAAAGKKREPAKVTGAKPRRAREEVVEAKAEVNARADRFIQQFRADLKLQRINSIINYTNALRRRGGSAAPAPEPEPTR >OB08G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11062783:11063637:1 gene:OB08G21760 transcript:OB08G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAPPPNAFSYNVVIAGMWRAGKGDGAVKVFDEMAERAVLPNHITYNTMIDGYIKGGDLEAGFRLRDQMVCHGLRPNLITYNVLLSGLCCAGRMGMTSALLDEMASRKMIPDGFTYSILFDGLSRNGDSKAMLSLFGNSVKNGVKIGDYTCSILLNGLCKDGKVSIAEQVLRELVNAGFVPTRVIYNTLINGYCQIGELEGAFLTFQQMKSCHIMPDYITYNALINGLCKSERIAKGQDLLMEMEDNGVNPTTETFNMLIDAYGRTGQLEKCFIVLSEMQE >OB08G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11073229:11076562:-1 gene:OB08G21770 transcript:OB08G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MSU7] MDIAGAGTTVAAAAGAGWKAPASMVLVQLFVTGMNILSKVSIGDGMFIFALLVYRSIVGAAFILPFALIFERGKWKDMDWRALRWIFLNAFIGYAVPMSLYYYGLEDTTPSYAIIFLNIIPLFTFTLSLLFRLETLKFRSVDGVLKIVGVLFSVGDTMLISLYKGKKLHLWNPILKLKNEQQTVYNQSSSRDIFVAW >OB08G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11094451:11095176:1 gene:OB08G21780 transcript:OB08G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSGLLLGGSGSKSHKLKKQFNTVELKVKIDCDGCELKIRNTLANMKGVQSVEINRKQSKVTVQGMVETQRVLRRAQSTGKRVELWPYVPYTNPYVAPPAAYDKRAPPGHVRRVDAVMPVTPGQEERLATLFSDDNPNACAVM >OB08G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11094841:11095227:-1 gene:OB08G21790 transcript:OB08G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKSFTRCVILFDITSHDGAGVGVVVAEEGGEALLLAWGDRHHGVHAADVARRRSLVVRRRRRHVGVGVGHVGPQLHPLPRRLRPPQHPLRLHHPLHRHLRLLPVYLHRLHPCTCPIHICIPSQNF >OB08G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11102843:11103628:-1 gene:OB08G21800 transcript:OB08G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLFKEGPATISSHPTHRQGHKLKLVNTAGEMFQCDGCMERGHGPRYRCEPCNFDLHTCCALAPEAMEHHRLFPGCRFVLLREPLAAATANARGTLCDACGDRLHARGLVYHCADRPRPPPDVRVPAGAVHRRRPPLRAPRSRPDGAAGAGRSAASSGSTGPTSTASRCTFTSRASRPCRLSRAARRTSADGEIMQVVSSPAMQGLLQSLPKRKTNGRSGLERFLTIVAGAIRVIIALIFGDPTAMIVAVAGAILNS >OB08G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11109014:11116716:-1 gene:OB08G21810 transcript:OB08G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVRYRKRGGPTRCFECRALDHIRWRCPKLGRGKKEDNGGNKTKDDKPKYKNTFKERKTKDDLKKMLDQVYAAFEPLSDVDGESDEDENKGRNISGVCLMSRGESDLESEDNKKQKQIFQNHLFLRLARKQKKEREIAFAKSKLQKSAFPSRKPVRPQWVARPDRDPVRPATKPRSDQTPVRLEASKTESIIFGDASTSAVLATGMVKIQTTKVLKSLILSYHKVNPRIGEFKNVSFEEFCNEKGLKHEFSSPRVPQQNGVVERKNRSLVEMARTMLDEYHTPRKFWAEAVNTACYISNWVFLRSKLGKTPYELRFGRQPKVSHLRVFGCKFFVLKFGNFDKFEARSTDGLFLGYPTHSRGYRVLVFETNKIIETCEVTFDEASPGTRLVIAGEDGPIFEEESDDDDEVGSTGQTGRQADRTADTPPIRPTQEVWSDRPEVAAPLHIQRRHPPEQIIGNLGERTTRSKEEVYVKQPPGFEPDFPNHIFKLSKALYGLKQAPRAWYDRLKNFFLAKVFKWERLTKRFLFLSMIYVDDIIFGCAFHALVVEFAETVRREFEMIMMGDLRTTVAVARTMTRFREEPRSISVNGGGIVTKLGEDLRSGQLRMPGEDVEYEVAHGGGTPCGDGCVTASHTINNFERNVVGMPTKNIRKMSTMVKRIMFVDSQTECEGMLPQIVDSAGASSSMSRDEAAQRKPKRKRATSAGEGASGDDPPESKGPKITCSSVMLTSEGWSLRDTSPGNLAHFDKIRKVANMGKEKRGKPRGSCRERGLATNTGCSGRDNGSRITQSHRGNEPPLRRCLENEWESMGNVDTDFTAEVYSVKFLLNDKHLFDHIIFIFENNHSVKLLEIDNFAAYINDVEESFSSGKLTEGLFIDASSSILYKDDVRNKAETFGKIIFISTTILIIVPMLHSCH >OB08G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11129182:11130048:1 gene:OB08G21820 transcript:OB08G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEEPPASITHSAHRQHELTLTAGAPFRCDGCQEPGSDGPRYRCEACNFDLHADCALPPATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHPPPPQRRRADRARRRAADGGCSAEPVKENAAEQRVRTVPQDRRPRRERHNRRRLRESDGLDRRGGRARRSSPGVAHRVEDDRRTSVLQESTNLLNV >OB08G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11137310:11137777:-1 gene:OB08G21830 transcript:OB08G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAADSDAVDGKEEPQGGVRWSLHSTHAKYLLCPSPSKLTCVDSRSGSNWLASCEPCFCVLDAATASDVLAKSEGSAQHFVVS >OB08G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11145190:11145984:-1 gene:OB08G21840 transcript:OB08G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPAPVEFAHKSHPIPNHKLKLVTADEGFNCDGCKEPGRHERYRCEPCDFDLHRPCALAPPDLPQHRLFRGRAFRLLHTPPPTEPGYLRVCDACGDKVSGFVYHCSDLDLDIHPCCANLPDHVALDGVEFVLCGAGAGAGDVPRQCAFCTEDHGKSCGVSRSRLDRRKVWTYRSCYDGEAMYLHVACVKEIMVQEIIAAGNGGGKNYVIADSVLRGAMKKRSRTGKKAVKCFLKFVLSVIVSVLFGDPTGMAVALIGAVVSNV >OB08G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11153729:11155075:-1 gene:OB08G21850 transcript:OB08G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFESSVAGCTNGRNAQVITAPVQTMALLQQKKGKSRSALKKLVKIVVFALRVVVGVLFGDPTAIAVAVVQLIFPNATLTFVAVDPADPIAWNVSVPSPDASAGPEPEPSRCYVCDPAANRWVALPPFTLPPSENDTNSGLRYDDDAASATGRLEFTVALISRNYRGIRFALVLDVLYLILGIWGLRVRDANENEPMGKGPILNLF >OB08G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11178433:11179814:-1 gene:OB08G21860 transcript:OB08G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLEKYVQRTGHKMHISFTDGKRRPLDPTQASKLASECGIHIRNHLRVATHWKMYKTNDYKKAIPAAITSIAEKFDMNANDEVARATCTNIIKDGIRQQRYRLKSKYFNNVPISEVLSKGPPPRVSPEDWAKLVEKWTDPKHKETCEKNKINREQVKFHQTTGSRSYVCAIHSMKVNNNDQEPDAIDFFKESHFSKKKGSMSDDAQEAYVQELRDQLRVEKDDNARKQHQLTEQLECQQQEITELKRKHQEEMDNLKKSQDEKMDGLRKKQDEMEAMFWFFIRQQ >OB08G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11192169:11192609:-1 gene:OB08G21870 transcript:OB08G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASPGTHTAVAACDRHSIPPALIPSSSSAFHHHHHTTTTPHRSLEANNKPTQLPASHHHPGQRKLREGSNGDAAGETERERRYIREESERERERERETKRWRQEEEDKGDGITVELMVGGFGFCIVATPPLALLLLLLLVRCDA >OB08G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11192774:11196688:1 gene:OB08G21880 transcript:OB08G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKSPKETPAREMAAAAAAAAKRAPVAAASPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELVFL >OB08G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11222007:11224537:-1 gene:OB08G21890 transcript:OB08G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDDIYTSNSTTYQFCHGQSSRPWFVYALEAPNGHKAEAAIFLASAVMFVLGALLFGLSLLGRLSGRAALNPTVRVFLNASFALFLPLMSYMFSQAKDEASKKQQQLXXGGAGGPQPQLPFSAQVILIWMLLVELLRKKVDAILAAMSSSSLRAVHQQQTLWDAIDQIVRIGWIGYLIYSVAAVEVAKRSFTVGKNAELVVGYTAQMIDDEDTGDAPESGAALLSKCWYPVMGEDRLKREVGPDGYRVELPESEEKKDLITVGDIWQLSEGNDDGGTADALLTDHPKLKDLCLAFALFKLLRARFGLRDGDNDDDDDHAERAFHVIELELNFVMDYYHSVVPVVLCSPWFLVGNYIFVFLIVVNQAVMVLFITGNGRLFPIISCLARDVVMLSRRAIELFRCIGHKLVRTISVMFSSFNILVCLMLVLTFILMEVWEFVVYVFSDWFLVSMLCEYARRPKWRSSPRVRKAFRALLWAKRLGRRRPPRAGMRFNQVCVLDLRRHTPWVIVSKLLQQRFLGMASVPVPVEVKRAVFRSLAAKTGGAEQLSNGVAVLHRRGRQDLLWACESRSVTHVILVWHIATSLFEMKNAGGGGAVETRSAAAEEETIASTLSRYCAYLVACAPELLPENTEGSQRVYKSAKRALRRSLSKKRRRSKKQLLEEWRGSDGDGSNVARGWALLAEVWTELVVYIAPSEKIEGHAEALAQGGEFITLLWALATHTGITR >OB08G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11234198:11239708:1 gene:OB08G21900 transcript:OB08G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVNTNAQLPSYEPSQEPHVYKVGRPPQKNLAKELADTLRETFFHDNPLHRYKDQSGSSKFMMVLQFLFPIFEWGRSYSLSKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYVRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKLVLGIRSFTKKTDIVSVMRSVWSSAHHGWNWQTIVIGMAFLAFLLFAKYIGKKNRKFFWVPAIAPIISVILATLFVYITHAEKQGVQIVNHIKKGVNPSSVDKIYFTGPFVAKGFKIGVICGMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIVMSTVVLLTLLVITPLFKYTPNAILGSIIISAVISLVDYEAAILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHVPGLIIVRVDSAIYFSNSNYVRERILRWLTEEEERAKAEGESKINFLIIEMSPVIDIDTSGIHSLEDLYKNLQKRDIQLILANPGSIVIEKLLSSNLTEHIGSNNIFLTVSDAVCFCTSKTMQEP >OB08G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11240019:11241553:-1 gene:OB08G21910 transcript:OB08G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSTELVKGTHEFTVAGFSLQKMKGAGQSITSGVFEVGGFMEVATVEKEYLMDDRLRLHCTVEVFKETKTGATVSLSRFISVPPPGVVRHLEQLLESKRGADVTLQVETSEYDAHRAVLAARSPVFCAQFFGPMAGAGGGGGRQHVQIHDMRPPVFEAVLHFVYTDTLPFSGVDEALRPSGAAGSHHAKLMEAVAGFPREGLAAMERSLISEWLAADRFDLERMRALCEHLLWRTVGATNVAATLQLADRHHCPQLRALCVEYVASPGMLPAVVATEGFSELKEACPSLLAEVLEKLGAPLTTNGSTPSVFIYNFFTAFKKLYGIHQAFSVGNCGSDNFLTKLMF >OB08G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11245661:11246753:-1 gene:OB08G21920 transcript:OB08G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTVFSSSSTTSPPHSTMSTHSTELVKGSHQFTVPGYSLEKRNGSGHRITSGSFEVGGYSWAIHFYAAGSTKEKEGHVSVFLELQSTGVEEVAAKCSFHFNDAAASSLRSTEIHDFKPRTDWGFPEFMEIETVESVYLVNDCLTLHCAFEVVKQATTGATVSRLITVPPPSICRHLEQLLTEQGSDVTFRVGQSKYDAHRAVLAARSPVFSAQFFGPMATSEAGLSEVRIDDMESAVFEAVLHFVYTDTLPHVEEGTFQLEVSSKQEVVRTTVCEWLAAADRFDLVRMALLCETIGVANAAATLQLADRHHRTQLKEFCMDYIASPGMLAAMMATEGFKELKVASPSLLIEILEKLGSCS >OB08G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11252535:11256081:1 gene:OB08G21930 transcript:OB08G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSKLVKSMRLRCIELLTEIEAHLDFEDELPPLDPTMLVSKINGMTQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVQRSEAAALGADLIIMTISAVDGWTDDDTKLIEHVLISKKSSGSAVPMVLVINKVDCAPFISGEQFEQFSGVFRKHVQTCAVTGKGISELEKAIIEVRGLDPLPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >OB08G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11271250:11272191:-1 gene:OB08G21940 transcript:OB08G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGKRGGGTAAAAAGRKSMRRTTSMTEFAPPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPTARPEVAPSPQRSPPSPTTSPTGRRLHRRHPHQPYSYDDDELCSSTLYHDASVIPVPMADERLVPLCYAIPI >OB08G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11288679:11294817:1 gene:OB08G21950 transcript:OB08G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELILQSIQELIEDPGENNPFGAANHDELIASLLYNNQENMQTDVSVEDVRDGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREEVLEDCKYVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSVMHWSSLDQTSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDDSLLVIGGFQGEIICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPNGSRRLVAANNDCAVRIFDIEYFDLLKHYVFPWSVNSVSVSPKGGLFAVLGDHEDGLVVDPKCGKAIGTLKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIAGLSFSPDTEAFYVGIADPTYGGLIEFNRRHQHHYLNCMW >OB08G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11307827:11311025:1 gene:OB08G21960 transcript:OB08G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVEERLKQVLADMNIPRDTPQAVHPTPRAHHDASPIPGRSSCASTELLAPGVPIAALAAVDNIESEVKVLSTNARYPPCRQHWVLLVIVPKWNRVAYLNSNKAKEYDFTEIIKALNSAWGPYVAKGGRHKDGHTYKRYEFVAFMHFAGQNYGISIVYTRLNGLKCSVLRFPCKCPLKLGEAIKHEEETVLLHEPPMAGWWRTRYHRGRKRNIRISNIALMLCIMHEPN >OB08G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11318344:11319126:1 gene:OB08G21970 transcript:OB08G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDRSGDLMRALGDGQSPQMPQFYPGLPPAPATTAFSAGGHGFRYMGPAQLSPAEIQCVQAQLHLQRQAQAGLGPRAQPMKPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPLRRRRLGDDVVRVAAARGDGAAGLQRGAVGRGGGLRAHQVPVVRDRLGLAAQQQ >OB08G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11323746:11323928:1 gene:OB08G21980 transcript:OB08G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIACVCQNKKRNETLHFVVYRRRRRRRRRRRRRRRRRRRRYRISVVVHSIRDYRMNEVVD >OB08G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11341038:11343438:1 gene:OB08G21990 transcript:OB08G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MSW9] MVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAVRSVAKPFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIEKTKCIPGAKVENNKFCVSVHFRCVDEKSWNTLAEIVKAELKEYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMDFLLRLVEWERLSRARPKW >OB08G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11396097:11397775:1 gene:OB08G22000 transcript:OB08G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEFTGIPSPPFAGPPARSRFDHLFPSPSSLRSAAAAGSPSSLPAYLLRPFSQKLHPSPFPPFPSPSTSSPSPSNIAIATSTAAPSTAAVAAPGDRYQLASAPLSSLLELQDHGGSYLRFQSHLGAQLGGDSKYVAHPMFDAPARDQAPPLQRLQDPAADFLALTHGIMGADGTHMHHQRSRDHGHGGDELSGVVGGASMTGSVGVGCKKTTYSSGSGAPLLERNAGSTSNNHCQLTAIGWRDLRQDLTGQMVKISLVFYISNVKL >OB08G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11420187:11423942:1 gene:OB08G22010 transcript:OB08G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARRTLLLVNLASIMERADEALLPAVYREVGEALHATPAGLGALTLCRSSVQAACYPLAAYAAVRYDRTRVVALGAFLWAAATFLVAVSDNFAQVAVARGMNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNFGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVAVGILVRLFAVDPHHVNFGNKKQHVRKSAWREVKDLVMEAKAVVGIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFSHKGTGILMITAAVASSLGGLFGGKMGDYLANLYPNFGRIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFFISWNAPATNNPIFAEIVPERSRTSIYALDRSFESLFASLAPPVVGYLAEHAYGYNSITYGVGVTGVDSDKENAAALAKALYTAIAIPMLLCCFIYSLLYKTYPRDRERARMDVLITSELQQIELERSQRASDYYNGEGVSVIDIEYGEEELDAEDDEKTLMSYGIEQSAAGQMTKT >OB08G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11424970:11426162:-1 gene:OB08G22020 transcript:OB08G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATQSQPPSWADIPQDLAVQTAACGRWLVFPLDDGCFLVDPFAGATVTLPALSRVRLRPPNAVARYVKVAVAGQDAHVDMFYPHTTWMHIRSSEKMAINKLLLCSPNLAAAFVGSTLANAGRTSQILVCQPGASSWSVRAYDKCRLFEDMAFYQGKLCVLADDENLLVVDISQDPGTGDPQISRIGKVIKGNPSWYPTQIPDGVTTDKKKVYLVESRGALMMVRRKICCRVAGKTLVAGQSEFEVFTADLEHSRWVNATTLGDDQMVFLGRPCSRVVPASQYGMPADQIFFLDDVLENGKEYAYEEEITSVGVYDMRGGEVTSAVPMAWKHEMSPATWLFPWD >OB08G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11426831:11426986:1 gene:OB08G22030 transcript:OB08G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKISIHKLFLSYMKRNKQSPSEGEWEILDETFFNFILFLSFLTLFQQHFF >OB08G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11431409:11433261:1 gene:OB08G22040 transcript:OB08G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEADARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGVSPTALGSLTLCRALVQAAAYPLAAYASARHDRSKVIAAGALLWAAATLLVAVSGTFLQMAISRGLNGVGLALVLPAISSLVADHTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVTFLGIAGWRLAFHSVALLSAVVGVLIWVFAADPRAKSKTAASAADEARELLRDARGVIGVTTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLVGDPVSRRFPNTGRIALAQFSSASALPLGAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPLIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERARRNLLMASDDQEGSESHSGEIRTQEDEEFAVGSMNRRLISAGE >OB08G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11436844:11437369:1 gene:OB08G22050 transcript:OB08G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTFLSLSLSGPWALARFDPVAVSFILEVVAEVPRGSEYGKWHPSLIILI >OB08G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11441947:11444322:1 gene:OB08G22060 transcript:OB08G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAVAVRPSRPGGRLPHQHSALLEGGRRWNNHMNARRGDQGEGHGKKRPETAEHDSGEPFPPGDYRRRRRGAQAVAMVELQEVGEIFESPNLRGPNRWPEFLCGLNSFKGIRRSSPRRSISPPPVAPSPQPPAAAPPSPASSFVASCLPGMGDPNDLKAAVEALTTTMKKMQTSTAANAKAIVALSSDRFSSSGAKTNSDEPRTD >OB08G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11455846:11460799:-1 gene:OB08G22070 transcript:OB08G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78140) TAIR;Acc:AT1G78140] MWSRSASAASSAVALCGGGGGHRLLSCVAAPTRGRALGASAAAKRVLRSSLRASASPDVVSTVPDEVVAEPSVEPEAEKKLSKLACPICYYPLASSSDQSLPLNVASSLQCSTCKKFYPNRGDYWDMTVAVGSTVYSESTTVSTELFRTPLVSFLYERGWRQNFIWGGFPGPEREYETAKAYLKPTAGGIIVDASCGSGLFSRLFVKSELYSLVVALDFSENMLKQCNEFIKEENISDEKLALVRADISRLPFVSGSIDAVHAGAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADILPPAVPVLRIGRPYISQFTGTNIFLSEVEFEDLCRACGLVDFTFVFFMPVLVGQIVLRKGNMLKTGLSRVRRRQTGGAHAQALTATPSPANSPAAPCLGRPRPTASRSRAALGGLPPGRAALATVADCRLQADDAPSSNAAAPCFPADARTRPMLEQRKAAAAAAE >OB08G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11467308:11468270:-1 gene:OB08G22080 transcript:OB08G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRAVSMNSGSSRSTIEAADAAPAAHRPPRIGRTPSAIPVLAADRYTLEVCDSRARSGMSVSCRRAGRPLNSEMRSSVPSVSSANTTSSSTSVASLVAVAFTLAFALSPATTGCFLLDLAGLAPASTVELDGFFALAADSDFAVFTGFTALVLPAFATFAGFPVSVPFDFAVAALSTFGTLAFATAPSAGAFVPAAADFLVAFTAPSDSDFLTFTALVWFLASTPTFFLIFPAAATPFAFLAGVGTSSPSSARSAFRPPAAFPLGFPAAGAADEQSAMWERRSTATSSSISSAGLGLGGAISVLQQTNTSQDPACGLV >OB08G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11467363:11468779:1 gene:OB08G22090 transcript:OB08G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPRPALLMLLLVAVLLLSHIALCSSAAPAAGKPKGKAAGGRKALLADDGEEVPTPAKKAKGVAAAGKIKKKVGVDAKNQTKAVKVKKSESDGAVKATKKSAAAGTKAPAEGAVAKAKVPKVDKAATAKSKGTDTGKPAKVAKAGSTKAVKPVKTAKSESAAKAKKPSNSTVDAGAKPAKSSKKQPVVAGDKANAKVNATATNEATEVEEDVVFAEETEGTDDLISEFKGLPARLQETLMPDLARLSHTSKVYLSAANTGIADGVRPILGGRWAAGAASAASIVLLLLPLFMLTALVRRMAPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRLPRRLAGGVRLDAGRAVARVHGLPHAPDGGPRRHLLRGRLAGGGRQRGRHQGAGPGADGGGPRRRPALLRRRFPPRRGRRGPAGQLARVRRVRRLLRRRLRVRAPRGGRKPTSPAALTAPPRSGRRAEQI >OB08G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11473761:11478151:-1 gene:OB08G22100 transcript:OB08G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVTVVALIGVTNSLLGKLSILLGREYNKLRGVQAGITSLRDELISMKAALEDLSQLEDCNSQVNLWKHQLQELSYDIEDCIDIFLYSIDHGNACDGIINKIVGWLRTLKVYNHTAKQIIALKERAAEVNDRRKRLKLDSATLTSKAVDIDPRLPALFEEAERLVGIDGPRDELAEWLTKDNGYAQNLKVVSIVGFGGLGKTTLACQVYQKIKCQFDCTAFVSVSRNPNINKILRDILSEVLYASNPMSDYQKNHFWRIKENLNQPLEDHQLINMIKEYLKTNRYFIVIDDIWSKSAWEIIQCAFPYNNTASRIMTTTRIQDVAQCCCFKHEDYVYDIKPLSSADSRKLFLKRIFGNEDTHPTELKEITDEILRKCSGLPLAIINIASLLSTKPVTKQEWKKVWNSIGCMLKQNQDLETVKRILFLSYYDLPHQLKLCLLHISVFPEDHEIKRERLIWRWIAEGLITEQQGVNLEEVGEKYFNELVNRNMVQPVDIDYNGRAKACRVHDIMLDLIICLSIEENFVTIIDDQKSMPSCNKVRRLSLQTSCEKANIWLGTNRFSQVRSLNVFGDLKQMPPLFDLQVLRVLDLEDCSSLKDGDIENIGSLFQLRYLSLRNSHITRLPAQIGNLQLLQTLDLRGTRIKELPETITQLQQLVRLLLGRFGVKMPKGISNMKSLEELVVLDGSKNSMDAVVELGDLTNLKVFSIYWHPNGEIHNEGRYTKSIISSLCKIGEHNLRSIHITHGYSLLLDFLVDSWYPPPRHLEMFRMVSHFYFRRLPIWMSSLSELTWLDINIKQLGVEDMQVLQNMPALLCLKLYLEESPQETLVISRSGFRSLKVFYFYPLNCELGLMFRKNKKDGLGLMFEEGATPKLQRLEFRYCAHDAISAYGVGFDFGIKQLTSLKHLRVSIHCRGASAWEVEAAEASIRNTAYLLPNHPILEMYRLSEHEMVKDEVQWDEDIGYYGDED >OB08G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11479046:11484099:-1 gene:OB08G22110 transcript:OB08G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G04600) TAIR;Acc:AT5G04600] MGLREKKRNQRRVLARRSAAPRSGEGKDFLPLEEMPGKKRAREQQPEEPENTSTILYIGHIPHGFYEEQMRGFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIALVPPEKVHPKLWKGVRRGFVPIDRVAIERRRLNKDKTIEEHKKMVDRIVKRDEQRRKRIKAAGIDYECPALIGSVQPAAKKIKFIED >OB08G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11492623:11494865:1 gene:OB08G22120 transcript:OB08G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRRPGSGILVVEGDEAVERAANSWGLCRDSEVRGLPLAQSRMAKVERTTEYLIAGDGDISSEAFVKSDAVVFVPIVGLPLSSNRYYDLFLFLFPSSPSYRRMVSACSKEEDRTGCCGRGARRRAPTCRWGAVVPASLIEVGIGRAGAGHVAGPLTLGIAAGGDADGDADMKRIERVERHAGRDSWDRFGCYLLVEMFVLRRMDGSVALTHDFRIRTLWS >OB08G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11497556:11500000:1 gene:OB08G22130 transcript:OB08G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDYSTAILERKMMKAPNRLLVDDSEGGVAVDDSSVALSEVTMTELDIFQGDLVTLRGKRRREAVCYAHADESCPDGRVRLSRGVRGNIHVRLGDLVAVKRSPHVGNARRVLVRPFEDSVKGISGDLFQPYLRPYFKDAMRPVKKGDRFLVRGLMHAVEFRVEDTDPADECVIVARDTEVFYDSENPVKREDDELIDGPGYEDVGGVCKQLAQIREMVELPLRHPKLFTTLGVRPPKGILLYGPPGTGKTLLARAIAAESGAHFIVVNGPEIMAGVAGESEANLRKVFAEADEHAPSIIFLDEVDSIAPNREKAHGEVERRVVSQLLTLMDGLRSRGQVVVIGATNRPNSLDPALRRFGRLDRLRIHTKNMPLADDVDLERVGKDTHGFVGSDIASLCSEAAMQCIREKLDLMDIEDDTISVEILNSLTVCMDHLRFAVEVTKPSALRETGIVEVPKVSWDDIGGLDEVKQELQETVQYPVEHPELFDMFGMSPSRGVLFYGPPGCGKTMMAKAIAKECRANFISIKGPELLTMWFGESESNIRNLFDKARQSAPCILFFDELDSIAVKRGNNVGDAGGTSDRVLNMILTEMDGINSKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDARSRLEIFRASLRNTPMSRHVDLPAMAESTDGFSGADIKEICQRACKLAVREVVEKSTVVGKALAMKGAELRVDHFKSAMKYARKSVSDIDLIRYEFFKRKFSGGVSLSEEEEEEAQAPVGELKAVMVEDADGSTDSSSSMDDEDMLYG >OB08G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11498375:11499126:-1 gene:OB08G22140 transcript:OB08G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMSNNSGCSTGYCTVSCSSCLTSSRPPMSSHDTLGTSTIPVSRRAEGFVTSTANLRWSMQTVRELRISTLIVSSSMSIRSSFSRMHCMAASEHSEAMSEPTNPWVSLPTRSRSTSSARGMFFVCMRRRSRRPKRRSAGSRLLGRLVAPMTTTCPRERRPSMRVSSCDTTRRSTSPCAFSRLGAIESTSSRNMIDGACSSASANTFRRFASLSPATPAMISGPFTTMKWAPDSAAMARARSVFPVPGGP >OB08G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11506640:11508771:-1 gene:OB08G22150 transcript:OB08G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPISRYENNPQAAAGPPPEGPGSGILVVEGDEAVERAANCWGLCRDSEVRGLPLAQSRMAKVERTTEYLIAGDDDISSEAFVESDDVVFVPVIGLPLSSNRYYVVRAEGKHIGMVSACSKEEDKTTFCFYSRPKDVPARPFDHGDVYQQVELVQLKGGKGFRAEAVAADGIPPKYLRRKGWTIRTSSSTRYDNLTDSARGVDWPLRRRMPDLSGFGAGAKSSPPVVVGRWYCPFMFVRDGRRLKDQVRRCMFYEMTLEQSWEEIYSRDNVHQGGGGGTVSATVRRSTALLGGADAVQGGGPQAVDGVLWFRPASSRSASAELGLDMVLWERMKWELEKGGWVAAAGDGETKRIERVERRGGRDRWDRFSCYLLVERFVLRRTDGSVALTYDFRHTDKIRTLWS >OB08G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11513616:11516365:1 gene:OB08G22160 transcript:OB08G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTKPLSGFAAQVQLRRWDLGRAVLATARPSCFVPKLVRPKPSPWSYHAGPTQFVLGRTVLSVMLDRHALGQPTKARSNSELYRYLAVKSDDDDVADVTRCWGVRGLPFPQNRVIKVVDPELGEYAEAYADEVVFVPVPGVPLSQNRYYAVLAAGNHRGLLSARRVSSPVFVAGNLSFVCPFQFLSRMRVHHFFGPKLTWTAYFDLGETLHGAQRSAPLARSLSHAHGHAAATTPTATAATVAVGRWYTPFFLIMEDGVPPKTQMDKATFYEIVLEQRWEAMGGAPPAATKRALVGGSVEVEEEAVAASARTGGDGYLRFSAATWPPGQRVAVHASLWERMVWEEQKGGWVADEDGSVRKRIAGAGVGSRSVLVERFAVRRMDGSVAVAFDFFHVNKIS >OB08G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11524963:11526971:1 gene:OB08G22170 transcript:OB08G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDTELIARLLRQNVPPCMNQAPAITKQGTLKIILCYLAVASVLIGQELFNEYLQNYSFTLPMFSSYSTWIVYICL >OB08G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11542797:11543909:-1 gene:OB08G22180 transcript:OB08G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFKSHPEAASQPPSEGRGSGYLVVKGPDDDGDDDETCCWGTCGGARARALPFPQNRVLTVRYTEQHGESSTTYSDAVVFVPIPDQPLASNRYYAVVATGKYKGLVRACSREEDMTTFCFYRRINDAMPRPFNPADVYQQVEIVQRRRGRFTARSVAADGFPYFLYRKKYWRVYASKSKHFDLGEAPGLNAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGSRRPRLANATATGTCGSARLPARGWACPRACGRGCCGRSTGEDGSTSTGRPGSSPPAGRCWWRGSS >OB08G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11545228:11550610:1 gene:OB08G22190 transcript:OB08G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKGVDDTEPGPVPSRSVDKFGFIKPEQGSSPDGVSKSASIHERERESEERRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGQGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPKLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTVRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPLAFTFKVSSRLEELEKEYQKRLEGSNASTSTKRSQTLKLKTMSRIGSRVFGNSTNTEK >OB08G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11551995:11554970:1 gene:OB08G22200 transcript:OB08G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:J3MSZ0] MATAAKAFWNSPVGPRTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAHGYLENKGPEEQ >OB08G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11569208:11570570:-1 gene:OB08G22210 transcript:OB08G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLGLFYKDVTGMNPVALDAISLERMEEVVNITEDDKKTEQEDALFIFARSPDRLLHQDTCLALASSFVLLRLLCFLLPKLNACVKQAWRLQFYELKRLFPSLS >OB08G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11575777:11578399:-1 gene:OB08G22220 transcript:OB08G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDYSTAILERKMMKAPNRLLVDDSEGGVAVDDSSVALSEVTMTELDIFQGDLVTLRGKRPPSRLLVDDSEGGVAVDDSSVALSEVTMTELDIFQGDLVTLRGKRRREAVCYAHADESCPDGRVRLSRGVRGNIHVRLGDLVAVKRSPHVGNARRVLVRPFEDSVKGISGDLFQPYLRPYFKDAMRPVKKGDRFLVRGLMHAVEFRVEDTDPADECVIVARDTEVFYDSENPVKREDDELIDGPGYEDVGGVCKQLAQIREMVELPLRHPKLFTTLGVRPPKGILLYGPPGTGKTLLARAIAAESGAHFIVVNGPEIMGGVAGESEANLRKVFAEADEHAPSIIFLDEVDSIAPNREKAHGEVERRVVSQLLTLMDGLRSRGQVVVIGATNRPNSLDPALRRFGRFDRELDIGVPDEVGRLEILRIHTKNMPLADDVDLERVGKDTHGFVGSDIASLCSEAAMQCIREKLDLMDIEDDTISVEILNSLTVCMDHLRFAVEVTKPSALRETGIVEVPKVSWDDIGGLDEVKQELQETVQYPVEHPELFDMFGMSPSRGVLFYGPPGCGKTMMAKAIAKECRANFISIKGPELLTMWFGESESNIRNLFDKARQSAPCILFFDELDSIAVKRGNNVGDAGGTSDRVLNMILTEMDGINSKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDARSRLEIFRASLRNTPMSRHVDLPAMAESTDGFSGADIKEICQRACKLAVREVVEKSTVVGKALAMKGAELRVDHFKSAMKYARKSVSDIDLIRYEFFKRKFSGGVSLSEEEEEEAQAPVGELKAVMVEDADGSTDSSSSMDDEDMLYG >OB08G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11581220:11585129:1 gene:OB08G22230 transcript:OB08G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein / BRCT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67180) TAIR;Acc:AT1G67180] MPAVEGMRDVVATVSGYHGDERHRLVKLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFEDCLREGKRLPEKPYIMESGEEAGPVPEVPTFPCGRSKRDASMKNRCLKELPDDFCNTSYATDVLRVADSGSDCEHHGWSDSSLLKENVFVGGENSKIGATHAKERRKRLKHAQKSTNEDVLEPEDNISNLMARKGRHESSFTSSRSRSEQKGDLSRFLHNDDANMMAKRNSLMKKESITKHAGYLVESCENEILADSFSEPEMLDSPSTEDRRKIRKTRLSSSFRQSTLDSIYDYGEAIEHDPEKSADQESFELGESPRSFQPSSSSRQEPAFCTEEKANQSGIDDEKGDDGKPPLEKPASCQGQAELSCVICWTDFSSTRGILPCGHRFCYSCIHGWADCLSSRGKVATCPLCKTSFTWISKIDEAGTSDQKIYSQTIPFLTSSDTFMFDDRTYGNPESPSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDHRMLYQRYR >OB08G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11590986:11594709:1 gene:OB08G22240 transcript:OB08G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRFSHWIWPGSRTRRARDAPVSSAATAMAEALFPDSPSGFREPEALRVPSSGVRPRKGASRRRSSREEARVDREHDMVIVPSDGGDAYLSDSGSDDSDWSIGWLEPQGPELHSDGDSEGSFAVLVPCYRRGRRVEEPGRGRLADDNVSGGKNFVERWLSSLPN >OB08G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11602526:11602812:-1 gene:OB08G22250 transcript:OB08G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLVAVAGSGRRRWTPAGGGAAAAGVALRRKVRELRRLVPGGEEAPARSLLVRTADYIVRLRARVELLRALSAFYDLPRHDGAGAGVPPRP >OB08G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11615134:11617765:1 gene:OB08G22260 transcript:OB08G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRHHLVLLLLLVVVPILASAGLVLEDGYAVTTVADLNPVPNAPHPYALLPRPRAGDLVLLDSAGFTTTIAGGRSKGSGHKDGPAQNATFSPDFELVYVPKLCALLVTDRGNRMIRQINLKQEDCPRETQPGFATTSVSIIAVVCALFGSVIGFLVWHVYPAHEVSINRFFSQMRKQYKRIQRGAALISCSDIKSVVANSVVHALLLKLVRVSVGYLSVVFPSVRSERRVPAKPCPSLIDLDNNITTSVGLDNKAHESTELAGNFIGFDGDTSSEEDNEPAADVCGRIHNDKDPAGDLAALLDSPQVSSMKIDDMIEANLSDFSGQENYNSSAVKCSGISRRRLHGDSKAV >OB08G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11620605:11623945:-1 gene:OB08G22270 transcript:OB08G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQTFKSLPRVVTSPGIISDPDWDTRSDCDSVGSASSVERKIIVANFLPLNCTRDEAGQWSFSMDDDALLMQLKDGFSNETDVLYVGSLKVQVDPNEQDQVAQKLLRDFRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLEKGELFDRSLFQAYVRANKLFADKVMEAINTDDDYVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGYIGIDYFGRTVSLKILPVGVHVGRLESILKLHATVNKVLEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIINPARSTGKDVEEAITESVSVAERINIKYGSVDYKPAILIYHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTICRQGNEEIDNARGSDINCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTEPEKRLRHEKHYRYVRSHNVAYWAHSFAQDLERACKDHYSRRCWAIGFGLNFRVLALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSEEVIAILNSLCDDSKNDVFIVSGRERNLLDDWFSPCEKLGIAAEHGYFVRWNKAAEWESSYPNQDFEWKHIAEPIMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLINHGKTPDFVMCIGNDRSDEDMFKSIDNMTSSSAFPTLPEVFACSVGQKPSKAKYYVDDTSEVIRLLKNVAGISSRREVVSHGRVTFRDVLDYVD >OB08G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11640942:11642207:-1 gene:OB08G22280 transcript:OB08G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCTLTNAYGAFPDRSTCRAAAVAYSASEQELLCVMVDVVESKMRMKVVTWKKKKRKKENRKHAVAARESDRISLLRCG >OB08G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11658931:11659302:-1 gene:OB08G22290 transcript:OB08G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPPVVAAPLLVACRACPSGCSRRHCFPLASTFGGRSQALHSVNGGRSCGNSNHRSMRCGRGSWRSRDDTGRVIVAEFEASNKKEKMRMGLEEISRKTYCRLSPYRPKLKKWRNLQLVQYAE >OB08G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11662283:11663789:1 gene:OB08G22300 transcript:OB08G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MT00] MLERLEAGGENDNDLVLISGLMAFLLYCRVVLFDRIDDSKADEPAPASASASRLASYLTRINPEALQCPITLELMTDPVTLATGQTYDRPSIRRGRWVKNGCRTCPVTGEKLRRADVVPNVAVRGIVEQLLLSNGVSLHEPSSRHRCAVDKTASPFGAAAGGGVRLAVAFLVSKLCRGAPEEQKKAMYEVRKLSKRNVFYRACLVDAGAGPWLLHLPSSPDASVQDNAVAGLLNLSKHPDGRRALVDAGGLGLIVDAVNVAAKVEAQQNAAAILFYLSSNADYCDEISRIPEAIPTLVHLMREGAYRGRKNALVSLYGVLQSGASSHGKAVSAGAVAALAALLSSDRDDLVNDAVALLARLAEQPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAALLGKTPGLMPSLYALIADGGPQGSKKARWLVNEIHRHYEQRQPPVAPPPAGGRGVSFEYSTRNTFFLLLRNVQYTSFVLYGVHLNLYFSFRCKCFFFCPGLNF >OB08G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11672541:11677091:-1 gene:OB08G22310 transcript:OB08G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRVVFDSGVARRGGGGAQMLLFGGGGSANSGGFFRGVPTAVLGVEDARGTAAVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLEHDFDRLKAAYDALAADHQVLLSDNDRLRAQVISLTEKLQDKEMSPSSATITTAAQEVDQPDEHTEAASATGCGGATVDDALAAPLAAHQQQLKDDLLSSGATTNDNDVGGGGVAAAVVFDVAEGSNDLSCESAYFAAAAASAYGRQYALSSEEEDGGAVSDEGCSFGLPGDAAMFGATAGVVHHAAGDEEAQLGGWTAWIWS >OB08G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11677271:11677996:1 gene:OB08G22320 transcript:OB08G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDVFMGYSENPMPAAAGVEEGKKRRARKKNKAFSGEVLLRWPGGPARDLYANTKISQGPQCENSKKWAG >OB08G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11687484:11696844:-1 gene:OB08G22330 transcript:OB08G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides;ATP-dependent helicases;nucleic acid binding;ATP binding;RNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT1G77030) TAIR;Acc:AT1G77030] MARLTPSKSTPRGGKPRPSSSPAGAVADQQRPPPPRRPKQAKGASKNKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLVPMIQRLRRHDAGAGVRALILSPTRDLATQTLKFTHQLGKFTNLKTSLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEVEDLNLRTVEYVVFDEADSLFSLGLIQQLHEILHKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNTLFLEEGLEPSLSYGAMDQEARNIHISKFRARKTMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYSFVTSEDMPYLLDLHLFLSKPLRPAPTEEELLKDMEGMNLKIDQALANGETVYGRFPQTIIDLVSDGVKEVISGCTDLIALEKPCTNAFRLYLKTRPMPSQESIRRVKDLPREGLHPIFREVLGSDELSALAFSERLKSFRPKQTILEAEGEAARSRFSKGSNQYLDVMKKKREVHEGIINLVHQKNSGDPDPKEEMVENISNWERKEVCGNKRKSQSFRDEDYYISSVPQNQHLEAGLSVRANEGFVENRLDAAVLDLVDDETSGMQSQKTRYHWKKNKFVKLNSGDRVTATGKIKTESGATLKPTKTGIYKKWQQKTHRAISSGGRSGHFAEEGASTTGSHQRGNRKHTAAGRGHRYIPNADVPSEIRNPQQIQKSRQQKAMDIARMKNKSSKDSKFQKFQKNIRRHDGPSKDGKFQKNRRPDGNGKGRGKGKGSANGFGKGKGKMKGKGTR >OB08G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11699376:11703484:-1 gene:OB08G22340 transcript:OB08G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor PWI domain-containing protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G60200) TAIR;Acc:AT1G60200] MARYPGPYPMMRPGFPPRPMPPVGVMPLQRPPIIPGIRGAPPMVPPTARPPTPAAAPPGKLPTAVYVGKIAPTVDNEFLLSILRLCGPVKSWKRTQNPSSGKPVSFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKAQENTKETEDGGGSVTAAVTGNELSNTVSDETDTEAGDGGDKGNEENPKKFGVVTEEDSESDKDVAEKISVMIEEWLKTRPPPPPPPVEQSADISSKQKNGESDVDMTKTDSEDKNDVGSDKRAVNETEKLETASPDRRKDRDRDKERRDREKEQERHERERERERVRRDRDKDTRYKEVERLYKERLKEWEYRERDKELQRQHEKEREKDRERERKREIVKQEDESDEEDSRKRRRRSSGTLEDRKRRRLREKEEDLADKLREEEEIAEARRRAVELQQQADEAAAAAAAVAQSSTVMEVDGDDGKERDAQDIPIVVDDGKESDAFANGDDAGVNGKDNNGDEKNMIPGEILDTKHNSNAPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNDDKRARPLVPIDYSTEELQAVQSNSSADQPNIVAAAEFAKRILVAKDEKPDVEKDRGRRSSDRMSQRDRDRNDEDGGRIGDQRREKTHDRERDKKDKSKSENKSILDAKQLIDMIPRTKEELFAYEINWAIYDKHELHERMRPWIAKKIIEFLGEEESTLVDYIVSCTKDHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVETGLSGRAKA >OB08G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11704236:11704391:-1 gene:OB08G22350 transcript:OB08G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVKSGRFGGNMKDVPSGVVRRCCCLGVGFVRCGIRSLDNDDRVFLCYSG >OB08G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11714437:11714688:1 gene:OB08G22360 transcript:OB08G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGQLGRFVDGIKSKLRGGGGRKRGSKKEEAAAAAVTYDKMDKTESMRVEMRSRQAQKLIAKNLVAADSVGRRNKRFFLAF >OB08G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11726842:11730246:1 gene:OB08G22370 transcript:OB08G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAFLARPLRPGPRHQLYVRAGWAWAEASVTAGGRLRLSRCSASLSVGAAGGGHGDEHAPLFSRQQAWDPYKILGVDHDASEEEIRSARNFLLKQYAGHEETEEAIEGAYEKIIMKSYSHRKKSKINLKSKIKKQVEESPSWFKAMLVFFEVPSAEIISRRLALFAFIAGWSIVTSAETGPTFQLALSIVSCIYFLNEKMKNLSRASATGFGVFVGGWIMGSLLAPMVPASAVPHTWSIELLSSLVAYVFLFLGCTFLK >OB08G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11734472:11735984:-1 gene:OB08G22380 transcript:OB08G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELFALPAEAKQRNVSRVGPFRGYITNTPGMNWESIRVTDPADASRVREFADLLWPDGNAAFCETMVSVGKKMTELGWTLERMILEGLGVPEGRIASHLAMHEDGLRLSRYGPPPDASTAVSMPVHRDDTVTTIVVQHEVEGLEVQLPGDGTSWYTVPPEPDTATFIAGELFTVVTNGRVPACVHRVRTPSSRERYSVLFGCRYRDGTVLSAMEELVDGEHPLAYKPCKEEDYIAFRHSEEGHKFSHPLEAFCGVEAAQ >OB08G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11753159:11754593:-1 gene:OB08G22390 transcript:OB08G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPKVDLREVEPGTPGGYISNIPGMDWESLRVGDAADAARVREFADLLWPEGNPEFCDTILSFAKKMRELEGTVVRMTLEGLGVGDEHIASHLDALSHGVRLSRYGPPPDASTAVSMQAHRDDSMTTIIVQHEVEGLEVQASDGSWHPIPPEPDTIALVAGELFTVVTNGRVPPCLHRVRTPSSRERYSVLFGCRYRDGTVLSAMEELVDGDHPAAYRPCKGEDYSLFRYSEEGRKFSDPLKAFCGVEAATAN >OB08G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11785878:11786039:1 gene:OB08G22400 transcript:OB08G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVAASERKKKAKGKGGKKAQSSSSSALLLSQVSTAVDSFELLAAPSLPVCT >OB08G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11786063:11789777:1 gene:OB08G22410 transcript:OB08G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIILAGRYSNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIQRRDNGARRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >OB08G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11805833:11807051:1 gene:OB08G22420 transcript:OB08G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAVVIQHPGGKVERLYWPATAADVMRSNPGHYVALVLLRVSSSSSSSSSGGGGGKVEHSAAAVVDESGGAAKITRIKLLKPKETLLLGQVYRLVTSQEVTKALQARRQEKMRRCKEVTDPHPHRQPQTGDSATAAAAAASEEQRRPSDHEDRTPAEKDRHRSNAAAAGRGRNWRPSLQSISESAS >OB08G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11818642:11824573:-1 gene:OB08G22430 transcript:OB08G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVAIDDDDDDVAAYLLCTEDTSGPLIQFQVQGTVATSTMPTASSAAAPPAAGSCAGGAYGVAGEEEEESMAELIGGEAEHSHSQREDYPGSLKSGLPVDLAARADSVDWILKVRELYGLLPVTAYLAVSYMDRFLSLHRLPVNNGWAMQLLAVTCLSLAAKMEETLVPSILCLQIQGTRYIFEPRTIFRMELLVLDALDWRLRSITPFTFMYLFAYKVDSTGKHIRELVRQATQVTLAAMHDTEFLDHCPSSIAAAAVLCASTEIMQLISIDHGTVVSWRIVGLDEDAIIRCYQQMQQLMMIGNNVQRGSAETTMAATATATAVSSGEAVSSTSSSSPPSKRRKMSPGTHGRI >OB08G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11827633:11832455:1 gene:OB08G22440 transcript:OB08G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:J3MT14] MASRFWGQGDSDSEEEEQDIESESGSESEDEGGDAGGRSGNQNRYLRSTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLVHECREHPERFEDDDLEDKDVDDDTDDLDSDADIEDPEKIAMSESEEEGDDEEEGDQDGGAWEKKLSKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLECVGNFKAEAKVALRRVELVYYKPQGVYDAMRKLAEQDEESREDEDAEADEEHQGTDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFYVASDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLSAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRFMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDYQKAFDVINSLEIWKLLRNREHVLEILKLKIKEAALRTYLFSYSSCYESLSLGQLTTMFDLSEQQAHSTVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTLEGAPPRRRGDAQDSSNMGRWQENFLSSQGRQGGGRSGYAGRGGGSGRGGGYQRDRGSQGSRGGYGGGSRFQDGRSRMQSGSMARGGDAGARMVSLNRSGRG >OB08G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11843835:11844104:1 gene:OB08G22450 transcript:OB08G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVAHAEALNAAARFPLGDEDLAYTLCEMLPENVGGPASARSGGAGGGTSMHDIWHVARFMEARRVCREDMELHDRCWNCGQPGHHSGNC >OB08G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11845861:11851129:-1 gene:OB08G22460 transcript:OB08G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDATGGGGGGGFQELFDSVRRSISFRPGSSAAALDEPASASASGASGAGAGAGGGASGLGFRGRISTCLRKSRGMGLLGMASISKSPSPPRRLLPPPPPSQSLSPQPAARVSEGRDGGSGVGGEEENPPIRFRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSSNAAREKAQGHVRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAVIRKYTKQILHGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLLHPFVTGGLEDLHQVNHAMNKETSELPLYDVPTGDLGLNHSGNLCTLNSYKSSDTRPIWDGHSEDDMCQFADNDVVMVGSSFNPMSEPFDDWESPEQKSSQPREFGGLAKHVESNMSENDFTFPCEGGCEEDDEVTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSQRVSDTCQVNNITNSQLPPRGRSPPVKMEGIAAVEPNCDILQSASPKSCTRRFSRSGVENGRILREIASPQLNKFEDKVHDIQDHPSISFSERQKKWKEELDQELEIGRVMRLSSFGKAPSPKSRGLTRKRDRHPVY >OB08G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11856121:11856618:1 gene:OB08G22470 transcript:OB08G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLSDTQWNKLSVQSADTHIYASYSNNSQSVSSKFNQPSKVTNHQAIMQKMTREHSMIEHSDEQITKKEFFFLAPEHSFNRCILIASDDHEHHSVGTGQEEQQPSSRRAGGGPAPMTRRCRRRHCPRCSCSPAGRRRCSHLGPALRAVPSVPSPCARRRGLARR >OB08G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11856359:11856685:-1 gene:OB08G22480 transcript:OB08G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNPRFRGLARAAGADRPLTLIAERAPAGEHKEMARKALRVVLGLGDYNDGDLPGCMNSEGNAAAGIAASSAPVHRRRAASWAAAPPAPSPPSDARDHHWRSVCID >OB08G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11873443:11878610:1 gene:OB08G22490 transcript:OB08G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGTKRPSHAYAGSAKYEPKAESKRQRR >OB08G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11879325:11881939:1 gene:OB08G22500 transcript:OB08G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARALGEAGPAPSRTQMIMDKISGEEVGCAGGAYSYSALKRLDQIWSSICEAQADSKVPEVVTRVQGPLVDYDFGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISREELMGIVEVGILSEEEIEQIISSEFNPNRCGFESKGEIWLENILNLGISPAKVVEIMKERFVSSGGAVFEGKSLSSIYVHDDLAVLNLSDGGSLPCRLVIDAMGNFSPIVQQVLLIFN >OB08G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11892396:11902170:1 gene:OB08G22510 transcript:OB08G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVLLPFAPAAAAPAPRPRALRRRAGGGVEARGDARGRGRGRGLAMRARAAGEAGPAPSRTQMIMDKISSGEEVGGAGGAHSYSALKRLDQIWSSICEAQADSKVPEVVTRVQGPLVDYDCGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMEIVEVGILSEEEIEQIILSEFNPNRCGFESKGEIWLENILNLGISPAKVVEIMKERFVSSGGAVFEGKSLSSIYVHDDLAVLNLSDGGCLPCRLVIDAMGNFSPIVRQIRSGRKPDGVCLVVGACARGFDRNTTGDIIFSSSSIKKSGKSGVQLFWEAFPAGSGPTDRTTYMFTVVDPQFGGPKLEELLETFWNLMPVYQDVVLENLDIRRVIFGIFPTYRDSPLPAAFDRVLQVGDASGIQSPVSFGGFGSLTRHLGRLSTGIYEAVSGDLLDARSLQQLNPYMPNLSASWLFQRAMSARPQINVAPTFINDLLFANFQSMQKLGDSVLRPFLQDVIQFDPLVKTLGLVMITRPQILPSIFNQAGLGAILDWSGHFVMLGYYTFLSTFIDPVLRPWVESLPLKNKYQWKRYLEAWKYGAGLDYRQEE >OB08G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11906353:11913299:1 gene:OB08G22520 transcript:OB08G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARALGEAGPAPSRTQMIMDKISSGEEVGGAGGAYSYSALKRLDQIWSNICEAQADSKVPEVVTRVQGPLVDYDFGDGSDIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMEIAEVGILSEEEIEQIISSEFNPKRCGFESKGEIWLENVLNLGISPAKVVEIMKERFVSSGGAVFEGKSLSSIYVHDDLAVLNLSDGGSLPCRLVIDAMGNFSPIVRQIRSGRKPDGVCLVVGACARGFDRNTTGDIIFSSSSIKKVGKSGVQLFWEAFPAGSGPTDRTTYMFTYVDPRFGGPKLEELLETYWNLMPVYQDVVLENLDIRRVIFGIFTAYRDSPLPAAFDRVLQVGDASGIHSPVSFGGFGSLTRHLGRVSTGIYEAVSGDFLDARSLQLLNPYMPNLSASWLFHRAMSARPQINVAPTFINDLLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVIITRPQILPSIFNQAGLGAILDWSGHFVMLGYYTFLSTFIDPVLRPWVESLPLKNKYQWKRYLEAWKYGAGLDYRQEE >OB08G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11913872:11915464:-1 gene:OB08G22530 transcript:OB08G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRRPDYDGSSSRPSMDFAMTFLCTLVLELVFYPKLSLPGFLICGLLLWIASRELATAGYVELGSADLSESVYDAVMGPIRHILSERKSRKIAAFLLINTAYMFVEFTSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLAVSIGGLIVNVIGLIFFHEEHHHAHGGSCSHSHSHSHSHSHSHSHSHTQAHLHGHEDHHNQDHVHQGVNHNGACCEHHGDANKSHHHDHNHDGNNEESHHSSLKENSCNEKHSHCHGHEHHHHDHSEHHEQSGVHAHQDCSNINSDPAILEIPLNSIHSHCSESHSCNGGLQSSENHNKSRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSHEKDIKEALDDVMKIKGVIGVHNFHVWNLTNTDIVGSFHLHITAEAEKSFIRERASHIFREAGIQDLTIQIECVKR >OB08G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11924524:11926112:-1 gene:OB08G22540 transcript:OB08G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVDVDSVRVDGTTACIVTIWPKSCEKIHVEAALDNVGPASMMASWIDESRLGRCCGIDNDDENDECSLWSTILAAPLPVVGGIPSTRVTSVVAAVVAATSTVASLPLRAFPNIRMRTISRNFKDFDVVIDIREIISLCAYMLRQYIIRVTNQEGKEEVVTGGVDPNVAKGRDTVYYRYMGSFTTPPCTEGAIWTVVRKVHTVSLSQLALLKEPVLAGYENNARPLQDVNNREIDLFVPLPLINT >OB08G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11950876:11952945:1 gene:OB08G22550 transcript:OB08G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLLLLLAAAAVALLQYPAAGAGEDEYGYVPGTPTGPENWGRLKPEWATCSTGRMQSPINLGLLDLVTLAPRLGYLNYTYQNANASVVNRGHDIMVRFDGDAGSLLINGSTYHLRQLHWHTPSEHTIDGRRYDMELHMVHLNAQNQAAVIGILYVLGNPDSLLEELRQYIIRVTNQKDKEVVVTGGVDPNVAKGQDTVYYRYMGSFTTPPCTEGVIWTIVRKVHTVSLSQLALLKAAVLAGYENNARPLQDVNNREIDLFVPLPLINT >OB08G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11954470:11957217:1 gene:OB08G22560 transcript:OB08G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRLLFLLAAANAVALVLLPAAGAEEDEFGYIPGTPRGPENWGSLKPEWATCGGGRMQSPINLRLPDLTLAPRLGYLNYTYHQNSNASVVNRGHDIQVRFDGDAGGLVINGTAYRLRQLHWHTPSEHAVDGRRYDMELHMVHVDARSQAAVVGVLYTVGSRDEFLHKLEPYIIEIANQKGKEKMVNGGVDPNVAKGQDTVYYRYMGSLTTPPCTEGVIWTVVSKVHTVSLSQLALLKEAVVDGNENNTRPLQEVNRRSIALFLPLTLLNT >OB08G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11955975:11956598:-1 gene:OB08G22570 transcript:OB08G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHMVHVDARSQAAVVGVLYTVGSRDEFLHKVSMLEPYIIEIANQKGKEKMVNGGVDPNVAKGQDTVYYRYMGSLTTPPCTEGVIWTVVSKVCIYMLLQSTS >OB08G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11959006:11962947:-1 gene:OB08G22580 transcript:OB08G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methylcrotonyl-CoA carboxylase [Source:Projected from Arabidopsis thaliana (AT4G34030) TAIR;Acc:AT4G34030] MFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLTLGRNIVKNLHLAAKVPNVQNSSCDYQEPLYDAQELRSIAPADMKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPIGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGLQAAGVLAQIEKNNKKRQGVEWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >OB08G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11974064:11979886:1 gene:OB08G22590 transcript:OB08G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAGCFEYFADLAESLDKRQNAPVSLPMETFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNVVTGLGPEAGAPLSSHPGVDKVAFTGSYETGKKIMAAAAPTVKPVSLELGGKSPIVVFDDVDIEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFVSTAKSQGAAILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEDEAIELANDTRYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLTVKQVTEYASDEPWGWYTSPSKL >OB08G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11980924:11981109:-1 gene:OB08G22600 transcript:OB08G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWERLGMQADSCWERPQYLKVSRCWKRRRWKPLRGSPHKFSKKKIVIRREGAIMQLCKI >OB08G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11982650:11982895:-1 gene:OB08G22610 transcript:OB08G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSCHLGVLYGLHQVIVSYMIISSDTSRSGTHVGTQQVNQWSQSQEQEHFKKGMHSYFLDFIFCFLDIITFDIAYSIEW >OB08G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11983550:11986273:1 gene:OB08G22620 transcript:OB08G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPSPQKKIIEVLQKEQDILWRILWENIDKVKELTDSTSADLRGPEIESMPKTAKIWLQQARQINHDIEDILEKSPSKTCNSKGSNILSCIAQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIDFPKAKVTQLLLDEEKQLRVISIIGGAGVGKTTLARSVYNDKKVQGRFRCHAWVTIGAPISMVDLLKSIMVQIFVEKLEEIPTSLDFMDEIQIAEVIGRYLADKKFLVVLDDIWNSDMWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEQKPLNEDDAWLLFCNKAFPAIQARCPTELKDTGRKIVRECHGVPLLVVTIGGLMSMKERTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCFLYFIMIPRKYSIKRMTLIRLWMAEGFIKNDQEGTLEDTAGRYLTELIDRSMVQVTDFYDYGRVKSCSVHDMLREIIILKSSEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTRSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYMSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVILPQGIGNLKELQKLTYVEANQGNGTIKELGSLTQLRRLGIVKLRENDCMHLCSSVAKMTELLSLSASSLDDEILDLRSLNPAPRCLKRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNEESLKELQGLPLVELALIQAYDGAKLEFTQGFAKLEILELDHLTNLEHINIEKSMPGLQKISIRSCDKLLTIPDGIEGLENLKELYLFAMPRTFVDSLMKGGVNHWRVEHIPVIRHFNEHRDISLTNL >OB08G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11983576:11983833:-1 gene:OB08G22630 transcript:OB08G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRMLDPFELQVFEGDFSRMSSMSWLICLACCNHILAVFGMLSISGPRRSALVESVSSFTLSMFSHRILQRMSCSFWSTSMIFF >OB08G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11988077:11988235:-1 gene:OB08G22640 transcript:OB08G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPSNFFCIVNDYARKQDVFPQTAVNFRLYHEFQVSHVYSINMISMRKTL >OB08G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11989814:11993050:1 gene:OB08G22650 transcript:OB08G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLGRGAAATVVKPAFDKVQYWVELLQGKHTSTEEMANELDILEGLIEDTDSSSAIHTLKAARGQAEDLCASIRDVIDDAKRFARYNHQHVPLRCIRKYTHNIVGKPSICETAERIVKLRSQVRRLQEILTPFVGQGMVPSSAQAGRLQHRHVAHAEGHWEGMEEPKTSLFQYVLGGESHRQVVALVGMPGVGKTSLARYVYEDNKVKGHFSCHAWMTVEESCAPKQLLLGIISRLYEEANVRLPDAINIMDEDELSGMVQRFLKQEERRYVIVFDDISRRGQLKLLLDLALPDKNHPNYGRVIVTSRNKDVIEACDHTITVTQLTSPDDWNLFCYKAFGSSRFSPREEICQHRERISKLCAGLPLAIDVLSALLAKKDHSQWSGIISELESHGDLGAATEILETSINELPNNMGHKNCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKRQPRQTRQAVAEKYLSDLVDLHILMVEDSYKYGRPKNYKVHDLMHQVIQKKAENEDFCTSSSGGNQQAPERVRRMSIQIEDDDFRQNVSISKLQTLFISNKIPHAPKLLSSTTALKVLSMEGSLIEEFPKEIGNLTHLRYLNLRNTKIYYLPMSLGNLTNLETLNLKGTLVSELPKTILKILSLHHLLAYRYDAPKKPERQPEAIFGVRVPKGIGQLKELRTFSVVMADQESKIVKELINLKKLRRLGILNLRREEGSDLCEAIARMDQLSSISITAMDDEYLDIHNLPVVPPQLQRLYLRGQLQVVPQWFTSLHCLVRLLLSGSSLNEDSINILQSLPQLAELSLINALNVDRIECQTDGFRNLKILDLDHLNGLVNVTLHGSMVNLRKMIIRNCRSLQMVPLGTEQLIRLEELHFFDMPKKFLERLRNGNEDHARVQKIRNILYYSKGFPHRSIEAAMRGGA >OB08G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:11997531:12000247:1 gene:OB08G22660 transcript:OB08G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYVFATPYRIGWDYYLTAQEHAFEIKAWEEEGEMEYVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTKWGQDANLAFLQKHMGASFEKRTQPWSSNIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAIVPWDEWWGMALKDDSNPQVAFLPLHPDVRARFNESAAWEFARSMYGKPYGYHNMIFSWIDTMSDNFPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIITETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQVTEFTIRDAYMLKIFEDNHTRLPSWCNHEADRLPFCQILGEYKMDLPEYNTIEPYANMNENCPSSPPTYTRPLGC >OB08G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12002189:12004204:-1 gene:OB08G22670 transcript:OB08G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVFLESPEAMPANVDSMDEMQLADTVGRYLGDKAYLVVLDDVWSSEVWDYLGVALPDSGMGSRIIVSTRVIDIGRQCRWASRVETLVHTPLDKGSSLQLFLRKASRWVGCTPDPELKEIAAEIVRECRGLPLLLVAMGGLMSTRPQTAEAWQDVLDKLRRTRDLELALPAVLWLAYNDLPSRLKACFLYFILFPRTYCAKRTALVRLWVAGGFVQKEYGRTLEDTAEAYLHELIRRNLVQVTDYYDYGKVRSCGVHDMLREIIIHKCEEDNFGASVTRDAGKVRADVRRLSTVDTKRDDFLRDVSAGHVRTLFMLGASAASTSSLLAIIPEYKLLRVLDLEGAPVDRLPEELPDGSCLRYLSLRNTRISKLPKSLRKLTHLQTLDLKGTYVSHLPAGITRMDSIRHLLAYRYYSGQHPPYYYALGVTLPRGIGQLRDLQKLTYVEATRDKGTLVELARLTQLKRLGIVKLRSGDGGTLCASVAKMTELLSLSASSSDITEPLNLASLDPAPRKLERLYLRGPLPELPRWVLSLRNLQRIRLRWSSLKGDAIVHLQCLPVIELALIQAYDGVTLHFTEGFSNLQILEIDHLTNLELIRVEGSAMPMIHKMSLRSCRSLTTVPEGIQRLKHLKEIHLFAMPDAMVASLKEGGMASHVPVIRVYNQHRDISQ >OB08G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12005021:12005512:1 gene:OB08G22680 transcript:OB08G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASTSSTERGSSCRSSILYLVAFAGDSLSSRLASSDSRSLMASMRELRRLTIRRRSKKSLIGEPPPELDLSNMSSTSCLISATCCAHVLAFAMSGSSLSTVLIDLSGSKALSMLFHDMRRRMSCSLASKAISLFFLWGCCTASSAMLPPPPFPPPAQHAGNA >OB08G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12018223:12021066:1 gene:OB08G22690 transcript:OB08G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVARGAVSGLIKPVLNTFLYWIQLVRGKHTTTEDIKKEAAILEGAIWDTYNWNGIRLRRVREAKGQAEDLHDRISAILDDAQRLSRYDHSSNPLRFILRYGHNIWHTRSLWKTANKIVKLRGQITPLLNELRIAMTIDGGPAARDGILGNNVASVHHKADFVGMARPLKYLFKYLMNGVNRREVVIVVGENGAGKSTLVRHVYEDIRVKEHFNCHAWVPIDASFNAKDILRCIIRRLYEEANKSTTALDELDVDMLGSRIRRFLEKAHQRYVIVLDDISTRPQLQTILDLAIPDENCNNFGRIIVTSRNVDVAEACTHAHKIDVEQLSETEVWELFCKKASPSMDIPLVTRRRIITLCAGLPLATVLLGGLLSKIACNQWNLVISELQQHGYQIMLGESINDVSAMPQIDINVERCLMYFSIFPKGSEITHNTLVRLWIAEGFIHVRGGMTQEATATSYLSALIERNIVQVAEHYHNGMPKSYNLNGPIHDEIKRMAEEVNFCATLQTLSNSPDKIRGLSGQVTVRELPENVRLPNLLSLFISDRTSHVCKLLHNAKSLKVLSLTEESVQAFPKEISKLTHLRYLNLGNNTRISKLPKSIGVLINLQSLILKGTLVSRLPKAISKLRQLQHLVAYRYDVEKRPDRKPYIIHGVEVQNGIGKLKELKTLSVINVDKDRSTIKELQKLTNLKRLGIVNLKGNDGPDLCTAVAGMNQLSSISLGSSDNEPINLQNLTLPNLERLYLRGRLNVSQNFFPSLESLVRLRLIGSGLESNSFHQLQQLPNLAELALIQALETEEINLLQDGFPNLKILDLDQLNNLVNMTVHGSLKNLCKLIIRNCNRLESVPLGIECLKKLKELHLFDMPECFLQKLEKGNEHYKSIQHIGVIRYYREGCPQVRTNSNTDSTRL >OB08G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12034777:12047624:1 gene:OB08G22700 transcript:OB08G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELANATRQATAVLADDDPSDPSAQRRGGSSFLTVVALGNTGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSTKSIMLQIDSKSQQVSASSLRHSLQDRLSKAGSSGKGRSDEINMKLCTSNAPQLKLIDLPGIDHRSMDESTVGNYARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVLSKVDQVAGDQKALGAVQALLVNQGPRTAADIQWIATIGNSVPVASVQPGVGSETSPETSWQAEVESLISILVGSPQSKLGREALVDSLAKQIRERIKVRLPNILNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGAGWKVVASFEGKFPTRIRQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRYLIRGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIASTALDSFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRQEDELKNRSTKKSSDADPSKATSPQAQTKEGSNLQVVGPAGEITAGFLLKKRAKANDWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNLEEILDEEDSLRSSKDSKRANVPDFGTPGLVFKITHKVAYKNVLKAHKAIILKAESMTDKTEWVTKIKSITEQKGTSAKSGLPMRQSHSDGSLLSTSKKDGSLDTMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANVPKLWFFAKLRRQRKTCSISYILR >OB08G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12049990:12052740:1 gene:OB08G22710 transcript:OB08G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT4G04330) TAIR;Acc:AT4G04330] MASPRCAALLHPAGARRGLLLDHEQPLWGTETRRHRHQRRRRLMSGLETAAPPRCHKMYVPGFGEGSPEKKAAMNLQHFFNYIAVRVVLTQLESYNREAYGELMEFVNRNSLNDADTFCKKLIRESPRHKQLAMRILEVRSAYVKNDFEWDNLKRLSFKMVDEANTRLMRDYVLETSHIEDEN >OB08G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12054373:12056015:-1 gene:OB08G22720 transcript:OB08G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGITTAMLVCAAVVVVLLLLLLLPPTMAAGGGVGGGKGFDYRKALQSGLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAIEFAAEIAAAGERRHVLEAIKWGIDYLVKAHTAADELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRHNPGSDVAGETAAALAAASIVFRRSNPHYSHLLLHHAEQLFDFGDKYRGKYDSSIGEPAAMADEFGGTGWAITEFSWDVKYAGLQILAAKVLMDGKYPEQHRATLEQYRSKAEHYLCACLGKNAAAGDNVNRTAGGLLFVRQWNNMQYVTNAAFLLTGYDDWFRRGGANPNVVVGAIVGGPDHRDRFVDRRDNYMQTEACTYNTAPMVGVFAHLHSESDDRHCRK >OB08G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12069462:12071156:-1 gene:OB08G22730 transcript:OB08G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGTWNVAGVAPPDDLDLEDWLDAKANSYDIYVVGLQEIVPLNARNVLGPTKSSAAMKWNSLIGDALNMSTGRRRDGEEEAQAQRLHGQQRFRFVVSEQMVGIFVSVWARSGLRRHVRHAAASCVGAGVLGRLGNKGAVTVRFLLHGTSFCFVCCHLASGGKDGDAQLRNADAADILARTTFRRPATAPPAEELPRKILDHDRVVLLGDLNYRIAMDDGEARLLVQAKKWSMLLENDELLPELSDGGCFDGWREGLVTFSPTYKYHLNSDAFCWRVDGGDKQQPRRAPAWCDRILWRGKGLRQVRYDRCGGYRISDHRPVRAVFHAVCEVAGGDVQRSTPALA >OB08G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12071929:12072156:1 gene:OB08G22740 transcript:OB08G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKTSRFRFQSWSRKKSLAIGVIFSIRLGSELQQVNEYRSGGQEQCILESSDGNVNTAMCATCFKVWLGKQHRELL >OB08G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12078318:12081737:1 gene:OB08G22750 transcript:OB08G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:J3MT45] MASLSVPPVPTDPRRDAVDLHRAFKGLGCDSTTVIAILAHRDASQRALIQHHYMAIYHQDLLHRLASELSGNHKKAVLLWVLDPATRDAAILHQALNGDVTDMRAATEVVCSRTPSQMRVVRQAYLSRFGGHLEQHVAARASGDHQRLLLAYLRCPRYEGPEADPAAGARDARELYRAGERRLGTDERTFIRIFSERSAAHMASVAAAYHHMYDRSLEQAVKSETSGNFGFGLLTIIRCAESPAKYFAKVLHKAMKGLGTNDTALMRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHAETSGNYRTFLLSLVGRDR >OB08G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12079072:12084147:-1 gene:OB08G22760 transcript:OB08G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAAGKIANGEEAPGGRSVEVSSREEDTLPGVLRSFVEGVCGGGGEPLLRRIRAASCEAAPRLREASRNSARDLVEWTRRGSGLRAILVISVGTITLIALTGLLVFMFFLLVATTNAIIVSVLMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMVATGWLGFFWMIWFAARKSMDLTKHSIGVTNSATQSYSASRHAKQKPVD >OB08G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12095722:12097936:-1 gene:OB08G22770 transcript:OB08G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNVGLTPPLEIEAMQPRVKCQVPPELKTLDKRVAMHRNLIDLIVDRPPPIHVLPERKRVREPSDDESAAKRLAVDLDAELEVAEALEDVGISSPMSTSPPSPLGRVRKYFHFRQGSRVSSDIDPTESSATAGGDTTEPPTRLHTTPDSTSHNTTDSNANCENETSSRGSDPGPHTDSISSAKPRGGYVGGDSSSSSPARGLGESKPDRILSHDPLSLEPSDCQSGGDGDGLSGDLGSGQGGRGEAGHRDPGTATSRDSQWDALQAEMCQSTQVAVVDLEARLKEAGAMQSMYPRVDVSAMIEGFAADYDSKKALALMDEA >OB08G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12118587:12122840:-1 gene:OB08G22780 transcript:OB08G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSGDDDLPAAGVRQATSSSAEADRRQSFADHRSSSEGAASHAGGGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVNSDTIRARSNSPRDLWLSSSVCNMDAQRLVTVEDIEPCRSNSLQVSSHELSCPSLEFTLGRPNWHSIDHD >OB08G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12156126:12180626:-1 gene:OB08G22790 transcript:OB08G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRGRRRGRAPAPTLSAYLPALRNRGGREGGRRGAGAEIRRARGRRGRGGARG >OB08G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12156130:12160789:1 gene:OB08G22800 transcript:OB08G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVEKNKDTESTWRCDALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFVTDYLSDFNSGKKLQFPTFRDCLKERGTVQMLTISREVALEVQSLTTILGSCLGNVLCQSLVLFENLLVSTTLPPDDTLNLYTYAVLRLTPRALSSNANSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYKGKDGFVATGSTTSEVRGAAPWVPILWFQQAEDRMHLCVYEHKNITILLLIPSSSLINGDDGIAHVKKHLLENASQNIVTVEQKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRVREEIDLEKSRAKRSGSCHDKDFEVCIRAKNNAWVIAKVSRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >OB08G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12163012:12170230:1 gene:OB08G22810 transcript:OB08G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRRSQRGRDADAAETPPPGARSGGGDAASGGTRRRRASSSAVKGKSPAKVEMESALGDKGKNVKVHTEGDDDAGMTRCSSEKNSLDKEDPEAIRGCDAADMDWEEGHILAEEHKESYELGETFTVEFTDVPSSTEKKTVRRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLWNSVETPILKANELRSLVSWFHRTFCVTPHSDDRGSFESNLAFALQNHVGTAEEVCALSVALFRALNLTARFVTNMDVAGLKPDTKSMETSNQDAPRLCTKALPSSSFVAGHNEHNNLSPVVSQSQDNTEDSIDTTPKKHKVQGCKKSLSKKLSKCKADHGISCASQSKDSSSSSQYPSTSNAEVPKRKGDWEFELQLEMALLASAAEVQDNELATHLNLSTDSILNSTPPFKKLNKSAEAPCNSSTVWSRSGAPLFWAEVFCGGQASSGKWVHVDVVNDIIDGEQKIEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKNVLAPLERLELAATNDTEDMELQTRALTEPLPTSQQAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQVRENELPAKIVTRPKRTFNSQSLQSNSNEDELKPTLELYGKWQLEPLQLPHAVNGIVPKNDRGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCHPVFDGIVVCSEFKNIILEAYAEEEEQRQAEERKQQEAQALIRWYQLLCSVVTRQRLKDSYKAPSSDHGPSGPSKDSSQQKSTNDTRSSETKTRASRLQEDRLLDSPFLAHEHEHEFPEEDQSFDEETFVRTKRCPCGFSIQVEEL >OB08G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12180452:12181146:1 gene:OB08G22820 transcript:OB08G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3MT52] MAGRGKAIGSAAAKKATSRSSKAGLQFAVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHNLLLPKKAGGSAKAAAGDED >OB08G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12185841:12186221:1 gene:OB08G22830 transcript:OB08G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLMLGNTMEEEMMEQGESRGVVRLKQEVLIHIQVRKIKQEDEKSRELLQRLQLLEMRPATAARXXXXXXXXXXXXXXXXXXXXXXLRRRERGPPPAAGGFREPARPPSPSPLRRAGQAISVGD >OB08G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12186147:12190819:-1 gene:OB08G22840 transcript:OB08G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYARRGGDRVSGGRIQGGGGGGGGGGRGGYVQRGRSGVPPRGPLGLGVNSRPSARTIAKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGTDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGGSSSRPISGPIVNRYNRGGFQAGRGRGRGRGRAPFQSQFQVRGRGRGQFQSRGRGRKQAGKTADELDKDLETYHAEAMKTD >OB08G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12195373:12199752:1 gene:OB08G22850 transcript:OB08G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAATAASTPPPPPPPPPAAAAGEPHGALLLSLASLPLRELLCCXXXXXXXXXXXXXXXXXXXXLSGRVTDEALLALAGRAEGTLRSLRLLGCGRVSDAGLLRVVERNPGITELYMPRCTGLTADGVVKIVQVLYERKGNLNRLRVHGISRMTTHHLDAINSVMCNSDPQQDEQSLFYSHRVHEVLNSNDERRIDVDVCPMCTNVRLVFDCTRDGCRKKDSWHQCRGCFFCIARCETCGGCIDLEELSETELACSDFLCMECWLALPKCSTCNRPYCKRHENLKVSVSPSGQFTCHRCEEFCTSPESPEENSYK >OB08G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12204679:12205686:1 gene:OB08G22860 transcript:OB08G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLSNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKIVVDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLPAPPPPHESLEKKKKKEEENTAAGVVEQQDDDDGSLAQLRESPGFCTDEVPMIHPDEIMVPLCTADGVSTPTPTTSSSSSSSSSTTTSCDEDVPLHPPILEWPDDAKWLMELDEVIAAAAPPSLLWDDDLPPLPLPSPAAGGGGGYEELDTLD >OB08G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12216989:12219829:-1 gene:OB08G22870 transcript:OB08G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGAPPPRVLFTEAVKMLQQKYCKRFCLLYLGQGLHSCTSEVKVPFSGSFKSLQPPSPNDRI >OB08G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12222927:12223115:-1 gene:OB08G22880 transcript:OB08G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQMKGTLLCTNFMFHIKGFLMAYLPCNLTLLQFPIISLIFQYGFWPFHRESCKPAKLVYF >OB08G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12225323:12225685:-1 gene:OB08G22890 transcript:OB08G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHESEQEGDADEEDQIDPLALQRARVGGRRLSGSICPEEIMCSDRLLLTCCGGEILISYRAYGFLSTLLALHLMG >OB08G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12229113:12229648:1 gene:OB08G22900 transcript:OB08G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAGRSVDPSFCVRPSESGEAMRYGHSIVVEMLEMEDEWKKSHELSDLYKFRELQLEMSMGKSLLGIGTSDPYYQQNSVLSKSPYPSWTHGATATIMATNAQLLGGDVDGWKSDGWVEAYA >OB08G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12231273:12234665:-1 gene:OB08G22910 transcript:OB08G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGRREMVKEEAGRPEHPDYLGGGGGAASAAMQWQFPAKVGAASSAFMSFRSAAREEDSKEAVFDRFSLSGFRPPPRRPSPGDASPVIAHQVRVAPPPVIPLPPRQFGFDGQVSRQQYAAAAHGHREQGVDSYGVAAAHRHPVPFGQANPMLRVQSLPNVAGGSPYKNQPFTVGSPVAGSTVGVYGGTRDLQNPKSRQMTIFYDGAVNVFDNVPVEKAQELVLLASRASILSPPSVARKSDSPIPATAKLAVPEVLPARQIIVQKPEASVPHVSVVSNPVTIVSQAVTLPKSSSSSNDSAGPKSGGLPLAVTPLSQAAPSQPTQVANTNASAIMPRAVPQARKASLARFLEKRKERVSSVVPYPSSKSPLESSDTMGSPSTPSKSSCMDITPSTNNCEESLCLGQPRNISFSSQEPPSTKLQI >OB08G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12268284:12268496:-1 gene:OB08G22920 transcript:OB08G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGFLTVLLRSVLILWSGVDSEWSVGTWLFCRLAACLVYYSRVVHCSTTVVLLLLSMFELQISLSLFH >OB08G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12287638:12295049:-1 gene:OB08G22930 transcript:OB08G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGFSVLGAVHKFRSLIAGPTPSTATADEDAIRRTSGPPSPATPTRSASPVDMPPLPARSGGRRAIALRRQISSPQLLRCHAVRRGDGEDDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGIIRSLTPSPLESPTWMVGQNDASPTSKRDERLSLDSLGCDTKPYGGVTDRSQGEMTRSPVDFDANIWLPPPPEDEGDDAESRLFGFDDEDDEAGDSGKLLGLGSFSTNKIAGVQTITDIAHKEGLRNAVLGHFRALVAQLLKGECVDLENGNRSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMISEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNIKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVQKVPEFLSGKLTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPRIPSRPMIVVTDVQSDPSHDFAGSAGVGIPYELKPVQGKYSETTAVNDMFEEKSASPRSLSLNEEGQGVISEHRESKIHVDHMNCNDHYSSHATDSCKGHKIFPCSLDHDSRTSDIVMQYQYVNESRQLPITNDACQGMVSGKKYQEVDHYGPKPHDDYLTGDADGPNKLSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHIRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLGFNCHSQQDWMRRMAHEIYEKMESLHSEVYGFLHRNESTITSEDEPVKTGVQRQIIEMKDLLKMERNGYEILLLPVITDNSHSVQISIDILELNRLRRCLLLDAYIWDQRLCYIDSLLKKDGHDSNPSNPDNFLDVRLKEWKTDLLEGDTKIGKSTNLSQSSGSPRKSLLSREGCLNDTEYRMGETNSQIDFVYHSIDDSEDLDKVFSRFNGEKEQSITKATTGMEPAERLPSLASIISDKIDLAWTGSSELYDFPQDCTKIDEHGSFSFPDNLSCGNAATPVRIHSFDSKLVLRQRERNGLAPTSLHLSSFRSAEYFGDLSSIMKDPLPNIRRACSQRSPGAVEKLNVVLTRTLTYVSPTSHMIDDGARLLLPQIGYEDDAVIAVYDDEPTSIVSYAMTSQEYVQQVTRKPNSSLSFLHLPNTIDSSHGLDGAMLSQEDHLDSKGTHFKFSFDDESPLSEDKAKFSVICYFAKHFAALRKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPQYFRYLMESLTSGSPTCLAKIVGLYQVNIKGLKGGREVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSSESKVLLDSNLVETLHTKPLFLGSKAKRRLERAIWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTIISPMQYKKRFRKAMSKYFLTVPDQWST >OB08G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12317072:12320312:-1 gene:OB08G22940 transcript:OB08G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCVARDHSSAPATPVLRPRPMPMPMPLPALVSSDKKKLAEKKQKKARFVTDVPDEIIGF >OB08G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12325278:12325466:-1 gene:OB08G22950 transcript:OB08G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGRKRTARVMTVDGATYKYRPPAVAGAALRDHPGYQLLESEEVRRLGMRARPLDADAP >OB08G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12356129:12358583:-1 gene:OB08G22960 transcript:OB08G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVLLLALAVALLLARAAWVTASCYYLTPARIRRILAGQGVRGPPPRLPVGDPRPGPALAARSPAGDMGSLSHDIVGRLLPHYVLWSKTYGKLFVYFYASEPRLCLTDTDLIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGARWSHQRHVVAPAFMADRLKGRVGHMVECTRQTVRALREAVARSGNEVEIGAHMTRLAGDVIARTEFDTSYDTGKRIFRLIEELQRLTARSSRHLWVPGSQYFPSKYRREIKRLNGELEQLLKESIDRSREIADEGRTPSTSPCGGRGLLGMLLAEMERKKEAERRAGGGNGAGGLGYDAQMMIDECKTFFFAGHETSALLLTWAIMLLATHPAWQEKARSEAYAMVEAKVALAMLLSSFRFAISDEYRHAPVNVLTLRPRHGVPVRLLPLRP >OB08G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12364626:12364898:-1 gene:OB08G22970 transcript:OB08G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTNQIYLCPDKRFSNPFCVPVRLHMIIHVFGIYEPALFILPSQAGSRLAKFSSVKLEPLFVLFLKFQSILFLADDSAYKCGWTDYFFS >OB08G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12369875:12375742:-1 gene:OB08G22980 transcript:OB08G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGAKEDYKGSIGSEGAVDVNQAPGFMIRVCTCTGRPSSLNSGVSMTCKGCGGESTTDRGGPSCNSKFNTMGLELPRPIDPEVRWKTINRRQRAARRARTSFSGQDKMKDEIMSFYACSNATNQQLAQDDAPVSESEKFGVSILGRRFSDPMESVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSSGGISSRGKHHKVKAPALDYIEETRGPFGADDFSGISILAAAACTSELDDYTLDAECSKSAHCVEERKPEHIAGSSELNVLSEIKEEMLNTLDASNCKSNQPLESSESAPDMKPVFSPTLNSENIVESSHAEKDCSVPYSALGSANKADDFSSASVTKSSGVTISINASHPDKSVGCLQDIVMETKHSNGTRDSRLHWDLNVAMEAWDTNCGGDDDHDTVGPEPVASAISSCSDAENVTNKLQACQAPFDSTIAGNIPDLSEDKTPAIDEPSDACTKGESDFAGDSSSQPLCSLSPQNVQILESRLLECNDSSAGTKDLPDHNDIGKVESHLGSDPDCSSLAPMTGCFALTANEEKLDVSHASALGCVGPSQMASTDGSVGINSIQMSELGSRVKPFTSTLVSEESTNLATVTVFNKSSTGLGWSNDKLGQASQQSISEFKNQDLLDVDSGTSKIDQSAHDKVEHGTDGTDVLSISKTAADVDDDLDLSDTHMNDNPGSSDRGMSHTHEKEGADAPISHNLTCTDSSNALTCHTTDDAHEAPLINSECIKPVTDIDSIADSQSAEQNYIGKVLSNNFTEHCHEAEAPQIIKDLAGTGNIGAEEDDSQYEDGELRESGGYWGDDAYEEVKHVNYQVLDCKSDAPGISPLPPGSMNTGNLVVDVNGTHSRNKDGDVSPAALKRSWSTNCIDDGSGMMCTGNAGEKALNVHLRVNGDSRIYEMNSGHVITGSAATANQSERVNDGLGDDISSLRMKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAAAGESLRHMELSNGDMQPREERPRSFDRTHRNELCRSDDGYGSASKAERTVDVRSQERGAASRHVQGNSRVEQWVENSNSSRPTRRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGSGVSRGPAHAREASPERRFGTIGNRSVRYGPDMDKDHANLNMSSAHCSLANRQRRFPPHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMASPSRQPGFGDRVMRYSPSSRDHTYSQHSSTWAGGRNCSTVDIPDHKKRYSRRSPPLRTTSRNDRFDVDSSGRPRSGELYRPTQGRLPYGFERGRGNRHDGNSDDQREYADGYETHSAKPYDRNGATKQFRNHTGDKLHPRISAPRSPEPQRRGSPRRFERGFERQLGVDLPRGTKEDNKNPFRYD >OB08G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12382304:12386126:1 gene:OB08G22990 transcript:OB08G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIPREYYDEPRGFRDGPPPPLARPRPISPRRLEEQLSSRRADTRRIRDDNQRLADEIVGLRQAMPRLKGDLDAASQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDGLRQELIRMRGALEYEKKARPELTAQVQAMEKDLVAMAQEAEKLRAEIEKRKAPSFSGHGAYGPPMATPGMGLQGVYDGSYPSIGSRYGTGPWTPHDPHGYPHI >OB08G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12388392:12390676:-1 gene:OB08G23000 transcript:OB08G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGADEVKEASKGDAGEGQ >OB08G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12391680:12392270:-1 gene:OB08G23010 transcript:OB08G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGKRVPFSFPSPRTASPSSLGGGLPPTARTEPRRNAAPPTDMWGPCAALPHMSFAVVWGPRTGGVGVPHTWRRPIGQRASPRRLRPAYKAPPHPTPHLRAASHSHRTLRRRRRRLLPPHQRRVGVPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRRTSGG >OB08G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12394987:12395376:-1 gene:OB08G23020 transcript:OB08G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQPMWLRKRTGRLRNQMLADSLKITQVHVQAMRSSEESNSDEDDEILSELKEKVCSLYLGEHTVLRSLNNLMIAVITAQWDAIENKSSVLVYGGGAIIAVWLSLIVVKALDSVPLVHIQLVLLLPH >OB08G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12399041:12403050:-1 gene:OB08G23030 transcript:OB08G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPFPSPPWRPLLPPSPRPCSHGVRGRTGSWGWAGTRRRTGPTTSPRSSRAASPPSSLAICADGRLFTWGWNQRGTLGHPPETKTESFPGPVEALAGVRIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLENVRMIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVQLLAGEDIIQVSCGGTHSVALTSDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPESSGGSAGQWQARYVACGGRHTLAIATWTEVDE >OB08G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12407462:12407875:1 gene:OB08G23040 transcript:OB08G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLEQLVVHAIHSFFSGHASLLGISAASVDKQIAKDTPHGQHHTGMDPACHLPSPFSCCFFLWDLWEGGSIQMNFNAPDLRFTAVARSPPPPPPAPVAIEMATCGLACVPIRDLSVTVRHGQFRLVTLTKEEEEK >OB08G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12429251:12433536:1 gene:OB08G23050 transcript:OB08G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFNENPYDDIDFTDVAASKDVSPISLGRNNSKEFTWLGPASLCQKQWKHYRSFCRRGITISVHNFVYILSEEKKRLIAQVEDLYQDTNSINMVMVRWFDKVDEVGVELPPDVGEREIFFSHGLQHLSVECIDGLAAVLSAQHFEKFLSRPRHSYWVPYICRRQIDDDGVKPFDVTQLQGYWSQEVLRTMFNAASSLKVRFKVTRGACSSDGVQKRKRDAFSDTDLQQCLPSAEFGSDSLKNGLEHKTQNQLYPGSHAEVLSQDSGIRGCWFRCLVLKMRGDKIKVRYEDLQDADETGHLEEWVLLTRIAKPDQLGIRISGRPMVRPYHVQHSKGPCSFDVGTVVDAWWNNGWWEGIVLQQGNDRRLQVYFPGEKRIADFCEDDLRNSLEWAGGKWNSLGERKDIIHLLPRTAVDEKGSSISKLVSRDSSPKQESDKGCDDKSVGNKIHNQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKKQSDTSSGSSSQGDLEESSPCGRFSVLNSVPDEEVCKSSGEPLFMRVSNLVMSR >OB08G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12435678:12436340:1 gene:OB08G23060 transcript:OB08G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKFYRMTVRMSIDCNGCYKRIRRVLLQMQEDLDSHLIDRKQQRVSVCGAFVPQDVAIKLRNKANRRVEILEIKEIDAGDGHRP >OB08G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12437289:12440492:1 gene:OB08G23070 transcript:OB08G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 (formin homology 2) family protein [Source:Projected from Arabidopsis thaliana (AT3G05470) TAIR;Acc:AT3G05470] MARTRPSSFYLQVPAICQNCWWNRCKEVAALFVPINGKVKVGTVSSWHQPWPGGFLGVSLKPAEVDGEERRNSPAAGLRPRQQMWPPHTTIFMSLNNRPAPPFVTSSESSSSALLLLLLGFRLIEQNMGMAMRCILVLFSVSPLLLLFNLEMLEDALHLANHDKELDVAAITPASFSFMSRFRIMLGMSHHHRSQGRRHKRSSEAPAPAPAPVPALQARSEAPAPLVRVPRKGMPSTHRSHIAPARSPVHRMEDGGHTKLPRSTIVALGVVGLCLVVLGATIVALSVGRSRKVKKVCTTAFKTFCHGSRDQRSPAATRKVSSHPSPDPFSLSSIVQYQENHPNLKQSSEPKSLSIQSTIPMGTELIASDPTVTSNNSHSGEVESFHSISCADFSVGSITEVPQQICDKTIMDPSRTFLERHDSPSDSSYQSVSPDCTSCLSPKDQTSSAFSHHNLSRTPCPEKPDKENAEVNCHKGVKINGISGSTEHHKDPMEEQTETITPSITKPSSKESSKSSTGGSRIDTFSSMGIPKSPPPPPPQKNPPSLKGQCNSQPPPPPPLPLQIQVGKDGSPLPRLKPLHWDKVRAAPARPMVWNDIRSSSFEFEFDEQMIKSLFAYNFQGSARDQEAMSKTVSTTKHVIEHHRLQNTTILLKTLNANTSQVCNSVIQGNGLSVQQLEALVKMKPTKEEEEKLLNYAGDINMLDPAENFVKVLLTIPMAFPRMEIMLYKENFDDEVSHIKMSFAMIEGACIELKSSKLFLRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGADGKTTLLHFVVQEMARSKGLKGSEKLNEIPSSCPDTPTEREEYSSMGTEFVSKLSNELGNVKKVASIDLDTLRNSISYLSCGLVQLKNLVENDLASDDNNNNFLQCMKSFLDYAENTMQELKDDESQVLLKVRELTEYYHGEVSKDESNLLQIFITVKDFLGLLDRVCREMRGTKHNQTLNLVLPLK >OB08G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12441085:12443704:-1 gene:OB08G23080 transcript:OB08G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:J3MT78] MATAPATLSLSSAALPARLRVGRAGPAKIREIFMPALSSTMTEGKIVSWTAAEGDRVSKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVQAALAKAQELSKGQPQQAPPPSDSAEPPPPPPPAAAPAAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVTGTGPFGRITPGDVEAAAGIQPKPKVAPAATAALSAPVIGAVPQAAVLPPVPGATVVPFTGMQAAVSKNMVESLSVPAFRVGYPIVTDKLDALYEKVKSKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLEDADKLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >OB08G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12443969:12444499:1 gene:OB08G23090 transcript:OB08G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPPFTKVVGNFSALDYLRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKHKL >OB08G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12463568:12463816:1 gene:OB08G23100 transcript:OB08G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALWSIITMMNWHMHINTTVNMNMTLYFTTVLKIIHMCFEFLVFCDLILQIGQEILNHGYMILYINLLLMQFGILTLVNPL >OB08G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12471379:12480233:-1 gene:OB08G23110 transcript:OB08G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKARELAILCDAEVGLVVFSGTGRLYDFASTSMKSIIERYNETKEDPHLTMNASSEAKLWQREATCLRQQLHNLQEYHRQLLGQQLSGLDVEDLQNLESKLEMSLRNIRVRKDNAMMDQIQELSRKGSLIHQENMELHKKISLLHKENINLQNKVYGPQGNGHPTGSTIQHSFLTTKNEIGANLELSLTENVEKE >OB08G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12521785:12524743:-1 gene:OB08G23120 transcript:OB08G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT1G68660) TAIR;Acc:AT1G68660] MEVAVPSRVALSARRLSGSGHHHHHAVAGEIYSIYRGRCPNPAIPMALAAAAPGKGGGVLDRPIEKVTPGRQSEFDVKKSRKMSPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQLEAEEHCTSLRGNGLRSSIEPASGGC >OB08G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12531385:12531828:-1 gene:OB08G23130 transcript:OB08G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGDQEASAAQTTTRRXXXXXXXXXXXXXXXXXXXXXIATLGGRVRSVLLIAAVEEEEEEADDGSDDDYRRDGGVGGDDDGNYGDDGCVVAASHRRHELVASIQEALRGVMDRKAASSDTSSSSGGGGSIKRQRMISAHEQGSF >OB08G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12543780:12544040:1 gene:OB08G23140 transcript:OB08G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLPTSPPPPHHTHTNRSTRSAYSFLDDRSIEFLMLASFVAGRAHTDRNTFFLLSSSSSSWSELFDVRLASFEQSKGGRDRGGFKTD >OB08G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12553949:12557958:-1 gene:OB08G23150 transcript:OB08G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTSLTVMTILSVSLGWVAPNLISRKWTHHVTTLLFIVFGILSLWEGFKEDGDSEELAEVEAELDADFKSNKAESKNKSKVNDDAKKKQRPFVLQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGVMAQALCTTAAVMGGKSLASQISEKMVGLSSGVLFLLFGIMSYLSGPEGEL >OB08G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12569278:12572289:1 gene:OB08G23160 transcript:OB08G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MTPSQKPPTSMRHGIWLQRWVWAHATSFLNDGRRGNHAIAAYFRRLYFSCQQPVDMNMIDVHQPADIDRVTFHRFTLNRRNIGTVVSALYGASSCMYKFGPDPLLASTDWWAFDTAAVRPSDVLLFSSGSGSDASVRRSVPSSAVYWLYYSGSTDERFGSPFPAADVPSLPGLAISQDGRHWARIEGDHHTGALLGVGEDDGDEPRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSRDGVRWRKEGKVLEGGKAGSFDERGVRQGHVIRDRAARRYVMVYEGVDADGRVSIGMAVSEDGLKGWRRSSELPVLRSSDEDEGWDGTGVGSPCLVQMDGAYEWRLYYMGVGRDGEAAIGMAYSQGHGLQKFEKCDAVLM >OB08G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12576046:12578917:-1 gene:OB08G23170 transcript:OB08G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGTLNSTAGDKSAKATASLSHMAQWESARLEAEARLARESKMRIAASAPSKLHARSTNPPASTSSPCFDVLNAWQSAKIDLESPTSTLTFTGSNASMLPFTMTTGLELSESNSNVWQQRSDELEGEESEWKFISKQQMPGLDGKETEEQLIGYEESWFPGTANIGAGFTGMLLDGSNVHDTSECWGESNNGQDEQRSQVSEDAENKNYWNGIFSMVNTEQPSQQPPLL >OB08G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12580300:12581053:1 gene:OB08G23180 transcript:OB08G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLCICSIQMHEDRHTILFGLGDRSVPVKRQGCYLDFFHVTCQHHACLHLAGPESALRQHMIE >OB08G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12582482:12584347:-1 gene:OB08G23190 transcript:OB08G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISIMAEEQRLPAGFRFFPTDEELVGYYLARKAMDAAFTCAAIRDVDLYASDPWDLPSNSSAASTGGGEGGGGGGECCYFFCMRSSKHPTSGARVRRATAGGYWKSTGKDKGVYAGNGSGQLVGTKKTLVFYGGRAPRGHKTSWVMHEYSRAPGGNLPRRAQAHDLPELVEFGDIYGGRMDYQQQQASPSNSVGSFLDERYFWNF >OB08G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12591154:12592471:-1 gene:OB08G23200 transcript:OB08G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGSLAGILLLAGWAASRALAACLGLALACKVALPTQLFCWTWQFLGHGLFERRGPGVSDLPEVFLMEPFLILLQILNKQFGYEPYPGFSKNVDKKLETYLRESRHLEHRKVT >OB08G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12602236:12607975:1 gene:OB08G23210 transcript:OB08G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G01150) TAIR;Acc:AT3G01150] MEEIEADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGIQPNDITIEVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPDHAPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGISQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSDPSAQLQAAAQVPAATPGAAWQNTAPAASFYASTAAASPVGQVSAWNPNMQAGAYGSASSAYPTQPMMPGSVPHYPGIGSSSGAPPVSFQASQQMPQYGVPTAAPPHAPPAGQLMYFPK >OB08G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12609130:12611055:1 gene:OB08G23220 transcript:OB08G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll-protein complex I subunit A4 [Source:Projected from Arabidopsis thaliana (AT3G47470) TAIR;Acc:AT3G47470] MASVTARAPVAALRPSAASLKSSFLGQPSTRLGRASTTARRNVRVEAKGEWLPGLPSPVYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKAGIIDAPQWYDAGKATYFASSSTLFVVEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFDNLLQHLSDPWHNTIIQTLSG >OB08G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12612213:12616769:1 gene:OB08G23230 transcript:OB08G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family [Source:Projected from Arabidopsis thaliana (AT3G29010) TAIR;Acc:AT3G29010] MAAGVAGARGSGRPLMRLVTMAGAPILQQLHLEERLLRRTGDNWCIINDGTAPATIVMGVSGRVSELVETEPVLRDQVPVIRRFSGGGTVIVDQGTVFVTFICSKTAIAGLQPFPRDIMSWTGQLYGKVFNGFGEFHLRENDYAFSHRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKIPNRAPDYRLARNHTDFLCRMKEYMPSRSVFTEGIISALRDHFTVQPTELETVISDDTGFKPSTKLLSPQDLEDIISTKESHKVQEVQA >OB08G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12617887:12618159:1 gene:OB08G23240 transcript:OB08G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVVFWEENGTVYARVRAPPQTCRTCRITRGDTNLRPMDGWMDGWAGGQRSPWITDKSIASCTNAGTVPVHGRSARTGARRTPLPDFF >OB08G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12627949:12630335:-1 gene:OB08G23250 transcript:OB08G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSATAAQAAWLLQVGAAAEQASSSSSSKGGGRVLTAGTTTMDTGGGGGAGYNGGGGNAGDHQESSSSGQSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAERLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLEAAAVASSMPPSAADVVVGHHHHHLIAAAHDPYAFSFRHYCFPFPGSPATAAADEPPFTCLFPVGAGTAASVAAAAGHGGLSWPDAMAAGGGGGVVMDGGGGRYMVEPPPFLMPAAAHGGNAPRLAPRPPRSRHHDTERRRRRRRLGPGRRLRGGDGGVADVHRLPRRRRDMTDRSIDLSS >OB08G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12677055:12678251:1 gene:OB08G23260 transcript:OB08G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQQQQYAGEAAAGARTRLRWTGQLHERFVLAVAELGGADRATPKSVLTAMAEPGLTLYHLKSHLQKYRQAVSRGGNGGGPNDRSSSSDRQPADYDGDGTADEPRTIAYDVAGDAKAPCDSSRTMMQMQREVQRKLQEQIEVQKHLQLRMEAQGRYLQSVLRRAQQVLADHSLASSPEAAKTELSELSSAVEIECVSSPSPPRRYRRPAAAADSCVTTSSSSEGESKAAGSKRLHTSDCTVEQPVQGKRAFPYLQRQHQTEEEDEEQDDDDEQEEYAGAEDGSSSEIDLNR >OB08G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12678467:12681544:-1 gene:OB08G23270 transcript:OB08G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSSTEGKHARALVRAAVCGCLPGGGWLDATGRRVWPRFRSQSRGMVPGLEEVPRDLPFLPACLLAADDYSPHSHLLCGGLLFSVESVLGLGSRHTKGT >OB08G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12682621:12690215:-1 gene:OB08G23280 transcript:OB08G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGVAEEVARLWDELPVRVDWAAVEAQWAGARALVVVPAVRALVAVSLAMTVMILRRYKWLPIGAATSSSSDDDDKEEKEEEAAGLVAAAFPMVLVQIPMYNEREVYKLSIGAACALDWPADRVVIQVLDDSTDPTVKVSNPIIIPFFSIKNILFLLDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSVVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRAMLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKVHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEVEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAITGLLEAGRVNEWVVTEKLGDTNKTKPATNGSDALKVIDVELTTPLIPKLKKRRTRFWDKYHYSEIFVGICIILSGCYDVLYARKGYYIFLFIQGLAFLIVGFDYIGVCPP >OB08G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12685597:12685942:1 gene:OB08G23290 transcript:OB08G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLPTEFPASLNKGDLRVVHEERDGPQEEIRLRLEVGVEHGNVLALFHIVVLQAFLERAGFVPLPVPSDLVLDVHALALPFLALLLHQVLKTEIENSGKCVHPFTARMIILLF >OB08G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12707410:12708177:-1 gene:OB08G23300 transcript:OB08G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKCKAVHQASVWPLTEPVKPKDFIHHRILPAIHKNNRASQETLGNSAHRNQIGSQGKQHRIPDEHTTKNLNHSARRKIPAKAQILHHHHRHRSGHAGIQSWEGKNEQGKRGGEIEMSRYRCRLRVARRWPVASFARWKGQRRGRGGAGALAFYGGSSLEMAMAMATAAGGVRPRKQRAPAPSVPAWTRCMVFSCGLTGSQEFRLSFALGKRQFALVWLLGVWN >OB08G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12707797:12710616:1 gene:OB08G23310 transcript:OB08G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVVVLKELQQPATLLRCITVALAWVTFCSDKRGDGLTVKSVKMASAVTISSAGAQAGLISKPRNHGFTSYSGLKAASSISFESASSFLGRNASLRASVAPRIVPKAKSSSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIQIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLNLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPEVYECTYVHSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKPELKASIEKGVEFVHKQQTAAASA >OB08G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12711872:12713653:-1 gene:OB08G23320 transcript:OB08G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) TAIR;Acc:AT2G02990] MATAAARATTRLGLALALAVAVVVLGVAGSCSAQDYDFFFLVLQWPGSYCDTRQSCCYPRSGKPPADFGIHGLWPNRDDGSYPQNCDPDSEFDPSKVSDLMGSLRSEWPTLACPSNDGVRFWSHEWEKHGTCAESALGDERGYFQAALRLRSQLPVLAALRDGGVSPDGGYYTLAQIKGAIQRGTGLQPFVECNRDESGNTQLYQLYFCVDAAGDRFIDCPVSPGGRPCSGSRIEFPAF >OB08G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12711875:12713607:1 gene:OB08G23330 transcript:OB08G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRELDPAAGAGAAAGGDGAVDEAVAGGVDAEVELVELGVAGLVAVALDERLEAGAALDGALDLGQRVVAAVGRDAAVAERGEDGELRAEAERRLEVAALVAEGGLRAGAVLLPLVRPEADAVVARARQRRPLRPEAAHQVAHLDERGRTRCQGRSSAGSCRRRGWARGRGCRSPPAACRSAGSSSSASCRSTTPATAAPGRRSRSPARCSSRRRPAPPPPPPAP >OB08G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12719507:12721294:-1 gene:OB08G23340 transcript:OB08G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47530) TAIR;Acc:AT3G47530] MASHLARALRPSTPSSTTSAAAALLSSTSPLPAARFLQLHAHVLRTGGLLLLRAPPASASAFLSLAAASLPSPRALSVLLHHITQESLPSTFCCNTILGSLREPDAVRFLRRVRALGRRGNAFSLSIVLKHCRTIGHASQLHANVVVEGHVRDALLATSLMRAYAACGDGDAARKMFDEMPVKDTVAWNVLITCYTKNRRTKDALKIFDEMRSGENGTEPDDVTCILLLQACTSLGALDFGEQVWDYAQDHGYGGELKVRNSLITMYTKCGCMEKAYQVFSGTPNKSVVTWSAMISGLAANGFGKDAISAFEEMGRSGIAPDEQTFTGVLSACSHSGLVDEGFKFFDIMCHEYQLMPNVHHYGCMVDLMGRAGLLNQAYELVVREMRVAPDATIWRTLLGACRVHGHVDLGERVINHLIELKAQQAGDYVLLLNTYAAAEDWKNVAVVRKLMKEKGIQTTPGCTTVEMNGEIHEFIADDDSHPRKAEIYEKLNEINKHLRIAGYVPNMLSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYNRLVIVRDRTRFHHFKGFQCSCNDYW >OB08G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12723453:12726391:1 gene:OB08G23350 transcript:OB08G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATPGLSSFASDPGSAGRSLGPLVEFARRRVPPESWAETDVRLMATAGLRLLDAAGAEAVLESCRVLLRESGFQFQDEWATVISGAEEGTYAWIAANYALGTLGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDVTYNLYSHSFLHLGQNVAYESLHDMLSTPGLKSMATHLISQAKYRDPCTPRGFSSMEGAVKLPASVLESKVDYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLVGNFVATENFYHTSKFFGLRSKSFLSALMLAGEQFCHGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVANQIGGMPVDWALGAFIVQKASNQTEYSDSSVPYLNSYDYSGLVPLLFITAVVLFTACSILRGRRSRLKTIYDMEKGRYIITRVRR >OB08G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12729718:12732635:1 gene:OB08G23360 transcript:OB08G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMLNVNNLVIPESVEATEVATNLPSGGVSLPPLLSVRESMGTEEEPLIQTVECRICQEEDNTSNLESPCACTGSLKYAHRVCVQRWCDEKGDLTCEICHEPYKHGYTALPRAHPDETTIDISGGWTITGTAFDLRDPRIIAMAQNHIMEADYDDYSVTNASSAAFCRSAALILMALLVLRHVLVLTDEDEDDASSMFSASERIFLELFLLRVAGFLLPFYIMAWAINILQGRRRRQVAAALAATEVAFILQSGQRRGMNFTIAPDSPATPQHEPIP >OB08G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12733145:12733762:1 gene:OB08G23370 transcript:OB08G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHQQQAAAAGGGAPLCANGCGFFGSPATKNLCSKCYRDHLKETAAAAVETKNLCSKCYRDHLKAAASLPSPAIAHETNSSVLAAPPSPKERPNRCLACRKKVGLLGFDCRCGGTFCSTHRHGDKHGCSYDLKDTGREKIAKENPLVAPSKITKI >OB08G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12736442:12737998:1 gene:OB08G23380 transcript:OB08G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGRGEKEVGGVGAVASASSPLGGMFDHGQSPAMGWHLAPYCHLCDNNAESYKHIFQACTFTQQVWTSVRAWLGLTARWVLPKERKSFDAEVILVTWLIWKEHNALIFKGKESSLVLLPTSIVDEWTTWKTASLFLDDGSSL >OB08G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12743601:12746788:1 gene:OB08G23390 transcript:OB08G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPPSLLFRLRPMPCGGGGSLRVLSKRRLRQFLSPRTGRRRVVEELLMRLPEAGRRRGCGGFACFCSLDDRNDPPALPPDNKKILDEWPVLRRWDVPWEWPTISLTMVACAVSFLLTGMVEQAVLEYTGFQAGDATIDEKAEVLFFGQFIVTAVVLGVIFGITNTFRPFSDDVFRYDLKEPFKLENGWLLWAGVGLFGAIISISLAGAAMSFLGGETPERGTDSLVLLLPLIGSSNMSTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASVFAFAHLTPGEFPQLFVLGVVLGFSYAQSRNLLTPIVIHAMWNSGVILLLTFLQLQGYNIKELLSAS >OB08G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12747064:12747234:1 gene:OB08G23400 transcript:OB08G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHPSLTTNQTHPKSLLSYDVKTYFEEIPCYFVMSKFKSMQNRNSENVLCEHSM >OB08G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12748072:12748233:1 gene:OB08G23410 transcript:OB08G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHIYTDDSYILASQKNSFVEFQLIFLFSSVHKFSEINTFTASFMVSSCLTNL >OB08G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12752720:12754453:1 gene:OB08G23420 transcript:OB08G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26870) TAIR;Acc:AT1G26870] MEERNDISMDKSDEVLLPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSTEGTKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPTLTDPSLPKKPIDKNIPLNDSWTICRIFKKTSSMAQRALCQTWGPQLPGAIDPELFSTTLQPVQASQFALESSSCSLQAAAPATQFSNKYGVQGNQQQQQHKPSNPQDGSSCKVINFNCSPSADVQNSQIIVPFEIQTSQKTTGAAPLLFDAQFAQPEQIGRFVVDSSVNVNGGGISSKNQDTSARKPGNGFSMNNEWEGLGRINFPFDLGADSSEDWRSNIPWESFLSPTVHAEMPH >OB08G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12755449:12757295:-1 gene:OB08G23430 transcript:OB08G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYPKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLYRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >OB08G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12775700:12776872:1 gene:OB08G23440 transcript:OB08G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCVKAEVKKGPWTPEEDLMLVAYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFSEQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMSAGGGEGGDGEGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPTPDGSTVTSKTKDETACGAVAAPPPAFSMLESWLLDDGMGHGEAGLMDVVVPLGDPSEFF >OB08G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12800624:12800890:1 gene:OB08G23450 transcript:OB08G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNPFHEEFWVFGCATQTPPSLYFSSHILKYWVKLISIFAFQISVITCYRYVDLIGSCCIIIIGNLVGNMTEKPKQTCNDAIFFHIG >OB08G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12833990:12834676:-1 gene:OB08G23460 transcript:OB08G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGVKYRPVVFPNGGAAAVGRSKARAGIGGGEGVGVYRECLKNHAASLGGHAVDGCGEFMPSPAADAADPCSLKCAACGCHRNFHRRLPEAPPSPPLLALPPPPPPPPFSTQPPTLPLRHTPHQQYTLTSTSTALSLTPPSPPPPAPPPPPPAPPPPAAPPAAAAAAAAERRGGGGGGPPEISPRGPPGARGGRFRGAPPPRAPPAPPRPAPPGRSPGRRRSSSS >OB08G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12837840:12840750:1 gene:OB08G23470 transcript:OB08G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G74680) TAIR;Acc:AT1G74680] MVAERKMQPLPPPEHRRVLRYVVFVAVSLVAFSCWALVSSRIDSAVLAATGGVGVGPLLTGEDGGGTGSSSSSRRHGDGGDQRHHVTSRQAEMVASSGNATVRAVEVGGPEMIRPPSGGAGGEEERVCDAENAQLRVYVYDLPPEFHFGMLGWDSKKAGTGGGAWPDVADARAVPRYPGGLNLQHSVEYWLTLDILSSTFPTTTGHRRPCTAVRVTNASLADVFFVPFFASLSYNRQSKLPGHGSGRAAAATNRLLQAELVKYLARKEEWRRWGGANHLVVPHHPNSMMDARRRLSAAMFVLSDFGRYPPDVANLRKDVIAPYKHVVPSLGDGDSPGFHQRPVLAYFQGAIHRKGGGRVRQRLYHLIKDEKDVHFAYGSVRQNGIRRATRGMAASKFCLTIAGDTPSSNRLFDAIASHCVPVVVSDDIELPFEDVLDYSEFCVFVRAADAVRDGFLLRLLRGVGEGEWTAMWRRLREVARHFEYQHPSRPGDAVDMIWAAVARKMHSVKLQLHKRGRYQRTLSVNHQG >OB08G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12840190:12840741:-1 gene:OB08G23480 transcript:OB08G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRKCSLIPATLVKLQLHGVHLAGDGGPDHVDGVARARGVLVLEVAGDLPEAPPHRRPLALADAPEEAEQEAVPDGVGGADEDAELGVVEDVLEGELDVVADDDGHAVAGDGVEEAVRRRRVAGDGEAELGGGHPAGGAPDAVLPDAPVGEVHVLLVLDQMVQPLTDPSTLKQSPLEIAHYL >OB08G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12841571:12841843:-1 gene:OB08G23490 transcript:OB08G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYAIRLLTILLCLLLLAHQQKVCGLKVIRLTFGREEDEVPEKKPRILAQSSVANLNNNGYPEIPSSVDPNMMSERRVWRGSDPIHNRC >OB08G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12844387:12844770:-1 gene:OB08G23500 transcript:OB08G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYQAPLFRRRAGLCRRGDLQPALPPPRRHRRPAWWRNASAVKIAGGGGGGVELELRAAGYMSTARRWRRSSCWVLSGWGDFAVYFRNAVAKEDDDDVPSEIEVITDDDFLIQPMVDYHSYAATIP >OB08G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12856225:12862237:-1 gene:OB08G23510 transcript:OB08G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3MTC1] MNYEKVNAQFTDFLCRLLDDHHFELVHILTRMAFALQSSGNFYSTQHKWLHSTRGQSFYGSSHSNAKECTCKKSKGPAPICVKATSTKVELDFNDPSWKQKFQENWDKRFDLPRITDIYDLKPRATTFSLKKNRNSAGDENGTPMDKWNGYVNNDDRALLKVIKYASPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSYLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLETKGFCVVCVAEAAGQDLLQKSGATDASGNVIFSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYAYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OB08G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12866577:12899534:1 gene:OB08G23520 transcript:OB08G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MSAMATTATATAKPPAAGAGGGGGGGGGAGGEVSEVELSEAGSPDVGSQSSGSGSGRSAAGSSGWVYHLGVNSIGHEYHHLRFLVISGKTVAMYKRDPSKHPGIQPIRKGIVSHTLMVEELGRRITSHGDLYVLRLYNRLDQTKKGEIACGDPGEARKWIEAFEQAKQQADHDLMTRGNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSDLQSHEIINTHFGGDSGDAFEAHEWRCVRTFNGVRIFEDIANPKGGKGLLLKSVGVVGANPDTVFEVVLSFDKHKRYEWDMLTADLELVETIDGYYDVVYGTYEPRYLNWWKTKKDFVFSRQWFRGQDGAYIILQTPASHKKKPPRHGYERTKVNSSTLELRRLNTPGSSTPKCLVTHMLEMTPSFWDRWKRKHNVNFDRSIAFALLSQVAGLREYFAANPALTSDLPSIVVKPKASDSLIIQSELEDCELNDEFYDALARGESFEDEDSDDDDDDDMIPKVGKVKFKNVSWAIAGLAMKPTKASVEKSELVTNSTPITIDPNHFHGTLQRAKREGDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFLLVINLQVPAKPSYNLVMYYAAQRPVNKDSLLGRFIDGTDAFRDARFKLIPSIVDGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLKYVTGLIVDLAILIEAKEERELPEYILGTVRLNHPNPDSAVPI >OB08G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12900755:12905113:1 gene:OB08G23530 transcript:OB08G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT1G15860) TAIR;Acc:AT1G15860] MAGSAAKRKSDFSTLPKTKSRKPRGSGGPGPTRGVSKEIERIDHLFYTYADSSSGMIDPEGIETLCSHLEVPHTDARILMLAWKMGCEKQGYFTLDEWRSGLKALRADSISKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRSQVDKLVNYLKYQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >OB08G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12912382:12913173:1 gene:OB08G23540 transcript:OB08G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAGDLPDAAYRKTRARRPAGPRPVLLSRREHVAMRDTGRCRGHRSWVTVRGKEREISVDLVARGRGRDRSSRDKDRADVGLSVSIDGERVLHVRRLRWKFRGSERVDLGGGDRVQLSWALHNWLFPQREPPPADAAAHANANAVFVFRFELGGVGEEHEGSENAKALSDKAPRRDAAGILRGYFARWGQKDWSETGSNGEKRKKGRGRRLAKASSSSSASVASSTASWASGSTVMDWASPEEAEMQHGDGFSLLIYAWKS >OB08G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12918609:12921868:1 gene:OB08G23550 transcript:OB08G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGATAPTRSWEVAGPEDGRQLRLHISVHVEVTKAARPDLALTFECSAWPDELEVERVYPVRRGGTAPAQQYMGRQFRELDEEMQSAVHDYLEHRGVNDELAAFLHSYMENKEQTELVRWLKNVEGYIKKQAISARHLLRQD >OB08G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12922471:12925810:-1 gene:OB08G23560 transcript:OB08G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:J3MTC6] MEAFSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEEGAEDQALLKEQAAISRSTGQMDNVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTINQILSSIKRKKSMDTIILSLVASVCAFLIFIYWMSK >OB08G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12939468:12945528:1 gene:OB08G23570 transcript:OB08G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25430) TAIR;Acc:AT3G25430] MKVVRNLDLERYMGRWYEIACFPSRFQPKDGTNTRATYTLAADGAVKVLNETWTDGRRGHIEGTAYRADPASDEAKLKVKFYVPPFLPIFPVTGDYWVLHVDDAYQYALVGQPSLNYLWILCRQPHMDEDVYNQLVERAKEEGYDVSRLRKTAHPDPPPESEQTTGDRGVWWIKSLFGRILFSIDFYLVTRRNFAEAVQELAARLETCDYVAIAAQKTGAPTGWRHALLVDTPETAYLKARLAAESFQPLHFAICPFRIDAASPSTLVAYPYNFHLFPRDELQIGMPSYSFSCQSSYLSSMAHSGFDFNMCIYDGISYLSRVQESLAREKIFIPHVRQLSPTPSTSVADSVFMSRIKSRIEHWRKGYTKPSNTADGSLVSSLRQLILGGESYGSRPSFSIDVCSDRQVQLVLEAVNHISDDLVALVVPDKAGAARAVRVIFTSSTEDKNLLLMDIEKMEDEHNLKFRGFREVIDLLSSSQKPIISYNCLNDFTMIHSKFVGPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQAALSYLQRQYFVPMDVEIPQQDSNNCITKSGENVLRITKLFAKLSYLLKISPNGQSHSGQKCHTVEEYSYIFYPSCMDEDSEDVKFANESDTTRSMRTDNVVLLWGFRETSVKELRSRLASLHHTFSKDFELKLLDNSCSALIFRSSDMAMELLREINSESPSLNNFFSEGLKAAGFEVYRKVCRLGLWDSDLAEALDGVSSEPSTSTLSGYGTSEIYWNTSLMLDLKEYLEC >OB08G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12950232:12951008:1 gene:OB08G23580 transcript:OB08G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRDEVDRYRFVAMDTEFPGVVCRPLGNFRTADEYNYANLRANVNMLKLIQLGLTLSDENGELPRRGTGGRPCIWQFNFRGFDPRSDPSNGESIQLLLNSGINFDRFAAEGADPIRFAELLMSSGVVLNSDVQWITFHSGYDFGYLLRLLTGQNLPGTMTAFFDLIRIFFPVVYDIKHLMKFCGSLHGGLSKLGELLEIKRVGICHQAGSDSLLTLGCYNKIKEVYFKGSTEKHAGVLYGLVIEDGVNRPPTQPNE >OB08G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12962915:12966053:-1 gene:OB08G23590 transcript:OB08G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLALAVALVLGASGYGVYRGGAEAASAAVEGGEVTYGSTLKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDSNSYWIVRPAQDSSSKQGDAIETGSIIRLQHMRTRRWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGSGKVWKRDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPVNESK >OB08G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12971036:12977903:1 gene:OB08G23600 transcript:OB08G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 11A3 [Source:Projected from Arabidopsis thaliana (AT2G24270) TAIR;Acc:AT2G24270] MAVAGTGVFAEILEGEVYRYYADGEWRASASGKSVAIVNPTTRQTQYRVQACTQEEVNKVMEVAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADIVVEKVKAKLAKLTVGPPEADSDITPVVTESSANFIEGLVIDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >OB08G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12974442:12981656:-1 gene:OB08G23610 transcript:OB08G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase 6 [Source:Projected from Arabidopsis thaliana (AT4G30950) TAIR;Acc:AT4G30950] MATASGASTPLQLSSARRVGSCPGNSAPAKRLFPRRAVAGAPAGTIFVKRDFVYKGQSCHQFLPLKQRGRLQAAVLPVNSPLLDDEEIRKQMSEDYGFKQIGAQLPDNVTLKDVMDSLPKEVFEIDDVKAWTSVLISVTSYALGIFLISKAPWYLLALAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDKHHAKTNMLIEDTAWQPISPKEIKKSSFLRKAIIFGYGPIRPWMSISHWLIWHFDLKKFRPNELPRVKISLASVFAFMAIGWPLIILKSGIVGWFKFWFMPWVVYHFWMSTFTMVHHTAPHIPFKTSKEWNAAQAQLNGTVHCDYPRWVEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGEYINEASWNWRLMKTIMTKCHVYDKDRYYVPFDEFVPEESHPIKFLKKVMPDYA >OB08G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:12987352:12988695:1 gene:OB08G23620 transcript:OB08G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MTD2] MEAVEGEKKGGVVLQGRYEIGRVLGRGNFGRVHVARDLRTGKSVAMKVVTKEKVVRAGMVEQIMREIGVMKRVSHPNIVELHEVMATRTKIYLALELVRGGELFGRIVRLGRVREDVARHYFRQLISAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYTAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFADDNIVTMYRKVQRGDYRCPTWLSTDARRLIPRLLDPNPSTRITVPQLVETPWFTKTSISKPISIEPPAADPAPAPACAAQEEGEKDEPETLNAFHLISLSEGFDLSPLFEGDSANGRREGGMLVAPSVLVVDVKKDGGDTLEYRSFCSEQLRPALQDIVWAATDPPGTAAV >OB08G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13005010:13005216:1 gene:OB08G23630 transcript:OB08G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQKTSWPELVGVLATLAATQISRDRPDVAVEVLPPGALLTPDVNDKRVRVFIDNNGLVAKTPVVG >OB08G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13008581:13008841:-1 gene:OB08G23640 transcript:OB08G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCVCRYYTDISTSGQLPADLWVKALCVWFRHTGWLLIGVFNSISIFILQFNLCFWKHPGCTLYHTHTHKTTFGFRRSAASNHI >OB08G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13010195:13010437:1 gene:OB08G23650 transcript:OB08G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEMMLTMIQLPLRAHFSFCQLFPPHSLLSAANTAYACMLLLLLLLLLSHYLLLPFDLLTLSLSLSLSLSLHMPYALR >OB08G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13013765:13014025:1 gene:OB08G23660 transcript:OB08G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLNSYIKDTYLYIKNLGNLKSLIYNRVSTYVRHVSAPIFGQLVGLLHLYQNASSTFAAVEISIAMWMCVCSSRCQHFAVVYRY >OB08G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13017254:13018699:1 gene:OB08G23670 transcript:OB08G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRERLSDSQSKVFLAFFLETNTLCHQLQAEAFKRSTERLVNELSRSARSAHEKLETIEERSGQLIRESDHLRRSLSSIRSQTEHLSVASDDVRARIGDVLESSLAIVERSEAIASAQAELRDGQAAMRDKVAAGTAQVEASYRIIGEEMGRLKEAADDVGRVVGRSLENQRKLLDGQAKAMEGLDDLYSFQAQALQESR >OB08G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13019013:13019207:1 gene:OB08G23680 transcript:OB08G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPSISVFRLVLAALSLTDDNAQVGLPASVHGDRRCRGALPEASATTTVVEDFPHWLAMAPT >OB08G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13020435:13021263:1 gene:OB08G23690 transcript:OB08G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRASPSRPISLLSPPPSESRGQIQSPTTAQPMSAAAASPHGDSDHRHDTGDGEYDGGGSGEGGGYVMADVLSKGREACYKARDAFYSCVEKHVDKKPTEIATMGLLYPADCKKSRANFVSSCRPSWVKHFDRQDCGQQLGTTXRAPPGPHLAPPALHVQAIGSSAACSVSRWRAELDLCFGDWMRQYWFQIWCCYDEIIPAL >OB08G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13025792:13026118:1 gene:OB08G23700 transcript:OB08G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCKANPVDRLFWCVCGGGGGGFTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFGGGGGGGGGGGFTSCCFS >OB08G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13026141:13031122:-1 gene:OB08G23710 transcript:OB08G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPKRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQAAWEAARRRGVELVVVNPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSASGHRFLCAESVLHREGVVRILAKLFPEYPVPTRCSDEKNPRKQPYKMSNQKLRDLGLEFRPVSQSLYETVKCLQEKGHLPVLAAEKTEEAAEEAAAEVQGGIAIRA >OB08G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13047147:13049919:1 gene:OB08G23720 transcript:OB08G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:J3MTE2] MAAVTLQRHLLLCNATLVLLLHLCSGLSLDTVRDFLTREEDAIVFSLIERARYPANAPAYGPAAAGDLGRLHGASFAEMFVRESEAVQAKAGRYQSLQEIPFFPFRVPFTLAPPYNFTAELHAAAASVNVNGAIWSTYFSQLLPLLAKNGDDGNYAATVASDLVCLQALSRRINYGRYVAEVKFIGDQQNYTTLIRKKDKDALMKLLTSEAQEDVVKRRVQKKAMVFGQNVTLDGPVETGNSNSSQTSFKVDPSLVYKLYDKWVIPLTKQVEVEYLLHRLD >OB08G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13050415:13052249:-1 gene:OB08G23730 transcript:OB08G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDALRRELWEESIRQELIAAEIAEQRELEAEDQRNLGLSCEVPSRSPGTSRFQPLPHGRLRLEEQMAMRTGASVFRLPVKYRIEEWYCPPWHRTLAEENATFNGAKLRKKLSSGVKRKRSADTFQTNSKKLCVQRSCVVRQMNTRYEEHSSGHRHQPNIAVLESRKEAIGMKKVEAESLSVTRYCPTTWNCGICQANCSCEMDLRNHLRGRRHQENVEALKREDMEIEAKLDGKKLSQLAEKNQKFVPGWSRSTCKAICTSSSDLENHLRGRRHRRNVDM >OB08G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13053217:13053378:-1 gene:OB08G23740 transcript:OB08G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLQRPGIDVGLESAQHEIKHGAIVFFTELLSILQSNITQCVQNLGVTGAGLL >OB08G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13055434:13063787:-1 gene:OB08G23750 transcript:OB08G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAKQRLRLQLSLIRSTFTDVHPRDSSVCRSSSHGTARPPRDPIAADPSRRREEANEEEEEAAAVTGPASAGLLPRASRGDSCIGLGKTERQIGFEKISLILLLWRVVQLDERSWPVHQCARILERLIDSMDAVKAEAKKAAGTVDSALRRPLVPSEKNIAAPAPRRREVASRFKAGVPPAPAPAASSARRCTSPSLSRPSAAECTASTNRAQSAERRRSSTPSSSSTPSGGCAASRSRTPVRDTTATEVRGISRRATSTKPPDGLWASARRSSPWLQPESVAMATPATKRDRLPHVSSSDQSHQTKVQPRTVAEAERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMSGRGSAGLTSRNNGVADTPIRSVTPSNTTRGHSPRRIYPADVKANGLNQPLNGLAKRLAMHESRRDDKTESSTDDVSSQTSECSKSAARPSRTLSSPVPVLHRSSSPNKVLSAASSTSTNFQSPSRTRPSAPCRSRCCSTASGVTQPVFNYIVDARKVKKSASQIENIHQLRLLYNSHLQWQFVNARSEDTLSFQKSSVESVLYSVWKSILKLRDSVTVRRTDVQLLQQELKLYYILKEQIAYLQHWPALEGENGSTLIGAIEALQACTLRLPVTSGAQADAVAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKGALGECRELLATAAKLQVQESSLRTHLMQLREGVLG >OB08G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13072924:13075128:1 gene:OB08G23760 transcript:OB08G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCDHAFSQQEIVSLYHRFCQLDRNGGGFVSAEEFMTVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRASLQQKIEFIFRVYDTDCNGKVAFDDILSILRDLSGSFMTEQQRQKVLIHVLEEAGYTKDSHFTVPDFMKILDNSDVKMEVEVPID >OB08G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13077161:13078808:1 gene:OB08G23770 transcript:OB08G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEQQRMEAWQYKRIAEEKISHSDKVLEILQEVLQQKELETSYLRNQLLVYKHKLLGVGIDDCDIADETITNNIPLFESKTMENLCHNIKRNFSLPILQLNKLSAEKDTGRDVEAVKSAKSRLGGHVCNSSENELKHVSGNASDFEALEVQKSLLTDVDATGEHGEEPNPASSDLSQQSQVLEESSSCSSFSVSSNHRDTCSESAVQVGENTEETRHGDQLKESHTGIGTEEVQSHHPLEETSSCSSFPTSTNHTDSCSERATQAEEDAEDALHGDQTKESHSGIEMEDVAAHPVGDIADTLKIQERSQGVEEYSCTATEIITKESELSPNAVLKERRPHALSKLSATRKVGSMNNLYRNVHVTTEKSSTPRGKSSTPRAGVERTRSRLKRVQSEKMVEMNDNRRSKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNEQSLDSVLEAALSFSI >OB08G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13087407:13089191:1 gene:OB08G23780 transcript:OB08G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRGSSESAAAASSDDSSSGGGGGVEAGRRQKRECPSQRSSAFRGVTRHRWTGRFEAHLWDKNTWNESQSKKGRQGAYDGEEAAARAYDLAALKYWGLDTVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYVSLIKDGKAHEKYFGKNDTESFKRTRPFSNFGYPNWDLKKFG >OB08G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13090776:13091231:-1 gene:OB08G23790 transcript:OB08G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNLGDRSSSPIAEVDAARASGLSGGGSCATMSSSSASCSWCVGVVVVDDDEDDGCSQSRKAAAMASSRSSSTRVTAMTSPAALERQGGGEAAGAVAPLDVDVDVEVGDGVEPPVLDRGDVVRYGCLLLRRCMVQYTRKKEIRNIIFGY >OB08G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13090993:13091568:1 gene:OB08G23800 transcript:OB08G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLVDDDLDEAIAAALRDCEQPSSSSSSTTTTPTHQLQLADDEDIVAQLPPPLRPLARAASTSAIGLLLRSPKFREIIEQAAAASSGSSSSSSTDSPSSSSPSPSPSPSPSTSPPPPGPRPDPITDEQYNVDTSAAAAAICSFPDDVQTYFGLDDDGGGFGYPEVDTFLFGDLGAYAAPMFQFELDLDV >OB08G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13093671:13102528:1 gene:OB08G23810 transcript:OB08G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCHRASKGEVAVAAVAGEGGTSPRRSFRIKLRPHVRVVPWVKSPVARRKPKDPAKPPPPSIKALAAEWAKEKAAASGAPEEECVLPFLQKGAPRKKETRLSAWFVQKVFRVVTRCVVQFVVAMQSCIRLVLKKVMDAVLDISNGAHPTQLDLMVGNDLDADPIDDIEAAFRRLPLPSTFQEFNIDPVKKEELDNNGTEPLPSLFVSCSRACDCSDKCTNKPFRREKKIEIVKTQNCGWGARALEAIEKDDFVIEFVGEVIDDKACEERLEDMRKRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTYDYRFEQHYGPEIECFCGSKNCQGSLSVSSKD >OB08G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13104014:13109674:-1 gene:OB08G23820 transcript:OB08G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFLFFFLLLLLMSSVPFFAFSSEPLNAEVEALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMITCSAHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRISTLRYLRLNNNSLSGAFPSSLAKIPQLSFLVVGNPMICGSGSGSHAGAGECATVVAPVTVPFPLDSTPSSSSSSRKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECLEAVVGDFGLAKLLDHGDSHVTTAGGGGVRAAKPALEWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDDGCEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKASGAIQHQKGVMLDWVRKVHQEKMHDLLVDQDLGPHYDRIEVAEMVQVALLCTLFQPSHRPRMSEVVRMLEGDGLAEKWEASHRPPATAAAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >OB08G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13116538:13118635:1 gene:OB08G23830 transcript:OB08G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDETNDCSIGDRVRLDPSRPLSKNKHWVVAEILRRAKVYSPAAAAEASAQLGATIQQAEAASKSST >OB08G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13119010:13119657:-1 gene:OB08G23840 transcript:OB08G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAAAVVVLGAALVAAAVVLEAEGRVARKDLGINLGGGLGGGGGGGLGIGPGGGLGVGSGIGVGIGGGGGGSGSASGSGSGSYSGSGSGSGSGSGSWSGSSSGSSSRSSGGGSSAGSSAESGAGSNAGPGGAGSYAGSRAGSYAGSNAGDGGSGAGSYAGSSAGSYAGSNGGGAGSYAGSEAGSYAGPHGGSGAGSYAGSRAGSYAGSGHGK >OB08G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13121579:13122161:-1 gene:OB08G23850 transcript:OB08G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLPTPCLIVLPATFRMRSPAAEPKPGRADSTETWDARKKADGSPASAALSSASSSTNPCRADSCERWDAHKTPGSTASSTGSTGSPRPPRRCRADSVERWDGNKRPLTRAPSAERWDISKKPRPDQEDALGSRNSNSASRTRTTSEQLISNPRTDATTLMAAPPLPFSGPSFFSSPNPSMLPCPPSCWLTS >OB08G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13121941:13122197:1 gene:OB08G23860 transcript:OB08G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCASQRSHESARQGFVLLLALDKAADAGEPSAFLRASHVSVESARPGFGSAAGDLMRNVAGRTMRHGVGSSGSIWLLGGVGSSGG >OB08G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13123090:13123926:1 gene:OB08G23870 transcript:OB08G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLSNNVIGALNLVTLLLSAPILGGGVWMATRGDGSECDRHLSSPAIALGAVLMAVSLAGLVGACCRVTWLLWVYLLAMFALIVLLLGFTAFAFAVTNKGAGEAVSGRGYREYRLGDYSTWLQRRVESSKNWDKIRSCLAGAGVCGSLQGRNETWAQFVAADLSPVQSGCCKPPTSCNFTYGGGTRWGKTARMGSADPDCDEWSNDADELCFGCQSCKAGVVATLKKDWNRVAIVNVVFPSFIVVVYFVGCCAFKNSRRDSVYRRAGGWKQAGYA >OB08G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13126029:13130215:-1 gene:OB08G23880 transcript:OB08G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRRAHLLLSPPPPISREQGRCSPSSSPVPQISCPGSRLNLSAIMQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSIAENESIGRERYNFMQKMLLPCGLPPERDED >OB08G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13130581:13132053:1 gene:OB08G23890 transcript:OB08G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELLGVRRSPPLTKRRRKVTAGGGGGGTSMAKAIAAYLASDSFMYAPLVSNSPPPPPSAAPASTPAGAGSSDKALTLVQKYRGSWRGTFTAC >OB08G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13139010:13139522:-1 gene:OB08G23900 transcript:OB08G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMVAVGRRSNGRWCSGGVQVSLRRRRPFQLMVLRRLRELKKIVPATSRRGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRTAPRRRRRRRRAAPPRRRVHLRAGAQGGRPAQALRHLRRLIS >OB08G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13171085:13171339:1 gene:OB08G23910 transcript:OB08G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSLSLSSPLSLSLDFFSLSRSISTHAPCSCKCASQSTTSVSFLVCKVKLAGVTKDELLFLHLRRLHFERMKTGCGAGKEIEPN >OB08G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13171459:13172933:1 gene:OB08G23920 transcript:OB08G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHATIHYTALPPTISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDPGDADSGDANVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPYGGGCSPLLFVLESGSEGSVSDRLDAASSAHLSLVMEQEEAEPEQKHAEVADKADEVVVSVKLGKFRSQATEAGGIGGGGSCSAAANPEQDVRRCYSMGTYEYVMDESSLLRVAVKPPAKKRPTTQMPGHRVAMSECDCHSKRESFRGFDAQPKLVQPKPPKVEKKESFSFSKIWMRGAPRRKDGAGAGASSRHASSFRLSSVLQRAASDVGAPKLLRPDVVSPVTESEYNVSAWDKSEKSGSGSVADWDVESATAAASVSGTGTGNGLNSRADEAPSFARRTLLWIRGHL >OB08G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13188905:13192808:1 gene:OB08G23930 transcript:OB08G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSGDEGRPPTPTEPRRVVVAHRLPLLADPNPDAPHGFDFSLDPQALPLQLSHGFPRPVVFVGVLPSAVTEAVPASDELAADLLARFSCYPVFVSAKVHADFYDGFCKHYLWPVLHYLLPLAPSYGTGGGLPFNNDLYRTFLTVNTQFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPSARIGFFLHSPFPTSELFRAIPVREDLLRALLNADLVGFHTFDYARHFLSSCSRVLGLSNRSSRGYIGIEYYGRTVVVKILSVGIDMGQLRAVLPLPETVAKSKEIVDKYRGRWLMLGVDDMDLFKGIGLKLLAMERLLESRANLRGQVVLVQINNPARSLGRDVDEVHAEVLAIRDRINGRFGWEGYEPVVVIDGAMPMHDKVAFYTSADICVVNAVRDGLNRIPYFYTVCRQEGPVPNASSGKPRQSTIIVSEFVGCSPSLSGAIRINPWNVDDVADAMNTALKMSNTEQRLRQEKHYRYVSTHDVVYWAQSFDQDLQKASKDNSSMVMLSFGLSMSFRVVALGPNFQKLSPDHIDPAYRQTGNRLILLDYDGTVMPQGLINKEPSEKVIRTLNALCSDPANTVFVVSGRGKDELAKWFAPCERMGISAEHGYFTRWSRDSPWESCKLVTNFDWKNIAEPVMKHYTDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVYVKSGHQIVEINPQGVGKGVAVRSLISTIGDRGSLPDFILCVGDDRSDEDMFEAMSSSAFPETTQIFPCTVGNKPSSAKYYLDDPEDVLKMLQGLKTDSSIPQHPAAPVTFENPLE >OB08G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13210017:13216775:-1 gene:OB08G23940 transcript:OB08G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECVPCRGCKSFVESRRKRCSVSFRHGRAASPLEEQWLAFFFSPRSFVCGHKMSLVMWHWFFFFFTFVSSSWSLTSDGLALLALSRDLILPPSISSSWTASDATPCKWTGVSCDKKNDVISLDLSSFGVSGSLGLQIGFMKNLQVLSLSNNSISGSIPHDLSNCSMLNQLDLSINNFSGEIPASLGNIKKLHSLSLYSNSLTGEIPEGLFKNHFLEELYLHFNNLSGSIPSAIGEMTNIRYLWLHSNKLSGVLPDSLGNCTKLEELYLLDNQFSGSFPKTLSHIKGLKVFDVTSNTFTGEITFSFEDCKLEIFILSFNQISGEIPSWLEHCSSLTQLALVNNNISGEIPASLGLLRNLSKLLLSQNSLSGPIPPEIGNCQLLVWLGLDANELNGTVPKELANLSNLEKLFLFQNHLTGEFPEDIWAIKSLESILLYENGFTGRLPPVLADLKFLQNITLFNNFFSGIIPPDLGVNSRLTQIDFTNNSFTGGIPSNICAGKRLRVLDLGFNLLNGSIPSNVVDCPGLQRIILQNNNLSGPIAQFRNCANLSYIDLSHNSLSGNIPESLGRCVNITMINWSGNKLFGPIPAEIGDLVNLRVLNLSHNSLQGVLPVQISNCSRLYMLDLSFNSLNGSVLTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGCIPSSLGRLIKLGIALNISSNGLVGDVPPLLGNLMELQSLDLSLNDLTGGLDMLGNLQVLHVLNVSYNRFSGPVPANLLKFLVSSPSSFNGNPDLCISCHTEDSSCKVSNVLKPCGVTKGGHKQFKIAVIVIGSLFVGAVVVLILSCILLKFHHPKAKSEEAVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQRGSYKSMIRELKTLSKIRHRNLIKLKEFWLRSEYGFMLYVYMEQGSLGDVLHGIQPPPSLDWSVRYNIALDTAHGLAYLHDDCRPAIIHRDIKPGNILLDKDMVPHISDFGIAKLMDQSSVATETTGVIGTTGYMAPELAFSTRSSVESDVYSFGVVLLELLTRKQAVDPSFPDNMDIVSWVTSTLNGTDQIELVCDPSLMEEVYGTVEIEEVRKVLSLALRCTAKEASRRPAMADVVKELTDVKRSVGKLSKPEKTASPSSH >OB08G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13221870:13226158:-1 gene:OB08G23950 transcript:OB08G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHIWHWLLMFFSLAPLSCSLSDDGLALLDLSKTLMLPSSISSDWSADDATPCAWKGVSCDGMNNVVSLNLSYSGLSGSLGPQIGLIKHLEVIDLSGNGISGSMPASIGNCTKLQVLRLLKNKLNGSLPDTLSNIEALRVFDVTHNSFTGEVNFRFENCRLEKFILSYNYLRGEIPEWIGNCSSLTQIGFVNNSLTGQIPSSIGLLRNLSYLVLSQNSLSGPIPPEIGNCQLLIWLHLDVNQLEGTVPKELANLRNLQLLYLFDNRFTGEFPEDIWGIQSLEHVDIYNNNFTGHLPPMLAEMKQLQQITLFNNSFTGVIPQGLGVNSSLSVIDFINNGFVGTIPPKICSGGKLEVLNLGLNFLNGSIPSVIADCPTLRRVILKQNNLTGSIPKFVNCSSLDHIDLSYNFLSGDIPASLSKCINVTYVNWSGNKLVGPIPPEIGKLASLVSLNLSGNRLYGEIPEEISGCSKLYLLDLSFNFLKGSALTTVSNLKSMSQLRLQENKFSGGLPDSLSQLDMLIELQLGGNILGGSIPSSLGTLIKLGIALNLSSNGLTGGIPPQLSNLVELQSLDLSFNNLTGGLASLGNLQFLSFLNVSYNMFTGPVPENLVRFLNSTPSSFSGNPDLCMSCHSNDSSCMRTNVLKPCLLPKKKSAQAPLKVAMIVLGSLFVGAFLILCVLLRYNLKPKISNELGMLFQGSSSKLNEAVEVTENFNDKYIIGSGAHGTVYKAVLKSGEVYAVKKLIHAAHKGSNTSMIRELQTLGQIRHRNLIRLNEFLFKREYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYNIALGTAHGLAYLHNDCFPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKSTTDFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSELKETNQIETICDPALVDEAYGTDEMEEVRKVLSLALRCTAKEASQRPSMAVVVKELTDARHVFCSYSKQNSGPSNS >OB08G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13224480:13224938:1 gene:OB08G23960 transcript:OB08G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNPLLLRFNAMPSLISVPKDEGILPPRMLPPSCSSISISNCDRESGKPPLNLFSCKRSCDIDLRLLTVVSAEPFKKLKLKSSRYNLEHPEISSGISPYSLFPERLRLTKLASFPISGGIGPTSLFPDQFTYVTLIHLLRLAGMSPLKKL >OB08G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13242549:13244051:-1 gene:OB08G23970 transcript:OB08G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSSLSLWLSLCSPFSVSLRLPMATSCTFFFSSSSPLLLLSPKEEALLDMGVARYVGFTSPAMITSIFSSHIGGGSSEAAGFAPSADAPSSSPRPAPPPRQPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSMAARPPKRYGTGECHAECAAPAAATGATTAALAAAVSLNPAPGLIASSALG >OB08G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13242568:13244934:1 gene:OB08G23980 transcript:OB08G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNETAAAKAAVVAPVAAAGAAHSAWHSPVPYLFGGLAAMLGXXXXXXXXXXXXXXXXXPGCRGGGAGRGDDDGASADGAKPAASELPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSNNGEDDEKKKVQEVAMGSLKDTENGEHSESQRDRDEHHIPENIQSAEIWQTEYNEQILEDDV >OB08G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13258077:13262801:-1 gene:OB08G23990 transcript:OB08G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVSQADAALKAGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIARADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVAGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGRLAVQLVTGDSGGIKGVKVVYTSARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPAAEAPLESIQVRLSHVQSKFAGAIGDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQVDQPGMIGKVGKILGERNVNISFMSVGRTFRGKQAIMAIGVDEEPDKDTLENIGHIPAIEEFVFLEL >OB08G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13277728:13277901:1 gene:OB08G24000 transcript:OB08G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCASPDAPKRQGARLVAAVSLLLLSDEIVPKDTLVQKCSRIAQLPLQRSRSFCTCN >OB08G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13278958:13283510:-1 gene:OB08G24010 transcript:OB08G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAARMERFLRGPGGDGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPERWMEAELGMKIACGFEMMYQERRREGEEGKGSTWEVYKKSLEATGCFDGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGNELPPSDDDSWLYNGEDELAAELRERQKEMEEYEAAKQNRKGQKKNVPGSSSSQPNEFNLGEITESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGHSKDDAVNEARFDGNSSSSDMDFEEGSDYESDFAKESGEKDVDNAFMESYSDALNKELSMTTIEKSFARVPHPDTSNEGPSNAADTDGEMIPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPSDAKKP >OB08G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13285490:13290631:-1 gene:OB08G24020 transcript:OB08G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAVGRLYEPNNRNKPSSGKSRDDLEGFIAGLEAMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLLVGRTGKHLFLTDNDDGRRPLLLRMVDDCDDIKFRSALRTFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDKGVTNNDEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGEDVINHMMDNFLV >OB08G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13293702:13297258:-1 gene:OB08G24030 transcript:OB08G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDLLDLKLSFPPENKETHENTKSNEQSSSAYTLNLSGVNLDSFFAERMENTTAMVVPTQTHTVVQEKQSTNSRSHGSSSLEVHSKVIYSTGMKTSSQNTNQIEVTPAFANWDADFQSVSSEDVSKDSKKANLFNSALTVKTSNFPAPVTSINPVIPSGNETDMKSMKLEDSKDLASASGRLVKDESNSGIFPENSSAELNESSLSKSSMQSDQLPVRGDTGASIDEAFDDWQELSGGNEGSLSNSGEHMEGPIESNPSEIKTKDTWLVSSMESCNNVSDESADDWQAFTSSSGQGGDLMKPIEGPDAGQGGGLVKPVGETTSISLEHYSEANPVDLWPVDNIKELHNTEVLKETNDSFDDWQDFTTSGQAQSASHNQVGGMMEVSHATQKETDDDSWFTADVRGERNNDLLNSSNVVLDDVQGLSGSDLAQQSSLNISGEMMNISFGQHEVTDTSQSWMDSSNSMGTNMATTNTEDNSFDIWQDFTTLGHQKENFSKFERETTTTSSEPAKQSDPMDLWLTSNAQESNSSKDANRIDDSGGWQDFANFGQIQSTSFPGVGHSLKDSSGAEPLDLWASTNAAELKNHEQTTEDSDPFDDWQDFKNSRPLDTNLQVPPDASIFDNPSVVKPDVLQGLEFGSFAQLIPSQSQIDNKDNSNEANTVSSDEHLGRMKGDVDSLSSIWPTTSHDTQSVSKPESVDANVERLLSQMHDLSFMLKVELSIPDKPVDHSKP >OB08G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13301057:13303975:1 gene:OB08G24040 transcript:OB08G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:J3MTH4] MEESLVGRLEAAVSRLEALNGAGTVSGGGGGQVDHAAAQQDPAVLAFEELVAGAVGRVSAAAGKIGAEVADVTRVMEKAFLVGKDLLVRTKETPKPTMDSMAAFMGPLNEAILEANALAEGTRSSHGNHLKAAAGSLSALAWIGYTGKDCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDSDHVEWAKALKELFVPTLRDYVKKFYPLGPVWLPPGSSTSKAPSAPCPPSASLFTSSSQSSQPKTGMSAVFAEISSGKSMTQGLRTVTADMKSKNRTDRTGVVATEGKERKEAHKAPYSSSTKSPARLELQMGRKWIVEHHIGNKNLIIEDCDTKQSVYVFGCKDCVLQVKGKVNNITIDKCGKMGLLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWAEHSLPQQFIHAFKDGQFTTSPACHSGG >OB08G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13303899:13306597:-1 gene:OB08G24050 transcript:OB08G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLSFGDVDAMSRRVAAGLSSLGVRHGSTVMLLLPNSVEFAVVFLASSRLGAVTTTANPLHTPPEIAKQVAASGATVVVTEPAFVAKVSGLAGVTVVATGDGAEGCASFADLAAADGSALPEVAIDVANDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLHFREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFETVKMLQLVERHGITIAPLVPPIVVEMAKSDALDRHDLSSVRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKVPSPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGRQIMKGYLNNPEATEKTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAIADAAVVPMKDDSCGEIPVAFVVASDGSDITEDEIKQFVAKQVVFYKRLHKIFFVKAIPKAPSGKILRKDLRAKLAAGIPAC >OB08G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13324184:13324363:1 gene:OB08G24060 transcript:OB08G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPSRGASLLGLAQTIHPDRICMYKPCTHACNEARKGLFCPVTFPHHLGLGFGSSAIV >OB08G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13339469:13342641:1 gene:OB08G24070 transcript:OB08G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRCEQINRSDRPYRTHHTMEISDSSGGGGGDCSLSLPSGFGKRPWLVQAQGTQALSFVDISDRSLHTRAIPDLQGKLCLGCVHDGDWLLMADEITGDSFLFCLSDSSKVSLPPLREPLGHLGACVVLGPSPANRESAVVIASTEEPEESFLLRCHPGDEEWAKLTIQGLHSYILSGNLVNCAGQLYSYTTFGFWGLVALDVIDGGATIQARANLWWERPWDASSHKPYLIESSGDLFLVAVSYHGCHPYNCSFDGVSVHRLDRDRATLRKVEGIGAGRAFLIAGRYGFPCAAVEGVVQGNCVYVVLPGCDCERIYKLCLDDMTISLQTTLPHPTENLRGGFWSVPARIRAEYKESDQSIRRDMEVSVLNNFNNDEEEHTATISPWLDLPIEMLELILSNLSLVDRVRFQSVCKEWSLVSNPVAKAKAWPWLMHCIRQDGECKMFDPLHPMEYALKKVGLFDTDVRQAFRFSKDGWVVVFHGSSDHIFAINPITGDTVELPRLDYWYDFTGMAFSSVPTSPDCIFVGAISSPTGDWVRVWTYRTNREETTDDEGEEEQEMCWDDIAFDTSNALFPVARNNPVYFRGEFYFLGQRGNLAVFHPGNIVWRVLDKPEPIHPNLTVNDEGKEACYLVELTGELIAVFHRSANEPPRVLKLDETNMSWVEIEDIGGGTLFLDYRASMAMPSSEVGHGHGDRIYFPKYSEEGNQAFFYDMKAKKYSPTFYSGKEPMNCVWFVPKLHVDT >OB08G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13344752:13344925:1 gene:OB08G24080 transcript:OB08G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDQLYIEHNLIFLITTLQHTGVFVRTKEKVLLVEVQPSNHFVHHYTFSQTPMAAII >OB08G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13346606:13347493:-1 gene:OB08G24090 transcript:OB08G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAHNATTMCSCQDQNGSIAKRLPEPELSVQPFQAQADVSQLPTIDAVSPFFGQTQRGPVGSSSFFLVYKRSEPLHLSPAITIVLHNSCSILARCVVSHHAIQSIKNAHDMLAKKETER >OB08G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13348360:13348545:1 gene:OB08G24100 transcript:OB08G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFINYFLFVNMSPDMRILTICRFRLQQIVHGARLVNWLRSFCYMNTRTKDFNFVDTCLIV >OB08G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13353191:13358114:1 gene:OB08G24110 transcript:OB08G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCPNSIAQLLLVLSLSVFLLSNAHPVAPQSPLRQSPAPPAAANGVTGISPALVSTLRETLGAIKNVASIISSFPIGGILGGGDLRLSSAIADCLDLLDLSSDELSWSMSTTSSSAHPANAGAAAANLGTGDARFDLRSWLGGALGNQDTCKEGLDETGSVLGSLVGTALQTVTSLLTDGLGQVAAGEAXXXXDAGGPRWHARGRRGGQGRERQLHDGERGRGRGADGEREPVRDLREEGRVQGDGRHQEEEVEPDAGRRRHGRDGHLRPPELRRRLHHVPQRHCRCEREGVHGEGRDVREHGGAVEAPGGGAPVRLRPLRVLPLRLRGLPGHAVRALAPPVLPRLPHLRHRRLRLRQRRRGVPELPPRRAPPAARPEELRHRAGPPRRQHDHRLHLPVLQRHRRRRPPGGARPGRRRQRVLASGGGDADVPRPAVEAVLEGGVHAVVHRRRGAPRGVARVGRRVRARHALLRRVHEHWARRRRRRQGQVAGVPRDDEPRPGRQLHRRAVHRGQHVAAAHRRQVHRRPHVVITGEHACLVRP >OB08G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13358428:13360405:-1 gene:OB08G24120 transcript:OB08G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MTI2] MSTAFSDFGPLTERRRVERQRQERRRFMVAVGGASVLLIIIVMGGAAVAYNAGFGDGGSSSSSSGDGGAQPSLSGVSKIIKAMCAQTDYRDTCEKSLAKVASNASASSSPRDIVRASVAAIGDAVEKAFDKSGEIVSDDPRVKAAIADCKEIYENARDDLERTLGGIDAGGVDGLTKGGYQLRVWLSAVIADQETCIDGFPDGDLKDKMRDAMESGKELTSNALAIIGKASSFLAALNLPASSAASHRRLLSFAFDEDTPRQPDVPLWVSRQQRRLLKGKFQNKLTPNVVVAKDGSGKFKTINDALAAMPKKYTGRYVIYVKEGVYDEYVTITKKMANVTMYGDGAKKTIITGNRNFVDGRTTYKTATFSAQGDGFMGIALGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGYQDTLYAHSKTQFYRNCVVSGTVDFIFGDAAAVFQNCVLTLRRPLDNQQNTATAQGRADGREATGFVLQHCRFAAEPALKDASRPAVRSYLARPWREYSRTLIMDSDIPAFVDKAGYLPWSGDFGLRTLWYAEYGNKGAGAATAGRVSWPGYKKVISKEEATKFTVQNFLHAEPWIKPTGTPVKYGMWA >OB08G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13370791:13380606:1 gene:OB08G24130 transcript:OB08G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPQAGVGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAHPSSPVLSTSPSAVSVGSEKSGSTGRSSTGTNGQMSSYTNFSYTDFPSVPVDGQGECCERDGCAEKQLPAMEPAGGVEPATYVDNTSDPYSFCLHRSDDEDDDYELFQSDSKVQHLQNSDEYFKTVCFDSHQVDSSDVKESVSPRQDTENFIDSVGIDKIGDHIIDICNTRSSSLYSMEVQENEPVDFENNISLWVPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVPLADKSGKESWLDIVTSLSWEAASLLKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGADGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPVVQMPTASSQNNGLALNGFRTMDQTIVTCSPEYKRCKRLGAGSMEPESAHFISQDKTIACLHGMVPQSSTDPLVQQSNSSFCHCPSCARDVVNEIHFEEHQRETSGHTLDNDFNALSAHRRNLGSAESGYLFMYNSESGDKIAAKLSVPLNVQISHDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCERPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAAKLPGEHDGKIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIMVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLKKEIRKGQPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLQVGSRSSDSNDKSVGTSASTKLEKAPKGPEVLSNSKDGSLNQSSGPLHANDEEPNSSRIVSGLNGMEDTIAKINHSNSADVKDNLDHQESNIGVRRVFSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGTADLKNQAKAVAAQSSLSVRSGDTVEDLSSWLKMPYMKFYDSLNRNSGTAPKFGALADYSPVYITLFRELSQQGGARLFLPTGANDIVIPVFDDEPTSIISYALISPMYCFQTLDESNKNKDGADSMLSLPVYDSGNFNPFHLFEDFGSTDDFTSSISGTRGSFVPDLIHLRVSFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSEVDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSVNICEEDPQNASQE >OB08G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13381467:13395814:1 gene:OB08G24140 transcript:OB08G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec34-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73430) TAIR;Acc:AT1G73430] MAAGGGGRKTPWTEEEDEVLRRGVREHRRQNWAEIALALPRRGPKSCRLRWSQPLSPELDSRAFTPEEDALIVEQQRVHGNKWATIARCLTGRSDNAVKNRPQVQPEMSTMPLKAHTGDSFGGLNAPLTEQQNAAIAALSRAVSERPFPANLEKSLVKDGGAIVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSEVDDTLSLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGSGTAKNIVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASEASSIAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATASSNASGNSDIYVTWYRPLEKTVSCLSKLYHRLEPSVFTGLAQNASKAVAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASSDKVAELVQKVGAAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPVRVHNAMLDMVFPLGQPWRVRHCVFSSNKYTSGSVWPSTGLLPTPPAVVSCDHPLIHLRSLCADQNVD >OB08G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13409781:13410527:1 gene:OB08G24150 transcript:OB08G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRPAADAAAATGVSCSFLKACSLCRRELCPTKDVYMYRGDQGFCSEECRWQQIAVDEAREREAAAGRPERRGSLARHHSPHRAAPIRGRTRKTLAVA >OB08G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13413237:13413494:1 gene:OB08G24160 transcript:OB08G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAARSLLSSARVAGGAAAVSCGRRRAYSAPAEGATGNSSKEGFFWMREPRTGNWMPENHFGDADVDPAKLRSRLLFPKKD >OB08G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13415311:13416738:1 gene:OB08G24170 transcript:OB08G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGSGAAADLTVLVDDFYFSVLSHGRNDGDIDDDELFPISDEKYASELQLQEVIMSSSAVVVADADAASSSRRPMRPVIEGQDVVVKGNYSYAASSSRSSTSTPSAAAATATPGGQDVVVKGNYSYAASSSRSSTSTPSAAAAAATLVFCKICMDSVPPSDAHRACRGCEHAFCADCLAGYIGAKIQDRIADVRCPEERCRGVLDPELCQGIIPREVFERWGAALCEAMVLVARRAYCPFRDCSAMMLDDADGGGDAITESECPSCRRLFCARCAVPWHVGLDCAAYKKLGKGDRGKEDLLLLQMAEGRRCKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGKWGATHSSCSTS >OB08G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13418228:13419370:1 gene:OB08G24180 transcript:OB08G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEILNPGQNSCISLSSTARIIFVHPKFYIRDQSGVWLILITKMRLIHFLNYCFIVQEYGTSTKPRFTNTSWETEARNRRTLRLPTKPNTKNNKPNTINNKPNTKNNKSTKSHVRSVSSIGFPKEKIKRFLICFCTHHSTSISTAFSAAKKGEKNFSCLTSPPPGAPRQWRMPRETGCSTRPAASAWSPCRPRRRTAGAAAARTPSAARASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPWHAGVSCAEFERLGVGERGREDLLLVEAARNGKWKRCPRCRFYVEKSDGCLHITCRFVISDRDLSLVNLCTCVVSSTNSLK >OB08G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13422276:13425349:1 gene:OB08G24190 transcript:OB08G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14240) TAIR;Acc:AT3G14240] MASSASRHLLPLLLLLLLVVAVGGVFGGGGGGGGERTFIVRVDADAKPSAFPTHAHWYEAAFLGLLSSPPSALLADSDFGADLVIAIIDTGISPTHRSFHDRGLGPVPPKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDTDGHGTHTASVAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGNGQVLDGVSVYGGPALQSGKMYELVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGVGMVLANGLFDGEGLVADCHAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPADYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSLSATFVADGTRATMKTHFIRTVTNVGGRRAAYRATVRAPEGCTVTVQPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHTVNTPVVVTVQAPLQ >OB08G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13424540:13424707:-1 gene:OB08G24200 transcript:OB08G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEQCGEAGDVWASHGCAREDVVNSVRRPSEGMPAGPTPLGHAARMFRPGAIRS >OB08G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13447836:13448069:1 gene:OB08G24210 transcript:OB08G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPVYVGKARRRYLIAEELVGHPLFQNLVDRTGGGAGEAGTTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >OB08G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13460021:13461916:1 gene:OB08G24220 transcript:OB08G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNGSLYAVLGVAADCSDAELRTAYRKLAMKWHPDKCGAAGSSAGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNQSGSTVENGKNESFEDLQRQFEELFLRPPAPPPTSSSSFRSAQDDAGKSTKRRAGRT >OB08G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13460520:13460804:-1 gene:OB08G24230 transcript:OB08G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQVVTTVEPDWFIASRMSPRISPAPCTHTHTQRICLDQVSTQAGHKISHRRLVHEKRLEIGWKTLLHHRRRRCRRLRRHTGSSYWGPRALFI >OB08G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13469201:13471439:1 gene:OB08G24240 transcript:OB08G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTIRLVAVVVSSCLLLAQHLLLLAPAATAMSIGAGAGGGATATAVPVASSRDSSEDADADADVPPFFPGGSAAAAGCWNAVLHAEICAGDILRSVASLLLHDGEHPWGVHVGAPCCGVLQIVGDRCFRDLFADSPFRPLYAPLVNQPSFVAQATELRLGLPDGSGADDGEADEARAAVVTPPCSLPTLASHGLPSSNCIACMDDGGRGVFLEEEATRRWQPWQQHSVDESYAPEIFPTGAAIVTMRALETFSQLAWWARREQTLLIAADVRVKDRPLYCTEG >OB08G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13488607:13490567:1 gene:OB08G24250 transcript:OB08G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYLISRLHPSKKKARNDVESSSSTTTTLLLPRIRLVIRSRNQSNARTHERPPTVGRWGSSTSSGTRRWPARCRTPASASSATTTPKGDSWRRLRRKPRTADAAVPEAAATVGPRSPTVYDWWVTLPWIYAQSMELLNLQVHGTTLLKEKSECTRIRMGWVPLWTVLVESLYHLHKRCSMILFSLVGIHRTKKIARKRSYLCH >OB08G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13524137:13535873:1 gene:OB08G24260 transcript:OB08G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSKEFAVGIFDALARRRRQELERISKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREELIVLSASANKLSKLKEQAEEYASLIMEELDPEDLGYIEWSQNLGGVGNGNGGGGGGGGGGQQGQGQKEQGAPGRRCWRRRLSLRRAAARAQVAAEENWRRAWVLALWFAAMAGLFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKIIATAIALGICMHAGNHLACDFPRLIGSSAEEYRLVSSFFGAERPTYRSLLAGVEGVTGIVMVAKKKGDGDSVTFHRDILDDILSLIRGPCMGPGTCRTHMSVTECHRECHGTISLNLNPKKGGNQISRGYTWMYIAVPLVLYVGERTLRAFRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDCISVHIQSRGDWTQELKRIFVENYFAPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFARISSKHPNSTVGVFYCGKPTLAKELKELSLDMSHKTTTRFHFHKEYF >OB08G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13533991:13542289:-1 gene:OB08G24270 transcript:OB08G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAPPGALNLAEYAPAGARTVDCFRRIRKIGEGTYGEVFEAMDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLNHENIIRLKEIVVSPGLAHGTGGSDDYMYRGDIYMVFEYMDHDLKKVLHHSSPSQVKYYMEQLLNGLHYCHVNNVLHRDIKGANLLISGGGKLLKLADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLRKPLFPGRTEQEQLSKIFELCGFPNEENWPGVSKLPLYKTIHPTTPTKRRLRDIFHSFESHAVDLIDRMLILNPTQRISAQEALCAAYFMTNL >OB08G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13560997:13561687:1 gene:OB08G24280 transcript:OB08G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGVSGGGEAPARRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRGAGSLNFPESPPDVRYFPGAVLTVEQIQAEAARHANSLVGSVVAAAAAAAXAAGSSPYSQHPEAAAPARSTTSNDTAVSVPSTAGGGAAVFDGDDDVIDWSFMDALPVMPASPARTNAELVPAMDDFMYGFLHPATMLPGEDGAEDGSVDQTFFSVDLWRF >OB08G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13572109:13573425:-1 gene:OB08G24290 transcript:OB08G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G17640) TAIR;Acc:AT3G17640] MALPTSRRPLRFFFAVVVVLVLLGSVASSAAAVLHERDAAALRDIRAGLRDLPGSRFFESWDDATNPCDYAGVVCEPEDTGSDALRVSVLTLGTGLADSPGLAGTLPASLASLTALTDLVLYPGRVSGAIPADIGSGLRRLRLLSMSGNQLTGQIPESLAGLPDLHTLDLGNNRLDGSIPCGLLLPSSPSLKVLILANNGGLSGQIPDQFSGSQLFHVDLSRNSITGTLPPLPQTVRYFSVAGNSMQGSLDDAFGNGSAPTDLAFLDLSMNNFSGSIPRGVFALPSASSLLLSRNSFTGPLTVPAFASERAATPPWSVVDVSHNGITGAVPEDLAAVESLYVNNNRMSGEVPEAVARSVFAGRMTTFYAQHNFLTGFPVPPLPLPDSAALCLSYNCMELPSASAAGGCPTIGGPLESRPADQCRSSAAADAGSSGGDG >OB08G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13581072:13582327:1 gene:OB08G24300 transcript:OB08G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MTK0] MHKAMTMATDARAFPLFPLGPRQASFLRPVTALASSSSSAAAPIGCIGRLRREMMDGNAVDELVRRLLDGKKSKATSSGKKVQLSEAEIRQLCLAAKDIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPSANYLFLGDYVDRGKQSIETICLMLSYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIQRPVDVPDQGLLCDLLWSDPDRENAGWGENDRGVSFTFGADKVTEFLNKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPFRGKSQAD >OB08G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13592912:13594703:1 gene:OB08G24310 transcript:OB08G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWKALEAKIRRWIRAARAAGRGGFASERRLCFLIFHDLPISNITVTAAATAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIVDLHDALSDLLPDVSDIFAASKVAESIYVQAAEIRSRLGAAPRGLLSDFAWPLLGGPTKAAVPGGTVHPLTRYVMNYSSLISDYKVTLSELIVSRPSASARLTAEGNELAPSLAELELPEPENQSPLAAHIIWIIAVLEHNLESKASLYKDTALSHLFLMNNVYYIVHKVKDSPDLWNLIGDDYLKRLTGKFTMSATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFRSFNAAFEEAHRVQSGWCVPDTQLREELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYAAEDLEIAVNDFFEGVPPSPHIRRRSHG >OB08G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13606608:13609658:1 gene:OB08G24320 transcript:OB08G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARRGDRWGVVGSGERAGSAVLGGAMGSGEMTATEVAALLDLKPHPEGGYYAETFRDGSVSVTTAQLPPQYKVDRAISTAIYFLLPSGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQHPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKTIAPKAEPFLKYLIPSTE >OB08G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13611234:13611746:1 gene:OB08G24330 transcript:OB08G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGTSVTILFDGWRTSAWPGYVVSLLALFLAGALYQYLEACRVRLRARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGTSRSAAPRRRSPTARWTTSSTALAPAPRDPWMVLHAWS >OB08G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13619223:13622411:1 gene:OB08G24350 transcript:OB08G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRRLQLEVQILNREVGFLEQELQGLERIQPVSRCCKDVSEFVGAKSDPLIPINKRKHRSCSLYRWIRSKLCTCLSCLCCWCRCLPKPKKPSCFSCSCCSCCDTSCCRPSCSCLKTPSCCCKSNCSCCSSDCCTCSLPSCDCGCTGCGHCRPRCGSSCGTDQCCTGCSCSCPRCCSCAGCCPVAKCSCAAPCTGCLALLRRCLTCRSSCCKGQQPSCCKCQSSCCEGEPSCCCGGKGSPSCCCGRSCLGGAAPSPSCPECSCGCACSCPRCREGCSCPSCGNPCCAGGCLC >OB08G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13621614:13622767:-1 gene:OB08G24360 transcript:OB08G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLEADTRRPAGQAPPEQREAAGAGRRAGALRDGAAAGAATAPWARARAPRAALVGAAAPWFAAAAAGGAVTGWTAAQQLLPHRGRQWPQPVQPQSQLGRLQVQQSLLQQLQLDLQQHEGVFRQLQLGLQHDVSQQEQQEQLKQLGFLGFGRHRHQQQRHDKQVHNFDLIKSTCLIFFFMGASMILTQ >OB08G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13625401:13625832:1 gene:OB08G24370 transcript:OB08G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFVAFTLIALLSRYVPFALPPMARDLLADNSAEPARAARCAMSVAVAGMTLLVSLQCGAGGEHYCPDIRMEGRALWLNCAALFLGVVVGGVAVVLPPFAAVSPLVQVMVEHLTGFTETIAINAFAHDFCIFVKLVRSKH >OB08G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13626193:13626363:-1 gene:OB08G24380 transcript:OB08G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTDTILQCSLYRYKLHVLIDPCPLTAIHSFGRKERNNKRLTESQQHDTVIQTTSS >OB08G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13627511:13627966:1 gene:OB08G24390 transcript:OB08G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVDFLQVVPPWEQQPAGTALLVAKSALFIAINGALVSPSYLRWCLSCGDDAAAAGARHCLLEADVEQTCYLLASFATAVLGVALLAFHVASSPSPGVLPARASWLVWLTKVFTCGTLQFGLSIVHFCLRMIYFRLMPRHWILILGRQ >OB08G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13629209:13631928:-1 gene:OB08G24400 transcript:OB08G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRSWKSMLCCVGGVTGGEEDVPSSDENGRRGGGGHHRRLLSSSSASSASRVSLSSLSSTGTLTPEDLSLTLSGSNLYAFSYAEMRAVTGGFSRVNYPRPRGGPQGVAGTSSNTYSAIVGLDRALAHRANQRTLPFSSQAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNQSLEKHLFKSLDGAMPWMRRMKTAVGAAKGLAFLHDADTPVIYRDFKASNILLDSEFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRRSVDRSRRHREQSLVDWARKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLNSSPKSRPTMREVVKALEPILDMNDYLQIGPFVFTLVEDDKSKTKKEDDEKIEMKIEATVEEKHQSHHDRYRQKHPNSAIHADIVLQRDGLVGSYTTSLRRHRRTSSYFKERGA >OB08G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13654975:13664574:1 gene:OB08G24410 transcript:OB08G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSIQESVDMSALTLDSLYTKLKTHEMNILSRKVESMSNALGSPASSFDNGSSSSALNAFSAFNAMSDDQLEQLEEEDLAALINKLSRAMNNIRFKKRAGPIRCFACGGIDHIRSHCPKLGRAKKDDNGDKFKDDKPRSSFRGRRSTESLKKMLDQVCAAFEPLSDVDGDSEEDENKGRHISDVCLMSRDESDSESENNEISSLEQAILILSVKNKKCCDICARKRLVCLCVCSDFLDSDDLIGVGDFLDSDDLIGGAAAARSSAFMDRVENKARHRIFHDDLVVKAFFEAERAHRDQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLAKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHNELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEKDCYQALDIVHKLWPQVAGRFKDYILHPKVNGYVE >OB08G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13668832:13671244:1 gene:OB08G24420 transcript:OB08G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVAAREAAMPAPAPACSSSSIGKDSDECSPPGKEEEEVQSAYGGGGGRGGDLAGLEALEEALPVRRSISKFYNGKSKSFACLKETASSCGSAKDIAKAESAYSRKRKNLLAYSIMYENSHDTAAEVYETGPPKRPASLSRNSLATLASSSSRSSSCISIEETELPEQLLSPLSPANYENSADCYVPALQLGSCDPKSSSVPMRSFSMMDLHLLHRPRSSFRPKDQRTMD >OB08G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13673676:13678593:-1 gene:OB08G24430 transcript:OB08G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEMVALFPGGLRVMLIGDDAKAVRSAIAAMSSTGLRCRVVASYATASAGLRALSSNNVADVQAVLCDVHKVVSSGFDFRLVVETELHIPVIYILSTKDTVAGEDEEFLNLLLDTATYVVRKPLSSDVMAHVWRVVAWRRSCLEDRIPSGGVANVDALAGAHNEDGDNDDGVVIVDEQPQVHYKTVRPGGSRKRQLTIIDVDGNNGSNANCSGEAGAKSTNILEKVNENGLSRQHGSSHLQEYREKQQKEVHADDRRLLQPADSPFLKAILPSLNLSPLNPPPAGLSSVAGSGSSTAAPFQQFQQPADNAVISFVNNAAPVAVKAPPAAFAGSGAAAPFQVPAYQQLQQPFGSNGNAVISFNNIAPAVSAPAPARAQQPPSGVQLGAPPQKLFMGPFSYQGPTPPTLHNHIDLLHAFPPRVTTAMDKGKAPLIELPFGQPVDDLLDGETAYYGGAAPSIGAPRAAGVPQNNAAAGSSFMAPPMQPVFSITSPIMATQAGEGGSAAVVVEPEENAAATAEAAAPNSVVEPFVVLPDPVDPFAPLDGEDDIIMFSLESLLGLGLDDDATMLPLEDAGAATGDAAGGSLDGEEGGWDLDLDDILMNNKNDFEFAFLEDMDGSE >OB08G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13685871:13689288:-1 gene:OB08G24440 transcript:OB08G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETMLNFFPGGLRVMIVDRDMKAARIATAKLSGLNYPVVATHFTPRAGLRALHGNKMVGVQAVICDVHTLVSSRFDFRLFVETKFHIPVIYLLSMDNMVADEDKAFRNNLLETATYIIKKPLDSLSSNVMAQLWKVVAWRNYSLKLTNMVPPIDEATHAGVVGGDDDDISIIEKSQVLLKAMMAGGSRKRQLTINLDDSNRGSGSGEGDDSSKPQKHRVTWTPCLERKFERAVQHIGVGAKPRKILEHMNVEGLMRHHISSHLQKYRARKHKKDLDERPPTTLRVPDSLFLKAILPTLNASPRNPLTLIGNAAISFNNNVVPAAVQAPAMGQKLYLGPFSYQGLPPPSTQQNHIGAAGAGGSLMAPPVEPTFSLTEPIMASLATHGEDAGTDGAVVTSEEKVAEVVVEAEPFMVPDQVSAPFAAE >OB08G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13704853:13711034:-1 gene:OB08G24450 transcript:OB08G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVQMSGGMGFGLDGGGEAGGQVPDEMPYWNMDSPGKRHKSVLDGGMEIQYVPDSESYNDDGGCILLGEDGKRNPVQLCTAPNGIEPNMFTTSISRRDGGRMCDSLKTGKEETRGVSIQENDISIDEHMHGQNSAEAAIGEIIEPLASPMRSALGENYADTYFIEEEIKNKARYDVKGKGKLVLGNDDSGAGTMTGRFSPDSKGKAKMDAEENPLYVSSGDVMDLDPVIAEGMQSLSTDNMEPRRKERARQRAIELAPRFAFFKTDEDGHSDDDDDVEEELEPVANPQHWPGPVSTAIRIIDDRESKLKARELKSSNLDKPANKVISWTPTKDRKSPLRPAPSLTSLCLQTLSNNAEAIESLAGIPDELKHRLLTSLCHSRKMNAHLLGELMCDNPVEVELCECSWLSEEVFETTFGKCRTEFLQVLQLDLSGRCLPDYMLPATLARVPNCMPLLKRLSLKGNYRLSDNGLDTIISAAPSLSSLNLCECSLLTSTGIENLANKLNSVLTELYIDDCLNVDAMMILPALQKIKHLEVLSMSGVQSVCDKFVKELIPVHCSNLKELAFAGCLKLTSSSIKTIGGNCPQLSSLDLRNLNRLRDSAMTHLRNGCRLIKKLRLQRNTFSDEAISQFLEQCGGYLIELCLNNVEKTGNLTACAIARNCSTHLEVLDLSFCRELTNEALGLIVDSCSSLRIVKLFGCTQITDVFLKGHSNPLVTIIGIEGKVLEQAVGLAI >OB08G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13713898:13715773:-1 gene:OB08G24460 transcript:OB08G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMATLARPLMASLLAALLLSAASAADSKNNPADQLVSLINSNRTASKASSLDDNQGLGCIALQYIKAYEGQCNQVGQSKKPMESSFAETFAPNCGVQVATLSKITGRLLACQSNYATPGQAFDFLVNDAKSLEVLHSKNHTEVGAAVTGTSGGGPYFWCVLFSSGKPNTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGVGTWRLVAALLFSAACALAL >OB08G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13720188:13720541:-1 gene:OB08G24470 transcript:OB08G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIRGRTYALALFLSNRRGAGQHGDGSGRVSDMPLPSPICRRASSCHSASSLSLASGAATSPRPAPTLSVDAFNEIFLARYGCDLASLYLNEEEVQWLMLEYEAQERVSALPWPGE >OB08G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13724394:13728344:1 gene:OB08G24480 transcript:OB08G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxophytodienoate-reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) TAIR;Acc:AT2G06050] MDRPPPDNQQQAPLLSPYQMPRFRLSHRVVLAPMTRCRAIGGVPGPALAEYYAQRTTHGGLLISEGTIVSPAGPGFPHVPGIYNQEQTNAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISTRWRILMPDGSYGKYPKPRRLATSEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPMKLGMAVVERLNALQQETGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRALRGAYRGTFMCSGGYTRELGLEAVESGEADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >OB08G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13731900:13732559:-1 gene:OB08G24490 transcript:OB08G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTMVLLPVLLSIVLLSVSTATTALTQDFCVADLLRGGDTPAGYICRPPATVTAADFYNGGLAKPGILIEPFNTSLASAFVKQYPALNGLGISASRVDILPGGVVPLHTHPAGTELLYVLEGTMKAGFISSNSNKVYTKVLSKGDLYLFPQGLLHFQYNTGDTTAVGFAAYSSPDPGLQILDSALFGNNLPTPDVVKGTFLAEAEVRKLKARFGGSG >OB08G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13736414:13737265:-1 gene:OB08G24500 transcript:OB08G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVMLPVLLSFLLLPFSSMALTQDFCVADLTCSDTPAGYPCKAGVGAGDFAYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVGPVAAGFISSSSNTVYTRTLYAGDIMVFPQGLLHYQYNAGQSAAVALVAFSGPNPGLQITDYALFANNLPSAIVEKVTFLDDAQVKKLKSVLGGSG >OB08G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13770012:13770536:1 gene:OB08G24510 transcript:OB08G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSSLCSSRSSSSSSSSSPSSRTSNSIITIMDHRSPAGSLHGHGGGGGGDDGGDGDGGGGPGEDVLAGRQDGERVVPRLALHEPGPPGAEVARPVGLGAVAREVYLVVVAVGPAGVNDCLVLRHQLGDRRATTRVGPAESEQRYQVEDLALRQRHRRGRVPPVTRKNVRTC >OB08G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13769749:13772655:-1 gene:OB08G24520 transcript:OB08G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) TAIR;Acc:AT5G21482] MRALPRRMQLVVAPSGEKFADVPGGALWEEVLHWAVSKHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAQLEVVTGDGECHVCSRSADPDLFFAVLGGLGQFGVITRARIPLSPAPQTVRWTRVVYASFADYAADAEWLVTRPAHEAFDYVEGFAFVRSDDPVNGWPSVPIPDGARFDPSLLPAGAGPVLYCLEVALYQQHHHHDMDKRVGEMMQQLKYVRGLEFAAGVGYVEFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIAAFDRAVLNGMLADGVDGPMLIYPMLKNKWDPATSVALPEGEIFYLVALLRFCRPYPGGGPPVAELVAQNKAIIDACRSNGYDYKIYFPSYRAQSDWARHFGARWTRFVERKARYDPLAILSPGQNIFPRTPSSVSISAVVAPTTAAVTV >OB08G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13770920:13771762:1 gene:OB08G24530 transcript:OB08G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGPSTPSASMPLRTARSKAAMSREEMKRLSQGCGAAQLPLRRASSSTRFTRERNSTYPTPAANSRPRTYLSCCIISPTLCNPQRKRHHTRHDHTTIIIIVIDRHTGRDERNRAKKKNGSYVASPPRQKRARARVLTKEGIRVVTGVRLGRIASRPVRPPAREREELLVLHCTRRNARPGSREIARDPAGERAWVGRLGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVE >OB08G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13784215:13787993:-1 gene:OB08G24540 transcript:OB08G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRCKRRLPSPEGGPDDSAAAARAAGLDSLPEELLENIVARLPLRDAVRTSEAFPHIDEWLPLLAAKGVQCLTLSFWDYTDVNVEYYTLHPAIFACAQLTSLHLERCFLPTAPEGFAGFPNLTTLNLVYVGLPENGEKKLEAMIRMSPSLVSLELNNVEVTDDDFDDWIIQAPNLERLTIISDIDYGWEIRELPSIQEANINSEDYSIDRDFVKLLTCLAQVRQLEFLIPSTDGNALEGISCSFQKLRNLILHTNFYKASTILSTFGLLTRAPNLKHLEIEITDHENQSDEVDITFFDAMWTNSLFANLDFVSIKSATCWSNEMCFIEFVLSKARVLHEFYIYHDDTGSYSEPREKAINELAKYKRASPKAMVFFRDMEDTWLYP >OB08G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13790025:13791975:1 gene:OB08G24550 transcript:OB08G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLFDGVHHDRTDWARMDYVALGWPYATISNDLLQEYARMDYGSGTSSDRSSINPSAIANIVRALNLQAEFYSFRQGDLLVADYCRELKAMVDSLDNLVEPINDHTLLLLSTLNGLFNKFESLSSNKQYQVPHSAPADEYDPTAGVEGLIIEEKADDGYSTDTGNDYGGCGCGNTCCGDAYGDGGCGGDGCSDTDGLAKW >OB08G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13797600:13803071:1 gene:OB08G24560 transcript:OB08G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLAGGAPPLREPRDDDDDGGDGVAVARGAGECATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSAAAATRRHHPFHAYEDSEVASGSERFHYAYRRAGSGGCAVSSGDATPSVSARTDFLVGDEEEEETAAGGSDDEEAKEWVAQVEPGVLITFFSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYEKVMELYNVQRFNQQTPLPTTPNSEDEVIQSLKEDRPATPPLNNERLPHTLHRSLTAGRTIGYSRSDCLLHEHNLGNGHCRQRHHQHTGHQCCASVGLASTPKLSSISGAKPETSSMDASMRTSSSPEEVDRSGELSVSVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMNARLWWEENRARIHDQYL >OB08G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13805701:13806639:-1 gene:OB08G24570 transcript:OB08G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLIGLWQLYNHIRLFLLRPSSYVAPVWFPVRRARYLELALVIAGSVASILMELVVGPARHQPFDVDGTVPADHLHNFEHASVSLVLLVYAAAAIHLDRARAPRAGEVSLLVAAVAFAQQLVLFHLHSADHTGVEGQYHLLLQGVVAGTLAATVIGVAAPRSFAVSLVRSASLVFQGVWFLVMGVMLWTPALLPKGCFLSHEDGHDVARCRTDDGGAALARAKALVNLEFSWYLSGTVVLIVALYLRLCRLYREEPRYVPLVRRDGRDDDDDDGGDNDVEAGKGNTSHVLRKPLDIVRP >OB08G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13810630:13811535:-1 gene:OB08G24580 transcript:OB08G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF716) [Source:Projected from Arabidopsis thaliana (AT1G49470) TAIR;Acc:AT1G49470] MGTFLGHFVPGLAFAILGMWHALNTVKTYKLKGSSGFRAAAWFPFPSPLPGLKHLELYLLLSFSVLAIVDQLVDLPLLSFSIQPDALEHATMYLHLALYASVALAADAVASSHHGAQLGEVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVTASFVSTSATVVLPRSFAVAVVRSASVLFQGLWFIVMGFALWVPALVPRGCHGVTSDGDAMRSAVACPTDEAARRAVVMANLQFSWVLAAVWVVTAYLCLRVGESRSMEYRQLQAPSSSDVGALAGDGDATQKRVFPVSDNV >OB08G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13847572:13848468:1 gene:OB08G24590 transcript:OB08G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERDGHDQPNGASTASIDSFSQLPFIRPAREKKPPPPSGGSSPAAAAPIRLFGFDVPPDASTAASTATDVIEDNRDRAAVAAAAAAAAAEGTKQTASGSEAIGGGGGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQRAKHAQFQTAMAMHHGHGQYYPLPDPYAAFAAYPNHHHRFAAMPPPPHYPSWAGSRYYSGPGSISQPINGNPVAPAGMWRLPAANCVGMGATAPMPGRRPVTTPSLLGGEEPVVLGGAGSTSFSPSTSSSSSSASPHERRAKPVHKENVSLDLSL >OB08G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13848689:13848859:1 gene:OB08G24600 transcript:OB08G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRVCGYIVFVLIVVTISLSQISHFSILIFPFWQANIFLCFIPRKEILCVLRLLLP >OB08G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13853929:13856367:1 gene:OB08G24610 transcript:OB08G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34860) TAIR;Acc:AT2G34860] MAGVGCHFLLPPPLHSRRRHAARGADAGLRSHVSCCSRHDGAEECASKPSTNGKEEEETNASRRKCLACLCAVTLISASGPTMLTPNGLASDMMNKPAVCRNCNGSGAVLCDMCGGTGKWKALNRKRAKDVYLFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKKLLDKMYNGKILPD >OB08G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13858335:13861285:-1 gene:OB08G24620 transcript:OB08G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12050) TAIR;Acc:AT3G12050] MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRARLSSLLAGLPVLTGEGGLTLRTTTLDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEATTESGVVKVSGAAEVPYLADENADEDPDLRVTVRGEDGPLARRAKDAFFTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKTPTKAAAPAGGTATAPAPATKKEEAPAVKEKKAKGKDKEGFKTIEMTEKFYCRAKDLYEILMDDNRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTVISLKQTDVPEEDRYGNSTVVENTERGWRELIFQRIRGVFGFGI >OB08G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13875135:13875449:1 gene:OB08G24630 transcript:OB08G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSCPVCRSRLPSADLQQAAPSDQDPPPMRITIRLSTNRRRVRTNNDAVPPVAASPTQLAQAVTGEGGGGPANSTETVSSEWPPPSESDAVMSDVREGQRFFD >OB08G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13888087:13889775:-1 gene:OB08G24640 transcript:OB08G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAVAVADGGGRAPELVLGLGVGPRSGEEDEAWRREDGVGARRWAAAAASPEPSVRLSLVSSLGLHWASESVGVSRVFLKLCSFRCTFRTTGKICGIMTPSQLNV >OB08G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13910153:13911658:1 gene:OB08G24650 transcript:OB08G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQLWLLCATLAVSLLYYASNRRRCVGGRRCPPGPMPLPFIGNLHNLRGHRPPTLASLARIYGPVMMLKMGLTTTVVISSGDAAREAFTKHDRHLAARTVLDVARSLGFADRSMIWLPSYDPVWKTLRGIAAANIFSPRSLAALRGVRESKVRDLVSYFRGRAGEEVDVRHAVYGTMLSLVSSSFFSVDVVNLSAESENEFRQSMTFLMEVVSKTNVSDLFPFLRALDLQGWRRTTEKYMGRVISFLDDVIDRRLAEADGAASKHRDFLDSLLELVSAGKIARDNVTIILLDVFLAGSDTITATVEWAMAELLRNPSEMAKARSEVHGALGGRESVEEPDIARLPYIQAVVKEAMRLHPAAPLLLPHRAVEDGVEVGGYAVPKGSMVIFNAWAIMRDPAAWERPGEFMPERFLARAEEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFMLASLLHAFEWRLPDGVSAEELDMSHKFTVANFRTVPLKAVPTLIRSVS >OB08G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13916329:13919846:-1 gene:OB08G24660 transcript:OB08G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:J3MTN5] MVLSRASSSPDDPDAAVRCTFASRYACESLPRFRMPERSTPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMGSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRRKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCMENTAVLRAGIEATGRFDILSKEAGVPLVAFSLKDSGRYTVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVVREDFSRSLAERLVSDVVKILHELDAHAAQVVKVSSAVAKQSVSGDGGVVTKKSVLETEREIFTYWRDQVRKKTGVC >OB08G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13924456:13929643:1 gene:OB08G24670 transcript:OB08G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B2 [Source:Projected from Arabidopsis thaliana (AT5G22110) TAIR;Acc:AT5G22110] MAAPSAGTRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEARDKAALYRDRYQVLLQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSTLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNSETMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSSLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >OB08G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13937447:13940849:1 gene:OB08G24680 transcript:OB08G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MTN7] MDVEAGRKDKDKKGVSQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGFVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEESLTSTQPVVAGRPGRLRRFIERSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILVGLFLLQKYGTSRVSFMFSPIMAAWTFTTPVIGVYSIWRYYPGIFKAMSPHYIVRFFVTNQTRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFLSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFVIATLAAIGGSQSLISATFSVIKQSVALDYFPRVKVVHTSKSKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHAVLVALYFVPFLLLEGTYVSAVCAKILRGGWVPFAVSVALAVVMFGWYYGRQRKTEYEAANKVTLERLGELLSAPGVHRVPGLCFFYSNRQDGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVARVDVKDRVAVRRLGPSGVYGCTIHYGYADAIDFEEEDGLAGQVVGALREHVGGEEAARVEAARAAGVVHVRGKMRFHVGKDTRLVDRLGVLLGFYEFLHSTCRSALPALGIPLQQRVEIGMLYKA >OB08G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13942810:13943259:1 gene:OB08G24690 transcript:OB08G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNKMWVEFVLQIHLKTHSIRSLHPHRRSSSHPATPSRRPHTSSPPPSFPFPSTHASCCQRSFWPHVPASSRGPHLVLHRHVPRPLSHSLTSKSCKCTHGNPYMHIWESLFLCSLIFFLPFNLILIHSLFQILGFFYVFLIEMDAYHK >OB08G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:13947232:13959761:-1 gene:OB08G24700 transcript:OB08G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSSSSSPSAAAAVTTVLPTNQDTMVMYDEFDELLQNFRDGKEEQLVGFDFSSFLKEEESSEVTCLLGDDGDELPLGPSSVPPMGPPDITARQPQADNEQPPSSASSHCNVGPHTSDTGTSADKNCSSKRPVTPEARETASSKRSRTATPPAVAGTSVVCPFAVLKPSGLDGGATLADINARILTRPARPVRHPVGEFACAPRAAXXXSRPAPSGKTVAGFTRLRTAGSGTITIIRTRG >OB08G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14003036:14005553:1 gene:OB08G24710 transcript:OB08G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTAVPPQDESAAAPEPFRSLQIATAGAAASKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRGFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRAQAELSRAHALRDHAIRQVDATQLQITCYSCRHKFRARAAAMSSDVASYVSSVVTEGGDAEVDNDDDNHRRRRLLNAADGMMPRSHSRTMAMDLN >OB08G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14011636:14013596:-1 gene:OB08G24720 transcript:OB08G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARTTTAATEARMATTGTTPMEAVLPQTLAEGHSQGVLGERSFDLLRSAMMTLLDVVYLLDGVVLGLVFLHHRGQVQTLICVGSGDVAVLTLYEQIRDSEKETIIHFQLLVEDPEHT >OB08G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14016638:14017261:1 gene:OB08G24730 transcript:OB08G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRQRPGETLTAARRRRGVQGMRVLRKASEQSTQLTSYFELCRSLGVLNAAEFPAVERVPDGDIRDLEKLIMSHVEDSGKETKVASEEKALVAVEDTGVAVASKTVVTKDWVVFDDDVDGAGAGAGARQGHFGHYVNPFLGAPWGGRELVSLDGVMM >OB08G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14020575:14020976:1 gene:OB08G24740 transcript:OB08G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKSCAKKNCFPGFWNRHGRRCDAHESVPPRPRRRKLEPIFKEVSNVITVKQRTSAFKSMPHNFMKSLPGLTLGLFFFVFAYYYKPKFKLPSQDLKLVLKFFIIVYFSAFTCKSLYFSVFTIRLLNSHIYTW >OB08G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14019558:14028393:-1 gene:OB08G24750 transcript:OB08G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT1G68100) TAIR;Acc:AT1G68100] MRRGLLVLLLLAAATAGGGGQEGSSCPFHAHDQPHDDHHHGHGHSCGGDPHHEHHHHHGHGHGHGHDHGEIQRRLLPEELAEEADLELDGFGHHDHHHDHHHGHGDFQPELSPLGMWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKTMVDCLAIFGAGAMLGDSFLHQLPHAFVGGHSHAHDHQNQNHSHEHSHAHSLEDLSVGLSVLFGIVLFFVVEKIVRYVEDNSHKGAHGMGHHHHHHKRHEISDKAKLNHTKKDHEDKGIEQTEKESLHDGAIEKTDGVNHADSKFAIHKRGFSSGSNFTDRKPVNSESDPVPDKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTIKSSMIQLISLAMGMLVALGISLVE >OB08G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14028621:14028776:-1 gene:OB08G24760 transcript:OB08G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILIRVIGPRTLHLPRTQSLTRSVREKKLPTQSRRGNRAAIREKRISAENR >OB08G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14032253:14033274:1 gene:OB08G24770 transcript:OB08G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3MTP6] MGKTWAVITHLNSVAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLMEMVAEPVLYWIPVWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRKYRSGGGGAAAEDHKVHIAKVEHDHRH >OB08G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14039886:14040776:1 gene:OB08G24780 transcript:OB08G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRRPAAASSQSQRRSKRPRSAPSHHSPEQQKRQPRVWNPEDEVTILRALISYRAKNGALPASSQDTGKLHNMIRGQLTVKASTTQLSDKVRRLKHKYNLILTRVTKNGRDPDLPTAHDREVYELSRKVWGSKTSGAGAGSAGGGGRVYENAEVAGSDEEQGSRESDEDMESGWDDRDHRNKRMKAITVANGNGSAIAIGGRSAHGNGSRKGDVAENEKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMVLDALEGTD >OB08G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14061773:14066943:1 gene:OB08G24790 transcript:OB08G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGGALNVCFEGAEDLPGGGGSKYGTSVTRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCIGGRMVKWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANAEGNKSKSLEQITHKQARDRTRTDKKTNAAWWYKPEYIINELNINSVITTPGHDEILPINGITTQRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCALDHPEKPTRYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFRVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHASRDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCRLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCANIDGKLCMRAYTPTSMVDEVGQFELLVKVYFKNEHPKFPDGGLMTQYLESLPVIQAVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWEYSVGFVTEDILREHVPEGGDDTLALACGPPPMIKFAISPNLEKMKYDMANSFIAF >OB08G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14065624:14066933:-1 gene:OB08G24800 transcript:OB08G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELAMSYFIFSRFGEMANLIIGGGPQARASVSSPPSGTCSRRMSSVTNPTLYSHPSSGRFTWSMTYHTLSLSGYSAAHRSSSSRRRMSSSVRFAYTRCISVVSSGWSRSTAWITWYMGVIPLPPAITGRRRACRGLPLMMKSPRPVYSTWPSGPLTSMWAPTGSDSRYCVMSPPSGNLGCSFLKYTLTRSSNWPTSSTMLVGVYARMHSFPSMLAHTKMCLPTGRPSTWSEDGSAKRNRRTSRESSFLSTRRQGTLSRGLESATGAGALMASRMGASWERLDAPWTELSEL >OB08G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14071609:14075446:1 gene:OB08G24810 transcript:OB08G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinetochore protein Ndc80 (InterPro:IPR005550); Has 24780 Blast hits to 15608 proteins in 1321 species: Archae - 545; Bacteria - 2969; Metazoa - 12597; Fungi - 2181; Plants - 1581; Viruses - 39; Other Eukaryotes - 4868 (s /.../ NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54630) TAIR;Acc:AT3G54630] MRRGGGGGRRLPKSSLAPSTASDRTPLLDPHVLHPRNLDLAFSRRDSDAASLCSSRPSSIGTGPSLAGPVTNFSDRASQAAAIRVVNAYLAPAVSLRAPLPSAKDIIAAFRHLLERVDFPLQGSFEDDLLIFLRLLGCPYKLARSALKAPGTPHSWPPLLSVLYWLTLLARTREEVDASSSAFDDVSNDLMLYVTNSYSLYLSGDDGSVASLDEEYSSKARAHAEAAVESSQALEKEAQDLEVKRTKLTSGPSRLEALQAEEAAFTADVQKFEEVVKSWTAKIQEKKESLVRLEKELEAKAMDRQQIAAENEELVKKVDAQVVNVRDVDRMQREMLAVENDNAKLENGKAILEEKGWELDAAVVAKLEEIEGLVDQCNQAFRKLKPGVDFQYVLNPNGSSPVELLGASYKTIMKPALNSLADETRRISVSKHDESVDLEKQSQRNAKILAEKKNRISICQAKYDEVVALLDSQDLEIRNHVSRCEADARQMKYELEKKDQHLSTIEKEVEEFLKNSEKRLEDAKQESDEETQMCARELLKLIDSVTEYKEFMEASICGMKKELYEAIDDISSLPSKVASAS >OB08G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14084500:14085879:1 gene:OB08G24820 transcript:OB08G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMAPLLVVALSLAAAVVVPAAGGGGGSFDFRGDLDHPYAGLSLSTHEVVRHGARASKARAAWLTTKLAGALGGKRGDVSAADVRLAPLSDQAHSLTVGIGTPPQPRKLVVDTGSDLIWTQCKLFSRRRAASRQREPPLYDPSMSSSFAFLPCSSRLCQEGQFSFKNCTDNNTCVYVDVYGSAAANGVLASEAFTFGVHRAVSLRIGFGCGALSAGTLVGATGILGLSPETLSLTTQLGIQRFSYCLTPFADRYTSPLLFGAMADLSKHETTGPIQTTSLLSNPVETVYYYVPLVGISLGTRRLAVPAASLAMRPDGSGGTIADSGSTLAYLVEPAFEAVRKAVMDAVKLPVANRTVEDYELCFALPRRTGTAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVAKMATDGGGPGVSIIGNVQQQNMHVLFDVRNQKFSFAPTHCDQI >OB08G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14089932:14091847:1 gene:OB08G24830 transcript:OB08G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVVLALALWCLVAGGEAVWLELSTTAAKCFSEEIQSNIIVIGDYSILFDAYPTRPVLSVKVTSPYGNVLHHKDKVMQGQFSFNTAEAGNYLACFWVDNVAREMVVNLNLEWRIGIATKDWDALAKTEKLEGVALELAKLETAVEEIRENLMYLISKEADMRDVSDWTNSKITWLSLMSLSVCIMASIAQLWHLKRLKMISGSYTKLMQQELLKCHNFIENFTFIERLSRDAKFQCKKNHLRASVI >OB08G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14094417:14095720:-1 gene:OB08G24840 transcript:OB08G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPAWGQLERLRVLEIVGAALRDVAVNGAVGACPNLTDLALIGCECSGGAVAMTLPLVERCRLDFVVSGNCSLALAAPRVESLEMQGFCWISLQGGNALKNLTVAKNTGSVYNVEMGKLPGLEKLSLRGVQWSWGAVSSALQCARELKHLVMKVEFCGDHDTLEPFPEVDLVDFFNSHPKLTKFEIHGAMFAAMCQKNSLKNLDSRFSMPCLEEVLITVRSPLNAELKLSTLESLVKYSPRMQRMVIRISQMKNCHGSADGFFEEICKFMYMNNGRVRIE >OB08G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14100558:14101160:1 gene:OB08G24850 transcript:OB08G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCGRAWSSTATATATRGSSTGGGAAAAASTTSSGRASTRATGLTASTTATASRAGRAAAANAASTGKASATATASTASTAATVTPASGSAARAMASARRPALTAAPTPASSRVASSTASAATISGMVIDTRGSTSRTGSTASACTASPMAIATRAPGTRARSRG >OB08G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14103796:14104026:1 gene:OB08G24860 transcript:OB08G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKKKTSKTCGVRRVKGDKHRKQRAIIHPVISSSLVLRLNRSMCGFPLMRRFSELSISFCSLLHKTVSTIKVLC >OB08G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14106785:14107582:1 gene:OB08G24870 transcript:OB08G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAVMRMTWCLVGDQIKRRLPAAALLPPAIVSTTMEPWRGKGAAQPIVFCIGKYEATWTHF >OB08G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14110420:14112524:-1 gene:OB08G24880 transcript:OB08G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRHLLVLLAAAALLFAGGVPAARAQEETEREDEFTYTSGEEKGPEHWGKIKPEWAQCGAGEMQSPIDLSHERVTLVRDLGYLDHSYRAAEASIVNRGHDIMVRFDGDAGSVVINGTAYYLRQLHWHSPTEHSVDGRRYDMELHMVHESAEKKAAVIGLLYEVGRPDRFLQKMEPYLRMIADKEDKEEKVGVIDPRGARGRASVYYRYMGSLTTPPCTEGVIWTIVKRVRTVSKYQLELLREAVHDNMENNARPLQAVNNRDVSIFRPYPHKRY >OB08G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14120865:14123406:-1 gene:OB08G24890 transcript:OB08G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMAHLLLAAAAALLLSALLSAAPAARAQEADDQKKFSYVAGAKNGPENWSKLNASWAKCNTGDRQSPIDLATGRAKPMRSLGYLDYSYRPSPANVVNRGHDIEVKFTGNAGRLVIGGRAYQLQQLHWHTPSEHTADGRRYDMELHLVHDDGNDNIAVIGIFYVIGNADPLLRQLEPAIRKIADRKDQSEPVESVDPRLAKSQGAVYYRYMGSLTTPPCTEGVIWTVFQRPRTVAKYQLDLLREAVADGYENNARPIQKLNNREISIFIPEP >OB08G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14127874:14138226:-1 gene:OB08G24900 transcript:OB08G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSVVEPTSVAIVIAAEPFLTMEWEEESDAARQKAATAAAVPAPFLTKTYQLVDDPATDHVVSWGDDGGGGGESASSFVVWRPPEFARDILPNYFKHSNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKSAAATWPPFPPPPPFFAPRHFAAGLFRDGDGMPTLALRLSRTSEKIVNEVAGSGSTKLFGVHLSRADDQTSPGATRKRSLQEQPPTSPARKRTPMIEHSTS >OB08G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14156587:14157270:1 gene:OB08G24910 transcript:OB08G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMLNSNPEAQLELMNTMLQLEQLSAFPDHAGMVVPCTPPSPCMGAQGHSFSSVNQPHGVPGANGSAGYRDQYYTQLPAAAYNAAGGGGGGGGGRSEYHTAARPAASGGDGVGPAAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIRQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPTDAVAYPGLNGQW >OB08G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14175556:14179703:1 gene:OB08G24920 transcript:OB08G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAGEVGGGGRERMVVRRGWRREEAEGGGAGGGAGGGCSASSTSRGSSLCDSPLPSFVRQRGGPGSDLELDGLRTSSSNASSGSHEEDHGPVGRAVKEEGWIQVQGPIKNPAHRSAGECQDQRYRLGSVLFHGKNERKQHPASIDFGCPSVDRSSTHSPGFLVSGAGVMNKGLSESSQNKLGAPASPGTPSHNRQGATVVGLQQGWNSEKVALSSNGQRRHSGNSMVPPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFGEPYSSASSSSSLLDTGRVGNLTANSPFLSGVLLPEHVCGSSSHAGRGLSDVSGEDSSNGLGIRSGGANGAHPAVWSTGVCQKLDSAVQLSQSLPSSQESTQACTDEQREITTDLTTSSKPAILRKDVATQTSPERSRSSSPSGRPSFSRSLSAQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSENSTNIIEWKKRTVESKSSAWEVTETAKCISKIEGEEAKMTAWENLQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRSAHRKTHVIRSTTTTNLDQHISRTVKRPSHLSKNGQMSSLSGCFTCHAF >OB08G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14181429:14188383:-1 gene:OB08G24930 transcript:OB08G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPSGLMSPAHLQTPEAPRSLSPRVFPSLLLRPPPAAKPPERPELHSAGTTREPGRELSRERSRERKPPLLSLARALARTHAIHQRPAAAAAAAAMAGSGEEARVVVDLRAAAESAGDDEEVHATPLHEIESLCMRCGDNGTTRLLLTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEVLRGQNEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDQFLTKLRSLGLGEASFTFVLDDPAGNSFIENPNAPSSDPLLSVKFYERTREQQAALGFLVEPPTEEPREQPVSNSSTVEGNSEVLQKEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEEVDTLPSTCGACGTECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLHVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALERVHGFQLGDSTLEWKKKKWEDFKDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSIEDDSQLTIEEYARNWDQNEELGLNDMDTSSADAAYNTGNTANP >OB08G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14189434:14195151:-1 gene:OB08G24940 transcript:OB08G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34020) TAIR;Acc:AT4G34020] MLPSSRSLLAPTPLPAMGGPPPPPHLPNRGGHPLARPPACRAVTRVALAPSLSAAAATAASSSPPANKKKVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASCGSRIVADKPIADCADKVFDLVALPGGMPGSVRLRDSEILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKITCHPSFIEDLPTFRAVESNVQVSGELTTSRGPGTSFQFALSFVEQLFGPCTAEDMDTTLMTDVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLANVLRRAKVNVVLASVEKSRSILGSQRMRIVADKSILDASALKYDLIILPGGPSGAERLHKSRVLKKLLKEQKQTGRMYGGICSSPMILQKQGLLQDKSVTAHPSIVDQLTCDVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFDYPKS >OB08G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14196833:14203122:1 gene:OB08G24950 transcript:OB08G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGGGSERRGAAGAGVGAAVNNSSIFFGNFGGANDAAGAGVMGFSPVGIGDPTMGNGLMSGAAGMGGGGITVASVDTSVGPMDSVGRGDGDLSSPMAPVPYPFEGVIRGRRSGGIEEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQEEMMEMQKNLFPEMQKNQVSEVVNNPYGQKKRCLRRTLTGPW >OB08G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14217528:14218277:-1 gene:OB08G24960 transcript:OB08G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDILHFFTRDSSFQPLDLRPPSSVAPSSGEATPYMRRSLDAVCGGKAPRWIEFWSDAASDRRRRDSSSSEASTASSSSGCSSPPARRSRTPHWVDTYLDRLGSVLKNGGWRDTEVNEMVEVAASGLFDGEETPSVDTDAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAIQIPPEIAVKVERLAQSVARR >OB08G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14226279:14226476:-1 gene:OB08G24970 transcript:OB08G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRYHQVQVAPGTKSNLAVKSRRVRSVLNIISPERFLLTPDAIYSYIVFIFCSSSSSSADSSLEH >OB08G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14231244:14238243:1 gene:OB08G24980 transcript:OB08G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHSQAQAVGRQRRRCGWLLPLLVGAAFLGEIAFLGRLDMAKNAAAVESWTTSFYARSSSGGVGGGGGEGKGAVVVADAPPARGEVEVDDDDFRLCEERLEREDGVSHSRDFDKDPVLVGGATKDWNKCSVGCEFGFSATKTPDATFGIAPDPSVESILRSMESSQYYSENSIAVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIAKTMKHIASNEEAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEEKTPKFMNRPCSCSSKRGKVYHLFVRERGRFKTESIFLRSDQLTMGALESAVLAKFRSVNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFRFRDDADLEKYIKDHPCGKLEVIFV >OB08G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14244839:14250947:-1 gene:OB08G24990 transcript:OB08G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFLVCLAAAACLLVPAFLLLCTRVQGSRGVEQRRLQGGKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKRYGEIFKTHLLGCPCVMLASPEAARRRGILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLATAYRWEIVGGSDEVEYSPFPVPRRGLNAKLWKQEPEEEMAMDASGGVGVVTAASA >OB08G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14279106:14281004:1 gene:OB08G25000 transcript:OB08G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:J3MTR9] MGKHSVTVCCALVAVLCMASSLAQAQVLFQGFNWESWRMQGGWYNFLHEKVEEIASTGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTEAELRSLIEAFHEKNVECLADIVINHRCADYKDSRGVYCVFEGGTPDGRLDWGPDMICSDDTQYSNGRGHRDTGAGFGAAPDIDHLNPRVQRELTDWLNWLKADLGFDGWRLDFAKGYSAPIARVYVDNTNPTFVVGEIWSSLIYNGDGKPSTNQDADRQELVNWVDGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAAIRSRNGIHPESTLDILKAEGDIYVAMIDGRVITKLGPRYDAGGIIPSDFHVVAHGNDYCVWEKHSLRVPAGRRP >OB08G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14279417:14280301:-1 gene:OB08G25010 transcript:OB08G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVDEGDGLVRHPPHQAGRLAVAVVQPPQLALHGRLENALGREVERRRRLADAVDPVHQLLPVGVLVGRRLAVAVVDERAPDLADDERGVGVVDVDPRDGRGVALGKVEAPAVEAEVGLEPVEPVGELPLDARVEVVDVGRRAEAGAGVAVAAAVGVLRVVAADHVGAPVEAAVRRAALEDAVHAAAVLVVGAAVVDDDVGEALHVLLVERLNQRPELRLRAVLGRVQVVEPPRHVPLRRHRVRRRREPDVGDAGAGDLLHLLMQKVVPPTLHPPRLPVKPLRNKNTKTKLIIK >OB08G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14284337:14285959:1 gene:OB08G25020 transcript:OB08G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:J3MTS1] MKNYTSSSLCLLLLLVVLCSLTCNSAQGQVLFQGFNWESWKQQGGWYNTLKGQVDDIANAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASRYGTAAELRSLIAAFRGRGIQCVADVVINHRCAEKKDARGVYCVFEGGTPDDRLDWGPSMICSDDTEYSDGTGHRDTGEGFAAAPDVDHLNPRVQRELTDWLDWLKSDVGFDGWRLDFAKGYSTAITKTYVENCKPSFVVAEIWNSLSYNGDGKPSTNQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVDNHDTGSTQKLWPFPADKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEIIALATIRERNGIHAGSKLRILVADADAYVAVVDEKVMVKIGPRYDVGNVVPSDFHPTVHGKDYCVWEKGSLRVPAGRHL >OB08G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14295017:14295508:1 gene:OB08G25030 transcript:OB08G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXAAPPAAAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAAKAYDRAAVEFRGPRAKLNFPFPEQLPVHSDGNGNGDGASAAAKSDTLSPSPCSADADDQGEQMAWPQGGGETGDQLWEGLQDLMKLDEGELSWFPQPSDSWN >OB08G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14297474:14299295:1 gene:OB08G25040 transcript:OB08G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLFGSFRELIFFGFLFGSATLDDVLANFDSDLGILYSLVLNDAVKVSYVLHLMLAFPIVFHALQLNMDGLLFPSVRPLSSDNRRFGALTAALLIVIFLAANFILNIWDAFQFTGTTAAVAIAYIFPSAMALRDRHGIATKGDRYLAVFMIVLAVAASAVAVYSDACLGTFSWQAPISVVIGALASGR >OB08G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14306857:14307087:1 gene:OB08G25050 transcript:OB08G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFCQKQAIFHPLNYAWIKNLEVLIESFISSESFIYSLRVLIRDNQFSFLSVLICFGIIRNRTLFVGTEAVLVYMSN >OB08G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14310723:14319041:1 gene:OB08G25060 transcript:OB08G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT2G21630) TAIR;Acc:AT2G21630] MAEFLELEAQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLKPNPVIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTTETGPVVPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGMKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESIARFLLPASDCEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKALSEPIRSHKDLDKGSAPLYNKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAINQPTSNQFYFQFLTYYQHHEGQMRLRATTISRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGDYQKETPSSFSLSPRLSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDHEGHEAFAQLLQAPQEEADSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPAPPGGDVIFTDDVSFQVFMDHLQRLAVQ >OB08G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14320693:14321193:-1 gene:OB08G25070 transcript:OB08G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSSALLLLLNIAGALLSPRAPPTLAPTPATLAVAGDAEDVDFLFLPFLVLYKSGRVVRFMGTDTVPASTDPATGVASRDVVIDADAGLAVRLYMPNVANLTAGERGRSGKLPLVVYYHGGAFVTESAFSPTYQRYLNALASKAGVLAVSVEYRLAPEHPLPRA >OB08G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14327405:14328767:-1 gene:OB08G25080 transcript:OB08G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLAVLVVATCCSSLASALRSRATADPNMEVKFDFTPFLIQYKSGRVQRLMGTTVVAPSVDARTGVASKDVVVDSSDGLALSYIPSKAILVIVTTYSV >OB08G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14336097:14337035:1 gene:OB08G25090 transcript:OB08G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGDDEVTFEFVPLIRQYRSGRVERLFPENRVPPSVDAATGGASPPATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAPSLRQLGCDRVLVCLAADELELRGKAYYDGLLESGWAEDAAELLVSGEDHEYFQRQPDSAKAAILMDKLVAFFGGNK >OB08G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14337850:14338806:1 gene:OB08G25100 transcript:OB08G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIRIYKNRVERYFGSEFVAASTDAATGVASHDRVISPNVSARLYLPRLDGPTAAAGAKLPVLVYSHGGGFCLGSAFNPTFHAYFNAFAALAGAVVVSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRAGVRPRARVHRRARRAPRPRPRVLRPAHGERVARRGGDMAGAGQRAHLPPPRATLRRGHRAGQGHQRLPQTLGLAGRR >OB08G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14345631:14347348:1 gene:OB08G25110 transcript:OB08G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVVFCTCILLFIVIAMSGQAEARRLAATETATTRGGNEPCNEEDAAAAVVVEDSGSDQ >OB08G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14363619:14367451:1 gene:OB08G25120 transcript:OB08G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGSIPLESDSNSVMARPSWEMPATNSASSNAAAAAQVITNRSQSRRDRKIALQQDVEKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAVIISMAKSAYLSDVDRCTPSRHGQVSDQAASDSWSSLKRVTNVKQTPRRPRPSLNQDDRPGKENQSCTTNYFRDFSRSPKCSVAVEEKCAGFQTVSTVKDHKGTEDSTVIDSENISTEANKVSEELLTCLLNIFSQMRSSTDQDEDRSSSPSVSGACAGDPYGVMELGGRDIGPYKQFRAVDANSFDQNVFYSSNTFLGRRLKALLRKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPHMLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHRVKAGDGTVAAAAAVARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTARRVDEELEAAKRDYLQAAVGVSTASRLSIPKLLHWYLLDFAKDVSSLVDWVCLQLPGELQRHAVEASRRSPSPRPVQVAPYEFRFRYLLAA >OB08G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14372986:14377259:1 gene:OB08G25130 transcript:OB08G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50560) TAIR;Acc:AT3G50560] MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTVAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADGDGDASPRPTPFLAISPDAFHRALAVSAAGAFYCAHQVIPGMVERGRGTVIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHMIIDGAIGDPRSARGRCAGETTAAAAAADPDAVAQSYWHVHAQDKSAWTQEMDIRSPSLM >OB08G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14378262:14383740:-1 gene:OB08G25140 transcript:OB08G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLPPRPQPPPRASRPLPRPAVAAVLASLQPSPLASSSSVAPRXPPLGSARDLHCNDGARRGAALGMSSASAAEGGAGEFTEVVVVRHGETAWNASRIIQGHLDVELNEVGRQQAVAVARRLSNEAKPAAIYSSDLKRAAETAEIIAKACNLPNVVFDPALRERHIGDLQGLKYEDAATQRPEAYKAFLSHKRNRQIPGGGESLDQLSERCVSCLSNIVEKHQGERVILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGASGRCIVKAWGDISHLKDTSVLENAFGGDKHSA >OB08G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14387722:14388168:-1 gene:OB08G25150 transcript:OB08G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRPRRCPNSVTVGAWSARRALPLCRPSSAAILHPVVSIGSSLNILKMPPMPPPSPPPPPPASFVRRPSEDGRRSDRSEAGPSMRDGIGDADGCELLAGWLAAELCSDRAPRGRKRRRLRRYLRLRGEEDVGRPSASANNVVYLD >OB08G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14387897:14388532:1 gene:OB08G25160 transcript:OB08G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGPASLRSLLRPSSDGRRTKLAGGGGGGDGGGMGGIFKMFKLLPMLTTGCKMAALLGRHNGRALLADHAPTVTLFGHRRGRLSLAIHEDTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGRAPRRPPAPTASSPTCAPASSASSGPRTPRRST >OB08G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14397161:14399379:-1 gene:OB08G25170 transcript:OB08G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3MTT6] MAPVQTPEQTSGSLTVSPVPVAQRVLSRGKSLLSPSTPRAPPPAYGGIVPVLSIDGGGVRGIIPGTILAFLEEKLQEMDGPEARLADYFDVIAGTSTGGLVTAMLTAPDDQGRPLFAARDINEFYLEHCPKIFPPVSKGPLGLIKSLAGPKYDGRHLHSVVQELLAGKRVHETITNIVVPTFDIKLLQPTIFSTYEAKKDVSKDALLSDVCISTSAAPTYLPGHRFETKDKDGKPREFNLIDGGVAANNPTLLAMTHVTKQILLGNPDFFPIKPADYGKFMILSLGTGSAKVEEKFDAVESARWGVLGWLYNKGGATPLIDSFSQASADLVDIHASVLFQALRCERRYLRVQDDELTGDAASVDVSTPENLRRLVGVGRALLQRPACKVNVETGRNEPDMDRGTNEEELERFAKMLSEERKARLQRKQGSMKN >OB08G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14402933:14404500:-1 gene:OB08G25180 transcript:OB08G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3MTT7] MKPAVEQSNGSSALTLNPGGGGAPCRRGAAVVAVHAQVAAAVVREHRNRAEHRRRRRPRHHPGHHPRVSGGEAAGDRRPGGSDRRLLRRGRRDEHRRGGDRHAYGAGRPGTAALRRQGDQRLLPRAQPQDLPSQTKVNLGLIKSLMSGPKYDGKYLHSVVQKLLGDKRVNQTLTNVVIPTFDIKLLQPITFSRFDAQNDESKNALLSDVCISTSAAPTYLPGHRFETKDKDGNSRAFNLIDGGVAANNPTLLAMTHVSKQILLGNKDFFPIKPTDYGKFMILSLGTGSAKIEQKYDATQSSKWGILDWLYHDGSTPLIDSFSQASADLVDIHASVLFQALRCQRSYLRIQDDELTGDAASVDVSTPENLRRLVDVGRALLKKPACKVNVETGRNEPDMDRGTNEEELKHFAEMLSEERKARLLKKFNVQ >OB08G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14415457:14420044:-1 gene:OB08G25190 transcript:OB08G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3MTT8] MASSSSPGGASSSSPGDKVKLVTVLSIDGGGVRGIIPATVLAFLEKKLQEVDENPDARIADYFDVVAGTSTGGLLTAMLTAPDKSSGRPLFAAKDLAKFYVDNSPNIFPQKNWVLSKIAGTLRMVSGPKYDGKYLHSILREKLGDTRLSDTLTNVVIPTFDIANLQPTIFSKFELKYKPLKNALLSDISIGTSAAPTFFPAHYFETKDDRGQTREFNLVDGGQTREFTLVDGGLAANNPTLCAMSQVSKYITLEKDKDSDYDFFPVKPAEYGKFLVISIGCGSNHDEKYSAKEAAKWGVFNWLVKGGSAPIIDMLTTASANMVDIHLCVLFSALHSEENYLRIQYDQLKGSAGSIDDSSKENLDNLVRIGEELLKKNVSRVDLETGRYVDVPGEGTNEQQLTKLAKLLSDERRRRQNELPKN >OB08G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14427948:14430607:-1 gene:OB08G25200 transcript:OB08G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARDAQQRKRRLDDLMLPSSPSSSAPDSFKRLSNGKLACLVCPHRPVLDSPLMLSMHNKGSRHIAAQSKLREKEVSRQQEINKRLAISPEASFSYCGNQRNDVRSSDMKEKPLIEQTRQAILEAQSSRFIIDHVNKKSHDLKRASNSSLSDSHVTPSAPIKKWSGNTVKGELFVGDRTATKMIAQQKDFQKRQEQELKFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLG >OB08G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14433774:14434136:1 gene:OB08G25210 transcript:OB08G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPIVPGTDMYYCSWGFFPLGFPGGPAFAGPTSSSPTQQQAPAAMGFYPMGPYLPLPGEGGAVEGNTAVGATADVATVKAPAPAAPLPVKPKHVKVGAKKRGRPKGSKNKHVVIEIN >OB08G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14437874:14443749:1 gene:OB08G25220 transcript:OB08G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFELIIMVKGNAEKDDGIHECQSISDISEDNLRHNFRLGDIAWVKHNGSWWPAQVVDNSCINSKRKKATKYHVPVRLYGTCVYLYVDPWKYNMEFKMMLKRENKSAMEAFHEVFKKELSYVNPPCNSTEEAANLKEKTSSKKVRKQKSLKESPATKHMGEDTRDQHSAEQHQELGYTATTGVATRKGRRMRERLSPDGEGQTSGKKASIEGSSYKSEKQVDSEYDEEPYKMMTGGSSVARREGLRQSARKPMKAYLDPLEDRTSPLSDTSASEDANVVDRTPESSNQHEDGSTVDGTSASHAEIKAMVRDILFSDIIAKQHAAEMAFVDEVINGICGTSELNITGDNAAVTEGGRGVKRRGSRVEAKCSNLTQRSRKGQTDQGGTNGKKRAKDTQQTMNLGSWEIGNSDSLKGAFDSTSRDAAMEELGQLSARQIRIMQSLALIAPSGSPFGKNGPFASTH >OB08G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14449987:14450712:1 gene:OB08G25230 transcript:OB08G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGECSAAAAVARKGGQQQQQAVVVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRCRADDATEGVDVVPGEGDEVGVEEEEDAGCYCLPADRGVGDRRWRRRVRAWVCCADRPGLMSDLGRAVRSVSARPVXXXXXXXXATDNDRAVALSALRAALRTVLLNREELLAAAAAAEGYKRPRFSPRCST >OB08G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14453488:14453922:1 gene:OB08G25240 transcript:OB08G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGCHLLALLLAFTAINCSYAARHLLDTAAAAPEAAPALPSIPTVPTTLPPMPSIPAVPKLTVPPIPSVPSLPIPAIPTTVPTIPTVPVTLPPMPSIPTTIPSIPTTIPTTIPSIPTTIPTIPGFQMPPIPFMSPPPKTTIP >OB08G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14453562:14453891:-1 gene:OB08G25250 transcript:OB08G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGGIWNPGMVGIVVGMDGIVVGIVVGMDGMVVGIEGIGGKVTGTVGMVGTVVGMAGMGRDGTDGIGGTVSLGTAGIEGIGGSVVGTVGMEGRAGAASGAAAAVSKR >OB08G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14454512:14456896:-1 gene:OB08G25260 transcript:OB08G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38090) TAIR;Acc:AT4G38090] MAMAAVRVAPRLRSLPLLLLGPDAAAAHRGCSSCGGSLAAVAPAPVRGMSSSSPSSVSPSPYTTLVGRVSCEREIKKSKFIAIAAPVPDERAAMSFLNEVSDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTIYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKVENLGNAVNSACSRKIELLL >OB08G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14457013:14459828:-1 gene:OB08G25270 transcript:OB08G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) TAIR;Acc:AT3G52300] MSGKKVADFAFKAGKTIDWDGMSKMLVSDAARKEFNALRRAFDDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELEEMKKKISTMTADEYFEKHPELKQKFDDEIRNDNWGY >OB08G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14463632:14464513:-1 gene:OB08G25280 transcript:OB08G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSQLGEGEGKAVDVAMGVPRQEQKQLECFSDEVNSREEAGVKETRGEAAGAEVSGAGRWGGGGGGEGVAKAAVAKRRRGRPPGSKNKPKPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPALGGLSISLAGPHGQIVGGAVAGPLVAATTVVVVAAAFTSPTFHRLPAEDDDAPAPASVSGSGADADEHRRRQTDPQEHHHHLTPLHPRSIAPATTTQPVYASPSARQHGEAWTPAAASPRPPYQ >OB08G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14471408:14478841:-1 gene:OB08G25290 transcript:OB08G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGGGGGGAGAERDPRPLLQPQPQPSVGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKNECRPPSADVKHDDGAEVSVVKVKNIEQRSASEENIVTEVETIAETNIAATTSSETSGANHTVENLNDKIKDMPSEVTSMEVPDDGHSVSAVKLPQSSSEVASFDRRKTQSNMKPTTSVENGSYTKELGEALVCKSQPSQPKISGSGSLINKESLIDSKKHEDCSQISNSRKYADNNNSQAVALEPKTSRTALHVEVGHSKTKAAVSDNTGVPKTVPSVLTVNKVAPTPGGRSVTPNSSKRADNISERNPKPSEKAISTANTLATSLKKIVRQQTVPKVVRHYPSEPTHFPYELFIKLYDKVELQPFGLHNLGNSCYANAVLQCLMFTRPLASYLLGGLHSKNCSKMEWCFMCEFEKLVAEGRQGKPALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKNGAHRLHEETTLMQLIFGGYLRSKIKCTSCDAISEQCERMLDLTVEIDGDISSLEGALERFTSTEVLDGDNKYQCSRCKSHERAKKKLTIWEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLKRYMSAKADDTSPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWYKTDDSQVKPVSLENVMSKCAYMLLYARCSPRAPRSVRPALMAQDPARVKKAKSRVNSGRWHGVDPINRHQGGQLHADHMTDDLPNTYDEYGHAPYSPAESPSPSESSSIFTNSDTGSHSTDSSESTRNSTSEDMEYYIFGQSDQVPYSDGSMFGHGESDRSVYSRSRSSLGTSSSGQEVDQYRPDEHRLQGTREGWSQGDESSSLYTNQSKHHFSSKLTEQYRGRLDGTEHDPGEASSVLLRRSARERTAQTFY >OB08G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14495037:14496191:1 gene:OB08G25300 transcript:OB08G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDALEDLAHLLERDEEDDGEGALARHGGDEPLVQRHDALRAHRLERAVDGAGVGRGRAGRHLHVHHPRLHHVHGVGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATAASPCSCILTLIKSSGCVEHPATMDAMPPSTNPLNPICSSSQDGRNQNQNEGQNCKKALRKEQSFGTGKKESAAIYRSPRKLEGYCQ >OB08G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14495043:14495237:-1 gene:OB08G25310 transcript:OB08G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPGAPPPYSGAIDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFKGVEF >OB08G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14506463:14513098:-1 gene:OB08G25320 transcript:OB08G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:J3MTV1] MASISGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAPSSGVCGLRLRRDHLPRSSYLGLARSNSVVYSTSSSPNSLTSKQSGGHAHAHEAVDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEEFLRRMEEPMRGAFAAMRELEKGAIANPDEGRMVGHYWLRNPALAPNSFLKDKIETTLERILAFASDIISAKIRPPSSSAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVVVISKSGGTPETRNGLLEVQKAFRDAGLDFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGVGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNQVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPVEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECDVDDDMI >OB08G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14527791:14530770:1 gene:OB08G25330 transcript:OB08G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSCCYDVAASMLLCAEDNSSILCLEEEEEEAEEAAAAAVGRKSGCRSLDGDFDGGVDLFPPQSEECVASLVERERAHMPRADYGERLRGGGGVDLSVRSEAIDWIWKVYTYYSFSPLTAYLAMNYLDRFLSQYELPEGKDWMTQLLSVACLSIAAKMEETAVPESLDLQIGEARFVFEAKTIQRMELLVLSTLNWRMQAVTPFSYIDYFLRKLNGGNAAPRSWLVQSWELILCIATGTGFLVFRPSEIAAAGTATVAAGVTGVVEDIAKACTHVDKERVLQCQEAIQESMVSINTVQPKPASTRRGSASSSSSVPQSPVGVLDAGCLSYKSDDTDAATIASHGGRKSCLDSSPVTSKRRKLSR >OB08G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14548640:14552011:-1 gene:OB08G25340 transcript:OB08G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDEGDGDEEMPPMPLSSGYEAPMQPGLGGGGVPKPGDSGGRFGTTPGGGGGGGGGGGGARYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTGVGPAEPSAVSPAAISAYGASPHHQFSPYYRTPAGYLHHQQQQMAAAAPDGRGGRRRRSGLPAAPSRASLHLPLWTRRGRRHVRDGRAHGDWPHGRHVPRLGWPVRLRQEAVPHQVHAGAEGQDARLRGAARVAHPEARRGRRAAVLRGGLRQAPRPQGVDAQQQAHPGEEAIAKQSSLELISRC >OB08G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14586180:14589167:1 gene:OB08G25350 transcript:OB08G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAFGAKKYHMLGVYLQRSWLVLLAFAVLLTPTYVLMEDLLLLIGQPADLASLAGRMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAATAGVALALHVVITYLVLLLLIYSLENWYYRVLVLLTGYLKNAEIAVDALSICLTINGWEMMIPFGFLAATGRCSQASPNPRATEVARTARPSTSHGVAIGSGWQALVAYVNVGSYYLVGVPLGAILGWPLNFGVGGIWSGLIGGTAVQTLVLAYLTVRCDWDEEAKKASTRMEVWASTKK >OB08G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14591943:14595944:-1 gene:OB08G25360 transcript:OB08G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAFNEIFRQLHLEAEARSEEMKKNKQLTGSRPTKVSTNKTTPGRGASQGTGGAMKNSDSEKGDSDGDSGKEHPNDNFKWQENGLKDNSHARAVVVKGKENGDPNDGAFDVKKLLKLRKNKGGKKNEAAGNVARNTNKAEPKKTVKKNRVWDDGSSNKKLDYTDPADKRGDEVIDQVVVRQGASMMDKDDVVSSDDEEEEEEDGEENSGDVQKKKGWFSSMFKSIAGNSVLEKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHSRRLQIPIFEKGYEKDPAVVAKEAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNTPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >OB08G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14597844:14599874:-1 gene:OB08G25370 transcript:OB08G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRVPSHEEQPPVDGDGDGDGSASLPSQRAGACGGDGAGIPVVDLGVLVNGAPEARARAIRDLGRACEEWGFFMVTNHGVTEALREAVMESCKELFSLPLEEKKEYMRAKPMDPIRIGTGFYSAVDAVECRRDYLKMFSHPDFHCPPNPAKLREIAMEYSNCTRALLLELTKAISESLGLAGDRLSEALNLGSCFQILVGNHYPVCSRPDEEALGFSSHSDHGLLTLLFQNGVDGLQVKHDGEWVLAKPLPGSFFVIAGDQLEIVTNGRYRGVLHRAMVGGRQSRMSFVSLIGPCLDTVVAPLPEMAADGRGLEFRGIRYRDYMEMQQSKAIDEKTALDVVRVQRQECLPSYEK >OB08G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14604850:14606168:-1 gene:OB08G25380 transcript:OB08G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLNKMQRLYDACDLVFSSPAAGASPTIGEIRWLQRLLDGMEAADVGIDDGESPSSSSSSSSSDDELSSKDGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVTPPPPFSTARKSGLARVVAADEVLHAPCKTSVLFPHSGGNMHAFTAVTPCAILDVLTPPYSEELGRPSTYFNDIPIPSLPGFAFLEETDLPEDFSVAGAPYLGPELELVVNTDDDEEDDDYDDYDE >OB08G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14611553:14613703:1 gene:OB08G25390 transcript:OB08G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAAAGGGGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAGGHAAAARAYRGRVEAELSNICAGILRLLDERLVPAAVAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDATKPDDEQ >OB08G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14613404:14613556:1 gene:OB08G25400 transcript:OB08G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGPFSCVLLSSGLCLPFVFLYWYCHPHVSLLLSCWNSARVWESPSSL >OB08G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14619819:14621886:1 gene:OB08G25410 transcript:OB08G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMFSFRSRSSSNGDKASNSITEASEMQGQFNKLQEELKNEKKEKARALDEIAELKKKKNGNELTSNGGDDKLDLVHRLQQLEGELEAARDSEKKLLESLGGQTKQLEQTKVSLEEAKLEIASLQDNKKSSAVFNALSSNHSIQPVRNLRRRGIMSFSFADPGEVETWSLQRELKLAVESEEKCKKAMDDLAIALKEQTTDARDAKMKLSLAHSELANAKIEMENSKALLEKTEEKLQLALEEAGRLKFESDELAAASKEKERGLVDCIRMFEGDLIKAKEENNNLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARAENSQLKEDISEKDNTLKSIMQDYESLKVSEAAAQSSIGELKDMIDAMFSSESTKTSAEVSPRDTKGNDVYYDHERTQLGDIRNPAMHKKRTILRKFGDIMKKRNSQSAI >OB08G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14622898:14628183:-1 gene:OB08G25420 transcript:OB08G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRHNATTLASRLIVRDNQRITALARAGDVAAARRVFDAMPRRDAVSWNALLTALWCTGRDLSTTCRLFDDMPSRNVISWNSIVAGCLAHGDLGAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARALFEQMPETNVVSYTTMVDGLARCGDVARARELFDAMPGRNLVSWAAMISGYVENNMLEEASKLFEAMPEKNVVACTAMITGYCKMGDLENARRLFDRIRSKDVISWNAIISGYVHNGHGEEAMKLYIIMLREGAKPDHATLIALLTACSALALLRQGRSTHAVAIKTLLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRYQKVISLFHEMELCGQTPNDITFISMLSACGHVGRVDESLKLFDLMSSKYSISPRAEHYACIVDILSRAGQLEKACSYIKEMPTDAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSNSESSGAYVMLSNIYAAAGMWNEVNRVRGQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMITDKTHMMEELAQECG >OB08G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14630527:14632770:-1 gene:OB08G25430 transcript:OB08G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3MTW2] MHPPMMLPPPPSPGDAEPSGARDMDDEDLVEELLATVNSARAFVDFRRTQRKECANLLRWLQLVLPLLEELRDAAPPLTEDAYHRLALLGRAFSAARRLLRSCHDGSKIFLALESEAVLGRFRSVYERMNSALDGMPYSELAISDEVKEQVELMNAQLTRSKKRTDTQDIELSMDLMVILENRDDERNADRAILERLAKKLELQTLADLRAETMAIKKLISERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSMTKSLEKCPSLMIPNDFLCPITLAIMTDPVIVASGQTYERRSIQKWLDSGERTCPKTRQQLAHLSLAPNYALKNLILQWCDKNKVELEKREPEPGAELDEQQRGAGEDIPSLVEGLSSIHPDVQRKSVKRIRMLSKECPENRTLIADSGGIPALIGLLACPDKKLQENTVTSLLNLSIDESIKRHITRGGAIPLIIEILWNGSPEAQENSAATLFSLSMLDENKLTIGRLGGIAPLVELLRNGTVRGKKDAATAIFNLVLNQQNKGRAAQAGIVPALLRIIDDRALNMVDEALSISVLLSSHAACCAELGTPPFMEKLVRLIKDGTPKNKECALSVLLELGTKNKPLLVHALRFGLHEDLSKISKTGTSRAQRKANSLIQLARKC >OB08G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14640786:14641830:-1 gene:OB08G25440 transcript:OB08G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSDCGGGGSGGGSPASLLPMVADGDGFGGVGMEEEMDGDEDMVMCGRGGGGAGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKKLERDYAALRHSYDALRADHDALRRDKDALLAEIKELKGKLGDEDAAEGFSPAAVGAAVASEEAVTGALLHHAEVFFHGQLLKVDDDEAAFLGDDGAACGGFFADEHLPSLPWWAEPAEQWTT >OB08G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14640837:14641265:1 gene:OB08G25450 transcript:OB08G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGEEATARRAVVAEERGLVVVHLEQLPVEEHLGVVEQRPGHRLLRGHGGPHRRRAVREGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRETLRGVLVPELPLQLLDLHGTRGPNPQIHKHCTPL >OB08G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14650864:14656082:-1 gene:OB08G25460 transcript:OB08G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLAVSSSHRTALPLPAPPPHPPPPPPSAAAHLPNSLSLSLPISPQLSPAPRRHLPPLLASASAAQDASPSPKPLPAAGGGAKPIPLLVSLAVGLAVQYLAPRPPEVTPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKLLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDSSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPDAPAIAAQKLKNMGPVTRNEWVMVATMILAVSLWIFGDAIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAVLSALALTYNSNLFGALTHYSSGQSAIYFGAGYVDLPDVFKLGFTTAAINAVIWGVVGTFWWKFLGLY >OB08G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14658860:14659442:-1 gene:OB08G25470 transcript:OB08G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSGSHLWSWSQEANGVKAEQRRRRGRDDMRGNDGKGRVSREARSRRTATEEWRGHRSDELAGYQDGGTGRLTGWGKEGECCLDHSRKKTGEARGPTGRTQQNASMAAGGDGVLGVTKQKRKTEREREQRPGSSDFFASRLGVKQKLNILCLGLKNS >OB08G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14659991:14667208:1 gene:OB08G25480 transcript:OB08G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKTEASSSSTTKIHIDLDIAQVVADYLSRYKLHVTITDKSGSLDAMAFSFVAEDLVELDAVHASQNMKIDSFDHPTALNNAIGKRRLFTVGMNMNSSSKFPISYVLKRSFPIDNTMENPMLTCEEPSKKKGLLQLPAPTTYTSSSSTPVKDTTVNKDSTPTEDFAADISTKKNSIMATKRSIDFSEDSVDKTMSTNKPDPPVVKHQKEEYGRGGSRIYVIHMVQTIPIAKNKMDSCSANLMSQLSSIYNQHMTSGLRCDRLLMSNATSNNLRKICSVHLANTHRARNFHISHATGDSSERVIINGQANPSKLVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLKTAFTVSVDAKEGTTTGVSAKDRANTVLALASPNSRPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFAKRENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFKAYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQLLRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGNQTSGRMKKEQDQIDSASEQE >OB08G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14669467:14677952:1 gene:OB08G25490 transcript:OB08G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIAECVCSTSKIYTLEKVDGFRLVCRSVVDLRSQKFHPRISKRKCYFRSSASEGEKIIHGARWLEFRRQRVAFQRTRRIIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKQWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPQFNSATAICHESQPMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSTVPDIIGRFMDMLIEFVPLSKAYTLTKDIGLQREFLCNFGPRAADSKFSGDRGVEISFWIDLVQKQLLRVLDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQAYLSSNRLTNLDDSINDIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYSGDVPTATIEGREDMHKGEVISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDLTRYDMPKDQTFPEPQEHLVGRTELASFDKSLESVEEALVKLENLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEYLEADASSIPLPPTVEEGRGQATSSANESLTPQKPTNRVENKRRPFWDLFGRTNGRRVEPVQQTSEQNASVADVDKKDTESNDILRFEQLRRELIELEKRVQKSADNAQMEETYVANETLDSSVSSPPVSVPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMAEAVISRGDQAK >OB08G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14684065:14684592:-1 gene:OB08G25500 transcript:OB08G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPARPTALPCPPPPPRRFRRGHTTAPPAHNTPTAPKNRLRERVAARGPLTRVTLPARTQAAASGANDAMRPPPPPPPPTPPPPHKKPAYASVSRPGDPSRASRCPRARRQKQAAQTTPCAPPPPRLPARLAVPTHPRHQSTGRLSGLHHTHPSPPMSCSPAGHRSRTQNRAN >OB08G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14686467:14689534:1 gene:OB08G25510 transcript:OB08G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAMDAGHHTSADSLCAVGHCFAVSSTVEILKLAQDAEQQKRWTLEPWRSVRNERPVLRLPPATSLFRSISEYGMGWDGSSMIRFGERFSEPVYCCFTDHYTLIHCAQFVLYLYALLEVKDYRVLFVLVPVVGLTILSSSISVYA >OB08G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14689957:14690572:-1 gene:OB08G25520 transcript:OB08G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSALVALLVVGCAAVASAMELTFYVGDAKGWTTGVDYTAWAKGQAIEAKDTLVFRYDRNQHTVTEVTKSNYDACAVSATPVSDFRSGLTIFSDLKAGTSRYFICTVGNHCAGGMKLAVAVSNSSSGDVPRAQPWSPPRSTGGASAHLHAGNAVAAAAVGVLVKLALF >OB08G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14693744:14694403:-1 gene:OB08G25530 transcript:OB08G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVLAAMLVAAGCAAAASAMEMDFYVGDAQGWTTGVNYTAWAKGKQFEANDTLLFFYARNQHTVTEVTKSDYDACAVSGNPISNFGGGALFTSIALSPGTHYFICRVGNHCASGMKLAVTVSNPSDPPRAQPWSRPRSAPTGASTRLHAGGGAVAAAAAGGGLVKLALW >OB08G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14697978:14698667:-1 gene:OB08G25540 transcript:OB08G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVLAAMLVAVGCAAAASATEMDFYVGDAQGWTTGVDYTAWAKGKPFEANDRLVFRYSGEQHTVTEVTKSDYDACAVSGTPIITAEWRQTAVTFITLRPGTHYYFCKVGNHCVSGMKLAATVSNSSDPPRAQPWSPPRAAPTGASTRLHAGSGAVVAAAAGGGLVQLALW >OB08G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14704280:14705119:-1 gene:OB08G25550 transcript:OB08G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVLIKLLVLVGCALAASAATTHTVGGSAGWSTGQTYDTWASGQTFAVGDKLVFNFAGGHTVTEVTKSDYEACSVSGNPISDTTTGPATIDLTSAGAHYYICTVAGHCTGGMKLAITVGSGSVSGTPPSTTPGSGTPPTTPSSSKPNGASASLQASAVAAAAAGVLVKLALF >OB08G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14717858:14718055:-1 gene:OB08G25560 transcript:OB08G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEAENSTLRGGAAGVNRSAVKGDGKEEEEEGDSARRGIAAWWLALAVCVGIAGVGLAIGKLLL >OB08G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14718055:14718771:-1 gene:OB08G25570 transcript:OB08G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHPCPPPPPPPPVTLAAVRPNRTGFSPPILPCRATPLAVPSSPAVSSLPRGSHHSIRHASSNRSPPQPPPPLSPTNTPQSEAITPRAGRLLLLLLLTTRGAGVGGGGWMPRRTASSAAARAKDASGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCRRTAGG >OB08G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14721027:14723677:-1 gene:OB08G25580 transcript:OB08G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFGKHGSVVDVELSMYNSSRNRGLAFVTMGSEEEALSALNNLNSTTLNDRTIKVDFARSRKKRPVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASNPGLQSVEVIFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAEPKEEDLKSELSEQSDS >OB08G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14726711:14727016:-1 gene:OB08G25590 transcript:OB08G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGVEQGKGVMELMVDDGANAYWDAVQSEYTRTYTVENTRRIIFSACHIRGILTAYPNFIIHIVI >OB08G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14727338:14729915:-1 gene:OB08G25600 transcript:OB08G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPLPSTQEGSRQVGCPWEIGYRLGGFGSSQPAIISKGDSLMGALGDWRCAAHSGAILRESPLQCIRVCYETARNLETAVEVVEHVAEVTEKLASNVAGKFPENGCLHKAVEKIEYIAEVVDEDAEKVEVIVDNIDKFSDKVDAEVEPVIKELQKEFKIQHPMVE >OB08G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14736209:14737724:-1 gene:OB08G25610 transcript:OB08G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGVEQGKGVMELMVDDGANAYWDAAAGGASSSTVMAVPGFEEPDGGSSVIADAALPPPPEHGGAAAGQVGASAAAPAAGRRKRRRARTVKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPASYVQRGDQASIIGGAINYVKEMEQLLQSLEAQRHARCARTYDVAADAAAAGVDADAASAAAALPFAGFFTFPQYCMSVTSTTARAAAAAAAAAENGNADDDDGDASGSKPSTVADIEVTMVESHANLKVLSRRRPRQLLRMVAGLQGHRLAVLHLNVASAGHMALYSLSLKVEDDCQLSSVDDIAAAVHEIVETVEQEQQQQLSCS >OB08G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14751259:14753640:1 gene:OB08G25620 transcript:OB08G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIHLLHLSVVPWMPWIEHSTGHSRIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSAANIEKTLDVINFLAQRYANNPRLLGIELLNEPSAGAVPLDTLAPYYKTGYQIVRSYSDNAYVIFCQRIGNADPMELYQADLGPINTVVDLHYYNLFDPFFEKLNATENIQFVYKNRMPQVQTLNKVNGPLVFIGEWVNEWNLTGASQTEYQLFGKAQLEVYGEASFGWSYWTVRCNSVHWDYEWNKRNGYLIGGSRLERPKYMLLVAGCLIYLLSILT >OB08G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14756542:14757956:1 gene:OB08G25630 transcript:OB08G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRGTNGCVCRLVVAAVIVALVGSGAAQTQGSTTSGPGPNYFNPKSFNPSMAVVIVVLVTAFFFLGFFSIYIRRLPAWGSVRRGEADAPAKGSAKVAGDGTTAVEQQCDGDGDGACPRPLGDHV >OB08G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14760358:14768145:-1 gene:OB08G25640 transcript:OB08G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHNLLVAGGHRRRCVGGGCGSRKRGRVQRRHLTQALESLWRHTPRPAPPAAVARGEANPSWKPPPLENPAFEEYYKEQRIVREEEWGDFISVLRNPLPATFRINASSQFCKDICSKLENDFKRYLESEVSDEYGEDAAKPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHILDMCAAPGSKTFQLLEMIHQSKEPSLLPTALVVANDANAQRCDLLIHNTKRMCTANLVVTNHEAQNFPDCCLANDPSEIYRNDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNALHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGTSIELLDVSNELPELVRRPGLSTWKVKDRGSWFHNHEDIPYDRKNVILPSMFPSSEGQSMCENIEVNTDSNRSFSRNFNSEKTSQVCYTNGVSNSNSTKHFDSTSNSMSSNFPLHRCMRIVPHDQDTGAFFIAVIRKLSPLNEGQKEEVTKTEHILSTDRALNFHEESQSETVPPGKTAMHQQKIGFEVLDDDEPLEDQKKICIDGCTSKDNLSKVSLVSGDVKNDQAESGNKMKLQGQCKWIGVDPVLFFKDVTVIKSIVSFFGINISFPLEGHLVTRSADTDNARRIYYVSKSVQKILQLNVQVGEQLKIASIGLKMFERHRSKDGCPCAYRLSYEGLPLLLPYITKRILCASPNDFLRLLQYRTVNFAHFIDARFGEEAASLLPGCCVVILHEGHQHLDLDSITMDPTTIAIVCWRGKATLNAMVSPPDRKELVERITHRFGFKAFKVEEDENPQQED >OB08G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14768440:14771753:-1 gene:OB08G25650 transcript:OB08G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:J3MTY4] MPLAPCASPPLPSAAPSPSPARQRHRRGGLLRPRAVRAAPSKWTVGSWRGLTALQQPEYPDKAELEQVLRTVEAFPPIVFAGEARKLEERLAEAAGGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDAFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFVWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPENRPGRITVITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >OB08G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14771353:14771775:1 gene:OB08G25660 transcript:OB08G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDIWRRTRKVSRMLFALNSLKLSAQSPPWRRNARPPAASARRSSSLRASPAKTIGGNASTVRSTCSSSALSGYSGCCSAVRPRQLPTVHLLGAARTARGRRSPPRRCRCRAGDGDGAAEGRGGEAHGARGILGNTVG >OB08G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14775417:14775737:1 gene:OB08G25670 transcript:OB08G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVKEEDPPPPPPPLGSGPGGGANAQLSAVLRDFGQGIMRLERRRMEMQWEIDRGWKETEARYSRMLLDAQRHLHEALNAASAAPAPAPAKKARREHGGSGDGS >OB08G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14780430:14783411:1 gene:OB08G25680 transcript:OB08G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAARDVEFAGRIGKVEESVRGVVAAGGVGVLSRTVEKLGVRFRVLRRTLRDPINQTATLAQKNSEATRVLAAKEDFLEKELGEIQKVLFVMQEQQQKQLELILAIGEARSILNDKNDLPGEDTARSSVTPEEENKQAGIKAETVTGGNNKP >OB08G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14791554:14793518:-1 gene:OB08G25690 transcript:OB08G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLYGRVALVLVLLLAAGAAGGEAATPRQLFLVTQAPVTLTNHHGQLLTGNYSVNLLWYGRFTPAQRATVADFLLSLSSPPAPSSSPAAAGGGPAPPSVASWWATTASSSQYSFERHLRSKNVDTIEQQLCEFGIPTLFMALMSF >OB08G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14805731:14810542:1 gene:OB08G25700 transcript:OB08G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G64250) TAIR;Acc:AT5G64250] MGWKGILGFDYGVVQAPLGPDISGPELVAAVANAGAIGLLRLPEWPAPDQVRNLIRKTRRLTEKPFGAAIVLAFPHDENLRVVLEEKLAVLQVYWGEFPRERVDEAHRAGVKVLHQVGSLEDAAKAKEASVDGIIVQGREAGGHVIGQEGLLPLLPRVLGLVSDSGISVIAAGGIVDGRGYAAALALGAQGVCLGTRFLATEESFAHPLYKKRITEMNCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPDQETEENQPIIGHTIIHGVHRDIRRFAGTVPNATTTGDIDSMVMYAGQGVGLITEIIPASEVVNRIVAEAQHVIRKAF >OB08G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14813917:14814414:1 gene:OB08G25710 transcript:OB08G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAAAAGFFSVLLLAPASRPHPPARRLPLGGGGGEEEEEEKVRLGSTPPSCYSKCYGCSPCVAVQVPTLSAPSVPAARSGGGGDDAAPLVATFTNYKPLGWKCQCRDRLFDP >OB08G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14819407:14826236:1 gene:OB08G25720 transcript:OB08G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELLPVVDLRVLSQSDLDALAAASAHAVSPRSCPDANPLPPLKIDRAIFNESAGSRKQTFSRLRFGTASSSSGSPSPAAKPRGNDKEDSFIAHHLRLLFARGDPSFLSPIDQPESQTLALPAPPSPDPDLLTTNSRGVSVDLVNLALLVDPYDAELGKRTAGMTSESELMGFIASLAGQWVSQRMRRKFVDAAFFGDHLPSGWRLQLGIMRKDHKAWLHCFSYVSPKGQQFGTCKEVSAYLMSLLGYPDLKTGNIENSNMQQRDLCAEDGVNVLGVQHQIGSSMDNQSILPAASVTFSSHSRDEDERGADATDSYYCQECNTTFHGQSAYAHHLIAFHKVSSKRRKINKNVKFGEPIIGKDGKFECPVCNKTFEEQSRYFGHIGAHAKYHGLTPEAFLQTFAGKVGSESFSELSFSLQELTGSPQQIEKTNASETRSQHHNSSTKHGGNATRGIDLLNSNCPDNFNGHNQTWCRPEEIPPTTDAPSTWSYRNDVMDGADRTVPRIAPQTNDHMDRISGFAEATDFNDQAGRHQVFRPSSFGTANNCQGQIVDRAMATSKHAEVNNSIKARDANHNSCLNTISFPIATANNETSAALNDGNGSRITGKGFNGSFSNNDGAASAVFSNSGVNNKMPGSLGVADRSSVAARSFNAGYVNDNSASEANNIGNKNNSMVYQTNLTMRPISPCDLQLGFSGQKQQMFPGYGELRPAASGSPQLGSMARNSSIPSRPPQTQFGSMARTDALPTGSSQPGSLARPNLVPTGFSQFASMTRPATSVPPADPSQFGGMGRQTIGTTSEPTLVLGYAPQMVNGPPTQLGWDLSLSRMVSEGVIPVLCIWCNSQFHHFGPIDGQQSGSFGFICPACKEKMAGNHNTPNNGPWQT >OB08G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14829170:14831629:-1 gene:OB08G25730 transcript:OB08G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase [Source:Projected from Arabidopsis thaliana (AT4G30380) TAIR;Acc:AT4G30380] MAMSRMSSMLLLLVMGLVLGTASLATAASGIATFYTQYTPSACYGNKGMGKMVAAANDRLYNNGAVCGRCYAVCTGAAGGGGGNPCTGASVTIKMVDNCASKDGCTSTIDLSREAFAKIANLDAGIIKISYNPTGCPWRSGVETALHIMFLAN >OB08G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14832890:14835906:-1 gene:OB08G25740 transcript:OB08G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYFGENGWPSSNIFETPPSSDSDKEKLVDIVQDWKTERYKDILKSGTVKPRPGVLQLMDEVKGAGIKLAVCSAATKSSVILCLESLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFTDAIATYPDLSNVSLEDLKLLLQKSLVTG >OB08G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14839580:14847089:1 gene:OB08G25750 transcript:OB08G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MSCGGCAASVKRILESEPQVRSATVNLATEMAVVWAVPEDKDVKNWKLQLGEKLADQLTTRGYKSNLRDSSKVSSQVVFERKMDEKLQQLKQSGQELAVSWALCAVCLLGHISHLFGVNVPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGVNSLLKGSPNMNTLVGLGALSSFAVSSIAAFIPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDAEQSSFTEVPCDTLAVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKLTVEVRRPGGETAMSDILRLVEEAQAREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAALQHGSAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATKGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDEDTKDSWNNGWTEGEILSLAAGVESNTTHPLGKAIMEAAQVANCLHLQAEDGSFMEEPGSGAVATIDGKQVSVGTLDWIRRHGALHNPYADAENFGQSVAYVAVDGTLAGLICFEDKLREDSRQIIDTLSKQGISVYMLSGDRKSAAMNVASLVGIQTDKVIAEVKPQEKKRFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLADALELSKLTMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLLLRMRLSSRQQPIHHHEASNKPHISTSDVLPDDTGGSHKTYPPKWST >OB08G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14848053:14848364:1 gene:OB08G25760 transcript:OB08G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LESVYFNFIIPSECSIQIWYFYCSELKSLLTKYIRVNLYKLPNARQESKQTSIVRFRVDQGSSTLLVNVTSLHQQYTKQCLQILLLNSMAREEPQVDKEENVE >OB08G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14848627:14851541:-1 gene:OB08G25770 transcript:OB08G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRIIEEVEKSQDRSRSRSPRRRHRDDHRDRERRGRSRSRSRERHGRDRDRDYRRHSRSRSRSQSQSLSPVDKSRRRGRDDDKHRSKSRSRSMSKSRSRSRSRSKSRSRSKSRSKSRSRSRSRSQSRSHHSASPTRHSASPPRKRSPPLRSPVDNGSPEKQTNGKGSPPSRSVSPSPQRAGSRSPGSDDKD >OB08G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14853783:14853956:1 gene:OB08G25780 transcript:OB08G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIIFPM >OB08G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14857678:14858967:-1 gene:OB08G25790 transcript:OB08G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKTGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALASLRDQLAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQDCSVGGGGGVASSPFPWPEFFPDDPFVTDFL >OB08G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14872650:14872967:1 gene:OB08G25800 transcript:OB08G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWQTGLPKATWATQGGHCGNELETGLPLSLSSSSWLGDKTKNKMVGKVIRDSWKDNLSFPFKDTATLLQATGERKTHNTAHTTNNKDPGVSPVILLLPSSPPL >OB08G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14873311:14873802:1 gene:OB08G25810 transcript:OB08G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKVSGSEGSNVDDGYGYISGRGSRKKYEDDGDGDDDDSLASDASTGPAKVKVPSTPDGDEDGGRRKHHDDDDGGGKEEEEEEDHGMHTKFLISSGKKAGKTEKGGEGKSSKKGHNKRGSSSRTRFFW >OB08G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14879187:14879480:1 gene:OB08G25820 transcript:OB08G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQSETILLSRCNYLFNLYVFSILLLNSIVVTICFLFLRCPFSSLFADPAKFHREKSCSKEGICPCLTNASFAKHNHLSLVGEVSSRKLPLSSENS >OB08G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14881345:14882121:1 gene:OB08G25830 transcript:OB08G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAIHSAAVVVVVLFLHGSDEQLLPWLLEADGEDAFFSGAGCGSSWSFLMSSAFSSRRRELSRRTSAQPLNARLSSSRRRRLAPRRCSTCAHSSLSCRCLRMRDRRADSRFETIRRRRRLXXXXXXXXXXXXXXXXXXXXXXXAAAAGKTTCRFLQDALQLEHLHLHRVVVDANAGAGGEHGGRCRLLQRRRRGGDEVGLRRQRHVQAVVVVARRGAEEGRVRRREEVKARHLAMAMVVVVHDLALVSSWPKKLSAL >OB08G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14881359:14881688:-1 gene:OB08G25840 transcript:OB08G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNRESARRSRMRKQRQLSELWAQVEHLRGANRRLLDELNRALRGCADVRRESSRLRDEKAELIKKLQLLPQPAPEKNASSPSASSNHGSSCSSEPCKNSTTTTTAAE >OB08G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14901564:14902355:-1 gene:OB08G25850 transcript:OB08G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVRLASDEILQALEAAPPSAAASCYDAFVPVFRPDPSGFSAASVVAAADRVRSQFLSVEPDLFHDALVAPGPDHLGFPDEESIRWDCLQLDEEDEPDLRLDAADEFDWEEVASPSGAGLDQPEPEWEVLADLPPPPPAPAAADEGFVYTSDRDVYEVLVGEGLFLKNKPPAARSAVEALPSAVVAAGEEGEGEECSVCRDGVAAGERVKRLPCSHRYHEECIVPWLDVRNSCPLCRFELPTDDPQYESWKASRAAAA >OB08G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14912604:14914832:1 gene:OB08G25860 transcript:OB08G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDANGGNGFGVESNASPAHGGMAMAAWQWHGQMSGGSACGAPPVQPGMDSLAWSSSVSPCTSAAVVIAGDGAGSFLPPPAVAVRGGFGHFPVGSGGRVERAVRPSSCSGAAGSGTASAGAFAVAASTNHVSDNDDAQPPGDCSSDSKKKRRSGEITRTDHASASKVLADSANDTECSKDANGELRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNPRIDLDIESLVSKDVLRFPGPPPSAPFSFSQEMMIPGLQLSRPAMLQGGIRGMIDQDLFSNVMQKQQQNDKGAFREPQQHGMGQVSSDQKADRSDTHINRMCIGQTAAELTGKKLIAYGKGGGSHIQYMGCSCVQISELKLHRLRQHELQSTMARNSSDIGRKRN >OB08G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14911590:14919799:-1 gene:OB08G25870 transcript:OB08G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein [Source:Projected from Arabidopsis thaliana (AT2G04030) TAIR;Acc:AT2G04030] MKSVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDQDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTIEVEEDEETKEGEEATEGEQKKKKKTVTEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEADMISLDQYVESMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLEMGDEDEDNKETKQEYTLLCDWVKQQLGEKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPDSTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPDNEAEAATTGEASTDSSEATVTEVIEPSEVRPESDPWRD >OB08G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14920910:14926576:-1 gene:OB08G25880 transcript:OB08G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPSYGVAHHEXXXXXXXXXXXXXAAAGGAETSSRRVSLTGVPWREGVEARRVRTRRGTEIVAVYVRCPRARLTVLYSHGNAADIGKMYELFVEFSARLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIILYGQSVGSGPTVDLAAHLHHIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKIPLVKSPVLVIHGTNDDIVDCSHGKQLWEMCQNKYEPLWIEGGDHCNLETFPVYIRHLKKFISAIEKLPAEKEASIEIEERLSENETPSDSSALSDAPWTTSQRLEPPRKSTRHELPPRLSTDHVDKRRRSTGRREKPRSSSIAADKKERSRRSVDTFDRTRDEYDQPDKPRKSIDRLGEMIRSMGLCNVDCFKESPRSTEPSRDR >OB08G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14932040:14933740:1 gene:OB08G25890 transcript:OB08G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPRNHASGGGRGDGYGDEQPHVLVVPYPAQGHMLPLLDLVALLAARGLALTVAVTPGNVPLLAPLLAACPPSSPGILPAGCGENTKDLPAHLFRPLMVSLAALREPLLAWCKAQRRRVTAVVSDMFTGWTQPLAAELGVPHVTFSGSGAHYLAVSHSLWRRMPRRHSPDDADEAVAFPDVPGSPSFPWRHLSWLFRQYVAGDEVSEAIRQIFLWNLKSSCFVANSFTAIEAAYVERPLHDLMEKKVFAVGPLSDAVDRCADRGGKPVVSPACVAAWLDAFDDGSVLYVSFGTQQVLSPAQAARLADALAQSAEPFIWAARSGTAVPEGFEAATAGRGMVIHGWAPQVEILRHRAVGWFLTHCGWNSVLEAVASSVAMLTWPMSADQFTNAWLLAETGVAVPVAEGADVVPDAGQMASAIALAVGKGGTSVRERAAELGRSTAAAVGEGGSSYRDLEGLAIQLSSAVSSHFREHMM >OB08G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14938039:14939307:-1 gene:OB08G25900 transcript:OB08G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNGVPSRRRPHVLVIPLPSRGHLLPLLDFAHRLSTRHGVPLTVAVTSSNLPLISAFLASTPLAAALPIEFPDASSPEHSSHRPPPGSHHALLAIHLPGFREPLLSWARSHPDPPTVVISDFFLGWAQHLADDLRVPRIVFYASGAFAVAALEHLWKGVLPLDPNSSPVVVLDSLPGSPAFPYDHVPSVVKKYVAGDPDWEFVREGFRLNATAWGAAVNTFDEMESEFLECLKRFFGHSRVWAVGPVADSGCRRAERSPEAEQLFSWLDACPPRSVVYVCFGTMYKPPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRARPGDARARVRGRRGRDEVGGRESQG >OB08G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14952363:14955435:1 gene:OB08G25910 transcript:OB08G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13635) TAIR;Acc:AT1G13635] MLTTSSHSRHHHAFEKSPSQHMVKNIDRKQLQGAMSHASKYLQRIYPLGIQRTSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLMSLERRREDDKVSDHDVEGEEEDSDCSEPGSLHRCSWITKNSDEAYIQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRRDMYREAFADFDPNTVAKMDENDVAEISGNKELKLAECRVRCIIENAKCIQKVGKEFGSFSRYIWGHVNHRPTVGKYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMVIDHLVDCFRFPECVRLADRSWGITNVAA >OB08G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14957523:14958035:-1 gene:OB08G25920 transcript:OB08G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMELHMIHEASLRVLVISTTFLKEATAYGFCLSEIGEMMSRQFSRKKEEPSELEVVCMEAKKWVEEGEWLLPGANFEGDYDNEPTHFDLDYEDDPVAFEASFVNKLNHVKGNSKNPLSKLAKVNEDEGDNSEFKKHDADICTSPDTTRATFASKLLISPKKLSFSGRNK >OB08G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14963321:14963998:1 gene:OB08G25930 transcript:OB08G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQNELQRGKEERKGPTHRSKYQKNLIFLQQASLRLDGHGDFHGGHRGGAPDAEPRGGERLQVERSRARVVVEVREARRGEREAEGEEDEPRGEAAHPPPRAPSATEAPVPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRVRPPPPRWPPPLLLLSASRGREIEDRFGRGYFGLFAWLFFF >OB08G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14979423:14982422:1 gene:OB08G25940 transcript:OB08G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRSSGGAGFDDDDGLAARREVSSSLKELAVRVEGKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQLLRELLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKIVPWTNIYFRSSWKNAQNKSQIPADTSPEPPEHLKNGSSYIFPFTGNSDNNNAVQTAAASGAQDQAETDPMSRMPYRSADTPSPNNVAEKVTSQSQVQLVRPSPAENNVGNGNMLNNSGLAIDEGTISLSSQYSQELLNKLNHALENSGIDLSQASISVQINLGKRASTPAATSTSKEHTDPACNSQAMGHQLRLGDGAEEHLQLQASKRHKSDNS >OB08G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:14995703:14996460:1 gene:OB08G25950 transcript:OB08G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQLEPGRRPAQQFATVDLRRPKGYAAPAPPQASAGDPCPRCESRETKFCYYNNYNTSQPRHFCKCCRRYWTKGGTLRNVPVGGGTRKKPSTSSPSSSSSSAASAAKRQKPSKKRRVTPTEPTETSVLTPTAADSTKTETTEATTEKTTSTTTTTKTTTPPVADTASEITTELVVPAVEEDSFTDLLQPDSAAVTLGLDFADYPSLTKGLADPDLHFEWPPAAFDVASYWPASTWFTDPDPTAVFLDLP >OB08G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15000126:15000479:-1 gene:OB08G25960 transcript:OB08G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAYHMRLTLEHYTCMVDLLGQLDGVAKVRAGSRRRLGCSYVEHMGKVHLFMADNHSHPQAKRIYELVVKLEQMVKEKTGGGGVVEKNGDTATAAGTAVPFVGFRWWTRRKWISKC >OB08G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15000975:15001229:1 gene:OB08G25970 transcript:OB08G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLFRPHLLIHHTPLNHTYMQQTNLTFSLSTIQALSCMSTYTYVQASRSTLHATTQTWPPLQASNVTVSKQTLARRMWRNREK >OB08G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15004492:15006294:-1 gene:OB08G25980 transcript:OB08G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFHRNEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHSSSSQPPPSQQLSPPPPPQQQLESQPQQLPPPPQQQQQPPPAQYSLPPPPPAPQAPPPQQKVHIPGVAVPAPNQPPAQPNLPPPPQPPAAPAPLPPQHHQIQQRGDGFHRPGGNYGGGAIVVGNGGPAGGSDGPGGTTLFVGELHWWTTDADLEVELIKYGPVKEVRFFDEKASGKSKGYCQVDFYDPTAATACKEAMNGHPFNGRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGGGAPQVGGNYGGGRGGGPGGGAGGGGGNWGRGGGGMGNRGPAGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMMGQGFDPTGYGAMGRMGAGFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGNHGKERADRPPDREWSGASERRREREKDIPPAQDWPERRHRDERDMGRDRDRDYDRERERDRDRERERDRDRERDRERERDRHRDDRDRYGDYHQHRERHSERNEDWDRGRSSGVRSRSREVDHSKRRRMTPE >OB08G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15008189:15008990:1 gene:OB08G25990 transcript:OB08G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALALVAALLAASAVVALSAESQAPSSSPKPSNSSPSSSGSSASQSKAPSASPEKSEKAPTGSPTAKSAAATPKATPAKAPSAKSETPTESPAGSESPHASGAAGKAPASAPKDSSSSPSSSPSEEEASPPDSGDMEEDTSQPAGEAPSTEEASGPAADSPPETAASDSPAESPGPGAADQSGSAGMSTGVGAAGSVILEELGD >OB08G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15013089:15013526:1 gene:OB08G26000 transcript:OB08G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGCKAFASLVAASPDALATFQSAADGGVTAFCPTDDAMRSFMPKYKNLTADGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGSAKNFNFTVQNEGDKVTIKTDASDGVARIKDTVYDKDPIAIYALDTVLEPVELFEPVE >OB08G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15018838:15019284:1 gene:OB08G26010 transcript:OB08G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFLCSRLFKIYLWLVYSHYYTCSQTQPLCDLFPLRLRPRTSVMIDTHHFAFLTFCSRACDDATERSGLDALRCSFPGPVPSATHEKKSTSAHTSVEPPGTCCRSLPWPPRAAATTSLVRGSRYDTVRTPSYGRTSTTKVTCYGGV >OB08G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15020228:15020824:1 gene:OB08G26020 transcript:OB08G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGITVATTLLLVALAVVAPPFAHAASEYLADAPVAAKESPAGDGKAGAPAAAEESSDGKADALAVAAETPGAKADAPEAAAESPPADAVAESSTEDGKADAPTAAGESAYAVADAPAEATDSSASKSGDLPYVQFVIKNPVKSKEDPDARADGLPIDPTPDAQAAFSLGKKILGGAKKFLRGRSSGRVRSRRGRRG >OB08G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15027775:15028545:1 gene:OB08G26030 transcript:OB08G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGITVATTLLLVTLATVAPPFVHAALEYPADVPVAAEESSDGKADAPAADAETPAVKADAPAATAESPPANAAAESPAGDGKADTPIVAEEFSDGKPNAAAVASETLGVKANAPTATTESPLADVAAESPAGDGKADASVAAEESSDGKADAPAVAADSPGVKADAPEAAVESPPADAAAESPAREGKADAPTAAAESAYGVADTPAEATESSAGKTGGLTYVKFVIKNPVKSKKDPNARADGLPIDPTPDGQM >OB08G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15033296:15034110:1 gene:OB08G26040 transcript:OB08G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSGSVLAMLEAVMSFVSVDKLAVHFHDTYGQALANIMVSLQMGINIVDSSVSGLGGCPYANGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRPSGSKTTTALRKLTT >OB08G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15034287:15035056:1 gene:OB08G26050 transcript:OB08G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3MU24] MWALGQATSPIRGRKPLPPRPQPRHVTDPRLIASTPSLPNPTDDPMPPTSSIRGAPPPTNRDPPPHLYKITRSSSPTQRNANPIPNSETTPELAAPPLANPMAPKAEKKPAEKKPAEDKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OB08G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15043770:15047302:1 gene:OB08G26060 transcript:OB08G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVVEQTVPSNQAKPAVDPVPAKASKAGKDGRSDLITKEIIEEQKPSHQRQESSESILDKGPSNVGSDSGVLDVPLTPKEGSGELKEMQEFDCNGNQQKKTSQKSSTSESFASAKVSDGTNSLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWESIRMIRSKDGVLGLNHFRLLKKLGCGDIGSVYLSELSGTKSYFAMKIMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSKSKSKSKSKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPLVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPRPAPAPEKVAPAANQKGSDNYLEFEFF >OB08G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15053961:15054302:-1 gene:OB08G26070 transcript:OB08G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRWGLNLVSLLDSSHVSTIGFSLFSGWRNKEGVRDYQLDNVGSIMFILAGGSTACFLSLFSKARLRVMPNGVKVLSVFGFPSDKIFTSLFLLVPPRAIFFPFGSASLTCDP >OB08G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15055237:15056805:-1 gene:OB08G26080 transcript:OB08G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKREGCAAADGEEIVAGAQAPPSSPSAGAICDDVVRHIFARLPARDAVASMVLSPYHRRLITSQEFRRLHCRHGEPLPRPHIAYVATAAVFSHRHTIGRVKSLEKWAQERAQLGFGTGGFACMSRYDPADPDSSRYHGFHVAGAGRTNPMRALAGKMYNHRKYVGTCNGVILLSGGLLLNPAVADGHREVPIDTSSSHILGFGYGPRTGTYKLLVSEHKWVPNPKYPRSSSGGMARLSYGGPAYGGRARRPPSPSVRADELLVYSLGSAAEEQPRTLLAGEPGNETIRSRTVYIDGTVYLLNPDKGTVLAFDVDDEIITSIDLPGEPPATGGGEPQLHVKSELIEMSGRVCVATVHDGDKERLAVWLLNADRQWERRCILRNGWAWPRIAGVWDCGGVVLAVDEQSTISLYDDATGEVSQLNPPPDASPEMRDYRVCWGYKPTLVSPASVVGELNQGEQQQRDLAAKVLAAVNPLNEMNKRKGQDAALHIVCFMEFLVSVMRELPGKLHHGIGDLDELY >OB08G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15064506:15067939:1 gene:OB08G26090 transcript:OB08G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPQQQQAELSSSDGRRRSSGNPEIGDGKTEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGIVSCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPRRVLRVAGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRTFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGMTRLQFFLTVLITSFAYYIVPNYLFPTISTISLVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWTDAYGAKRFPIISSHVFTANASRYDVNQVLDPATFKFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKATVSSQAGDVHTRLMKRNYAVVPQWWFQVMLVLVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLGDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPTKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPDKAWIRLINMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVSWWGLEVDDHCALARCPTARGVSAPGCPVQ >OB08G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15073151:15079014:1 gene:OB08G26100 transcript:OB08G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVVEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPTWDQEFSFSVVGDVRDVLKLYVYDEDMIGIDDFLGQVKVPLEDVLAAENYSLSSRWFQLLPKGKTDKAIDCGEICIAMSLETAGATRSLSDDLVSELTDMQKDCSLSSQSTGSSVALSYQGSEACQEESVNGNSGRADTTEEDNCIQDTDKNQTTAEEKTNGIPIAASSWSEVSNTDKSSKPSFVDRFRQMFVRKSDVVTTPTVITDKSEDIQEATTGYEAPATASHINGASTDASFDELLKYFESKHQEVEMPVDLQGILVNKAYITSPSDLNNLLFSPDSNFRQTVVELQGCSDFKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGKEYSVLLSVSTPDVPYGAYFRTEILFRILPGPELDTEQQTSHLVISWRINFLQSTMIKGMIENGAKQGLQQNYAQFSDFLSEKIKPIDVEDAGSDKGQVLASLQGGKESDWKIAFLYFCNFGVLCSLFVVIYITVHVQLRSSGSHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIFKKISCFIQAREQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGTSMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEQIHAVPQSISSWSPSLVITLHKGRGMDAKHGAKSMDNGKLKFCLQSFASFNVANRTIMALWKARSLSTEYKMQIAEEQSRGNDTLQSEDSGIFVGVEDAKSLQMNEVFSSSISANMASLMEVFGGGSFEMKVMNKVGCLNYSATQWESDKPDEYQRQIHYKFSKKLSPIGGEVTGTQQKCPMPNKAGWIIEEVMELQGILFGDFFTIHIRYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSTRLKEMFVLASKQLPHAR >OB08G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15081685:15082380:-1 gene:OB08G26110 transcript:OB08G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLVAYLLYNTAVSIAILADMVRAALVFLGLPVPPAWEDGDDQLAAIAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVRAGVGGEPPPLRPPLPPRLPREVARLRPRHLPALPPPPPPRRQRSTLAVAVSGHPPFRPDLERSPFSSPLPPLGQLGVALPCARRKLREAHLALVLAPFSALFFPSFPNFFSHLSHVFTISEWPFFFPSFSCCCTV >OB08G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15107745:15111486:1 gene:OB08G26120 transcript:OB08G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRATAARLLVLLACACAVFVPPCRCASDQGEALLRWKASLTNGTRGGGGGGALDSWRASDASPCRSLKTLVLSGTNLTGVIPKELGDLAELNTLDLTKNQLSGAIPEELCRLRKLQSLALNSNSLRGAIPDGIGNLTSLTSLTLYDNELSGTIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCSDLTMLGLAETGLSGSLPETIGNLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNTLSGGIPPQLGQLRKLQTVLLWQNQLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGSLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNQLTGVIGIDFLRLRNLTLFYAWQNQLTGGVPASLAQCESLQSLDLSYNNLTGPVPRELFALQNLTKILLLSNDLSGFIPPEIGNCTNLYRLRLNENRLSGTIPAEIGNLKNLNFLDLGNNRLTGPVPVALSGCDNLEFMDLHSNVLTGTLPEKLPRSLQFVDISDNRITGVLGAGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALAGGIPPELGKLPSLEISLNLSCNRLSGEIPSQFGGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNDFSGELPDTPFFQKLPINDIAGNHLLVVGSGGDEASRRAAISSLKLAMTVLAVVSALLLLSATYVLARSRRNSNGSIHGADERWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVGLPNGDSLAVKKMWSSDEAGAFRNEITALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAAEWAPRYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVGSGSAKLDSSKPRIAGSYGYIAPGANCRSISKILYLSITFQQKNEIF >OB08G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15111519:15111971:-1 gene:OB08G26130 transcript:OB08G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQLKDPPLGSALLAGDRCSAAGGGGAAAGPPXXXAAGALGRPPDLLQQRHHVLHRRAVVGAVGDAEHGDGEHLQHLLHLRLGLPAQPRVEELRRGALRLQVLAHPLHQVGPAWQRRVQRVPPRQDLQRHHAEAVHVALLRDPLHGRVL >OB08G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15111531:15111908:1 gene:OB08G26140 transcript:OB08G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRITEKSDVYSFGVVALEILTGRHPLDPTLPGGTHLVQWVREHLQAKRAAAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPAMKDVVALLKEIRRPAEGAGGXXXXWSGGGSASSGG >OB08G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15113249:15118257:1 gene:OB08G26150 transcript:OB08G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) TAIR;Acc:AT1G49540] MSPTEAGELAGGSGAGGGVGVGVERVFVGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGEIVSTLPGHKATVNCTLWLPTKKDVHQVRCAERHYLLSGSADGIIMSWKIDSGKGEWTHALQLPVMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPATVGSCKVSCLHSISIGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFTKACDLKGHSDWIRSLDFSLPVMTGSEEHSLFLVSSSQDRTIRIWKMNSDAVSSGHTTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLTGDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELTHSALGFYGGHWEPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQTTRIFAPWRSQVSPGDVACWREIARPQIHGHDINCVALIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKSDKSEDFDDVQVLGANMSALGLSQKPIYTHGARETPNSISNDGPDSIETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHGGKLIASSCKAQSAPVAEIWLWEVGTWKAVRRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLIAKLEAHKRIIWACSWNPFSHEFATGSRDKTVKIWRVEDSSSVNLLTTLPQFHDSVTALAWTGRDRARNAGLLAVGMDNGMIELWNISGGRASTDNSGSGSSPLSFACMLRFDPMLCHVSTVHRLRWQKSDSSDEKSVLQLASCGADHCVRVFEVHDF >OB08G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15118074:15119129:-1 gene:OB08G26160 transcript:OB08G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYHFQSPRTILTKMLHMKQLPLPPPPPPPEPKLILQPRQRTSPAMWCAAIVCFAFSLLLIIAGAVILVVFLAVKPRAPSFDAANATLNAVYVGSPAYVNGDMTLVANVSNPNQKMDVVFRSAAVELFFGWQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRGLPPFAQRRGQSQIINVHMVSSQVVLPPELAVEMVNQMRSNRVVYTIRSTFKVQAKLWFWHYSYWMSALCDLELTAPPCGVLVARRCSTR >OB08G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15120566:15123628:-1 gene:OB08G26170 transcript:OB08G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRGYPAYLARSSSSFLHGSPSMTFRLSSMDDHAIAHLFGSAAVFRVEDVILRYMNLSEHDRGESIQNREYLVSMLQRLKRESDMATQLANPGALNEKIEEIQQEIYSSQQQLQITEERLRMFEPDPAAFSSTSEIDGSEKFIMELLSRVMERKNNLLSNHMAPFDPTAATMQGADGNQMYVNQADGLATFGGDAAMWGPDGGADPGHSIFGASDPLIYLRDHDVYDANSQHCLGPEDQYLSMEQGMVAQQEPVEASASCSYVPSDETAGTPVMAYDSNAPPANIA >OB08G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15127851:15128147:1 gene:OB08G26180 transcript:OB08G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKSISQVISVSSISLFKHRSARNVHANIHRTDDICICIHSVRPYNNVRAMSRWGILRGTRRYREVGRREPLIRECKVRKEKIVIDDIIVNTIVLER >OB08G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15131728:15134022:-1 gene:OB08G26190 transcript:OB08G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFGSKQQNPSGQHPHYCSYSSSINNVTSRPRGNDRFASGFLHVFIVIQELSAFTHVCRDRLVTDALTHAVLESSNLIVRIDFTKSNEWTATTHDQNVFNFYPDNIPCDGFEQALNPYREIVTQLRLAGPTSFAPMIETTIRTVYRSCGQYHLSTQERETIDAIVKDSEYALSIMFVGVSDGPWDMMRQFDDNIPSRAFNNVQFVNFTDIMSRLVTASKKETKFALSALIEIPEQFKPAINLQLLGKRQGFPHRIVLPPPMSDLQQYYG >OB08G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15145200:15148646:1 gene:OB08G26200 transcript:OB08G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55760) TAIR;Acc:AT1G55760] MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNSTPAPIASFVAKLLISFPPNQKTIIHPGIFDKPLKHDGFVWAIDSTVTGRFVIEIEFLDLKIADPSGGEPASIWASRQIKQSSDNTALSSLARMLQESILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDICDLKEACHESLLEDIDTKNVLERLQMAHLYQLPKLKDGCLRFLVDFRKVYEMQDDFKVFLQTADRDIIAEIFQGVLATWSGR >OB08G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15154828:15158973:1 gene:OB08G26210 transcript:OB08G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:seed imbibition 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) TAIR;Acc:AT1G55740] MTVGAGVAVQDGSLVALGATVLTEVHDNVLVTPAAGAGMTSGAFVGVRSAPAGSRSVFPVGKLRDLRFMCTFRFKMWWMTQRMGTSGRDVPFETQFLIVEAAAADGSHLAGDGAAVYTVFLPILEGSFRAVLQGNSDDELEICLESGDPDVESFEGTHLVFVGAGSDPFEVITDSVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVTSDGVKEGLQSLGKGGTAPKFVIIDDGWQSVSMDPAGIASLADNSANFANRLTHIKENHKFQLNGRKDHREENPANGLAHIVSEIKGKHELKYVYMWHAITGYWGGVRPGVDGMEHYESKMQYPVSSPGVQKNEPCDALNSITANGLGLVNPDKVFSFYNELHAYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFRNNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCQVGKKNLVHDEQPATVTGAISAHDVHHLANVAADGWNGDVIVYSHVGGEVTCLPKNASLPVTLKTREYEVFTVVPLKQLANGVSFAPVGLIRMFNSGGAVTGVRHVGDAGVELRLRGSGTTGAYSSARPARVAINSEAAEFSYDDGCGLVTFELAVPEQELYSWTVSIEY >OB08G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15159530:15163566:1 gene:OB08G26220 transcript:OB08G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MMGSRVAAALLRRGRDRASALMAARVPRGAPPPAPPAPRVGSGPRGGGGGGGGGGLLAPPRSTGSVFSASRLASFHAFRSIGSKTLMSQCTRKMSTTVAALNSGVATAAANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFCLVILGGVTRLTRSGLSMTDWKFTGGLPPMSDEEWKLEFEKYKLSPEYKRVNKGMSLEDFRFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSALFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYYGILWTALSVVMPEPPAGSMNWVNSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDVFTMEPFIRDIFENTSTVQLNHRILATTTLLSVGGLWLAARKLDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLASAVKST >OB08G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15168007:15168744:1 gene:OB08G26230 transcript:OB08G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQGRKRGREEEEAAPAPGKRVLAVEAAAGPAAFDEVTSAGVECGGEEEEGASWQRPPGVFEFPWQKCRGGLGVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAAWLAAAGEGEVDPVWRSVLEGAARPAA >OB08G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15173422:15174652:1 gene:OB08G26240 transcript:OB08G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEAVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAAAVAAGIPHPAAGLPATDPMAYYYVQPQASSRCINCPILGLGELVAIEEAFMVDSGHLNE >OB08G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15175510:15175749:-1 gene:OB08G26250 transcript:OB08G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSQETIPFQSLNFPCKNSPMLHIECDGSRSIAQRLSVHVSNISIRHQVEPDVILAFYFRISHELTSYLPLTSSSSEL >OB08G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15175821:15176039:-1 gene:OB08G26260 transcript:OB08G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCSFTCRMQLYQFLELIWRIWKGIAICKCLSLHACIGQTDHCLCSNAYDRTNNVFSLPFRCSKGVFFVLI >OB08G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15176662:15176850:-1 gene:OB08G26270 transcript:OB08G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAFDLSKEPQYGVTRAKCASVEGAGAWRPLRWCYQNVVAACLLAVAAATMCSGKFILCA >OB08G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15179153:15180806:1 gene:OB08G26280 transcript:OB08G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLALVVVVFVAVLARGDAAPSTTEVFWRAVLPDSPLPDAFLRLLRPGIDFVGKVDSGGEARVRYPYDYSDYKGSSPTTASGLDGGDSSNRVATTRVGEPGPFGYDYSGQGEGTGAPAGEPVLARDGDFDYDEYVDARKLRGAAGAVGETDDPFEYDYKETSSGSGATVESTTPASTTVFFHEEAVRVGERLPFYFPEAVTSALGLLPRRAADSIPFTTAALPGVLALFGVAPDSARAAGMRETLRMCEWPTLAGESKFCATSLEALVEGAMAALGTRDHVSFKLLGTKPGGSPVCHLMPYGHIVWAKNVKSSTA >OB08G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15181882:15183867:-1 gene:OB08G26290 transcript:OB08G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MU48] MAGALCRSCSPVPLLWALLLLTVSRGNAFYLPGSYMHTYSQGEEIWAKVNSLTSIETEMPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYKFRVNVNESLYLCTTKGLNENDTKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYTPAGISEDYIINHLKFKVLVHEYEGKNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDAEAFSKRNMYDIIEPVNCPMELQKSQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLMRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCVMIGDGVQILGMSIVTIVFATLGFMSPASRGMLLTGMIILYLFLGIAAGYVSARLWKTIKGISEGWRSVSWLTACFFPGVMFVVLTVLNFVLWGSKSTGALPISLFFALLALWFCISVPLTLVGGFVGARAAQIEFPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVVVCAEVSVVLTYMNLCVEDWRWWWRAFFASGSVSIYVFLYSINYLVFDLRSLSGPVSAILYIGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >OB08G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15202595:15207956:1 gene:OB08G26300 transcript:OB08G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLSDKHDDYHMMLRFLKARKFDMDKAMQMWAEMLKWRKEFGADTILEDFDFHELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELVHRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPFILKLIHNLEAGCIRETKPVSDGEERSSSSLHLEQLKWQGLISDISNAESGSDVDDFGSFFQKSADYGCLTPVHEEVKGTDTSTYYSCDDQNLRDITPESCRRVQTAGMVQKQLADNRQPSTNQNPHDSGNNVFNFGGAIALTGLENFIKAVVTTFIKLLSFFCIFISRPVRRLENVHSSAIPVRAEECPQPRSIRDDDMTACLQRLDNLESLCSHLASRPPEIPKEKEHMLLNSFERIKCIEADLERTKRALHATVVKQKSLVETLEAVQESSRVRKRLFCS >OB08G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15215943:15219080:1 gene:OB08G26310 transcript:OB08G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDGELAGVYRAEQEDRHVDLMGDSDFDDDEYSQPIKEATEDTSAADVKNGKDIQGIPWDKLSITRDRYRKTRLAQYANFENVPNSGKTSEKVCTPADKGALYYEFQHNTRSLKPVIRHFQLRNLVWATTRHDVYLLSQHSVLHWSPFTSEKHKVIDLQGHITPSEKHQGNFSEGFYQAQVSTLAVRGNLLVAGGFRGELICKFLDREGVSYCCKSTHDENGITNSLEIFEKPSGSVHFLASNNDCGVRDFDMEKFQICNNFRFPWAVNHTSLSPDGKLAAIVGDNSEGLIVDTNSGKTIHDLRGHLDYSFASAWNPDGRTLATGNQDKTCRIWDTRNLSESVAVLGGNMGAIRSIRYTSDGQFLAMAEPADFVHIFDAGSGYHRKQEVDFFGEIAGISFSPDTEALLIGVHDRTYSSLLQYSRRRFYSYLDSAILRSEPWMKLGAWKIVSGMALSQQTEQRQKISCPAAAFFFCRWSAAVTVKWAIREFRSLTRSDAMLGQCGSETGRARAQLELSTPTERNGPPPRPI >OB08G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15221978:15224838:-1 gene:OB08G26320 transcript:OB08G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLPANGAVGSPAAEVEAGASPGNSPVIKTKGRGLRRWRRIPREQRKEGARSSLHGKNPRAAWARADKTRPYAAAEAEKSRSSVESDLRSSNAVNSRQSGAGVAGNGIRKVLADDCDHSDVGQSSDELRWSPCKVNGSVIGRLAQGSVDSDADNARRSVGKNGYSGSSIQSSADPYAESILLLQRTQEALENEIQKFADISRESSDDSDGCHDDWSGTVHLEGSLKETSEDVKVIEPRLEEASYLGQLFLEKTEAEIQSIILTRAAQAWAPLAEDQIALYNAQKSLSGDYKQLELKLQHTKNRAMMLEDMAEKLKAECKDLSAISEVLRFQSRASRVSLFCFIQFILLITAIVTLLAPLIPSPTEDLVPT >OB08G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15228500:15230206:-1 gene:OB08G26330 transcript:OB08G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRELRLITDKHKWGYMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEVGRPFIEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIIYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDICQLSMADGITICRRLV >OB08G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15240312:15241763:-1 gene:OB08G26340 transcript:OB08G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGDANGVSSALEAKELKTEIHSNDSPKTLLKNDALYEYMLNTMVYPRENEFMRELRLITKKHTYGFMSSPPEEGQLLSLLLKVIGAKDTIEVGAFTGCSVLATALALPDDGRVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGPAMPILDELFADEANEGRFDFAFVDADKGSYVEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSALTESDQDIRRSVMAFNAMIAADPRVEAVQLPVADGVTLCRRIA >OB08G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15244732:15245541:-1 gene:OB08G26350 transcript:OB08G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRCICVHRAVCAGDDGVSAGARVLARDQEHHAEASPVVHGVVAGADSVLLPPAQDDRRQERRRGRRVHRLLAARHRARPPRRRQGGGDRREPGLLRAGPAGDREGRRRAQGRLPPGRGAGLAVLAQLLAEAGDGGGKLFDFAYADANKEQYHGYHVRLRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMLGFNAMVAADDRVEACLPPAADGVTLCRRIK >OB08G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15251367:15252358:-1 gene:OB08G26360 transcript:OB08G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILSKSGSFQEKVVSHGFKRSNLIEEIILSNPKSNGDQFLALLRASNAAKDAADPPIRAATAAAEQLVKIETINVSELLAGLEEDDDEEEERNGGETSSAQPCVFDAAVGRARSFRTVEEYDALVTQSGSPEQAAGACSADHQDATSAEQCKPSEQEEAAASGSGGGNKRRARARQLGELKVPTAFDFSKSGSLRDWLLHGGQIFSPGSYVTPKFGTTTAAPQERGVDHAGEPRPQPQQHSVFDPELVAQFEQAMERLSKDEERALEKILEAMGAAAAAEDEVEKEGTARLETPNDRPVMLLQE >OB08G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15265765:15268816:1 gene:OB08G26370 transcript:OB08G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDLVAMEEEQKAPSFLDVPKDIPIATTKSLTIRTHAGFSSSSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVVEPPTPAAAAAAQQQQLQQEGDKVVTSVPTTAAPSPPAAAAGVSNSEDTDGPSASRTPPSERYDSGGVDLAKVSDGGAGCGSGGVQPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLGRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPPEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVTLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKEISEIEGMFFGSTVDIQEVDCPRGSAANDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVVCISGSSGIGKTELALEFAYRFSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIMTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWVVGSLLSELMITPSTLFEAVERISLNENLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESSLLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVLFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRMQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >OB08G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15272181:15277596:1 gene:OB08G26380 transcript:OB08G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3MU57] MAQESDLEPLRSGALPSSADPDSPSTPRRSRVRELLRNLDRRLSSRGRHQHHHHAAEDGAAGGDELGDGAPPEWALLLVGCLLGLATGVCVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIRQSLSSQREGIDFMAAIFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGCAEMMENNRERKIALIAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFSLPAVACPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPGNKETVQAASPRHGYSSLIPPADRNETDWRRPDGDDVELAIIEDDPYRYGINNEEMLLDDLKPVFQVSQAMSKHFVKVTPTMTIKEATKLMHDKQQSCVLVADSDDFLEGIVTIGDIRRKGFQFELSEDTPRTGGNSSDLDENSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVIKRGAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAFNQRENFQQPAVNGH >OB08G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15277498:15281517:-1 gene:OB08G26390 transcript:OB08G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLKRSNRFHQKRAKVSGEDDTSALQLGAACDNPSSPPSSLPSPSLPLLRQNRLPFFLSNLEVLAGKRQLQKWRDFKKRWGREKAGTEQHLSWDYHLFMDGTNNHGALMDDWMLPSPSPRTLMSSFLNEGLSSGSCSDVFGDNGSNKPQDGLGKSKSFVDSNREETAQLGKKFESNLFGANQKSSSNSCLSDRMASRTGFGVLKIDTSRVGYSAPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMSSNVKPSIPKKTEDETCHDGVFFFHPILGSKPPSFPVAEKGFSVNHQNQPSVNDDHQVLSLRSSSTAAKDVSTETIVKPKTSDSMLDNGDHPSPANDQEESLTNRNEEYSSDLIMTPAEDGYNWRKYGQKQVKNSEHPRSYFKCTYPNCTVKKKVERSQDGQITEIVYKGSHNHPLPPSNRRASVPFSCFDDLKDDNSEIFGSKSGQCTSTSWDNGPNSHLQDVHSEVLETKPSASLATTEHAETSVMDKQEAVDISSKLSIEGDDRVTHRASLSLGYDANEDNAECKRRKMDVYGATSISTNPIDIGAVASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPSSGSAAAPQANGLLLHRRPEPAQGGSFPQFGGPAASYGSAAGHPPAEQFGAAAAAGFSFGMLPRSIAIPVPAPAITVRAQTAGRPPAMQGYPGLVLPRGEMKVNLLPQTGLPAANGSTIAAGAPCQQRMGRLPQPGSSSDVNSRT >OB08G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15291099:15292439:1 gene:OB08G26400 transcript:OB08G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80150) TAIR;Acc:AT1G80150] MLSLGAIRKLCAAFDAVALTVIAAGLSRSSHHPYSVYAHSSQPDFPTIASCRAAVASSKWRRRQPSTAAAAPAAKGSAGVEPSVLMKIKDERDPVRLYELVKVNAHNRLLIENRFAFEDAVSRLAGARRNDLVEEILEQHKALPQGRREGFMVRIIGLYGKARMPEHALRTFREMGLYGCPRTAKSLNATMKVLLRARLFDEVLQLFEGSESYGVELDDISYNTVVKMMCDMGELRAAYRVMQEMEKAGVRPDVITYTTLMDAFYKSGQREIGDGLWNLMRLRGCMPTLASYNVRIQFLVYMRRGWQANALVRKMYASGIRPDEITYNLVIKGFFVIGEHEMAKTVFAAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMETNWFPSVDTINQLLKGLMSISKDSNAREIMKLLIGRKSSYSNDEVKNFQDILSLGKARR >OB08G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15301048:15303393:1 gene:OB08G26410 transcript:OB08G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55590) TAIR;Acc:AT1G55590] MFPPTNPILNRILASNGALRSLAVNCSLLDDSAVAAIAKGSLRELSLLKCSSFSSYLFVAVGERCKNLRSFVLEMAASDDDEHFGICRKSIAHIVRGCGYLENLSLKFFPLLGPGSVDFESLVPIPSTIKVLLLQPVSNWQVKRLFPISTSLNTPVSNTLESLSLVLDIITDELVAFITGSLHNLVELCLEDNPINEPDLHNDLTNIGLQALGLCHNLTHLSLTRGKQNCSSTFRRVTDFGILMLAEGCKQLKTIRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGCLSDLTCLDLDEAAPKITEVRLLCCCLLTSETAISLSSCTNLEVLDLSGCRSIADSGLVSISQLSKLTLLDLAGADITDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTLVSLDIGNIPRISNRSVTLIAKNCEQISTLCLRNCLLINDSSLETLGSMRHNSGKSSLKMLDLSYCSRLSRSFLGLFEPPFFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRSQ >OB08G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15304000:15307606:-1 gene:OB08G26420 transcript:OB08G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDLQIHKALMDVNESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRANYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVSMQKGDMPLDNSLLRQVSSLVRRLPAMESEKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKFNTTYERSTARRGGRGAFM >OB08G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15308268:15318162:1 gene:OB08G26430 transcript:OB08G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAILGQSSKTQAIKELPGSSVNVPTTSEFGAAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAGPDAEFKEFEQIFNNTGPTFGPPVLDGPPQRVLSGVLRSFLSSGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSAPMHNAADKWITEFGKQNNNPEDWAHSFEQQYGPSGWASEFEQHQSQMAMTGGVNMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQHNANANSWADQFVHEEMSQGADKWASEFSSEYNQGGLNDNWVDEFSKLRVDDEWAEEFSGGAFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGITHAENDDDQQAIAAMMRAQEADPKNLEVLLALGVSHTNELEQGEALRYLHSWLQNHPRYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >OB08G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15320151:15323358:-1 gene:OB08G26440 transcript:OB08G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLRPRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAASSSAQASAGSSKAATPGALFEDKPQSANDAWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKRKHKKHRHHKSKSKKHYSSDNSDSEESDGKDEKRKSVHSSEHKREEKMSRPDKDHRQDSEDDEPRKRRHVMSEDDKPRKSRNEKKGQREDSEDDKPRKSRRDGHRHDSEDEEPRRKHKTSDDEVPRRKHKTSEDDEPKRRQSEVSGDNEARRRRQEMPKHVEYSRQDHSGAGDRRGRHYAPSDRNNAYLKHDSSDSRHRRPEYGRSNSTSELDSEGQRRQESQQGRNGPTFNRRRGVHHMSEEEREARLRQMQADAEVHEEQRWSRLKKAADDDAKEAASVNANQFRGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >OB08G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15323796:15328211:-1 gene:OB08G26450 transcript:OB08G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEELARVEGRVCVNGASAAACLHTQQGRKGTNQDAMVVWENFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANGGTSPHQNGSISGSVNSEETSSVVDDELSENVDDSEKLPEMFLPLKQSYFKAFKLMDKELKMHPTIDCFCSGSTAVTLVKQGWDLVVGNLGDSRAIMGTRDAANNLTAVQLTVDLKPNLPKEAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRSTAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLTQDDEREEETTENVEIPDAIAKVDQDIAQGDVHISSEEQITEPALQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGDKRSASWRKRR >OB08G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15335583:15338619:-1 gene:OB08G26460 transcript:OB08G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSCKSVKIVLFSWTRLTSKAESKALGLQFKPARCDCKRCERPAISAVATGRQVRRLINYGQVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >OB08G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15335252:15344113:-1 gene:OB08G26470 transcript:OB08G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWTLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >OB08G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15348537:15352368:-1 gene:OB08G26480 transcript:OB08G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLESLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEEETAEADTDMPPLEDDAGESKMEEVD >OB08G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15354388:15358650:1 gene:OB08G26490 transcript:OB08G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: WLM (InterPro:IPR013536), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567); BEST Arabidopsis thaliana protein match is: zinc ion binding (TAIR:AT1G55915.1); Has 30201 Blast hits to 17322 proteins in 780 sp /.../ Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35690) TAIR;Acc:AT5G35690] MEERRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSVLTLKEAAISDGKPIRMMGVFNDEIEEVSDNGKRPDLRVIGFDEEEQRLRQRSSGRPQLSLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPIGYVGISPKCILGFNTNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDANFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFVLEPDSTVVGHKLGGGSSSLSSARVLSGAAAYKRLMNASANLEGSKDSVTISGKEILVPGTTFEPNLDGVGQYFVQGNAEVDPEPDDNDDMNVDVGTGASWSSVSRPFTEQNTIGHSEPDPDDIHRQSSAGYLEPDPDDSTNVDILNQELRIDGKQNGEPDPDDNGTSKFIPEPGNKMEMGTELGSNFALLKSEPDPDDSSNPILNKRLGTDKPDESRYEAVMEECENKMEVEPEQSKNSTISKSEPDPDDHTGDSNISELQRIEEPVTVLCARLQKAIEMLRSQATPAEAASALQTLFKIIKNVIEHPHDVKYRRLRKSNPQFQRSVANYKAAMEVLELIGFCEDVVSDEIGRQETYLVLKRNDPGLLWLAKSSLEVSMA >OB08G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15359222:15361166:1 gene:OB08G26500 transcript:OB08G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyl transferase [Source:Projected from Arabidopsis thaliana (AT1G31220) TAIR;Acc:AT1G31220] MEAAVASTGAGLRCSMPKQQQERQRRSARVGFVSQPQPRAVRCMRLPLPDARAYVAGAAKRGEAGSVGVERKRLAVFVSGGGSNFRAIHEAALGGEVNGDVVALVTDKPGCGGAEHARGNGIPVIVFPKSKSVPEGVSTDELLKSLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGMKVHKAVITSGARYSGPTVHFVDEHFDTGRILAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCEDRIVWREDGVPLMRSQTNTEEYT >OB08G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15363853:15367262:1 gene:OB08G26510 transcript:OB08G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFAIAALQLLQFLAAMPLLLSYKTALPGCTESCGNISIPYPFGVGDDRCFREGFKLVCDPAYDPPKLFMNDPGYEVRKIKLSRRVLHLDTGITQMLGSSSYKQSWNLSLDGSLYQLSASMNVFVALGCGFQFFVSPPAAAGDNSSSSTCASACRSGYPVLATDGTCSGVGCCNTTVLEGHNSYVIKLVSLGGGRSFNTSMVVVKGEWWRRADNAMLLQKQVLARLSGAPDAAENVGVRTVVDWMLGSSSCVEAQKLSDFGCLSENSECLDDPAERGYACKCRSGYEGNPYMRNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAQIQNGCVSGKLKMSGLIIAIGLGGSIVIVSLILAGVIIRRKLKSRKAKRLKEIFFKQNRGLLLHQLVDKDIAERMIFSLDELEKATNNFSESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLHEHLHVDSAQSIPWTGRLMIALEIARSLAYLHSAASVSIIHRDIKTTNILLDDRLIAKVSDFGASRGIPIDQTTLTTTIQGTFGYLDPEYYRTSRLTEKSDVYSFGVILVELITRRKPTTYISPEGFNLIEQFILLVSEDRLCEIVDSQIIDEGGEEEAREVAEIAVMCLNLKGEDRPTMRQVEVKLEGFQSAVNTIRSDQLAQRHSVLLNYPSIEESNCNSNIIAGHAGYYHSLSRRLSMEEEFWSSMSFPR >OB08G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15375208:15375483:1 gene:OB08G26520 transcript:OB08G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMPWLCRRTEKIAAAAATTRSPERPPGDEEQRSMLRKGRQVAGDGSIGILLLLHVPNELLLVAQSTSVWVREREREWSGVEGGRLLCT >OB08G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15380634:15387757:-1 gene:OB08G26530 transcript:OB08G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSAKTDTPEVFPCASANCGYFYHAKCVAQLLFSENEAKALEYTAKIATGVKFACPLHRCDVCKYGENKAEKELQFAVCRRCPKSYHRRCLPRKIAFDDFIDNGVFHFQRAWEGLLPNNRILIYCLKHDIDPKHRTPTRDHIKFPDNPAVTRKPFNVNGMNKKMVKIRLLEECPPAPFSSDKISFGQVNRSSSSDLTTKKRKMLVPGGTKHCDRIAVAREKTLVTSKIPFSSFPDIDTSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVPKNTEKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCGYKNYDLIQPKNDFNFERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSNQLAGKAFYLPGSLDADNKIMEQWNLSPPPLSLWSRSDWARKHKEIAKTMGHLSKNVSLDDTEMVDTASVTKTGHVQMGNDRDVDFNNEERQEEGPVNASVIDQLLSDTYHDPTSAPGDYWTDTDGRSRQPCNYEGRNDPTHKYHAGMVCGSDMSISSADKSDCQKETETVSKSEHRHAGSQAHDLVGSAPAGFADCDEVTSAGVEYHRLENSPVTERQADAAGVQYQMLEDTPPLDELVPGISGQLIDSSPGGDRLSAGLQYQMLEDTLSRGAPEAGTGCRQLDDTLHAPSAAAGVDAVVANYLPQTTSHFPALPFAPAPFPGLQFAPRNDLWHGWYPPQEVLTRGTGHPAFMQGSSSGWLDD >OB08G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15395677:15396369:-1 gene:OB08G26540 transcript:OB08G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAVFASAVVAILLCCPAPPAFAQKHKSLPPSPAPAPAPHHVDLADLLSVAGPFHTFLDYLEKTDVLRTFQSQANSSKEGITVFVPKDSAFASLRKTTFANLTSDQLKSLVQYHALPRYYSLAEFNKLSTLNPVPTFAGGEYTLNLTDDMGTVHVRSMWSNPKRAPAPAPAPDAKPADAAASPLPGKSSSAKAKADKKTSSSHRAGVGIAGYLLLAASASAGLLLLW >OB08G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15403671:15404093:1 gene:OB08G26550 transcript:OB08G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGGTVRSFVDDEGAFNASVDGRFAALDADRDGLLSYADMAGELMSLRVLERRFGVDSDEAARPGADELAALYRGLFARFDRDGDGKVGLHEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLRMAVDRELAKAA >OB08G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15404045:15406132:-1 gene:OB08G26560 transcript:OB08G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MADYAYGPGRNHLFVPGPVNIPDQVIRAMNRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFKVDVVESDWGQGADLAALDYKLRGDPSHTIKAICIVHNETATGVTNDLSAVRKLLDKHRHPALLLVDGVSSICAVDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWADYLKFYKMGTYWPYTPSIQLLYGLRAALDLIFEEGLDNVIKRHTRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPSYIDSSEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >OB08G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15405294:15405959:1 gene:OB08G26570 transcript:OB08G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVGSDSAFCDPVSATSTPHSSMRKSTAHIDDTPSTSSSAGCLCLSERAIDRSPCVRDQGKVHQDSFVRSGGARRRGVWLVTEELADGGEIVGDAGGGLVVDDADGLDGVGRVAAELVVERGEVGALAPVALHHVHLEVEALLLVDPQQAELPDQERHDAVPRRQRVRQRALPRPRPCIHRAPPLRLSRRPPPWTAPRRDEASARYRWWGRGRGCRWWS >OB08G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15418684:15423101:1 gene:OB08G26580 transcript:OB08G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVLLLGLCVCVRERNVCLILVNVSIVYCSSVCSLNIFFSWFCLRTSGSTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAAKHSNRDSKKLKPVDSEPISPAETDVNQLPIIVSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPAMILCDSKLKQLFGCESLTTVSVSELLSHHLFKQPNSSN >OB08G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15422837:15424957:-1 gene:OB08G26590 transcript:OB08G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPFSAPCGHLLLLLPSALPLRGRKAHGGGGSPARVKTWSGMTGRRGGERLRANSLFGGGGGDGFRAVRRLVKLNSAVQNRSVRELLELAGEECMFLFSKISSIDVSQMGKNVFLLLHAMMLKHHVSFVLKPTENEGFDLGIKWSLEWKGKKLPWDLDCNISTNHVYRGMLLINEVNKVYVPLLQRILQTIHQNMDMVILTLANKFLPEGTLDERDRRTIVACAIIGLVVMLVFYNMFKNL >OB08G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15437788:15438354:1 gene:OB08G26600 transcript:OB08G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQVVPPTLPAEEAPVVVGVVQAPAAAAAVEEAPKEEAAVPAVAAEPEAAPAETETKEAQPAAALVETTAEAEEAQPVAALVETTAEAEEAATPEEAAPAESEAKEAEPAEAAETKEEAAPVEAADVKQAEEAPAAEAEEAEPETAAEPEAETAAPADEAAVAKEEATAAVAEEAAAPVEAADKATE >OB08G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15451987:15459185:1 gene:OB08G26610 transcript:OB08G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCTSIDQTYPQGKKTYIVNGKKQDGWFPIDYTMSSLQSVILTQAIWSRPDKFDFAYLPILPVTNLTSLVKPSSLWLNIEHDIFYRQHGLNMTKYILSISKNAAVKYISSPELGFLRSILGRVNRKTKLVFRFPDAASSDPSSNQTYGSLLSNLTFIKTVASGIIVPKEYIWPVAPNNNYIQPSKSIVGDAHNAGLEIYASDFANDRIIPYNYSYDPVEEYLHFVGNGNFSVDGVLTEHPVTAAMAIGCFTNLNVSSKTDHGNPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCTIQMTSDGVPICMSSINLFDTTNVQRTIFSSRASIIREIQTTPGIFTFNLTWAEISNSDLKPKISSPESLFYLVRNPLYQNAGKFFRLSDFLAFAKDKDLSGIMIIIENAAFMAESLGFDVVQSVANALSDAGYNNQTTEGKDVMIQSKDSAVLVKLKQQETKYKLVYTLPPKIGDASASSLVDVKKFADAVVVQRVSIFPTSQGFIMRETNLMKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINTYVQSVKIDGFITDFPKTVKRYKMNSCMGLGDNMPQYMLPVEIAGLAQLLNGSTVQPPALSPMPVLSSSNVTEPPLPAAVPKNASGGGSGSGGTPAPGVPPSAAHPASATTRTGILLMVTVICASLHV >OB08G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15466599:15473016:1 gene:OB08G26620 transcript:OB08G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGSFGESSSDDVEAPLLPPPVRGGMAKGDRPAASAAAARVRALLAHRYPAIAAXXXXXXXXXXXXXXXXXXXXXXXXHGEARNMLGVLAWVFLWWVTGAVPLAVASMAPLFLFPVFGVSSSDAVAKAYMDDVISLVLGSFILALAIEHYHIHRRLALKITSLFCGDPVRPSLLLLGITGTTMFVSMWIHNTACTVMMMPVATGILQRFPRGGGSGVDDDDGAGGQEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSAYFPEQKPITFSSWMSFGLPMALVLFLALWVTLCFMYCSKNTGKALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRNLTDNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSRKREGEKLMDWNKCKKIQWNIILLLGAGFAIADGFRTSGLTDILSEGLRFLKGSPTLVIVPVACVFSGIMTEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSSGIGAQLSYLLPTGSPSNVVGFSTGYINIKDLVTTGLPLKVVAIAALTALLPTIGPVIFGMDSKS >OB08G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15474589:15479321:1 gene:OB08G26630 transcript:OB08G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-xylosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55500) TAIR;Acc:AT5G55500] MMPVRTYHNHHHSHSSHNHRLRRIIPRVLLAVFAIYAVSFALYLLRQSPVPHPSADPEHEAVAVAVSEEEDRGQVQQAAAGSQKPWPRLPSFLPWTAGSVQPPPPHSCEGYFGNGFSRLVDLLPARGGGGGWFRCHHSETLRSSICEGGRVRLDPGLIAMSRGGEPLDQVMGRAEEDELPKYEPGALQVEGAAAKRTWPLVEARFLDAYVPAGGIGMHTMRSLLDSARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLSNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAVLSPLGYETALFKGLSESFSCEGASAESLRETPDHQKTARLSEFGEMILASFDLLRDNILSAKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYEAIESWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSAAPDTKVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYAGVTDVINKLSNILKGLGC >OB08G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15483409:15483615:1 gene:OB08G26640 transcript:OB08G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFASVYIYMLQCLIWLCMDGWMDSIERSIDSVVVVRSSYVLATCIHATGISHACSSFHRHEHSAMA >OB08G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15490062:15493137:1 gene:OB08G26650 transcript:OB08G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHIPGRSSTCTYSPCTQQSHLADLLSGLSGRAGAGVEHEDDEEDCKVDGGGSGGGDEIVPLGFPSTPIGKLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIAHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHAAGFSFDDDDDGAVSDIDHDSYSAAAARASASSSLPRSSGHC >OB08G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15508030:15512581:-1 gene:OB08G26660 transcript:OB08G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indigoidine synthase A family protein [Source:Projected from Arabidopsis thaliana (AT1G50510) TAIR;Acc:AT1G50510] MSSSSSTGPTGVAVSPEVAAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAIMRENGAVPATIAILDGVPHVGLSSEQLKGLAVSGRQFQKTARRDIAHVVASGGNGATTVSATMFFAYKVGIPIFVTGGIGGVHRSGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFTDVSGCKVPCRVDSPEECAKIIYANNNLNLGSGILIAVPIPKEHAASGNAIESAIQKTLKEAEDRNIIGNAITPFMLDRVKVLTGGSSLEANIALVKNNALVGAKIAVALSDLRQSVTNRFRRSAL >OB08G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15513406:15514542:-1 gene:OB08G26670 transcript:OB08G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G63540) TAIR;Acc:AT3G63540] MIAAIDALVRREYLTFLAGFRALAPVSAVLDNLALSDVGLQDQISSADGVRSVERTDGSGQLYYDYEIAGAGAHSLISVTCARNKLYAHFVTAPNPEWSRDEAVLRRLHQSFKTVDPAGPSPSTS >OB08G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15519329:15522176:1 gene:OB08G26680 transcript:OB08G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDFASNDDINKDDGITRYESSDSQLGAYSSVVEKKDIFMKQSVEPKKSMMRHSCLIKEEQPGSSSSISMSKTVVVPVNANTMEPSNYETPKDVHVVEKTDITPMNISLTSLTSNINKLTHGEVQKDSQRPTIATSVMSPYSTAHPTENANSRTLYVSNVHFGATKDALSRHFNQFGAVLRVIIVTNAATRQPTGSAYVEFLHRDSAERAMSLNGTSFMACLLKVVKWSSHEAAHFGGWPSGRRSIMYSWHSRMVYPRGVPSSIFRGRAPIKVGARSLQWRREPSIADSTTGAKPGMTVELSPTEQVLPPTT >OB08G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15530247:15531068:1 gene:OB08G26690 transcript:OB08G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKASRSSVNRCHSCRKRVGLTGFRCRCGELFCGAHRYSDRHDCSFDYKSAARDAIARENPVVRAAKIVSGGCGWMEEDGGRKKMGMPSCVRVD >OB08G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15542402:15543051:1 gene:OB08G26700 transcript:OB08G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRSELSKKAKSARVSPVSRKPASFASSGASGAWGKSYSFVGTEEYVAPEMVRGEGHGFAIDWWAVGVLVYEMAYGRTPFKGKNRKETFRNVLLKDVEFPGDSRRRLPELTDLIAQLLERDPKKRLGYHGGADEGPAAHPFFAGVAWDMLEVLSRPPYIPPPADDDDHAADAGGDNEVDERKEFSVREYFEKLHQPPPPETESSSSEFSSEF >OB08G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15555956:15557560:1 gene:OB08G26710 transcript:OB08G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVVDETD >OB08G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15560385:15563678:-1 gene:OB08G26720 transcript:OB08G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDDKGVGSDYEPARASGVSKKLMRKDTRENSPRIAKTSGSRQVQNKLQNKASNSIQSRSPKPRKVINTARSVEVRKSDTVRVPSRAPSELSEETDDIVSEAGTIDDKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDEEKSVVYHRNEELRSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKTTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTFGISHQSPPTTTTINMNGGAKRPDGRSMPMLWKNSSNGKQTKFTGMQIPDDWQETSTLLATLEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKAGRVLGPSLGDQQQGTFSVNLWKAAFHDAFSRICPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNLGIDADDSEEEDGTDTGNETSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPSVVLEELNSESLLERCTDKNATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPHSPSNGIAHFTAQGGASMENARFTLLREVWLERQ >OB08G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15572381:15572593:-1 gene:OB08G26730 transcript:OB08G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSFFGLPNKATPLHILLYLNVTAFKFIVLWRVYLTLRCTFVLQAFFKVMFELCMNFLVACVCLLCNFV >OB08G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15575024:15575671:1 gene:OB08G26740 transcript:OB08G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKQLCCNKYAKKSVRKPPKMYNMFTIMQTMKVQIMTHAKILKFGNLSSGSLGIGIAHGGELLLLEALEGLLVRPGLEGEVGLGVEADAEDDDGEEGGDVAGQLPVLPLPRLGVPRPAPSPPPPRRRSDAARSRARSMPPAAAVEIPGIELLLCVSVTRGWREKKRVESEDDVGFVN >OB08G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15575248:15575550:-1 gene:OB08G26750 transcript:OB08G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARLRAASLRLRGGGGDGAGRGTPSRGSGRTGSCPATSPPSSPSSSSASASTPSPTSPSRPGRTRRPSSASRRRSSPPWAMPMPSDPLLRFPNFRIFC >OB08G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15579278:15580411:-1 gene:OB08G26760 transcript:OB08G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPSLAAPLLALAALALLASASAAAGCSATDRDALLAIRAALSEAHLGVFSSWTGSECCTNWYGVSCDPSTGRVADLTLRGEAEDPVMAPAGRPASGVMSGYISDAVCRLDRLSSLILADWKQISGAIPPCVATSLPYLRILELPGNRLTGEIPQSIGSLSRLTVLNLADNLISGAIPSSITSLASLKHLDLTNNQLTGRIPADVGSLAMLSRALLGRNKLSGPIPTSVGSLTRLADLDLAENQLTGAIPDNLGSAHMLTSLYLGSNRISGRIPASLLQNKGVGILNLSRNAVEGAIPDVFTAQSYFMVLDLSRNRLTGTVPRSLSAAAYVGHPAGSHNRLCGTIPTGPPFDHLDAASFASNSCLCGGPLGKCT >OB08G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15586924:15589446:1 gene:OB08G26770 transcript:OB08G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYSYIVNLRRSSKRIMYSQSSQFHGGLTQGLALRKCSQSQSPSYHVKLGPVDCFVNRNIKSSERPSLRYFASLVGRQFRCGLSGREGSLNMKMDMHSRDKFSSMNWNWRGLHHKIGGTAGGLFLGFAVSGIANNEVPVEISISDSAASSSSTHGKEVYTDYSVTGIAGDGRCLFRSLIHGACFRAGRSIPNEDLQRKLADELRAMVADEFIKRREESEWFVEGDFDTYVSHIRHPHVWGGEPELLMASHVLEMPITVYMHDDDAGGLIAIAEYGQQYGEEDPIQVLYDGFGHYDALQIPAKGAPST >OB08G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15593102:15595161:1 gene:OB08G26780 transcript:OB08G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARVVVVVLLVVMAVEVAALNTDGLALLALKFAVSEDPGGALSTWRDADNDPCGWSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSELVTLSLPYNQLAGQIPVAITALQKLAALDLAHNLLSGQIPAGVGRLVSLSRLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPRLCGFPLKVECAGEKEDPRIPEANGGINPGAAAAVGRPPKRRSSPTVPILAAIVVVAIVAGIILQWQCRRRCAATARDEEKESTKDKSGAVTLASSEERRSGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYREERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDAELRPRMRAVAESLDRIG >OB08G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15596477:15598772:-1 gene:OB08G26790 transcript:OB08G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWEEFQDYFMKQDGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQSALEDCCREGIGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLNYQPELPEEAQGLFPAEDIYA >OB08G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15615458:15616473:-1 gene:OB08G26800 transcript:OB08G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGIGGEFVERTWAGSDDSRWRFTPEMFSGMSDDSSHPELLIGYPERKREKTLSAASGLAARGAGGRKSSQNSPSSELGADLYLSRPDELIGGCTGRFRSLETGEPYITSHLSLPPSPP >OB08G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15615495:15617714:1 gene:OB08G26810 transcript:OB08G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPMSSSGLLRYRSAPSSLLGEFCDDFLPPAPRAASPDAADNVFSRFLADHQIRDNSGWEESSLMPENISGVKRQRESSEPAQNGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKVLSDSRASCTCSAKRHTEEKLVCQALHKQKGQWSSGIIRIHQSDEGKEEQKEEHREKGPAMGGTIV >OB08G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15628813:15629985:1 gene:OB08G26820 transcript:OB08G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLPSSDPRWKTLRGVVATHVFSPRSLAAARGVRERKVRDIVAYIAKHAGEEMDIGQVVYGGGDQPAVREYLXXXXXXXXXXXXXQGLREAVEQIIAAIAKPNVSDLLPFLRPLDLQGWRRWAEKRYDKVFGILNSIVDRRLADGSAGKHAGDFLDSLLELLSAGKIARDDVTTIMFDVFAAGTDTIAITVEWAMAELLRNPSIMAKARAEMKRVLAGGKNAAIEENDVVEKLPYLQAVVKEALRLHPVAPILLPHRAVDDGVEIGGYTVPKGSTVIFNVWAIMRDPAAWERPDEFMPERFFHRAEEAAEFRGKDYVFIPFGTGRRLCPGLPMAERVVPLILASLLHAFRWKLPNGMAAEALDVSEQFTTVNVLAAPLKAVPVIDSDEI >OB08G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15645038:15645850:1 gene:OB08G26830 transcript:OB08G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFDKIFAILNGIINRRLADAGTSTGKHGDFLDSLLELTSTGKIARDNVSTIMFDVFGAGTDTISITVEWAMAELLRNPSIMAKARAEMEGVLAGKNAVEETDMERLPYLRAVVKEAMRLHPVAPIMLPHRAVEDGVEITGYAVPKGSTVIFNVWAIMRDPAEWEKPEEFMPERFLDPHRAEKKVEFRGKDFEFIPFGAGRRMCPGLPMAERVVPFILASLLHAFEWRLPHGMSAEELDVSERFTTANVLSVPLKAVPILASSGIQVD >OB08G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15646716:15648197:-1 gene:OB08G26840 transcript:OB08G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIRQDNRSLSENWSGIGLDHEGQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRFGCRNHPSFLQPALSADGFIKSASKRTDLAEFKAVTTCNTCKPATISRHPEADVEALKNLSSRVPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTIECENMSQLLKEWGVFSLESLKKELAEAHEHRDAALQEAAEMKSSLGELTTKLVSLEEYCSELKKALKQATSAKNMISHSKRSARSLGVSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVDDVDNGLSDKLNLLLQPYQVTLTDKHSKIVLYHLEALMNQVMYQDFENCTFQKNGSPKCLDPKQERQESFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSCIVSMLSWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLMILRVEENRAFDQMYMEDIHLDKQRSQNPCEVKIMVTPGFYVQDRVLKCRVLCRYS >OB08G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15657085:15663158:-1 gene:OB08G26850 transcript:OB08G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRNRDLADVLKVLPVKSLSCKQIERRSCISLEAFIHDYFLCESPVILSGCIDHWPARTKWKDIRYLERTAGDRTVPVEVGNKYVSSEWKQELITFSQFLERVWSSDYSANLTYLAQHPLFDQIKELHEDIIVPEYCNAGGGELQTLNAWFGPGGTVTPLHHDLHHNLFAQVLGRKYFRLYSSTISSDLYPHRETMLSNTSQVDLDNIDVNEFPRIGEVEFIDGILEEGDLLYIPPKWWHYVRSLCTSFSVSFWWRTSIPPRGS >OB08G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15663110:15663520:-1 gene:OB08G26860 transcript:OB08G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAEAAALGGEKRAALLREITEEGGFAFAASAEKAASGDLRAPGAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAKTAAVATAREELEQTL >OB08G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15662865:15673152:1 gene:OB08G26870 transcript:OB08G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENQWGGRDSRAKANQLAEYILSWFTNAKGEHYYEDLVDMMYDAVSESFNADFEDGSVEEIAEQLLIMHEECMQSNYSSVEKLRNTRPQGNAVSQSRKISVDGDDDSSDDDDDDDEPSMVDNEAGGADKMVVDEPKPSKPVPDADGWTVVPSRRGRGKN >OB08G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15675037:15677103:1 gene:OB08G26880 transcript:OB08G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLAIVGHELGDASEFEVNGIENLTEDDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQQAELELSKENKLSNQALRKKMARAQDGILKYMIKLMEVCNARGFVYGIIPDKGKPVSGSSDNIRAWWKEKVRFDKNGPAAIAKYEVDNSMLVDAKCRGGLNQHSLMDLQDATLGSLLSALMQHCSPQQRKYPLDKGIPPPWWPSGNEGWWISLGLPKGISAPYKKPHDLKKVMKVGVLTGVIKHMAPHFDKIRNRVRKSKCLQDKMTAKESLIWLGVLQREEISFHCSDNVGSQITHRNSLEGRSDDTYSSSDEYDVDHLEGPPHSSSSKDDEGGNQLAVVHIREEHASSIHNKGRGDQQSNQVCPINEETNGSRKRKRNRTSGHFRTAESEVEVTQRNDNPPEIVSNALPYTNEINQTEMLGIANQTTSLSHVNTNGALQHQDVRGNFISSSAAVVNNYNSNQITNVTPSSIYMGDQPLACRNNDHTNPWSENTFQVDAGPPAIGFATNSSSVGYHTLPMKQSLPEAMDHRVSGPPAIGFATNSSSVGYHTLPMKQSLPEAMDHRVSGPPAIGFVTNSSSVGYHTLPMKQSLPESMDDHVPITETGVSSSYGYHITATGNGNSPSVAGDANQLMGDPFCSSTADKFVDSSFTGLPFNFVGMNSPTHDIGELLDDDDIMQYLGT >OB08G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15689431:15696162:1 gene:OB08G26890 transcript:OB08G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:J3MUA8] MLRPQLKPSSFSHTATSSSSTQLFPSSPCTSLVRQPSSIAGAAAGRTRRAPGVNVVRCASEAASSRRSDSSSASSSSGMAAVVRVKAVATFKVPVGGLLSSLKPSNAINGVKELIGRSLFLELVSSELDAKTGKEKATVPSYAHKVDDDDHGVVTYEADFDVPAGFGPIGAVVVTNHLHQEMLLEDVNLTAGAAAGNSTLLSIRCNSWVQPKSGKDDATPGQRVFFANKTYLPGQTPAGLKGYRERGLQEKRGNGTGRREDDDSVYDYDVYNDLGDVDSNSENARPVLGGSKQFPYPRRCRTGRPPSKKDPKLETRSGGVYVPRDEKFSEVKNAQFSIKTVTSVLHAAVPVLQSAFIDRNQAFPSFFVIDKLFEDGVALPSVEKLGFLKSIVPRVLELLRDGPGDGLLHFDTPANVQKDKFAWLRDEEFARETLAGVNPYAIELVREFPLKSKLDPAVYGPAESAITADVLEAQMRRVMTVAEAIKQKRLFMLDFHDLFLPYVHKIRTLDHTTMYGSRTVFFLTSDGTLRLLAIELTRPASPSQPPGREGVHPATATPESWLWRMAKSHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLMRPHFRYTMAINALARSRLISAGGVIESSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLRLAIKDYPFANDGLLIWDAIKSWVQAYVARFYPDAGSVAGDTELQAFWTEVRTKGHGDKKDEPWWPKLDTPESLAYTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTKMPVEEPVDAAAMAKFLDNPDQALRDCFPSQVQATVVMAVLDVLSTHSQDEEYLGGPETRPWNNDAAVQAAYAGFTARLKEIEGVIDGRNKDRSLKNRCGAGILPYQLMKPFSGEGVTGMGIPNSTSI >OB08G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15696800:15701031:-1 gene:OB08G26900 transcript:OB08G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSAPFLVALVSIGAAHGAFNRHSFPEGFLFGTGSAAYQYEGAVNEGGRGLSIWDTYAHIPGKVEDGSNGDVAVDFYHRYKEDLNFLTEMNMDAFRFSIAWSRILPNGTISGGVNKEGIAFYNSLINEVIARGMKPFVTIFHFDTPQALEDKYRSFLSENIVKDFVDYADVCFREFGDRVKSWNTFNEPMIFCAGGYGSGTKAPGHCSPYVSKNCAPGDSGTEPYVAGHNLLLAHAEAVRLYRQKYQATQKGQIGITQVSHWFVPYGDSDADKHAARRSLDFMYGWFMDPIVYGDYPGTMRKLVGDRLPKFTAEQSELVKGSYDFIGLNYYTTNYAKSVLRRPSKLKPAYATDNWVNQTAYRNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAENGTDEANNSTLPIAEALKDENRISFHYQHLRFTQLAIKEGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRATLQRYRKQSSYWFADFLKK >OB08G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15702846:15708644:1 gene:OB08G26910 transcript:OB08G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTRARQSTQWRSRRVVRDYRKAEAALAQLENREVAPPPPEGEEKEKTAEEEEVITEEDLQNILAKLRGEHYYCLYCGCKEMLWDRVSFRSVEGYVPSPAMRVSDEYFFTRATVRP >OB08G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15710318:15714070:-1 gene:OB08G26920 transcript:OB08G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASGGGGGGAGGGSGGGGGEPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRNKGKGVAAPAAPPPPPPRCQVEGCNADLSGIKNYYCRHKVCFMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPQMPLASRYGRIAASLGEHRRFRSFTLDFSYPRVPSSVRDAWTAIRPGDRVAGGIQWHGNLTHPHSSAVAGYGAHAYNSQGSSSSGPPVFSGPSLPPGGSFTGVGAATDSSCALSLLSTQPWDAATHRAAASHNRAAAMSTTASFDGNPVAPSAMASSYMAPSPWAGSRGHEGGGRSMPHQLPHEVSLDGVHPGPSHHAQFSGELELALQGNGPAPAPRIDPGSGSTFDQGSNTMDWSL >OB08G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15734389:15734768:-1 gene:OB08G26930 transcript:OB08G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAIETWTPLISHTTGSGDPSFLFDAAKPVAKIDLSWNRLEFDMTRIRFAHHLNYLDLSHNNIKGSVAKSPKDVNLEFFNASYNDLCGEIPTDRYMAYHGPHCYIHNKCLCGSPLPPLQEWLMEIIS >OB08G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15750348:15755525:1 gene:OB08G26940 transcript:OB08G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MUB3] MEYHQQQQPPAPADDDVVIQMNAAAIAAVDERSSTNEEADQAGAGKGGLGRRAFSQHYMTKHRAPPEFTRWQLLLLSYQSLGVVYGDLGTSPLYVFSSVQLPSPGEADFVGILSIILWTFTMICLLKYVLIVLKADDHGEGGTFALYSLLRQHVNFKGNMPVPVTRLESDVNLKFHSKKRAMASKFLKLLEDSTKWQAVITYMVLAGTCMVLGDGALTPAISVLSAVQGIQSRSSNITQAHVVILSVIILFVLFLFQRLGTSKVSFTFSPIMLLWFAFVAFIGLYNIIKYYPPIVKAVSPHYIIIYFLRNKRTAWETLGAIVLCITGAEAMFADLGHFNKPSIQMAFSVIVYPSMILAYAGQAAFLVKNPSKLSTTFYSSTPEPLFWPMFVVATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSDKHEGQVYSPEINYFLMVACILITVGFKGGPEIGQAFGVAVIFVMLFTTNLMTVVMVIIWQSNIVLVSLFFVFFFSMEGLYMTSLLNKILQGGWVPFAITAFFLIITLSWTYGRSKKSDYELANVMQREEFIDTVKASNRVPGTCIFCTDMMNGIPPIVRHYAQHVASLRELMVFVTVRILPVRTVLPEERFLLDKLEPVGVYRCIVQYGYMDNHNMGGDEYVASVIASLKEIAENEDDILVLDSALMNGSTFVLGRTIIKMGTRHNCVKRFIINNLYRFLQKNFRSNMSSLKINPGKTLQVGIQYDI >OB08G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15756494:15760253:-1 gene:OB08G26950 transcript:OB08G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPEEGTASARGAPWGRLDGQRAWDAFGRIDVLINNAGLRGGVHSPLDWPEDDWNTLIKTNLTGSWLVSKHVCRRMHDAKIKGSVINISSVSGLNRGHLPGSTGYAASKSAMHYVTKLMALELGAYGIRVNSIAPGIFQSEITAPLLQKKWLKTVVSKIVPLKTHGTTDPALTSLVRFLIHGTSSYVTGNIFIVDSGATIPGVPIFSSL >OB08G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15762599:15767155:-1 gene:OB08G26960 transcript:OB08G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGESCRLRFRVLHRRFSGAAAAENVDGGGGEEEVEEPDADAVASWVEELRKLRVAELRREVEKYDLSIGSLQSKLKRLTEEKERSPSGEAEPAVKEETEDDVRKGSPEEEEAGGVEDRVSGHESGRSCKESNSSDLKRPEDEPAAANDDPAEREEAAAGEEGERYSGTIRRHVDLEMVRARLVGGAAAAAATCYASASELYRDLLLLCANALVFFPRGSPEHDAALQVRALVSKHISKDRPPAGKVPAAAVEAEAVASKKPKADADIAGSLLEKAPIIVCRKRSSIAKAAAATAAKGEKAETDKEKDGEDKKKAAVAATVTSKDRKARGMRTNKSRGPARNQKTKVSESGEGTKKSDKKGGGGGSSAAAAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAATEQQKKSSSSSGKGDGRKDAGGSGSKKAAAASTPPGRRNVGRPPKRAAAPPTPPPSKRAKDDKPATRKRGKK >OB08G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15772456:15773622:-1 gene:OB08G26970 transcript:OB08G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPVGVLGLPFLSGVSAGGDAKDLRFDLSTAFASGPALRLSYRPNDPSLPFSVSVRSGVGPLGSPARAPFSLAAEFNFLSGNPGSPAFFLLLKPRLGDFSLSHTLRSSLPPPPRKIGEVSDSGDGHEHELDYKTFSFSGSGVGADAAAAGKNGGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRAPPELQAAFADDEAGVGGHSRKDERVAISKMPLLVMNKISIEQSPRADADLTRSKTESSSSPEIAAADVPDAPPADVPDGIGHGGEGFSLVRRQLEALKAESGMLHRAVEDLRAEVASRRAVPTASIPDSWRTTPPPPPQPHHYHSFPAKPNRRSSGSDTATDNAAKPSSDELGEELKKALEARLR >OB08G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15777254:15780736:1 gene:OB08G26980 transcript:OB08G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSNAARAGAGAGEGDDEEEEEEEEEGEWREALAAAWGQSKAEREAIRSRYAAVKDMIRAEKGGDDMRLLGVAMGEMEHLHHKVQRPKEQVADGEALLELINALAVSAKSEKKDGPTPSEFVASILTKFGVRTSVVDASVESFSWSNLGAVASPLFMTATGCQTMNGPMNVAFKERRRVARRLFDRFTSRPAELYETPPDLDQRNDTDKNMAVMFKLLRKNHCVKLENLVLNRQSFAQTVENIFALSFLVKDGRVEIDVHDNGEHFVMPRNAPAAELITSGEVRQPICIPIRHQGLEDNERRRGAWS >OB08G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15781739:15783160:1 gene:OB08G26990 transcript:OB08G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 19 [Source:Projected from Arabidopsis thaliana (AT3G05240) TAIR;Acc:AT3G05240] MLREGVAADSFTFPLVLKALAQARHRSRPWAGAIAALGCLHAHFTKSGHGADVYAASALVHAYVSHGDAASRAVFDAARLRNVVTWTAMIAGHAAAGEAREAVALFREAVVGGQEVNAITVSQVMGACAQCRDLGSGRWIHAALRRWGIEPILLDIALATAVLHMCATCGGLDDAFEVFDQMPQRNEVSWNAMAGVCSSHGRQDKVLEVFAGMHSDGMKPDKVTWLSILRACTSKGATALGQGVHAYMEKTIGHKHVAVCTSLMELYSKTGNAQSALQIFQCLERKDLMAWTRMIIGLAKHGHGKDAVQLFNQMQYGGVVPDHVAFVAVLTACSHAGMVDEARKYFDSMSNHYGIRPTIKHYGCMIDLFSRAGHLAKAEGMMQLMPIRPNVTMWGSMMNGCKIHGRADIAERLGRQVVELNPQFGATYVIMSNICAEVGWWHAVEKTRGLMRHTGLKKIVGSSGTEVHMLCS >OB08G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15789299:15791036:-1 gene:OB08G27000 transcript:OB08G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76420) TAIR;Acc:AT1G76420] MGDALWDILGEEMAAAAAAGEHGLPPGFRFHPTDEELVTFYLASKVFNGACCGVDIAEVDLNRCEPWELPESARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVLNAATGALLGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSTKAYVAADIMQEEWVICRIFHKVGDQYSKLMMMKSPASYYLPVSHHPSSIFHDLPPVPFPNPSGCASLVPFHHDLPPLQHGQAAAKNSIGGGFNGGFVFPAPEPTTTTTTTMAAPAAAFPSFASATVAGKEGPPPQLGVNAGQQEPPAPPTWMDAYLQHSGFLYEIGPPAVTRGA >OB08G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15800293:15800808:-1 gene:OB08G27010 transcript:OB08G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPQRSAERENLPVTNAGGGRGGGGGGGGDHLIGLRRRMSSFSVRIQPLSSAGAGAFRRASSMPSVKALAAAGALRRWWEWGLGWVMNRKPAFARDLEMNDDEAAALGCHCRGTWRHVFYKVRAEVRRLLGRDGLPLSAQDFRYDSVSYAQNFDNGDAAREP >OB08G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15809870:15812735:-1 gene:OB08G27020 transcript:OB08G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVEAAAFDTVDGLVGEVMRLHRSLPARPAVEEVEAAEALALAADREERARLDAVERLRRPPGVPDELFYITQEMHRALAGFQCREQKRDAARLLELEAIHALFGDLIQRASQCLPSTSTRAAPRITSSTPAGATTSTATAAASSSSSSSAMDGVSSSVNGFAASRAVGTSTGRVSMDDSYVRKAKAAMWDGGAVATNPHLPRGAIEANSVAVRADGSYGDDKEKLSLIKLASMIEVAAKKGARDLNFQGRLMGQIEWLPDSIGKLTGLVTLDISENRLLALPEAIGKLLSLTKLDLHANRITQLPESIGDLRSLVYLNMRGNQLASLPSSLGRLLNLEELDVGANGLSSLPDSIGSLARLKRLIVETNNLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEVLSVRYNNLRSLPTTMASLTKLKEVDVSFNELESIPENFCFVTSLIKLNVGNNFADLQYLPRSIGNLEMLEELDMSNNQIRVLPDSFGNLKHLRVLRAEENPLQMPPREIALKGAQAVVEYMSDAANKTTKSEPIKAKKTWVQFCFFSRPNKRKHDRIDNGR >OB08G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15813073:15813333:1 gene:OB08G27030 transcript:OB08G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHQPKNSNTRQPQPPRITNGEERKETNWSENGGCSLSLSLSLSLSLSHRASCRGLFLHLVSCFVLGWGKMEERQAAQSSTLLPR >OB08G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15816856:15819137:-1 gene:OB08G27040 transcript:OB08G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERDNLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >OB08G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15820868:15825020:-1 gene:OB08G27050 transcript:OB08G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G38220) TAIR;Acc:AT4G38220] MASKKSSTRTSPMAAGASLLLFLLPLAISAASPSSDAEVISRFQEYLRIDTAQPAPDYAAAVAFLRGQADAAGLEASTLELVAGKPLLLLRWPGRRPSLPSVLLNSHTDVVPSEPHKWEHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGFIPDRDIYLTFVPDEEIGGHDGVEAFVKSTEFKEMNVGLVLDEGLPSLGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRKFRTSQFDLVKSGAKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPGVHIEAMERRLAEEWAPSSRNLTFEFKQKASVLDKFGKPAVTPADSSNSWWPLLEEAVKRAGGKLGKPEIFPASTDARYFREIGLPAFGFSPMANTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDEESRAEL >OB08G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15829734:15832878:1 gene:OB08G27060 transcript:OB08G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTAQDRVFDKVFRVMRNFGVEDDGLIVYRDGTLDDATFAVCSEHSPVQDVGYHVIPGNTCSELGYLKPGRIAGNCDEENCGRSGAARKPSLPNPAGRKTTPLKLEQSPDIPNCIPCLNPASSSDPTSQRLRAAHLQSTELKVEMVALHEKRVRKCLSKVKGVERVEVEASLQKVVVTGYANRSKILKALRRVGLRAEPWSPRNELLSAYAAGSLMAANNYYYYSFF >OB08G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15834162:15837651:1 gene:OB08G27070 transcript:OB08G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLQKLHAVEADEVIQWIMSCYHPESGGFGGNVGHDAHVLYTLSAVQVLCLFDRLDALDVDKVADYIVGLQNEDGSFSGDIWGEVDTRFSYIAICALSLLHRLHKINVQKAVDYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHVDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >OB08G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15838900:15842104:-1 gene:OB08G27080 transcript:OB08G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAPAPPPAQSVRMAYTSDGTPVFTPVSAAAAPPGYQSGGGAGTGSTMPAAGGNGAAALPDLGEPVLKKKRGRPRKYGPDGSMSLGLVASPTSAGSTPGASGPFSLTQPKPASLASPVSQDGVKKRGRPKGSTNKPRIDAVGSAGVGFTPHIITVQAGEDVSSKIMSFTQHGNRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKQHVSLEPAPLKTAPATGMGPNSSPPSRGTLSESSGGAGSPLHPGIAPPSNNSQPPFLSSMPWK >OB08G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15842309:15842947:1 gene:OB08G27090 transcript:OB08G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEKLSEGEEEIEKGNGKNLKEGELKNQALGQDLAKRCTEIADLILFAGFCRRQNAINCHSFRPNSCSQGSGRKRGKKYLKEKNYFFKMENKKHTFTLLCPINESSGAGKRLNKLTPVAHRGEEQLQRFWIPTSPHKFLLISFIINIYLLFLPYLFSQPPLLHFTCTLSFSLINKKDVFFLSGKEKNRQQVSKARRNCLGEKKIYNCCSR >OB08G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15847737:15850387:1 gene:OB08G27100 transcript:OB08G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G76450) TAIR;Acc:AT1G76450] MAAAVNSATAPLCRGLSSSSSSGGARTRRATVRCGAGDARVEGLRRREALLSVLLSTTAASAPALAPAAAAAAPAELQEGFRTYEDEANKYSIAIPQDWLVGAGDVSGFKSVTAFYPDQVAESNVSVAITGIGPDFTSLKSFGDVDAFAESLVNGLDRSWKRPPGVAAKLIDSRASNGLYYIEYTLQNPGERRRHIVSAIGMAFNGWYNRLYTVTGQYIDDAADVDKFRAQIEKSVKSFRFT >OB08G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15851173:15853516:1 gene:OB08G27110 transcript:OB08G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYEKLEKVGEGTYGKVYKARERATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRGHRQNHEKIAAPTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELATNQPLFAGDSEVQQLLHIFKLLGTPNERVWPGVSKLPNWHEYPQWNPSKVSDVVQGLDTDGLDLLEKMLQYEPSKRISAKKAMEHPYFNGVNKDLY >OB08G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15860713:15865080:1 gene:OB08G27120 transcript:OB08G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPVARLPTARRAAELKAFLEDPGNFDTLSMVFNRSSRFARLQGVQCAMAGRNLYMRFSCCTGDAMGMNMVSKGVQNVLDYLQHDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEDIVKRILKTNVQSLVELNVIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGNDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRDSPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVVS >OB08G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15867415:15869443:1 gene:OB08G27130 transcript:OB08G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRTLGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWVKPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKDPTKSDN >OB08G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15875073:15879187:1 gene:OB08G27140 transcript:OB08G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKRTKKGKKGNKYKANSTVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPAANPYYDFEDVIDSDEELNLGAMDSGSASS >OB08G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15879190:15879588:1 gene:OB08G27150 transcript:OB08G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G76560) TAIR;Acc:AT1G76560] MASPPLTSLFSAAAPPAGAFGAPAYARLCPHRQRRRRPVAASVKWRYKGTARKEAVLSELIERKVAEATEACAGEGAAGEAGCRVAWDEVEEVSQARADLRRRIAEGADDPLEPFCSHNPLADDCAVVYGDE >OB08G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15891389:15897733:1 gene:OB08G27160 transcript:OB08G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAACGGGVEVREAELPVEEAPAASEESKETVEGVGEEVSGPVVAANGDSGDLSGKVSNLVARPDPAVIGEEVVESNAALGCAGTVHEGGVDGGLDAGAVDDAPIANGHVQHEAPACVVEEVNGDQAGLEIAELVEQEEQEVNGQELEGMIVSTADEHDDHTKRSTAAESKVHVEDGLTKAVAADATDLIKHEAINGEQDNGHMTNGHGQIEAEVNSVKPVDQEVTGIIRYGLNGSVINVHGHTDASADVHVADAVFEVKGNKSKGKDISVIEELVTATVDHDQADIPMTNGHDQVERGSDSGEVDTKSEVCGEKNGECATDATELVRQDAATGEQGTENAYVVSACDHSNTNANSDETPTHILFSSNESCMVQSVVESMEVHHEETLKVVDQCTEGIAKLAKVAGEDILTNGCENVHNKQDTLQGTITSGVDKAANAYVVEENEDTFTDSTEKKEKHEKTNDTLEGHDNFEVAEVEKVEFTAEVEAHDNFTEKVETRDLENFSGEEKEETREHASLREASLVSDQQQDTKGELSAIIVNHVADNTELKQESDSDMEIFDGAKLYSATAPAVISALHGETRSQDLSEVHNSIRACDRGTSSDALTGHNDSKENYTASVVQVEQAGPSPDDVNCPANAFTASSCMAKTEYVQVTDIADTDITGNGCELQLEINMDNEDRRDLHVIKPHSLYLMQVPRFMKESHWAKIQDAQIHLDELTQKRDAINLLRQKKKALCDEYREQLEAARQEERGARTAHGDKKNDLNSVQSMIGRMNRANSIQEIDDMIAMKEKIIAHESISLKEEKRLLQDIKELKAQKKQLYSNMGSKAEMGEVFQQKEHIHEQHKILKKDSDVLLTNLKSLEDKTRVIKKAFDDERDALRKLTQEHQAAHEVRQKAYDEWVELKKEPAKKNRFFFMYRKDSADAQKYRANKDLTGLLSFCNNQVESFMELWNKDDDFRRQYVESNKISTLRRLGTSDGRKLGPDEVPPEIPRYSNRMQSNPSLLPVPSTHVSTSASEAMPAKPASAITSVEEKTFPVLQGSKNSKPSKPKVAGNSSSKGTPGGPMPEREDLEKSENEKMHKMEESEVEKIHRMEKELELSRKAAELAIKEEEFRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKALERAEFRARKEAELMEKKKAKKDKTRGSTSVASVNGSGEGTAEAIVPNDPDSSTTENSRGGDFSQHKAMKKKTSRPSVVLKQLNKMDPMPLPLRNKGRRKMRQYIMVAVAAVVSVLALVVANKYVPSNFRASSS >OB08G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15898914:15900613:-1 gene:OB08G27170 transcript:OB08G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHVARCVCPCLLLLMLLLLLLVHHPHMLLESDLPIQELEIERKVKAAFIVHRSRKVAHVF >OB08G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15900602:15900871:1 gene:OB08G27180 transcript:OB08G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHLAQNCAPLMLTPPYSSMFRSRARGRDDAAAACNVMESSLCLSMGIWPDPHQLRPNMLLQIPQVRPVCWLKESLRLVAPVYGHKLR >OB08G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15917719:15925760:1 gene:OB08G27190 transcript:OB08G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPAATMSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGNSGGSYPMCQVDDCRADLTNAKDYHRRHKVCEMHGKASKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGGNVGKLPSIPPIPDKDNLVQIISKINSINNVNSASKSPPSEAVDLNATQGQHQDSVQRTTNGFEKQTNGFDKQTVPSTMDLLTVLSTALATPNPDSNTSQSQGSSDSSDNNKSKSHSTEPANVVSSHEKSIRVFSATRTNGILESPPEVYKQPEQETRPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPITHKFFPIRSVHEEDRIADYGEDTATVEVSTSRAWHAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPTEMEGYIRPGCLVLSIYLSMPTIAWDELQENLLQRVNTLVQGSDLDFWRKGRFLVRTDTQLVSYKDGTTRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTNTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLVLGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDQAHDARQLKPKDEVMHFLNELGWLFQKVAASASDGKSDPSVLDVIYFSTARFRYLLLFSSERDWCSLTRTLLEILVKRSLASDELSQETLDMLSEIHLLNRAVKRKSSQMARLLVQFVVLCPDDSKLYPFLPNVAGPGGLTPLHLAASMEDAEDIVDALTDDPQQVGLSCWHSVLDDDGQSPETYAKLRNNNSYNELVAQKLVDRKNHQVTIMVGKEEIHMDQPGNVGEKNKSAIQALQIRSCNQCAILDSGLLRRPLHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRTFKWERLDFGTI >OB08G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15931895:15932641:1 gene:OB08G27200 transcript:OB08G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYSTSTSKTIHNAPSSPRWSWSATSACTVSPTEVHVTLKRYGGSTKSEREERNQEEREKTEKEERGPTMPTPVRTAPRRRRGPPLSQRRRAPPGRPRCAAAARCAASPDASPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASPDAPPPARRPDLPSPATRRRPPPSATAAAELRQPGERRESSREGRWGENSGEGRERRESCQEN >OB08G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15932031:15932660:-1 gene:OB08G27210 transcript:OB08G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKTFFNFPGNSLSSLFPLPSSLPTGPLSSSLFSLPVAGARRRPWPTAEVGGVSRATVDPGVGQAAARRGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATHRGRRRTGRRRHIGGDRAELAGVETGEVLAGVGGRSSPASASSVLSLPSPFSLFLPGFSLLSHFW >OB08G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15933716:15936342:1 gene:OB08G27220 transcript:OB08G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASLLLLLLCAAAVCRAQPLPVKSYSYASFQRGDGSVSSLLFRGEAGINNGALQVTPDSRNLNSFLSNKSGSVLLPEPFTLWRRLGGAAAAVRVVSFNSTFSMNVFYDNESRPGEGLTFVVAPSADGPPPGSDGGFLGLTNATLEASPGRNRFVAVEFDTFRQPGRFDPDDNHVGLDIGTVASNVTASLAGLNITIATNGTAPANYTVWIQYDGAARRIAVYMGIRGSPRPASPVLASPLDLSEHVPEEAYLGFTASTGVSFELNCILDWNLTIETFPLLDKKSKGWIVPVAVAVPVAAVAIAAFFLARMLRARRSMERRRQERLGHTPTTNLPGMPKEFAYEKLRKATKNFDERHRLGKGGYGMVYKGVLPADDGRPEATEVAVKMFTRDDAECVDDFVKEVDIIHRLRHRNIVPLIGWCNKKGQLLLVYEYMPNGSLDQHLFRRGVHEQRAALSWESRYAIVADIAAGLHYVHHEYGPMVLHRDIKASNVLLDASFRARLGDFGLARVLELDRNSFTDLGVAGTRGYIAPEYSVGHKASRQTDVFAFGVLVLEVVTGRYALLGDPACPLLSDWVWRMHGRGALLGAVDQSLGTDGFDAGDAMRLLLLGLACSNPNPGDRPTMPEVLQILSRSAPPPEVPQFKPSFVWPPEGGASYDLVDIDAITIGSLAGTSSAASARATQDTASAGFRTPNSADGYFPALSSGR >OB08G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15939788:15946373:1 gene:OB08G27230 transcript:OB08G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLVLLFLATLVPSSSTSTSLATNATAAGGDNATTFSFSSFPVDVRGVNVTVVGDADVNGDALQITPDTLNDASRYLTNKSGRVLYATPFRLWRREGGGAANGAGGKKVASFSTVFTINVFRPNGTVPGEGFAFIIAPSAAAPPAGSAGGFLGLTNAATDGNAANQIVAVELDTEKQPYDPDDNHIGLDVNGVVSVANASLAPLGIEISPVKPVKYDVWIDYDGAARRMKAYMAVTGKPKPASPVLDAPLDLGATVAERSYFGFSASTGFRYQLNCVLAWNMTVEKLPSDGDHGKSLTLALTIGVPGGGGAPPPAAAALGYWLCVVKRRKVHGDDPSAITGTMIRSLAGGPREFDYRELRKATNNFDERMKLGQGGYGVVYRGAVVGDHTSPGGAGSTVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEYMPNGSLDQHLFGAAPGRRLLEWDLRYSIVAGVASALHYLHDEYDQKVVHRDLKASNVMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRNSDVGAATRGKEPRWLRPLLSARYFAQCGDHGDSAGGGRCECNMFCVDCAGDGGALCAYCLPLHGGHRVVQVRRSSYHDVVRVSEVCRLIDVSRVQTYVINGAKIVFLNRRPQPARPPGKSAGAGAGGTAASCCEGCGRVLLDSSRFCSLACKLGGMKRDPELTFLLHGKLGLESPETATATAADEWSAPSKVRRTSALRPRRVQAAQERATASPAVLACPVSHSPPAPTGWRERFATAKESALSQVVPHLGYVARSTSSWRGVNWARASDALCAHGDDSQQPW >OB08G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15945026:15945433:-1 gene:OB08G27240 transcript:OB08G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSHRPPPAESPWSPHCAKYLADSRGLSHRGSFPRVAAPTCRCKCARPSTQHTNPRHHSLKLQTILKTRFRSLPCHAQIASKKPRTMCQSSHEIQGRNHGDLRWKSAGGADRAVTRECAQQLSLYLEKRASRRE >OB08G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15951516:15951695:-1 gene:OB08G27250 transcript:OB08G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLGLDDERSSLSLPTPGLQFLQNSARGLKNRRNCKFTLVLETTRIMKHGRNDGQKMS >OB08G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15950757:15955525:1 gene:OB08G27260 transcript:OB08G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASEVFMETSISCSETPRTSHSSYLQQRHSRSVVSTHGNVLDISPRLSYHKPTTNKDKMLRRRSSVNLPEHLPEHQMITSAEQSGRTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRASVQVDKEIHHQNSRKKADEGALRLRDIKESASYKLPTVSDSKLGLSRTSSGHSSLANFLSASITEYVPKISCKLSEDIIRCISSVYCKLASQPSQKVPDFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNKSSDNYDPLNENSEQYSGMIICPRIYIDAEKFEYASKMLETVRPLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGISVNAQIIQNSIIGCQSHRPSVWVRTLFTPLKRSASGSSTHPYALHPPEPLAHFALSTGAFSDPPVRLYTAKKVNHQMDQARSEFIQASVIVRKQTIFLPKVLHHYAKDAVLELPDLVEMVCESMPEAQQKEIRQCLRRRIEKCVEWIPFKSSFRYTIHRSLAE >OB08G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15954178:15954369:-1 gene:OB08G27270 transcript:OB08G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVKVQLFSVIRTCYRYCAYMHHQSVVDVDPETKLLLMCHFCWVNFLKPFYQRSEIQNEHM >OB08G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15955920:15956921:-1 gene:OB08G27280 transcript:OB08G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) TAIR;Acc:AT4G38160] MASGGTGNGGSLAQWLREKGFDEDAVGRMSRRCKNLHALDAGEASGVWEYLLSVVKIERRKLRHVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLQGSNLQRVIMSFPDILSRDVDKILRPNLAFLQSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQYFRHGLKRSLEYRHKVLKQTNSRCSLSEMLDCNQKKFAMKFGLLAAV >OB08G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15957766:15959597:-1 gene:OB08G27290 transcript:OB08G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTKGRVCVTGASGFVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLAGAKERLELATILDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNASLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTSTDVLGLFQGETTKFTVYGRMGYVHIDDVAICHILAYETARAAGRYICNSAVLDVNELLALLARRFPSYPIPKSLPCVYGEQTYDFSTAKVRELGMMKFRDVEEMFDDAVESLRAHGHLLSRSSSP >OB08G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15960016:15963168:-1 gene:OB08G27300 transcript:OB08G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLNPRLLPLLPLQLLLPPPPPPPPAAATASVIHSNSSSLPDASTHQSAPSVHAQHLEQLGNGGEQAAVAAPVDPDENSLTVKEEAKEEDGELEEGGSAEAAALGIEERLAHLRSQISGKFESVQQRAIAVAAKRRQLAGRRRKVAEDVGSAAARHKDLERELEESCEAEDFERAEKISDSLAALEKEKDRLLTALRDAEHNYDSVDLELQDVLESQIAAEEEAAALLENFAKDGTDHADSVSKQAEEMSLKEIEGWQESMELLETNKLEMEVESELVLAARSGLENSIEHLIEDDKREKDMLSKKGDTFAEELAELLKLVRLKEAEIAENNSRIQEVQERITSVVSRFYGSQSDIDLKLKTLHEAQTKMDSEAQALVLKKSEIDNFISLTEQKDSNLREIINACSSEAKACQQSVEIRRKLASSILKSREDRIGFLKMEEEILQDIQVLRQQTSDARTSLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEAIEKDITATTVKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAASETDDSEEVEVLLKEAEAAESKAMELKTCYDLELDDNEVMFQPVVPIVFITNSTGQHLSEIASSFGLSP >OB08G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15963375:15963620:-1 gene:OB08G27310 transcript:OB08G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGGASAWGGGGDGDSLFEGMVLFTPSLSVDPDPEPSVVEAPDPKPPTPCDDPGAGADVVAGFQQQQPPVAAPAAAAG >OB08G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15966129:15967186:1 gene:OB08G27320 transcript:OB08G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGFIEYKLDYRGALKLAIGKRLPEKCAPSPSSSVIRSSRSVDVAADVKGVGFIGWERFVQRSVLESLYVIDGTVTIICGFIVVRGGDPIAVPPSNLPGQLGAMAELLGSAATTPCVTLDGIEPATFEALLHFVYTDDVLLPGDSPSSSSSTAEFFQKLMAAADRYALERLKLMCAQRLWELVTAETVAATLACAELRGCTEPKTRCLDFFMAEGNFKKAVVGEGYLRLMQSFPSVIDEIKARLDA >OB08G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15979988:15981770:-1 gene:OB08G27330 transcript:OB08G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLCDKWQAAADPELVERFNFELIPLGLHGKQQCHCHGRGWQMRNCSMAWSMMIRRGIWLSPLTLIKEVMNVRDGEVNGGK >OB08G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:15980676:15982265:-1 gene:OB08G27340 transcript:OB08G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAPAPPPQLNWPWIVELHGSIHPLPGEFFEFFHFSYSDPRRLTEPLSLSLSLLVLGSHGHGTISRANNVLFHFYFFSSLFLEGLCEEEIVELFIGDEMGKGERSCKRKFPEILLLNYLSIVHHTALRFYRLKFLSSP >OB08G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16004165:16004434:-1 gene:OB08G27350 transcript:OB08G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAQRPGRRAVATPVWRREEDGTVIGGSPVRVAIVLFWPKPEGVGVAEHPLQVGSDGGGGDGRVGEGMVTTNGASGDSVGSIIEQD >OB08G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16005609:16006728:1 gene:OB08G27360 transcript:OB08G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSITRLQLADLLLPCMPCKYIRWKDLGVSSPNPAKIVRSKTGDIMPENDDDDDRPPPPPPHPAARRCAACRYLRRRCADDCVLAPFFPASRPHRYFCVHTVFGASNVARLLQSLPMAERGNAANTMAMEAYWRVQDPVYGCTGIINRLQDEIRAVQCELARTQALLAIALAAAASRQLQPPPPPPPQQDRAGGRPVVVVVRGQQQQEEEEEQSPPAPSLMDPADEYLNLDGL >OB08G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16007780:16020525:-1 gene:OB08G27370 transcript:OB08G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3MUF6] MALGRSSPAPPPAPEIRSPEGPERDYVEETDAEDKFDDAFDIPHKNASHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVIRAAFLFKEAGEKDLREAYTGIKLATASRSFPIELEKLTALNRDHDNVMLQEVGGVKGLSDLLKSNLDKGISPNEDELLERRGVYGANRYPRKKRKSILRFVFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGSIFLAVFIVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCVTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDQKAPFLMSGCKVADGYGSMLVTGVGTYTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLIVLWIRYFTGHTKDPNGTTQFVAGTTRAKKGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTILDPCDDIRAMSSGATELLIEGIAQNTTGTIFLPEDGGDAELSGSPTEKAILSWGLKIGMDFNDAQSKSQILHVFPFNSEKKRGGVAVQSDAGVRVHWKGAAELVLSSCKSLLTLDGSVQPMSAEKYNDCKRSIEDMATNSLRCVAFAYCPCEMETIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVRSSVQLCTSAGVKVRMVTGDNIETAKAIALECGILDANGTISEPFVIEGKVFREMSEAARGEIVDKITGGGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFESVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRREPLVTNIMWRNLFVQAIYQIAILLIFDFSGRSILRLQNESREDAEKTQNTFIFNTFVFCQIFNEFNARKPEEKNVFKGITKNHLFMGIIAVTTIFQILIIEFLGKFFKTVRLDWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLHDYFKPTCWRRNSRRDEEEGAQS >OB08G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16026720:16028692:-1 gene:OB08G27380 transcript:OB08G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSSTPLPETRSPGRDWAGEADVEEMSGDGGEAVGGSFCSPRLHRLRRWRQAALTLNASCRFRYTQDLEKEEEKEMIRRKIQAHLQVIRLVRLFGPAPPEVTVQRDQGGPPPCDPTFGRRDRKAGRDGGLPMGHPEPHKIRSLSYTFNKYDQGYNNLQSEV >OB08G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16032385:16035986:-1 gene:OB08G27390 transcript:OB08G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKQFMQELEDDVSPDEAQRRYEEYKSEYITTQKKAYFDLHKHEDWLRNKYHPTNLESAMEGRNEVARTIANEFFQDLQSGTLDIGPGLTSTATNKSGTSVDDADANGKKGKLGKGQDDLYYAAPKAHPVSSEPRRIRIDIELAQALICKLDSEKGIENNVLSGSDHDRADKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWQDRIQGQDPMEILKAKEKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVLKHLKLKHTDLVVELTSKVRENIYFENYMSDPKAPGGTPIMQQSAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDPVYGSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFESTGGQRPRRAGRGGVLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >OB08G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16038581:16044265:-1 gene:OB08G27400 transcript:OB08G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGYQDIINIDISSVVIEQMMKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASQMLEEVNRILKAKGAYILITYGDPSYRLRLLKDLQLWTVKLHVIDRWERSREPSWELTKPLPLDGDSTSVVSILGPKPDVHYIYVCIKPKSDPTLSSQNGVLGSTKADTPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTASK >OB08G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16057635:16061185:1 gene:OB08G27410 transcript:OB08G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVESESEAAAATTAPVLTAPLSLEGGLAAELQPANLLQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGEDYLRRCARGSDAVERFTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDTGDVELVWCQNPIPKFHGTSVEATVKGKRHVRLLKFSESYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESGLEAEICYFRSSAFLGLGGDPRCVKGKIFSSRSGETICEIDGHWDRTVSTKDEHTGKTSVLYDAASAIADLKTPVVRNQEGLSPSESAVVWGEVSEAILEKDWERSRQAKRRVEETARRLDRERNEKGEVWIPKHFSLTQDKHGSWECCPLEKSVPPAPIIVPS >OB08G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16061297:16062331:-1 gene:OB08G27420 transcript:OB08G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSWRAEACVIFVVALVARWCSTSTASCSFTISNYCAQTIWPATLAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPGGRRRLRHHRLHGRSQPLYVSMSTHPPSMHACRRGERVCADVSRTVCVRGSVSQGAAGGRRRRRRDDGGVPERVRGVRAGPVLLQRRVRDAGDVPPHRLLGHVQVGVPARLQLRLRRRHQHLHLQQRRRLHHRLLPRFHRVTNSSTNSFLSSTSSHLNPWSPCTDRTPPASLRRSARCHRRRRPMDRTAPEAVRHLLRRATMAAG >OB08G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16061067:16065104:-1 gene:OB08G27430 transcript:OB08G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVECILFRAGILPPPPMLAMGHKGGPRDRHPIDPMIGCPLDLEGVMVCDDPHAPESACPKELQVDGGGGGGTTVACRSACEAFGQDQYCCSGAYATPATCRPTAYSGMFKSACPRAYSYAYDDGTSTFTCSSAAGYTIAFCLASTGSNTSGVTPPISSLPPPPAANGQNGAGGGTPPPPAGDNGGGITSNQPPPPTDVNDGAGSAYQPPPTDGDGAGSSADQPPWTTTPSSASKRVMPSSASTQRNQRESLLLLLPALLFFRL >OB08G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16066634:16070765:1 gene:OB08G27440 transcript:OB08G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEERTTTDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGDMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDEAAEVDTDMPPLEDDAGESKMEEVD >OB08G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16076032:16080655:1 gene:OB08G27450 transcript:OB08G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEERRRFWSLRSVRWRIDLGILPASPGASVEEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPACQAMLRRILLMWCLQHPEYGYCQGMHELLAPLVYVLQVDIDKLSQVRNLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWNFGADNENDSEKSSKINSLDELDRDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGGGVVRMAEFFSPSTVGSSSSLPPVIEASSALYHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFSCTNNMLLSSDEEYNFRILCSARGAFIAAMAMSMLLHIRSSLLATELDTVCLRRLLNFPTNIDVQKLIEKACSLQSIAIDANTSSSSFLLKRDSCDFERVHGNPGTSTPPRTPLHPVSESYWEEKWRNAHKDGTPPKEVEKGNSFSKQLKKSLTQRLGLSRTESDPSPVKVLSVSNDTRSDPSPAKVLSASKNNRNSVRRCLLNTLSDDLERSNEIAGKIKEDEFPTVSVHREHPLSSAEPSKLKAVGENVTASPSRVPKVSPLKTSEVETVHENTTQGAKRVPEACSSGENSPVFYAASSGNDPENSQDTDSESSSVTSKSCAGDHDRDEILKDEPSSCNDDNKTIHDSEAASSDKSPDRDGTSEKAVVSNERKPLIAKFQWLLKLGRPQVEGNMEKSSGETLGDKQDVDTSCSSPLDGNSNNSRGSGKLAPGDKKVVGTLKNIGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >OB08G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16083366:16085348:-1 gene:OB08G27460 transcript:OB08G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPEGGRGGGGAGIVMVVVGGGGGNGFGRGLNEPCRTPHCHGGGGGGAAAAAASSWHVHDSSSSGASSWATPNCDMVRLRPFSAAPARCGEEEHLGDGIEDEEDQRQLKQMENRRDVRVARKAFMEYLHVTRGICFNDAEHMSKCAPVFIGKLLEKVKDAAKEPEEREEEAPFRSKVKRREMRDNRVSKALARLFNFHPINEFEPFFESIGIAPGEYEPLLPRHLMFLNDDETLLDNFRVLCNYGIARTKIGRIYRDASEVFSLGEGVLASKLKALEEQGLSKTSVIKLVVSSPVILLRDPNVELKILKWLDDVGIQRDWLGRFLSTKKSYNWRKMVQVPQFFSDLGFTKEGIAKLVRRNPDFLFSGSGKMLFSVVLMMLKAGSGKKEVFELFMNFPNLSVDNFMRNLRGGIFFLAEVGVSEEDIKKFVVSNGSVLGSVQLKKPNSILTHLSVGKKRLCKMIMEDPQRLLKYSLGAKVSRLPKVDLHEASFKEKVKFLQSIGFIEGSEDMKRALKTFRGKGDELQDRYYYLLKTGLDPIDVVNMIKVAPQVLNQKINVLEAKISFFVNDMGFPLSALVNFPAFLSFTVERTKLRFLMYNWLVDKGVVPPQLALSTILACADKRFIKYYVVKHPLGIETWEKFKKEVASTKTTQLVTPDD >OB08G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16088151:16092658:-1 gene:OB08G27470 transcript:OB08G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLVAALLAIAAAGAGVAAQEEAVDVGEEIVVGVKEDTAAAEAVALRGELQQLRDKISGLESGITERSQELKVKDDSIAKLEKLIEEKSQKIASLQGEITSLQAKGSVAAEEQVGKANARAIELEKQIDKLKKDIEAQSVEKTTLENRANDAEKKVQELTAKVDALQKTNDKQKRKLQNTERALKVAEEELMRVHLEATTKSKQLTELHGAWLPPWLAAHSARYMEVISGHWNEHGKPAINTFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEIFEASRDAVTPHVVKAKELADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTAKAVHVYGTFLESATTYHRQAQATILDYLHQHEITKSLATKELVWFLASALLAVPVYVIYRLLMEAFCSTKKPKRPPHGGNHGHRRHKRRHADK >OB08G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16097968:16103179:1 gene:OB08G27480 transcript:OB08G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT3G20260) TAIR;Acc:AT3G20260] MDFFKIKKLGKSRKNAGGGGGEIVESDDGANGGNVASDEQKGKNLEDNPAPAAAAGVDADAGNVGVEGEDEEDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEGSSSREWMQSDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFSISKRSPRSASKLASTLRSLSFKRRDDLQEDCEHLQQQHGEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQVADGKYNAEDLLEPSIFAADLIKLLEESILTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGLKSKTWPSTMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >OB08G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16110793:16126193:1 gene:OB08G27490 transcript:OB08G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENWSVNSRVVFVYLSLSVVARGFDIKLEFSVESIHLPQSSLCKLKRYFRFSGKLDAFHALFGFSSLHLLHIVELIQAIFLFGYSLDVPVTKNRFFYSIRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQRFVAGDDHHELIFDQFISPLKQQKPEMLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIHIESHYPMDNYGGTDRFFAAHSSSMRPSSYYPPFDRPNYPPPSPQEPVRNSYYMHYDRPSYPPASPQEPTRTSYYASNDRPSYPPPSPQEPARTSYYASNDRPSYPPPSPQEQESSQWDFFWNPFSSLDNFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQGHQQMHQKEEEEEDDDDSDDDDDDDDDDECEHSDERCMASNGAVHPVKNEVNAKQETKGFESKGVQCAEACEPRNKVELEIKAHKKELMRNKVANAEDTPGFTVYLNRRPASLVEAMKDIDSQFSGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDHETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISGRIEVLRDEEMQPQLMELIQGYISSLVMKNAITRLITVTGDYWLEDKERRLLNSDIVLYVIMRLINSLMATESAVRLIGGAGAGNWSKDFGAFDSSLGNLSGEGLGFVDNNTAVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQGGNLEANLEGKLGNLEDSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLISRESRRFMNRVGKVKEWRVVSQDDSSKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQVSGKSGSNFDSHCMNLGDFASESFQQSGTSLYDNSSHPSNSNTGVGISDHSDINSSTNFSLDAVKTSGLNSWTPVPVSSTVRSTLSNNIPSISVPNSSSPDSNPSMQASQQEKPTIDIKLGNDVLGSGSVLTELDTVNSNMKNLRISLDSHDTMHVKQQWQDNVMQQYGPSPLVQGDPIQMIPQGTHLPPVPFVDNMSHTQLKLPTGDMQQFLPLPGITPFYAPNSFGSPYYQNLHPASVLPTLFGTAGYASALPPVMTSYAPQGPVARPVDSPITPSFSGRPSGFPSAGNLSAGTEFLQPYKMYGQQLGVAMQPSIPDPNFFQFFQHPSLLQYAGINQYNSLGPRVSVVGNPADSFDPPKILPQPTYPSEQRLQLPRTGVYDSPAARRGGSAPNYQGMSPYVGAPVSYPTSPVFQGQPFTGIFSPGRRNDSVRFQTPPRNMTVNSSIQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIDLVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVISAFQGQVASLSMHPYGCRVIQRVLEHCGSDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLERGKSHERAQIISKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIREIVEQTEGNDNLLAMMKDQYANYVVQKILETCSEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDTESDS >OB08G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16126499:16128664:-1 gene:OB08G27500 transcript:OB08G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHASTPTMAGADTVLEKLRASRAAIVSVLATAVEAEVAIDAAGDRLGDLYSGLPSSSQLQSQAVAVRALRARIDRAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRAVYETEAEEMRFEGPLDEALLGLQDLFEALLLRLKHAAAGELGDADEGYELGTDDEVDAAARMARTLAGNDCLDICLDIYVKARYRRAAKAMMRLNPAYLKAYTPEAVDAMEWEALESAXXXXNFLVAIAGVLAAERRLCARVLACLPPAVWPECFGKIAARIVAAFFRFADGVAAASREPQRLFKLLDMLDAVARERECLDELFSSESATLLAIRERAREVERSLARAAAGVFYEFGLRVETHYVATAAGNSGVLEAGHVPKIVRYAVNYLKCLASDDYRGLMDTALRAERELQRVVGDGEDDEDGSGGGGGECEALAEAASNVLEALHRHVEAARRVCADVVASHVMAMNAYWYIYMRARGTELARLIGDETMRRRYKASAEEAAWEYQDAAWSPLVRLVSGSSSGAPRAWPPDEAQEKAAAFADVLEERVQRHGAEYKIPDGDLREQIKAAAAKAVRGAYAGFVKANDRAVASGGRREFLPVDAIEGMVRRVFDNMGDGSAGRARSRRQSGNLEGFEG >OB08G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16130096:16132897:-1 gene:OB08G27510 transcript:OB08G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFLRNREVGEFVSGALAGAMTKAVLAPLETIRTRMVVGVGSKQIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQAIELGTFECVKRSMTSAQEKWKEDGCPRIQIGSLKIELPLHLLSPIAIGGAAAGIASTLVCHPLEVLKDRLTVNREAYPSIGLAFSKIYRADGIGGLYAGLCPTLVGMLPYSTCYYFMYETMKTSYCRAHKKKSLSRPELLIIGALSGLTASTISFPLEVARKRLMVGTLQGKRPAHMIAALAEVFQEEGLKGLYRGWAASSLKVMPNSGITWIFYEAWKDILLAPQLRT >OB08G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16134565:16135068:1 gene:OB08G27520 transcript:OB08G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCRLNIHQPYPPRSAPPYPGGGADVRQPDGHVPDDAGDIEVVAHHEEVLLVPAAGNAAHTDVREADAGERQLLTAAGGARPXXXXXXXXXXXXXXXXXXXXKNRRRAPRPPCPWLPASRKNANAPNLQEWRRSLFFSTSPPPPPPLVAAFGGKSGEERKKEDQDC >OB08G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16137272:16139815:1 gene:OB08G27530 transcript:OB08G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G78910) TAIR;Acc:AT1G78910] MEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLKRLVIHKDSSILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNDEGPKLVHRLDRESSGLLLMGRTKESFTRLHWLFTSVNLAKTNSEAWNAACEAYMQRYWALVIGTPKESEGIISAPLSKVLLDDGKAERVILAHPSGIDGAQEAVTAYRVMGPTISGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLAIQKGSVLSKVPLLHLHCREMVIPNIAKFLSNTGEWHENGTPWSKEKPNLLRFIAPMPAHMKISWNIMSSYLV >OB08G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16139742:16140485:-1 gene:OB08G27540 transcript:OB08G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVREMARLGLPVDSATGNAFVQHYAASGTVPQMEAAYRRLKKSRLLVSTDAIRAMASAYISQRKYYKLGEFVSDVGLGRRNAGNLLWNLYLLSFAANFKMKSLQRAFLEMVGAGFRPDLTTFNIRAAAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNISFAFDRLGGGAGEPAVATDAIVFEAFGKGGFHASSEVLLEATGGKRRWTYYKLLGVYLRKQHRKNQIFWNY >OB08G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16141713:16147464:-1 gene:OB08G27550 transcript:OB08G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGNHQQQQQQRLRQQQQQQALLMQQALQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKNNSEEKQETDNHNAVVLTNGSASNPGMEASQDTGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFTAAELVAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >OB08G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16149027:16150672:-1 gene:OB08G27560 transcript:OB08G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSYSFLSDYQITEKNFKVELWHITIRIPIGPNMPERIIFFLFWLAFV >OB08G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16150084:16151478:-1 gene:OB08G27570 transcript:OB08G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04610) TAIR;Acc:AT5G04610] MAVSPELERLQHVEPSRFVAFSFPNPLLHDASSPYGDGAGFLRVAVLDSPLRPPPAPAPRTAAMLVPAGRHRDWIFSTRAGHLHLLLSSQPQCHLSRLVLVGPELTAPSPRGVVSCAAARPDPDPAHARLLPLLLALCPRAAFGGNAIPDVPLLSFHDDLLRIAPVKVATGPVVGEMVVEDVAVDCTPHPVELRRRLRFKRTPFLVQTQVRLVRRSSPGDSCLLEALDEVAGGSLQPEVGGELVQPYLQAMASGLAVIAPSMDESFRLGDKPRCLCAGIGGGALLMSIRMGLQCDVLGIEADDVVLDVARSHFGLVEDEFLQVRVGDAIQTIQDFARKGEHDMNFRAIMVDLDSCDPICGVSAPPLEMTQESVLLAARTILHRNGALILNVIPPAADGTFYKGLIDVLRRVFSELYEISVGNGENFILVATASPVETILSDGSGSFLTELRTLSGGFLEHITRI >OB08G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16152370:16159265:-1 gene:OB08G27580 transcript:OB08G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3MUH7] MAAPAASSGAEEGEGRGARVNQELWYACAGPLVSLPPQGSLVVYFPQGHSEQVAASMRRDADAQIPSYPNLPSKLICILHSVTMHADPDTDEVYAQMTLQPVSNVSQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNAWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDGRQQLLLGIRRANRQPTNLSSSVLSSDSMHIGVLAAAAHAAANNSQFTIYYNPRASPSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNLQVAWDEAAPSERRTRVSLWEVEPVIAPFFIYPSPLFTAKRPRLPGMADEGTEMDSVIKRTMPWLGEEICKKDLNIQNNAVTGLNLAQWMNMQNNSLPGSVVQPELLSPLSGKPVQNLAATDLSRQISFHTQFLQQNNIQFNTALVPQQNQQTEQLAKAIATPNQLVSVIIPQKVVQDCNSEQRQHVVTQTVQGNQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPLVQSHAVLQGGLQQIQLLQQQQSHVQHQQVTQQLQQQQTQQLQPVQQVQHSVQDQQIKMQPVHVSADANINKQIADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQMISSQSAPQQCVQVTTQATSLHNSDNALYPTQHKVQSHQQVQDLTGNVIPNAKPDIVTSLGASSLHAAGGLQLLKTDDVSSSSTSPSTNSNHVLLQPIQSSSKNQSLTTAGKTSQSSVVLGPTIEQDRKPYQNVEQTMMIPKMTEQRPTTGQDCIKNNPQMDYLDTSSSATSVCLSQADGSLQQNFQPLSFNPHPLLKDTVPDSVFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIETDKYMDQLPGNGISNYISSKDSQQELSSSMISHSFGVADMAFNSIDSAINETPFLNRNSQATAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWDDFVKCVRCIRILSPQEEMQMRLVGDFGDRFLTNQACSSSDGGHPWRITGD >OB08G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16166977:16167669:-1 gene:OB08G27590 transcript:OB08G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMEECPGGVALKRKGAEEPELFLCPGDVDGGFPLACRATKMRRLVRDDAPAAAAADDVAMGEAASTAEAAGGEGAMMVYEPADSAGGVGLLGQLRRLQPWTTSLRAGAGWIRDMLREADSRTVRQLLSGVQEDSAGMALVPCGSSPAPIAAGPSMAEDTAEAAEEDSEGSVAAMEIEEEEGVRLAQTLGGAGAGCGEGYLFRRWPRHCLAQPQLPVIGQASPAMWSW >OB08G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16170115:16171844:-1 gene:OB08G27600 transcript:OB08G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQRRRGGRPAAARKGQWTAEEDEVLRRHVREHGPREWSSIEARGLLPRNGKSCRLRWVNKLQPGLRTGCKFTQEEERMVIEMQAKVGNKWALISKQLTGRTDNDVKNFWSTRKKKLARMSKAAAPAPPPSRRRSSSSSRALPSTPTESCSLMKDPFQESSSSYHVGESSHQACTAMENQLTTDAQSPGRLPAYECSLGLPAPESLTGLPAPESPSWPSSGELALVPAAECMRNPAPLMLYPAASGDMASADHSGYGDELACLQPVLEFQPIQPYGLEDDMVFDELSPATLDFFDQPPPPPPLIKW >OB08G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16172664:16173614:-1 gene:OB08G27610 transcript:OB08G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80290) TAIR;Acc:AT1G80290] MTSHRRLRLLLLATLAAVAVAGGEEEEAFCDAAAVLRTDRLTVLLIGYSGRRLPLLRAIAGAYAAQPLVLAVVVLWSNPSTPESLLSGLPPAVTLHRTASSSLNSRFLPHPSIRTAAVAGADDDVLPDAAALSFAFATWQRSRRGTLVGFFPRSHHLDLARGRWAYAAAQPGRYSMVLTKLLVLGVDLLRIYTCSPELAAARAVVDRERNCEDILMNFVAAEESGEGPVLVDAGSIRDWGDPRNDGAGGEAMKAVGLSSTGGTGHWEKRGECITEFHRLLGRMPLRYSYGKVVAAVAGGEQGLCIKGGRLVRCDQE >OB08G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16173869:16176271:1 gene:OB08G27620 transcript:OB08G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQWCGAAGLDRWVGRWRQCSLSPLHRPPVPAVSSQSRRTRRRKGKVGCVSVRRELAAAGPAAPPEETEADTEEEGVECEECSGAGWLLCGFCKGKKNNVKSEESSRIYRRCPTCKAVSSASSLSISHLGHVNTPLPFFASCVVLNWFFWCCRQGTSFVQDAGSTNASLIQRAMTHEQR >OB08G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16176164:16184129:-1 gene:OB08G27630 transcript:OB08G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 1 [Source:Projected from Arabidopsis thaliana (AT2G39930) TAIR;Acc:AT2G39930] MPERYALGGACRVLAGMPEPLGATALDGGVNFAVYSAGAAGAALCLFTPDDLKADEVTEEVPLDPLFNRTGNVWHIFIEGDLHNMLYAYRFDGRFAPHCGQYFDVSNVVVDPYAKAVVSRGEYGVPGPGGDCWPQMAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHTSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSHSSKMNFWGYSTINFFSPMTRYSSGGTRNCGRDAINEFKTFVREAHKRGIEVIMDVVLNHTAEGNEKGPRLSFRGVDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVRDFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGGPVEGDMTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWSIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNLSNGEDNRDGENHNLSWNCGEEGEFVGSSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLHRFCSLMTKFRKQCESLGLENFPTAQRLHWHGHQPGKPDWSETSRFVAFSMKDATKGEIYVAFNASHLPAVVGLPERPGYHWEPLVDTGKPAPYDFLTDDLPDRAFAVQQISHFLNSNLYPMLSYSSIILELQPDV >OB08G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16192820:16193654:1 gene:OB08G27640 transcript:OB08G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKEAYLDLVLIPVGVLFPVVYHVWLWRAVRRSPLSSTVGINAAARRLWLLRMMKENEKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVTLLLVFLLSFLSHTAAICTINQATFLVNALPAADLPVTKDYIADVLERGFLLNLVGNRLFYGGGPPPPPLLLWIFGPVLACICSIVMIPILHSIDMVYVDDGSSKSEVNAARVEIVYESDDSVMQV >OB08G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16197092:16199355:-1 gene:OB08G27650 transcript:OB08G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALCLLVXXXXXXXXXXXXXXXXXGAPGARAADGEADALLKLKAGIEDGGGALRSWSPGTSPCDGDASNWAGVMCSGGSVLGLQLEKMGLSGTLDLRPLSSLTSLRTLSFMDNKFAGPMPDVRELGGLRAIFLSGNKFSGEIPADAFAGMGWLKKVVLSRNSFSGAIPSSLTAVPRLLDLQLNDNKFQGKIPDFPQKDLKQFNVANNELEGEIPANLKSLGSEMFAGNDKLCGGPVEAKCEAASPPPVTSPAASDKIGASPSPPAATTTAAATTTTTTTGTAPTEDGTQQKPTEWSASFGVLAAFLGTVAIICFAVVALQRRREYNTENFGPPASTKPAMQSLPPSPAKTTHAAPRGSAAANHDRSSSAGGGSTVARGKAGEQGRLTFVRDDRGRFFELQDLLKATAEVLGSANLGVCYRATLTSGHSVVVKRFKEMNRVGKEDFEEHMRRLGRLSHPNLLPLIAYYYRKEEKLLIHDYAPNKSLAHLLHGEGKGIKKAVVHWAARVKLVKGVARALQYLYDELPMLTVPHGHLKASNILLDERFQPLLTDYALVPVMNQSHSAQLMVAFRSPERKQFGRSSKKSDVWCLGILILEILTGRPPSYEAAKPQQPPPPSDQPQPPPPENNLVGVVASTPEGEWLEAVVDADLRHEDDECKAEMVKLLKIGMACCETSVDSRWELKTAVDRIEELREGKEHANEDYSFYSSISDGAGRDNGDFANVAIN >OB08G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16200494:16201928:-1 gene:OB08G27660 transcript:OB08G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGEEETSMSSSSSSRAPLLLLNPVYARSKSVIHDELRNFRVFLQWCALDHSSRAARAASYAAFLALALAILRFEGIYHMFDVEARASAAEIFAEHRRIRTQLLATSHRYRAFIISCLVTITVSQLSALLVALSSKDGKSFANSGDLLVQRHSHSSVAVSSLGSTRRSPSSPAAQVGSSVQLSGFFMCLLGAARITHRAQRIVSIASQWHMTMESVHHAKPAATSSSSASDMDALHPSAGAAPASHQPELGTTAAACAYRSRHALVTYLSHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKFVEFS >OB08G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16204745:16209105:1 gene:OB08G27670 transcript:OB08G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSMAGLLCHVMVSLSSLGVLDIHMAKVAALQMGSPYGPMHMAGPPPYSGGSMMGPGGMYGMPMDRYSLGLPAGPGAMGTRAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKPKMPEGSWKCEKCNNINYPFRTKCNRPTCEAEKPFQTNNANDPSTDQDNQVCDSEPPV >OB08G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16213397:16213708:1 gene:OB08G27680 transcript:OB08G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRLLPVLASLHHGATAAAPPKNLGLRGVRRRLWGRWAAEIRVPGTQSRLWIGTFPCPRTAALAYDAALYCFHGHSPPGGRAFNFPFAPRRLYIDARSSSAGP >OB08G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16213710:16214545:1 gene:OB08G27690 transcript:OB08G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATDLHHTTTTAAAAAVDPYYYSNEVDNATDEDVIAAADRLLSMDIDEVAALIAIVQQGDHVYAGDLEEISYADAELRVVWSWNKFGCSTSNFLAIQSGGCQKKHYI >OB08G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16216470:16218383:-1 gene:OB08G27700 transcript:OB08G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT4G31115) TAIR;Acc:AT4G31115] MRLAAAKPCSFFRISRAGVAVVAAAAAVRRPWRAAAASGNAEAERDDGGDELVSPTRAGSRRARLSARRRERIRLLDVVEDGAAGAVGGIGEFLRQPAGVESLLNTRALQSFAAVEPEPNTFRCTLRTIGFLGFQVAPVLDLRVTPTCTDCTVEMLSCRFEGSGSVEQQNELFSAFMSNHITWKDDGEEPCLDIDVNLEVTLEVYTKPFTMLPLSAVEKPGNLLMQGLLDRLVPLLGVQLLRDYHSWVQLQQQQQQQQPGTSSSETAQINT >OB08G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16219253:16222403:-1 gene:OB08G27710 transcript:OB08G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) TAIR;Acc:AT4G32320] MELTNIRRIAAPSSFFSSCCPCTCRVRRTGKAVIGVSAAGGRCSSADSACLESIEQQNAYFLSGPRSFLYYRRRDFASVALLPFILPRVNIASAAESIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLGKAKEVIDRVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPSGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNVFDNSYFKVLLEKPQASSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQAKFFADFKDAYIKLVNTGASWRSA >OB08G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16222831:16225001:-1 gene:OB08G27720 transcript:OB08G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPLLLALALALLAVAGPSPAMARRHKGEEEKACDKGWEGGGECSGSRFCCNDTITDYFKVYQFEDLFAKRNDPTAHAAGFWDYKAFITAAALFEPRGFGTTGGKEVGMREVAAFLGHVGAKTSCGYGVATGGPLAWGLCYNHEMSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNYNYGIIGEGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRRQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLSCGQGSIDSMNVIVSHYRHYLDLMGVGSENAGDNLDCADQLPFNPSSKSLDS >OB08G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16225873:16230903:1 gene:OB08G27730 transcript:OB08G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAYYDVLGVSPTATESEIKKAYYVKARQVHPDKNPNDPQAAAKFQELGEAYQVLSDPTQRQAYDAHGRSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEQIDTRKLQEKMQAVQKEREEKLAEILKNRLHIYVQGNKEEFIQLAQAEVSRLRNAAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMYMQNHKKVMVDSLWKLNVADIEATLSHVCQMVLQDGSVKREELRARAKGLKTLGKIFQRVELNNGEGAASQMNIDNLDDNDGSSPDSSPRREPSYNPIPNPPPAQSPYVEAPQFGGAYYPFNFPMPTAPPGAQRDPMP >OB08G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16239008:16239409:-1 gene:OB08G27740 transcript:OB08G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHICSWADMSLACVSITDNAAKLPAPVWPFESIAAKSKDSASPGGPHLMDYQLHASWYPATLQYLAPYTGAALAEYFMWAHEPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSDKFG >OB08G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16241548:16242612:-1 gene:OB08G27750 transcript:OB08G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSGFAELKIDHPGSTNGFAIGDGFNKRVCDGEHELLIRCYPRGCKVHDDGEYVSLRIVLVARSSHVKDAIACAFLQPAGGGGPPPICCTEKALPVRESGRVYAYGAAFRRFVRRSDLEPRYVVDGAVTVVCGVVTFDGHGECDRSIRVPQTSLGSQLAAMVNRADCSDVRFSVGGEMFYAHRAVLAARSPVFGAELLGSMAESTMACITLDDVEPTTFRALLEFVYTDELPARPAGLSSTEFHKRLLAAADRYDLDRLKLMCAQKLWESVSVETAAATLGYAEMYSCPELKKKCIEFLMTGNNLNKVAVTDDYFHLREDFPLVIKEIKTQIGSLPSSPVVYERAAKRSKIQ >OB08G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16250448:16252177:-1 gene:OB08G27760 transcript:OB08G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGFVELKLDYTAAAERCAAGDVVHSGVLHAGGHAWRVGCYPRESKVDDKGEYISLYFERFGESSNNLEAIFDAFLMGGDGQPCSFHATGCVQYFYREILATPVYFTKNSKISVQKPPEDWGWQRFVNRRDLESSSFMVDGKVRIMCVVIVLRDNAVPVPPSDIGAHLGGLLDRGDGTDVSFLVAGETFPAHRAVLAARSPVFRAELLGSMAEDKMACIPLQDIEPEAFRAMLRFIYTDELPVDGAVELINGGSSSSSAAAAATTKNMTTELLQNLLAAADRYDLNRLKLMCAQRLWDAVSADTVAATLACAEAHGCPELRKMCLEFFAVDKNFKKAVLTEGYCRLMQRFPSVIDEIGALIESRDNADQR >OB08G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16253072:16253272:1 gene:OB08G27770 transcript:OB08G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISRVACPPRLSEVTLFIPQNSSFLPYSRRPTRIALSNTVIKISCAFIASSLLSYVNTYIILLT >OB08G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16253573:16254867:-1 gene:OB08G27780 transcript:OB08G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGCFVPFVVNYSVAKYLPVGGVVSSDEFSAGGHLWTIDCYPSGVKKTAAVSGKYLSLFLRVKSAGSNGVKDMFEAFALTRGGEPSAGAAAQRTHFRVFRCNSGDEDWGWSRFAARQELEDRYAMDRLKQMCAERLLRSMTTESVADIMVCAETYNCPELKTKCVEFFVADKNFKKAVFTHGFAVLLQKFPVIAADIKMRVGR >OB08G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16256869:16259855:-1 gene:OB08G27790 transcript:OB08G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEAWVGRAEIWIRQQPPEQIYLAVAVVAVTVLLLVAVSCLKSSKPNTIVLSGLSGSGKTILFYQLRDGSSHQGTVTSMEQNNDTFVLHSELERKGKIKPIHVVDVPGHARLKPKLDEVLPQAAGIIYVVDALDFLSTVQATAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNALSSADITDEVKLGNPGEAFNFSQCQNKVTVAEGAGLTGNVSAVEQFIREYVKA >OB08G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16269674:16276846:1 gene:OB08G27800 transcript:OB08G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAAAPGDGGGDDALLFEEALTWQAYSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIANSLSLTPEEKHTAALRIERSYIDLRNNATAGNISSFPAADVKHMLADHSLAKVWTLTSMAFAVWDARTRELLKVFDMDGQVESARLEAPMMPEQFIEEEIRAKPMKKDKPQSSFTFFQKSRNALMGAAGAVRRVATKGTFVEDNRRIETVAQTMNGTVWSGCTDGFIILWDGNGSRLHEFQHHCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIEMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLENIKMLVGTWNVGQEKASYESLFSWLGGAFSDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIENIGKTLDEGISFHRVGSRQLAGLLIAAWYWFILKPFLSDTFFCYYIFIVFCTFILFSYDMYGMNIMIISRARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDMPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLRERDQLQAEMKSGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRGVLTAECSLECPVVAKITSYEACMDVTDSDHKPVRCAFSVDIARVDEFIRRQEYGKILESDKRLRNLLPESHFVPDTIISTNNIILENQEQVVLRITNDCQRDKAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEVEPSAGLIEPGETMEVTVHHEDFYTKEVFVNGVLQNSWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSVISAPPPMDPLYITTPSIDALTGEVSTSSKKNPSNYLQRSDFKQFGSSEVHDLCPL >OB08G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16277983:16281450:-1 gene:OB08G27810 transcript:OB08G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGVFLASLLVAAAGAPARVAAAGGGCAAERFTNNRVYRACAALPRLGAAGHGTYDAAASSLSVAFVAAPPSPGGWVAWGLNPTGSGMAGTQALVALPKGGGYEVQTFDIETYALGAPGKLKLFETLTLQNGTGEVNQVWQVGPVSGGNMMPHDMGNDNKAATGKLNLVTGAATSSSAGGSLLRKKNTHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSVHRNIGIAVFALGTLQVFALFLRPKKDHKYRVYWNAYHHSVGYTIIILGIINIFKGMSILQVEQKWKTGYIIAISILGGIAVILEVVTWTIVLQRRKEDDKAYNGASNGHLPLSM >OB08G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16292263:16292532:1 gene:OB08G27820 transcript:OB08G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAATAARPLPSRRPPARTPPPPPASRRRRSPCWPWWVSWRSYDRLIAFTTISGVHWCLHEESFLEQLFPYYFFLLCFPIFSSFFLSFY >OB08G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16296532:16298257:1 gene:OB08G27830 transcript:OB08G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYLCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >OB08G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16299154:16301424:-1 gene:OB08G27840 transcript:OB08G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNISDNTMVQDSEMVDGNETVQGNEMVNGNDMVEGNAMVHGNDMIHGNDEMVQGGVMIHGNELVHVNEMVSGDEMIHGNELVNVEAITPTPSRRRRKKSLVWEHFTIEAMPGGSSRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPMLKSEDRKLALPSVPGTDNEGEGTAERPAKRRYRYTGYANATFDQDRSCSYLAKMIILHDYPLHIVQQPAFTAFIESLQPRFRVADVDAIEGEVYSVYQKEKENLLQAFSTMPGRISLTIGLWTTSQTLGYVSVAGQFIDTDWKVHRRMLNFMMVSSPHSENALSEAISSSLADWNMKDRLFTITLDNDCSSHDIYSANLRDYLSNKNTLMLKGQLFVVRCYAHILNAVAQDVIASIHGIIYNIRESIKFIKASPVREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYRQAFTTLETCDDNYNEAPSAEDWKKVEAACNYLKLLYDSAHSIMAAANPTSNIFFHEAWKLQLELSNAIAHEDPVFSTIAKDMHERFDKYWKDCNLVLAIAVVMDPRFKMKLVEFSYSKIYGVEAARYVKVVDDAVHELYKEYVAQPLPLTPAFVEQGEANKTALAGENNAQVTQPSTGDGLLDFDMYLSEIAVSQPTKSELEQYLEEALTPRIQEFDILDWWKLNTLKFPTLSKMARDILAIPMSMVSSGSSIFSAAAIGSRILDDYRSSLRPETVEALFCTKDWLQYAPAIPEAPSTALVKAET >OB08G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16306523:16308856:-1 gene:OB08G27850 transcript:OB08G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNISYNTMVQDSEMVDGNATVQGSEMVNGNEMVEANVMVHGNEMVGANAMVHGNEEMAQGNGMVHGNNDMVQGGEMIHDNEIIHGNEMVSVDVMVHGNELVNVEVTTPTTLRRRRKKSLVWEHFTIEAMPGGCSRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPMLKSEDRKLQLTSVPGTDNEGEGTAERPRPAKRRYNYTGYANTTFDQDRSCSYLAKMIILHDYPLHIVQQQAFTAFIESLQPRFRVVDVDAIEGEVYSVYQKERENLLQAFNTMPGRISLIIGLWTTSQTLGYVSVAGQFIDTDWKLHRRMLNFMMVSSPHSENALSEAISASLADWNMKDRLFTITLDNDCSSHDIYSANLRDYLSNKNTLMLKGQLFVVRCYAHILNAVAQDVIASIHGIIYNIRESIKFIKASPVREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYRQAFTTLETCDDNYNEAPSAEDWKKLEAACNYLKLLYDSAHSIMAAANPTSNIFFHEAWKLQLELSNAIAHEDPVFSTIAKDMHERFDKYWKDCNLVLAIAVVMDPRFKMKLIEFSYSKIYGVEAAKYVKVVDDAVHELYKEYIAQPLPLTPAFLEQGEANKTAPTGENNAQVTPPSTGDGLLDFDMYLSEIAVSQTTKCELEQYLEEALTPRIQEFDILDWWKLNTLKFPTLSKMARDILAIPMSMVSSGSSIFSAAATGSRILDDYRSSLRPETVEAIFCAKDWLQYAPAVPEAPSTALVKVET >OB08G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16316643:16316822:1 gene:OB08G27860 transcript:OB08G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANTTMNGRQHNKGDHQFITDMASGAGILHLEMLARVLELPRRRHVTRNRMVSPVCVF >OB08G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16320192:16324281:1 gene:OB08G27870 transcript:OB08G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGAGGAGDYISSLLNSSPRLDFGLLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASREASSVSDPASAMKDAANAKKRKAPGGAKGKGKEPPLSTSCQQVGEEKASDGKRCKTGDAGEKKDAPVKPKAEQAGSDSSVEDGGGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSNSAFPFSEQGDVFQQFAPNSMESQCTLNQLDLALSQATNAQYAFQDGTASANLQQRNFWEDDLQSVFHIENGQSQENGVSAPSFHGQLQAGHMKMEF >OB08G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16346757:16347756:-1 gene:OB08G27880 transcript:OB08G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGDGGGGRDMDMRGVGRVVRVAVRHGAAVDAMSVLYERSGREEWTDLWGGPGGTLSEISLQPGEHLTSVAGHYGRLDGELVVRSLTFVSNVHAYGPFGEERGVAFELPAAARGGKILGFHARSGRRLDALGTYVVVNNAG >OB08G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16349878:16353328:-1 gene:OB08G27890 transcript:OB08G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSLDIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVKTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGDSKDDQKQTSRFGCC >OB08G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16355353:16356044:-1 gene:OB08G27900 transcript:OB08G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGTNKLKLLYFLLLLVAALMAFIAEAGVSAARTLSPPSTTFPAATDQPAVSRARTGRSSSSSSEEAPAPGGGISRAPPPAAGGGALSGSKAPTCTYNECRGCRRRCSVQEVPVDANDPMNSAYHYRCICHF >OB08G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16362625:16363383:1 gene:OB08G27910 transcript:OB08G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVAPDRATFSTLVDAWCAAGKLREAQAFLDDMAERGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVNTFNSLAEALCNAGDVEFVVALLSDASSRGLCPDISTYKVMIPAVAKAGRIDEAFRLFYAALEDGHRPFPSLYAAIIKALCKAGRFADAFAFFADMKSKGHPPNRPVYVMLVKMCVRGGRFVHAANYLVEMSEAGFAPRAQTFNAVVGGLRHCGKHDLAQRMERLEMSLNGN >OB08G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16365502:16370034:1 gene:OB08G27920 transcript:OB08G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MUL1] MLCLTCWVRPQIQKHPGHRLPIFISSSEHSRSPPDSCSPHPFKTLPSSSSSRALPNLPKSPNPRNPSPQISHSAPPAMDDDFDMPLPGAEDMMMGEDGMGDFGGGDDGPVLKVGEEKEIGKQGLRKKLLKEGEGWETPEVGDEVEVHYTGTLVDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENALFTIPADLAYGETGSPPTIPPSATLQFDVELLSWTSVKDICKDGGLFKKILKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSEGAEFTVKDGLFCPALAKAVKTMKKAEKVLLTVKPQYGFGESGRPAAGEEGAVPPNATLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVKVKITGKLQDGTVFSKKGHDELEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSAESKQDIAVVPPNSTVIYEVELVSFVKDKESWDLNNVEKIEAALAKKEEGNALFKLGKYVKASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYIQLADLELAEVDIKKALEIDPDNRDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPADDSVSICLILSAEPEALYFTFSSVDV >OB08G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16370400:16374933:-1 gene:OB08G27930 transcript:OB08G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVRLSWIDAVLRLRSPDDGEGAPRGEGDGSSASAVDSGGVLPGSAQEVGNVLDDDGNAERSSPDASEVQAVLSKCTEGSVVSGDCILSGDDSKASGTHNEIQAVSSDQHLDDTSLLAPDHGDGAGLSSEFLADEINKSSVISLETVASSSKDGIGHNEDEFSCLENQNIVEEDSSHNNSGMVYKEQIPCEETLFMEQSRVMFTTVDDVSNSTIKEPVNLLGDDVSRIEKLICPDDTSGDDLSQLASSGSHSEAPDVVKPQQQADSASLAPDQLIIPKEMGIDEGLHCPDADVNIKALSSAVEHADEDISAVNHTNNVSSPHYTADDIQDNVRQTSDITLMPSQADRSEVSTSSTSHEIDKVSSQSGIDETSPNVNLTSHEVNEVHGIDIEEIPQNEDIIANNDSQGSNRVCGTHDIEENTPNKEIIAEASSHKTTTVQSTYNVEEKEQIEEFESNSTYNKINEIRNLGIEETKQSDVVEISDKINVVSNLENVQEEQRNKETIADPSIEISVANLPSSLELSKLDVETTTQHTAYEPNTVNVTENVDEIKLNEEITIDPTSHINMICSTTADENKKNEEMSDGPSPDEIIVPRDKFNLEENIEETMPGPTSDKINNVVGTSDIIEVKSQNQEVTSGTSDEDDAIRIHTTANVEEKKGGELISDPASYKLDVESTAGNVEEKVQTEDTRTDPSSHESDMLHIIDDAESKEQDTEITADHAAEKIDMPHSTDDAEEKKQETVSTDDFKGDDNQTESNTPQIIDDAGNKKQDAEAATADPASGKTQGTADDAEERKQEDETVSTADDPNKGDDQNEEEIADKEVVIVNSDKNHISLKSLLSERAAETREKKPSTKDRVLSFRRRASKDGASPAKPEAVPGQQDWNSPARLPVEKKPKGKKQQWVPFICCPSLN >OB08G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16382297:16385337:-1 gene:OB08G27940 transcript:OB08G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAATLLLVVAAISCHCHVARGRGGLGVNYGTVADDLPSAARSVELLRAAGAGSVKIYDANADILRALAGTGMPVSVMDGAPVWCVLAGGGGKAVNETAVAAAVEYACRQGSGTCAAIQAGGECNQPDSLDAHASYAFNAYWQQFRKAGGTCYFDGLAEKTTKDPSHGTCRFISSLD >OB08G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16386075:16389557:-1 gene:OB08G27950 transcript:OB08G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKPRNEHEHKDLLKKEKHKDKKHKKERKERRRRERREKSSDRRKDKQIKKHSREKNKDKIKDKDGDRYKNKSLEKEIQKKTCLDNGRPEEKVQNEVTKGIKPRLELITRTIDQEDHANHIGSSTSKLLPRSTKSFGSPGSKEKKRSLSSVIEKSRRATHINHGIVEQSYNVACDNEKWKNANLGSKTRLQNGKSLQVESVEKHANRKHSHNTVELTQRSAEVTSTITTIVSGAERAPNDVTIPSPNSLQRTEQVDQHAVLYSHFPYRNSDTISPRGLVKIKNGSNNDFHITTNQQLLQSKDKGVKGKGKTKKLKANDHRYIEDKDRDQVVMKRKAKDKIKERGKVGKVDVNKQEHKELDSFRASKDKIDGLLQSGQLNEKFISNDVKKRKDVDPNTSLLVAEHGMRMNKLPRISPTIPCASDEILEHSHGSRPSSSTVPVGANTSEADRFQDSKECCNNDVTGSHHLKEPKTSISSSNCGSSPVSLKPPHPDAMYLNQVYSIPAMDDFSECIDQDWLLSRCSVDRKSEILEAAQPSQVWAEARLIDSADVVALPYIVPL >OB08G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16394431:16394934:-1 gene:OB08G27960 transcript:OB08G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSFARSIVAGEPITLFRTADGADARRDFTYIDDVVKGCLGALDTAGKSTGTKSGKKRSPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRVVTMPSNGDVPFTHANVSHAAHDFGYRPATSLDAGLRHFVDWFVHYYKLDPAKISKAKLTKRKSMAMSAAS >OB08G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16404252:16410821:-1 gene:OB08G27970 transcript:OB08G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQKNAHVPKFGNWDNDGNVPYTIYFENARKGKPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQSTKPAGFGGKDGGNAATKHHDDDYASTVIPNTMTSTSTVRTARSRKRAYDDSRQNVDVINLDTTVPVVKTRNQREALILVGTQTAPIDVEALDDTRSRKVMRRPVDVVDLEKDTGQGGYGVAVAIFSRGINCKGAPPVICLSPDREEGTSFQAKNVGQISTAPKEPIFTCPVCLNKLDQPFTTKCGHIFCENCIKSSIKAQKKCPTCRKSLGMRGFHRVYLPATAD >OB08G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16413580:16417048:1 gene:OB08G27980 transcript:OB08G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVSGRKRSVMDRLGSGGGGGGGGGGVVAEALARGLTPRRGFVRQMGHGDVSFTWTLWEFKVHHNFHCDDEAGLTSRSTQANQKPQLQQSTEVTRKSSVPDLRDKLSGVQHPQLNSTVQIPKSVPEISKSAKPVQNRGPVQKREPVQKREPPVTTTLPATKKMNEPSAPKQSQEKVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKSSKSLPPS >OB08G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16417886:16425315:1 gene:OB08G27990 transcript:OB08G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSAAHAALCAFVEARIEKAQDRRLMAAFPGLVDLPAEEREARMRWLRSLTRPRRGRRRRRRRRRRWGGGRGSWARGTSLPPKKEEVPNPAVSIGVDSRGNTAVNIGVDSRANTKMSIPQVDNRMLGELEVMGFPAVRSIRALHFSGNSNIESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRANAQERKPEESTAAGRQKETSQVEREHDVDQNEDEVRRRIIELYKSKQDGQERERGRIRNQLEEDKRERIRTAKELMEAKRTLEENQRKRLMESRKGDQEEEKRARERIRQRIEDDKAERRRRLGLPLENPAASVPTITPTKMKPVEPGVSSEQLRDCLRNLKKNYKDDTAKVTRAFQILLKIIANIMKNPEEEKFRRIRLNNPVFKERVGSLQGGVEFLELCGFMKLTISGYLVMPRDKINMALLNAAGVDVASAIENPYFGLLSK >OB08G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16436686:16440346:-1 gene:OB08G28000 transcript:OB08G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3MUL9] MEVPADPGRGDTAPPEVSKPKEKAAAPTSNTETGMCAHFQSFEEDMVMFISRLRSSERAPRCEHGLCENKVEKSSILVCLDCSSYLCIGDGTRNKPQGHARRHADLRQHCVAAFFSEPETLYCFTCERRLELDVSDMESDSDISSESGGCKHFLLDEEELTLIVSEISASKNVPACQHPECKITGKTHIMVCTGCNKHFCTRAEAKERPFGHARQHAKHCGHWVGLWYSDPHTMYCFTCEFDLALAAPNAEAGMVFGKEAFGQASGLVKEHVCPIRGMPNLGNTCYVNALLQCLFVLRKLRARMLAPDVPSDMLGVTLKELFEEVNNVDNARLLLNPMKFLTCVRILDARFVGIDMQDSHELLCFILDRLDKEEKLQMPAVAPTLVESIFSGEMSVAVSCRHCSYTSASSHEVIYDISAPLPSEMPPPKSIALPPRNISCMSREKTVIKLFPQVDMSNIEIVQAIAQGSDSHITGLELGDVAIEKICEPLDVDSIEVEKRSQSKDAVHVPSQTQKGNVPGEIVQLPTMADDLGQNDNAGLDNTSSELEVSMEAKKNTCSVEGAAEDKGKAQCSNIAYGKTEDNNSLASIEDCLALHFKSELLGWTCENCSKAAHHPSNTSSKDGEKMMATTRQNRVIDGDQTEHLVVQEAVPSCLPTEEPANHLSDQGQNASTLVQCNGKQVKLDHRADQVEANQKKREDRNQGANQIRLFSKLPPVLAIHLKRSLLTGKVRGHVSFEEILDVGRFMDPSSQDKDNSSYLLVGVIEHLGPRTSAGHWIAYVRQSREQPDGGSSSWFCANDMNIREVSLEQVLKCEGQHFFYERIGG >OB08G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16443614:16445215:-1 gene:OB08G28010 transcript:OB08G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3MUM0] MEEEEEEEERTTRAREPGKKSPQESPLLDLLAAAVPDAGCGESVPPEVSKPKEEAAAAATSNTEEELCARTHFVRSEEDMVKIILKLGSSRRAPRCEHYLCENKVEKSSILVCIDCSLHFCIGDGTKNRPKGHARWHADLEQHCVGAFFDEPESLYCFTCERLWELEVSDMQSESDSSDIEWCGHFSLDEEKANLIASKATASKKVPVCQHPGCKITGKTCIMVCVGCNKRFCTRAQAKQRPFGHAREHAKHSGHCVGLWCSDPYTMYCFTCEYELALAAPYVETGMVFGKEAFGQASGLVKEHVCPIRGMPNLGNTCYVNALLQCLFVLRKLRARMLAPDVPLDMLGVTLKELFEDVNNVDNARLLLNPINFLTCVRILDARFVGSDMQDSHELLCFILDRLDKEERLQMPAVAPTLVDSLFSVGMSVAMSCTHCSYSSPSCEVMYDISLPLPLERPPPKSIASPPRNISCISREKTGIKLFPQVDMSNTEIVQAIAQGSDSHITGLELGDVAIEKISEPLEVGKFMQPVSK >OB08G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16447721:16451495:-1 gene:OB08G28020 transcript:OB08G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3MUM1] MDHAEKTLPEASKRKAKAAAPTSNTEMGLCPHFVRFEEDMVRFVSKLRSSERAPRCEHYLCENKVEKSSILVCIDCNLHFCIGSGTKNSPQGHARWHAELEQHPVGAFSSEPQTLYCFICERRLELEVNEMQCECDIKSDNSNSNSTDSCGCGHFLLDEEEINLIVSEVMASKNALACQHPGCKITGRTRIMVCTGCNKHFCTRAKAKKRPLGHARQHAKKCEHWVGLWCSNLCLGYCFMCEFELTLGTRNFDVGMMFGKEAFGRAFGSKKEHVCPIRGMPNLGNTCYMNALLQCLFVLGKLRARMLAPDAPSDMLGVALKDLFEEVNNVNNARHQLNPTKFFSCLCILDARYAGSDMQDSHELLCLILDRLVEEEKLNMAAVIPTVVDSIFRSHISVTMSCTCCSYSSPSCEVTYDISLPLPLERPPPKSIALPPRNISCMSQEKTGTKLFPEDDMSNTEHIQAIAAGRDSHVTGLEPRDVAVEKISEPLEVDSIEVEHSSRTKDGLCVPSQTQKDNVPGEIVQVPTKAGDLGQNDNTGLGNTSSEPEVSIKDKKNTCSVEGAAEDKRKSQGRNIDHGKTEANNSLASIEECLALHFKAELLEWNCEKCSKAAQHPSTTNSKDTKQTMASTNENTVDGDQTVQSDKIACQSQQSRNLDTSALECSSKQPHGSDSQRQAMPTADSITKGISTSPPAKHMYTLCSQGPLSSHNRVTSGMTYGEQQFASDNDIKTEGHEGVQEAGPSCLPTEEPVNQLSVQGQNASTADQGEGKQVKLDHNAGQVDANQSKREDRIQGGIQTRLISKLPPVLAIHLKRSLCTGKVRGHVSFEEILDVAQFMEPSSEDKHNSSYRLVGVIEHLGPRTSAGHWIAYVRQNREQPDSGSSSWYCADDINIKEVSLEEVLKCEAQLLFYERMDG >OB08G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16454479:16458115:-1 gene:OB08G28030 transcript:OB08G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3MUM2] MEEKRVRTGDRATTESPRKEKSPRMGAPPVMLDAGEPRCGMETLPTFLEADDSECGGAASLKVSGSRDTLIVAIRDTDGKQCEHMICTEHDMEEVVSKIASSKGELMCEDETCLATGRHLMMVCSECDCHVCIGRSANKAKPQGHIREHALLDGHWVALCQDPYVGYCFECEESLTIGAEVGEKGVMVSREEGYRASGFADEHGFVIRGILNLGNTCYLNALLQCLLVLGKLRTWMCGPDAPSGILGEILRDLFVQTNKVGDTQGLLDPTTLLGCARMYESRFEDAFMQYSHELLCFLRLDKEEKMTMPGNVQQHAPSAVVPTVIDSIFGGQLSVTTSCNRCLFKSVSQDVFHNLSVPLPPSGTLSKIVMSPPRNKICVSVSQQSLQLVPEIDKASTEKFHTISDGGEPRFPASKLEDKFMMKTSGPLNLDSNELELIFPMEDTVHAPLWTPTKKENTQTTSESDVEKTKSVVLDNAFSGPEVSIEAQMGIFSADVTTEGTEKAQNCDIVYDETEDINCCPSIEECLKLHIKAEMIEWKCENCSKVAQKASSISGKDGEQTGGDAKGLSCGEQDLSPYSIPNKTLECLEGDQEDVPRCHLAEEQASLLSGQCPNASIQGQERGKQVNLGHIAQQVEENQYDRQDMKEGEGVIKSHLINKLPPVLVIQLKRSLGPLKVSGHVSFMEILDMEPFMDPSSEDKDNSRYRLVGVVEHLGRRTIQGTSLLM >OB08G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16462149:16478940:-1 gene:OB08G28040 transcript:OB08G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3MUM3] MDNERRTRATARVRDIAKAKGRSPHPRWSAAATEAGSGAAAAAAAALDTSNLWAQAAALTGDGGSIRWCQHVLCEQAVIDVGIELIKTSVDGPMCNAYKCGATEGRNILVCLGCESSFCTGHAIWHARMNQHWVALMYKRPNVAHCFACEDSYFIRSEKPLGAMATVKDDSSIGTIKKDEKGMAIDNEAGGHASAAKEADSGGGDMAVLTNDATPQQCKHAYKRGDIVRVTKRILSRDIAPMCSDSACDTFEGSLILVCLGCEKAFCAEHAGMHAKNTKHWNYLIYQNPHVVSCFVCKGIVFLSVGVDKKEKLADNATADHASGSVIGHAHAIKGIPNLGNTCYLNALLQCLLVLGRLRARMLGLDAPPTGTLGLVLRDLFKDTGSVNNGGDLLDPKNLLKCVQILHPQFRGTCMQDSHEMLCCLRNGLDMEESIMTPSNMQDGDPSAVAPTVFHSIFGGQLSVTKFCKCCSFHSVSHDAFYDLSVPLPEKALAKRVEPPWSTKGLRSQRKRNTEKIHRIAEDGDSQSVALELEDVFLVKRSEPLKVDSTKVEQILQSNDAIHGHFQTHKDKVQGKAVDVLSQKVLYDAATEDSCIPEDLASPPFVSPLREENPLIASGSDVEKNDSTVQPEVSTEAKITTCSVKITSKDKGKVHSSHILYDKVQGINSVASIEECLELHFEAAMMEWTCENCSKVAQKPGNIIGSSECQVEEKQNEQMDRKKGTVQTRLSKLPPVLVIHLKRNLGPHKVIGHVSFQKVLDVGLFMDPSSEDKYNSSYRLVGIIEHQGLGKDAGHFVAYVRKGRPQQSNGSSLWFRASDTKIRKISLEEVLKLPDVMDEEKRARTGDTAGSPRKCRRLERPAGETEADSGGGGATLFALMKFSGDRRVRRCQHVSCGQDDIVLTTILIKTCDDTPKCSAPECDNTDRGGRKITVCLSCESRICDIHGKWHAQNNQHWIALVYERPNVAYCFACEECYFITDTFWEKMVSEDVVFSDIDEKGEKGMSRMTVDDEADSYAPMVTVAIVGDGTKVELDVRNPCKQASELVSDGSIQRCPHVLCDQDDIDVVITMIKYCDVAPICNDYMCGNIEKRNIMVCLGCEKLLCINHAYSHAWSKQNQHWVALVYDKPYALFCFACEEFYFISNEEFLRRIEDDKDDFIISTREKGEGMKVDNEVGGHSSGSVIGHAYPIKGIPNLGNTCYLNALVQCLLVLGRLRARMLGPDAPLTGPGLVLRDLFEDTVSVNNAGDLLDPTKLLACVRMMNSGFVGTSMQDSHEALCHLRDGLDRDERIKKLSNVQDDAPSAVAPTVIDSIFGGQISVTRSCQRCSFRSVSCDVFHDLSVPLPLMKSPAKSVGLPLCTKGCRSQRKTHINLLPAIQKLKSDIAMARKIAERGDSQSPASELEDVVMVKASEPLKADSTKVEQISQSKDTAHGPLRTQKDKVQGRAVDVIPQKVLYDVKVGVMDATRADLRILEDIILPPSVSSLREEGAPVSSGDGEKSDSAQSVVSTEANMTTSLAKVTTEDKGKAESRDIVYDRAQDSNSLASMEEFLKLYFEAEMIEWACENCSKVAEKPGIIPSIKEDASVGDQSEESEKIESHGSDSQLQVILTMDSITKVTTPGTISGEQELASDNIRNKNSECHECVQEVVPNWAPSEKQSKLLSGHDQNAITRDEGRAEQVKLGHSACQVEENQNEQRDKKEGAIQTRLIRKLPPVLTIHLVRSLGPHKVIGHVSFKEILDVGLFMDPSSEDRDNSSYRLVGVVEHQGSGVDTGHFVAYVRPSHQQHSSGSSSWFCASDANIREISLEEVLKFVWGYGLTEFLACVVAVPDVMDEEKRARKGDTSPAGSPRKSPRLGRPAAATGAGSGGGGAAPQEGGNPCDELNDPNAASLGELLFYLVSPPLWGLWIHARFMDFTRVPAISDVMDEEKRARPADAEEATPLDVSDWWEKAAALTLLGFSGAGGARRCRHVLCDHDEVDLAITLIKTCYGTPKCGAPKCGNSEGKEIAVCLDCESRFCTRHGKRHAWNSQHWVALVYDRPNMAYCFACEECYFIRTDGFGEEMSVEDDELFLIGIHKKGEKGMTVDNEAGGLASMVTVPVAGGGIKTELDVSNLWKQVAALVSDGSIHCCPHVFCKEDDIDVAITMIKSCDEAPRCDSYMCDTTEKRNIMVCLGCESRFCIKHAYSHSWREQKEHWIALVYERPNVVYCFACEKCYFIRTDNFGEQMAVNDGEDAVVIDIHKEGEKGMTVDKEAGGHASGSVIRRACPIKGIPNRGNTCYVNALVQCLLVLERLRAAMLGPDAPKGIIGNTLRYLFEDADNVNNTGLLDPTQLLACLRMLNSGFVGTSMQDSHEVLCCLRDGLDREESIMKPSNIQDGAPSAVDPSVINSTFGSQISITRSCKRCSFRSVSCDVFHDLSVPLPSKTFSVESPPWTNKGHRSQRKIRINLFPAIEKQKRDNEKTCKIAERGDSQSPASELEDVVMVKESEPLKVDSSQVEQISQSKDAVKVVDVHPQEVLYDVKVEIADATAAESCIPEDLAPPLPPLVSLVREDNARIETGSDVDKNDIVVQPEVSTEEVTTEYKGKTKWDVIYDKAQDINSLASIEECLKLHFEAEMIEWACGNCSKVGEQNEQSEKISCQSEQSSNLNRLEVERTSSSSEFHGSDSQHQAMLTVDSITKGISGKQDLASDDIDDKKSECHEGVQEGTPSCAPADQNVSTQDEDKGKQVKLDHSAQQVEENQNDQKDKNDGAIQTCLIRKLSPVLVIHLIRSLLGPHKVIGHVRFKEILDMGLFMDPRSKQGDNVNDSAVFYGKSSEDKANSSYRLVGVVEHLGQGNDAGHFVAYVRPSHPEQTSGSSSWFRASDENIREISLEEVLKCEAYLLFYERMED >OB08G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16481930:16482463:1 gene:OB08G28050 transcript:OB08G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPHAAEPRGGAAPSPAQNHSVSMLSTMTGGQMILSCRAAYAGNWEYFHYFILDPYKPQRAFFQPPPPPAGEPYAILCKWGYMGNFLQDVVVFNSSAGYAPRCRVDEGGCHYLFQDGHMFLVTGRRRRREKTLVGDVLLRECAHALGVFPTVCRYKPHDNAYVGMIIGRWRWWFNY >OB08G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16483327:16486950:-1 gene:OB08G28060 transcript:OB08G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVLLLLAVVLGVAVLSLSAPLVAAAVAAGDQDPDGAAGDNATARLDRRTKMFLRAAGASGGGATETEMEKAGLGLFDAFFASLSMIIVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >OB08G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16488892:16491885:-1 gene:OB08G28070 transcript:OB08G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMERGLVLSSDDQPPGCLPFCQLPTKPVQPIAAWEEQPVLLDLLKVYVEKVADPQNAHAGLTDHEVDLDFATRRISPLEAYIANTVLRRDIGYRGKGYLISRALSIRELECVEVARCRGGVDGGAGATGREGRMRQQRGRGGGRGEPGMLTRAVEKVFRFVRLAEFEILFVLFFLIAFVLFKDLMSRPQYNSIFVKKPDLDDRWP >OB08G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16494101:16495309:-1 gene:OB08G28080 transcript:OB08G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNHLLPLLRASFHASTFSSPLQLRRLLPSTAAASPSVHLSRLNSAAARSATATPFSVEEYLVGTCGLTGAQALEASKKVSSLKSPSKPDAVVAILSGLGLSRADLAVVIAAEPRILRIRPHNIGLRITALRDRVGLSDPQIVRILLSGGARGLQRGDMSPRLEFWIPFVGSFDTLLKILKRNNAIVFSNIEKVIKPNIALLRECGLRDCEIVQLSKTAARILTYNPERVKAVVQRAEKLRMLDYSWPWAFKHIVVTAARCNEGIVAARMEFLTGALGCSVDKLRSAVCKCPRILELSESKLLSKIEFLVTKVRVEPDYILHRPVLLTYSLEKRLVPRHYVVEVLLVKGLIKGGVDFYGCVCLSDKDFVARYIEYHENDVPGLADAYTAVCSGKSPPLI >OB08G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16494576:16495491:1 gene:OB08G28090 transcript:OB08G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSARCTTAFTRSGLYVRIRAAVLDNWTISQSRSPHSRSSAMLGLITFSMFEKTIALFLFKILRSVSKDPTKGIQNSSLGDMSPLWRPLAPPDRRMRTIWGSDKPTRSRRAVMRRPMLCGLMRRIRGSAAMTTARSARERPRPERIATTASGLEGDLRLETFLEASSAWAPVRPHVPTRYSSTEKGVAVADLAAAELRRLRCTEGDAAAVEGRRRRSCRGEEKVEAWKEARSRGRRWFRRRSMAEHGGGAGEFEAAAG >OB08G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16497512:16500317:-1 gene:OB08G28100 transcript:OB08G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWRFCSGGAKMERIKNTVPAAKGAAVAAVSFPGGGGERGGGGGGSGFLIHRGLLLTTHGTIPSAAVAGTAEPHFLKTCLNPSLDLGSTVLLLGHTKRKDLTIGEGKVVIATDNLIKFSTDEVTWYPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSTALLSSKKDVPTQFGMPIPAVCGWLKQHWNGNLEDVSKPMMPPARLISAGQRSECSSLGRINYIKTMEREGGDVISSSQIPPRLTQHNGSCSSASAKISCGENDSVDSHSIHEQQDLTSQMQEPKIEHPASLMDNNFPPGHPRSIRLPLPLKHMMSHENKIKPNPSFSHEAQLANVRINCGTLHNVAYQENCWSEAQSSSSPPERSDLGDERGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHSKWDSPRSVESSKGVPSKSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >OB08G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16502025:16505650:1 gene:OB08G28110 transcript:OB08G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGASAMPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPPQMPPPSYVRTGRPRLSDFHDRSFEGDYGREGDDIGNGVSEGGMRGKLIKRNKKLSGESDIYGGHRHRINAEAIGTLGEESRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLTPEDWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >OB08G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16512545:16523654:-1 gene:OB08G28120 transcript:OB08G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVVAAMAPLYFALGLGYGSVRWWRFFSPEQCAAINTMVVYFSMPFFTFDFVVRTDPFSMNYRVIAADAVSKVITILAMAAWARCCAKAGAQSWSITGFSLAALNNTLVVGVPLLNAMYGKWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVAGAAAPSTAADGDVDHLARNPNVYASVLGVVWACIAYRWNLSLPGIVTGSLQVMSKTGTGMSMFSMGLFMAQQKRMIACGAGLTALGLALRFVAGPIATLVGAAALGLRGDAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYVVLGFV >OB08G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16523702:16523887:-1 gene:OB08G28130 transcript:OB08G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCSWPLGGTQFPTHLIPLLPQLQLYKHSNGSTQISFLVQSFSPLISSYFQFDSISKEN >OB08G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16528034:16529971:1 gene:OB08G28140 transcript:OB08G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3MUN3] MARFDPYENNGGTCVAIAGADYCVVAADTRLSAGYNILSRDSSKIAHLADKCVLASSGFQGDVKALQRNLAAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSHGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSESDAVDLVKDVFASATERDIYTGDKLEIVVINSSGSHRECIELRKD >OB08G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16530136:16531406:-1 gene:OB08G28150 transcript:OB08G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGDVVAQEPALVREVEPDSRLIEIVELMKQGARRVLVRKKITEGCAISKQPFAPFYKAVPKITGTPRAPATAGRSSASSSSSPSTLGCDKYCCLTREDIVRFLINCLGALAPIPMQSISSLGAVTRSYSHVEDSSPAIGAVWELPSDPRAVAVVQASRDGSSHVILGDISGYKLWKKDYVAAAEAMATLSALDFATGVDDSGPSPAAAAVNGGGGALAPLGSIQDEIVPRPRLTRFSSRKIGFSASFANLIMASHRKNRVLTCKTTSSLAAVMAQMVSHRATHLWVVEDGADAGEAAVLVGMIGYMEIFHAVTRGVVPPA >OB08G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16535375:16536517:1 gene:OB08G28160 transcript:OB08G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDARFADEDEIAVLGDDLLSEIIVRLPFKSVARSRCVSRSWRAAVSDDYLRRSLPLLMAVVYFPDDDDTVRCGGGGPRFACAGEDGGGDGGRRLEDCDLGFLPLEDGRDAVVCDGCNGLLLCRSPGTPELLVVEPVTRRWVALPAPAKEATLSVLAFDPSTSLDYRVINFTGWRDRGAAVEVFSSETWTWAVHEPEFGVPASCLSGSMHYHDGVLYILASEPDCLVCLDVADEFACSVIGLPEPMDGGDGRVAHSGGRLHYVSSDGELLKVWELDGDPPAPASRQWRLKHAVKIEHFVEGGGDEVRFLALHPENADVVYAWSPWKVVECDLRRRRTTTCHVWEFREGERNRVVKTWLVPSSCYLSDCLAHSHVMKCC >OB08G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16537205:16538263:-1 gene:OB08G28170 transcript:OB08G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCDDLLVEIFSRLPFESLARFKCVSRSWRALISGGYLRRRLPLLAAGLFVHVPRDGDSAGGGGEPRYATACAGGGGLEFCDMSFFPLVETARVVDACEGLLLYRVWALDSSSSSPGSSCQWILTNTVRVNDVIINGGRWPVTEVKFLAFHPDIADVVYLSSPAGEVASCDLRKKEIVSSWKLGAEHHIVRFWLLSFSSGLMNCLGVASNL >OB08G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16541988:16542841:-1 gene:OB08G28180 transcript:OB08G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYGLLPSVTGENYCLNSSADDIFLKYEPQLTSTKTMAHLAQMVRDGVLTKYDYVRPDVNVARYGQADPPAYNMSAIPACSGGRDSLSDPADVGLLLDDLRGHAGDRLTVQYLQQFAHADFVIGVCAKDFVYNDVISFFHRFR >OB08G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16548526:16548903:1 gene:OB08G28190 transcript:OB08G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTSMSSAKSVEEGDDVVVYVVLGADADDEVGVRELLQVLHRQPVAGVAPEVVEEEADVGGGGQRVAAGGAGEEGGPRRARRHVVRRRVGLPVLGHVHVGPHVVVLGQNSISHRCMCPIIQKLT >OB08G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16548547:16550358:-1 gene:OB08G28200 transcript:OB08G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLLGSPEESLPYILADRGFDVWIANNRGTRWSRRHVSLDPSSRSYWNWSWDDIVVNDMPAIVDYICSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGIVLAKAFVGELISNLFGIAEFNPASPQVSNLVRSFCRNPGINCYDLLTSFTGKNYCLNNSAVDVFLKYEPQPTSTKTLVHLAQTVRDGVLTKYDYVRPDVNVAKYGQADPPSYNMSAGPAWAPLFPRPAGRDSLSAPADVGLLLDDLRGHAGDRLAVQYLQQFAHADFVIGVCAKDYVYNDVISFFHRFR >OB08G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16560206:16565657:1 gene:OB08G28210 transcript:OB08G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:J3MUP0] MESATSAGSASTLAASPPPPSPPRPPPRAAAKAARPPTAPPRRRPTGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESERVQDYNFDHPDAFDTDQLLECMGQLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDADADIRLARRIRRDTVERGRDVISVLEQYGKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVVHTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSTYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDDGQQLIYHKLPLDIAERHVLLMDPVLGTGNSANQAIELLIRKGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEWRVIPGLGEYGDRYFGTD >OB08G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16569377:16571840:1 gene:OB08G28220 transcript:OB08G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVKGDTGKLLPVISFFLGAALTAAFVFLIATLDVNWRLSALATWNYGAQPAVTEEMRALAELTEVLRNASMDDRTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPGALERCRSVHPHCYLLRRPDGAVDYSDEKHFMTKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAIANDYYNGDPESLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFCQLSRDLARVCTLHANCCTGLANKVHDLAAALRDWANYTAAPPAGAGRPGGLRLAQAGQVHPLTPASSS >OB08G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16572645:16574938:-1 gene:OB08G28230 transcript:OB08G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSREPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPESSIAPRRQ >OB08G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16581009:16582776:1 gene:OB08G28240 transcript:OB08G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRDGVMEVAKHMGKSLAVSKNAADDMMRVLSRYEGEAPVFPLSSSPVEAEEEDEEVFAAAEDVIRRCNSSLPPPEMVDYLYAVDDAIAAAELGGQLASRAEEALQAAMPRLEEEVYVTVRRDALAESVAHLGVEAVAIEEVLKMEWGILDQKIRRWSHAIRVVVKTLLAGERRLCDEVFASDEELGHECFADVARGCVLQLIGFVEAVAMSPPATEKLYRMLGMYEALTDVEPELDSLFTGDARDFFSAEVSDVVAQLSNTVRHTIDQFVNVIHDESSRRAVQGGEIHPMTRYVLNYCGLLAECRATLDMVLADTKGNNDDSDDDEAPADGASSTPSGRCMRELLTHLLRKLDEKSRLYDDAGLKNIFLMNNLYYIVQKMMELPALRELLGDDWVRRHRGQIRQYETGYLRASWMAVLACLRDDSSPAHSRAALKDKARSFNAAFEELYRSQTAWKVTDPQLREELRIAVSERLIPAYRSFVGRSRTLLESGGSSSRHSAARHIKYSLEDLEDYMLDFFEGVQKFVR >OB08G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16583759:16588637:1 gene:OB08G28250 transcript:OB08G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKVNSKEPFNAEPHRSALVSSYITPVDLFYKRNHGPIPIVDDLSRYSVSITGLVNKQIHLSMAEIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPDADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIKEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPQSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPENVADIWNLRGILNTSWHRIKIQNSSCVGRSKM >OB08G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16587267:16589755:-1 gene:OB08G28260 transcript:OB08G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATALWGHNHLPLLARASSKESVEYILQALWRTRRTGLDAADRAVVRDMLQLASDAELDPLLVCLRILIRRCVHDNIGKDEVAKLFPEEVSPELQRLITLLLQKFQAEWQEDTAKDQASVAQPETTECASNQNQDTTEQPAAGAELRNGARSSAVEKELKVQLTKDTLDKMLKDMYSINNQVSTAGNADGHEEAAECS >OB08G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16591879:16593482:1 gene:OB08G28270 transcript:OB08G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGRRAREGGVASMVVAALVALATAAGGRRVAAGRVPAAVQDRRPQGHRRPGRLGVRQGDAGVARRAARKISANARCDGVDEEDGGIPLRGTEVRSDGYDDLERGKLGAASARSAREGCKSSGKEMKAIVRMAKSEKGWKLIKQANGKTTISTVRGNREAVNCTVGTTVEPMMEDG >OB08G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16600873:16607568:1 gene:OB08G28280 transcript:OB08G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MUP7] MDAAAYVTATPALLGEQGEDTEWVTVKYGRTNSSADDWIAVFSPADFISGSCPNPSRYPGEPLLCTAPIKYQFANYSANYLYWGKGSIQFQLINQRYDFSFALFTGGLDNPKLVAVSEAISFKNPKAPVFPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVSGAGAGAAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFMRDLWPNKEYYYKIGHELSDGSIVWAKPYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVEDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWRQGTEQYRFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYANQGSFEEPEGRESLQKLWQKHRVDVAFFGHVHNYERTCPLYQSQCVSSERSHYSGTMKGTIFVVAGGGGSHLSGYTSEIPRWSIFRDQDFGFVKLTAFNHSSLLFEYRKSSDGKVYDSFTVDRDYRDVLGCVHDSCSPTTLAT >OB08G28290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16609393:16614353:1 gene:OB08G28290 transcript:OB08G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MGSLRMTGKASCAALPESSCAYLLQELKMIWDEVGQEENERERILQELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMAGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPEDEAITVNQEDLSLKKLEEHQNELQRLKREKSDRLCKVEEYKVLIHNYTKIMGMDPSKILSNVHTSLLDGANEQQTKNISDDILNKLNTMVQQLKEEKNQRMEKLHSLGKALTKLWSILDTTMEERRPYGEIKIYAMTSGSSMLGPGSLTLETIQQIESEVQRLDHLKASKMKELFMIKQTEVKEICKKSHMDMPYQTEMHKIMDVIMSGDVDHDDLVKTMDEYISKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQERKKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWKNKKVQTQLARRHENSFISRPNTSCSRPSSRGFNTSPGSSSIWSSRLSTMAKQPTTADNSAEKDVYIRKVRNRSMHRALGNNRSISISYEDKHQLSAIKLGVSPI >OB08G28300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16613836:16624285:-1 gene:OB08G28300 transcript:OB08G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVEKHSKYHLIHYTQRMHLKLLKKRGKIPKSLQLSAARATVPLSASPVTPQESTVTVRKSLPPVTGVSASRFVGVDAPIRDKKMSLSKKKEAFLKYELLTDLQNQLTSVLLKHRRTVAIKDTNSSFVGFQKPETNLSMQEGAATASASTPKEVTKLYVNEQLNQGAQGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLQHEPNSSSHSDGARGYDIWKGHVNPSFPQRSIKKNILLCLEGREAGANWSQTCSNGGHAGRNCERWIPFLDGWNSPAVHFEGPAVHLVKQSYLSWCPTSCAYTSSAPSAQPYDRQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRATQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASDSYITSLKTNPSGNTIITGSGDGTIGLFDVRACSAINHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPLSQSKDVSRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDVGDQGVNAIHWLHKEPVLVTVSGDGSVGMWDVTLGQPCVRHILTHNRCANAVAVAPNDEYISTGGSDQKVVLYHNKSGRAQLNWRLTYPLPGND >OB08G28310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16627915:16631197:1 gene:OB08G28310 transcript:OB08G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT3G01920) TAIR;Acc:AT3G01920] MATATAASSSRLSLRRAVSSSSSSQLRLPVRPPSPPRRLSSSSSSSPAVIVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDLWKLDPVIDLINRGAVGIIPTDTVYAIVCDLSNNDSVERLRRIKGIGDSKPLSILCRSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDTICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTVIRQGKGPRLDWMVAQDEEQESPPTFAFKTA >OB08G28320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16654302:16658475:1 gene:OB08G28320 transcript:OB08G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCQQCSRFHLLAEFDETKRSCRKRLDGHNRRRRKPQPDPMSNAGYIASPQGARFSPFVTPRPEASWTGMMKTEESPYYTHHHQIPLGISSSRQQHFVGSTSDGGRRFPFLQEGEISFGAGAGCVPMDAAAAAPVGQPLLKTVAPPPPHHHHQQHHDGGRSSSSGASKMFSDGLTQVLDSDCALSLLSAPANSSAIDVGGRMVVQPTEHIPMAQPLISGLQFGGGGGGSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPSSSRRPASPARWWRVSS >OB08G28330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16660314:16665143:1 gene:OB08G28330 transcript:OB08G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETTLQNRESEESDNLPGVRELRPWNNDYRFAPECTRTYVDRITKLMFDQLTELQRKEQMVSEANRCLRRKLEESNQIRGQQAWEQGCNLIGYERQPEVQAPLHGGNGFFHPLDAAGEPTLQIGYPADHETMNVPCMNTYMPPWLP >OB08G28340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16669365:16678711:1 gene:OB08G28340 transcript:OB08G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETRTLLSSPAAGEGGAGGVRRKKKRGRVELRRIEDRTSRQVRFSKRRSGLFKKAHELAVLCDAEVALVVFSPAGRLYEFASSASSIEEIFGRYWDLLDRSIDLNTEARDSQVDCAIQLGQKEHSVLDSVPKINDVTECFLEPKINELSIAELRGLEEALSNALTDIKNKLMMKVVDVLPKSEMKVHPWESFLILVGKTNELNMSNSCDIPALRIKDHLYGASSCKIHKN >OB08G28350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16679258:16679476:1 gene:OB08G28350 transcript:OB08G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVVGLQEMYCCCCTTQMFSVAQKLTVYNTNENRKSFSSLASSVQSSISGEELSQLPPRSSRCPARCVPSW >OB08G28360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16679400:16682313:-1 gene:OB08G28360 transcript:OB08G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAPPPPAVASGISARPMAPRPSHARAARSVVRAAISVEKGEKAYTVHKSEEIFNAAKEMMPGGVNSPVRAFKSVGGPPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSVEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKVFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTMGKIIGGGLPVGAYGGRKDIMETVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLTEPGTYDYLDKITGDLVHGILDAGAKTGHEMCGGHIRGMFGFFFTGGPVHNFADAKKSDTAKFGRFHRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAEKVLRRI >OB08G28370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16679147:16685658:-1 gene:OB08G28370 transcript:OB08G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGPAAIAGKLSSLPFAQCHHDINTVDCQPSGPSGGMLVFVSGSLRTGPEFDGSMLTFEGQQFLGPAAIAGKLSSLPFAQCHHDINTVDCQPSGPSGGMLVFVSGSLRTGPEDHPLKFSQRPEMFQLLQGGGNFYVQNDMFRLNYG >OB08G28380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16685230:16685727:1 gene:OB08G28380 transcript:OB08G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREGEGAELAGDGGGAEELLPLEGEHGAVLVERHQRRAVGVERPVVVLHERLRHRVRVHGRSLSLSLSPRVWSFGFVVGGGG >OB08G28390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16687041:16692543:1 gene:OB08G28390 transcript:OB08G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGRVRGFLRNRWLVFVAAMWMQAFAGVGYLFGSISPVIKSSLGYNQRQLASLGVAKDLGDSVGFLAGTLCAVLPLWAAVLVGAAQNLVGYGWVWLAVAHRAPVPPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFMFVYSVCVLLAAYLMGVMIVGDIVDLSHTVMVLLTIILIFLLLVPIVIPVILSFFSDNDESVYASLVQSPRKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDSHIFVSMISIWNFLGRIGGGYFSEIIVKDYAYPRAIALATAQVFMAIGHFFFAMAWPGAMYTGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEEQARQHQNSTLLAMPGILLGLVSDAAEPLKCEGAVCFFLSSLIMSGFCIVAAVLSLILVHRTKIVYTNLYGNPRT >OB08G28400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16693913:16695637:1 gene:OB08G28400 transcript:OB08G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRLCGEGEVVADPDSGVLVCDSCGCIHDSGAAEFVHQATCGDDGAYDLRASSYVYHQGQGQSQYREQKLSAAAVTITSIATSLGLSPTQAEEALAMAKSATDGGLATQGSAFLPALASACAFLVARSHRLPLSLAEAAETAYCPAASLANLVSRIASHLSLPPLPSFDYAAALERAVRSSSSLYSAAASEMTEVILSQARFLLRCASKWSLTTGRYPLPLIAALVTFAAEVNGVTSVTVEDIARDISARLHTSLRRYKELVDALVRVAQELLPWGGDVNAKNLLLNAPVLLRLMEMRSQSDPSEQFIESFAPNIAGIVQAHSSVDDDEFKYLQVAPQLADDDLDSNNSGQEGKETDGLKISEECLSDAYQNVLKRLAQLQRLGQVGKGVDRKKHWRGGLELEPWMDLVDDGWTKDMVLEDVVNIDIGCDVPPPSFTAGIKLQEKRRARIEAAKCRIDAIRKAPVRSENNSQAALRKEDACPPQKLARKKRGRKRIAGSDHAVNGELPIEMLDDPGSGKKRRRGAPSDGIDWEDCIIELLLLHGANEEEIEQGQYRRLLDLHVFCAINGRR >OB08G28410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16698810:16701357:-1 gene:OB08G28410 transcript:OB08G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MUR0] MERRRRSICTVVAAAVAVAAMVAVMPAGVAADLSPDYYKASCPRLESIVRYEVSRKINETVVTIPAVLRLFFHDCVVTGCDASALISSPHDDAEKDAPDNMSLAGDGFDTVNRVKAAVESACPGVVSCADILALAARDVVSLASGPSWPVELGRLDGLVSRASDVDGQLPGPDMHVNKLAAVFDRHGLSVRDLVALSGAHTVGFAHCTRFAGRLYNHSAGEPTDPSMNKDYAAQLMEACPRDVGKTIAVNMDPVSPIVFDNVYYTNLVNGLGLFTSDQVLYADASTRDTVKDFAADQAAFFEAFVSSMVKLGRLGVKAGKDGEVRRVCTAFNH >OB08G28420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16700700:16701247:1 gene:OB08G28420 transcript:OB08G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTVVYGPQGSKAATGKQRQQQFAGFAPLEMELSVASGLREDGRCEGGSSKNQRRSTRQADHWKLMQASEYQNSMEAMDGRQSPMQDEVLTVTTQSWKKSRRTAGMVTTVSLIFLDTSYRTIDSSLGHDAL >OB08G28430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16705748:16707644:-1 gene:OB08G28430 transcript:OB08G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACKLPNANVSLCINLLHLTPSSPDYALFANASKVTPSTSTTTPAAAATAGGFKVQAGLSYGVAAAVASAVFSSIF >OB08G28440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16710372:16713572:-1 gene:OB08G28440 transcript:OB08G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVLSWRTVCLLVLCTLTLLYPALAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYYDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >OB08G28450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16720297:16723394:1 gene:OB08G28450 transcript:OB08G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSPRTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQEGNKLYDCQVIDRIELSLGAGSLSFRAPERSVGVEAEAEAAQTAIELIGKDRPGLLSEVFAVLTDLKCNIVASEYVVFHGTVIAEGSEAYQEYYIRHLDDSPVTSGVEREHLRRCLEAAIQRRNTDGVRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRAARAANVFYVVDASGEAVQAQAVEAVRAEIGEQVLFVREDAAGAGAAGGPRSPPGRDRRSLGNMIRSRSEKFLYNLGLIRSCS >OB08G28460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16754048:16757325:1 gene:OB08G28460 transcript:OB08G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGELVEEEDDDEYAKLVRRMNPPSVVIDNDSCDSATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKLQNTEVTDCIKKCLESEDYLVPPASGLDGGAAPSEETTCVELTGTDRPGLLSEVCAVLASLSCNIVNAEVWTHDRRAAAVIQHTDAAPGGTAVSLGAAHAERRLHRLMLDDADGDAGGEERSGGVAVKPKAKVVVMDCTERRYTVVILRCRDRPRLLFDTTLCALTDLHYVVFHGTVDAEGGSSKEAYQEYYVRHVDGHPVRCDAERLRLVRCLVAAVERRASDGLELEVKTEDRAGLLSEITRVFRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVNGKAMETLGEQLGHAVLRVKSNSRAAIKRAEDGGGGAASIIGNLLKGSFQGFRLIRSYS >OB08G28470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16761198:16764165:1 gene:OB08G28470 transcript:OB08G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYICMKLLERPSLYMVLFSFLQFLCTGTNMSDSVLKDLNLAQSAELEKPKDSSAKSCITKPVLNENAPPACPDAVTNGCEAGNADVEYIDSENLTDLPDAGATLGTLVARLDSKDWVMTCEALNNVRQLAIYHKDRLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKVQPYLKNRNPRIRAKASMCFSKSVPHFVVEGIKEYGMDKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDDDTPATSPDAESWEAFCQSKLSALSAQAILRVTSTTREGVAVGVTSTTTKEGVTVGC >OB08G28480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16764899:16765078:-1 gene:OB08G28480 transcript:OB08G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDRRLSTHVLVKLFFCAQNVLLATHPHACMIVFARIVSSCTCDYLMFTCTVTYYVVQL >OB08G28490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16765136:16765552:-1 gene:OB08G28490 transcript:OB08G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGVRMNKQGLIMKTLDRCLSGGGGPEPPPEGSFAVYVGGGGGGGRERFVVRTECVNHPLFRALLEEAEEEYGYVADGPLELPCDAGEFVAVLERIEREMAEERTVKCGGLVVRLHPAAHLMLAAAPARPPPMIAG >OB08G28500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16770424:16777517:1 gene:OB08G28500 transcript:OB08G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPIRGRVPLLLLVVDAPARVNEPPVFVILIMSLSKVEVNLIRLLQAAPQQQNQAKLVHYVTTARELLEQLGTETTPEGISRCSCVICSLYVSKAKLSEYSEKIEALAARLAASEPENEMPIDENREEESSYEGEKPGSPVSLSSGLRRRPIAHMDVGPSSHEKKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKVACLFRLSLFQQLSCAHIGNCLCRSLIPRREPSSIAWQARGVQPREQQSDLEIDYGSEEHASIVYKTLAVDKELQPDKVKREMTVLGGKLVVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGIGKEGVGNI >OB08G28510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16780649:16783297:-1 gene:OB08G28510 transcript:OB08G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3MUS0] MAGGFLSLANPAVTLSGIAGNIISFLVFLAPVATFVQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIILYLTYAPRRARLRTLAFFLLLDVAAFALIVAVTLYLIPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPANTAVLPTTSDAMSPAAAATQRIIELPAGTHAFTILSVSPVPILGVHKVEVVAAEQPDAAAAAADKDLQLLQNKPEVIEIAAAV >OB08G28520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16799780:16802736:-1 gene:OB08G28520 transcript:OB08G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MUS1] MFCTLKEFFLQGRFIFGPDVRSIFLTMFLIIAPVVAFCVFVARHLINDFPGDWGISVMVVAVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDNGVQTPQQLRLPRTKDVMVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAENLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCTRIPPSKNNFRARVIVEQGLQQTRVPSRGFMSPNMGKPVGDLEIGRKPVPWDEPRTAADIRDLEVGLGGFLDEKEGRLTHASPDLSRDDLPADLIEGRDGMHSRRSSWVERTRTKESVDTVL >OB08G28530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16805737:16809599:1 gene:OB08G28530 transcript:OB08G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGHRGMGMNAVGAPPEARVGAVRERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQEIGGVNEKRVTDLLLEEFLSYGPQKNSRKISKPLLRRNKDGRILNWSAKEDDSLCTLQEVFEHVSPRLGFNIELKFDDDIIYERSQLDRALQAVLQVVFQYASNRPIFFSTFHPDAARIMRKLQSSYPVLFLTEGGTAQYNDSRRNSLDEAIRVCLEYDLHGLVSEVRGVFRSPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVNGVIVDLVEEISNAVSDFSKPVLNQSMLGSGVNLVGAKHQAFSEQQLGFLLRLIPELIQQPH >OB08G28540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16824920:16828213:1 gene:OB08G28540 transcript:OB08G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAPVLVRHGGVELPPGFRFHPTDEELVVQYLRRKAFGLPLPAAVITDLHNLHKLDPWDIPGASDGEKYFFAVRPAMASGGRCSRRQMQATASGGCWKPAGGRDKPVVASRCGGSHLVGVKKAMVFVPRQGKAPAAAAGSCCWVMHEYSLALPMHNKGCLAEAEEWVVCRIFQRSSRSPRRPVDHDVSRRTTPPSQSAASSSSSSSCVTSSSDQEEEVSSG >OB08G28550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16830138:16830353:1 gene:OB08G28550 transcript:OB08G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVPSVRRLHTLLELSELVYRDSLEHCLQYLLHCCSCTTSYRGRITRSSREAKYPSILTCNFQAASHII >OB08G28560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16837375:16842442:1 gene:OB08G28560 transcript:OB08G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:J3MUS5] MQALRPASSARAYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRTAINASVRKTNRLVTVEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >OB08G28570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16842250:16843539:1 gene:OB08G28570 transcript:OB08G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVANCHASCHHQEPSPVWNLKVTRLAAGKAQPSSRDTSRCPEDEATGRQRPDAAKILLEKLSGLVTPVMRKEEGVSNSLFAKLVSLSLVGGAVDEADPGHLYCTLFLSPF >OB08G28580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16844661:16849523:1 gene:OB08G28580 transcript:OB08G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPVEDAPTPSSRPASSPRRVEGVGACDLDGLPGGSSSSRVFTYPSIGDSNRRTALDIPNESTEYSRDIHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIVETDNCHTSFDQHELQARKRLLSPLKTVLDRQFHGDILNISTADARFGLSDSARKLYSSCFQDGKKANTATLDSFESQAWTNSRCSNGSPGWDANKINSNLFTDGPLLGSKESASYLDHLAASAKLAHSPLSLSPLSPKCMNRTKVTGAQYHTMRDIEHHFLDSREREGPKGIRMLSVLEETNVLHDEYNAMTPKRGSCRRYQNWGPESIPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNVTGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLPATNSRSPKLERSFSFNDSRSAKSRLRIPAKGRIQLVVSNPEKTPLHTFFCNYDLTDMPSGTKTFMRQKVTLSPTPPPSNPTKEGSNASDINVGPKAESVSCGREVTERKSSECSSDGSEERDADTKYCSLDSNMMESNKCSSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGVGVIRYALHLRFLCPSSRKSSKSMVRCKSDPSSVPYSSNAAKDEERRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >OB08G28590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16852900:16853226:1 gene:OB08G28590 transcript:OB08G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDYQVLSISSYYKELRKEHIKTYSNTLKSLVSLSVLKLASCLLLPSINKPNFRFPFPMFDHPSYLKKNSKNFKKLVTYKVLFMIYYLIKTKVLITNFFNKTQSQIL >OB08G28600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16855497:16857095:1 gene:OB08G28600 transcript:OB08G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSIVFPFTMPPTPELESQQMEEEEEKKEVVVSGGDSVVTDVSLRLLVAATSLAAAVVIATNHQQRWGVRVDFTLFQVWMYVLLLSPSIDRRRRRRRRWFFDDVIMICIACSAFVATNLGCAAYAAATAALMRRLRGRAWLHHADQLAVNLVAAAIAGAGAGGAIAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAMWGNETSGWYAVCRLYRSYCNVGLAALLLSSAALLLLSFACARSRYPSST >OB08G28610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16857957:16860990:-1 gene:OB08G28610 transcript:OB08G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPANCTELMDNDRIGDDDENVLWESTAPSVPPTQIWDFNLGKSRDHNENSALEVGFGSNNGGFMIQSYNDMLKEISSGTTKDLEDIYDSRYCAAAEDIMSTNVCQLSSKNPSAGSHKRKAASSCASTMDGPTTSTSHVPAAAAASGPLGGSSHDRGSGLTKEISFCDQTVVPTGADRPSSSIRIDSETLAQNRDSAMQRYREKKKNRRYEKHIRYESRKLRADTRKRVKGRFVKSNGAPDDDGNGG >OB08G28620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16873190:16873375:1 gene:OB08G28620 transcript:OB08G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAFQGTINRSWWMEACIANRHNIKLRYIVIHDPEECDSREKLINKLESKVYMETRVKLW >OB08G28630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16881956:16883244:1 gene:OB08G28630 transcript:OB08G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTVLMGFQPVRGRDSEGIRNLGHTHTHLHPVLCSLPRAIHPPMGPYPPPHQPPPGYQGYFNHGQQPYYPPPPPPPYEHCHHHCGDEGSGVGFLQGW >OB08G28640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16898711:16900975:-1 gene:OB08G28640 transcript:OB08G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKVPATSAGAITTNDPNISSFFLYNNQSHGAQAPQPANANAPASGSAAAAAAIADDASLESSVSAVLDTSPLGSPSVDRKRKAADDSATHCSAHSKDSCKDGKSRRGKKPSREVEEKSTTEEEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGLDSDGLQDQKIGGMFQEALAMPAPVLNQSSPAPSQAIMDNTSTTSYSLQSQQGAISFSQDNGSYLMQAVGEPRQQEMLNQLVFNNMCSFQ >OB08G28650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16909345:16909548:-1 gene:OB08G28650 transcript:OB08G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWSYQCVTCKDKELCLTCVFGGDGALSCCCCSQCACGAGCAVICAGPAGFVLGHFLHKLFRGCTGLPY >OB08G28660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16913041:16913720:-1 gene:OB08G28660 transcript:OB08G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYSHSHSHSHPAQPCNHALKRAGCGNLGGAACGLCGKQKATDPSHGHQLVLRASETGAGGGRRCVRCNKIAAGPAWSYQCPTCRDVGFCLRCVLGKDDDRPQCCCACGAAPAGFAMGIFLRGLLRGFTGL >OB08G28670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16915945:16924171:-1 gene:OB08G28670 transcript:OB08G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESSEILRERCLKFHKGCHKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVLTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVREKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLVTSLSHIEAKKRFEFLEAVSSTMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRSSNGLGDVHNGDGIQTIARSSHKMIEAVMQTASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQINRTPGGCSSHQRSVGNPPEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKMDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLSSQSPEQCLMLSPKSSGHDCSGSESSSFTSLELEPSMNDDLTLEKNTGNGQHDVRGTHHHKTNMKPEKPIDLLRKVDGNSICADCGALEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVITLFQSLGNNFVNNIWEEILPSSRNGESDDKLRAHGLDMARHFTVSKPKHSDPFSAKEKFIHAKYADKEFVQKHSMDEIQLGQQMWDNVTANDKKAIYSLVVRFHANVNLVYGEMSCSSSSTAGKSVVQEQSASPSDGSPVFFDCHSHEKSSPREPLSPASTSSRADDGEERCEGFSLLHLACHVADVGMVELLLQYGANVNAIDSRGRTPLHYCILKGRHVHAKLLLSRGANPQVADQDGRTSLQCAVDGGTSDEEILVLLDDHTR >OB08G28680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16928530:16933479:-1 gene:OB08G28680 transcript:OB08G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thioesterase [Source:UniProtKB/TrEMBL;Acc:J3MUT7] MGGGGGGCSDPVSVELSMGGDYYHSCCGDADLDLRAPEGPKLPYVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRILIKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHETSIGAEELLERTRDQMVSDYVVMFFRFVTSGEIQKRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFIPEANSSEGAAAAEKPYITLLYRPGHYDILYPK >OB08G28690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16934165:16942324:1 gene:OB08G28690 transcript:OB08G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAWWAHGRIRILLPALFLAPALFFLLSPPSSPPFFTAPAAREESRSGSRVIWAQRRVVEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIQQTRGYVEVVKDMPEQIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDSAIEAARGEDRKALTAKGTRVDRLREASDQGHHHRRRKREEEASSPPRHDDEPPPTQRPVGTTATTAGARVSGGGGGGVWRSSVVAEDVELAVIVAALTHVISSTAAEPTTAVPPVTAAQQRAATATMFGQQGMHQRGFPLPSSGSAAATPSPLPEQHQQGAVARPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTLHTAEEAARAYDAAAVRFKGSKAKVNFPDQVFAASRHVQLPQRHHHHLAAAGTAAVAPKEEFPDLSRYAHILQSGDVEYGFHAAGPAGLTPPGQSSSSSSMPPAPPSEDHRGEDLNQEKKPRFGPSNLI >OB08G28700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16941782:16942069:-1 gene:OB08G28700 transcript:OB08G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALRQLDVAGGGEDLVGEVDLGLGALEADGGRVVGAGGFLGGVEGPEPHPRRLHRVPDLRRPLPPRPLPHAAVARPSDRPLLVLLRQRRRRRCC >OB08G28710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16947181:16950087:1 gene:OB08G28710 transcript:OB08G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLSFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCKEKVEKDLTLSPEKAAEFKHVLAVLDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFAHVAGLEFLMFPRELQLSGESAKLVKLGEAVSKGIVNNETLGYFIGRVYLFLTRLGIDKTRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALESMSEKEAMDMRAALESKGETNFQVCTLGKEVVITKKMVSISMEKKMEHQRVFTPSVIEPSFGIGRIIYCLVEHSFYTRPSLSIPSTHDLANRKMNNSMSSGSLLLLLLSNALCSPW >OB08G28720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16950948:16951322:-1 gene:OB08G28720 transcript:OB08G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATRKMVNGSLSCAFPGSASTRRCMLAAISSASASAHSSSPGRRCTQADISSIAASSSAQHSLTTVLPSSAMDSPPAAGSGRSVGPIAAGQGFWREWRQSAASRQGLKCNAMEGTNAASMLD >OB08G28730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16951057:16952579:1 gene:OB08G28730 transcript:OB08G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDRPEPAAGGESIADEGSTVVKECCADEDAAMDEMSACVQRRPGEEECADAEADEMAASMQRRVDALPGKAHESEPFTIFRFFLKGEDRAADALIDAAWAMQNVYNDLFLLENQLPFFVVERFYDIATGGLGRDYLVTNLLAKYLTMDTPQDAATARPPDGEILHLLHLYYHWFLPPEDRTNDSGGSGTSSKEEDEAWDEWLAKPVHERVPWLMPPATELQDAGVTFRAKRSPRSLVDVTFSRREGALEIPTVGSYTNRAMLANLVAYEQSRGRWELQRVASYVLLMASVADGRRDVELLQRAGVLARGDDETAAFYAHLCPPPESVNNCYDELYRHVREYRGRSWNRHRAVLVHDYFSNPWTSMSAAAAVLLLVLTVVQTVYTVLPYYHPS >OB08G28740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16951344:16952546:-1 gene:OB08G28740 transcript:OB08G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLHDGEDEEEDGGGGGHAGPRVAEVVVHEHGAVAVPAPATVLPDVAVQLVVAVVHRFRRRAQVRVERRRLVVPPGEHPGALQQLHVAPAVGDGRHEEDVARHALQLPPTPALLVGDEVGEHGAVGVAPHGGDLQRALSPAERDVDEAAWRSLGAERHAGVLQLRRRRHQPRHPLVDRLRQPLVPRLVLLLAARAAPAAVVRPVLRRQEPVVVEVQQVEDLPVGRPRRGGVLRGVHGEVLGEEVGDEVVAAEAAGGDVVEALDDEEGELVLEEEEVVVHVLHGPRGVDERVGGAVLALEEELDDEAAVEEEHLGELPRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXS >OB08G28750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16954801:16958949:1 gene:OB08G28750 transcript:OB08G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chitin elicitor receptor kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21630) TAIR;Acc:AT3G21630] MAELFGIGAANYRLLAPYNPNISNLDFINQGDRVNVYFTCGCQSLPAAPSATYLAGAIPFRMSRGQTYDSVAGKYNNLTSTAWLQATNSYPPNNIPDTATINVTVNCSCGDASISPDYGLFLTYPLRDGETLASVAATYGLSSQLDVVRRYNPGMESATGSGLLYIPVKDPSGSYRPLKSSGKGASAGAIAGGVVAGVVVLALAIFLYIILSRRRKAKQATLLPSSEDSQLASAISMDKVTPSTTQANGPSPVAGITVDKSVEFSFEELSNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGTGYEPLSWAARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGGTSMNHGTRVVGTFGYMPPEYARYGDVSPKIDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNSPDPKESLQTLIDPNLGEDYPIDSILKMTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGLVNLMSGR >OB08G28760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16967796:16972871:1 gene:OB08G28760 transcript:OB08G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Stress up-regulated Nod 19 (InterPro:IPR011692 /.../ 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G61820) TAIR;Acc:AT5G61820] MRMMIFFVLLIALLSAIAPLPLEALNVRGHLLKSKTFLSPPFFLRPGSVSNKFYYDVPFPRGHLAFKSFDAEVVDENGAPVPLHETYLHHWVVEPYYALKKGGGADAQGLPKRIIARNSGVCKNTLGQYYGLGSETRHTATWVPDPYGIEIGNTETAPEGYEERWMINVHAIDTRGAVDKLGCTECKCDLYNTTIDEYGRRLPEGYVGGLYCCYDETQCKVREGFNGELRKLFLRYTVRWLDWSDAIVPVKIYIFDVTDTSPPDERSGTACRVEYQVEECSSENRAKNECIDVKVTKEVLPRGGDIVFGTAHQHSGGLGASLHGQDGRLLCASIPTYGNGEEAGNEENYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAENEQPAPKPALCFSFPVPWCLPTWLTSNL >OB08G28770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16973471:16979202:-1 gene:OB08G28770 transcript:OB08G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERFADLCKSKLGLDESTTRQAMQLFKESKSVLLSSMSSLGSGSPEEIERFWSAFVLYCVSRLGKAGRGKEDGGITLCQILRAFRLNIVDFFKEMPQFCIKVGSVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPAENSAEPNAQQASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLALLIVHVPVRLRNFNIKESSSFAKKSDKGVDLIASLCEKYHTSEDELSKAMERTNTLIVDILKKKPCPVASECQQDSLSFIDPEGLTYFKDLLGEASLKSSLLILEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCASPNGNGYCSLKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITHRAGIILGAIFTSSSFGERICTSLRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEIRSPKRACTERRNVLVDNNSFRSPVKDTLKSKLLPPLQSAFASPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIRGLCERLQLSQQVLERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWASRSRNGKTGEEHVDIITFYNEVFIPTVKPLLVELGSGASPNKKNEEKSAADAGPYPESPRLARFPNLPDMSPKKVSAAHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLSDQNGASAATADIATKTPVKLEQPDC >OB08G28780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16980496:16985585:-1 gene:OB08G28780 transcript:OB08G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSRILNGKTRYFSTLRNLYPENKCVLTIPVSCLSHRHLPILLSRATVSGLLLKLPLVHSALRSLSLGPIPSLSISFLVLLRRFAYMTLDNYSFNIAISAAARLPSVVVGSQFHALSLKLSLASDTFVLNALINMYSSCNYPTAARLVLDSAPQGASDVVSWNTIIAGYLRGGMPSNALQCFHQMTKEQVRLDEVTLLNVLVACARTGTMKFGRLCHALVVLNGFEINCYIGSSLVSMYAKCGLVEDARRVFNGIPERNVVCWTSMIAGYTQSGRFKEAVELFRDMQIAGVKADDATIATVVSSCGQMGALDLGRYLHAFCDGHGLGKELSVKNSLIDMYSKCGDIKKACQIFCGLTKRDVFTWTVMIMGFAMNGLCVEALDLFAQMEGEGEVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSNVYNLAPRIEHYGCMVDLLGRAKLLAEAEQFIKDMHIAPDVVVWRSLLFACRASGQVGLAEYAAERIEQLEPKEHGGHVLLSNVYATTSRWVDVNKVRTGMGNSRMSQIAQVIVDFLEVAVSCVVFLKGFYPPRAFERRRYMNVVVQKSVHPQLAGYIHSATSGLLPFIQKGLVERVLVIFYDKAHVPVEKFVFRLEVNQCYGSKIEEANLEFALRAFLIKLTVAEPLTRPLPSDGSWEVTAYFRSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPLKMQLYLEQPSPTEPKDPPN >OB08G28790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16987088:16987255:-1 gene:OB08G28790 transcript:OB08G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKDLISFSLKGLHRRTLGQMKRMLLCIKIFSATDLQKMNRKSDMYTQFCSLHF >OB08G28800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16988126:16991358:-1 gene:OB08G28800 transcript:OB08G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MUU9] MEGRMQGKAPLSDSNRRIMDADAPPRRVYQTWKGSNVFFFGGRLIFGPDVRSLILTVCLIVIPVILFSAVVCEQLAHEYHNQIGGWVASAAIIFTAYIVVLLLVTSGRDPGIVPRNAHPPEPEDVGESSNLSEWPGGQHGSAGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTVLCIYVFAFCWVDLRKIMDTHGCKFGRAIMRSPIAGILVLYTFIAMWFVGGLTSFHLYLICTNQTTYENFRYRYDRKTNPHNRGLLQNFIEILCSRIPRSKNNFRAKAKEDSAAFTSSLGMGRALSPPKRSVDLEMGMKRQTVAMEELEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEITSDIEAFAEEFGMEQRFTERKKIERHDNP >OB08G28810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:16998593:16999153:1 gene:OB08G28810 transcript:OB08G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRKVAEFLRKAVGALRGKASVLRARLLFLASLRRRTAVVGAISHHLRGALMPGSNSRESADGRGEAPLTAPAGDEADDDDVGLSELASLFEEVDDDDADGRYPDWTHSLFDDDDDGGGGDEDVDEELREEASSSVMEVIRRRREGEGEEFNMEEEIDHAADMFIRRVRSRMAANRRSFKFTRR >OB08G28820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17002446:17002817:1 gene:OB08G28820 transcript:OB08G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLGSVSAVMVMVQGDICINGQCSPLHLEERFDFLTLVRLKFDYLTLWSRPRALTITSFIAATSSAEVGGHHDFAHPGLGSGSVTRSNSLDSEAVSGRVHWPYRLFREGFTPFPWILADGE >OB08G28830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17003925:17013245:-1 gene:OB08G28830 transcript:OB08G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESTVLSLGKSVLNGAVGYAQSAVAEEVALQLGIQRDHVFIRDELEMMRSFLMAADEERDERRVAKTWVKQLRDLAYDVEDCLQDMAVRSSRWRHCSPRALLERRRVAEKMKELRAKVEDVSQRSVRYRLIDASASKVAADAAVHPTVAGAGATTMSETEETRRQQDRAKMELIATTMSETEETRRQQDRAKMELTRLINASDDNPGVIAVWGSSSPSALGYDGSIVRRAYEDLRMNNKFECCAWIKLMSPFNQSEFLYGIIRQFYVNSLQRSAEAKQVAAGLVDQIPRKKGGDALVDAFKGSMNDKGFLIVVITNISTAEEWGEMKKCLPSNDTRSRLVVCTEHIEVARLCAHREGTPPELKKLSDAPVLYAFYGAGGSAFDQNSQGRTNSAGPSSSSHHTTTSGNNSSMDSTKLTDVETMVATLEESQLIGRVKEKSDIMKLITNQASHQRSHVVSIWGMGGLGKTTLVQDIYRSPEIGRIFDKRACITVMRPFNSGKLVESIAKQFGDENEKDLAKLLEGSKRYLVVLDDLWSTKEWDDMMLCLPSPSTVARCIIVTTREENIAMHCSEERNIYKLSGLKHDQARHLFTKKVFKEKTNLDEQYPELVEQANLILKKCDGLPLAIVTIGGFLASQPKTVSIWRKLNEHISADLEMNPKLKMIKAILVRSFDGLPYHLKACFLYLSIFPEDHKISRKRLMQRWVAEGYTWEAHGKPAEETSHDIFKELISRSMILPAQQSIRRGGEIIGYCQLHDLMREISITKSVEENLVFRLEEGCSSRSQGTTRHLAISSNWKGDKHDFESMVDLPRVRSVTVFGRWKPFFISEKMRMLRVLDLEDTTDVAAHHLEHIGKLIHLKYISLRGCFNICHLPDSLCELRQLETLDIRCTRIAILPKTIVKLRKLKYLHASVPIPPRVIVMDLDTSCPTLPRGSRKLKGLHSLRHVHLAWGNVVIKEIEKLTQLRKLGVTGINRKNGPAFCSAISKLGRLESLSVLGSFDLSLRGCLEYRGTSSTSPSPPENLQSLRLIGQLGKLSQWIGKLQNLMKLRLEETALEDADAAIQVLGALPSLAILRLQDGWFKGGVRLNFRQEEATAILFPSLRVLDLHFVPGSRSGLLESVQFGGGATPKLEQLRFTYKPDLCDIGLLSGLEELQSLEEFMLSGTYNSRMHKDRFVEDVQKQLANHPNTIMRDFCRPISKDTYNFNINF >OB08G28840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17018241:17030058:-1 gene:OB08G28840 transcript:OB08G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSLGKFVLGGALGYAQSAVAEEVALQLGIQRDHAFVRDELAMMRSFLMVAHEEEREHNKVVRTWVQQLRDLAYDVEDCLQDMAVRSSRWRKCCSPRALLERRRVAKKMKELRAKVEDVSQRNMRYRLIRASGSGSAAGRQHLAGTTPMPAASVDEARWQQEKASADLVRLISRKDDELRVIAVWGPSDALDKTSIVRRAYGDLKTSGKFDCYACVSLMSHFNQKEFLLSIVSQFIENHIEAAMERQEKQAPPTDVLRNMVMAKEDDIYLVDAFLGYVEEKNYLIVLNDVSTIEEWGRIKPYFPNNKKGSRVIVTTKQVGVATLCVGPESAAPELMHISSDRTLHVFYEKDLPSKLPLWRRFSTGVACIFISNRKTIDKFPKFAFSSFQNPNSQPLAEPNHGKDLLHSGYRSAATFSTLRLAVLRGTKFYTKFLNNSWYLLKDCKITHKTNILLYSVPLSLSTRDGNKDIPIGYGQNVLIPGAQDGTDPTKHGSSSKKEDGAAALNEFELIGRVDEKNDITNLVSKKDIKGRHVISVWGMGGVGKTTLVREVYQSPELSGMFDKRAWVTIMRPFNCSHHLKSLAVQFGDGNKADNLTVLLQGKKYLIVLDDVWFISEWNEIVPHLPETAGSCVIVTTRQQSIAKHCSNKESDDIHILRMLESNHARELFTRKVFKEDNWEEKYPELVGQVEPIIKKCNGLPLAIVTIGGFLANQPKSVLTWRKLNEHISAELEMNPELDTIRTVLLKSYDGLPYHLKSCFLYLCIFPEDHKVSRKRLMQRWIAEGYACEARGKSSIEIAHDNFIELISRSMVLPAAQNSIKLGRGIDYCQLHDLMREISITKSIEENLVLRLEDGCSSNSHGATRHLAISSNWKGDEHEFQSIVDLSRVRSITVFGRWKPFFISEKMRMLRVLDLEDAHGLVEHHLEHIGKLIHLKYLSLSGYGNILHLPDSLGNLRQLETLDIRYTTIAILPRTIVKLRKLKYLHAGASGMSSSKSLAERSLRLLRNGSCLCGACCAPCLLEDLDWYEPYSAGGFSRRDACNYICCVQPHILSMDLDNYYPMLPRGIRKLKGLHTLQHVHLAWGNVATREIERLTQLRRLGVTGINKKNGPAFCSAISKLGRLESLSVLGSYELGLRGCLEYRGTSSTSPSPPENLQSLRLIGQLGKLPQWIGKLQNLMKLRLEETALEDADAAIQVLGALPSLAILRLQDSFKGGVRPNFRQPEATAILFPSLRVLDLYFVGSGSGLIKSVQFGGGAAPKLEVLLYLAHFCDIGLLSGLEELPSLKEFMLDNNEIYTDEFVEDVRKQLANHPNTNKPLLKRFFLLCLHSKRIKIQRFMVKGTVGKVLEWIGKLQNLVKLRLLETSLEDADATIKVLGVLPSLAILHLWGSFKGGVRLNFRQEEATAILFPSLRVLDLYFVPGSRSGLLESVQFGGGATPKLEQLRFTYKPDLCDIGLLSGLEELQSLEEFMLDNNEIYTDEFVEDVRKQLANHPNTNKPLLKRYDR >OB08G28850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17031336:17032142:-1 gene:OB08G28850 transcript:OB08G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MUV4] MRQQQHHDAGDRQGGGGRRGLPEFFGIFTCAHLYERRALLRMAYALQPRPRRAAIDVRFVMCRLDKEEDAVLVALEIITHNDILVLNCTENMNDGKTYEYFSSLPRLFAAGSYDYAGKIDDDTYYRLEALGDTLRRKARRDMGARGLEPRPPPPGRGGHVRHGVHRVVGRGGVDRGVAGAEGGPRRVGGQGVRAVAVEGAEGEEPVRRGAADVRLPGQGDVRRGHLLPARAHGGHGGRAQAQGPPQVGSHARLLQLHRRPQAFQPLPN >OB08G28860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17035419:17041057:-1 gene:OB08G28860 transcript:OB08G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPLPAAEEEGCVARWMRREMLLGLALGQFVSLLITSTGFSSSELPPLAPRWLRREVFVGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALVYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVYVAKRAKSLVVYTVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEVLPFLGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAVGLLIYSYKSSKEAEETAQVAGASDEQGKAGDEEAGVQSSA >OB08G28870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17046369:17050268:1 gene:OB08G28870 transcript:OB08G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43360) TAIR;Acc:AT2G43360] MMLLARSLLRSRLRCPPLAAALSSSAPAASPAAAEAEPERAIRDGARNDWSRPEIQAIYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAAKQAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDGAATAAADTESCEQAASM >OB08G28880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17054048:17060826:1 gene:OB08G28880 transcript:OB08G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDMGEADEEEEEEEEEGEEGGDGSEGGEGDGEGDSDEELVGGDYEGREAEGSDEVVSRREQRYIVLTEKDINERQEEDISKVAAVLSIRREEACVLLHHYKWNISKLSDEWFADEEKVRDIVGLLLNGIDFPNSSKLTCGICFEGYSSDAMSSAGCDHFYCHECWEGYISAAISDGPGCLSLRCPDPSCGAMVLQDMVNKLAKEDDKVRYARFVLRAYVEDSKKTKWCPAPDCTCAVEFVSDGNYDVSCNCKFSFCWNCTEEAHRPVNCETVSKWVLKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETESRRERAKNSLERYMHYYERWASNQTSRQKAQSDLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQAFLPPAVRPENNEPTTPSLADFGEFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNTTTATSKKAGTKGKSKKPLARTSSDNSEEGWPCERCTFINLPSVDACSVCDKHRY >OB08G28890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17061142:17062965:-1 gene:OB08G28890 transcript:OB08G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFKPGDRFTEVVGSAYYMAPEVLRRSYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQSILRGGIDFGREPWPRVSANAKDLVRRMLDPNPSTRLTAKQVLEHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARKMPVEELDKYVQMFHLMDKDKNGHLSLEELMDGLHINGEPVPESEIRMLLDAADTDGNGMLDCDEFVTVSLHLKKMTNDEYLAAAFDHFDKDGSGFIEVEELREELGPNEQAILEIIRDVDTDNDGRISYQEFELMMKAGADWRNGSRRFSRANFSSLSRRLCKESP >OB08G28900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17074993:17077035:1 gene:OB08G28900 transcript:OB08G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRFIFRRAARRRRREASVLRACPPPPRRPADAAPPQSAGKGGKWEAKSKQSNWRGEGRGGGGGGGGGDGGRGPKRKRKEVFIYGNYRNYYGYRIDRNVGEDPRLKIFKREWFKSKDCLDIGCNQGLVTIGLAVKFKCQSILGVDIDSG >OB08G28910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17077484:17079190:1 gene:OB08G28910 transcript:OB08G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDNVAVENTMVHKPADSPSERCPEKVEPETSNGHISNCHHHDIFKVVSFRRENFVESMSKSSEQYDTIICLSVTKWIHLNWGDDGIITLFVKIWSLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFKSVEVVTDKLVGAVTGFDRPIEVYHK >OB08G28920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17079488:17080470:1 gene:OB08G28920 transcript:OB08G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSCNAIYAKRFYERGLSKVARESTDADEVESTSCLLCKHKLLLLVIMVCISVADCQHVHRSALDYFLAVVIVVAVVIAARLLICAVARCLVHNVAGAVQHHHHHHSPTTTDVDDDDVEMWGAGTGPAAMYRHAQQASQQQERRPEPAEPPRAGRAVAE >OB08G28930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17082628:17082858:-1 gene:OB08G28930 transcript:OB08G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRRVLAVLVFVVVAIMAADAARPSPAEERLVDCGDGGYESMVYPAMVEKAKETVELLLARLPAGPSPKGPGH >OB08G28940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17084964:17088002:1 gene:OB08G28940 transcript:OB08G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MUW3] MAAAASSSAAASPFLLTSVHRPFASSKAWPFRNGSAFRSSSRALSSAARPCCCCRCSCEGSGEAGGDGAGVLCSSSTRRSFLGVAVGASALGLGLAALDNAVAAGLPPEEKPKLCDADCENELGNVPMVTTESGLQYKDIRVGEGPSPPIGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIQGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDE >OB08G28950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17089673:17101595:-1 gene:OB08G28950 transcript:OB08G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDTSLMPGAGAGAGGDGGAAAGNDTYSLPQASAGEETSLLAPLPENDFVSTVPYSPAPGLHRRAHSDIVGFAGEGEEDLFSTFSNLAEANNSAFVSPPSLMAMARASGLLPPRPRPRHQNSQSMDASSSLQGLRLLGLPATAPSSTSLLQPQRLLGLPLPATTNQASSSLQGLLGLPATTAQVSSSLHSHRLLGFPATTTQASSPLQGQRLLGSPATATTQASTYLQAQRLLGLSSTSQASSSLEALRLLGLPPTTTHSSLQALRELLGLPAPAPFSSHQPQRLQLAELPATTPSSSHHPERLQHGGLPATAQESSSSHQPRRLLLGEFPATITSSSHQPQRLLLGEFPVTITGSSHQPQRLHLGELPATTPSSSHQPERLHLGGLPAAAQASSSSLRPQGLPQLPGSTATSSSSQPERLQLGPSTAASAGRQSKTAIRKAVRAAEFAEIAKKDPARARRKSLIANVCLPYTYGVLEALVDSDQGIYRRERMLANRESAARSKDRKAQHLVDLEQKAQDIEIDVSALAANVAIWQASKDRLTEEKKDLLVRMENGEKHAQSQHAESESLQLEIQYLRMSLGELPLGPQPVGGDQLMHQQMDWTQQLFQMPPPHQLPQSSRQPLHPLEAQQHQHQQQQQQQQQQQQTYHHQQQQQQQNQAQLNLRMQGPMDASTHGQIDDAREGERRKGLPFTVDSIPVAGQCGWRLEGRRYPHISARRTTTSTTSQSTALMVSSYTVITGSYPTSWAFSSYKYLVLPLGVRKLTLSSDNIPTKDACYMGTRVQKRDIKSGCQLLKVINGADMIQRLQLPYEMAHFPSKYMGLQLDRVHGNKSSEGNYQIKEVFAKWFEAHPALDDYPRTTNGLSKPLTKLGFISFENERYHAYESTTPSALILRLELPYELAYFPCKYLGLLLSVRKLKVDMEPIVDKVPRNPKN >OB08G28960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17109732:17113934:-1 gene:OB08G28960 transcript:OB08G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTNSTFLGSKKAQQSINGSKDSSTLSLEKEQSKLEKLKPLVKNNGTEIKKPQLTKSNSSLSKQALNSIIDKKEVVSSKAKPTNARSTPSSPTSVYSLPASFERFSNDIKQRTKTKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSSTVLSIESGPKALRRSWEGKADAKNKGNSDSKAAKADKKSENRSTSTPRRKPLTEEKPLHKDDSKIQTPPRKSSASAPSDDSDKIVNKPSSPMRRTSGVLSNPNITNLVKIASSNKRLTDSSTSWTALPPSLAKLGKELLKYRDAAQMAAVEAIQEASAAENLLRCLSSYAEVSSTAEEQNPQPAVEQFLALHAAMSRATVVADSLTKAAATSTATSPDRSTVSEAAAVDEESLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPHPASVASPLAVVLVDESVKPAAAKASPPVKSRLSPAKAKGRVGPVSAAVAAPQPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIAKPTEPPPPSDADSDETCGAPAVANGVGSTVPEETIERLRKKIYEYLLTNVDSAASVLGGAGEVAPAANGKRV >OB08G28970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17119913:17120433:1 gene:OB08G28970 transcript:OB08G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPRLTRWRVATVGGGVRLDCVEYDGAPLFFRREDCRRLVPDDDDDARECLEIGGEVFPLMDERMVAVMGKAVRCVEYVEEDGSVVLLTVREGAVAEVEGGEVRVVGGGGWYYDGESGTAQHVVDVQGARAAYVLLVSVREELARIVRIKRLN >OB08G28980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17121085:17121577:1 gene:OB08G28980 transcript:OB08G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPSLSSSSPASPTPTSGTCKRTNNPYCVRTLSADHRNAKATTVRELAGVALDIAAATVRESSIGHRAQQVPVAPGHGPRGDASRVRLDVRARRRRGGAYRDAIRHQLAGGALRRGAVRGDDQPPLVGVSGG >OB08G28990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17124663:17125859:-1 gene:OB08G28990 transcript:OB08G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVHTYNMIGNSIDVANKEMEGLRIGQNQETKVGAEPLVAPVFSLVLGSLTCCKFTFLESLETHEASLKEGKVADCNEAQDGDVEGDYPSQDGAPAAKKKKKKSKSKKKNGPLQQTDPPSIPVDELFPSGEFPEGEIQRYKDE >OB08G29000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17126134:17128017:1 gene:OB08G29000 transcript:OB08G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein [Source:Projected from Arabidopsis thaliana (AT1G80750) TAIR;Acc:AT1G80750] MAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMRTRLKVRKLPPAESLSSKLIFAIRIPGTTDLHPHMRKILRRLRLTQVLTGVFLKASDAIMKRLLVVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKGLGEHGIICLEDLVHEIATVGPHFRQASSFLMPFKLKCPERRLQMKKKPYKDGGDSGNREDKINELIEKLN >OB08G29010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17128961:17132557:1 gene:OB08G29010 transcript:OB08G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVSRVAGKAADALVQRVTRMCGVDDDRRRLERQLLAVQCTLTDAEAKSETKPAVKRWMKDLRAVAYEAGDVLDDFRYEALRGEAQIGGDSAARKVLGYFTPHNPLLFRAVMSRKLDDVLKKITDLVMEMSTFGLVERAEAAPAIHPQTHSGMDSLMEIVGRDDDKEILVDLLLEQRSKNRIEVMPIVGMGGLGKTTLAKMVYSDFRVHQHFELLMWLCVSDDFSVTAIVKSIIESATRDNCTLPDRIELLRGRLHEVVGRKRYLLVLDDVWNEEEQKWEDLRPLLHSAGGPGSAIVVTTRSQRVASIMGTLPAHMLSYLDQDDSWELFRKRAFSKEEEEQPELAEVGKRIVSKCKGLPLALKTMGSLMSSKKQIQEWEAIAASKSWDDVGTTNQILSILKLSYRHLTLEMKLCFVFCAIFPKDYQMERDRLIQLWIANDFIQDQGIMDLEERGRFVFHELMWRSFFQDVKAETFYAGTQQYKSITCSMHDLMHDLAKSVTEECIHIQDLNQQKASMKEVRHLMSSSNLQENIELIEHVERPLHTLLSPYWLPSSSLPRNIKKLNFTSLRALHNASLHISPKVLANIRHLRYLDLSNFRELVILSESICMLYSLQTLRLTQCENLEHLPEGMRFMSKLRHLYLDGCRSLKRMPPRIGMLKNLWTLTKFVVDREDGRGLEELKDLQHLCGRLELFNLNAVQSGLNGRESNLHLNQNVNELLLYWCRDRSECRGHDVVANKKDILEFTLPTSKLESLRVYGSGHIEMSSWMKNPKIFLCLKELSMSDCWRCTDLPPLWQSGSLESLSLSRLDNLTTLSSGVDMVVQGCNGSLEFFPKLKRMSLNYLPNLDRWIDNEVAITTVMFPELKELTIGNCPKLVNIPKAPILRELDINQCKIEVNSLSHLTALSQLKYHGDWCVSTDAQVIPLSCWPSLVTLHLGLLGNLVLPEEKQTMPPLESIRQLWLSYSNCFFSRNSSNWLFGFGDCFAFLEELVIVSCDDLVHWPVKELRGLNSLRHVEFSYCKNLIGSPSSSEESLFPLGLETLHLNFCKNLSEIPKLPASLEILGINECTSLVSLPTNLGDLAKLRYLKLFSCVSLRKLPETMDGLIALQELYVQQCPGVETLPLSLLQRLPHLRKLMTLGSHKLDRRCRRGGEYWEFVSKIPCLNRDFIEAKSNDKGFAKRLVPCCSTLN >OB08G29020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17129608:17129904:-1 gene:OB08G29020 transcript:OB08G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRWLRVVTTIALPGPPAECSNGLRSSHFCSSSFQTSSRTSRYLLRPTTSCRRPRNSSILSGKVQLSLVADSMMDFTIAVTLKSSDTQSHMSSSKC >OB08G29030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17133133:17135901:1 gene:OB08G29030 transcript:OB08G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10460) TAIR;Acc:AT5G10460] MASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPGAVFALERLAEKGAKMVIISNSSRRSSVTMEKLRSLGFDPSCFLGAITSGELTHQYLHKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVASNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYENLGGEVKWMGKPDKVIYASAMSLAGVNAHECIMVGDSLHHDIKGANGSRVESAFITGGIHAAELGLNEIGETAEEDTIDSLCSKHGSYPTYVLPSFTW >OB08G29040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17136884:17138293:1 gene:OB08G29040 transcript:OB08G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAIEVGDHTTHVLLVAYPTQGHINPLLQFGKRLAPRHTACAVNIAYGHAWSGTVKLPVREAPGELPGLPAGLEAGDLPTFMVNPADGHGYQDMLVKQQFDGIGDADDVLVNSFSDLEPPEAEHLASTWGAKTIGPTVPSASLDTRIPDDTSYGCHLHSPSPATTAWLDAHPARSVVYAAFGSVAKASPEQMAEVAEGLLATGRPFLWVAISAGVPMVAVPRWSDQTMNAKYIADIWRVGVRARRSGGGGVVDGLVERGEVERCVREVMEREEYRRNAGKWREMAKDAVSEGGSSDANIAAFVAKYGATAK >OB08G29050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17145616:17145849:-1 gene:OB08G29050 transcript:OB08G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSERWQGKNQSAKVIDSRPSNQPRKRREAKVLPSGNLGPLLALISSSSSSLAPLTIQFGAHFEDTPLLGFSAQLLKD >OB08G29060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17146306:17150124:1 gene:OB08G29060 transcript:OB08G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEKQKSFKMMSMDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQQNNDGETPLYVAAEKGHTEVVREILKVSDVQTAGIKANNSFDAFHIAAKQGHLEVLKELLQTFPALAMTTNSVNATALDTAAHLGHTDIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVKSLLDKDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIDVNAVNRSGHTAFAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFLEDMSQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGHDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIKKASSNQSRSWSQTVDSEPEVLNSKKMYAL >OB08G29070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17151395:17157534:1 gene:OB08G29070 transcript:OB08G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAPDATASSAGRLNAAAPEFTPRSAAAQHQPQHHHANPRRQHRGAYHHHPQQHYQPHHHHQQHPHPHHQHQQHWNHYGEEGGDTAAVGEGGLPEDVARRVVKQVEFYFSDVNLATTEHLMKFMVRDPEGFVPMTVVASFRKIRELVSERSSLAAVLRTSAELVISDDGKKVRRRVPFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGDAAGTSTGKASKIEMLFANKVHAFVEYETVDDAEKAVSEFSSARSWRDGIRVRSLLGCLKQAMGQGRRGADEVDAADEDDPETTGHPQDYEAEDASQISEENQGDDGYHDKGGRHGRGRGRGGRGRGRGQYYGHGRDAGHSVGTPPSNHSALADHPLKPPPGPRMPDGTRGFTMGRGKPLNPTNDA >OB08G29080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17156960:17163399:-1 gene:OB08G29080 transcript:OB08G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGLTGKATDALVRSVTRVCGVDGDRRRRECMLSDAEAKSETNPAVKRWMKDLKAVAYEADDVLDDFRYEALRREAQIGDAAASKVLGFFTPHNTLLFRAAMSRKLSGVLEKMNELVEEMNRFGLVQRAEPPELPYRQTHSAPEEPADILGRDDDKEVVVKLLLEQRDEHRLQVVAVVGMGGLGKTTLAKMVYNDPQVTEHFQLKMWHCVSDNFEPASLLKSVIELAKNGRCEMFDTIELLRRQLEEAIDRKRFLLVLDDVWNEEEKKWEDDLKPLLNSVGGPGSVMVVTTRSQRVASIMGTLGTHELHFLNEDDSWELFSKRAFSRQVQEQVEFVSFGKIIVNKCRGLPLALKTMGGLMSSKHLVSEWEAIAESNIGDRLQGKNDVMDILKLSYRHLSSEMKQCFAFCGVFPKYYEMEKDILIQLWMANGFIQEHGNVDLSHKGELIFYDLVWRSFLEDVKVKKMHWYEYDRDPVICKMHDLMHDLAKDVTNECATTAREFCQEKRSAKDVCHMLPWDISEEMVIELFKGVASLRTLMLPSEFDSDILKKLRPVTIRALQWCPWLIQEKHLSNLLSHVMNAKHLRYLDLTASNIVRLPNSICMLYNLQTLKLNGCASLRKLPEGMRTMRKLIHIYLHRCNSLQQTPPYIGRLNNLRTLTKFFVLTKSGCGIEELKDLRHLANRLEVYGLRKIKCKENAKEACLHQKQNLSELLMYWDSDEFYMPENKASNEEEVLEALAPHGRLKVLKLYGYSGLKIPQWMSDPQMLQCLTTLHISNFSGCKDLSTLWLSNSLEHLCLSRMDNLTTLCKNVGVGAEGYTIPLQVFPKLKFLKLEWLPSLEKWAENVAGEANDLVTIPELERLCIGYCPKLASVPDCPVLKELYAHGSCSLGKPLGSWPSLVKLHVSSLVNTVATLKVDAKQVPLENLRSLRVSGNLFTPTYSFSKMHLELWKCFAFVEDLDIDVCSDLVYWPHEELTNLIHLRYLSIADCNNLEGKGSSSEETMSLPHLEMLCIKSCNSLLEIPSLPASLEDIEISDCPRLVALPSNLGDLAKLESLHLSCCISLKELPDGMYGLTSLEELNIEECPGIEKFPQGLLQRLPTLETLTIEDCPGLERWCREAGMDSIRRISGMGKAKAPSAVQKDTDESVVFFRELFQRDKDWDINLLEAMYSVEFDAIQGRHMSKAPSGKRGFLITVDEKHDYDWLKTPPATPLFPSIEMEANSSRMVFQKELPIPQPAKPSVSRLSGRTEARKTSAKPMFPTTDSSSKNLLRGAAPSISNEKNQPCTIEKRSTYAAIMSRKHKAASAATAPTASTASSKATSKHSDRCYASQGSSTNAPKRVTNPDLPHNAPKNLITAPSTAKTWRRDLALGAPDKVDSGRIRRQSCPAAATRGPKEQIIIDGKQKVKAVKNSKESASNNRGRTGEGTLTLMKEMRRTDRK >OB08G29090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17164156:17169664:-1 gene:OB08G29090 transcript:OB08G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSEDRAMYEDGGGGMDDYCSITIDGSGGLSEDIIQQRLQSIVHQREELQRVEMELRAQVIAHPQIIEAQRSFEAAAKEHVAAAAKLKEQLHEREKYILELEMKLDNKDRELDALKIDHQTVWANQDLLREQTKELASVRRERDNSEAERAQHLKQIHDLQEHLREKESQILALEEQHRAAQDNIIYKDEQLREAHAWVARVQEMDVLQSQTMQSELRERTEQFNQYLISFQQQYVEMQSRLLHTIQQLQLEVTELRERTGVPKDGSQTAQESSAESPFVQNKGNNMAANGNGTTDSSQSLKSNGVPDGSIKGNSNASAVPVVPSSLLGIGGFVPSAQIAGMHSYMMHPQGVPPSLASPNSTVPQFGSFQSQSTIQPNLQWPNQQEAQNTSQIPDETNYQTSPSDQNALQQAATNNDDLSLKQSQATHAEHPTTHGKQQQQHFTSVVSESTHEQKLQVAESNVTRHLVYSEQQNAQDSSSMVSPVRKFEHQEQTNELKDEKVAPGKQPEEQVARHQHKASEFDASTTSQTHLKSGAAEFNSNVVNQTDTLLPRVPKEPSLLDERSLLACIVRAVPAGSDGRIKISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVASHPELFVIEGDFINLREGAQQIISATTAAAKIAAVASSAPYSSLLPSVAVTPVAQNTRQKRGPVVDSRSSNIMPSRNGSTATNFGDQFDKGSNIPKVNEIVGYNIAQGIADVTISNKVKDIQENGFSDEVRPAQSSMHAVSANGVRHERSGLPAGIIRHGYGGKQQGRSTGPAYISRR >OB08G29100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17176231:17176770:-1 gene:OB08G29100 transcript:OB08G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVSPSSCSLPSFSDMACFPVLEFEVCEVPEQWLLGDDAALHDKDARAASHSASSDDVMVDEVPAALQDEGCLRAALSHRLQHETGV >OB08G29110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17180303:17181569:-1 gene:OB08G29110 transcript:OB08G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVKGFYRQRKRPAAGGVAKSTKASKKASKKKPQNCSQSQDCRRLPGDEEEELLRLFDMDMTYGPCIGITRLRRWERAAALGLCPPAHLRDLLLPSPLPANDPPLSSSSSSSSPAKIISTAAGASSASIAVQGECLWAGKVLKN >OB08G29120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17192579:17196148:1 gene:OB08G29120 transcript:OB08G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGMEALNSSEERYEDRDSRASGTRAGSVADSSENEAESHSTSMERKDGGKSRHCRSLSVDSLIGKLNFAGDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGAEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQQQQQSSQTTQQSQQQQPQKSA >OB08G29130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17201499:17208476:1 gene:OB08G29130 transcript:OB08G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEEEAPVLTHEDNQAFLQLLRDNREMLGMGSGKVEVRFEEVSVVADVRAAAHRALPTLLNTAVNAAQDVAAILPVCTKSKGHMKIIDGASGTIRSSRMTLVLGAPGSGKTTFLRALAGKLDPSLKATTFRDKTDLAANYIIKILGLSECADTIIGDDLRRGISGGQKKRATIGEMLVSFSKCFFMDDISTGLDSSTTFDIMKFLQQMTRSLELTMVISLLQPPPETFELFDDVILLCEGQIVYHGPRENVTDFFGGIGFKCPSRKNIADFLQEVTSNMDQKQYWVGNESEYQYHAIEKFVESFHTSFLPQIREGNLQKQESNKIIKTSKHVSVWSIFHACFSRELLLVKRNYPLHMFKAIQIIILAFVTSTLFLRTEENHNSIKGANKYMGALFLAIVVPNFNGMTELGMTIRRMPTFYKQRELLALPGWALLSSIYLINLPLSLVETSLWSCLTYYAIGYAPSPIRFFQQLMVVFSMHQMSMGLYRLLASIGRTQVLSNMLGITVLISIYILGGYVISIDKLQTWLRWGHWASPFTYAQNAISLNEFLDKRWGKEFHYENANTIGEAILKIRGLFIEWQWYWICLSVLFGFSVVFNILTIFALEFLNPPYKQKVSIINSKKVQMDYNNKTSGDSKVSTNQVKFPFQPLSLAFSHINYFVDMPKEMKKYGIHEKRLQLLRDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKISGYPKKQKTFTRVSGYCEQTDIHSPNLTIYESLQYAAWLRLPSHVKSCERAMFIKEVMDLVELTGLMNAMVGLPGATGLSAEQRKRLTIAVELLAGPSIIFMDEPTTGLDARAAAIVMRTVRRTVNTGRTVVCTIHQPSIEIFESFDEVCILILEN >OB08G29140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17231624:17235409:1 gene:OB08G29140 transcript:OB08G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMSMALYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDSLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHYANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQFMRSPHKHQVNINAKKMKVLCNSQIVGNGSASTDQVILPFRPLSLVFDHINYFVDMPKEMVKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVTSHQRNMFIDEVMDLVELTGLKNAMVGVAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYRENRQLIDDLGKPEINTEDLHFPPRYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSNIKDEQDVFNILGIVYGSALFLGFMNCSILQPVVGMERIVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYFTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGREMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFAFLFFLAIKHLKFQRR >OB08G29150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17235309:17247610:-1 gene:OB08G29150 transcript:OB08G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT2G35110) TAIR;Acc:AT2G35110] MAHVSFKSKEADSMSRWSKYLSTEESPPSASVSWRTMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNMHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWIMLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVSLFSDKIPRKMILQVYNLLHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVVWYFQHVGIASSKSSRGRTFDIDAADPTIGFLLDGMGKLCCLVRKYTAAIKGYALSYLSSCARRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKTLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACCFPECASSIIPEEVNKISRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKTVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDNCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPAETQGGGSAIDIISNWYIENFVKDASRAGVIFDATQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGIDRLDKLLREHTSALLNCIDSALRSNRDPLEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPDELPDGNEIIRLRRVASSVGVGDKHDAEWVHSILTELGSANDNSWTLLPYLCAAFMVSNVWSTAVYDVNTGGFGNNLHCLARCVSAVVGGSEYTRMERERRRSSLSNGHMDELQESELLSHVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPHIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMEPSPRQSPLISLAHASPSMKPNRADTTPRSHTFDLGYHSSSGSQYDESYEGDRRTGERQLRSMRRSGPLDYTGSRKVKFVEGSSSASHGGGSLQRFAVSRSGPLSYK >OB08G29160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17254729:17255844:-1 gene:OB08G29160 transcript:OB08G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQDKAEEGEEEGTRPHAQVGAVGGGGGGGAAAAMAVGAIPMNSWLVPKPEPVEFFGGMAVVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLMQQSDPAIIAATGTGTVPAIATTVNGVLRIPTQSSSSSGPASSAVVDGEESSAKRRRKLQPTRAVAGASPLATAAPAAYYPVIGDPLLQGSAGAAISVQSGLAPITAAGAPPRLAPVFAVPPPGGPAVAGGNRMIPQATAVWMVPQPAGAAGAGNQPTQFWAIQSAPQLVNFAGAQFPTAINIADFQQQQQPQHPVSTTIVQHSNSGEHPVHVQFSGADSHEQQRRGRKEGSGVDHPEEDEDDDDDEPVSDSSPEE >OB08G29170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17258134:17262939:1 gene:OB08G29170 transcript:OB08G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3MUY6] MEDRKDVGILAIDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAYCSEVEDVISMSLTVVASLLKNYNIDAKSIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDLEGVDSSNACYGGTAALLNCVNWVESKSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPITVSSILHIISSVDNDAKEKLQPFANLTSEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHDSLGGQRILMFSYGSGLTSTMFSLRLHDGQHPFSLKSIASVLDVTTKLESRHATSPEKFIESLKLMEHRYGAKDFDTSKDTSLLPLGTFYLTKVDSMYRRFYEKKAVEGKIKGCNGIANGH >OB08G29180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17262646:17264424:1 gene:OB08G29180 transcript:OB08G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGSEVICTNGDGGLIRRLHLHRLLVERGVPPALLLDEVGVQELGHERPQPVGVLEVAQEPQRRVHHVDPHLAAAAGVLPLAAAAAHVLEVGGPVGVVPVGEPDVAEEAAGEGDVVAGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGDVGAVGELLHQRRRHLLPLPVVHVERHRRAPLELHAGPVHAHQRLAVLRLRVPQLLHRHHQPVADAPQPVADGDQPQRVRVVQSPALHLHLLLFSWRRRRHRVFVGRRRRIGLASRISGVWPFSSAASISGTFLRLSAMRVPLSGPEKELVRSRSSAGSAKLREWSSSVE >OB08G29190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17262706:17265615:-1 gene:OB08G29190 transcript:OB08G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLINKYLSRSKNPAAGDDGEMPTPPANGAERERDYMCISGCVPVRVKRAPVIATTTITTTARTSRHNFVKSAASGLFPGAQFTNHESLPALEEAYSEFAAAFPQYAGLAQADAIRDGEYQHLDRHVCLDYTGINLFSHAQMNSSVPSTSASPPGYPYLWMSAAQEHGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKASLAALERSVIARSIGVVSIVPARRWSLHDAGYSTELDHSRSFADPAEDLDLTSSFSGPLSGTLIALSLRNVPEMDAAEENGHTPEIREARPIRRRRPTNTRCLRRRQLKRRRWRYDADRAAHLEHMGGGGGKRKDTGGGGEMGIYVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >OB08G29200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17265672:17266567:-1 gene:OB08G29200 transcript:OB08G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGQPLARTAITTSITSRASKQEEEEEEEEEEEEKDQEEEATAAGHEGEAVACGHWAAIRSLSDQFASS >OB08G29210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17273448:17274022:1 gene:OB08G29210 transcript:OB08G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHFVVNEPMVIGHECAGVVEEVGSGVKHLAVGDRVALEPGISCWRCRHCKGGRYNLCEDMKFFATPPVHGSLANQIVHPGDLCFKLPENVSLEEGAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGCRWRRRWARTPP >OB08G29220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17273620:17274417:-1 gene:OB08G29220 transcript:OB08G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMASRPRALTSKASSTSACENPKRCVISGFTSILPARRNSRHSGHVSLYRNIPTTPPAPRIAADVSGTVISLCPIPTRHTFPPARVASSAVDIVLLNPAQSRLTSMSPPIAALILSTSSPTSSALVDTLTAASAPSDVATDSRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMAPSSRLTFSGSLKHRSPGCTICTTTSSSYIKIISFFIESNRNLLACLLAWLARDPWTGGVAKNFMSSQRL >OB08G29230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17274079:17274430:1 gene:OB08G29230 transcript:OB08G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDIDVSLDCAGFSKTMSTALEATRAGGKFLRAGKIDVKPLITHRFGFSQAEVEEAFEVSARGRDAIKVMFNL >OB08G29240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17276934:17277284:-1 gene:OB08G29240 transcript:OB08G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPDVQRAASEAVAEFLRRGAQGRAAASSAPPSEPASSAPPSSSPVTSAPTVVTGAASAAATLDNGMLNGDMFGDMRADLYYASLAQGLLMEPPPPPAGVECCDDEGSGGAEMELWS >OB08G29250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17281182:17281442:-1 gene:OB08G29250 transcript:OB08G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSEPSATSDDDAVTSSSTSDVDVDDEEASPFELDVLSDMGWSLYYASLAEGLLMEPPASGASSDDDDGDGDSCEIADVSLWSY >OB08G29260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17286429:17288051:1 gene:OB08G29260 transcript:OB08G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAATADSSAGDGEPLLKSNMFSLGGSKFFGAINRSINLGGQTALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVASLFRGITSTTDTPQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIVGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWALYEQLQAVI >OB08G29270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17299505:17301049:-1 gene:OB08G29270 transcript:OB08G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MUZ6] MCHCSKVAQCHQPLLPPPEACPALHDRPRSARGGGAIGEVASIVRLAMPMVGAGLLMYMRSMVSMLFLGRLGSLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLTAALRRTGMLLLAASAPISVLWLAMRRVLVATGQDPDIAACAYEFILCSLPDLAVQSFLHPLRVYLRAQSITLPLTYAAAAALVLHVPVNVLLVQSLDLGIRGVALAAVWTNLNFLLFLVAYAYFSGLIHSDGNGEPAACVVTAGEEGGATTMEWRCLVTLSMHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGIMIQTTSLVYIFPHSLSCAVSTRVGHELGAGRPERAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARELTGAVAIAAVVVGDGDVIKSDHAEPAKANADSGFLVVTVLS >OB08G29280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17313165:17313344:1 gene:OB08G29280 transcript:OB08G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCIQLYTNPTSSVLLASPVSLPTALFYEQQQQQLLLLNTTCHADQTELLNKCRDRAF >OB08G29290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17314022:17315052:-1 gene:OB08G29290 transcript:OB08G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKDQKAAAFPAMKKETAAAAVKEEVWEVRPGGMLVQKRSPDSDPPPGGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEEPRHSKAERAAKSISRVALDVDKLASKVSALETIVGKGGRVVDADVVALTEALMNELVKLDYIAADGEVKEQRRVQEKRVQKYVEALDAIRAKTKNAXXXXXXXXXXXXXXXXXXXXXXXATATAPAPQTATANWESFDLLSSVPSTSSAPVTTMAPATTTTTSPSPRFEWELF >OB08G29300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17319943:17320227:1 gene:OB08G29300 transcript:OB08G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKRNAVVAAAAWCVLVVLAVGAEAQGGGGGGECVPQLNRLLACRAYAGPGAGGPSAECCSALSSISQGCACSAISIMNSLPSRCHLAQVNCC >OB08G29310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17320684:17325098:-1 gene:OB08G29310 transcript:OB08G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTRDAIDTFVGITGADEAAAARKLEEHHGDLNEAVNAYFNEGDRASTRANQNPIPAGHDDMMELDEPFGPSPTFHMPSGNPFPVLDQGFLERAAAAVFGGGPQVTYPRGVRRSGRGSIFEDVTGPESSHGPEIRGTVLSDEDDHDYLPSAQDPHLRSNTSSANYSVPSAPPMVAISDGNNDIEEEMVRAAIEASKRDADGLTNGLSGERENASCGRDDDEIARAVSMSLQAAEQESVLRQEGVHVVDHSNLSDKEDVEGATGTVERQGPTSGKVGTSDQLVSEENFQEDIEHDEEQSLVRQRSRRVPPGNAESTEVLQRANSHPSSLPPGNIQNNRQFSGVFPSEEWGGISSEEHDEAVMLEAAMFGGIPERAAYPFSLPSHRNSRRYHSVTHPPSPALTAQRLLREQQDDEYLASLRADREKELKAEQEAEVRRLEAAAEREAAIAKQKQEEEEKRRKQLEEEELESKLTGKQASLPKEPLPGDEGAITVVVRMPDGRRQGRRFLKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRPFSDAESQIPLGDLGFNSKQEALFLEQISG >OB08G29320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17326263:17329272:-1 gene:OB08G29320 transcript:OB08G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3MV01] MASSSSEGKAAAGGGGGPGYQYASYGGYYDEERRWWPWLVPTVLVACIVVFLVEMFVNNCPRHGSPLRGETCVAGFLHQFAFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQAWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYKAYQYVLWIVAIFLLLVGFVIALVMLFKGKNGNDGCHWCHYLNCIPTSRWKCST >OB08G29330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17330324:17332318:-1 gene:OB08G29330 transcript:OB08G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVYDVTNYGAKPSNEDNKDAFLAAWSAACGSAAGNATLLIPNGTFVVGTVKFRGPCESGGAPAVVIDGVLQPPGGVSNDDAWITFAGVNNLLVTGAGTLDGQGGDGTDDDYCYQRKPITTTLKLEGVTNTVVRGLRLVNSRGFHVNFHRSSRHAGGGLHIQAPATSRNTDGVHVGLSDHVTISNTSVATGDDCVSLGPGSTDVVVSGVTCSPGHGISIGSLGKAAGEADVRGVVVKNCTVSATTNGLRVKTWPGSPPSRAYNITFQDIAMADVNNPIIVDQHYCPHGQCSDIAKISDVRYERISGTSSSREAVRLLCSESRPCSRVRLDQINLSCGQTPCGAQMSNVQGMHTSMGHAPSPSPSPAPAAIVHLEQEADDEESSTEQIRGVMLL >OB08G29340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17334714:17335910:-1 gene:OB08G29340 transcript:OB08G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGAAAVAAAAAPGEPSPSPPPPAPAAEAGQQRSVPTPFLTKTYQLVDDPAVDDVISWNDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGERRLLCEIHRRKVTPPAPAATTGAVTVAATIPMALPVTRDGSPVLSGEEQVISSSSSPEPPFLLPQAPSGSGSGCVASGDMGEENDRLRRENAQLARELSQMKKLCNNILLLMSKYASTQQLDAANAAGGNCSGESAEAAATPLPPPAVLDLMPSCAAALAVAATPAPDTDEEAMSAKLFGVSIGRKRMRRDGDDRAAEVKAEPMDGRPHAKEDDHSAETQVWPIYRPRPVYQPIRACNGYDYDDQDGSNSS >OB08G29350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17343013:17345205:-1 gene:OB08G29350 transcript:OB08G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEVKPGDHIYTWRAAFTYSHHGIYVGGSKVVHFTRKKEAGASGLDSAGGGWPRRSPCRASSRRGQQSARPSRTAASSCPTAASSSPASTASSATAPCTASSTASRRRCSSPSSAAGRAPSPPPTRRTPSSAAPCTCSRTASATTTCSRTTARTSRSTARRASPPPRRRAGDRPERPGVVGHRRAAGGPPLHPFQAARGRPARHGRCHRRDVLRRQVHHRHRGEEGRREGGGGEPVGASWLASRQSPRRRRIGHEKTAFPCQGQQEFASSQAKT >OB08G29360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17348753:17351492:1 gene:OB08G29360 transcript:OB08G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAAMGQQAAAAPPLKRARPDYGDVPAGQDMTGYYPRETDRAAGYHALRENEAIGASYDRYLRNGMPSVAASETTRPVVAGMGAMGGMGGYPVDDRRMIGVGMDSRGMGYGARPEPPLPADASSTLYVEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >OB08G29370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17355769:17358539:1 gene:OB08G29370 transcript:OB08G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAASAAAASSTCATLLRRSSPPSRVPANLRGSLPSRSLSSPSSSRAPVSPVYAAASASPDGSRGTAAAARKKLLIFDAEEYLAESLAKYTARLSGESVAERGAFTVALSGGSLIKALRKLAEPPYLEAGGGGKRDWSKWHVLWVDERVVPKDHADSNYKLAMDGLLSKVPIPASQIYGINDALSAEGAADDYETCLKQLVQDGVIAMSQVTGFPKLDLVLLGMGPDGHVASLFPGHPIVNEKQKWVSYIKDSPKPPPERITFTLPLVNSSAHIALVVTGAGKAGAVHKAFSEKKSSSDLLPVEMVSLQEGLITWFTDKPAVSMLSSI >OB08G29380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17359392:17366244:1 gene:OB08G29380 transcript:OB08G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNMATFLLKQPQGGGTKSPWSRRKRKRPLSCRQWNHLFSSNGRLRDGGRKFLKKVRSGGVEPDIRAKVWPFLLGVYDLNSSEAERNVVKTNKRNEYEQLRRRCHHVLNSYKGSGLNVISEVTCEYHSCSEESEQLNLESVSTRASPSPKGLNSLKCGTNRQENATESIDEDTSELTYADPYVAESESSDSGTSDEEDLDKISVSANMEVNSDLDPKFVRSASSKSDFFMNKKTPEDFGTWQRIIRLDAIRTDPEWALLSHNRAEVSKEKALEHARSVGLRDYDHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWAKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >OB08G29390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17374180:17375873:-1 gene:OB08G29390 transcript:OB08G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQECGWLLYISLAAKCGGEPYRVVGFVAVLVVAFAVTSLAHWVAPGGPAWGRYWWNRKGVGIGHAIPGPRGFPVVGSMPLMAGLAHRKLAAAVATARSPAWRRLMAFSVGETRVVVTSDPDVARELLASPAFADRPVKESAYGMLFHRAIGFAPYGTYWRALRRVASTHLFSPRQVAASAAHRAVIARQMVDAMKSAAAGAGGGVVQARQFLKRASLHNVMWSVFGRKYELAAPESEETAELRSMVEEGYDLLGQLNWSDHLPWLAPFDLQKTRSRCSSLVPRVTRFVTRIIDDHRARLTLAADDFTDVLLSLHGNDKLSDADMVAVLWEMIFRGTDTVAVLIEWIMARLVLHQDVQARVHGELDRVVGPDRAVTESDAANLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVAGFFVPSGTTAMVNMWAITHDPTVWDDPTEFKPERFVVRSPDQATEFPITGSDLRLAPFGSGRRSCPGKSLAIATVGFWVATLLHEFEWLPPSDGPRRGVDLSEVLKLSCEMTAPLEARLRPRRAV >OB08G29400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17389096:17394339:-1 gene:OB08G29400 transcript:OB08G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKEHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLESEMKFPGSASCTTHAEALREKDTQIKKLEKQLKELMEERDTVKSQLDCLLKSDGDEHGDGRIAKRWDEHSRSSESFARNVSEEALSVSDTCGIAYQDQDHAVFNGSYVFSVDHDDAVFPVQTVELPEERKDEKFMSPWHPPSQHSSSDCIESCHMTEVASGTASEVSEEHCREVQCIDVHEHRRSPSHEFNLLLSQDTRFQTPELRISKEVVPQPDEDQEIESITKKMEDPIRMHLSKEEQQDKVVTETVEDSSELHQCGSNGSTDNDVKLYTCDSNLSSDIQKPYPHECLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKYTPRRPDKVRRSLYTENDNPSSVDCSALSAEVSFEEVVKDMSTIDEVANYMCSSDAEQEILTSDINCLTKLEKIDNGHEDELDEYQDQQSVRDGSTTLRSVKDVGIDSALSTIDSPSRWPIDFEKMQQEIIQLWHECNVPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSANSAEGELNSAVASSLKNLRRERDTLHKQMLKKLTNGEKERVYARWRIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKMIELLEPAQALKEMFGLNFTLAPRAERRSFGLLGT >OB08G29410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17409571:17409828:1 gene:OB08G29410 transcript:OB08G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLPNGFVMHNNTNKMQGTLQHGGSVVVPCLRIQNLKENFPYPPDRFFCEKNRAFTIEKNIHYRRQCTIYTVLMFKRENVLE >OB08G29420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17424633:17424824:1 gene:OB08G29420 transcript:OB08G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLRFLRKTCLLPAPAGLRFYYSAVIENAPATFSALSFLLLLFFTVSSSQISHISTVYTPTKLL >OB08G29430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17427994:17428965:-1 gene:OB08G29430 transcript:OB08G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATASALPSPDNSSTNLFKQIAVHDDGTITRPFVPVAPPSAAGAVLSRDVPLDASLGTSLRLYLPNVPPPSRLPVILFFHGGGFVLFSSGTVFYHASCEAMAATLPAIVVSLDYRLAPEHRLPAAYDDAVAAVLWLRDAAAGDPWVSAHGDLSRCFVMGSSSGANMAFNAGVRTKDLDLTPATVRGLMLHQPYLGGVERTPSEDRSADDAMLPLEANDKLWSLALPAGADRDHEFCNPAKSMSPEALAGGLPRCLVTGSDGDPLIDRQRELVGWLRGHGVEVVAKTDLAVSHAAELFVPEIADQLFAAARAFVSAGGDVNP >OB08G29440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17434898:17436528:-1 gene:OB08G29440 transcript:OB08G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASAAATLLYAALFAAALLYLAVAMFLTVMNVVTSTLWGSNVGSESERTAVGKEFRDLVADMTEMLGAPNVSDFFPALAPFDLQGIRKKSDQLKDRFDDIFARIIQQRIKSDQTAGGETVADFLEYMLKVEKEGGDGKTSFTMTNVKALLMDMVVGGTETTSNTVEWAMAEMLQNRRTLRKVQEELDAVVGRDGVVEESHLPQLHYLHLVLKETLRLHPALPLMVPHCPSEDATVGGHRVPAGSRVFVNVWAIQRDPAAWKDPEQFIPERFLQAGGGRRLDFTGREQDYMPFGSGRRICAGISMAERMATYSLAMLVQAFDWELPAGERLELAERFSIVMKKATPLVAVPTPRLSKHELYA >OB08G29450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17438955:17439571:-1 gene:OB08G29450 transcript:OB08G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSHAAPARAPDLHKILLAARGEETKEGESDGQREEEEKTGRGCRLSAISVFPRGQRQGCSTASKPPIVSFFREISENAFLQTKIVYAKKMEPLVSVVEIMDDVVVLTMMAASMTPFSKLIGSLAFVLFFSVVAGLVRMRDLKWTFFNEKCFWAVLL >OB08G29460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17439862:17440023:-1 gene:OB08G29460 transcript:OB08G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQTGGISVPDSCIWALMDQPNSTGKGPLLVLVLLWSLTNLLKPQNQIFVFN >OB08G29470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17441693:17441848:1 gene:OB08G29470 transcript:OB08G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNGWLLLLTGGEIPHRQVYQEENSSLHWHLYLDIRVPSHLPLIFLNRSM >OB08G29480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17439782:17445228:-1 gene:OB08G29480 transcript:OB08G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKKRVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTADEEKLVVDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANTKAISQTGTSTQSTVTTESAKSNDMAYPVDPKGGCSRSMSVPTDSLEQSSRNTSSQGLDPLLNWLLETELPADEPWLNFTSSNDDDLCGIVKQSALDGSTTDWLLDYQDFSMGNSSLIDGARIQNSDGSNF >OB08G29490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17451962:17455658:1 gene:OB08G29490 transcript:OB08G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPVAVVLSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIINRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVIVHVKAVPTRDRHEI >OB08G29500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17457087:17460182:1 gene:OB08G29500 transcript:OB08G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKESAADQNPAPSPPAPAPGPDEPSAAPTGRRPFTSLTQEEADLALARLLQEQERAYMMLTGQHGGGGEYAESDAGSYEFDEEGEGLQAEEGSDYEEEDGDGEALDEDDEVGDADADSDSGAAELDPAQYEDDEAFARALQDAEEREVAGRLMALAGLSDCEWRVMNHDDEEEDEDDDEDDNEDEEDGDDPQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDSNMEQCVICRVEFEEGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVPTSQDTGA >OB08G29510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17467071:17468123:-1 gene:OB08G29510 transcript:OB08G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGAGAVVLLVHEHGVYGHLGGDGVLGVLLHRLEGEVGDEEADDIALEEGVLAFGVVGGELGDGPGDHALHLHGRVLEDLGEGGADAGEHHELDALGVTAEEADGERGGLLALGAALLDEAEQRRDAVLLDDEPPVAVIVAREGDDAGGGVGAGLGVAGVEDGDLLPDEEENGLVLRDGREADVVVEVVGVAVVGDAEDPGEVAEGVVQLVGRLLEGAGGDDGEDAADEAVAAAPVEQRGGLVERLAGVFEVAAGGPLVEVGAGGLAEEGGEALDLGLEVEAFLPARLRLLRPLDHLLRQLDRPRQDRLEVVHLRSTAAMAASARAHQRQEEEPPVLLRRRRWWRDR >OB08G29520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17467176:17469165:1 gene:OB08G29520 transcript:OB08G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQLAEQVIKWAEEAQTCRQECLDLKAKVERLATLLRQAARADLYERPARRNLEDTGKALDKAAALLDRCRGHGLVRRVFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYSNADDLDDHIGLPPIAQNEPILFLIWEQIAVLYTGNPEARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSKYAVASKMSIHTVLMDKKNNGASSASASHHDTLEAADQATTMLAAKPTGGAASSSATGAGTSSSGGGGGVGVAGTKQHSASLSGTSTKAREFEDAETKAYLKANAAKALWQLATGNAAVCKNITESRALLCLSVLLEKGVDDVQYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELAQAEILTLLEWASKQAAMVQDPLIDSLLLEAKIRMELYQSRGAKGYY >OB08G29530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17474248:17475715:1 gene:OB08G29530 transcript:OB08G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLLLSMQLLGSPTSLALLLLSFLQGSVGVGAITFTFTNRCADTVWPGVLSGSGTPPLQTTGFALSPGESRSLYAPSGWSGRFWARSGCDFDSSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAVPDCPDTGCLVDLNERCPSELRAEDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPQSTPSSGNSKNGSRRPSHEQLEDAVWLASLKASSGTGTVMAASWPVSIAFQAALTIAVVIMLDLQQHPVLVS >OB08G29540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17478300:17484012:1 gene:OB08G29540 transcript:OB08G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:J3MV23] MERYEKGKNTRKKKLTYPHSHGLAAKNGFVVVVDGRIRRRWPAGGPGVRDAHARLARRPGRVPPGLQAVAPPHVRAGVRAAALPPRRRRLRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRAHGAMHWLRWPDRITGGEDIFAFDMKTDRWRLIGLPPEASEKRWARKKVAAVEGKLCLVVVADEEVDIWVLASYREERWEKKMTASMARLAMEEGNSLILRDLYTSHVALFNSVYAVLWYDFLNGKIAQVPVRYKCIQQVFKFESDLVPLFATRVSKKHGLYGLFLVGSQLRGPFQINLIMGWSMAHGPSTISFRLRRRHLLLLLQERKGEKAEAAAHSQKERAAAAAGGQELAGGDTTPPGSAEMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLETDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >OB08G29550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17488427:17488705:-1 gene:OB08G29550 transcript:OB08G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEIPRCLFWLVWFSFSLHRSLHQCSSSSSRLLLSLLLLLTLLSRAIVQVQLCIYLRMDSSFLTDDTYKEPLVDHSCAVCQPMEVRILKP >OB08G29560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17492947:17494236:-1 gene:OB08G29560 transcript:OB08G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLVSYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTADEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLGRGIDPVTHRPVNAAAAATISFQPQAPPPTSPEKEQLDKPPRCPDLNLDLCISPPSSQEYDDEDDDVKPAKRAPELQPRRGGGLCFGCSIGLQKECNCISRGNSFLGLPPRRRRPLLRLQHRPPEGVQLHQQRQQLPRPQGWHARLQKPPHEIILQIKSPHDFYSSPLIFWVLW >OB08G29570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17498382:17502848:-1 gene:OB08G29570 transcript:OB08G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVDADYMRQVDRARRLLRALISSKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKNPKITYADLYQLAGVVAVEVTGGPTVEFLPGRRDSSVCPREGRLPDAKRGAPHLRDIFYRMGLTDKDIVALSGGHTLGRAHAERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPGFRRYVELYARDEDAFFKDYAESHKKLSELGFTPRSSGPASTKSDLSTGAVLAQSAVGVAVAAAVVIVSYLYEASKKSK >OB08G29580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17513849:17516425:1 gene:OB08G29580 transcript:OB08G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVLNYIFIQQIPTCNGRHCGDTWPGPTDKNKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMYHGGTNLGRTGAAFVMPRYYDEAPLDEFGLYKEPKWGHLKDLHHALRLCKKALLWGNPSSQPLGKLYEARVFEIAEKKVCVAFLSNHNTKEDGTVTFRGQKYFVARRSVSILADCKTVVFSTQHVNSQHNQRTFHFADQTVQNNAWEMFAEGKIPRYKQTTIRTQRPLEQYNLTKDKTDYLWYATSFRLEADDMPFRKDIRPVLEVSSHGHAVAAFVNDVFVGVGHGTKINKAFTMEVVMELKVGVNHIAILSTTLGMMDSGAYLEHRQAGVHTVTIQGLNTGTLDLTTNGWGHLVALNGERRQVYTEQGMGTVAWKPGKSAQSLTWYRRHFDAPSGDDPVVIDLTPMGKGNLYVNGESLGRYWVSYRHALGKPSQYLYNVPRLLLRPTGNVLMLFEEEGGRPDAIMILTVKRDNICTFISEKNPAHVRTWERKDSQLTAVAGDGRPQAVLSCPPKKTIRQIVFASYGNPLGICGNYTFGSCHAPRSKEVVEKACVGKKTCSLTVAHDVYGGGAQRPRTRPGQVLQEASRRRRAIIQPSALLCCSYILLSRSRLRLHPSIHPSDSLSLTFS >OB08G29590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17502956:17519657:-1 gene:OB08G29590 transcript:OB08G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3MV28] MASSAAGSALSFASPVKAANANLISFTSLRKGNAFLRLQPVPMRFAVCYAAKKETVDKVCDIVKKQLAVPEGTEVSGASKFSDLGADSLDTVEIVMGLEEEFGISVEESSAQSIATVEDAATLIEKLVDAKSP >OB08G29600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17522344:17522931:1 gene:OB08G29600 transcript:OB08G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVTGTAGSSGGDDELVLPPAASEDALGLRLPSSRSYSSSGGGAGGAAGRRLAASLEQELLYRAELHQQQVASGGGGGRGGGGAMKNRESAERSRARKQAYLQELEQEVRLLRAENATLRDQCDELKALAEKAETEAPAPAPTKPTCRGRHSRRSDQPVNL >OB08G29610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17526125:17526325:1 gene:OB08G29610 transcript:OB08G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRRVRKKYRLLSELYAATRPVKEKGGGDDGGGKRKKREEEMGMMKTKKNKIKPRPPPPEQQQR >OB08G29620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17529276:17529680:1 gene:OB08G29620 transcript:OB08G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLAQRRRQQEAEADGRRFSLFTALELAAAEHLIRLSESTTSSSGSRPRVGWASASSSFSSSASPRSVNNAPPPRRTGQLQLGDVDDDEDEQEVGGRPRRNRRVRPIAEIYAATAPIGGRKQAKAKAGGASE >OB08G29630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17531513:17535051:-1 gene:OB08G29630 transcript:OB08G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQMPDSEPQPAPPAAPPLSALHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYSRLTSLVPKEDETEMDVDAAAPATQISIKHGLPEIEIYCSLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPISARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDEDDF >OB08G29640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17536298:17539889:-1 gene:OB08G29640 transcript:OB08G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G47530) TAIR;Acc:AT1G47530] MSSSSGSARDHNNGGGGGSPELREALLGAHDDGKGTSGKKDDLEEIRSVGAFMRLAAEENRRLWYLAGPVIFTSLAQYSLGAVTQVLAGHLTTLELDAVSTENMVIAGLAFGIMYGMGSALETLCGQAYGAKQLHMLGVYLQRSWVILTAMSVLLLPIYLFATPILRFFHQDDDIALLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVVAMAVVSALALLFHVALSWLLVVPLGMGLVGLAVALNVSWWLVVLGQLAYIVMGCCPGAWNGFDWLPPPPPLSPPPRLSVGSAIMLCLEFWFYMFLIVIVGNLPNAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAAKFAILVVLMSSVAIGVAFFVAVLLLRDVYGAPFTESPEVVRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLRRGVQGMWGGMLTGVGLQTVILVAITVRTNWSKEASEAHGRGGAGAGRLHQPRLLLLRRHPRRLRHRVPAAARRAGDVGRHAHRSRVADGDPGGHHGEDQLEQGGERGAREDPALGWHGQACRAHLAHLHHPWRSSIASSGCTGCAGAECTN >OB08G29650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17544535:17545541:-1 gene:OB08G29650 transcript:OB08G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDSSVAMWCSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADAPYRESAPTLAEMFDTGIPGAAXXXXXXXXXXXXXXXXXXXXXXCSVCLQDFEAGEEARRLPECGHTFHLQCIDSWLLRHASCPLCRRTVVAAAADDVVG >OB08G29660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17545613:17546234:-1 gene:OB08G29660 transcript:OB08G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDQQFPLSDTHFRPASRPYSPASCSIHGGGSSARHPFVLLSSGALRRTERRRLQVGXXXXXXXXGEGPPPRAGACPACRLVSPARWLAASSPSSSPQVSESISSDLFFSLGFELGWFIVMLDESDRACGLTSLIDRQWARFWGRSPAG >OB08G29670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17558533:17563695:1 gene:OB08G29670 transcript:OB08G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLALSYIPGIALDTLFLVAIQALAVVVLSKFFHLFLRRYNQPSVISQILAGVFVGGMGLRNAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATRRCVAFTYATVAASLLLAAFLSSGMYGSMMHSPVKTPELLAATLMLALTNASSISVTRIASELKLTVTENGRLVVAAAIATNLICVLGDGVLSSTTLAKEKIEGLTQGSPQIKKGFLALAVAGGAVWLVRVPGAGLNKRNVGQHHVGVRDLAMMLLAIWFIGNIPQYLGFDGMPTSLALGMAFPREGPAARSVAAALGPPVKGVMLPFYFATIGMRMNFNSMSGAIIVPGVLMTLLGLFGKAIGAAAVAAYLSLSIPLADALRFSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTLLAGPVVAVVRRKEEEAYRTRHQAIESLGAEQELRMLACAHIAHAAPGILSLVELLVSEPQEQPAVHVLHLFGVSGERVERTPYHQRIREDGGGGRRIGGGGCDAITQMNTIVDLFSRATGIWFRQIDVVSRGAALDAGAVCRSAEDLHACLLLVPCHKEQRYDGKMACRLDERRELNHDEGDVDERFLWRFYERYASRELAMYVEKVVESPADVEETLDGMAGMFSLVIVGRGGRHPPELLAGLDRWADAAGVMGPAAEILASNDSLEMGSVLVMQQHTVVMPHQ >OB08G29680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17564374:17564847:-1 gene:OB08G29680 transcript:OB08G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGAIGRKRVTTASETKELTRSSSSSSCSGSVAGKGNCVVYSCDGRRFEVPLAYLRTPVFAELLRMSQEEFGFTSGCPITLPCDAAVMEYVVCLVRREASEEVEKALLSSIVMPPCSHHHLTPAAGSWMVQPLRQHFAVCSI >OB08G29690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17569357:17579796:1 gene:OB08G29690 transcript:OB08G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMILPDVSRVLACLYEKDIQAETVKASYKDILRLQASRSVPVPFYDGPTFLQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVHEDDDDDINKEKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHIVTSEFAYLYDSRKNVQRWWNTISTRDSWQQVLRDMNSVEEQYQMELEQQEEQWQTQYPQTSVAHTIHLDPRKTTGTESRTVLVPPPSARTISTSSSSQGEQPPPSETTYHDKLSPRKERNFFTNTEETSSTPRSKTSTTQKMPSSTFSTTATPPTTTKTSQSTYTDMSSSKYSSSQTKPSQISSREAPSKSHAADYFRASTHTNEPASLTKSSPREASKTSSKTPDLGTSPRNKEAETSTDPRGSVQPPYVQEHAEQVKKPSADQRADARLLERVASKDVKGEIALPAQPRGIQEVTKDARQADQKRVIAAPPQQQSSDEQNVHKQFTTPPTLEVPDLSTTQPESTEDAHNITSEDDRFATRRLRKMIEESDKAAQAAKSQPTDFQPSKEEETPYSSKKPSDVQDRTIPNDRKTSGSPSTGTRAPNYPTSAAERRVASPPKGGVPHYDLGATRPQKSPYINEQEKTPVVPSQAQPTSLGQASESSKEVSPDDGLDQLSTINQWRQASTPPTKQAAPVAPRNDELVKTTGIDKRTPISTTKQMPRDDRNALAIGQGAARGIANGQSDKNSIMDERAPQITRQAAPSGTQRASASIQEGNNGARGTSDDMFGKTSSPDQSNTPAISKQTTVQQAIPDVRGTRDDDRDMKLPVDEKATTNKQMPISSSQQTIEPIKGTTPTSYDINGDELPRASRTDERPTPSSRVQAPVSDRQDASTALQGGIPDAPRENTLVKPTGMPTSPRRQESTPDTQGRRTADQVPPQASLLSSFTGTRNKENVISEAGQNNKIVPSELPGGRVPKDAEPNAAGPSLMKSQKNMNEAYNIGPSTQQLPNDRYRPQPAEAKQKQGADAAVINEIGKLKKDDMMANPNQSSTGKVQLVSTEETSKLQLQSGQNKPISSKDSKETESYGSSAMSREMLPFVPNKSMRAQQMQGDKSSISQENNVQQGSEATLEGSRTEQPKKRDLLANAGEKIGGTPGEALQMSEEQTSSDVERMKSNRNNSKPDGSTKSASFDGNEGNPPESQRRGSSSNP >OB08G29700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17578814:17579026:1 gene:OB08G29700 transcript:OB08G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVMTHTRWAHIQKLLFQSINEQTKNKDLTNQNQCTPSFNAFTSISSICQHKKCAHRNNRWRATSSEIS >OB08G29710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17584642:17589064:1 gene:OB08G29710 transcript:OB08G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYAQSFFSISYARSQPPPPSVTSYEHRPQTANATLHYYPGDGAAGPPLPGSSYYGGAPQVSACSREAAAPPSPPQVSAWDFFNPFESFESYYQEPPPAPPAYSPSRGSKDNVLEEDDIPELEHDEVDKVAKEASGNGNLHDANCTSAKEERRSGAASAIEEASSSTTSSSMISEVHVVQESTMEEHLRLSDAGDAGPPAIPRKAYNDDVAVVEEMRSQFDHAAKSAFDVSNVLEVGKMPYYQKSSGLKVSSVMICGLTSTGEELLQVEEDKAMECGNLSSTLQKLYMWEKKLLEEVKTEEKMRVLHNRKREELKVLYGRGAEAHKLEATEMHIRKLSTKISIAIQVVDTISKNINKLRDEELWPQTCELIQGLTQMWDAMSKCHQIQSHALSQAKNLDYELAAARFSEAHMDLIKGLELQLLELISSFAAWVNAQKSFVGTLNEWLKKGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWAISMGRISEKEVVATMQALASSVLNLWEKHRLEWRQGMMANKDMDRDLRVMERDELSMRKALDAQCKKLVLVSDQSGISLSAQVVHNCDPTAEVGLQSCMNKVFEAMESFSAACSNAYNDLHLRSKEEKTRLGQDSGRVS >OB08G29720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17590008:17590707:1 gene:OB08G29720 transcript:OB08G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFYCAEQQLCRLRQNAASSSSAAAMAGALESVARVYEHVGSLVRFACADQVEEELEESVALLDACAAARDSLRAMRACALDLEKQLRTLGDTIQLLEDGLELLFRRLVQCRVFLLNMCSS >OB08G29730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17593530:17594234:1 gene:OB08G29730 transcript:OB08G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEGELRNICSDISPSATIGMVSDGLRRLGDVYNCINEIISLHSSQAHGKRLEEEMELSLEVLDLCSAMQEMFADLKMTIQELQMVLNRGDHAVIQVKAQSYIRLVKKAKHHMKKACNKSTSDEDGRLVSLLTTARGITVSVLKSSLELLSKQMNTCNPSKWCLISKSFQKAKVSCEEVQLQALELGIVGLESGAENLFRRLIQTRASLLNILSL >OB08G29740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17595406:17596119:1 gene:OB08G29740 transcript:OB08G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASLPSSPCSNEAKVEQQLQTVSTVISSPSASIDTMCDGLKKLGDIYSCIEELICTPSNQVSLCQRLQRKLVEEELGRSLVLLDLCNSMQESFMELRMSVQEILLAIKRGEDASVQVKAYIRLAMRARKQFKKASKKTTSDKMDCRVVNLLAEAREITISLLESTSYLLSKKIETPKWSLVSRTFQKSKVMCGEEKLQELELTIRDLESGAELLFRRLIQSRVSLLNALSS >OB08G29750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17597082:17597786:1 gene:OB08G29750 transcript:OB08G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSVSLPSKRQSNEAEIEDELQSLEASISSPSMTIDGLKRLGDVYSQIEEIIRLPSNQVFSAQQRKMLDVEMECSLEQIDLCSTMQESFSELKAIIQDMHAILRRGDNANIQAKIQSFTRLAKKAQKQCKKISKKTTPDKEDCKLVKLLIKARVLTVSLLESTSCNLSQQLVVPKMSLVSKAFQKKKIVVCEEEQLQALECIIGDLENGADLLFRRMIQSRVALLNTLSS >OB08G29760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17599350:17599700:1 gene:OB08G29760 transcript:OB08G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSRETNVEEQLQSLKETISSPSSTIRTVNEGLKGLKGIYSCIDEVMCMPSSQSLLCQSQNRKEVEQELERSLILLDLCKAMQENFSELKESIQDMQLAIKRG >OB08G29770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17601336:17601569:-1 gene:OB08G29770 transcript:OB08G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNAWAFVEVGKALMHGVAEIKQDERALELLLHCFPLLFLPKCNLAAWLAHDLINAALDNPNPLQALTHRLNDRRW >OB08G29780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17603240:17603716:1 gene:OB08G29780 transcript:OB08G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCLELLDLCNAMSEFFTELKAIVQDLQVSLRKRDDAVVQAKIQLYIRLVKKAKKYSKKTVKKVVSNKDSNMVKLLSEAREIANSLVESTMHLLSKQIEMPKLTLISKAFQKKNPVICNEEQLQALECCIGDLEAGAGFLFRRLVQIRVTLLNILCS >OB08G29790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17603359:17603703:-1 gene:OB08G29790 transcript:OB08G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVTLIWTNLLNRNPAPASRSPMQHSNACSCSSLQITGFFFWNALEMRVNFGISICFDKRCIVDSTRELAISLASLNSLTMLESLLETTFFTVFLEYFFAFFTKRMYNWILA >OB08G29800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17605284:17605979:1 gene:OB08G29800 transcript:OB08G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHKRSISLPSRPLSKVEEELHSIEACISSPSLTIEEISDGLRRIGDIYSSIEEIMCMPSNQVCSSQQRKLLDGEMEYSLELLDLCNTMNEVFTELKAIIQDLQVSIRKGDDAVVQAKIQLYIRLVKKAKKHSKKTLKKVVSDKMIKMLGEAREITTSLFESTLYLLSKQIAMPKLSLISKAFQKKNLVICNEEELQVLECCIGDLEAGAGLLFRRLVQIRVTLLNILSS >OB08G29810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17607108:17607815:1 gene:OB08G29810 transcript:OB08G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLIIKTVSDGLRRLGDIYSSIEEIMCLPSNQVCSPQQRKLLDREMECSLELLDLCNIMNEVFTELKAIIQDLQVALKKGDDAGVQAKIQSYTCLVKKAKKHSKKTVKKVVSDKEGCRIVKLLSEARECATSLFESTRHLLSKQMEMPKLSLISKALQKKNPVICNEEQLQVLECSIGDLEAGAGLLFRRLVQSRVTLLNILSS >OB08G29820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17607467:17607802:-1 gene:OB08G29820 transcript:OB08G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVTLLWTNLLNRRPAPASRSPMLHSNTCNCSSLQITGFFFWSAFEMRDNFGISICFDKRCLVDSNREVAHSLASLNSLTILQPSLSETTFFTVFLECFFAFFTKQVYD >OB08G29830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17608740:17616353:-1 gene:OB08G29830 transcript:OB08G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGESLDLSQDIWCHIHSLMPLRDAARAACLSRAFLRSWRCRPNLAFTRAAFLWEEHQIDASDIIDRILRNRSVNGVILKVHLGCVALSYLDSLFELAVTPTTEELTVICNAPMNLYSFPCSVISNGIGSSSRYLELGHCAFRPTAELGPLRNLTSLRLSFVHVTGDDLECFLSNSVALEQLNLSNCKEIICLKIPCVLQKLTFLMAFGCWSLQVIEGRTPSHSCLCVNGDVKLSLDALQMKGLIMFHPNVVCYGLLPPMMPNLESLQMSCSDEVNAAMLPTKFLCLKHLTISVTGASLSTPYDYFSLVSFLDASPSLETWVLDIELILDILEITRHLRQMPEHRHYSLKNVNITGFSSAKSLAELTCYVLKNAVSLERLALDTVYGVPRVFRCSEGGRRRCFPMGRNAVREALRAVRTVGTHIQDQFTLPDSLTAATVAVPFQRDIEVELALKTDAALALNTQEPEGFAAAGDPVVIAAASKPNNGIAAAPKPKNDVAAASKPKNVVAKTAKITRAGAAAIVGVVAGRRCSGRPPISLTSSCGAAGCHNPSSPVAGSVGTTAATPPTRNPKLVQLAIFNKKMSTGSGSFNQPLCSKGESSQAQSHQISEPIEIEDDEEPMEEEEEDGPAPGAKRKQTSKVWNEFKRVRKLKTTGNKSLSQSCLRFGSTDSGTISVENYTFDQDVARKELAAMIVLHEYPLSIVDHAGFRRFVSALQPLFKMGTRNTIRKDILVQYQMERKRAIEYMAGIDSRVAITTDLWTSDNQKRGYMAITGHFIDESWNLRNIIMRFIYVPTPHTTEVIAEELYESLVDWNLDEKISTITLDNCTTNDAVIPYLVRKIGKSKLINDGKLLHMRCCAHILNLIVKDGLEHIKTAIENIRESVAYWTATPKRIEKFEEVAKFVKVTLDHKINLDCKTRWNSTFKMLSVAVPYKAVFIRASRVDKNYTCLPSEEEWNFAADVVERLRVFNDITLLFSGTEYVTANIYFIKICEIRKKIRQWSSCGSPKIEEMSLSMIIKFDKYWSDIQGLMGIATLLDPRLKKLSLLMCFEWLTGTTSHICQDKVDETIDLLTELMIEYHVEEECENNSELAAAPIGDMEFLSSFSARVACTRPSAIQFKSELDHYLEDELVSLETKGFKLMRKKNKLPPSGLASKTFKKVSRYGYGVPIPNGDLPMAIPTPENATGECKEGWRRGVCSGDGGGGSPRDSLGWENAASSV >OB08G29840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17622191:17622886:1 gene:OB08G29840 transcript:OB08G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSRVEEELHNLETCVSSPSMTIEMISDVLRRLGDMYTSIEKIMCLPSNQVCSSQQRKLLDRETECSLELLDICNAVSEVFTELKAIIQDLQVSLRKGDDAVFQTKIQSYIRLVKKAKKHFNKTVKKVASDREDCTIVKLLSKAREITTSLLESTMNLLSKQIEMPKLSLISKAFQKKNFVMCNEEQLQVLLHRRSRCWNRISVQEINPEQGYYPEHS >OB08G29850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17623723:17624548:1 gene:OB08G29850 transcript:OB08G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILCINIRPQHKARHIKPKLSSPSHLSDSTERQSLAKDMAFHQRSISLPSRPLSNVGEELHSIEACISSPSLTIETLSDGLRRLGDIYSSIEEIICLPSSQICSSQQRKLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDTVVQAKIQSYIRLVKKAKKNSKKTMKKVVSDKDCRMVKLLSEAREITTALFESTIHLLSKQIVMPKLTLISKAFQKKNSVICNEEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSS >OB08G29860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17625484:17626191:1 gene:OB08G29860 transcript:OB08G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLIIETVSDGLRRLGDIYSSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDLCNIRNEVFTELKAIIQDLQVSLKKGDDAGVQTKIQSYIRLVKKAKKHSKKTTKKVVTDKEDCRIVKLLSEARECATSLFESTMHLLSKQIKMSKLSLISKALQKKNLVICNEEQLQVLECSIGDLEAGAGLLFRRLVQSRVTLLNILSS >OB08G29870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17625843:17626283:-1 gene:OB08G29870 transcript:OB08G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYRWEGSANPLKGGCQSQVLKECQILRSVYELRMFRRVTLLWTNLLNRRPAPASRSPMLHSNTCNCSSLQITRFFFWSAFEMRDNFDILICFDKRCIVDSNREVAHSLASLNSLTILQSSLSVTTFFVVFLECFFAFFTKRMYD >OB08G29880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17630805:17631719:1 gene:OB08G29880 transcript:OB08G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVRRSATFNISKIIAVASIPSIINRDRHSWVYTIEAQFLLLSCISREHRKHQTIIEIMTSIVVRSASAPSSPRSNKINVEEQLQGLRETISSSSATIETMLDGFSRIGAVYNNIEEIICLPSSQVLLFQNQQRTAIEQELEHSLVLLDLCSSIQEILSELKTSIQEMQLVHKRGDGTAVQTKILHFIRLTKKVQKQSKKISKKSASADHESCRVIKLFAEAREVAVSMLEFSSHLLSKKIGTKVSSKWSVVSKAFQKTTIACKEEQLPEMELAIFHLESGVETLFRRLIQTRVSLLNALSL >OB08G29890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17633323:17636394:1 gene:OB08G29890 transcript:OB08G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISFPSRPLSKVEEELNSIEACISSPSLTIETISDGLRGLGDIYRSIEEIMCLPSNQAYSSQHRKLLDGEMECSLGLLDLCNSTNEVFTELKTIIQDLQVSLRKGDDTLVQAKIQSYIRLVKKTKKHSKKTKKVVSDKDCRIVKLLSEAREITTSLFESTMHLLSKQIAAPKLSLISKALQKKNSVICNEEQLQVLECCIRDLEAGAGLLFRRLVQIRVTLLNILSS >OB08G29900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17633670:17634011:-1 gene:OB08G29900 transcript:OB08G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVTLIWTNLLNRSPAPASRSLMQHSNTCNCSSLQITEFFFWSALEIRDNFGAAICFDKRCIVDSNREVVISLASLNSLTILQSLSETTFFVFLECFFVFFTKRMYDWILA >OB08G29910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17635151:17635858:1 gene:OB08G29910 transcript:OB08G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKAEEDLHSIESCISSPSLTIDTTSDGLTRLQNIYDSIEEIMCLPSNQVCSSQQRKLWDEEIECSLELLDLCNAMSEVFTELKDIIQDLQVSLRKGDDAVVQAKIQAYIRLVKKAKKHFKKTVKKAVSDKEDCKIVKLLSKAREITTSLLESTMHLLSKQIVLPKLSLISKAFQKKNSVMCNEEQFKVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSS >OB08G29920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17636802:17637509:1 gene:OB08G29920 transcript:OB08G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPQAKVEEELCILDAIISSPSTTIDTMCEGLRRLAKIYSSIKEIMCLPSNQAFPSQQRKVLDGEMECSLALLDLCNAMHEDFTESKAIIQDLQVALRKGDGPAIQSKIQSYACLLKKAKKHFKKTSKKVSSEKEDCRMARLLREAREISTSLLESTLHLLSKQIEMPKQSLVSKAFNKKKAVVCEEEQLQVLECSIGDLESGAGQLFRKLVQSRVSLLNILSS >OB08G29930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17639815:17640534:1 gene:OB08G29930 transcript:OB08G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSGETDIKEQLQSLKEIICSPSATMETMCNGFRRLTDVYSCMDEIICLPSSQAILCQNQQRRAVEQELERSLILLDLCNAMQESFSDLKAITQEMQLAIKRGDDAAIQSYARLTKKALKQFRKINKKSASEDQECCRVVKLLADAREIALSVLESTLHLLSKQIAMPSSSKWSLVSKAFQKTKVTCKEEQLQALELDIVDLESGAETLFRRLIQSRVSLLNALSL >OB08G29940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17641661:17642395:1 gene:OB08G29940 transcript:OB08G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSGEIDIEEQLQSLKTISSPSATMETMSNGFRRVTDVYNSMDEIICLPSSHANLCQHQHRRAVEQELEQSLTLLDLCTAMQESFSEIKVSTQDMQLAIKRGDDAAVQSKVQSYSRQTKKMQKQFKKISNKAAYSAHQDSCRVVKLLADARQTALSVLESTLHFLSKQIAVPNSSKWSLVSKAFQKTKVTCQEEQLQALELEIADLENEVETLFRRLIQSRVSLLNALACR >OB08G29950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17643549:17644280:1 gene:OB08G29950 transcript:OB08G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCFNETNVEEQLQSLKMTIFSSCSTIEMMSSGLKKLGNIYNCINEIMCLPCSQAQLCQTLQRKEVEQELECSLVLLDLCNTMQENFSRLKAWIQDMQLVVKRGDGAAVQAKIHSYIRLAKRGQKQFKKISKKSSSADQESCRVIRLLAEAREIALAMLESSSHLLLKQVALPSSSKWYLVSKTFQKRRHVCEDEQLQVLELDVIDLESGVENLFKKSIQCRVSILNTLSL >OB08G29960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17645010:17645867:1 gene:OB08G29960 transcript:OB08G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLMQFLCINRPCQRPNTSNQSRGQTHQTKACLSFSFLSHNTTRISSTDMACHQRSISLPSRPHSKVEEGLHSLEACISSPSMTIEILSDGLRRLEDIYGSVEDIMCLPSNQICSSQQRRLLDGEMECSLELLDLCNAMHEVFAELKAIIQDMQVSLRKGDDVVVQAKIQSYIRLVKKAKNHFKKTVKKVTSDKEDCKMVKLLSKAREITTSLLESSMQLLSKQIATPKMSLISKAFQRKISVVCSEEQLQVLECSIGDLEAGAGLLFRRLVQSRVTLLNILSS >OB08G29970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17646928:17650059:-1 gene:OB08G29970 transcript:OB08G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35250) TAIR;Acc:AT4G35250] MEVIKTVVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDTGLDYTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASRKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSAPMNDTYQLLGVESKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >OB08G29980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17651445:17655147:1 gene:OB08G29980 transcript:OB08G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIVYGGLKYQARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPEMYGQSNSPQLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVIQKGSADMLPNLRGGAWDPHNHNSVAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKEHLIATAEDEFGIHLWDLRTLKHPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDLGPESPSGSPAREEEPLLNSYTDYEDSIYEKMKLSIFSIVRAHDTSPLLKLLLVIPYTVFWYMELWPDSTVCSPR >OB08G29990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17656767:17662188:1 gene:OB08G29990 transcript:OB08G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGQSACPRPALLPAAALLLFLLAAVALLYVSPPPLSDHPALASSRGRSPHALVLNNSGGGRTVESEHREISHVPVSISFSEADGLWGSKFASRFYGCSSSSSRFLGSSIITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISYLSKDVKIVKELPQIGGKLRTPHRMRVPRKCTQRCYLNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHALRFTGLIEEMGEKLIQRMRARSKNYIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHATNPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKALFPNLHTKETISSKEELAPFSKFSSRMAALDFMVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLILNRRNVSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICERTDGKTAAKSNSQTEQVLSNDTERGIAIQTEEVSSNDTERGLAIGEPVVSDEEAGESEVEEDASGEREETIDPEADDDALVRLEDPELEGILSD >OB08G30000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17664461:17668652:1 gene:OB08G30000 transcript:OB08G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSCKNLLPCCMGPQPATSPAGTADATTGVRVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDLVWSPLGERTGIFDVIKGTEFRIIYQDENQAEVSFVRTWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQEGWPGFSMGETRVAFKLRKDKFRYMALADDRQRIMPMPEDRVPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFNPAIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLSPKFMNGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSDDFQKTEQRGCVSGRLLVRDRYLDDADLYATAAYVGLALPGDAGSWQRECKGYQFWCRAEDDGSFCIRNIVTGDYNLYAWVPGFIGDYKLDATITISSGVDIYLGDLVYEPPRDGPTMWEIGIPDRSAFEFYVPDPNPNYINRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFFAQVNRRTDQNTYQPTTWQIKFNLDSVVPNSTYKFRVALASSANAELQVRFNDPDRSAPHFTSGLIGRDNGIARHGIHGLYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGN >OB08G30010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17675580:17681679:-1 gene:OB08G30010 transcript:OB08G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAHYFGLSEPAQMQQQQQQQQAHLQSNAAAPPAAATPPPKKKRNQPGNPNPDAEVIALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRMPPMAGTALYGAGSLAAIGLAGMAAPQLQSFQDQTPHSSAITGGNAATQFDHLMAPSSAASPAFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRARGSGRRPPMAGTALYGAGSLAAIGLAGMAAPQLQSFQDQTPHSSAITGGNAATQFDHLMAPSSAASPAFRATQPASSASSPFYLGRGADDGQTHTSLLHGKPGFHGLMQLPEQHQPAGNNGLLNLSYFSGANGGGHQDARLIYPEQFNGAGAGNGRPGSGGQHGNSGANTDSASIFSGNMMGGGFSSLYSSSDQSVAPPQMSATALLQKAAQMGATTSSGGGAGSVNTLLKGLGSGGALNGKAAGFIMSGESSSRSTSQTAENESQLRDLMMNAGTVFVGGGFPGVDDGKLSTRDFLGMNGGAAGLALRHSTAGMGMGSLDQEMKWINW >OB08G30020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17688706:17688975:1 gene:OB08G30020 transcript:OB08G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQLRLRLLLLLALVSTIKMALFWKEWIDGCLDDAACAGHAIFWEQKDNAGGQKENFLTFSRATHTYRGILVLQTDDVPFLLSYVVLL >OB08G30030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17699392:17703072:1 gene:OB08G30030 transcript:OB08G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MV72] MRPPPAMLRGRAAAALALLLLAAVAAAPPAAGFYLPGVAPNDFQKKDPLQVKVNKLTSTKTQLPYSYYSLPFCKPGTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKASIGDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDKDAVVFQGGYHVGVKGQYSGSKDEKYFIHNHLTFLVKYHKDENSDLSRIVGFEVKPFSVNHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVETGKEIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLRTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEPPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACLWFTRLIYSSVKID >OB08G30040.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17705888:17708649:1 gene:OB08G30040 transcript:OB08G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3MV73] MRQPLMLLCAAALAALLAAPPSAALFIHEFDKGDEVLVKVSELTSIKTQIPYSYYSLPFCRPDNLVDKAPTLWQFLHGDRQQMSPYLFEMGVPKKCQIVCKLLVGEKEAKELIEKIEDQYRVNMALDNLPLTIPIRRMVTNGFFYQHGYYIGVIGQYAGEERKRYFIHNHLSFLVKFERAHQSAASRVVAFETKPFSVKHQFEAQWNGVNTRLSTCGANANRFVLTSECPQEIKVGEEIIFTYDVNFEESEIKWASRWDAYLSTTDDQERWFAIVSSLATLIAFSIAMAMTMLRSLHRDIFRHNQLETQSEAQMETGWKLVHGDVFRPPSNPGLLCACAGTGVQLLGTLLIAVALSALGFFSPLNSAGMRNAILLTWILMGLLAGYTSARLYKMFKNGSEWKNVTMATAILFPALAFVIFSVSNTLLQNENSSSTVPSTTMCALILLWSGVAPPLVFVGGYLGSRRPAIEPPVEISKTPRKIPKQPWCTRPAFTIPVGGIFPFAAVFIEFFFGLVFVWFHQFYRGFGFLLITLLLLVVCCAEISIALCYYQLRSGDYRWWWRSFLNPGCSSAVYLFLYAVFFFFTKMAIAKPVPAVLYLGYMLLVSCAFFLLAGTLGFFSCFFFMRFIYSRRAFG >OB08G30050.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17709461:17713612:1 gene:OB08G30050 transcript:OB08G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MVPPAARPPTPTSTPTANPAAPSSSRIDSPSLKAALAMALIHYNRLPSIPADAAASSPQALLHWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPDGDAGEHWVDEVLRRRFLRLVRWKEKRRRLDRSLPTSRLMEYNDEDEIQQLSLSIDFLVELSDGIFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGFVARLMKRMCATPENAGTSRSVNCSDTQLSLQHLLRKLGNEEFVGQRIILGVSQKISNVSEKLLLVDPFDDAFPEMHSNMFIMIQLIELLISDYFNNWLYHHHFDKKLFEEWVRSILKARMDLEALESRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSKLLC >OB08G30060.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17724911:17729945:-1 gene:OB08G30060 transcript:OB08G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTHSGSERPGPMDSQEPRVEVDSKTVLADNPEEQTIPRKDEKAPKPTISNDPNDINLPSEGQAQAGTSNIGGGQNAAYPQTLYSSQAQPFYYQGPGYENPSNEWDGYPPYVSVEGLEAGPPVVYNDDPSMMFHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPVGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPGDSYGRGFSHGGYFPQATNYGAPFPSFGLNGRNSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQAEDGSKDEKPSAGGDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHYPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTAVEPKKPLTVSTDLVGHITKSFVQAVRLGEAKSVSPNSTEKSAIGDSSAASVKPLEVKESSL >OB08G30070.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17732510:17733962:1 gene:OB08G30070 transcript:OB08G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:J3MV76] MAGEEEAAVAWRGDKLILRGLRFHGTHGVKPEEKKLGQKFVVDVDAWMDLAAAGESDDISDTVSYTDIYRIAKSVVEGDSHNLLESVAQSIANLTLLKHPEISAVRVKVEKPHVAVQGVLDGLGVEIFRQRKA >OB08G30080.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17732723:17734615:-1 gene:OB08G30080 transcript:OB08G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQVGGGGGGGVVLQGRRPLAEYAAELEALSESVRAAPPLRLRPLVQACHHALAFFDLLGVEAAFWKTEYTERLVELERASEAVGTAEELVDRDVAAGRVTATGTHSNNLVRVKRGIELKRALFQLMLAQLQRPPAVVSFDGLVSMAYAAVFARYHDKNVQSTVADSICAIPVKSISDFFATINETHESAAAEMHKYIEAANGVISYIDELFASRGLSSAF >OB08G30090.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17739728:17745384:1 gene:OB08G30090 transcript:OB08G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MV78] MVRKNGWQLPAHTFQIIAITVFFLLVVAFYAFFAPFLGKQVLEYVAFGIYTPVAFAVFILYIRCTSINPADPGIMSKFDDGFHNAPANSTALQGTNLPERADIATGTNSPTSTFRSSLDGGSNHGHLSAGDRNINSTSRQPRSPSCSLLGGFICALFVEEDCRKLVDSEHQGDAEDALFCTLCNAEVHKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQHKLGNGLTRPPFATIVAIFTVLSLIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSSWCTPPRIFIDQDEVIPHLEPGMVPSTIDPDTAERANKARKQVKISAWKLAKLDTNEAMKAAARARASSSVLRPVDGRRGHDGELSSSGNGSVRSSMSADHGGAKEQRRVSSLPSSCAQSMASQDEFEAATQSGSSVMSSPVHIHKLAPPHAKISLQPPRPPPMPVVHNAPPPPPPAAATTIPRPPPVPTTTRISNPMFQSATSYVRENRRASVVWDQEAGRYVSVAPAPAPARPGGGGVADQPARAPLFLANPGGEREPANARGRNNPAPTNAAPSSSSSMVPSGQPSEGLTYSGQSIFFGGPRLAAGGGTQPRREEAGTRARAGAGESFPVFAPGTFQNKPPFRR >OB08G30100.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17746293:17753282:1 gene:OB08G30100 transcript:OB08G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisome 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) TAIR;Acc:AT5G08470] MSGGGMEVEVRVVGGARSCFAALPLHLIHALSRSPPSGDLPPVLALDLRAAATGARWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVARSLARADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDGHNIVKFVVTSSSPKKALVQLVPGTEVGVAPKKRKGNSSQDMQKQSALKEEAKIKALLRVQAADRKYVHQFKYKGVKLGVILSYAVLMHPDTAARSSFCNLQLVTISSKSPPKGLTQKGKEVTQKKGILVHKGRVREVVVYVLFSDSVSKGHVMLPHSIRQYISADTHSWVYVKKFSANVKNDQPIMTISPLRFKMHEKVALDGSELGSQEENIWRKTSMPSENGDAFQEVRFGNKDDLLSANFDGTAESISEHNMLIKQWLVGQLKEMRLHAGNSEISPVVLPTKVLLHFEAVDQKKNRGVEFLYLLKVGFENSSHSNLLGNAELAWSTQPDDLENLELNFGRLELGEPESFDSVVDDGFNNGFKLTQSSLGWMENAMSDVKKRLSVLLSSTSLRLFVRLKLPFPGHVLVHGPRGSGKTALVRAAAKYFEEHKEILAHVIYIDCSKLALGKAKETKKTIEDSICEALLHAPSAIIFDDLDNVVSVSSDPQAPQSSSSSDSIVRYLADIMDEYKDKIRNTCGYGPIALMASVQALQSLPQDLTSSGRFDFHIELPVLAIPEREALLKHHVEEHELQCSREVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSNAYLNCAEPTLVKEDFLKAMHDFLPVAMRDLSKYAPDDNEGGWEDVGGLNEAVTIIKETLELPSKYPNIFTKAPVRLRSNILLYGPPGCGKTHTVRAAAAACSLRFISVNGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFESIAPQRGTHSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQLVDAALLRPGRFDRLILCDFPGWHERLEILKVYSRKVSLASDASLEEVASLTEGFTGADLAAILADAGVAAVNEILEEINNGTISDREPCISRELLMSVAMKARPSTSADKRRQYDMEYGEFVSSRKSVSTKARESKGKKVTLA >OB08G30110.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17753684:17755028:1 gene:OB08G30110 transcript:OB08G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPSDCVLLREDYLECLHHSKEFQRRNRIYKEEQRKIRAAARKAKEEAEGAPAVSAHH >OB08G30120.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17755326:17755463:-1 gene:OB08G30120 transcript:OB08G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACNIGLAVLEPSMIGEPADPFATPLQILPEWYFFPVFQILRTESNE >OB08G30130.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17756062:17765824:-1 gene:OB08G30130 transcript:OB08G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRQPRSSSQGMDEFLLEYEEVYTQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKLNDDVKLQPLPHQHDKKQPRELLQVIGKDMRVIMFAFVPKTKQKNQVLDALRKYSKPTHLLDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRVTKVNSNYSLCSTYPSALIVPRSISDEDLFQASSFRSGRRLPVISWCDPGSGAVLARSSQPLVGLMMNFRNNTDEKLVSSLCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHAMRDSLYRLRDYVDAHGSISSNGISSAVPLVGDRRNRGSTWGGGNLNSMTQFSSTLGEWLNHIQSILVGASWIAAQIAQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNGGSQFELLRQPSLGNLSSSPSRGALGSSVSTSNTTSGQSQTSNNCSPILLQWLDCISQLLRLYPSAFEFSCKFLVDFMDCVLSCRFGNFLCNSERERDQSGAASSCHCMWAYLADLRASGGSFHEHCNPFYDPMKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTVAMKAKDAAESRAKDIKTKMESMQLELHREKRASSSALAIAQRSQRESVAIRKAVRSLGCTVNFAMNESQLERAEGLTYSFRRETDTEPQQDKSPDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >OB08G30140.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17772143:17773276:1 gene:OB08G30140 transcript:OB08G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAVAATCMAAAVVAVAVLACTAVPAARAMEFGERDVASEEALWELYERWRGQHRVARDLGEKARRFNVFKENVRLIHEFNRRDEPYKLRLNRFGDMTADEFRRAYAGSRVSHHRMFRGERRTGFMYAGARDVPASVDWRQKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIRTSNLTALSEQQLVDCDTKSGNAGCNGGLMDNAFQYIAKHGGVAASSSYPYRARQSSCKSSAASSPAVTIDGYEDVPANDESALKKAVANQPVSVAIEAGGSHFQFYSEGVFAGKCGTELDHGVTAVGYGTTVDGTKYWIVRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPVKTSPNPAPKNIRQLTDDDDDYKDEL >OB08G30150.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17772463:17772774:-1 gene:OB08G30150 transcript:OB08G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKALSMRPPLQPALPLLVSQSTSCCSDSAVRLLVRMALIPSTAAIVEKAQQLPHWPWSFTAPTAPFCRQSTEAGTSRAPAYMKPVRLSPRNMRWCETREPA >OB08G30160.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17774221:17778564:1 gene:OB08G30160 transcript:OB08G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MV85] MEVLRYIFSCFSSSSKSSSHQLWSSGASDKNKAMVEQLQRYKIIKSSKVAEVMEAIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLQEHLQPGMRALDVGSGTGYLTACFALMVGPHGRVVGVEHIPQLVASSIENIKKSAAAPQLNDGSLSIHIADGREGWPELAPYDAIHVGAAAPHIPKALIEQLKPGGRMVIPVGTTMQDLKVVDKKQDGSVSIRDETAVRYVPLTSKDAQLRG >OB08G30170.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17776606:17779261:-1 gene:OB08G30170 transcript:OB08G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTPVTSLAMAMAAASADASPAGHKLSALPFHHLLFSRAPXXXXXXXXXXXXXXPPPPPLLRAARRLPLAPLVASSDAAAAAEAGVEWSETDTEAEAEAEEGGEVPQEEVEEQPDEEEAVMASGEGEEEEEEAVEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTVEEADKAIEMLNRYDISGRLLNVNRASPRGSRVERPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNAQVVYDRESGRSRGFGFVSMASKEELDDAISALDGQELDGRPLRVNVAAERPPRGF >OB08G30180.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17779744:17780677:-1 gene:OB08G30180 transcript:OB08G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQPNGVAVRATPGKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSITVLQRAVRAWNAADKQHVRFCVNFGDIVDGFCPKDRSLPAVQAVVAEFARFHGPAYHMLGNHCLYNLPRTKLVSLLRMPTAAAAAEARAYYDFSPFPGYRFVVLDAYDFSAGGYGVDGRGVHHRTLEAALECPPGTDAFGRVDVYLDRMALVGTGRMRSTDMPLPSD >OB08G30190.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17782513:17783415:-1 gene:OB08G30190 transcript:OB08G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEATHRRRLVGHPQPPLLLRPRPHHELRPSSSSAAAIAAAEPPVVHVPHHVDHLAVGEHGGAVAAEPPPHPPHLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADRAVAEARQLPHRLRREISCLLMLLCKLPQ >OB08G30200.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17783263:17783436:1 gene:OB08G30200 transcript:OB08G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVHDWWFGRGNGGGGAGAGAQFMVRARAEKEGRLGMADQPPAMGGFFLHVQCYRR >OB08G30210.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17783452:17787259:-1 gene:OB08G30210 transcript:OB08G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tyrosine phosphatases;protein tyrosine phosphatases [Source:Projected from Arabidopsis thaliana (AT3G44620) TAIR;Acc:AT3G44620] MAGAVVAASSTACSSPGPNPTGRDPVRRRVQAPVPRPPLVAAAASRRRHASALLSTVSSGLAQARPRDPFLNPRLRFAAAAAAAEEMAAEASAAGEEAKAKPFSVLFVCLGNICRSPAAEAVFRNLVSKRRLDSKFLIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYGCLSTPLIRVSVHLCFMSRFLMCFCVEQCAEDLLSSFERWRHREPLPDSAPKKVKLICSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDNILAENADISA >OB08G30220.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17787747:17791983:1 gene:OB08G30220 transcript:OB08G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin71 [Source:Projected from Arabidopsis thaliana (AT3G44600) TAIR;Acc:AT3G44600] MATASDAPASSAVTTATDGAEVESGQGNGNGAAPAAGKEAEEGEEMIGPGPLPARPRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHAHDVVISADAKGLLEYWSSSTLKFPEDEVNFRLKTDTNLFEIAKCKTSVSAIEVSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDIPMYRLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESCNFLIYATLLGIKIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLSVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGQNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >OB08G30230.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17794088:17797197:1 gene:OB08G30230 transcript:OB08G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFSYVILGGGVAAGYAAREFANQGIKPGDLAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLSPEWYSDKGIELILSTEIVKADLASKTLTSSAGATFTYETLLIATGSSVIKLTDFGVQGAEANNILYLRDIDDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTAGLAAFYEGYYANKGINIVKGTVAVGFDADANGDVTAVKLKNGNVLEADIVIVGVGGRPLTGLFKGQVEEEKGGIKTDAFFATSVPGVYAIGDVAAFPLKLYNEMRRVEHVDHARKSAEQAVKAIKAKESGESVSEYDYLPYFYSRSFDISWQFYGDNVGENVLFGDNDPTAATPKFGTYWVKDGKVVGVFLEGGSAEENQAIAKVARAQPPVADVEALKKEGLDFAAKI >OB08G30240.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17798619:17803008:1 gene:OB08G30240 transcript:OB08G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTNQLNALVNNMFAMGLLDDQFQQLQILQDSSAPDFVSEVVTLFCDDGERIICEIARQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQKSRDGCLKTLDLVRTEFYDLRSRFQAMLQLEQQIQACYPKH >OB08G30250.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17810583:17811594:1 gene:OB08G30250 transcript:OB08G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3MV94] MADRLDAERIIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDEASAKQRVQSELNLKRFEYS >OB08G30260.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17815497:17818175:1 gene:OB08G30260 transcript:OB08G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPQGVPPCLHCLRGRRPGGFDPGEGVRGRMGAAGGDVPGRGGGAAAGRRRAPPGGRRRQGAEEGHEGARARQGAPLRLVQHLRLHQGHGRDGALQDAARRRGRRRRRRRGGGAAQHHHQRPARPGARVDAGHAHHRHAHHRVREAEPALLPRRPGPGDGRDPGGHGGERDDGGDGGALRRAGQEGRPAVYHVSSSLRNPAAYWVLYEAGRRHFTEKPRTGKRGEVIPTKEMHFFKTIASFQLYMLLKYRLPLELLHLLNLLLCGLFSRLYSDLATKYRYVMHLVDVYGPFAFFKGCFDDINLERLRQRMGRSNSTEDDDMFNFDPKTIDWEDYFYRIHIPGVLKYILK >OB08G30270.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17816964:17821063:-1 gene:OB08G30270 transcript:OB08G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDMVIIDTDPGIDDSMTILMAFRAPSVEIIGLTTIFGNVSTQNATRNALLLCERAGHPDVPVAEGSPEPLKGGEPRVADFVHGSDGLGNLFLPAPTTKKVEESAAEFMVNKVSQFPGEVSVLALGPLTNVALAIKRDSSFASKVKKIVVLGGAFFAAGNVNPAAEANILGDPEAADIVFTSGADIDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCKFYRDWHAKSDGFHGIFLHDPVSFTALIQPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPKVLAFVKKLLLAP >OB08G30280.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17823705:17826134:1 gene:OB08G30280 transcript:OB08G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:J3MV97] MVKSRKRKLKAQMNSSGSPDGGELPAANMSKLQTEALKEAISQVVGDSKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDCMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVSEVPVHQKHHGETVQGVLSWCSTLKLIRSWAIELSLSLH >OB08G30290.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17827587:17830356:1 gene:OB08G30290 transcript:OB08G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:J3MV98] MSKLQTEALKEAISQVVGDSKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDCMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >OB08G30300.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17831048:17833175:-1 gene:OB08G30300 transcript:OB08G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G27480) TAIR;Acc:AT2G27480] MADYNRYGYNSYAYGSTPSAPPASSSSSYGYASPPSAPPMTSSSLSCPCEHGGGGGCPPSPHPPQAXXXXAYPMGMGMGGFVSFPPGTHPDVERAFRAADRDGSGSIDERELQDALSSAYHRFSIRTVRLLVFLFNNPASQSPSRMGPVEFVSLWNCLGQWRGIFDRYDRDRSGKIDKDELREALRSLGYAVPPSVLELLIANYNNGVSSRGALDFDNFVECGMIVKVCQFPSILQPLNYD >OB08G30310.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17836518:17839783:1 gene:OB08G30310 transcript:OB08G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G03880) TAIR;Acc:AT5G03880] MAATALHLPPLLLSRRLRLGLSSSSSPSRTRRTTRLSAQLDDDDAASTSTSTSDKPFAPPAGFKPPEPRRFEVKPGQADNISTASLAIPFRLGTGVFVLGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIGQCPRPEKPIEIYEFEGYLSSSLFLLKHILFRPKIFYFGYSLMLTCSFHSIAGVFCLNFSCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLEMGGKQQFPYMVDPNTGVAMYESDAIVKYLADKYGDGTVPIMLSLGILTTITAGLALSGRRGKGSTYTPAKLPPQPIELWAYEGSPFCKIVRETFVELELPHLLHSCARGSPRRQEFLKKYGTFQAPYIEDPNTGVKMFESADIIDYLRATYAA >OB08G30320.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17840847:17841008:1 gene:OB08G30320 transcript:OB08G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAAVKIAGEAAVLFWSRRFSVRIDSHIVVDPVFLDVIEQQNHSEMQLYLT >OB08G30330.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17841375:17851838:-1 gene:OB08G30330 transcript:OB08G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36200) TAIR;Acc:AT2G36200] MNANKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNSTKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMMYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMIKQKVLRYETDIVDAKCSYDTTNAHEPHLKCQWPSHGTLRQLVNDEEWDRLSGGGRRPQRLFAKRRPFSDDELRSNAPQVVTCNDFQREVAVTQTIAGKQIDRVFTFDKVFGPTARQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPKGQLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNATEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTASLETNQKQINDLQEKYNSELQHSADLCKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDFIISEQKKAENALTQQACLLRSDLEKSNRDNASLYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLASSVDQQNKHLKSVENLCKSCVDSHDMATLEIKKKVLASKALYMSHMEAFQNVVLLHKANANSTLEDISSLSAASCCSLDQLLACVEGEAQKIFSDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQNHSNHTHEEQVKSLEDFQKAYEEQSKSEEQKLLADITNLVSKHITRQRELVGVRLNNMGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQECACTVDTAAQQWKTSHATVNDLCRKQVAEVEALVRSAVETNEQHEAEIASSRATAEEQASNSSKDILQDIDNMLEAARNSSSRVVSTVEAQLVEIQHLQENHSSHTAGINTHADNAFQSSYKDYEPSGETPVRSEPEVPSKVAIESLRAMPIESLMDEFRENHPYESSKEPKPSLIPRSPLATINN >OB08G30340.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17853136:17856144:-1 gene:OB08G30340 transcript:OB08G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase phosphorylation domain (InterPro:IPR019315); Has 8882 Blast hits to 4920 proteins in 346 species: Archae - 10; Bacteria - 184; Metazoa - 3955; Fungi - 1221; Plants - 712; Viruses - 24; Other Eukaryotes - 2776 (sour /.../BI BLink). [Source:Projected from Arabidopsis thaliana (AT3G52220) TAIR;Acc:AT3G52220] MHHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTRDKKSDSEDALKEEIRRVKEEEEQSMREALGLAPKRSSRPQGSRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRKEEESSSFNLDPPEMARAEQFDPPQATKPEREDSEDDRRSKKRREERKGEKERKRERHSEGKERRRDKHERRHESDDRSKRHRKDKQKRRHDSDSG >OB08G30350.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17858897:17862091:-1 gene:OB08G30350 transcript:OB08G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRREREQAEAWPRVRWRWRWRWRVQARLATRRLLSSGGGKVLGEEDKAAENIYNKKMEQEKLEKLARKGANPGEQGSSTPGADVKAEGSSTAGVTTDNSKNYAVIAGAVGVVGAIAWYLLPRPKKSEEVAN >OB08G30360.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17862318:17864651:1 gene:OB08G30360 transcript:OB08G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVAVHSSQQIYTRATNT >OB08G30370.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17864066:17864410:1 gene:OB08G30370 transcript:OB08G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSTHVHEQCVLLVVPGCPQQYHICLSLLKVVVGPGSTEEIAPCSYFHCKKHFYQSTGNVMNISPVAYLHCRANPICTLEAERVDVGHVQNRGLFSCITNYIMFFLVLVNCPE >OB08G30380.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17867602:17875786:1 gene:OB08G30380 transcript:OB08G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11540) TAIR;Acc:AT3G11540] MGRPGMDSTEGRESNGVVAELNGGAVPAKHQSDVKDTLRYAGILRSRNKFAEALQLYNNVLEKDGADVEALIGKGICLQAQSQPMQAIECFSQVVKIDPANACALTHCGMIYKDEGHLVEAAEAYQKARSADPSYKPAAEFLAIVLTDLGTSLKLAGNTEDGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAASTMIQKAILANPTYAEAYNNLGVLYRDAGSITLAVQAYERCLHIDPDSRNAGQNRLLALNYIDEGSDDKLYQAHREWGKRFLKLYPQYTTWDNSKVADRPLVIGYVSPDYFTHSVSYFIEAPLTHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPNTVQKHVEELVRLPESFLCYSPSPEAGPVCPTPAILNGFITFGSFNNLAKITPKVLQVWAKILCAVPNSRLVVKCKPFCCDNIRQKFLSALQDLGLEPLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKSEDEYVSLALDLAADVTALQELRMSLRGLMLKSPVCDGENFTGGLESAYRNMWRRYCDGDAPALRRLELLPEEPCSNNNKQDFGDNQAADLADLKTQRVDSAVDRDNQPVLAANAAVGEVQQAQIMMNGVSSPVSSSGRAEANGHISR >OB08G30390.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17876825:17879173:-1 gene:OB08G30390 transcript:OB08G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3MVA8] MWGSADGGTPEVTLETSMGAFTIEMYYKHAPKTCRNFVELARRGYYDGVIFHRIIKDFIVQGGDPTGTGRGGESIYGSKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >OB08G30400.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17879455:17881210:-1 gene:OB08G30400 transcript:OB08G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPRSSTDRIVRRTAMIGAATAAYFLLTADYGPDYPNPIRKAIEASPQYVKDLSIFQYGTRKKNQQDSSEAKE >OB08G30410.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17882307:17886753:1 gene:OB08G30410 transcript:OB08G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydratase family [Source:Projected from Arabidopsis thaliana (AT3G23940) TAIR;Acc:AT3G23940] MQSLALTSPSLPAPASTSGRRHRLARVRATAVSDGPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISEEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQELLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMIAAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPVGLVQNGDVITIDVAKRVIDVELTEAQLEERRRKWTPPPHKATRGALWKYIKLVSPASRGCVTDE >OB08G30420.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17887227:17888267:1 gene:OB08G30420 transcript:OB08G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPSEKKPPPAGAEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRAAPTDPVERRLLGKVNAQKRKATEEEKTTAQEANEDSDDDSGETESRTSAFSKKRTAPSPTAMPLGKKTK >OB08G30430.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17888778:17890685:-1 gene:OB08G30430 transcript:OB08G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPKVTMHKITSSLHSVHRILDSILDRASDDKLDRLYESLNSDPRGGQKILASEAHDIHELAKEVQFKFSGYMEMQRKEKNRFESTISTLMKENQDIRSMLKIAVTEKEAAENNLCVLKEDRDQGRSAILQIAEKGLQKVGFGFIMEVISGETEREDMSSSATNTSSTGTESKQEEVVSLASLVGQTMENMHNEIKDLRHALHKSRSEWDHLQLLADEQSQKVVKQEAHIKDLEEREIFLVHSVEELTVGLKEVEQEAARWREACELEVEAGKVAIKELNQKIALLTEELRRVEADLEAANSKLKLKEKLAASAMAAQAAADACLKLADSRSAGLQLRIEELTRQIEQEDEHARKERGNAYRRTRYACWPWQPLQVISASSGARKWFVDQNGRLPPGTEALLQIRI >OB08G30440.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17893403:17893615:1 gene:OB08G30440 transcript:OB08G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFLDSSLWCFLGFTYGVVVIGSFCLADARVSTCMLSDYLFEKLIERFIFQVHPHILLENFKLTCWGP >OB08G30450.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17894042:17900261:1 gene:OB08G30450 transcript:OB08G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPVPSKADAPSRPDHYPRPPSLAISGESKALCKRAESCKLKSEALSINPALLPTLEDLLIEIYATLRPKPDDYEQRHLMIGVFNKIAEEIFGKRKGFPVVEAFGSFTMDLFTPKSDLDLSINFNADFDSQFARKDKISVIRKLAKVLHAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGMSRSVIFKLISSIDERLQILCYLMKFWAKAHDVNCPRDRTMSSMTIISLVAFHLQTRRPPLLPAFSALLKDGSDFTSIQKNVSLFEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWIFKTWERGIGNLSVEDFLDRSQNFARAVGKMEMQRICECIRVAVLNLNNFFRGKIDAPKLKSLLFEPLHQDKLSRTYGPKRAIKRKINSTYGPENNTKQQKKAKHVGPENNQKQQPKVKHTVNSGPSVSRSATDLHRPTTLVPHIPQVLPIQPISQLAHVPQLLVDPRLAYGLPPQHHLHSDPLYSQGLLGQQQGHFINLNPGIQLQQQAQHVFVPLLAQQPAMNTFHPYDFQLAQQIQHNEHIMRQMMPYGTYPYYRR >OB08G30460.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17910435:17912166:1 gene:OB08G30460 transcript:OB08G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDLVNAGEQQQAQAGAGKRAAAGEEVDDTREYYCRRGVRHLCDSGITRLPGNYVLPASDRPAPVAAVGSVVKLPVVDLSRLRIPSERAAVLRTLDAACREYGFFQVVNHGVGDEVVGGMLDVARRFFELPQAERERYMSPDVRAPVRYGTSFNQVKDAVLCWRDFLKLACMPLSTVSQYWPTSPADLREVASAYAEANQRALGVGVASGSGSGAAVMEDLAAGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDQVAGLQVMHAGDWLTVDPLPGSFVVNVGDHLEIFSNGRYRSVLHRVRVNSRRLRVSVASFHSVAAERVVAPAPELIDERHPRRYMDTDLATFVAYLASAAGNHKSFLHSRSLY >OB08G30470.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17917137:17918397:-1 gene:OB08G30470 transcript:OB08G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWLETLLSTRFFLACAAHPASPRNECNMFCLDCPRAPPSPAFCYYCRSHRHQAHRVIQIRRSSYHDVVRVSEVEDVLDISGVQTYVINSAKVLFLNERPQPRGAGAAAGKAAASPYNCKICARALLDPFRFCSLGCKLVDTKTGGRGGATAAAGDAGGVGNDEAGGSKSVGGAARPQGRRRKGIPQRAPFVAVSPHHHYHYRTEKMKKNKKKRQHKKENQKQPNPTTGAAANALRNLYCQTTRNILHTTTPTVK >OB08G30480.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17917202:17917723:1 gene:OB08G30480 transcript:OB08G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLPRWLGWVAFGFLFCVDASSCSSSSSPCGNGNGGEVRQQLQLAISGSNAGSRIPYFWRAPFLPSLLGIARERCFLSFFLSCLLFCNGDDDSRTEGRALGDPLAPPPLRPRRAADALAPAGLVIADAARVAGRRGGPTAPARLGVHQLRKTRRKQIRRDPTEHNSKQQHG >OB08G30490.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17930375:17935151:1 gene:OB08G30490 transcript:OB08G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3MVB8] MESVLLAFLLLLVYCTTALPSAAAAGTPDGSEEWGYVQVRPKAHMFWWLYRSPHRVNNGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTDLKPRASTWLAKADLLFVDNPVGTGFSYVEGGGLLARTDAEAARDLTTLLCHLNARLQGRPLYIVAESYGGKFAVTTALAALNAIHAGRLAANLAGVALGNSWISPVDSVLSWGPLLYQVSRVDENGLALCDRVAKQISVQVKSMQFLEAESSWQGLENLLLQESNSVDFYNFLKDDSSEDALAMKKQRSTLASVGRSRRRYSSYLSSKAGGFHFQGLMNTIIRDKLRIIPQNLTWREQSDDVFEALAGDFMIPRIHEVDQLLNLGINVTIYSGQLDLICATKGTLDWVQKLKWDGLKNFTSSPRVPLYCNGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLADITQSPA >OB08G30500.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17935555:17937328:-1 gene:OB08G30500 transcript:OB08G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLPPLDPPRTSTKKNKKPSSQHRLAAVMDAVTDRKLPPELRGRANAVRAETDIINVVEQKIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRLALRKAWANHSEDDHSTWHDDCRLLQEQIRQINDKVFRYNLIVPFGRQMFGLNWGKELDKLKLK >OB08G30510.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17937907:17938152:1 gene:OB08G30510 transcript:OB08G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSADMERIFKRFDSNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVF >OB08G30520.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17947103:17949223:1 gene:OB08G30520 transcript:OB08G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MVC1] MAEADARRVCGLPERVQLHGAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALILLVPFAYFLEKKDRPQLTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLDRRDGVAKAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWAFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIIAGLYLVLWGKNQERARIARDLLASSSSSTSSSAIAADRIAAKHASSATQPLLLPTSDNAV >OB08G30530.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17950986:17954131:-1 gene:OB08G30530 transcript:OB08G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGGTRTRRRAATASPGRNKVWVEPPGKSHHQPPPRSPPPPLSPSSKRVAVVYYLCRNRHLEHPHFIEVPLASPEGLYLRDVINRLNVLRGKGMAAMYSWSCKSRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHLPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNLSPEPAGRVAPPLSEASSPGPSEYRVCKPIGAQDASTQTDDSERDVPERNTRMTGVCTEDGTSDAEIQECHQRSTQPSPKGPGVVRESPLVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPMALRHLDNVPCNARTIGMRIPESEYFSGSLVETKRQEESGKVGTPTLKRSSSYDEDRVCRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSSSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEESLLLELGL >OB08G30540.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17954813:17954971:1 gene:OB08G30540 transcript:OB08G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLGSNYNPNTGLTVTTASITVAVAPHHQGVGLVGNGTAVCLGFIATHK >OB08G30550.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17958600:17961751:1 gene:OB08G30550 transcript:OB08G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:J3MVC4] MAAQTLLFSATALFQSPSSARPFHPLRLVAGPGGAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQEDEGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDSQILLTGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >OB08G30560.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17961659:17961964:-1 gene:OB08G30560 transcript:OB08G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGAAVEQRRAHRHRLHAVPLLGRVLVPARPVQRAGPVAPAVERRVRRLPWPRRELPVVPVHLRAAGRLGHQRNHHQPQPQPPHHRLLYIYLLRLLLFFTC >OB08G30570.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17961713:17961928:1 gene:OB08G30570 transcript:OB08G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLGLGLVVVALVAEAAGGAKVHRNHGKFTAGPWKPAHATFYGGRDGSGTLDGACGYKDTSKEGYGVQTVA >OB08G30580.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17963861:17970925:1 gene:OB08G30580 transcript:OB08G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MAGAAFDLSSPYSSSPAPLSSRRATSLLLLRRPHRPTFRCSLDAAPKQAQGPPAAVAAEEAPATRKECYGVFCTTYDLKADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGQNQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEEEFTKTVQKRGGVLIEKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELAKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >OB08G30590.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17966334:17971741:-1 gene:OB08G30590 transcript:OB08G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQPSSSSSSAAAPAPAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAAGYWKTTGKDREVRHGPRTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDAGDPDPPASTAIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDEDDVGLLPVEEEKDKENSGDQELPGAMEKGYLQMSDLVQNLGDRNENGTIALPVSDNSNNSNNSEDVDGNPGDILNDQNLGSNFLQYVDPVEQNGFVLNENMLSNASVGDLFNRTSPNDGFLELKDFADIANLENPSGNESTIWPSDGWPWKSLDSVEAVNGAGSEFSAFAGEQTFQPEELEQLLQSLQEDSNMGSAISDPPHSFITNLPKPEDDSLMFYDAPFDSTICDDSFRQLNGFLGSPSTILSGIDMVDDGMMYYDAIDDNLFNDLLGSVQPSAGSSSHAFSGPVLTQEVNNTTCTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNYVVLPDSQTKSSSMGKRFVKILDSISAPPAFAAAEYPASLCKSLAPISGAHHNTIRMSAEVISIGSLTPASRDKWSLEKDEGMELLFSAGFEPDTRVHCGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >OB08G30600.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17977931:17978428:-1 gene:OB08G30600 transcript:OB08G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREKSDNRTVGLKNINGRGLSEKRLFQKPHLTASPVLLPDAASAHADATAPASRRLARHLQPLSRPPPSHSLPNPRPLASCPSRRARCRRRRRLSPKPPDPASKSSTRRILPPAAPSSLCEPPRRWQRKPPYAATLLKPSKVFAASSLYSCGSYALSLSVYGG >OB08G30610.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17981061:17982071:-1 gene:OB08G30610 transcript:OB08G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAASMAWTARAVFMADQPHCHALLYNLSVLKDRVQQLHPLVVLAVGHNGPLDVSGADAIIQEIVAAASSMMYAFQLLCDLGTAPSGPPQEGAAVKTNDDHHGGQMVTDQDDHLMQWQQNGSQHDYSSHAHAPPVMFSGGETAATDTIIELDAAELLAKFTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALSNPAKGGGEEGAAARKYSCPQEGCRWNRRHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLVGHLALFAGHQPVMPLDAKRQRSSASVAGNVDGTSAIGMGAAA >OB08G30620.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17986459:17988742:-1 gene:OB08G30620 transcript:OB08G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G23940) TAIR;Acc:AT5G23940] MAAVDNGAAVVTVTGSRNVAPGAAKCVLATFDLPYITFYYNQKLLLYRLPNGASDFPDAVARMSSALSDALAYFYPLAGRIRQDDHDGALSIHGHHGAEVIEATADQVSVDDLAGEGCSEEAEKVMQMLVPYTGVMNLEGLHRPLLAVQLTRLRDGVAVGCAFNHAVLDGTSTWHFMSSWAELCRGAGAPSLLPVHNRAMARSVRVNLPLPPSAEAHEKTDPNGPKKQLVARIFSFPASAVARAKATANAALPPGAKPFSSFQSLGAHIWRAVSRARGLDPSDITVFAVFADCRARLDPPVPPAYFGNLIQAVFTRVPAGMLLGGPTELAAGLLQKAIDEHDARAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDGGIDGELSLRREPMQRLEKDEDFLLMRAA >OB08G30630.1 pep chromosome:Oryza_brachyantha.v1.4b:8:17994673:17995443:-1 gene:OB08G30630 transcript:OB08G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G23950) TAIR;Acc:AT5G23950] MGSRYEVEVTVSSARDLKNVNWRNGDLNPYAVLWIDDGARCSTRVDLDNGDNPDWDDKLTLPLPPSSRLDDAVLYIDVVHANAADGVKPLVGSARLPLRDVLDDAGIGARVSRSLRLKRPSGRPQGRLELRLAVRYYDTPPANYPAPYGQPAGFRDPYAYASGGQGQQYPYEYTAPPAAGYPSSAAYGGPVVVEEEKKKSKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEEGVADDLARDRDDDDDDYNDDF >OB08G30640.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18001212:18006165:-1 gene:OB08G30640 transcript:OB08G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPCQGCGLLNTGFLGVFSSTHYQRLPLPSSTRSSQAKNCCSYRNSRKEVSHWTRPTPSLSLYSARLSSKRTTCSVATEPPPPATEEPAMDLPKEIFLKDYQRPDYLFDVVDLQFQLGENKTIVTSKIAVSPGTEGTSSPLTLHGCDLKLVSIKINGKDIKSEEYTVDSRHLTVSKPPGGKFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMVKYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLECREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRPVKRIADVSKLRTYQFPQDAGPMAHPIRPHSYIKMDNFYTGELLCLFMKRVLKLLECTRPCLELQGFERAWIFTSKDTMGKL >OB08G30650.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18007338:18009591:-1 gene:OB08G30650 transcript:OB08G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3MVD4] MGGFRSVSPPQDDAPAVSSPREVASMFWDETKRLWAIGTPIAISTLASYSISSITTMFIGHLGNLPLAAASIALSVFNSFAFGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIILFGAALLMVPVYLLAEPILLLLGQDPLVARSAARFTLYILPAAFSFAVNFPTGKFLQAQSKVAVLAWIGVAGVAFHVALTYVLVTALGWGLPGAAAAYNVTQWGVALAQAAYIIGWCKDGWRGWSTAAFRELGAFLRLSVESAVMLCLEIWYLGLITVMTGDLEDAQIAVDSLGVCMNFNGWEAMIFIGMNAAISVRVSNELGSGRARAAMHAVVVVVVESLLIGVVCMALVLAFRDKFALIYTDDLDLRRAVSKIAGLLGLTMVMNSVQPVLSGVAIGGGWQGLVAYINLACYYIFGLPLGYLLGYKFNLGAGGIWGGMLCGIGLQTLILLIVVWRTDWKAEAAQASSRVHKWGGADETKPLLQEN >OB08G30660.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18015267:18015473:-1 gene:OB08G30660 transcript:OB08G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASTFANATYERLPLEEQEEEELSQAPGGGRGAGGQTMTMAPPLMAGEPTMTMFSEGLGAWRPPSY >OB08G30670.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18019536:18022005:-1 gene:OB08G30670 transcript:OB08G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISDPTKVQHTSAVHVLHIHSAAVCRDFRSHRTALFDGIEEGAITTSAYSSQIHERQNDQAMDSLHDRVSVLKRLTGDIHEVENHNRMLDRMGNDMDASRGFLSGTVVKFKMVFETKASRRMATMVTSFIVIFFLIYYLTK >OB08G30680.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18022113:18023368:-1 gene:OB08G30680 transcript:OB08G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLMHLPVSDRCEAAVTMSYEAQARLRDPIYGCVSHIFSLQQQVVSLQAQLESLKAQATEGYGDGYSISSPRKDSCGNMFTNLLQDEQLFVNPAMASNSSVKNEKESYFANEQLAPVSGEYSQGFEAELCMSEYSNSNPWCSVQGNEYDGTEDLHSVAFAYLNQA >OB08G30690.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18024252:18024551:1 gene:OB08G30690 transcript:OB08G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLVITCHTNDVYLALSSFLFFTTKFCCRPNKTQLMVLCYYLCHCFLSWFLLFFIGKCFGRKAGFYYYVKPVVIPVTYIFIITYFKKVSCSPKGINK >OB08G30700.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18031615:18032793:1 gene:OB08G30700 transcript:OB08G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGWDDHGKDGGETTKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPNEARNYVRRGAGSSSSSSRQVIELECLDDEVLQEMLKGGDDQHRSPEYLAY >OB08G30710.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18040732:18048238:1 gene:OB08G30710 transcript:OB08G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQVQPVLHALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPAPGTLPPHVSASVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGITLMLMVLCSVASALSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMTGGLVAILVSASFKAAFPAPPYADDPVASTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVANNAKQAAADMSKVLQVEMAAEDNEARSSSRGGFGLFSGEFARRHGLHLLGTSATWFLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAVGFFMMTVFMLGLAVPYQHWTAPGNHVGFVVLYALTFFFANFGPNSTTFIVPAEIFPARLRATEYLRGTTSTIKIGHAEKQCKVILVMCTQVKLKALCAGDDAPGAWEYAGREKLKRDII >OB08G30720.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18049903:18053031:-1 gene:OB08G30720 transcript:OB08G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGGKASSSSSASASSSTAEKSANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLKSRAGLSD >OB08G30730.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18060831:18066132:1 gene:OB08G30730 transcript:OB08G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 [Source:Projected from Arabidopsis thaliana (AT2G36910) TAIR;Acc:AT2G36910] MAMAMEDEIKGRVVVLGADAAADPELEAFHLPSADQPTHLLQHSSPSQSQSQTQSHHAPPPPPPDAPPTRSPPPQMPPTDMPPKPLPPAPLRQLFSFADGLDYLLMAFGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLQAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIALIGGLSAAALAKLSSRSQNALSTASSIAEQALAQIRIVQSFVGEERVLRAYSAALAVAQRIGYRSGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRGHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMIDHKPSIERDGEAGMELEAVTGRLELRDVEFSYPSRPDVAILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPSAGSIQLDGHELRELNLRWLRRQMGLVSQEPALFATSIRENLLLGRDGATQAEMEEAARVANAHSFIVKLPDAYDTQVGERGLQLSGGQKQRIAIARAMLRNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQAGVVSEVGTHDELMARGDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDADFTTLAEGRFEQQQQLAFRVQASSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYAPDAAYMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMLAAVLRNEIAWFDMEENASARIAARLALDAQNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEAKIARLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSKTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMHAVFEAIDRRTEIEPDDVDAAPVPERPRGEVELKHVDFAYPSRPEVQVFRDLSLRARAGRTLALVGASGCGKSSVLALVQRFYEPSSGRVLLDGREVRKFNLHALRRAMALVPQEPFLFAATIHDNIAYGREGATEAEVVEAATAANAHKFISALPEGYATFVGERGVQLSGGQRQRIAIARALVKQAPILLLDEATSALDAESERSVQEALHRAGSGAGRTTIVVAHRLATVRNAHTIAVIDDGKVAEQGSHSHLLNHHPDGCYARMLHLQRLTHDAPGPSSSTHAT >OB08G30740.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18072996:18075015:-1 gene:OB08G30740 transcript:OB08G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLVKADDAVYDELVKLADAGKDADATQLPVDEDLPGMGQFYCLHCDRYFASDSVKEEHYRSKRHKKRIKQMSGPAPHTQLDADLAAGMGMPDNGLKLMSM >OB08G30750.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18093870:18095870:-1 gene:OB08G30750 transcript:OB08G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane kinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G24660) TAIR;Acc:AT3G24660] MRMMVPRCFFLIVVVTLSAGAGVIASPSAASSDVALLLERVKPALQGQGANAQLSTWTASTPLCLWRGLRWSTALAFPRPLPCDSPAARANLSLARDPSLLLLSLRLPAAALAGHRPPALAAFSALVSIYLAHNALTGPIPLDLGNAPALAVLDLASNRLQGALPPSIWNLCDRLTHLRLHDNALTGPIPDPAGPNTTCDALRLLDLAANRLSGAFPSSLAAFRGLQTLDLSANRLSGPIPPGLSPIHALNLSYNNFSGQIPPDLAASAPDSFLGNSPALCGPPLAQQCLAKPSGLSPSGVAAMVIGLMAAAVVLASVSIGWAQGRWRASEEGGTLVEATEDGAGGEGKLVVFQGGEHLTLEEVLNATGQVVNKASYCTVYKAKLAEGGGSIELRLLREGSCKDAESCAPVVRRIGRARHENLVPLRAFYQGRRGEKLLVYDYFPGHRTLHEVLHGEQQGRPALTWARRHKIALGVARALAYVHAGHGEAHGNVRSSNVLVDECFVARVAEYAVHRLLVPSAAEAVLATAKADGYRAPELQSKGYCKCSPRTDVYAFGILLLELVMGRRASGELPAVVKAAVLEEVTMMEVFDAELARGVRSPSEEGLLQALKLAMGCCAPVAAARPTMAEVVRQLEEIRPRNSSRPSAIYSPVGTGTGTATPTAA >OB08G30760.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18095485:18095955:1 gene:OB08G30760 transcript:OB08G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKAARAGGRWPARAAAGRRRERRRREGSRARERLARAAGESQGSGRGKASAVDQRRPRHRHSGVDAVQVESCALAPWPWSAGLTRSRRSATSELAADGDAITPAPAERVTTTMRKKQRGTIILICTQTQGEVGLLHFAATATINDSHSILE >OB08G30770.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18097068:18097265:1 gene:OB08G30770 transcript:OB08G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGGVNEWEDSPGEMDSEAASAVGMGMMEVDADADDRHPLSSLAIDADFFNSFPDDFDDQDLA >OB08G30780.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18098563:18110612:-1 gene:OB08G30780 transcript:OB08G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNWRPTDPSAVAAAARGIEPXXXPPAPSGGDWRAQLQPEARTRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTQQNPGNTQGIQNQNPPGPVPGLPPQGSNPAQSSAIPLMSQQQARQPNSTSVQASLTNLGQSLPGVNQTATLQNMSGIPQNAMNNGLTQGASQDIYATQRQMVGRQQQQQQQQSQNQLIYQQQQMLMKQKLQQQNSLMQPHIQQQQSLLQSTQMQSSQQQMMQISSGLQPGQSIPQTQPMVQSASQGGIQQNPLNSVPQSVQSLLQQPAQSSIRQQQHSQSSIHPQPSLQQAQPTQQPNVPLQQQFGQQQNLQQNQLIGQQNGSVDMPQQQRLPVQSNLLNMQQTPQMLNQQSMPSHQQQQLGSQANISTLQQQQQQQQQQQNQQQQQLLGTVPNIQRLHMLQTKAAVQQSQQQPHGQQSSLGLMQPQSQHNQLQQSQQHLMSQFQPQPNQLQQLGMPQQQPIQQRLPTSASMLLQPNNIDQQKQFIQAHRGLQEVSSSTSVDSTAQTGHAGTGTGDWQEEAYQMVKNLKDQYFAELNELYNKISLKLQHIDSMVPPQKPTDQYDKMKSFKVMLERTMQVLQLNKGSIQPAIRDKIPAYERQIISILNSQRRKPVQTQGQTQFQQSAGQGPTSNIPQQQPSLQQHDNHTNQMPQASMPSMSTGLQSSGAAGIQHVPASPATNFGVPTTQQNVTNGPQATSSLDVTQGSNFNSLQHGSMSGALQQGSTGPMQGTLNAQQQGSSSMLSHSQVGTMQPNANSMQANANSLQQMKQQQQEHLMQSQQMKRQMFQHLQQKQMLQQQLPTQQQLQKQQQSQMQVPQHHSGNDANELKVRQGTAVKPVIYQQHLAQRNNYYNQQMKQGSAFPISSPQNLQVSSPQISHHSPQVDQHNLLPSQLKTGTPLQSANSPYMPSPSPPVAPSPIPVDSDKPLSNLSSSLTNTGQAPHQQTAMAPQTQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRATQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGTGTSKKMKRDTSAMPLNVSSAGSVNDSFKQACIIDTPELQSTATSRVKWQKTEINHALVEEIHEINQQLIDTELHVCEDDAESFGATSEGAEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSMLLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWENCVGA >OB08G30790.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18121947:18122612:-1 gene:OB08G30790 transcript:OB08G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGESCCGRRKQQEAKTRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTALDAARAYDSAARALYGDCARLNLLVAAAGHTSTQQQQHMISSSAATTTTTIIGSKHESCSSNDSNSPSPMPMLLADYGGVMMHPAEEEEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADIMAAAATAK >OB08G30800.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18129045:18130759:-1 gene:OB08G30800 transcript:OB08G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPRPRPDPLLPPLPPYHPELFHLLRQDHPDLAAQWLLLSTQSQSQSQSQSQPDPSSSAAAKKRARKEMVRITNLSIPDHLHYRSLVRRTRLTFEALRAVYQRQDQATARNRSDLRASSQMLSKGLWMHRGVRVVGSIPGVQVGDAFFYRAELCVLGLHTAPQAGIAYIPDSIVGQNDCPIATSIVSSGGYLDDDDSATGNVLVYTGSGGRPRNRVHHSADQTLERGNLALHNSCLYGIEVRVVRGHACDNSPSRKVYVYDGLYRVAKSTIGPGKSGRDVCKFTLLRLDGQDDIGSKTWRDAKELKQVLDARIRPPKYISLDIAKGKELFRVPVYNNLDDDRMPLLYDYICRPDFPGLVKRQRGCHCAEACGSRCSCERKNRGPSPVYTLDGILLRGRPVVYECGDQCGCPLTCPNRLTQRGMKHRLEVFRSKETGWGVRTLDLIQPGAFVCEYAGDVLSLDKPPPPDGSFVFDPTKFPERWREWGDASAVFPDKGPQFSSFTGVRYRLDVSKRRNLAGYICHSRTPNVFLQYVVRGNEDEAYPHLMVFAMETIPPMRDLNIDYGLS >OB08G30810.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18131402:18133650:1 gene:OB08G30810 transcript:OB08G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVKKTLADNPIVIYSKSWCSYSMEVKALFKRIGVQPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLSELDINVNNS >OB08G30820.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18134105:18135278:1 gene:OB08G30820 transcript:OB08G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIAAVVVAVVVAATVLVCEAKQQAARALFVFGDSLVDSGNNNYLLTTARADSPPYGLDYPTHRATGRFSNGLNVPDIISEYLGADSVLPYLSPHLDGAKLLHGANFASAGVGILNDTGIQFANIIRIEKQLRYFQQYQDRVRWLIGGAAARRLVEGALVLITLGGNDFVNNYYLVPFSARSREFALPDYVRYIIAEYSKVLRQLYDMGARRVLVTGSGPLGCAPAELATRSATGECDAELQRAAALYNPQLVRMTRELNEQLGADVFVAVNAYRMHMDFISDPAAYGFATSKGACCGQGPYNGVGLCTAMSSICPDRSLYVFWDNFHPTERANRIIVSQFMSGSPDYMHPFNLSTILAMDAAAAP >OB08G30830.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18135394:18139037:-1 gene:OB08G30830 transcript:OB08G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem oxygenase-like, multi-helical [Source:Projected from Arabidopsis thaliana (AT5G32470) TAIR;Acc:AT5G32470] MSTSSSAHAAAAGGXAPRRFWIAASSREAAFASYTPFLISLAAGTLRLDSFRQYIAQDAHFLHAFARAYEMAEECADDDEDKATITLLRNAILRELNLHASVLQEWGVDPSKEIPPSPATTKYTDFLHATATGKVDGGKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELAVFLKQDENHPYKKWIETYASGDFEDNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPVDQHVVAPLSRYCEPKEKLLIFCDFDLTCTVVDSSAILAEIAILSYQKASQGGADNSLDRTTSADLRNSWNMLSNQYSEEYEKCIASLLPPEEARSLDYDQLYKGLEMLSEFEKLANSRVVDSGVLRGMNLDDIRKAGERLILQDGCKSFFQKIGKTRENRDLDIHILSYCWCAELIRSAFSSVGCLSGLNIHSNEFAFEGSISTGHINRQMESPLDKVEKFKSIKNDMGTTGPLLSVYIGDSVGDLLCLLEADIGIVVGSSTSLRRVGKQFGVTFVPLLPGLVEKQRQVAKEESSIFKGRSGILYTVSSWSEIQAFIMGNVYS >OB08G30840.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18143324:18147171:-1 gene:OB08G30840 transcript:OB08G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G18460) TAIR;Acc:AT5G18460] MAKRRRRWRHRCCSASPSLAAVPVAVALLLLLALADAAAFHVHVQRHDLDRMNKPAVRSIYSADGDIIDCVPRHKQRALDHPLLANHTVQPPPLHRMPASSLQQSISRSSRRAWQTWHHAGHCPRGTVAVRRTTAADVQRARSLALFGRKNKRQRRPLPPDVVTGNGHEHAIAYTASEVYGARATISVWAPAIDEANGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVGGPQYDMTLLVWKDPKLGNWWLSYGDGAGGLVGYWPAELFTHLSDHATMVEWGGEVVNTHPAGAHTATQMGSGHFADEGFGRAAYFRNLETVDADNSLAAVPLEAIQTMAEDSGCYDIRKAYDDDGRGGGWGSHFYYGGPGHNPSSCP >OB08G30850.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18150668:18150826:-1 gene:OB08G30850 transcript:OB08G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKVGTHWPPLLQLSSHPPAICSSHSDPINLFGFETFSRYQYSSYVRLTYI >OB08G30860.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18150908:18156551:1 gene:OB08G30860 transcript:OB08G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLVGPTSPAHAPLPLPLPRFRRRPPPMAMAASASSSDGAGAPVVLGCGAASADYLATVASFPNPDDKIRSLTLKVQGGGNTANALTAAARLGLRPRIISKVSNDPQGRNILKELQSDGVDTSFMVVAEDGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEELTQANLFAALDGADIVYFDVRLHEAALIVAEEASQRKLPILIDAERKRDGLDELLNFASYVVCSAKFPQTWTGASSTPVALVSMLLRLPSIKFVIVTLGEKGCLMLERSTTDASEAEEVDVESLLESLEQKVVLGSSMPKCIASKSNLRISADGVGSISGRLLLGTAEIIPSEELIDTTGAGDAFIGAVLYGLCSGMPPEKMLPFAAQVAACGCRALGARTGLPHRTDPRLAGY >OB08G30870.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18157020:18160827:1 gene:OB08G30870 transcript:OB08G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATQVGTYFLRNYYNLLQQSPDVVHQFYNDASTMVRVDDLAGTNXXXXXXXXXXXXXDIHSLIMSLNFTQIEIKTANFLNSWGDGVLVMVSGLVQTKEYTHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQPPPVIAQDNFESNIASNTAVEPVPEYIHEEENQSAVPITTEESDPVEVYTYSEPPQQVVSQSDNWADEPLPEEPISSFTNGMAMAPEEPMQPPPVPSPHVDEPVGEPVKKTYASILRAAKAPPVFPVAQPASTRPSHQATETNQTTHAANQAMTSSMAAEKPKTDVYGEVAVHDDEESKSVYVGNVPSSVTEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGVRGGRRGGRGRFTGGGRGYARGGGDNDYAGNRGRSSNGYQRVPHHERGILGSHTARN >OB08G30880.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18162208:18162477:-1 gene:OB08G30880 transcript:OB08G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGAGGEGDGILASFSRSSVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGPAPPSK >OB08G30890.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18163132:18163506:-1 gene:OB08G30890 transcript:OB08G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYTTSSGGRRKARRDDGAERDVLGQREAERLGHVELLLVVREPPVEGVEQRAEEVLDEHHAGELVGADPPAGVEGDELEVVAERVDPGGGAAGHEALRPDLEGLCVSMSIHVVEDENVMVSH >OB08G30900.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18163219:18163434:1 gene:OB08G30900 transcript:OB08G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAAARVNPLGDYFELIPFDAGRRICADKLAGMVFVQYFLGTLLHSFYWRLSDDEEKLNMSETFGLALP >OB08G30910.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18178283:18179334:1 gene:OB08G30910 transcript:OB08G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 5 [Source:Projected from Arabidopsis thaliana (AT2G05620) TAIR;Acc:AT2G05620] MASVSLSGPRALPTWSSSVSGDSLGACVARPRSSARPPRAPATMGNVNEGKGIFAPAVVVVRNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADAKQRQGLIRLAKKNGEKLGFLA >OB08G30920.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18179260:18181632:-1 gene:OB08G30920 transcript:OB08G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEAGGDKEKDAINDLKQHAMAQKKLIQDVRNSLYYSSAVALDELGDSDAIATDGEDDIINPTASSSLSLLDDLLLEKRMEQAVEILEQELQRMQESDVVAAASRMSAVLARKASVADRLASVAEHPRTPRPELLRALTGLCRLGEKRRANGLLLGFYRASVRRRVDESLRLKQPGNGKHSYLMHIVRTVLSTVVEASRSAALLQLQPSAEMERWAREEMEDLGVAIREFIFMAAAPSSKLALTLEAARCALDYVPLLDRHQAEYLREVLAQCMEEALAMYAGHLREVIRLLASDGASMVLGRFLVSGVLRTRKHPEMEELSCCLLTTSGRKVATLMQDVVEDVSPLLGLGTGMTSLSLLQLLAELLREYMLQLLAVAAAAANDDMTMVSVLINCTTLLSLFPLVARRIFTATSAAASQQEDFDFPPSQAFDGLILSIKEAAGQVWTCFCHHFIRHTVVMSSNPLLHIRSNSTHAFDAIMPSSAFQVFFLRVRQLNGTYGAILTGEDGTMKKLLQELMEAMMLCLSDNLDSWIHQASHVVPQDTLLQQIQLDVHFLLEVAQFGGFSSDDFRNAALCLLRKAQELAPSCSLEQQQEEGWAADAARHAVQVLMGSQAQQNSCSTVSLQEGEAGDETQPDASSQHQVGACSDGKSSDEFVSLEDEALVDSAEEDSSCSQDGGPVSPTSAPMMAVASRQQLASTTTTPAAAANQKGNSRRKEAREGKGMVSSRPRWH >OB08G30930.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18182033:18182224:1 gene:OB08G30930 transcript:OB08G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLDTQSCRSLPLRPCLLPGARSTSVVCPENTNYVSSFKNKLHFLNKTPAALSSFYKFHKLEN >OB08G30940.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18186704:18186862:1 gene:OB08G30940 transcript:OB08G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLVKIYTKLLINKYHRKRKAIMPITVLCKNSCMHAKKKTPGEGNGTQA >OB08G30950.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18194792:18197813:1 gene:OB08G30950 transcript:OB08G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G14305) TAIR;Acc:AT4G14305] MSEVVAMAGKAYMRQLQAHPLRTKAITSGVLAGCSDAIAQKISGVTKLQRRRLLLIMLYGFAYAGPFGHFLHKLMDRFFKGKKGKETTAKKVLVEQLTASPWNNMMFMMYYGLVVEGRPFSQVKSKLKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIATSKKA >OB08G30960.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18199293:18204437:1 gene:OB08G30960 transcript:OB08G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPAILLLFSALLLSLASAAPQAQEASAAFVDAASRRYLRDQQHDQATSMSLEEVSAAVSVLLGFAPPPFLPAHSSSKLNQVLLPNPFDRPRAVFLLQIDESHASIESITSGAGSIFKTTIEGLSNSATGLTDKDDLVIVHSDESPAVDSGSDYVDSELIDLANWLGGSYEKVDSKLNIPLESGNTLTLLLAKEADMEFASSLISLRKTIKKGIQVHEDFSGGVMSPAELLVCHFIGIKALEEEYGSAEIVKQGTDVVRTAVAKAFDLLRGAYNGEIVGLVISTKEASASLVGPASSLHISRWLEEKSQTNTTGSSEILVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >OB08G30970.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18205028:18206023:1 gene:OB08G30970 transcript:OB08G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWHLLGQASGFLQDKYKQARLALGDVTPAELLVQEATNNDASVGPDARTLACIADAAFDMDDYWRIAAVLRRRMARAADWKEWRPVYKALVVLEFLLTHGPDEVPRDFLPDIAALRDLRGFTHIDDRGFDWGACMQRRCDSVLALLTDADRLRDARRRAIRVSHEVHHGCLAGACFSPSSSGSPSSASSRTSSHTSSWSVASDSPTMVCLCAGADYRHDKKFDAYTADDDWMQQLVHSKTTSREEVAEYDDDDDDECPNDPHTHSWDANIGDLSLLPRRTGTGGGAWSARFCTRMLGSSRASGFRSLSQPERRAATASKKLQRQLSLD >OB08G30980.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18207254:18221793:-1 gene:OB08G30980 transcript:OB08G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G04500) TAIR;Acc:AT3G04500] MSSSAPRDLRNPNPPPPPGSSSAPSGANYFPLPFHLQQQPPPPAMASTYQYQQYQQLQQAHQLFQRDAQTITPEALESVKAALATSDVLDPANARATASSSDSTAKKKAIPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGPNYPTYSIASIHQVLVLFCSLINFDTISGKYVGNRPIKLRKSNWKERTDVDALERQKNHIQKKPKLPKKSILHK >OB08G30990.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18218038:18218545:1 gene:OB08G30990 transcript:OB08G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYMKTSRITIFQEVDEAEKGAEKVPNDEEEQVPQPSRKESSRTKGKQAKRKVVVTFFLVSTPPKPQTQKYSKSVSSMLLKTPEVVIDRNTSKTSQPTLEHCTKKNKQSKQIVDDHVADFFYENEISFNAINSRSWEIMLESIGQYGPGYRSPTYHEIREPLLKGCE >OB08G31000.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18219204:18220146:1 gene:OB08G31000 transcript:OB08G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICLKASQPLRVALRIADGDETPAAPKIMAAMDHARSSISDALKDKPMLLNEVLECHNQMEQKLYGAALYLNPDKFFAIREKRQAARLRSMFNDVVWKMVIDEDEPTKIIRQADDGDCFSKPLAIRDRDKKNPILWWGAYGGLTFELAKRIISLCCSASGCERNWSAFAHMSNRFQKTRQLGSKGKRCNLLLLEEFQRENEWVDDNCDLVHAADDDLNWANVDKAIGAT >OB08G31010.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18222529:18222708:-1 gene:OB08G31010 transcript:OB08G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVITSLFLFLLMIGYYLLIQWRIPDGLPISVLKMHTGVVTAIAFSPRAGEKLRVLL >OB08G31020.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18223354:18223683:1 gene:OB08G31020 transcript:OB08G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKNSFKPPDHALRQYFVENYNVKKTNVFQSKEEKMFRKHVISAYKNVFTTMSRTKHLIEDKCYPSSQACTPPLSLIVQTWKHTHQLGTESNQPMVKNGTNDEGYIK >OB08G31030.1 pep chromosome:Oryza_brachyantha.v1.4b:8:18224433:18224600:-1 gene:OB08G31030 transcript:OB08G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALSFDFSVLMNNYILPSPTPIIFCCLCSNEYILPYTTLHFLYPIPFIVMNYF >OB09G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:18225:21694:1 gene:OB09G10010 transcript:OB09G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGETNRKKRNQAGEETYPHRPAAPLPSSPPNLSSAPSSAAPQTPISGEAVAARRKERKKAPPPTTPSAAQTSIRNAEVRTKKKRKTREPPAATGTLFLEPAAPRWKEPKQPSDGDQFHVPSGGGGEEEADGPKGRSVKSSSSSSKKPRVLSTREIIKMRMELRKQQPLPQGFFDPAFMACNSNSIQQDPNYSSPFGAFFDQFCYKPSRQDGSSRPPRTPDCLARPRPPPRDHLSSGSSHLMAKKTCKISNSKTKQPGSPLLNQENAKLKDMGKPVMKARIKKERKPPPLLTRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVVVICMLLNLTQGKQVRRKVKGFFKRYPDPQTAFNADPEKMAKYLAPLGLQRVKANRIQKFSKAYVESDWTYITELCGVGKYAADAYAIFCAGKATEVVPADHKLVDYWKYVCFELPMVQQSQDMQEGVVTEMEHAAPKVEELAVCC >OB09G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:22399:27082:1 gene:OB09G10020 transcript:OB09G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPVHGHDNKPSDLRKRKKPSQSELKLPEKKMKAMPHEQQIASPSPKNQKKPPPFQRTWTPNDEVRILEAMAAHRQQHGKVPIARELLPTLHGRLDTKRLTHKKLATKLRTFTCRHVRDAKNGAPTQPHERRLYDLSRNVWVGQTQAPNPSANTSEHDGLPSEGKTFDKMRDSFPNLTQAVMLLVVVDAKPADLEAALTAIDDSKAQALDLKVSKLRKELTEAIMESATIQRTEGPKMWPYASTKLRPEFEAKIENIQPEHLDEVEITQTLQAKMVVQRKLPSGETKEEIQKMMYGQKRKRNDARSHTKCNVRLPFLFLCLLAKYPYFAMVDKRRVTVHGHQEDMNKEVDKRRVAVHGHQEDMNKEGGKDVLLLSTTWPHVPVAKATLQASYGSKIVGGMPLRSECYEVFVYDVLKVEAPLLRPPGMKMAKALKSFIAWPRAQIQWCNNGDPVKPGLRSHPG >OB09G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:52989:53497:-1 gene:OB09G10030 transcript:OB09G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIISSSSGSSSSSSSSSAVVLSSAVAIVLSSVSGDDEGRGRRGRPPPRRWAVDDEIKIVDTIASLRTENMGHPPAAAVLLRALQAARPPLLRRGLDASALSQKVYNLKKKFIAAAATNGRKRLRNKRNKVLYKHGKKAWPEELRRAKATAAAKTRRTSCGGRRVGF >OB09G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:82840:95516:-1 gene:OB09G10040 transcript:OB09G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDGERFIEIVSAGSLYLSGGEWERKYWSCSRGKDRYPYPVGYRAVRHFSGISYTMEIQQGPRGPMFQVTSTLGDSAPGPTPDLAWKNFQKKTAPKVRDWQRKRSFPHKIDGVELFGFKNASVQRLLRELIVRSTGAIELNLHHPVTSDTDSPLTRKVEADVSDGYEDLSVRLDKMGGPSKRSMRPSQEEGTAKRVHYQDISTSADNCDNELDIAADEGSSKLQDVIGSRCTPSLLKEIPHNSRHTLVNDNMGESVADSPEQVGLSSSSYLSSQMSDLESAEREVAKSMMAILLPQAIPLLKKTHRKKKKKVKHKNKEEYTTSTRTVSTENPSAGCRGGAVCTSICEGINVKTSPTYSNEKSLCEMVKGFCDNDDGMIDELAFRTDDLNTVIADSFEGDEQVWHDNTSKSMGAHHHECDDACSKESNVHSQLPYDNREDHDRRSECQIGIDDGTDTPDVIYDHEKGQYVLSEALLACLEEEFGEKDNSYPANYNESNAGRIQDGKQFEDPRGGINNDSSILIDVSDKNNLRNGLYAQASAKSRAGISRDGESLTNLLEKPVHSNAHNYEKMGGQFDDTKFVDRFVAFESFGTGNHSKYGLERINSVTAGSVDVQMKTGKNHSLEEQKECQTGCRNGNENTMISVGFGSNVCGCVPPKNEDNACRERALTDINHLNGPLCKQKETSPRVSNLHLDLMGSYLHPMPVLSITLNTKNNSSLLIYVLCGFLDSCQRFLYVYNIIPKDQQETKPYFVSYTPLLLSSMERSCTGNLPFERSGLQFTPDGQFLVFFGTIRMPFCRRQSIDCSCSLCKLNQYEVNCLKIVSVNLGYVSLLTKLIACGTLSCILICEPNYIVTVEDGGKLHIWMMAAGWRMISEEYVIPSFSNVGHSILELRRMPKNSNLIIGHDGAGSFCLWDIAKRTILATFTAPGIIIFQILPVVSCSLQEDIILASFSDSEKRLREITISGVSRKVDNESILSSGKDTAIWILISSASVAEYQSDLRANEHNARWRLALLANKTVFMGSILDPRATAVEACGNHGFTGTHGGLLYAWELSSGRKLAGTQCFNRGRVSCVAVDAKSGVVAVADDECQLLLYSQNKVVSNARAGGNMFRPIFRKGYNHRNPIRNLSLTLKFVTLMGRNCDRWLRVRIGLGKAPEAHQCYIKEEEPTGTLVSLILSTRNSKSSSPDQRRAGRVSCGDSRAVPLETRRGIADSDNVKTYLANIESNYKTCTKTYASALIMKMGSSVYDGKKGIRQHIMEMSHMAHQLKTMDMEISEAYLVHFILNSLNSDYDPFKIHYNTQREKWTISELISHAVEEEERQKAKRQKHIDQLNLVNSKGKRKFHQGEASGSKKKGKPPHPPKQGGSKAAQSTAQPSAGPKFKNPHCTFCDSDGHWHKDCPRFKEWLARKGINEINEISNVNESLYIEFSRNSWWVDSGATVHITNSLQGLNDV >OB09G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:120852:140812:1 gene:OB09G10050 transcript:OB09G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:J3MVH7] MAGSGGVLALILLIVSCCRGVSGAFPATAALRRVAPRAGAGAGGLCDQLLLPLGYPCTEHTVKTKDGFLLSLQHIPHGKNKASESTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFSVHDKLFWDWSWQELAEYDLLAMLGYVYKVTQSKISYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDHVSASFVLRAVGMHLDQMLLTMGVHQLNFRSDMGVQIVDSLCDGEHVDCNNLLSAITGENCCFNTSRIDYYLEYEPHPSSTKNLHHLFQMIRKGTFTKYDYGLLGNLRRYGHLHPPAFDLSSIPESLPIWMGYGGLDALADVTDVQRTIGELRSTPEVLYIGDYGHIDFVMSVKAKDDVYVDLIRFLREDARHSSY >OB09G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:132867:136790:-1 gene:OB09G10060 transcript:OB09G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEATSAATTTPLAPPSSNTVATIFINPYATVSVKTHVPISLELHHPNFNKWKTFRSMCGKFGLLDHLDAVPPANPDASWEQAEFCIRSWLFGSVSDDVLDLAMEPDQTARALWLAIDNLFQANKQPRAMFLHHEFHSMIQVILDDFSHFCWTFPLRHKSEVHGHITNFVAYAHTQFGRRLKALQADNGTEFVNHNATTFLASRGCHLRLSCPYTSPQNGKAKRVIHTLNNSVRTLLLQASMPPSYWAEALATATYLLNRRPSSSVRNSIPFQLLHNKLPDYSLRVFGCLCYPNISATSAHKLAPRSAACVFLGYPTSHKGYHCFDPSTRRIIISRHVFDETRFPFAHHPVDVFSFDFLLQGVLPSPVVAPPNSGVEQPQPATMVAPSPEVEQLLLYQTILPAHGTAHDAGFPTPPSLGAPSAAGPPARLVDPFPLVYQRRSAPSRPATPPQDVDASPPSPPAPRRPITRSQTGSLKPVDRLILSASHTAISPVPANYRSALADPNWRAAMADEYKALMDNGTWRLVPHPQVLTSSPANGYSSTSSIPTAPSLDTRLVEWLTYLLYVDDIILTASSPDLLQLITAQLHSEFAMTDLGYLHFFLGISVTHSTDGLFLSQRQYAVDLLQRAGMSECHPTATPVDARAKLSASDGVPVKDPTEYRSLAGPLQYLTLTRPELTYAVQQVCLFMHDPREPHLALIKRILRYVKGSLSAGLHISIGPLQSLTAYSDADWAGCPDSRR >OB09G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:142757:147836:1 gene:OB09G10070 transcript:OB09G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSSEASRRKTDFSFKDARYLWSLVRKQQSVAKRKRKWLRSMIPVEDGTIQPLKRPKFLNEVYLAESFVRSDEVSCEKSIAHVERCFGFKCEEYNHHIVQDGLQPLKLQQGRDGSLSPQGLADMRRIINKLSNEALQAVANIATHNKVSFKKSRPVMKKVIEDHLPQYLTNLGNENDMSQLSHVLTNPFSYRSDSVNITTPLSPKLLSSINQALKGLDNLTAQALIAMRRKLNGISFTPEIDFAPHINRKKSIVTVIRNQYKKMISKIGEGGDLPKNLAKALSVMNLFRKQELKCMDISQVEFYPFSRKVVFLQNDVMNAIWSIQKLKKGDLKLLGPILHQGSKDEALSKTTLRRYLMDCLFECDEGALPDEALRTIAFCNRMSACQKVELTEQRKDVEIEGVLNVSSSLRALVYHCTGGQTDDQLMNSQSECQSDEQLMSLGFDDCSANNDFVLTEGYYNFGHQKQRIDEACSSSMANPVDVSGHFPSGAGSNMNKPTLPEVAGANEVEVGRSPVSLSEVCDETATLAHKLLGKILENKVLVENKVNGLAGFSLDGSTSHGLQEEKNQKADIVIKAIENVLPNLSKSCMDKVRRIFHDDKQ >OB09G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:169415:170576:-1 gene:OB09G10080 transcript:OB09G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILRRSARLLAAEDDDDDSSDGDEVEQQPTMKHLPLPRQGEQSSDDEELGIESSPDLVGMPAKTPPPPRDQDGREVSGSGGADKPPEPALQAKKPSDAAKRKDEGSDSDEDETKCQWRSPGCQCHLMMPRMRTKSLNPMRMNDSDEDQVPVEEPRPRMPSDGAEDEDEESDSDEDEVPVEPRMPSDGAEEDEPDSDEDQVPVEPVPQTSTIPPSDGAEHKDEEADSGENGEPPLANDPNGKRKAPSPQVSESPPQKRMVLQANHEHNSGTTDTEKQFMDKCASYFYLGKEVSVLNEEYPGLFKKSFLELGDDQASALDARIKNVSLAEIRLSLRRRNLEQEVIKSVLKLLK >OB09G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:174179:179342:1 gene:OB09G10090 transcript:OB09G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLCLRRRAALTGVAPRLATAARQGALDCGNGDGNSPWGQLPPFTPLDAAAAAARAISVGGEGGAGASATAIRRVRRCCPHLPTSVVHKLFRLRKVKKNVVTAEQHRLRRVSAKDQLMPGDILFLPVHPKESSVAEKTKKFDNRNGIDFLRSLEIYKDEAIIVINKPPGMPVQGGTGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLGRNQRSTTMLHATFREKTADALADGTQHVLQRKYLALVIGTPRHPKGLLSAPLAKVLLQDGKSERLTVRASSNAAPVQDALTEYRVIEYCPHGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWMPLPLPRTINEELLRKRKLPFGLVGGGSIAEEQPQLHLHCKQMVLPDISMAVHRLQSDVDPDLSDFEKLNFVAPLPLHMRLSWEILKSVET >OB09G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:183014:188351:-1 gene:OB09G10100 transcript:OB09G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT2G40650) TAIR;Acc:AT2G40650] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGSRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRQKLSDGKFTLTHVDEFIDELLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEEPMDIDEPNGREKHDPYRGRSPTRERERDRKHERHHRDRDYDRDRDYGRGRERDRDRDRERERDRDRDRDRDRDRHRIRDEDYSRDRDRERDRDGRERERRDRDRGRRRSRSRSRSRDRRERDREDGEYRRRRGRGSASPRGRAEDGGSRDEPKKRKEKKEKKGEGNAPDPNDPEIIEMNKLRASLGLKPLK >OB09G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:192340:193443:1 gene:OB09G10110 transcript:OB09G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT2G28910) TAIR;Acc:AT2G28910] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQPPSSSVSANAAAASAAASASSASAPSAAAASSSDNAYTSFQGLLALARITGSNSDETRGACRKCGRVGHLTFQCRNFLSVKDLDMDDDVEAGIREANAQAKLNEFRKKASGGRDADEASGEEDEEDSDDSSDSDVDPELERIIAQRERAKSGKKQSRDEDKKSNRHRSSSRGRSKHRRSSKRSDTEDDLQEEKSKDKKKKSGHKRRERLDEDSGSDSDKKRHRKSRKDRKRRRSHRRTDDSSDEGESGGEDRRCRRHRKRRHHNRKGASDSDSGSGGSDSAEARKRSRRRRRHHKSESSESDGDEHGQGANQCKKKRDKEEC >OB09G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:213706:215432:1 gene:OB09G10120 transcript:OB09G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSCDHFGWSMLQFDNSDNELVWLCALNPTLAPTCSLELELKEDENVHLSVVGQSSIHLSGYYYNKMHQDISEKGKLKTPKIEDVLSGQSNDMDQVNEQKCSKFVESITIDDAKPTQGPQNSMEENVLDAVLENPIGSTIRCYDGVLPPLDASVKRKAAKIDGETVHMEKYVPLTSLLCRIEQDQTNLPEGGEWQGKTKPKNLLRNKGLPLSKKLTQPCYLDRVAPVSPLS >OB09G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:224420:225427:1 gene:OB09G10130 transcript:OB09G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MVI5] MLKTEAENDVKQQDQETQNEQVGVWHNPIESHDNGMTIEDLVEGNTNAEVASQGNQVCVRYHGELMNGDIVDPTDGEDDTYTFRLGAGEVIRGWDIGILGMRVGSTRRLRIPPALGFGDVAKPNIPANSWLLYDVELLKVKRVKRAP >OB09G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:240768:241504:-1 gene:OB09G10140 transcript:OB09G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHRDIKSDNILLDDCMIAKVSDLGASGGLCTDQNGVTKVVQGTFGYMDPEYYQTSKLTDKSDTYSFGAVVVELLTGQKPVKIILDFTSFVNQVKVLEILNPLFHAEGGKDAEAVATCLNWKRQERSSMRQVEIKLRELLQDACQHGPSIMLIEALEDNIVLEVKKCHRSLQKDNSTV >OB09G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:257342:259604:-1 gene:OB09G10150 transcript:OB09G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAGGGGGEWQREHPFVVTEPGEVARAKNNGLDSVWPLPAVGAAATGFSVSTLVEWVLMNSSLEPFLVKAWWWQSGQLGSLLIALFFSSVSSVVAMQITISIVGVLGRSTVGFSLNIDGYRLADDKGVHRCFAHLLPLRQGSFRPDDSLDPGTVYFLLPQFIFQSKSSTVNLAYLMNHLTSLARKGGYGTPAPALLRRSSPAAGSDIVAETLLRLNDGSVVAAVLLNKQHQQQHVVTTIITILDPIISIDHTHAAKHERNETVQHIGINTYYTLGQKSIKRKLYRLNGTAFRSISVH >OB09G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:278408:282806:1 gene:OB09G10160 transcript:OB09G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MVI8] MSLWGADIRAGEPYVIPPSAGRRLRLTQVATVGSFHHSGWVLVECKIGDQELVKIGAVNAETAPVCHLELEFEEDKDVVLSVLGQNSVFSGLGQNSVHLSGYYICSDNGDHVQLACIPKHFLGVLIERILNDNADAKNSDEKQSDEAKLSENVHAELHPEHTVILDNGMTMEDLTKGNAGAKTAINGRKVYVKYVCQLSNGDPVDPTGQSSTCKFILGAGEVISGWDLGIKGMHVGGKRRLCIPPHLGYGDARRGNIPPNSWLIYDIELLKVKRHKTTRKQKRARRAAPQASTTA >OB09G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:284921:289579:-1 gene:OB09G10170 transcript:OB09G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD51 D [Source:Projected from Arabidopsis thaliana (AT1G07745) TAIR;Acc:AT1G07745] MELLNDVTENRRFLPTGLQGIDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAAMQLGAVIYLDTSNSFSPSRIARIVDGFRISLVTEPKNVKLERVLSSIICKSVFDIFDLFEVLRQLELSLKNKVNNGSSKICLLIIDSLSSLLAPINGGKYPQGRSMMISVAMILKKLADEYNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVLSRERGSNICAATVLKHTLLASGHVMRFAVPR >OB09G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:290293:292660:1 gene:OB09G10180 transcript:OB09G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRESNMQQLVPIVPLPKAPSGATGKELVVADGTRKTSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDSDYQKRKEIAEFELRREERLKEAEERTAKKRLKRQKKKQRKKDKRVKTSNGGEAPNSSGGDEDSDDEDKAIGK >OB09G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:294085:296796:-1 gene:OB09G10190 transcript:OB09G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVDWRFLPRLLRRSPALPPQPPGPSPLLPSPAARHAPHHPPLPLPLPLPAPPTPPQADTTDHLLRDILLPDSSHLHSLSGSGGLTTTPPPSPSTNLLAAISADSRSLILFFPSGDNADHVSSVTLHRHSMDEGISTSTTSLQADGFVHPGQRILHLAATCSDDFCSWTPPPAGAGAGQAAHAHGFLLAATLRSVSWFKVVASSSPAALVPAAKQAFDAAVVHACWSKHLQSDCLVLLETGQLCCLDLDTLRGSNIKLLHLGSKEEEAAADAWLSCDYGPQPWTAIVASTKAILLVDLRLGDHGEHKVIARVGMEGLFQSLPKSINDKASRYLAFCKAPFDQFLVSVLTERRLMVLDIRKPMTPVLTWQHGLDHPNHVAMFRLSQLRHCQEHEWASNSGIAILAGSFLGTDFSLFCCGPKEQGNPDHLYAWGLPSRISLTGQHCGCAKGIMHQVFDEPIPGHASAPQQSRNSIVGYQLLPNAMLDPSFTGFGLVRLTSSGTLEMQRFRAYGDSDVDDVVRDDESHHKSMVSSPSVSLDTQGENFSSRHMFLKFHYLSKYLEGNLRGVLENHDSSASKHSRQIVIGDDVSAFAKDNSTPCYRSASDFLRNASVPMNIFEVACQSSLNRLSSDTLLVTFSNYSDMLQCTKEERMYEYLEVPACSPNKNKPRPFLLAKASTTGQKLTAKVVSKNALVPVLPIPVVLAMEDSNKGSDSTSQGQRETSSMSHQCREVVEAIAPEISTANADKLSASQRLKPAKPYFVYKPQIDTNRPTVDETARKKGKQVHMPDFASCLHTSMAPHMDDENFTTFVCGRTGSPHSGKEKATSDPFDFGPVMMDFELPAIDIPPSERKMLNLLKKQFVSWHNNFKPYQDFCNSHQIQKTPAARDRRFGHNVQRRRP >OB09G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:357362:358300:-1 gene:OB09G10200 transcript:OB09G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASCRGGRDVDRIKGPWSPEEDEALQRLVARHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPDEDDTILRAHARFGNKWATIARLLAGRTDNAIKNHWNSTLKRKYHAHHHHHAADDDDRPLKRTSSDGHPASPSGSDLSDSSHHSLPSHMPSSPPHQQQLLLPQHVYRPVARAGGGVVPVPPPPPPPATSLSLSLSLPGLDQRQPDPSPSEAAAAAAAVQPPTAPASPQMPPLPPVRQLEPPPPARPSAPFSAEFLAMMQEMIRIEVRNYMSGSGVDPRSPADGMRAASRIMGMAKIE >OB09G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:363218:363814:-1 gene:OB09G10210 transcript:OB09G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCESMPYAVRWRVALSCHVRDSIPTNLGPTRTSPSCSSPLQAPVASSNFCSLLSEHTAFEEREGRGEAESGTRPPSSSGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRTPVAARAASTPRGGRRRPPHRAPLRRPRFVGETERERGESEKREVKAER >OB09G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:384036:389501:-1 gene:OB09G10220 transcript:OB09G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein [Source:Projected from Arabidopsis thaliana (AT2G15240) TAIR;Acc:AT2G15240] MLPTTASKGRAATRSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVILILFLVFATSAYCAAYGESASHAALTITSVVLVHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFG >OB09G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:400027:403887:1 gene:OB09G10230 transcript:OB09G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSTPGHSMFSDLYSPNVPIEIQGTSFLANLILLESKDLDVILEMDWLTKHQGVIDCVKRTVTLTNEDGEVVTYQSPESVTIRTCLNQMEVEELPSETFKDPKKLEDILVVCEYQEVFPDDLTTMPPKREIEFRIDLVPGTAPIYKRPYRMAANEMAEVKKQVDEQLQKGYIRPSTSPWGAPVIFVEKKDKTKRMCVDYRALNDVIIKNKYPLPRIDDLFDQLKGAKVFSKIDLRSRHVYNILIVGNSYLIQIRVYRPKLQMVLLEDILEGVEVIHEQTVLLRVTLEGQRSFISAAGLGVNLAAQYDTCRKQLTWSREDLISKDLYRGGLKEWERNGKRGQLAKLETANMSSATTTDNNNKTTGSDDSKPNKDDGPSPAVTMKTVQTVEVRESAGQEKVLKPTKVVHHIPADQAKLD >OB09G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:407039:417523:-1 gene:OB09G10240 transcript:OB09G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNQFETPRKSIDYMRCMGKTRVHRLKALEQQYLCEADYRFKTQVQVDWYNSVFLGRTNSLTEMKWVDWDYLQASTNPVAKQVIKMCIDKDVGGIMCLEKDWNEELIGQFFATVFFEETEDETEQQMRWLTEGEEYTMTMSQFATILGLDALDLNKPNIHAESPMSLEVVRTLYADRMPAGALGSTKGLLPHYDLLLKLLKTTISPKSGDKTALTSHHHTLLLRMRENAPPFSIMKYIWFVADCAHRSNKPILPKVSKAKGKSSALARPSTSQIPERPSSGSLSLFKKALSAIFGIYKKTTVKVKTKERKINQLLRESGHEIPFESEDESETPDASSSHSAPVDSDVDTKEEEYIEEGDEESKVPAAESSDEAESDEEEAEADEEDFKVEAEVVTRLGGDDRCPAAWLGRCGDSGVRKRLAAARGVRGFIGEGGTGYGRRSLETESATRQIRRRPLRRGGCGGAGSSPALQRRAGAGRRSGLRRGARAGPRRRMAHFLGLEDYYEEKHQAPKIESGEALKTLGVRGHTTHIPFDDRYIPYLGVRHHGAEAQASVQRHSSDGSRRPMASGDSYIPLAQRRVDGHTTGRGHDPSTSYTGPGNHGGRAVEYLGVEPLIAPAGHKLCANFSQCPEDTDDDTMGRYCIACVLYIFGSILFPDSGGDMVSWMWLPLLADWDEAGSFAMCAEGQAQTQTLQVVFGYYRVDRGKNKKVTNWAYYHQDHIAVWDKLEANGVQDHAQHNRADFDAYLAWIGRTYRLVLRLALTLADIANDPEDVEELNEYDTCTRVGSTVETGPIRDRVARELLRTMNEAGVALGTAPGSEGESSALRTYVVHCRVYNNDVVRWRHDLVVGQMMSSKHRNAKRRNKETTKRERMQRERRTSRSKTMRPMTRRDRRTPSSSGNPSWRTPHSRHRQSLRHRQVRLDHSVGEHWPRIGGTLPMGLELGVGGVPLPTKSKFSANAFGAVAMHNSARCVGVESCAMSAGRRAWPPHWQGLITNFPCVFMDNLIGANLKAYFG >OB09G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:430551:431652:-1 gene:OB09G10250 transcript:OB09G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPPSLAENFNQKYVFRSADIVYKNARKVAAEALPAWWPSPLCYRSGTTLSANTPGTEPPNLSARRVGAERWISQGTQKDNVDYITIVLRNN >OB09G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:433399:438279:1 gene:OB09G10260 transcript:OB09G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPPPDASAEDLAGDLSAATISKKQLKKDARKAEKAEKAAQRQQQQQPQADADDPFAANYGDVPVEEIQSKTISGRVWTEIADLDDAAAGRSVIIRGAAQAIRPVSKKMAFVVLRQSMCTVQCVLVASVDAGVSTQMVRFATSLSKESIVDIEGVVSLPKEPLKATTQQVEIQVRKIYCINRATPTLPINLEDASRSEAEFEKAEQAGEKLVRVGQDTRLNYRAIDLRTPSNQAIFRIQCQVENKFREFLLSKNFVGIHSPKLIAGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINKQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHTPELLTKRATECGIDASTIASYIESFSILLHLILISIYGHHCSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >OB09G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:440214:451698:1 gene:OB09G10270 transcript:OB09G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCLLAAVRARAASPSSLRHRHRHLHLHLHLHAPPLATRLPLSPVQLHHRRRRSLRFSSSSSTPPPPVPSEDSDDYQLLDATGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSQTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNQRTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKTMQGLFIPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVSVPVFKSLTGLPPFMGMLLGLGILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILLSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >OB09G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:465684:468138:1 gene:OB09G10280 transcript:OB09G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLNVHARHCIMSMAIPLLLSLLLVGVQVTRPAAAALSQDGVLLLSFKFSLLADPLGSLSGWGYADDTPCAWNGVVCMAFPSSSSSEVARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTLPAELLRAPELRVLSLAGNGITGELPEQVGQLRSLRALNLAGNALSGPIPANLTVLPNLTAVSLANNFFSGALPTGGFPALQVLDVSSNLLNGTLPPDFGGAMLRYVNLSSNHLSGAIPPELASRLPANVSIDLSYNNLTGAIPTLAPFTVQRPTAFAGNADLCGRPLDSLCAFASSAADPPNGTTRSPPAIAAIPKNPTEALPGDDTGAPASGGQGRMRMATIIAIAAGDVAGIAVLVVVFMYVYQMRKKRQQEEVARQRMGVVFKKPEAEESPDGIGRSLSCCLRKKAGDESDSTEEVTDTSSASFAAKEGVVVAKADEAAAGRKKGKDGGAVLVTVDGDVELEMETLLKASAYILGAAGSSIVYKAVLADGAVLAVRRIGSEDAGLRRFSEFDGQMRAIARLRHGNILRLRGFYWGPDEMLPIHDFAANGSLANLSLKRPSGSGEAAAQYQAPEGVKNQKANTKWDVYSFGMVLLELVAGRALTSLELCQWSSAAAAEENGQQVFRLADAALRGEMTGREEALASCLRLGFACCATAPHKRPSMKEVAAAMDRIPSPSAASAQ >OB09G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:476224:478596:-1 gene:OB09G10290 transcript:OB09G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79540) TAIR;Acc:AT1G79540] MRCAAAARSLLPLPSATRIHTASSPSLATELDAADALHALLSTLPPSLPALLPCLSLLSPTLTPHSVSEALLCAALPAASRLRLFLFSSLSPRLRSRPLHAHAISLLRHSSDADEAMFDALADARAAGLTASSSAFAALVAAHSSAGRHAEAVQAFSRMDEFDCRPTAFVYNTVLKALVDSGVILLALALYNRMVAAGCAPNRATYNVLMDGLCKQGMAGDALKMFDEMLDRGIMPNVKIYTILISSLCNAGRIEEAVQLLGSMKEKGCLPDEVTYNAFLSGLCKVGRINEAFERLLMLQDGGFALGLKGYSCLIDGLFQARRFDEGFDYYKAMLERNISPDVVLYTIMIRGCAEAGRVDDAFSFLDVMKSKGFMPDIFCYNTLLKVLCDLGDLERAHTLRSEMLQNNLVLDSTTQTIMICGLCKRGLVDEAMQIFDEMGELGCRPTVMTYNALIDGFYREGRLDEARMLFHKMEMGNNPSLFFRLTLGASQVRDSESLRKLVHDMCQSGQVLKAYKLLRGIIDSGVSPDVVTYNTLINGLCKVGTLDGAVRLFKELQLKGISPDEVTYGTLIDGLLRAYRENDAMMLFQNILQSGSSPSLSIYNSMMRSLCRLKKLSQAINLWLDYLPKKYNLSLESEALANAQEKIEDGSLDDGVRELIKIDQEYGSINSNPYTIWLIGLCQVRRSDDALKIFHTLQEFGIDITPACCAHLINYLCWDRNLNAAVDVMLYALSKHIILSQPVGNRLLRWLCICYRRQDAQALAWRMHLVGYDMDAYLREPTKKLLYSQ >OB09G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:485325:486408:-1 gene:OB09G10300 transcript:OB09G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWDGGSTRLRCCLPSINQSIAQYSCLYPTACLQVISCTAHEKSEAASLQVQLVPWRSRRRCSCCCCDATEEVAERREEFDGGRIIDISHYNREEMPAWESAGGTGEFLRLVRSMRNASDIANFRAPHSGTHVDAPGHVFDHYYHAGFDVDTLDLALLNGPALLVDVPRIIT >OB09G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:489606:497419:1 gene:OB09G10310 transcript:OB09G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECNVEALVGNDGDGGQATKGGLEFTVEQYKLELLEPYSLLLPSVVLVVGFPPKSKTLLFGGGGGGGGGSMDRPAAGASYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMGMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGSEPFDTAAAADQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLDEKRSLVESSPTKVFNIDPNTQQVVVEDPEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYDLIMNAITVLRQKLDGVRLQDDDGDLGELGAHLVGG >OB09G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:530730:532103:-1 gene:OB09G10320 transcript:OB09G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATFDSLYCPEEHLDLFHDHDHDQLELHRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARAGGGAWALPPAGEPQRRDRRVGLLQLRELVQQHRLAVRRLRAVFGVAGHPCAAGQALDRRHHHHGGPRRGEPGRLAAVRLRRIRMRHPLLAGRSSSKPLIKLGGRGEGDHATKKDVIFCHINAMQEYCRRGEG >OB09G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:569319:569669:1 gene:OB09G10330 transcript:OB09G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRECGVRDLRLGLVGEGDEAVKATCRDVQVGVAVGEDSAGVAGGRSRPFLSVCFSRNLCCVFDLAPPPFRSILMTISLVAVRCSPLFSSPAAMKVYLDLSEHVCMYTVQCQTLI >OB09G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:573256:573732:-1 gene:OB09G10340 transcript:OB09G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGLRLHRLLRVRMRVAKPLSRARLLVWICDAYVSGLLLVSSKASAMALPTALEVGTASGERPEVVDPPLPTLPLHSTPPHTAGSAWERAGGGSDPPRATATMHVCSSASAPASPCVFTVSWLWKKEMRGRGDGLREKRAEERRGRGKWRLGGEGSG >OB09G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:573384:573734:1 gene:OB09G10350 transcript:OB09G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPVRRRTSTRASLQWHGEGRIRRRPSPTQIQRCVAAWSGAAAWEVADPPPPASPRSLCRPPVRWGAPWPMPYSTPRVGRSRTRRRSTPTVVLGTTAWPRACGRGAADAAAIPTP >OB09G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:595685:596887:1 gene:OB09G10360 transcript:OB09G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding XLALVAERLGPGLRRLKLRSLRVVTDHGVAALAAAATNIRKLSVGSCSFGAKGIEAVLRSCLHLEELSVKRLRGLAESEPIAVSSLRLHSLCLKELYNGQCFSSLITNSPNLKTLKIIRCSGDWDPVLQDVPQEALLAELHLEKLQVSDRGVAALSGLEVLYLAKAPEVTDVGLGKLASRSPRLRKLHVDGWKANRIGDRGLSAVAQKCASLQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKVKVKKCQRVTLECAERLRASRNGALAVNVDTPGGAGELQDGRSVDESGALENAGSDTVPDDLDDRIGAPDLSCGSSGRPSGWKARMGAFMSRSLSVSMFRRRPRVSCYEL >OB09G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:641932:642255:-1 gene:OB09G10370 transcript:OB09G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTHQIHLLPFTRCTQSSLTSSHAVVADLLCLRQLPNCFENYCAGLVPSFNASLPNDAEVHGGFLELSVVDERYIVWIPDGVALDHVAPLLCAGVTVYCLMPSRPG >OB09G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:663931:673699:1 gene:OB09G10380 transcript:OB09G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVTGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPAAAAVGKVENGNGHAAKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPVMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVGLDDVQGLRERISMLEQKNEDLCRELYDIRNHGYIDPCEPELQKTVTGYIKGEGLKRSLQSTEPFDVPMTDSVRAGSPKEIEDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGYDTVALKQHFGKKLMELEEEKRTVQQERDRLLAEVESLNSDGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMSDKSLQKWIEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSCAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMADAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVSILRHSESRRRETEKQLKQREQAAVTASTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRTRPRPQLLPHRPQKVM >OB09G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:674849:678297:-1 gene:OB09G10390 transcript:OB09G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGCCADWERKLSVFGPPPRSVFAVAGAMPRPRTKKGERRIDAAIDHFIPMGYATSDIQTVIKQLLEVYGKDGWRFLEEDSYRVVQEALFEKQEYEERRQLQLLQQQQPVDEQQEEPQEQLEESIDEVQYEAPEPTVVDSMLVDLPADKPILPLPEATVTYSTRRPCYGWIVEYESESDDEEHPSNQKDKLHVPDPQSVLCKRKRPSRWDIKPLN >OB09G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:683322:685182:-1 gene:OB09G10400 transcript:OB09G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRPKKGGGRIDAAIDHFTPMGYAKPDIRAVVKQLLKLYGDDGWPFLEEGAYRVVQEALFEKQEREEQLQLQQQLEAAMDEAPSEMPIVEVHDETDPVVEAILPVPEATVTSDTRRPCYGWLIEYESESDYEEDACSRSCDMKPFNW >OB09G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:699562:700913:-1 gene:OB09G10410 transcript:OB09G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPCRMHGGGATSGEPVPENGIQTSGAEVPASGSDPAKAVAVPSDATTAVSVLPVPEARVHTGSRSYGGEIADESKSDNGVSAVEQEANPPDNMNNGSVSSSTELQARTHTHTQLLWRRRRRPSRWDVPPSY >OB09G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:706140:707061:1 gene:OB09G10420 transcript:OB09G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEDGTTRLWLSLPPVDPLDVDSEAAAIAFSRCLSSTSLSHFNFFNGKIGISARRFIDDGIDRVIDDGIDRVIYDGQRLHQPSITTVVTSYTTYGGQPKIGDPTSAMFSDICKMTQGHPLTYAKTLPTVSPDI >OB09G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:714487:718177:-1 gene:OB09G10430 transcript:OB09G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:J3MVL5] MLNFTPINGKPIRIMYSNRDPSLRKSGAANIFIKNLDKSIDNKALQDTFCVFGNILSCKVATDASGESKGYGFVQFDRDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSLGHVKFNNVYVKNLSETTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDAAAQAVEELNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSIDDDKLRELFAEFGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMIGSKPLYVALAQRKEDRKARLQAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQPGFGFQQPLMPGMRPGGGPMPNFIMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGQQQMIARGGRGYRYPTGRGMPDPAMHGVGGGVMPSPYEMGGMPMRDAAASQPIPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLTLNDGVVSS >OB09G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:717915:719727:1 gene:OB09G10440 transcript:OB09G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding KECCQTHRKYLAKLCCLSTYQETLIKIFAAPLLRKLGSRLEYIILIGFPLIGVKLSISSARAVGGTAVVDVGVAERPPRVDVPADADGDDAADLGEHVEELRVLHADVEIPDVERRGGERGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPPAGGGLCCACACAAILVSDLLPSFLPSSSTDSGWDFFFWGFFADFFGGKIFRFRV >OB09G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:722924:726827:-1 gene:OB09G10450 transcript:OB09G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTLLRSADALAFALARPSATLCPTPRLHPSPPPLSSSRCGPLRAYAAPAPAPAAHNNNGVYTVGDFMTQRPNLHVVTPSTSVDEALHTLVQYKISGFPVIDDDGKLVGVVSDYDLLALDSISGSGLTGTNTSMFPEVDSTWKTFREIQTLLSKTNGKVIGDVMTYAPLVVRENTNLDAAARLLLETKYRRLPVVDSMGKLVGMITRGNVVRAALKIKKRAEGNA >OB09G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:731655:738266:-1 gene:OB09G10460 transcript:OB09G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67130) TAIR;Acc:AT5G67130] MAAALSLVFSLHIALLLLIPCSCQVGDSCSSARDCGAGLYCGNCAATGKARPSCIRALAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSHTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLSENPTEIITIFIEDYVHSPMGLSKLFIAADLMKYWYPISEMPTNGNDWPSVTDMVAKNHRLLVFTSDASKEASEGIAYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFLQNYFPTIPVENEACKENSVGLPQMVQTCYAAAGNRIPNFIAVNYYMRSNGGGVFDVQDRINGLTLCGCNTIAACQAGTPAGSCKDTGAPNRTSSSVNGNGNVYSGTIEFKSPASAASILNANICSNFVGALLLGLVLIIKPF >OB09G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:756125:756361:-1 gene:OB09G10470 transcript:OB09G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCLSKNCERVRSPSIIRGSSGVGAHAVEHLDGDGDGGGARVPPGAVPRRLGRHLLHGLEELLGLRRRQHLLHRGLH >OB09G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:775002:778085:-1 gene:OB09G10480 transcript:OB09G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MVM0] MAGGKIQKKRQGGGGGGRLQGGIPFEKSKGQHILRNPALVDSIVDKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLSSRLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAMFKQKRVLELLEKNYKTMQSLQLTSGAETAEEKMSADDVALLSNMVDDLNLETGYENDDDDDDEMEMDDADMAAESRASFKEKITGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGIHFS >OB09G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:782295:786513:-1 gene:OB09G10490 transcript:OB09G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFFDEIPHSPSSPPSKRACFRPRALADPGLVAKIRARFPSLGLESIEKALEECENDLDSAIKFLLNLHVGSTEYNVDPICQSPSGMSTELEAADEAVLSRNEAASPIGNAPYADNFPSSSSQWVEILVNEMTDASNMDDAKARASRVLEVFEKSMIARVGAEAMESFQKENSVYKEQFEAVTRENTILKKAVAIQHERQKEHDGRNQELHQLKQLVVQYQEQIRSLEVNNYALSMHLRQAQQANSIPGHFHRDIF >OB09G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:810737:812179:-1 gene:OB09G10500 transcript:OB09G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAHVLLFPWPQQGHINPMLHLASALLDAGLRVTFLHTDHNLRRLALAGASPRHPRLRQLSIPDGLPDDHPRSVGGLIELLDSMCTAGSAAYRALLRTESCRPDSDDAALPPPVTCVVVDGVMPFATAVAEEMGVPALAFRTEVACSFLAYLYVPRLLELGETPVSSDEQVHGVPGMESFLRRRDLPRVVPKGDDDDVAVMLTIADTAAQCRKARALILNTTASMEGPALERIAPHMGDVFAVGPLHAMAAAAGEVEHARQLRRREVDDDGCTGWLDGHKDRSVVYVNLGSLTMVRSEQLAELLHGLVAAGYAFLCVLRSDMVSTFVISSSSSSSVVLQELVGEVVAEAGDRALVVEWAPRDVHHILRHRAVGCFLTHAGWNSMLEAAVEGVPAVCWPFFADQQMVSRFVEAVWKTGLDMKDVCDRAVVARMIKEAMESPEMRASAQAMARQLRIDVAKGGSSSSDMERLIYIYIYIYI >OB09G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:872483:872947:-1 gene:OB09G10510 transcript:OB09G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSYNSLAVDIERIVIMVVVAELEERTHVEQQHHSSLQTIATYFALAGAARQQQEHHYATTTLIVHANGRYSNLAIFVAQGCGAEQADDAARNGAGRQRSAVRSRPTTRRGMARPAQEGLGVGDGTEKLDATFQLPTVLKTISVFGKCNIPNS >OB09G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:875965:877452:1 gene:OB09G10520 transcript:OB09G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPGSGPRHRYGSGRSDHSDHDNRSNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGKAKHFFLNIITISVSLSFRS >OB09G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:880077:884753:1 gene:OB09G10530 transcript:OB09G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMNALNGNYVMRGCEQPLVIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGGAVTSSADTATFRPQMFPGNGSLSSQTALPTSSHMGMNPPMAQGHHLGGQQIQPLQKLPALPQNFPIQMQNAQQGQPLQGQQIGQLQVPQSMGPGSFGQNMLPGQLPVSQPLMQQNTSVGTVQAPSAVSNSMQAIPGQQHLSSNVPPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQPQQQQQTNLNHQQPTQGQPVQSSTPGAPTTIIPSSINIIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPIQQIPQAQQGQQQLQLKQQELNYTQLQAPGAIDPSRLQQGIQSAQERAWKS >OB09G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:890906:898567:1 gene:OB09G10540 transcript:OB09G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFPLLPATLLVLLCSSLALASVSEPALSWTCGDDQVAILDTPGGGRNLSVNGELVHDRVLGCDKLRSYYESGCLRCGEQSDSEAWRGAWKHYCRQGSESSKEENIPRKLLRQPSMSDAKIQDDPCENMGVHGNNQDDNDALERQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESISSFDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPTDEFSNEVDLLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLRDHLDGQYGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESFRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNMREILDPLLEDPVDDEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >OB09G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:905831:918772:1 gene:OB09G10550 transcript:OB09G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQRERMLLQAAFDGNLRLLRKMARGLDMTGQQGEAGVLAAVADARSGSRALHLAATEGRMDVLRYLVEDIRLDVNQTNDKDETPLFLSAFFGRTAATRYLIDHGADPMIVGKSGSPLHVAVGKGHCEIVELLLSRGIGIVFHPLHGTPLHTAATRGQVSTMKILLDHHADPNKVFNLDDTPLILAISSKSLECVKLLIQAGADVNFRDSNGDTYVMVAAKYGFSGVMKCLLDAGANPNIPDEFGAFPIEVAALQGHREIVEILFPLTLPISMLPDWSIDGIISHVKNFGLKRDKDLCVKKLAQLKLRGKEAFRRKEYLLAGQLYTSAIELGSNPDDYATLLANRSLCWLRLENGERALVDGNMCRMLQPHWPKACYRQGAAFMLLKEYENACKAFLDGLKIDPTNVEMENALRAAVEAMRNDLCTKKNQ >OB09G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:922904:925338:-1 gene:OB09G10560 transcript:OB09G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNPKFLANYKSSISMAQGDTGKKAMPQAENREIAAKDAQDIRRQADEFNSWLARMEAMDSQELKQYKEQNKDTFNSQKKDAIKKIQQKEKKKRKRTVLSPILGAVMKFHRDDDMDPSAAGPAL >OB09G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:928163:928456:-1 gene:OB09G10570 transcript:OB09G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNLRDTFLLIFSFAKMALGSLGETTCFSNKMSVSSIRSLILSDSSNAVILFGSKLSTFCTSSQARSSLSNASKHRDLFNNAFVYFGDASNARLH >OB09G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:927840:930887:1 gene:OB09G10580 transcript:OB09G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPRGTETSELVPNDGESSSRNSQHNGEENPISEVSNDVLLIGQAIELKEDGTRLFQRRDYEEAAIKFGNAIKLLPKEHNDIAFLHCSAAACYMHMNPEDYEHAIDQCNLALEASPKYTNALLKRSRCFEALDRLDLACEDVQKVLSLEPNNITALELSESIRERMEETDILLEKQVVSPKEPNAILAKEKIRRKVSRKFRNSIVEEEVWEMIHEEDMQENEENIEEEKCNGNDHVQNDARLEENNTEQVQIKHNRDSDEKYREETRPNHGQCSRGASNDGQKLQHSSLNMEEMFVNLKHGQDKHQKHLKEIHVRSSHEQETHPFSSIDKRQKNIDQIQTNSHSLQEKHTEKFERYANGNREKPILGRHIGRGEDKQEKRSTTKPTHHGRDKHKKHITENHTDVNRRAMKSVKFVCGDDIRIVVIPENITLMQLMDIARYKYNPHLKSILLKFMDKEGDLVTITSSEELRWVEELDPQKPVRLYIKEVSPDREITRDLVMPTTSYNKFERNHHSMSECGSSRHGEEKNSFTDDWMVQFARLFKNHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQAAEAKFQEMAALALYNWGNVHMSRAKKRLLLSEDSSQESILFQVKNAYEWACTEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKMLLDKLGLNGHAKDFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKTGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >OB09G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:936632:937072:-1 gene:OB09G10590 transcript:OB09G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLRRPSDIVHGGLLRSPFSAVLAALRGRAAPDTAPPSPPAPADHVAARFDHGIEREGDDDGGRRMEEERLGEGVFLTWEEVWVTAEDSRGKPATILNGVSGCARPGEVLAIMGPSGCGKTTLLDTLAGS >OB09G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:944870:946740:1 gene:OB09G10600 transcript:OB09G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLGVRTTQTTLTTFRDNPADWKKFKTGLLVYLPEMDRMFQGVAVDGSTSYVASATELVDCKSSDDEDNFKNEDEDLTPRSHGHNRATSTSTAGSSPRKSWKSPAVRAMRWLATNFSPCIPSWRTGGPNVTSTFLAVWRVKLVNKLTKECGVDPSHTSTLFPGVVKIIKNKSVMDLFIDTNPEGRLIMIKTHVGCISRPQAYVLFYRCTDSTYFTMHHVRSNAVEEDEDQDLCDDSTKESYGLKSSGKSTSIEALVLFLWMVGAPQFVRQAEDMFERSLGSVSSMFNKVLQSVTRLAGDVIKPWDP >OB09G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:953592:959908:-1 gene:OB09G10610 transcript:OB09G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQILINGRSQKLVFGTSAYVTQENMLMATLTVREAIYYSAQIQLPDTMATAEKLARADDTVREMGLSSALDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRIARLAAREGMAVVAVVHQPCSEVFELFHGLCLLAAGNTIFFGPPSMAAQFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEERQSYMPAVADEAIDILVNSYKSSNTSEVAKQEMRHINEMDRAMIGRKRAGFVTKTLVLTKRSFVNMYRDIGYYWLRLAIYVAISVCLGTIFYNVGYGPDSTRARSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVTTFVISNTLSSTPYLLFLAVVPGAIAYYLTCLQRQIDHFVYFALVLCACTMLVEGLMMIVATIVPDFLMGIITGAGIQGVMMLTSGFFQLPNNLPKIVWKYPIYYISFHKYALQGFYKNEFSGLVLQSNLEGPKIVTGEEVIAELFQVETGHSKWVDLAILCGMIITYRVLFIVIIKVLDMVKPMLKGVTFRWRPKCVHGMENLCAPL >OB09G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:974568:975107:1 gene:OB09G10620 transcript:OB09G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRRTAHPDPSDELRAAQANGSGTGVTLQPPEPGDAAGEEQANKPFARAWSEPDELRILECLAEHVKKHRARPARAQMLGLDKEEFTVTRIYEKVRRLRKRYEKIPSTPRPAKAPEVRALQGHMGQGHRASAGAGEEPRQAAPSVPLPRRRGGADQRRRVPRQGGRCSQEGIRVHG >OB09G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:975150:975305:1 gene:OB09G10630 transcript:OB09G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHNDAEATEPEEEGGQYANQNHRLASQFVPLSLYAILIWPFVSCGLLV >OB09G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:978755:979003:1 gene:OB09G10640 transcript:OB09G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSHTDGQWWRATTGGDPLVVTLGCLEDLSMEQEVLAGAAAVEHAPLSALSAEREEATAAVLVTSLVFLPGLAQQRLRF >OB09G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:980349:980597:1 gene:OB09G10650 transcript:OB09G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSHTDGQWWRATTGGDPLVVTLGCLEDLSMEQEVLAGAAAVEHAPLSALSAEREEATAAVLVTSLVFLPGLAQQRLRF >OB09G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:991180:991371:1 gene:OB09G10660 transcript:OB09G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMISKAIELNNQVTDHHRRREGASKLDRRREEAHGDVGWWSSAAAGGRTGSKPLSWRLRTVS >OB09G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:991281:993563:-1 gene:OB09G10670 transcript:OB09G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDETTAAAAPAELSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPMDPKNDHLRALDGAAERLVLLRADLLDPDSLAAAFAGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTAGIRRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLDYCKRTENWYCYAKTVAEQGAWEEARRRGVDLVVVNPVLVLGPLLQPTVNASTEHVLKYLTGSAKTYVNAAQAYVHVRDVAEAHLRVFETPSAAGRYICAESTLHRADLCRALAKLFPEYPIPTACKDAAAPPVRGYLFSNRRLRDLGIDFVPVRQCLYETVRSLQDKGLLPVLPPAAADDHQPTSP >OB09G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1051309:1054458:1 gene:OB09G10680 transcript:OB09G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRWLPWLVLLPALLRRGGVAAAAGKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGQPTGRFSNGRLATDFISEAFGLPPCIPAYLDANLTIDQLSSGVSFASAATGLDNATAGVLSVITIGEQLQYFREYKERLKMAKGERKAGEIIGEALYIWSIGTNDFIENYYNLPERRLQYTVAQYEAYLLDLAEAAIRDVHSLGGRKMDFTGLTPMGCLPAERIGNRDDPGECNEDYNAVARSFNGKLQGLAVRLNKELPGLQLVYADTYNILTAIVNRPSDYGFENAVQGCCGTGLFEAGYFCSMSTSLLCQNANKYVFFDAIHPTEKMYKIIADTVMNTTLNVFL >OB09G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1055249:1058296:-1 gene:OB09G10690 transcript:OB09G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26810) TAIR;Acc:AT4G26810] MVLRRASAAAVADCPKKVARLVDLVNLPTALRGFAGGQSQMSHLSFFLRVWSHIKNNNLQDPTNRNIVNCDDKLKTVLLGRSKVELSELPMLVKLHFPKFPKQ >OB09G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1060771:1065343:-1 gene:OB09G10700 transcript:OB09G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-butyric acid response 1 [Source:Projected from Arabidopsis thaliana (AT4G05530) TAIR;Acc:AT4G05530] MDVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVEGLRAKGVTVVGAVCHVSVAEQRKSLIDTAVKNFGHIDIIVSNAAANPSVDNILEMKGPVLDKLWEINVKASILLLQDAAAHLRKGSSVILISSITGYNPEPALSMYGVTKTALFGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNETLKNELIDRSTLKRLGAVEDMAAAAAFLASDDASFITAETIVVAGGTRSRL >OB09G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1068088:1068687:1 gene:OB09G10710 transcript:OB09G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSASGSSNRMVDMMARLHLTASEAKAVVLEDEKEVDLVDPSWVLVGKHAVLLQKYDGNFKPLQVPFDRMAIWAKIIDWPCRLMNARRGGEIAKALGRVMKVETNDQGWCWGGFMRVRVDLTVEEPIPCFVTVYSSRDKTFKGMR >OB09G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1069371:1074576:1 gene:OB09G10720 transcript:OB09G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEYTLLELSRLGVERDSRRTSLTKMRDIGVKNLIWFLGYNGSFAVSCEGLSGGLALFWFDAYTPSGEYDHNLFGSLRISIVLVGRVQQKQHEPMQRVFGYEAAWTWADNYEEMVENAWVANSVGPRSLQVNNREHLSKFRPISLCNVLYKIASKVLANWLKPFLPKIVYEFQSAFVAGRLITGSALIVFECLHSVRKQHSKRPFFAPKIDMMKAYDRIERAYLHGCLEKLGFISNRIKAAMRGVTSARYSMRINGDLTKMVIPSRGIRQGDPISPYMFLLCIEGLSCLLQKREEVGDLRGIRNDGLGLPIAHLLLVDDSIFFARSDRRSVEALKATLKSYCSAYGQKNVYMSEIKFYMIPICLCQLKLVGQLQNLSNFFLIEFGKSILFGMDLLKKGVWWGVGNGNSIKILTDNWILGVTARQLETSIPLPPDTKVQFLMNDDFKSWDAHKEVKLRFDIKLRRRNFRNMKQWIFDFLENSSSTQHIMLAVTFWHVLKARNNARNEDNMMHPRRVVQKIFGYVDR >OB09G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1082909:1085843:1 gene:OB09G10730 transcript:OB09G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXAVAEAPPVAGEVAELKAKLKDALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGAASLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFEFFGQNIDLTPLKGIFSSIENAASSVARTISGQPPLKIPIRTDNAEAWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >OB09G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1104130:1108324:1 gene:OB09G10740 transcript:OB09G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAGAAAGGGGVILGVDGGTTNTVCVCLPVAMPPPESPAAVPVLSRAVAGCSNRNSVGESAALETLEQVMGQALMMANTDHSAVRAVCLAVSGVNHPSDQQRMLDWIRDIFPGHVKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVVKAYDGRGPHTNLTRQILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILRDSVQELADSVVAVVRRLTLCGEDGNDQFPLVLVGGVLEGNKKWNISGEVVRCISEVFPGVHPIRPEVEPAIGAALLAWSYHRKGLKLENGS >OB09G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1108816:1111766:-1 gene:OB09G10750 transcript:OB09G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAQSDMNGSATIQEESCSNENELNVDDYDAIWDEINIGLQVFRYVTKSVMKGTISAVEQEAARQIVSKDAEIAFLNEKLRQFRNSGLSLCEGRDRFHEEVYSLRQQLDTISESLMNSEWGLSVSHYNNFDGAEDVSKHRVKEKSSKDGLTKEDSSKASNEDIFIDPIVLKHMDRDDLIIHFNKMMNQMKRQHDSALQEKTEEIFRLKRENLKKEGTTPWHLRNNKEFELMRKKICGVIAKLDEVLMENKRIIRSKTDVFPGQQDKMKVVNSHSHQLQYAPTDNEEDETCATPTKSSHFTFTEADYINQIKRLESDIEDASIETIIREEMQKILITEFISEIKMGLHGYEMEFNMNQDICSIIQNEAMAEAISNFNLLLRNSEENRSAETSSLQIQAIDKLILTVDSLDLVMREEEYLSQIGFESMKGHMDLLFHELGSLRGKIEKQDSYIYEKSMEFDVIVNRLEQALQHVHHDEIALNELNDRFRIVSDSQKELEQQNKVLHAIIKEKEKTFSSFIPKELEFTECMRSVVESMRCFEKFITDQQTTIANKVQHNESRFSLLKEQCKLIAKEGNTFKKKALRYKEISETRGFNLQRAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >OB09G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1114226:1114753:1 gene:OB09G10760 transcript:OB09G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPGNHVPPPPRDRNMVVEDVWDDIDAAGEGDNNPHYRHRKRPRRSPPPPPQPDAPAASQDPVVAQAAAADVDAPEHARGRSNAAWSLAPLRVLSRQTRRSYATPGHRRSRNQRPALAGRCGQASRDGTAATCHRRPHVCQQYKHACMRTTKPKPQQLHLRGSHKYRNTHARK >OB09G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1115223:1116600:-1 gene:OB09G10770 transcript:OB09G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSSNVPEKRRNKRQDPVSTSIESDGDHSPSVNFPRGRMGKKKVDAPIPRRKVKRTVNRQHPSGGIRIEEPRPSGSCQGNWYNSIFMGPKKLSIIEMKWIDWEFLGKQRSKVAKTAVHMCHEKNVDKLMSLEHAWSAELIGQFYATAYFEDSEDGTEEHIRSLMEGLEYTVTMSHFASILELDEHDLNKPSLHNEPALSQDVIRRLYMDDNHQVHLGTSKGLLPHFDLLLKIIKTTLSPKSGDKSTLTTRHAALLLRMCSTAQPFNVMKYIWNEIQMIVLDPSNGLSYAPFLHMMIQKIIGFHFKGECEHYAYCPKIPQAPKITGSKGKPTSSTSQLVHPSCGSSSSSSIKRALSAIFGLCKEDGTEGQVY >OB09G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1161176:1173175:1 gene:OB09G10780 transcript:OB09G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MAAEMEATETGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRTDEARPITVALGAVSSAHGSALVRLGDTAMLASIKLEVMSPSYEAPDEGSVGLFAVDFPCICVAPFQVIWLIYSCVAWYSAAVEFHMPPICSPVVRPGRPAEVAPVISKTLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKIKYEVVNREKRKITVTNIPFSLTCALHKDNILADPTAEEESIIETSMTVVLDSSDQIVSIQKFGGAVTSMATIKDCISLAKDRRKKLRGILSVEAMEVDSHSSDSVN >OB09G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1202753:1215189:1 gene:OB09G10790 transcript:OB09G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MAHGPKGSSVSPRPAIAVNVALCPLSLGELMSDSTGAGAKTDGTVQVHKDNPVKRVPIARPSFGHEGKQIKLLSNHFTVKLSGIDAIFYQYCVSIKSEDDKVIDGKGIGRKVMDKLLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSPGHGSPGQGDKKRSKRAHLAKKFVVAMSYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAMHNNMEFKIIGLSDEPCSRQTFPMKVRNGSNEGQTVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCNMVSLQRYTKALSSQQRSTLVEKSRQKPQERMRVVTEAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQAKRCSPVVRVERMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSTKMNDQYYTNVLLKINAKLGGMNCKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLGDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELDQIIKAYEYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAQAGPIGTSRPTHYHVLLDEIGFSPDDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSDAPSSSGAAVPELPRLHADVCSSMFFC >OB09G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1231137:1231547:-1 gene:OB09G10800 transcript:OB09G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYIYDICIEQSHKQHSNHTKHATKISKQQARSTEYSKKNQQTGRKVITGGLPPAAANNKRQEWGEEEEPAASYPQTVDGPARLRIYGGVPVRRRLREDGAQTGEAPLAVGRRRTVLEENLGFMQIPEEKNKACG >OB09G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1234078:1235178:1 gene:OB09G10810 transcript:OB09G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTYHGDSRFLLGACFLSKLPTLRQMKVSLVCSANPNNHRSRNLDITRQQKVGSARRKSKSYQEKDDSENIYDFDTDIMSSRNGPPISLTSNSRPQATSVPGEREKEIVELFKRVQSQLRARGKGRDEKKPEPTKAQGERGSVDSLLNLLRKHSVDQRRKSSDEKEQSVDQTRRSNESGNKQNSSIFIKNDTQEEQKKPQPAAFKRPSSNFRRRSPVPGVKFQLVTSVDAERATNNINDAVEETKATLENKVAADEPDSLSTYQPDSVIPPENLYLGDVGLISDDETGASDTDEPNGEYDEPSLQIPNVPIADESCDMSLEPSTGSSDLSSLKVTELRELAKSQGIKGYSKMKKNDLVEVLSNMA >OB09G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1236076:1236240:1 gene:OB09G10820 transcript:OB09G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWKLYFKIPWKSNEHDILFLCFFYVPVLTILCFEVWNKTFVQLPGNQYEQIT >OB09G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1237739:1241317:1 gene:OB09G10830 transcript:OB09G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQSSLSFSSRNQWRGAGDDVQRYRRRWQPKRLIRDRSHGPCTCEHIFDSRSTPTRSAWAVAAYGGVPILINACRPGSGSTVLQALAVAALKNVASIEDVRSALVEEGGLPVLVDLLASGSSDTQKSAALCIWSLASLGDHETQYQIVQAGALLPLLQALHTASGLDLHDAVLRAIHALAVVPAAARTLCASPLFFAQLTDLMCCGGSILLQQMAADMVAELAPGVSDDTKRCMAPCICMLVKMMETAKPATVQESAGRALLALMTLKSNRKELVRDEKSMTRLLHMLDPRNEEIDKKYPVSVVLALAMGGGNGTRRRLADAGVCQHLQNLAEAEVPGAKKALQRISGNRLKSLLSRGWTN >OB09G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1244928:1248921:-1 gene:OB09G10840 transcript:OB09G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYALQRFRGAAFFLLSLLLSDSSLPIPVPAKGQAEQQRSTSTLLASAVERASMRQEATCSLVLAHHRKNHSPPAEEDDGGGVGEDTDDALPPPSCTILLRQEGEATAGEGLLVPPLNFAMVDHGVYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPDANQEFLRAHGIRLFKFGIDGSKCKNYIKLKSIAMLVYMHQELGFGTENRCCLQEPFVNIPEDRIREALEVVLDVTNHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKARVSDLRFMELFDTSSLKHLPASFSC >OB09G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1306404:1314485:1 gene:OB09G10850 transcript:OB09G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G08160) TAIR;Acc:AT5G08160] MGCSFSGLNALYDTVGGGGGGDIWVNDYRFRVIRRLSDAGPAGSSVFLVKEVVAAAAASDGTAGAGPGAAGLAKKKGVDPSHISADGTYALKKVLIQNDQHLEQVRQEIRVSSQFSHPNLLPLLENAIISVKGVQDGSHNHEAYLLFPVHLDGTLQDINKSMLEKKEYLPTITILQILRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIVIRSEAEALQLQEWASEHCSAHYRAPELWECPSHLDIDERTDIWSLGCTLYAMMYGKSPFEYELDESADETLQSVTKNAQIKWPTEAGATYPDSLRQFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYST >OB09G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1323522:1325446:1 gene:OB09G10860 transcript:OB09G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPELPGLPQRCESCRSAPCGFYCRADSAALWAAGGAGPRNTPPPPRGRHCRVPMAAVATPRAGGAFVVRPAGGVSWPIRERRRCDYDDADTGEEEEATLWLLLDPHKGSDQGLPPFGDALVAAFLNLGGGAGENEDASSKECSSGSHDHHEFAVPVAQLPERQGFAAVRMDIPDYDAPNPRRGYCFGATLGHSVSMSSLENMTRVPDCGVPDTTSSSFLKPSKSTIDLFTAAGGSSAAAHSNIMSPTRTQFAGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAEARPRIKGRFAKRTDADLEVDQYFSAAALADSSCGVVPTF >OB09G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1332192:1339453:1 gene:OB09G10870 transcript:OB09G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSKTSGVFTQQDLLESFINSDFHISSSSINSGSGQTIVNPSCAYRKQDLLQLLDQDNIPGPFTSYTSLMEQIISSQNSMLDGIDDIPRKDTAKMENNIIQDEDEEIEHWSLDLFNLQQNEKETNSMNKNLNDSVQSDIHHCENQHCDDVQNQDDVTEEDIEQYLIEEQLQASKGNNAEVDRKYIPEIGMKFKSIDEAQHFFNFYALLAGFSVVNAHSYHSSKKRNREIIRVTFKCNKQGKGDDQGNSKEIEKMVVSQRNTSKVIQTDCKCVLVILERNKLCEITRLDLEHNHELSPQEVVRFLRSHKYLSSEEKLLIRTLKECHIPTRNMIVILSFLRGVLTSLSYTKKDISNVRTSINRETSNNDMMQVLQFFKKKEEQDSNFFYEFDLDDDRRVKNLFWTNGRSIDWYAKFGDCVSFDTTFLTNRYNLPFAPVGISGHGNTIIFGCAFLHDETTETFKWLFTAFLKAMSGKQPCTVIADQDGAMRSAIAQIFPNSKYRNCLFHIVSKALNRSGSLFKKKKGLYAEYDDIINNSLTEEEFVFLWKDMIERYDLHDINFLQNMWENRKRFIPVYFKKDFCPFIHSIALSEGTNSRFKRNIGPQYSTTNFMIEYDRVMDTIQNLEQQDDHISRTKKPSSFWSHFYIEYQAVQLYNSKIFKKFQVQLKSTTRLQVEEVEKMKQVFVANNQLVQEVRSRKYLVLIDAVLEKFSCVCAMFEKDGILCSHILKVMLDLNISKIPKKYIISRWRKKQSHSEVQSSKRIILVSESSTLRFNILSRKCAEITSIAAKQKETYEFFMEEFDKIQNALSDMNSEKKNEESVHIDQIFKVNVNYEALQQPEELRDPDIAKSKGRPQQRYKTIRERIEDRKVNHCSHCGRTDHTFPKCTFKHMEFNLPSKKKRKSTNQPQQHFKTMKKRNESSVGKSGYNEEHGNQENNG >OB09G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1339835:1346159:-1 gene:OB09G10880 transcript:OB09G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFDLIRWFLEHIASIFKYHIFVLDCLLKMNLNFWIMANDKQSKMYNCTEEIDAESTSSDSNKDYVCTSDEQSEDDVVSQLHHISKKDILKSLKLKLNKSTKNIADNSKIKTNDSEDADNDDEDLNTTLYQIRKEHVINKLKRTLTMHAKNTEYSMKVNYLDFLNYGLRKIPTDIRRIKVWKGSMIKEFAKFDKTSKEVYGKRPIMDIHQLATKCSIGSVLPDDIKIKINEVLIQHFGDEEDMIDDKPKKLLIDVLLLLSNASKTRLDDSPKAIHHTTKNCEDENSESNKKDLPISVGELSNEILADSDPIFPKNKIQSRVIEMRDPIQTDQKFEDGDNSTSITIQKNTETDVARIMQKLRREGQTITTPPTCIKKEDTNSCKDIEHVPVKKRKSRLLGFNDTSAFLSDEDIPKFKIWDSDDDNFGENVNVNNKERIIQKQPTDLTQNVEVNPEIVVLTSENEDKIEMVTLDYSQTQLDFNYDDQCKENVAPRNTKESPDVLILGERKFSQKCIDLSSKTDIMYNRMNPIRENASKKLKLSSHSPERVLLCNVKNLENNTCSQKTYHNLRSNLHPARYSTDPYTPERCSFPVSQYEKQIYNAVCNLASSQFQDKVVVDIDNVHCKFYTFGHSFKVQGELSNFVCAVFCRYMFHLSHPFKSKKHYFFSSIGHPSKADFRSVKKCFDGAAYARPVQSCDLLFFPILSKKHWFVFVVNLKDHRFVFLDSIFDENSYHNEIAPKLTLNFSIAWNTFVTGDPIDFNSFQIIFPAVPKQTNRFDCGIFALKFMELWGPRVILTNIFSPRDIPNIRIQYVNQLFFNPSNIVLSTETKNLVTGYFS >OB09G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1349827:1368306:1 gene:OB09G10890 transcript:OB09G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASTSSGDLGVMAGGGGRPVRVIPLRHPLEAVAGRSAPSSPSWLSVMVEKARGMGPRDWAEAALPCLSWMRRYKWKEDLQADLAAGITVGVMLVPQGMSYAKLAGLHPIYGLYTGFLPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGSIVDSSSELYTELAILLAFMVGILECLMGLLRLGWIIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQFSWPPFVMGSTFFAILLTMKNLGKSNKRLRFLRASGPLTAVVLGTIFVKVFHPSSISVVGEIPQGLPKFSIPRGFEHLWSLMPTAILITGVAILESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKSGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLTFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYKDRYIQIAIANPNRQVHLLLSRSGIIDMIGAGWCFVRVHDAVQVCLQKVQSSSSGSMKLSPQASGDLADSIATPKAQRRYGFLRNLWKSQNGNGSTGSEVQPLLRQNLV >OB09G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1371310:1376682:1 gene:OB09G10900 transcript:OB09G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G42660) TAIR;Acc:AT3G42660] MKGRVVKLREAHKAGSPAFCSVAWGQRGQHLVTASAADVAILIHEAAAAGGRGSGSAAAAAVSTIRLHKDGVTALAVAPGSGGSLASGSIDHSVKFYSFPEGAFQSNVARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASIDTFGTVIFWDLCTGNEARSLKRIAPAFGSDHSVNNALCWSPDGQFLAVPGLRNNVVMHDRDTGEEVFTLKGEHEQPVCSLCWSPNGRYLVTAGLDRQVLIWDVKSKQDVERQKFDERICSLAWKPEANAVAVIDVTGRFGIWESVIPSTLKSPTEGAPDLNSTKIPLFDDEDDDEKPSTSGGLEDDVDESLGESGPFNHKRSRRKSTFHGHSNGDSEDEDLILQMESRKRIKDITHRDNKGVTTDKTRGDSATSGKLVTARMQTAFQPGSTPPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNDSGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKAVAVGNGWVAAVTSLNFLHIFTEGGLQMHILSVNGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRLVLSPSSQLSWFGFSENGELSSFDSKGILRVFSGQFGGSWIPIFSSIKARKSEDESHWVVGLDANNIFCILCKSHEYYPQVTPKPVLTIFELSFPVASSDLGATSLENEFVMRKLHLSQTQKKIEEMAALGLDTTALDDEAFNMEAALDRCILRLISSCCNGDKLVRASELAKLLTLEKSMKGALMLVTRLKLPILQEKFSSILEERMLNDAKIVKTTGVLSNTNTNYPPSLAFSTQAVAPAKVVQNGNSLKLSTLPELNPATQQRNPSESNKAEVEHGTQSKERSLKVSPLQAPLAKIQKNGENVAIKTKKDKDGSSHATTVDQNPKGGIHQVALKNMSTDDCSGLQPQRPVNPFAKSSSSKEQPSSVFDSIKKMKVENDKVDKADSKKVKV >OB09G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1403221:1403718:-1 gene:OB09G10910 transcript:OB09G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSLLGRNPLVVDYVDEESFPVEEPPPAAAGEGRQAQAVPQPPVVRATAGVAGTVCSVCTEEIAVEDAVVRLPCAHWYHAGCISPWLGIRGTCPMCRAELPANDDCAEEEGGSAGREKPRAARAGTSVAGGVRRDASSYELIAGGGGGVLSG >OB09G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1412679:1412903:-1 gene:OB09G10920 transcript:OB09G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLMKGPIGSQFVVGWSFSSCAQNESGQLHVFWRGGTRVRTIGMTFCIGGHRNGEISEIFRTKIVQTIFEFKSN >OB09G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1463045:1467933:1 gene:OB09G10930 transcript:OB09G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMEMVAAYCGRFYATKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESMDATENDSTTLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACSFVVRIKT >OB09G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1470735:1475334:-1 gene:OB09G10940 transcript:OB09G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKAIPLIDIRPLVEKIDDPNMANDKDLLQVIRMLDDACKEAGFFYVKGHGIAESLMKEVRDMTKKFFQLPYEEKLKIKMTPQSGYRGYQRVGENITKGKPDMHEAIDCYTPIEPGKYGDLAKPMEGSNLWPKYPSDFDVLLENYISLLQDLSRKIMRGMALALGGPVDAFESSIAGDPFWVCRLIGYPVSTDIPEEQRSDTGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPHYRVSVAFFYESNFDAAVEPVEFCREKTGLVAKYEKVVYGEHLVQKVLTNFVM >OB09G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1481482:1488856:-1 gene:OB09G10950 transcript:OB09G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDTPRRATKRETLVPPLSRSSRMLLLKSFCVGFRLSRRGPIHLLHRSRRRCRLLLSVLPQAAMGSVAGDTARLSYPPARRDDSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQAELAESVLAGCADRENLRGEVTRLFDHPRHGVPFRRGYKYFYFYNSGLQAQSVLYVQDSLDGEAEVLLDPNTLSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIHVMNVADKEPLSDKLSWVKFTSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYTFGVSVTEDGKYIILGIHEGCDPVNKLYYCKISTLAQGIEGYKESKENLPFVKLIDNFDAQYEVVANDGDEFTFLTNRNAPKNKLVRVDIKKEELWTDILPEHERDVLESADVVNGNQLLVCYMSDVKHILQIRDLVTGNLLHKLPLEIGSVSEISCRREDTEVFIGFTSFLSPGIIYRCNLTAAIPEMKIFREILVPGFDRTKFEVKQIFVNSKDGTKIPMFVMSNKDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFIACAELLISAGYTSSRQLCIEGGSNGGLLIAACVNQRPDLFACALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFGSGCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDPPQVNPIIGRIDRKSGHGAGRPTKKMIDEVVDRYSFMANILGASWTE >OB09G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1512301:1514244:-1 gene:OB09G10960 transcript:OB09G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWAASLLLLPFPSPSTPPRPPPLPRFLFLGAFVPPCPPALRLLLSPSMRPTNDKFQCTAYSEMSLMASLSNPYIVDYKDGWVDEGTSACIVTSYCGGGGMAERIKKARGVLFSEERWGKYIISMGLMGLYMGHTNTPRSLNLPAQRSSRLDKKERTHKNTPHPQSQLAIGDVETGAKLREGRQGETHGEDVSQLGGSRDMENSNVADSNSVVDKVQVDLHILHPPNAELGWWRDKVAAEENGVAGGGAASVRTASTVNVGVDNELSGTGPVKGQDIVNGATNIA >OB09G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1557907:1585558:1 gene:OB09G10970 transcript:OB09G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin transport protein (BIG) [Source:Projected from Arabidopsis thaliana (AT3G02260) TAIR;Acc:AT3G02260] MGNGETRNLAYPYSTYQGKGECDGVWTGNHGVTEVSAASRFTKAQEGTARLGVKEGMKPEVRCDCLGGLGERSYHGFRTECVLGLIPGPRFNTCYCSEIWCKFLSVTPTMRSQVSLEFLVLQVEQVESTIVEIVERSLEFCLLYLEKSSYACDDYGLLNEVAYFMESVLLKGIPSKVYSLEPSVVNDVIEQWSSVQVDSEWVSPQEKYFCYLKGFNCSNSGDDLQRFRLTLSPECLQQDYVIAENTESLYTVPPNGILSIAQHFAVVHLNCIPLLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLAMEFPCDTSDTMILTSVARCTDSLPVLFRLKFKFSNHDRVFSGDGVGTVLLQILDEFLQLIHIIFCNSDICCTVQVCVLASLLEIFSPEKWKYDKSAACLMPPLAYSPHIVQYVLKLLNDTKRWTSRVDRDRPGKYVIGYSCNSEIDSLFCHTRSKKVPLLKKYACDEYLQLIFPPEEQWLDDLVHLIFFLHEEGVKSMSILEKTQSSCMKQVTLSELESVASHEEEALFGNLFAEARSTGVADSVEQPISLGSGPSSSQHGPIQLAADLICFMKMSIFSPEWCTAIYMIACRKFNSNHLEQFLSILQCPAFCSDESNATTSFSVVSSLHINTACFELLQMFLISDECPASLREDLVDKVFNADNGMHTYNNYTLALVACAIISGTSSTYNLGRKVFVQYVGYLLEKANDKSSSSLDFNNFCKILPCAFHLEILLVAFHSTTGPEKADLINIVLSSLEKMKQPPLGVNASGLTRWGLLLSRLLLVLQHMLLYPVTHPSWLLMRLRSRMRDIMLKEGQSRSMNDCLPSVTTEIVEGIFADTIKEYALTSSLFPQLIDVTPAHTELYFDKSALEALGLNFADLGVTISEILGVWKGKKAEVMEDLIVERYLFLICWSTLSGIGYNGGYEGLLNPDFADVNLFISFALSVSDDTSSLLDSNLPSVIFGFLELLHSELRCGSSVLESWDFPRKGAWLSLILSLINSGFWGHQISEKPDIDLHGKQLVHDAELFGKSLLPYISENSGRCLNVLSSLLETYLHTFKEAYISFFHKGMESKDHCYPSWLLKHSVFDKSKHQLLFDKVGSNIMLDPLFDLSSRVDRVAMKLGDGRKEYFLLKCLLHGFPLNSASNNSAILSCVLVINEIINTLNGCIKIMWPDDRDLVGAGVKSKLLSLVMTIKSDRMFTSIHKLCDSIFMSLIDNKDDLVGYSDLFVLKQLEGYLADINSKESMDHVVKEIMVSTVVDLVEDLRSKTHVFKFFLGDAEGAPYGANNLFAPEQADMPIFIDVLDKCQSEQVNLKILNLFTDILGDDGLCPDLKQKLQHKFIGMDVSCFSSWLEFRMLGHSVKIESTNSTTSGTTTLRELAMDFLMRLTCPSSEILAKELQHHLFDSMLLLLDRAFMSCDLQTAKAHFHFISQLSTEESHFKQLFEKTLTLMENMVGNEGLLHTLKFMFTCVESVFGDAGLNRSALKRLSSKSSGDSFGSGSLIPKQLKNSGSLVLRTNQESNSTVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVSPPVTSSFQPILPYHEDMEHVADSGSDFEDDISVEADNCIKLSVPKGFGDELPVFLKDLDIEARMLELCKKLLPMILSQRELNLLKDRKVFLGSDMLMAQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLSTSIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLVEHYLCVAGLEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVDWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLSVALAGDVGAKTLTDTVFVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTFMGRLDADSSSITELSYICENDQDGKSKPAGLYRWRELIVGSGTLACLSKFKSNTPLAVSLGPHELFGYNMRHASNSNAPLVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPNGSDSSTTLTAEQTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITSDVKFNSDTTKSGDSESIKQRLSSDDGYLESLTSAGFKVNISNPNPDIVMVGCRIHVGNTSPSNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIIAGRTFDGSSIPRLDSIEVYGRAKDEFGWKEKMDAALDMEAHVLGGSSASGKSGKHAQTMQAAPIQEQVLADALRILSRIYLLCQPSSCTDTTDADMELNNLKCRSLLETIFQSDREPMLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPAITSRLGVGGAASSWVTKEFIAQIHTVSKVAMHRKSNLASFLETHGTGLVDGLMQVFWGILDLDRPDTQTINSLVVPCVEFIYSYAECLALHSNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPDHHAKASASIPTTGNSQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPAPHSRDHPMSAIPIELDTFGGEGNEINFSVNELSDPNVLQAPANRSIQTSPSPIHVLDASESVDFHDSMPEQRTVSISASKRAINSLLLSCLIDELSGWMETTTGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDEINISKLFPVKTRCSFGEVSILVFMFFTLMFRSWHQPGTDGSHSKSGGSSDLTEKGPVHVQVATMTLPSSSGDHDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHVFKSSSVNGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKTAEKDKSCKVPNTKDLKLDGYQDVLCSYISNAHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQHSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHLDLLPFLMDNFYYFSEECIIQTLKLLNLAFYSGKDANHSAQKAESGDIGGFTRASSQSSDSKKKRKGDDCSEGSSEKTCMDMEQVVGVFTGKDGDVLKRFVDTFLLEWNSTSVRHEAKSVLFGLWYHAKSSFKENMLTTLLHKVKYLPMYGQNIIEYTDLMTCLLGKANDSTAKQSDNELLNKCLTSDEISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTGNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTNALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHASCRKQLVSAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNSLIQKKVIYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDSGEKEPGVGKSSLMQGKNDDTAGHSVTNVPTSRTQSEVSGKIPDGSRRGQDISLLSYSEWESGASYLDFVRRQYKVSQAVKGLQKTRHDSQKSDYLVLKYGLRWKRRACRKSSKGDFSKFSLGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLLAGESAAEYFELLGTMIDTEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTQHSLSNASTLSAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREETQDPEVEFAIAGAVRECGGLEIILSMIQSLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTTEETGAGEQAKKIVLMFLERLCPPDGAKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPYLMDWSEFDLLQKQHEDNPKDETFRKNASTQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAAVVHLRESFASAGQTSFRTSAEWTAGLKLPSIPLILSMLKGLAKGHLPTQKCVDEEDILPLLHALEGVPGENEIGARAENLLDTLANKENNGDSFLGEKIQELRHATKDEKRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDMEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPPGQYTRCLDQYWDQLNSLGRADGIRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSRFLPFMIQMASHLVDGSANQQRHVMAKAVASYLSNSPSTPESPVRLSALSGARGGSGSSEETVQFMMVNSLLSESYENWLQHRPAFLQRGIYHAYMQHKHGRSTLKLSADASSSAVRSDEGSSADSGDSKRLFAIVQPMLVYTGLIEQLQQFFKKGKSSGTHKVGEKDESSGGNLEAWEIIMKEKLGNMKEMLGFSKDMLSWLEDMTSSEDLQEAFDVMGALADVFSGGYTTCEDFVRAIIHGGKS >OB09G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1587133:1589182:1 gene:OB09G10980 transcript:OB09G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQQGKAVVVAVVVSHVLLIAGKGLAGGVPAVIMFGDSTADTGNNNFIQTMARGNYPPYGRDFAGGLATGRFSNGRLSVDFVSEALGLPPAVPAYLDPNRTIHDLASGVSFASAGTGLDNVTAQILSAMTLTQQIEHLRQYKEKLRWGKGEAAANHIISQALYIFSVGTSDFLHNYFVFPIRGDRFSLPRYEVYLADAAAEAVRAVHRLGGRRVKFVGLPPLRAPPVGRRRCAPCTGWAVAG >OB09G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1589263:1590900:1 gene:OB09G10990 transcript:OB09G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLAALGFNARLKRVVKKLNWELPGAKIEHVDQYSLLSDIIAKPWEYGFENSMQGCCGTGYVETGILCALDDALACDNADKYVFFDAVHPSERTYKIIADAIVNTAPHVFH >OB09G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1607409:1613124:-1 gene:OB09G11000 transcript:OB09G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHQFLSYPPSRASLVLKGALEASADEPAAKRTTFHPDAEHAGVPQDADTLRPTRSPSPPLKRLRKPIFKKGPSHPIYIADIQIVDRSRLGTSRQGDRYSHCGQDIGCIETECSKLSVLKAVLEAECERQVHVGRPHGVCPSRQPPGGLSQPELSFIYPARDWRLGRFVIFPFVSFITRGCLGLSFLLDCWLREVLNEYVSSKLANSSVASLLEPTVKKKAEPKATPSDKADEGKDDDMFSSMQLRVPTNEQLPNLKGYSQPEPVKECFIFGYVVRSQEVDKEPSCTLTSIGSRRNTSSNEQKIDVRNGKRRQRSCRSAAVDVAWTGGVEPTAYPAAVGGGWRRTGHGSGGRLGSAMEHRYAGLGREAARRASGGGRSDDRGHASTVEVRDLASKAARQWGCGRACGALATEVGESPPLPGLLVVVISSS >OB09G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1642734:1656007:1 gene:OB09G11010 transcript:OB09G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:J3MVS3] MWRRLPARRLASALLSASSAAAPLPHALHRGLFLSCGHLAPAHPWQQQTLPRFASSFAAEAVASEAEELHHSSEEIARGDNSSLPAPAPATGQEGREVGRRRTGRGKHSVEAKASHGFGYYKYAMLRRRQVQIETEAWEQAAKEYRELLADMCEHKLAPNLPYVKSLFLGWFEPLRDQIIAEQDLVCERRARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRLHKFLEKPKKKRSKEMDNEEEVGCSDIAKEQERLRKKVTDLMKKHKLRQVRYIVKKQDNSKPWGQDVHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAKEQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAVKRAPREQMQSVFEALDTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRSDVALPEKPDTEDEAKLKKWRWNLKAAKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVLEIMRNDALKDPATDPDAARARMLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMIGDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKIIACENEPVKWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLGSFEKSFPELKFPPLPERGDFDLKDVLGSPYFFN >OB09G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1657879:1672564:-1 gene:OB09G11020 transcript:OB09G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MVS4] MVIAVEGGFVHEEEDVDHPMRYLPLGRVYSSTSPCPLPKKPRAAEDGKPPVIVYYRRRRKKPRVEGPPLSPATAPPMLRQRRDEEDEGTRRKGSLKYELLSLGPAPPALGGDRVESAQRRCLRRSGGAERRGYFSEPKRRQRPGVHKEAVSSAGRRWLELEIEGADPLAFVGLACKVFWPLDDDWYKGSVTGYNETMKKHLVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLSGHAMWPAVVVNESTVPANQALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFHRSLEEAKEFLCTQLLPESMLQLQKSMEKGGSNVNSNKDGVHSSDNLSEDKTAQSGGDYDEMTQIELGNLRVSKLGRIATDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVTLYKMEVLRSSDLKARPLFRVVSEDGAQIDGSTPNACWKEIYCRLKEKQRNVASESDGNVCQRSGTYMFGFSNPQIRQLIQELPNARLCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLDGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSIESNLVKPTVVVQTDAIPSSGCARTEPYDFHGRRGQKQPQVMATASVKRLYVENRPYIVSGFCQNRVGYDATSEPTQSVGSLDVAQQEVVGNVSSMVEKYKSMKSTFRRRLAFGKSRIHGFGVFTKVAHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNKSELFHQ >OB09G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1715901:1722443:1 gene:OB09G11030 transcript:OB09G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPGGMDSFINGDVRLLKHERSIIAEDDLDLRWQAATGEDVSEVIFLSKHTAASNRPALTVHPIGVPHLREDETLPQGGRPGWVALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWGRQDAAQAIALLLWKGLLEEGKTVGTWQGNGEKVLLGIGGGHYAPRHMDIVIKDGVWVGHLLSGYSLPMEVLPEGTGKSFSEVGGMWKHSIKVSYDATKAAFPGGEVIAHLDQKSFKGWQKTAIMSYLQKLNIRIGKPNDFI >OB09G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1728704:1733907:1 gene:OB09G11040 transcript:OB09G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYVLAVALAVMAAAVEGKSYNITKILAAHPEFSKFNDMLSKTRLAYDINRRQTITVLAVDNSAMASLDHFTLPTIRHILSLHILVDYYGAKKLHALSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADESAKPSRFVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPVDSAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATASESKKDYSYDVQNKGETVTLDTRVVTSAVTATVGDAEPLAVYAVNKFLKPKELYKVVEAPAPAPEPSKKHKAAADDSSDDSSDDSGNVKAHKGDAAPAAIARWVTAAATAIAALTLMA >OB09G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1747400:1753153:-1 gene:OB09G11050 transcript:OB09G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:Projected from Arabidopsis thaliana (AT5G48385) TAIR;Acc:AT5G48385] MSDMESMATLMESTSSKIQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEMEFEETVAKSEQMLEQKEAVVVAKELTSLERLQQKRDAALAVIFGKSKLNLSMPVINPINKLVSNDTLLNGNMGSLVVKWPKPATEHGADLQDYTAVKPRSELVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRRVSDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLGQLQTDATTGFISEGQMLTASIKERAKKIAHEWKSKLDNLDFDASNGNCLEAHAFLQLLATFGVFTEFAQDELCKLLPSVSRRRQTPELCRLLGLSHNMPGVIGVLVENGRTIDAINLAFAFELTAQFEPVELLKAYLKEVKGMPHVKPGKISLGVQNEINERELSTLKAVIKCIEEHKLEEQFPIDPLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPAPHITSFPDKSFYQAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISAGHATYYGNGYQVQYQVPYIH >OB09G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1758133:1760329:-1 gene:OB09G11060 transcript:OB09G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTWVKLEQKGDGPGARSSHAITLVGDTAYAFGGEFTPRVPVDNAMYAFDLKSQCWSSLDAAGDVPPPRVGVSMASVGATVYMFGGRDQDHKELNELYSFHTETGTWTLLSSGAGEGPPHRSYHSMVADAEGGKVYVFGGCGDAGRLNDLWAYDVAAGKWEALPSPGEACKPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPATGAWSTVETTGGTDKPSPRSVFCAAGIGKHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWARLDDASEHHPGPRGWCAFSAGEVGGRRGLLVYGGNSSTNDRLDDIYFFTPPLAP >OB09G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1762796:1766294:1 gene:OB09G11070 transcript:OB09G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3MVS9] MWFYEIYLFILEKPFLSLPYLLILGLLAGCCSIAFFPSHTTTTTATQIRDRGQRGVPVRRPAVPRSWRRRAPHLPCRGGGEGGGSMKDVVGSPGTWSGMSLRVSQCVFAGASVVAMASAYGFPNYTAFCYLIASMGLQLLWSFGLACLDIYSLQTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLASL >OB09G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1768601:1772207:-1 gene:OB09G11080 transcript:OB09G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPCPRPSPRPTDSVERRDLADQEHPPPSRKRKGHEGGGNESDPEGGKRARASVEPPPPKEERRRRERRRFEDADANGKHGDDSSKGDKDNSNHEKRKVELSLNGDSRSGLVPNAGVHQLLNGAPVVVPSSDPVPSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTTSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGATSADTQIPKNETQHVSSSSASGMSVGAASSLTDSSTSAMPATAGAVGIPGLANIPNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTTSELTVPQRPAKAPVLRLDAQGREIDEHGNVINKTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGRPPKQKQKEEIPDIEPWDAKILLSPTYNDFSMEKVNMDKITIYVEHPEPFEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPNTLETIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAVVITDGISVVVVEGGKKSIKRYNKLMLNRIDWATAVGGDDDAEEESDKPVNSCALVWQGSVVKPSFTRFSVHNCRSEAAAKKVFADANVPHYWDLAVNFSED >OB09G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1776622:1776831:1 gene:OB09G11090 transcript:OB09G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHLCIWRNLHILTKPFAWARRAFVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPQ >OB09G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1778308:1784937:-1 gene:OB09G11100 transcript:OB09G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSSPDQLKAELRNIYGQLCQDDMPMVRRAAASNLGKFAATVEQTYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAAGPEHSREHLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >OB09G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1796685:1797101:-1 gene:OB09G11110 transcript:OB09G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKANQVDDDLESGKDLCDDAGSDATLACVGENDVAVITEVIVKTTTKFAKAACAFVRRLTPTIVAHHIDWAIICEHREDINSYRPSCKFYCVFAFDEMVNNNFNTTNPTSETCENISIKMKALYGKDVTKQQCYNY >OB09G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1805717:1814143:1 gene:OB09G11120 transcript:OB09G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALIQIDTEQLLAHLVEAEMNRQTVLGHICMHILASGLNGYMAFATNLKEPTNKWRTNDLNRSNLAMSSSKFYHALDGKTEGNSGILPTPTPTSSVATSSPRSICTSSLGHVSYMGQKPMGRGEWVANGLKIVQQKGLRIFISKIQILVSDDLLGRVFSIDFYMAFQTITKVNVSNDSSVLTSLDAQGHVSYMGQKPMGRGEWVANGLKIVQQKATYFEANAAGITSFVHHFHPEIPINDERVD >OB09G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1816772:1840025:1 gene:OB09G11130 transcript:OB09G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIFCSIPVKFVPFLYLQIYMASHVVFTEGYEVSSGYIFYVTVNNYYIYTVLQTKKNLLTFHVVDFADGNMVLNPMVFYPSTLIIYQSEMNRGEDVDCHGHREEELKVFPSDEGFSWAKDNYNSGQSSADIWSFVLSLRIRVLFDNAKWAYAGGFSEENQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKARAFIEKEKGCPIEVVFKEEDRPIAAASLGQVRRAVLHNGERVAVKVRPGLRKLFDIDLKIIRCLRCGIIHKLFNVDTLLDYSLKLVCNLNLVAEYFQRSETFGGPSRDWISIYEECSKILYEEIDYINGKERTQISSRFQKYKVGPCATLIMWDYTTEKVLTLEYVPGRNVPRRVHRDNNSEILTYRKRPRNSASREMKTRKIMIHMMIADVSDCADAPSGACILHGTKAYEKGRMGGKWTQDCAAKRLSDILICRPPNFMSKIQIILVGDDLLGRVFFIDFYMAFQAITKNQIKDALHGERQVNVSNNTAVPTSLDAQLYVITCKGHVSYSGQKPMGGGEWVANGPKIVQQKGLRIFMSKIQGFYVEQFNSLVDNNNLSGPLPPELAAAPALRILQADNNNFSGSSIPALYSNMSTQTLVKLSLRNCSLQGAIPDLSAMRQLGYLDFQNNSLQMFPAGINPAQNVTVLLYGNPVCENSDGTLLFPYQLYTYNYIWETHTCWMGNYTLDVFGQYKLLNFTLGSHDYEYPNLASSGLSKTALGGILVGAIAAIALSAVVTALIMRRHSRTKTISRRVHASHCTSVSIKCRDFLSKLMGILYEEIDYINGKERTQISSRFQKYKVGPCATLIMWDYTTEKVLTLEYVPEVAATAPAKQGVQVRQVVTAMDAMEERIKEVLDALSANVEGVEGIGKQLERIDLKLDQHGKWLDSVQSKVDLSMSSLSQVQQEQIVMARTLKAVAAAVPVSPNPPPWQNQPLLLPTPSAPLQNPPPRDGTSNSSLPPLQPSNKIVLWKKGLKDDLRYSVAAHQPENVNQAYVTALAYESASKLRKTFVRKEGTSGKYSDKVKTPAGDIWKAQQLKDIWRANGLCFKCGHTVKLQGVVPSQSHQLTTISSDQLAKWHAGLTDILPLRKMRLRDRCLNALTVKNKFPLPIVDELLDELAGTKFFSKLDLRSGYHQIRMKEVDKEKTAFQTHHGHFQFKVMPFGLTNAPATFQCLMNSIFAPYLRQFVLVFMDDILVYSPTLEDHVHHLDFVLQLLQQHQLYAKLSKCSFAEIQLEYLGHIISADGVATDSEKTRIMQQLPTPTNTIELRGFLGLTGYYRKFVKNYGILAKPLTLLLHKHTKFVWSSEAASAFQQLKTAMCSTPVLGLPDFSKPFTLETDACSTGIGAVLSQEGHPIAFYSKALGSNNQKLSTYEKEFLAIMIAIDKWRSYLCRGPFTIRTDHQSLCHLDDQVLGSELQRKAMTKLIGLQYKFQYKKGIDNKYADALSRVGHFFSLQTVSVTQPQWIQEVLNSYVVDSAAQQLLTELAIMSPNTNGFSLSNGLIRKDGKIWIGANSGLQTKLISAFHSSPMGGHSGIQATYQRIKKLFHWTGLNSCGGICAAMFKGAWQDISMDFIEGLPLSKGKNFILVVVDRFIFAELPDFVDLDIEELLPTEILDRRMVKKVLYFTTHILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTLERLLSLFYAVYEKDANKVMKSLIDLEVLQATGDLSPIKKLLVVLASGISMKIYQKSTASLRGMQQKSSGLQALNLLVPHSTQHSSNKKQLRSLKILIHGRFSDMVNVHATVNEPPSMSLIRLISLTCLDAASLEGRNVPRRAHRDNNSEILTYRKRPRNSASREMKTRKIKIHMMIADVSDCEDAPSACCLGEEKTYQKGHVSYMGQKPMRRGEWVANGLKIVQQKGLRIFMSKIQIILVGDDLLGCVFSIDFYMAFQTITKNQIKDALHGERQVDVNGHYEGFGTSNDST >OB09G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1882476:1882694:-1 gene:OB09G11140 transcript:OB09G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLGCNTEPCSCTEFRNIARAYLSTEFRYIQACAQINLDFTNTCIPTLILIQTVYVHRIIQIQYEVIKNKF >OB09G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1882485:1883404:-1 gene:OB09G11150 transcript:OB09G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKKFGGGQLPTGTPSLAWSSVVVVISLLAGASIVHNIYKPDMTIPLVESAEGNKES >OB09G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1889084:1902886:1 gene:OB09G11160 transcript:OB09G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMPDLSFSGSVCNPKLQWLRASLNETPLVKRSLVFRTEARSAETEKYGSNGRVIKMVPTTELKRSKSGVRVRPDTVNGSPDGAVNSLTKVTVNGSPTAAVNGSTKAIVNGSPKLAVNGTSLVKGSKTSALVTQKRMRPNDEPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSLRIRVLFDNAKWAYPGGFLEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKARAFIEKEMGCPIEVVFKEFEDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLGLLDSRGYSRSMIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLATIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSGPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANILQMATMYTAMGGTFLNVGVTLSSQGNQMIANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >OB09G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1908933:1911566:1 gene:OB09G11170 transcript:OB09G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAGTISQQLTRYAAAQALLPGAHLHAHLFKSGFLVSFCNHLISFYSKCHLPYCARRVFDEIPDPCHVSWSSLVTAYSNNGLPWSAIQAFCAMREGGVCCNEFALPVVLKCLPDARLGAQVHAMALVMGLNSDVYVTNALVSMYGGFGFMDDARKLFDEGCSERNAVSWNGLMSAYVKNDQCSDAIQVFGEMVWSGIRPTEFGLSCVVNACTGSRNIEAGRQVHGMVVRTGYDKDVFTANALVDMYVKVGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSGLVPNVFTLSSILKACSGAGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKHQFLDDARKVFDWMSHRDLVLWNALISGCSHGERHGEALSLFCELIKEGIGVNRTTLAAVLKSTASMEAISVTRQVHALAEKIGFISDTHVVNGLIDSYWKCNCLNDANTVFEKCSSDDIIAFTSMITALSQCDHGEGAIKLFMEMLRKGLQPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDVFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKKALELFHRMVDEGIDPNHITMTSVLCACNHAGLVDEAKQYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASVWGALLGASRVHKDPELGRLAAEKLFGLEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKESNIKKEPAMSWVEVKEKVHTFIVGDKSHPMTREIYAKLAELGDLMSKAGYVPNTDVDLHDLDRGEKELLLSHHSERLAVAFALLSTPHGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGSCSCGDYW >OB09G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1917914:1918181:-1 gene:OB09G11180 transcript:OB09G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNSNVYIQVASFHTQFVSQQMVASLDHLELDMCLSFPLIVEIMHLSKIFQISLTKLILLFGFLKKTFDEDICLSKSSSAQTHPSYI >OB09G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1926660:1927193:1 gene:OB09G11190 transcript:OB09G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTYGGHTLHSNMSLLPPMLLHVCHKKFVDTSMLRQTFIYLHTIPSTFCYKITSYIWDPPKENNSPCPCPATPSRAPPPARETLATAAVGPARSDVPRLVGPGQASALCTSGAARRDLLSRAPAKGVRPSSPSLLCGLLSRASPPPPVWLMFWLFTFSLLSICLRDKQKRPRNSRR >OB09G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1932318:1934300:1 gene:OB09G11200 transcript:OB09G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPPGAPLLLAAAVLLLVLPQAMPVASVSPVAFSFPSFSLRNLTLLGGASLRATSVSLPSPSSHALFPLPLPFPQNASFSTSFVFASPTAARPASRLSFVLLPDKLAAASEGHDAKNRSLVPLEVAFDAFRNSVSASSAGVDVAGNSTGAVDLRNGNEVGSWVVYDASRSRLEVFVSHASLRPPTPSLAADANSIAARFAEFMFVGFEVTSSSGNGSSDGGFLIQNWSFQTSGLPDVDPASRSSHNVSDSIHSAPALDGLTSHKDRRRRRLALGLGVPLPIVFLGAVTVFVVMSLKNWRSGFNEGLGAKAVVGKPRRYTYQDLFSATNGFDPSLVVGSGGFGTVYKAVCPRSGVTYAVKRSKQSRDSYNEFNAELTIIADLKHPNLVQLQGWCAEKDELLLVYEFMSNGSLDMALHPYSDTQSHAPLSLSWARRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSDFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGRRPIERTAPDSMNMVNVVDWVWNLHSKGKVLDAVDPTLNGQYDSGQMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLHVPRKKPLLVFVPNAPIDLEGIVSECNQSTVSSDLYELKIDLN >OB09G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1937311:1948692:-1 gene:OB09G11210 transcript:OB09G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQITADLYFSSISMPPQLDTSIETQTRTSAVVPSVKESANLFVTHDGTELVEGMNNAAGLTEVIGNSAEPTECIDLNKTPARKPKRKKHRPKVLKDNKPSKTPKSATPIPSNEKVEKPSGKRKYVRKKTSSAGQPPLEETSSSHCRSELKPVRRSLDFGAEVLQESAQSGSQVLVAELSQSIPSTSQRDSQTHLACHVVSSTSSIHTSASQMVNTQLFPSVNMPNGVLLDLNNSTSQLQNHAKFVDSPALLSHSGIRETSGTNSLLEIYAGMSDRNVPDLNSSINLMPSMPTDFAQYLLSSSQASVRETQMANQMLNCQRIPETTITPSPCIESPAFKGHLDHIPHATEAFINGQMPHGYRLAQNSILPPKHIEGHSVTGNLNEFASTNDYLKLNGISQTGAAIGQRNIGDSMHIHAMDTRRECYASSGSRISLGVNLNQQNSGWAYVDAANTVSSQLTYFSEPHKRMRTDYLNNCPTGVVGHLSTSSTHLLNNENANVVSEINSKVFTLAEAQRLIAHEKSRASQRMISFRSSVNDMVNRPEMIQQCCRPTLCGSACRDSIEVPDKQFRLIAEEFTQLPSMPNNLQREKHIPQTGSCQLQSLKHDISKGTNLSRELHEHTTSPQAVTQSSFCVTPPDVLGRSTRGEHLRTPIAPTHTSTCKDTLKTLSCELENSRETISPPVNPIGTSSGNDVPRTGNHQVEVSEETFTAKLPEKRKVGRPRKELKSGEKPKPRGRPRKEKVVGGELASKGNHTNPLQNEDTCSYGPYAGEGSVGRAVNSDSVGESISEAIVPLLNSLDRIIQKIKVLDINKSEDPGITEAHGALVPYNGEFGPIIPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMTDSAEGMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPVKPEASEKPAHDMSHTFSENGGCSGLFGNSVKLHSEILVEEASNTAGSLITTEDKEGSGSVELLGSSCGNGVDCAAGVYSNTYEKLPAGLHGTRPPAVRTGNGIEVDDGSLEDVVSSQNSAISSQNSPDYLFHMSDHMFLSTLLNFTAEEIGSRNMPKATSISTTYTELLRMQELKNKSNETIEMQNSGSVLNGIQYPSSKYQPLHSSVSYHQNGQVHLPEIHASVLEQSVYTGLNKVLDSNVTQTKYSYYRSPHPGTACKNETKRSDSLSSLLYGIDGSTKTPSPPEATPEYDVISPEIANHCEPLCSETLSFAKEQSSCEKYLSTNDIQAAFVKQHGTSNLHGDYTIVTEQNGGEHSQSGYSQQDDNVVFQSAKTSNLYSSNLCQNQKANSEVLQGVSSSLIDNSKDAKKNSPEVPINGSKAKKPRVGASKKRTYDWDTLRKEVLHSHGNKQRGQHAKDSIDWETIRQSDVKKISETIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKNKPNCNACPMRAECKHFASAFASARLTLPGPEEKSLVTSGVPIAAETCHQTYISSMPVGQLEYACHNDMNNRQPIIEEPASPEPEHETIEMKEDAIEDSFIDDPEEIPTIKLNFEEFTQNLKSYMQTNNIEIEDADMSKALVAMTPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSTDAPKSFCNSQESGELCASDTCFSCNSIREVQAQKVRGTLLVPCRTAMRGSFPLNGTYFQVNEVFADHDTSRNPIDVPRSWIWDLPRRTVYFGTSIPTIFKGLTTEDIQHCFWRGFVCVRGFDKTSRAPRPLYARLHFPASKITRNKKSAGSAPRRDDE >OB09G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1958048:1960824:1 gene:OB09G11220 transcript:OB09G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G47710) TAIR;Acc:AT5G47710] MEEEKAVRMARRGVLKVVVATGTNLAVRDFSSSDPYVVVRLAGMNAKTKVINSCLNPVWNEEMSFSIEEPAGVIKFEVFDWDRFKYDDKMGNAFLELQPVAAAAKLRRALRLTTGETKLRKVAASVDNCLLCDSFVTYADGEIVLDARLRLRDVESGELFVTVKWIEADAK >OB09G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1969922:1972270:-1 gene:OB09G11230 transcript:OB09G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCNISSGPIRVLLYITAWSKKWGHVFLAAVGVSCAAMVAITTDRGPLVRWRYARAAKGSELPVDFSCQSDENSYHVWLSMEFGWWILLGPFMGFIGVAFANLGGGGRWVLHELDRWFWCKSGRWRYLNVRLVPNLTTFHQFMHGLSQRIML >OB09G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1975299:1976129:1 gene:OB09G11240 transcript:OB09G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPGFCRASSSLLRIASARSSPLSRRFRPRCRCVLLFFVFLATAVFPSFSFCSGYAFVHLFPLLLLFFPSSSAAVARSSLPLCLPAFYLLRFACTCLAVVSHAAAPTRLCGTPRQILAQWRSARQTLSGYWWTGWSARGGYGRGGGLPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADGPRPAAGGLGGIPAATATDLRVCPGAVEPAVASGGIGVSAGGVFCWRVTSRSAPCRLVRGLLA >OB09G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1978874:1979215:1 gene:OB09G11250 transcript:OB09G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLTTADILKDIISLVFKKVVFEPTFCPMYVQLCSDLNEKLPSFPQEEPDIKVITFKRVLFNNCQEASEGAEGLRAEIAKFTG >OB09G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1979582:1981879:-1 gene:OB09G11260 transcript:OB09G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMLKITAITHVGLGVVSQNALVQDLNLRMRSRSQFDPATDKMNAVDSGGYPASIHHALDPIMLPVLDIQEAKKNKCQLTRTPYGRRFANKREVLLKFMIRMDGNSTIYYMLNDYGICYEMEDIEKTLGFGKKELTDSHEFLVQLKIGARYRAPLMDKQQPNHCNSCEKGGFKTK >OB09G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1983485:1983913:-1 gene:OB09G11270 transcript:OB09G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNANPWIHLPPPRMKIRRPSPRRRRKRSRRWERRSMVRGLGRKHAWQKRNREGRWRKVSALPLSRGSLGRERRLSATPSSPRASPSLRALAADLLFVLTLKITTYHTRRPGSYSDTTTVQDLNSWIARRVSLFDPVTDKI >OB09G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1987566:1993106:-1 gene:OB09G11280 transcript:OB09G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIDLNTDTELLTGISRDGPVLCSHTGISRDGPVLCSHTGISRDEGPVLCSHTGVAAVSFMLYYSQLPCLSSSKDAYLLTDSCSDTCPSSSCLRKPRASVNSCPPGCGKGTQSPLIKYEFFLCHLTAVAAKTPLGIKAKQAMDKAELVSDDLVLGIIDEAMKKTSCQKGFILDGYPRTVVQAQKNKLLVVGSTHQVVDLTIQNLLLLRLLELMMCLTTTPRRA >OB09G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:1995178:1995591:-1 gene:OB09G11290 transcript:OB09G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRREDVAGVALAVVARGEDEGVEGYRKGGYHAIRPGTSSPQAATSLGANSARGTKYIHSESPTSHCPKDSHLVTSPASCLVICELRECNEQLWFWLKCMMAFQHPS >OB09G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2005101:2005837:-1 gene:OB09G11300 transcript:OB09G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDSINAYLEPKCNRLIHKLCRDQYILPKIAERELRRFSYLRSASSAVGIKPLLSEIPVPWLSIYICKAEKFMGKNVMRFDGEI >OB09G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2006246:2011316:-1 gene:OB09G11310 transcript:OB09G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLGTYNGSSYQYSFIIYWTQIEVMHFRESIQEIMNDEFGDEIFAILPLPTFCSCTLLLNRKFIFLTRTPYGRRFGNKVQIFTLDVLERY >OB09G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2020158:2034344:-1 gene:OB09G11320 transcript:OB09G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMSEGKSDSLPREIDVVVSQKFTIAVFVTEKRLVQRNISFQVNSIETLADKALFRSSSNRPSTPPGSSLGYPDSVQASHADSKKRRSVVCLPLGSWKKLSFGAVPPKEKFVAPPPTSDERMLRDLHKPQWSSPYKSHRQTTKGKQIEVMHFRESIKEIMNEEFGDEIWKAPAYIPSLARLKLFEVGRWDQYILPKIAERELRRFLDLHSASSAVGIKPLLSERQYEARTHNCISATYHQIMTRLSKSLCIQDSCKTRKMSQAKGKILFLLQDAILQSEIGFVTNSAKKKRPYSDHRARISTSVCGHRDNTISGSSSAFLLPTSHKRTCDVGISAVMYMRIVTSLMVLIQFHNVLYGGGNLSNLPRAILAPTNEIASAIISHMVSQLTTEEMPYYSFDSIDDDTSNRATLDALYPTEFLNTIQMSALLDNHSRLKARIIRFLASSPWRRIGDEELPYNGRRQGASTSPTSTPRCSPPPQLSPEDRTSLVNALKGKLQSLAGQHTDMPEVLSPNVRKRVEYFREIQVDMDFPCH >OB09G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2040227:2040797:1 gene:OB09G11330 transcript:OB09G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTQYLVGVRFGTRNKMIPMRLAGKVAVITGVPSGIGKATTAEFIRNSAKVIIVDVQDNLGRAVTSELGMHTRVVMSWTRRMSPWPSTSW >OB09G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2041528:2047156:1 gene:OB09G11340 transcript:OB09G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVNPLTGFRVDGRRPNEVTLRPLSPCTCSPAVLSYTGRVSQMRQLKGEVGVVARADGSAMFEMGNTRVIAAVYGPREVQNKGQQVSSKEALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQINIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDTFETVMELAIEGCKAIAKYIREWRGREDGMGDSARRQCPLIPPPIAHGQGIKKSYNK >OB09G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2045973:2046335:1 gene:OB09G11350 transcript:OB09G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVNRCIAIYTMMCCSWLTIHAHLRGFRGWQSALWRPHWCLPTSSRRRRGRGLCLSPMPLLHLFAISCMAGLCCSGEEEKTAWGTLQGESLTVIATSTEGHYLPWCHRQGPQHLVLLC >OB09G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2050069:2055085:1 gene:OB09G11360 transcript:OB09G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNVGSRDVCVVGVARTPMGGFLGSLSSLSATKLGSVAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALMNAGLESSCVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLIGVLREKGAKIGVAGVCNGGGGASALVLELA >OB09G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2060703:2077776:1 gene:OB09G11370 transcript:OB09G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYLYSRPEMQGSPYRFYRENRGTALPRIPQDCVTATPLENVKLPLKDFVWEFGKGDFHHWVDLFNHFDLFFETYIKPRKDLLLEDDFLEMDPPFPREAVLQILRVLRLILENCTNRHFYSLFEHLSSLLASTDADIVEASLQTLKAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPVAAEIGSTLHFEFYRGTDKSDKFQSVDNCNRLEIIHLPSIRSCKENDLEILDKLVKDYNVPPSLRFSLLTRLRFARAFDSLTHRHQYTCIRLYAFIVLVQASHDSETLALFLNNEPEFIDELLSLLSYEDEIPEQIRILGILSLVALCHDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSIVFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTNTDHLHLVSTAVHVIEGFLDYHNPSSALFRNLGGLDDTIARLKIEVSLVEIGPNKPEEYQSMDKGKEVESSLPVPGVQPLHSDALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRGKEFGGGVFSLAATVMSDLIHKDPTCFAVLDAAGLPQAFIEAIMGGVLYNSDAITCIPQCLDALCLNNSGLQLVKDRNALRCFVKIFTTRSYLKALGGDTTGALSSGLDELMRHQSSLRSSGVDMLIEILNTISKVGCGGDSSFCTESDNSIMPLPMETDVQVGTSSNEAETSVVGSSEKMVDTSLDASSSSIESYLPECICNVGRLIETVLQNSDTCRLFSEKKGIEAVLQLFKLPLMPISVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLINSDPAKQSPLLKALSTLEGLLSLCNFLLKGNAFMVSELAFADAEILKELGKVYTEVTWQISLINDSKVEKQDVEQDDVPRDASVSNLSERDSDDDTNTAPVARHMNPVSVRTSSVSPWTMEQDFISAVRSAASMHRHGRHTLSRIRGRLSGVIDAAHADIDSPFSPAESSQSHDAVKKSPDVVVSELLTKLGQMMRSFLSTLVKGLPARRRADSSLTPASRSLVTALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDIRRRLCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSNSVKVSHNSWLLDTLQSYCRVLEYYVNSSYLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRMLQSQVLEAVLPVWNHTMFPECCPSLITSLVSIVSHICSGVGALKQSRVSVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNSTETSKEDGSNKNDPSVVEDKGVLLLPLDDILAVTTKLLSSSNSMAFALTDLLVTLCNQNKGEDRQRVILYLFEQLKRFPSDSSFDAGALYSFARLLALLVSEDSSIREICAENGVVPTVLGILENLKSRTEKSDQTWNSISALLLILDNMIQYTPTLDIDMPEGTSKFSSDASSAECKVNPSQFAEKKTETVHSAKYPDVNVFEKILGRSIGYLTDQESQKILTLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLSVPRTCIFHGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHTARSFLTNMSPLISRDPVIFMKAVTSVCQLDCSGGRMSVALLKEKEKEKDKEKQKVSSTESGALCNEPVRVTSDIKTIETVNRCSRNQKKVSTSLSQVIDQLLEIIMSYPSSKKELGSDSYFMSSPMDVDEPNTKGKSKVNDGQNLDGDTLSEKSSLLSKLAFVLKLMSEILLMYVHAVGIILKRDIELSQLRGGDQVPGHSGLLYHLFHLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIVKAFSYFINSASSTSRGSLTPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSDLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQVQKSDRYAKNKLTGSHEQAHEANENREQGTSIGHGAIDTVQPTRQQVQELSHNDGNNAGQDQPIEQTRIDLVENTAGNSSTGGVEFMREEATEGNLMTNTTDAGLAFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEDEEEIAEEGAGLMSIADTDIDDQENTAMGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGEASGFIDISAEAFHGVGTDDMFNLHRPFGLERRRQSGSRSFIDRSRSDGNAFQHPFLSRPAQTRDAIGSVWPSSGTPSRDLHTFSIGTADIPFYMLDAGLPAETAAPVFGERVVSTAPPPLIDFSLGMESLRIRRGPGDNLWTDDGQPQAGNHAAAIAQALEHYFITELNVATFLNHASEHIGNDAPRMQPEQTSNDVNEELPSEPVTTDSPALLPTSSPQQFEGTTNQETNLANGDVFPRNELLHQQSFDIANVHAEEEMHQAADDMNVIPQSNEMYTADRQLGAHPDRDSLSGNLQSYDHVMQDEVEIPQSAQISNDLREVPSDLESNCHALLTSSGTVPELSDAHVDSATVNTDVDMNSVDISDNQVENSAPGSDGNVLSVRLEEDGAPQEAIQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRAAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIVHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPADNHTVMDRGVGVTVGRRVISTVLGSAKSKDVEGNPLLDSNALRALIRLLQLAPPLSKGLLQRLMFNLCAHSFTRVTLIGHLLNIIKPEAEGLNRWDRMTTYRLHGCQWNIVYAQPQSANGLPPLVTKRLLEVLTYLASNHPSVAGLLVYFDPSTNSNCMILKHVKETSQEGLQSDMTQTPSEGYTPILLFLKLLNKPLFLRSRVYLEQLMCLLEVVVNNAASNVDYLPHSGQMVNTAGDENGAPIDTHGEPSTLEQVPIEENSQSKDVVVPASGPKQSVNVHDILTQLPDSELHNLCNILALEGLPDKVYRLAAEVVKKLASVVVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDRCSTSQDQSVGQEEQSILWDLNIALEPLWQELSDCISTMEAKLVHNSTFNSHAPLVDAIEVGASSSTTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSPSSLKTGGICNISFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRACIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDFELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSLVSPVIQWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEGFGFG >OB09G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2085774:2096547:1 gene:OB09G11380 transcript:OB09G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAATEGSLDDRRRRPGLGFAVGYQLAVAPVLLVWVACEGLESGGFSRGCLIQEWGIVQSTPESSSLVRDREKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGSQGQDLPSKSEQPSGQGLYPAKPNSSLIKANKWTMRDYECGFLVALGFGVLGYDEEDQGNSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDGSKFLTPPQWREGSMLDSWKQVEDANPESEGLDGMDQRRLSWPSSIKRDL >OB09G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2099760:2102690:1 gene:OB09G11390 transcript:OB09G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAAVCFHLCILISSSSSIPAETGSHAVRRTAYHFQPAKNWQNDPNGPMYHNGMYHLFYQYNPRSALWDTGNLSWGHSVSGDLVNWAALPTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDSRKQQVQNVAFPKNPSDPLLREWEKPARHNAVIALPADVPGDRFRDPSTAWLGGDGLWRTAVSAEVDGVASTLVYRSSDFLRWERSAAPLHASRAAGMVECPDLFPVAEHGRAGLDTSANGAGVRHVLKLSVMDTLQDYYMVGWYNDTADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDARKNRRVLWAWANESDSQADDVARGWSGVQTFPRELWLDEDGKQLLQWPVEEIETLRRKRAGLRRGGTRMLDAGALQEIVGVASSQADVEGLFKIPSLQDPEPGGGREAGRRPKPAAGSPEAVRREGRHRARRRRAVRAPRVGLRRPARAHRRLLQGVQAPRQVQTPHVH >OB09G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2114070:2114690:-1 gene:OB09G11400 transcript:OB09G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMILVQLWKVWEIRMLLLLSILVQLFLFFTGGIRRRSSNHLLRFLIWMAYLAADMIAFYALGQISRLGNDIHEIDPSTGTESLAFFWAPFLLLHLGGQDTITAFSSEDNNLWLRHLVNLLVEVSLTIYVFWKAVGHSNQLLIPALFVFIAGIIKYGERIWALKYGSKSDLNSTSTQYENDELPPLSVAKERYRDIACYALHTA >OB09G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2189256:2190105:1 gene:OB09G11420 transcript:OB09G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEMNTSTRNRMQLGMILVQLWKMWEIRMLLLLSILVQLFLFFTGSIRRQSSNHILRFSIWMAYLGADLIAFYALGQISRLGDAIHETDPSTGTESLAFFWAPFLLLHLGGQDTFTAFSSEDNNLWLRHLVNLLVEVSLTIYVFWKPVGHSNQLLIPALFVFVAGIIKYGEKIWALKYGSKSDLNSTSTRYENDELPPLSVARERYRDIACYALHTAQYVRGFLAGEGRATFQMGHAAWFTLVSYFERFIDPGAKVQVIEMELALIYDDLYTKAMVLRTWKG >OB09G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2190432:2191064:1 gene:OB09G11430 transcript:OB09G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTIRNAIDSIGFGSHWRCFWNTGTQYVDAKDNILACVVKWLDHHQLADLARGMQPKLGRELERILHGPFEHAILQLHLFTDQVRYLRDKSSSTPDQSNQLDGGVESVETGMDICEKISSYMFYLLVVNPSMLPVSSTARDTLALFPKKVFSACKGINEIIERDWKIGGIEGKILLGVRALLDSTQAESFSAEMMSKLKEIWIRLVSR >OB09G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2219408:2226073:-1 gene:OB09G11440 transcript:OB09G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAATSLPAFAFSPSSLSSSPHVVRALAGAATAPRAVCCAAAKDSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNILEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALAAARRRNGAPALIAEVKKASPSKGVLRADFNPVEIAQSYEKNGAACLSILTDEKHFQGSFENLENVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAGVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLETFKVDISNTKMLLEKCGDIIREKGILVVGESGLFTPEDVAYAQNAGVSAGHYNARARRLVLVGYTYRCSGFGYIYNPNGNPAFHGSKMRNLVSIIICQDFLGIFSSCRYSSIGTSAIRSILPSLRRYQEFHAKVSVKNIVLRSFCKHRDNRFDLTITFLVACKRHDTTIHRKIVHDERELNRVLKIDGVQLIGINNRSLETFKVDISNTKRLLEKHGDIIREKGILVVGESGLFTPEDVQNAGVSAILVGESLVKQENPGQAIAGLYGKELLH >OB09G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2246605:2250927:1 gene:OB09G11450 transcript:OB09G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLTSTESKKRKWMQLGVVGVRRGAEHRLVLVYHRHRGGGDGSGGCGLGAASADGVAALAVWRRVLASPSMVMMSRMDGLSRGNLAVQSTGMRSTSSISCRTCGQPAPTSGLRCSSTMLLLQTGDGGHGDASSGELRQGRRSERVHIGVWTARDEKVDACVRENADERRSGRAVDLLALASRLWRRASPGVLAAATEGNETPWGPRSRSQPPLADSLRLCRFGDLERDWTYLLRKFSNSRRKRVTMEEETKSNAINHHHHLIDISPELGGATTVDGTTEMKEPGHAGAVGAGGVVDTTELGDTDAVDGTTAETKEELGGSAGSDGAVETKWLRKLTSSSVNTAVLRDLVARTPMLWYLGERSGTILRPRSRRARADALHAVRAVAVGPFHRRDHWLPFPDDAKLPFLRYLQDQCDLDVDRYVAALAEERDRLRDEFADDDAAGDDVAAEILRVEEKFLQMVLLDSCFILVVSMMLSKLWAGGDGAGCVSRAASISREYFILHMAVSQHAEDIKLDLLVLENQVPFAAVKLLAASCSNLKLRSSVEELVLGCSDAVLPGRAGRAGAAAGATEFLHVLHLFHWSRVPASKYCIVSTPRKVVQIKEESERLFPCSMELCRSAVWFREAAASCGDLDMWFWSRTASPVAVMTIPRFQVHEYSAGVLHNMVAFEKHFHWAHGACVTAHVARMEGLVRCAQDAAFLRRRGVLSSMRKTDAELVEFFRELGEETVGARLPDEYADMVDAVAWHRKRKVSWWCGGVVLHFFPSPRGGGALVAAAAVIVVPSLLQTVYTMLSYAKTN >OB09G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2246706:2246963:-1 gene:OB09G11460 transcript:OB09G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEHLNPLVGAGCPHVRQEMLLVLRIPVLCTAKLPRDRPSMRDIITMLGEAKTRRQTARAATPSAEAAPSPQPPLPSPPPRWRW >OB09G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2252310:2255542:-1 gene:OB09G11470 transcript:OB09G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGRIPCGPTFHRHPRQGNSIATMQASDQTLSLAPAVLAKPPCLNASHDVNHDDYVPLRSHGVDRCWEMLRTQYSHNMHELCDELNMVNTKEPTTFVEAECEPSWCAAMIEVLQSIQDNMMWDVLNLLPKHRAIDLKWVLKAKWDENGLVIKHMARIVAKGYVHAVQQGRINFDEVFAPVAHIESIRLIFTVPTHLEWYIQHMDFKSNISPWSAESVEALQGHCHINVAFFPSILRHWNELDVEGLEAAGILLQAAAPPLGRRRMHAQVTATTPPLLEPLSHPLLPEPLSHPYPLHVDGKKAGDRGRTVLIQPVPLPTLNAVEQESASTLPGYQQWLPFLDATLMRTSYTGARSGLARRRQPLFMIGQLAELPVAAVVALFAGCHLTNKNDHAQPGHVSAPGFHLPRKPPKPRYRAPADPAW >OB09G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2271946:2272929:1 gene:OB09G11480 transcript:OB09G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MVW9] MAQVPSERDECPPEHASLGSLKEFISKLPTREGWSGPIVLYKDYWFRPQLLENILLAQKNYNPRSEDIILATQPKSGTTWLKALSFTIINRSHYGFSDHPLLTRHPQHVVPFIEIPLKGKHISYVESLPSPRLLATHMPLSFIPAVSNQQSYRVVYICRDPKDVFVSRWYFENKIFDDVKLDMGNTFDMFCQGISPYGPFWSHFLEYWKASIETPERVMFLKYEDLKSDPVQVVKKLAHFFSVPFTREEEAGGVLEQVVKLCDFETLASLKVNQNGETRHDNKIQIDNSVFFRKGTVGDWVNLMSEEMGARLDQIVQDKLQGSGLTF >OB09G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2285355:2287191:-1 gene:OB09G11490 transcript:OB09G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPTCLALSSTSFPVARIGMGFAMWSTKVSSNLKIEIGASAHEAATDAYISWHLYEG >OB09G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2286483:2286647:1 gene:OB09G11500 transcript:OB09G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVLQFIPENNMHVLVLAVHLGIIQHSESSGEFVCIYLSNLTYVSSKKTKYH >OB09G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2297958:2299069:1 gene:OB09G11510 transcript:OB09G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MVX2] MNNVQAPETKQEDSPENSMAATLLPTREGWSTPLTLYNNCWLRSHMVDSFMAVRDNFKPRHDDVILATHPKSGTTWLKAMAFAIVNRSRYDLLADGDGNPLRAQNPQRLVPFIGVPGHGGGDLAAIEAMPSPRLLATHLPLSLLPPAVTAATGGCRVVYLCREPKDSFVSRWHFDNKMVKGSQTGAHAIELDAAFAMFCEGCTPFGPFWEHYLQYWRESLRRPREVLFLRYEELVADPLRVVRDLAAFLGVPFIDEEESNGVDREVVRLCSFETLSGFDVNKNGGVERAGGKIFIGYSSLFRRGKTGDWVNHMSADMAEKLDALVREKFKGSGLEF >OB09G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2303379:2304563:1 gene:OB09G11520 transcript:OB09G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDSSLVRISVPMVPAPAPAPAPTQQARRALSALQVNRGLGVAPLQQVQHASSGQHHTMPIFPMAGRRHVDGGDMMPISSLPVSQVLVGGMVVSTFMVTTVRRQQGPLYLNTTVLPRQSTVPVSALPPPPPTTQMLALQNTMPPPVASITGERITNNDATISRRQPPDILGLYGIISPVAVHANGNPLTCIYCGGVFSLSVSDIPGLLPPPGFNYPDPIGPPPLPLPLPIVEDVVLTTVKCSNPNHFVLTMQHMPRHAMADLIWSSKIPSVHIPSPICDHGSQNVQRASSLAGIIGAPTQMLNPMPIQTGQGSLTLNSTSMSTSLVGIVDVTVPSMFDLMPMAIHKEPHAPPLPMSSSSPFGLFYESVMPEHEDMVRLNLGQSCTMDLDLGL >OB09G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2316636:2317440:-1 gene:OB09G11530 transcript:OB09G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIQGSDQPLGAYWRRIHQYFHANKTFHSDRTQGSLLNRWGVIQHDVNFFCGCLSKIEAINQSGWSVDDKISSACAMFKGEDIKNKNFAYMHCWKILKDMPKWITWKKHRAATKTSNKKQKTVSNSSPASTRTAEKTENALGRPEGRKKEKQKVQQRSTIEAVDYLVDKMKEAEGEKDLKKAERLDMAFYLQEEKMKLDREKFEFQRELEEERILSLDLSAMTDQQKQFYEGC >OB09G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2325383:2325571:-1 gene:OB09G11540 transcript:OB09G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAIVTVTGGVLGPVFVLLSRIQPVVDFLRRLYDCLRHPRRRPARPVRAPWKRAADESAS >OB09G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2331464:2331652:-1 gene:OB09G11550 transcript:OB09G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAIVTVTSGVLGPVFVLLSRIQPVVDFLRWLCDCLRHPRCRPARSVRAPWKRVADEAAS >OB09G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2338314:2339936:1 gene:OB09G11560 transcript:OB09G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCPCTSASSTSLTVVALFDPLLSEYIFLVYDFELATVVHALKIRRHYLIDVCYPIDTTRTFVAAVASNSCRSSVKTEESSSASGEELGLRYSKRCSQDEDAEDQESSFFEPSQRFLSRRHYPSIVF >OB09G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2360073:2365523:-1 gene:OB09G11570 transcript:OB09G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFCALMELLEEPLKNTFQHVHQGYPRGTLVRFLKAREWNVPKAHKMLMDCLNWRIQNGIDSVLAKPIVPSDLYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKKKIKVLYGSGRDELLKVMDYETLPHFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQSLNQDFIGPMKQGSMHVDVPTPDLEEAKIVETIKSELHNFGGANGLSHSFNRIKIDGP >OB09G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2388905:2391681:-1 gene:OB09G11580 transcript:OB09G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVADVVAVKTCDKTMAAFSGASQPEEIPKASRIEPASSRGNMMDDVATSSGVKEQDKDSYSVDDSVKLDGNEDAEKNSMRGSVKDSSASVKLSNRASSLTKVSGSTKVSDHAADMVGSGKSSVYRASAGSDVSDESTCSSICSSASKPHKSNDSRWEAIQMVRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKVSLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFAEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQRGNPSYCVQPVCIEPACIQPSCVTTTTCFTPRFFSSKSKKEKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPFVSFAAKDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASTSQKAPTAADKGSDNYLEFDFF >OB09G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2395223:2397147:-1 gene:OB09G11590 transcript:OB09G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAATPTARTVKDVNPHDFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASMARKIYLRQGIGVGGFQKIYGGRQRNGARPPHFCKSSGAVSRNILQQLKKMGIIDVDPKGGRLITSQGRRDLDQVAGTVALSD >OB09G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2402797:2405694:-1 gene:OB09G11600 transcript:OB09G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREASNPTKSSKAMGRDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >OB09G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2408979:2409718:-1 gene:OB09G11610 transcript:OB09G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAEFDPVIQEHVRRITNDETQVHYLGASIQNELIQLLACAIVEIIKKIKRAKYFSVILDYTPDASHQEQMSLIIRYVDLSSSNICIVESFLGFLDVNDTTVQGLFDVLEKELKDLHLDIDDKRGQGYDNGSNMKGKHQGVQKKLLDINPKAFYSACGCHSLNLTFVIYQRLVIKPKIFFGIIQRIYTTFANSTKKWQILKDNITRLTLKSASTARWESRVESVKAIRFQCLEICEALLQVSK >OB09G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2410175:2410965:-1 gene:OB09G11620 transcript:OB09G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAWLLPLSAELLNVVASCCLSACLMSQLSNSHLLVVSSVPLALLEFWSISGDQTLDINVANEPNGGETKAGNNVEEVLIDNTNDEVGNHGDHIDDTIVHVNLDSSQSIDIDTTFHPDIFDPRYWDSLNCKQVDILAKMGHKRDLSIQKGPKD >OB09G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2455202:2456761:1 gene:OB09G11630 transcript:OB09G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARMETATLTGTTTPTEAVLPQTPAKGHFQAQPNNQAGPKWSSWPGRLVTSTSREQQDCASMHRFKSCLQMKLPPYHNHLDYNMDSKAIHQCVVDSIL >OB09G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2489519:2489953:-1 gene:OB09G11640 transcript:OB09G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTCGGRLHRNSAPAPLFLACSCDGGRRPRSPPVPPAALRCRRPALSCKYGGPHSLATSARWSAPSCRRAHPAAVTSSHAPSDRQEPPLAPNSTTSPAESAASPSGSIVAQAESDAVVVVAHGEGEETTVEEGAAGGGERSGG >OB09G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2492014:2499159:-1 gene:OB09G11650 transcript:OB09G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MANGLPNPPAALLTAATARSLLDSVDAFLFDCDGVIWKGDELIEGVPETLDLLRNMGKKLVFVTNNSRKSRRQYSKKFKALGVEVAEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFEGFGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSTQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDGSNSIHPDHYTNSVYDLVGLLQQ >OB09G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2506622:2519326:1 gene:OB09G11660 transcript:OB09G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLSQGAFHEVRRKRDKKKENSKESTDARWRAGMQGRGGKGGWGNSSRQPSNSTDLTGRNAPVEKEIEVNPNVDKCSSSLPVNPNTDTKMCTSISSLSGGQSNGSSEPVVSMEKNSLVMGQLQISDSKGISDLERTPEKLVMALGPDVRLSLIQSTPSISGECIPASDPELTPPPESHSHGETGSTKHALATQLAAREKVVSNDVSTALQGTSRPSGSSSIAVPSGSSRPSSSCSSRAQQLIGVQKVVPNKEWKPKSTNKPAHAENVICDKVLSLETISQSILASDSIHKEDTTSGVDKKQSDSHLSDRQHVIIPDHLQVAESEKYGLSFGSFGACFEKATSVSKDSESEKCSTPHCESSQDADEAVDEPVASHQGVSSTVEMAAEPDLQQLPVETADNILPQKVDSSSSTPEAAESDQSNDPVASHVPQDSVETTTSYLPPQSHGDQTPLETSESQVQQANDFSAGYYAQLYRPIADFDGRISPFTASGAAVKYGSLPVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPSSVSIPQQPLQLFRQPLGVLPPYPGYIPYSQYPLYVPPPHPLTHFMGNAVFPQPPSTGGMYPPVSSAVAPPAKYATNTYKPGANNGTQAHAGNPGAYGTYDSSPSVYTNNAVVASGTSVENDDISGSQYKETNVYIAGQQNEGSAVWISAPGRDISGLQPSSYYGLTLQGQHLAFAPAQAGHGTFGGIYHPGQTMAGAAVHPLLQPPQAIAGVGGEMVGPPANGYQQPQRAQMNWPNY >OB09G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2556814:2562433:-1 gene:OB09G11670 transcript:OB09G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSLLLLSYLAVAAAAGVLQAARAQPDSNGFISIDCGLSGKAGYVDNATKLSYLPDAGFTDAGTNHNISAEYISPGSSRFFDNVRSFPGAALRSCYTLRSLVPGLKYLVRACFRYGNYDGLRRPPVFDLYAGVNFWTTVNITDPAVAHAMEAIVVVPEDSMQVCLVNTGAGTPYISSLELRPLKNSLYPHVNATQGLAMVARVNFGPADTFIRYPDDPHDRTWMPWIDPMIYEEITTTKTVQSVENDVFETPSAVMQTAIAPPNASGTIYLHWDAEPTTNDPSPAFITVMHFSELQLLRGNNTRNFNISINNQVVGNISPDYLYADASFNTNPYRGSSQYNITFRATANSTMPPIINALEIFSIMPTVNVPTDAKDVLAITTIKKQYKVKENWMGDPCVPKTMAWDWLTCSYAISNPPAITGINLSFNGLNGYISTSFTNLSALQYLNLSYNNLTGSIPESLSKLSSLTVIDLSGNHLTGSIPSELLKRAQDRSLDLRYDNNPDLCINETCTSSNGTPNLAIYISVPVVAVMMILLLLVYCLIRRRKIGSTNNTIIPHDERRKHSQQVDNFGYATVHLKNRQFTYKDLQMITNNFQQLLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLVEAQILTRIHHKNLVSLIGYCKDGEYMALVYEYMSEGTLQEHIAGRDHNRRNLTWRERLQIGFESAQGLEYLHRGCNPPLIHRDVKATNILLDVKLQAKISDFGLSKAFNRDSDTHLSTSILVGTPGYIDPEYHATMMPTSKSDVYGFGVVLLELITGKPPILRVPEPISLIHWVQQHLSHGNIEGVVDARMCGSYEMNSVWKVADIALKCTAQTSTQRPTMTEVVSQLEECLDLELDHAGSGAALPIGYVRKSSTIFEMDHLERMPMPSMSSGPSAR >OB09G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2609543:2618087:-1 gene:OB09G11680 transcript:OB09G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPWSVLLSCLAGVLQAAHAQPDSNGFISIDCGLSGKAGYVDNATKLFYLPDAGFTDAGTNHNISAEYISPGSFRIFDNVRSFPGAVLRSCYTLRSLVPGLKYLVRATFKYGNYDDLRRPPVFDLYAGVNFWTTVNITDATTARAAEAIVVVPEESMQVCLLNTGKGTPFISSLDLRPLKNSLYPNANSTQGLVLVDRFNFGPMDTIIRFPDDPRDRLWTPSIDTARYVEISTTKTVQHVEKDVFEAPSAVMQTAIAPRNASDSIEVYWITGAGAYGDPPPGYVAVMHFSELQLLQGGAVRAFSISLNGQWLDLDMRPDYLYADASYNTAPFTGSARYNLTFRATANSTLPPIINALEVFSVIPTTNVPTQPKDVSSITAIKNQYQVKVNWMGDPCVPKTLAWDWLICSYAISSPPTITSVNLSFSGLHGHISSSFANLNALQYLNLSYNFLTGSIPEALSQLSSLILLDLTGNQLSGSIPSELLKRVHDKSLDLRYDNNPDLCINDTCPPKKRRPNLALYVSVPIVAVMVILLLFLFCLLRRKNIGTANNTIIPQDEPRHSQKGDNYGHATMHLENRRFTYKDLQIITNNFQKVLGKGGFGYVYYGILEEGTQVAVKLRLQSSDQGVKEFLGEAQILTRIHHKNLVSLIGYCKDGEYMALVYEYMSEGTLQEHIAERDHNKRNLTWRERLQIGLESAQGLEYLHKGCSPPLIHRDVKATNILLNVKLEAKISDFGLSKAFNHDSDTHLSTSTLVGTPGYIDPEYHATMMPTTKSDVYGFGVVLLELITGKPPILHAPEPISLIHWVQQRLLHGNIEGVLDTRMYGAYDINSVWKVVDIALKCTAQTSTQRPTMTDVVSQLEECIHLELDHAGNDTALPIGHVSKSSTIFEMDHLERMPAPSMSSGPSTR >OB09G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2627800:2628241:1 gene:OB09G11690 transcript:OB09G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPRPFVGRGAVLEFFAGFMGSISPDLLFVIDDISGEDSRAVGVTWHLEWKGRPFPFSRGCSFYRVELEEERQQLQIVYGRDCVEPAAKPGEAALVVIRAVTWILERFPRLAALL >OB09G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2632565:2647166:1 gene:OB09G11700 transcript:OB09G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on ester bonds [Source:Projected from Arabidopsis thaliana (AT3G27325) TAIR;Acc:AT3G27325] MGGFGGTCREEAXXXXPAWIALTALNRLVRPVPNGCVMTYMYPTYIPISIPKNASSDRYGLFLYHEGWKQIDFDEHVRNLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAVLVNVLESFSTPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESQLTRLKGRVQSSNNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFSHVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFTIGSSSMKNVWLSMEHQSILWCNQLVVQVAHTLLSIVDPLNGQPFLSSQKRVFVFAKMLQSAAPQSLSWVAPVSGVKPSFLIASGNKEVSDLQRKDSLSCPPSVDWTSDGLEKDLHIQSNSVTVLAMDGKRRWLDIKTLGSNDRGHFVFVTNLAPCSGVRIHLWPEKDLSSERNKVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTNALSAARIIASSYIPEEIFLKEDHPLALNLSFSVSLGLLPVTLSLRTAGCGVKTSGDQLEAEKNKLCKLRCFPPVALSWDPVSGLHIIPNIYSETLVVDSSPALWDSHQGTERTTVLVLADPHCSYEVSLHVSLSAASSRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSILSAIESNLRLPRAFMLLCFMPIVLFLAFIIFTREQNPPFGTFLFVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSTIIRHFLALSLPFQSLKIVQMIKNNPNIIVAFATILLVCFVHPAIGLGVLLVSHAFYAHSTLCSFLAASFRSITQKKDLYRSMGDNIILLSENKQDGLEQLLPTGDSPTSVKSFTDSQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDIGLSSLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRVIETRGTVRGDVISRKHRKHS >OB09G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2652942:2653444:-1 gene:OB09G11710 transcript:OB09G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLDSSGQTYKMTTMDERKMNVDSLKEHWHKTTNKVTLFNGCYCQLRDTCASGRSDSQLMDQAMELYKSQSNGKTFWPRKFTPNLHKNVVPTPQEMPIRTKRSKKAKGVSTKVAQATVEAAEWMKTLVEAKTTQKEEDKQKTAHY >OB09G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2661023:2676408:1 gene:OB09G11720 transcript:OB09G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSLLLLCCLAVAAGAGVLQEARAQPDSNGFISIDCGLSGKAGYVDNATKLTYLPDAGFTDAGTNHNISAEYISPGSSRFLDNVRSFPGPALRSCYTLRSLVPGLKYLVRACFRYGNYDGLRRAPLFDLYAGVNFWTTVNITDPATARAIEAIVVVPEDSMQVCLVNTGAGTPYISSLELRPLKNSLYPHVNATQGLAMAARINFGPADTFIRYPDDPHDRTWAPYIDPMIYEEITTTKTVQSVENNLFEVPSAVMQTAIAPLNVSSTIYLPWDAAPSTNDPSPAFITVMHFSELQLLQGNATRNFNISINNKVLGNITPDYLYADSSFNTNPYGGSSKYNITLRASTNSTMPPIINALEIFSIMPTVNIPTYSNDVSAITTIKKQYQVKENWMGDPCVPKTMAWDWLTCSYAISNPPTIIGVNLSFNGLNGYISTSFTNLSALQYLNLSYNNLTGSIPESLSQLSSLTVIDLSGNHLTGSIPSELLKRAQDKSLDLRYDNNPNLCINDTCTTTNGTKNLAIYISVPLVAVMVILLVLLYCFIRRRKIGDSYGDVTVQLENRRFTYKDLQMITNNFQQLLGKGGFGYVYYGILEEGTQVAVKLRLQSSNQGVKEFLVEAQILTRIHHKNLVSLIGYCKDGEYMALVYEYMSEGTLQEHITGRDHNRRNLSWRERLQIGFESAQGLEYLHRGCNPPLIHRDVKATNILLDVKLQAKISDFGLSKAFNRDSDTHLSTSILVGTPGYIDPEYHATMMPTSKSDVYGFGVVLLELITGKPPILRVPEPISLIHWVQQCLSHGNIEGVVDAHMYGSYDINSVWKVADIALKCTAQASTQRPTMTEVVSQLEECLDL >OB09G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2683085:2689311:-1 gene:OB09G11730 transcript:OB09G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAEEVGHRVCVTGAGGFVGSWVVKELLLRGYVVRATARDPSPQKYPHLQTLEGAEDRLSLCYANIMDYNSLRVAFDGCQGVFHVASPVSNDPQLVSVAVEGSKNVINAAADLGVRRVVFTSSYGAVHMDPNRSPDTVMDETCWSDLDFCKRKRDWYSYGKVVAEKTAVEQASRRGIRLLSVVPPVTAGRMLQPTTNVSSHHFLHYLNGAKKDYPNAVAAYVDVRDVARAHALVYEDPGARGRYLCVGAVLHRSELLRLLRELFPHYPIPTKCEDSSEPMIKPYKFSNQKLTDLGLEFTPIRESLFNMVLSLQEKGDLPAMVPRSSL >OB09G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2717562:2718014:-1 gene:OB09G11740 transcript:OB09G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGGGGASGSHGGVAPDRASVDAIAMLAARNKEKEDEPSAPGADHMSDVHRPRGERARADGAAMTRALSAATKMAGAXXXXXXXXXXXXXXXMRNK >OB09G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2718221:2718835:-1 gene:OB09G11750 transcript:OB09G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVQVTWSYTYTRPGYAKKAHQSVPKDWWTEDVSELDIDVFRSVISTVRAARLLPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSSAAEEAAAKQRRVLETIVTMIPGDAGAVTGRVLLRLPRVANYVGAASATRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAAADERRHGEGGEDL >OB09G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2719458:2722573:-1 gene:OB09G11760 transcript:OB09G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKLKFCAHYENAATEGTGSNPHYQFPLLLKCGLLQRLCADTDDEPPLPVVLHDIPGGEEAFELCAKFCYGISINISANNFVPAALAARFLRMTEPVAKGNLVAKLDSFFDSCILQGWKDPIAALQAAWRLSGWSESRIVQPCVDAIVEKILMPPSKVTPTPLSFLWALRCFRARNFEIMPPFFRGFACLVCAQPSFDLLLRYEPNLARI >OB09G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2761391:2762395:-1 gene:OB09G11770 transcript:OB09G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPYIADAFVPVHARTGYPPPPHPWPSSATRRRHLARPELARRGGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGVVGWCCCILVLIIAAIAGAAAYAFFIYKPKAPSYSVSNMSVSQFDFNSNDLTLYAKLVATVRAENPNEMIGINYGEGSHTVVSYRGTPLCSGHLPTFFQGYKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRLGTLDIREVKVNVHCALVVDSISPKKKPTIKSATYQANVEF >OB09G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2764245:2764481:-1 gene:OB09G11780 transcript:OB09G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSATHPINTMQRHQQQANFFIRDDAPFGGEVAAAAPDPEFRFSSSFNVSGGGTVEYGGAMQQPPAKYVGSNWFY >OB09G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2770134:2779089:1 gene:OB09G11790 transcript:OB09G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPSHPVNAVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRHSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVIFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEDSVLMPDPLPSASSAFGAAIPNHRSSLTANPSGFLSTSTSSTVEETPYRTRPLSGGPLLKLQAAPRGNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDDKLGDKKLSTTRKFPYIEDNNEPHPISDWRSISNSRQDDASSTTTTSMPSWKSELSITSPETATGNALQDRLTHRQQVSRFGASAFQTGSFAFAGLQDSASATGNSLKGSLTSNILMNLQNKGVLSNARASLDTSTSSLQSSLPSGFMAKTIPPVNSDQPGAAQSSSQWKPSTYTDRMSTSSVFSEGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAGASSSASLVANNGVVPPQLPSSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVMNQVLEKLEGLTKEVQQLRRENQQLRQQLL >OB09G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2781876:2784145:1 gene:OB09G11800 transcript:OB09G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G19830) TAIR;Acc:AT2G19830] MFNRIFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKDFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPTRPNRPVPQKASAEEDELAALQAEMAL >OB09G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2789894:2790565:-1 gene:OB09G11810 transcript:OB09G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHIHFSLDLLFISVAFPLRCTSEDRFLYSGFSGANLTVDGAARVRANGLLEVTNGTVNLYGHAFHPSPLRFRRSPSGTVRTFSASFVFGIVSVYRETSVDGMAFFIAPSKSLSGVDANQFLGLLSSRNDGNSSNHIFAVEFDTFQNTELKDINDNHIGIDINSVRSLSSQPAGFYDDKNIFRNLSLNSGEAMQIWVDYREEATQISVAMAPLRMSIALCHL >OB09G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2797170:2798573:1 gene:OB09G11820 transcript:OB09G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLFFFFLWLIQQVHGDDKFVYSGFADSSKLILDGAAMVTPDGLLDLTNGSARLKGHATHPTPLRFRDGVSSPVQSFSISFVFGIISPRPSNGFALFISPGKNFSDALPTQYLGLLGDRNDGAETNRVFAVELDTIQNSEFQDIDDNHVGVDINSLRSVRSHPAGYYDGQGVFKNLSLVNGGPMQVWVDHDRAATRVDVTMAPLSFAIARPSRPLISADCNLSAVITELAYVGFSSAAGKANARHYVLGWSLAMNGPAPAIDTTKLPKMPVGRVLGSKDWSKVIEIVAPLATAAFILTVGGTILVLATRYQRYKELREDWEVEFGPHRFSYKDLFRATEGFRNKNLLGSGGFGRVYKGVLPRSSLEVAVKRVSHNSTQGMKEFITEVVSIGHLQHRNLVPLLGYCRRNDELLVYEFMPNGGLDKYLFSEDGKPALSWAQRVGIIKDIASSLLYLHHECEKVIVH >OB09G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2803111:2804684:-1 gene:OB09G11830 transcript:OB09G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSARAPQGNGVRSHDDWVVQVNQKIHEEAQKACQYIPPYFRRIDAFGNPRHPSIFSPRPKANRHAVASPPHAAGQPSATETTDDDQVVVAAVGPYHRCSATAQPQPLITYANKCGIVRYLTRFNLDVEGFLKWAKDKEGPARLCYERDSFQMSSQEFAEMLLLDGCLLLFAVFLIRPSIREDKLPAKLARDEEHGKEFRDLSADISFHMQQTRLDLLLLHNQIPFFVLTELHRRLKDTFFAGITRSFEQLALSCFQDVHPFGLKEGEMSPTPMATANGNAGWFPPTVHHLLHLFHWSLVPRDKHAVDTDSIPPREPESHLPSATELEESFTVFTKQKKNDAMGSSSSCCFDITFESSKLHTGGVMRLPALHIHGYSESVRNLIAFEQSHLRCGHGVTAYAICMARLLQIDADARLLRNSGILPYTQRTDKEIVDMFRLLVDDCRNTCVPNDLLKLCNDVAAHHQSTGVRVLKGFVMQCFPRQTVTFFVIFAAIISFATLINTVHSMYRYYHPLGHLSPLGR >OB09G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2807312:2808427:1 gene:OB09G11840 transcript:OB09G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIPELSSQAQHHKAAVVLSRSVVRTVESSIPSLLGDLARVLDGAPWSCGGDSFFVLQRVRPDVADLADQVRCFKAELWVRFYRVPAEYVSEASLRALATHVGELVKCPDLLPEQAFFRARIRVDVTQPLVASIDVRLEDGEPMSVPVVYDRIESVLCARCRMLGHPADWCSTAINHRIPGVPRDRASHLSRSRSRLSRESSSSAGNGNGSGISPVPRSAADAPPIEQYTLAILPDGIGNGPLPSAELGDSPPPNSRALIRLKHYFRKYLSFTSSRRKNPAGDDLQHVGSSSENMEVDAQSSQTVRPTSDSEHTNEV >OB09G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2832675:2833457:-1 gene:OB09G11850 transcript:OB09G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSQGVHSAVGLHPASSQIRIHLGTTPVLAEPLIPSVGDGFLVRKKHFLRKSSIARKRDGRERDAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAEPWPASAGPPPRRGRPLMLRHRDYRERRRRERDWRE >OB09G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2843384:2845390:-1 gene:OB09G11860 transcript:OB09G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHPFLLLLVVSLGLTLVALCAGQNQFIYHGFTGENLTIDGTAKITPEGLLELTSDKNDLSGHAFFPTPMHLRRPPNSTVQSFSVNFVFGIQSFYSDRSYDGMAFLLAPTNDLSAAWPDGYLGLFNISNRGNFSNHIFAVELDTFQNSEFGDISNSHVGVDVNDVRSVQSSFAGFYDEKGVFRNLTLYSGRAMQVWLEYVEEATQITVTMAPIDTPKPKRPLLSATYDLSTVLTDPVYIGFSAATGPVSTRHIVLGWSFDMGVPAPALDITKLPKLPRVGTKPRSNVLEIVLPIASAMFIIILGTIAILFVRRKLRYAELREDWEVEFGPQRFSYKDLFHATLGFKNKNLIGVGGFGKVYKGILATSKLDIAVKKISHESRQGMKEFITEVVSIGRLRHRNLVPLLGYCRRKNELLLVYNYMSNGSLDKYLHDVDGRSTLDWTRRFQIIKDVARGLLYLHEKWEKVVIHRDIKASNVLLDDEMNGRLGDFGLARLYDHGTNPQTTHVVGTMGYLAPEMVRTGKASPLTDVFAFGAFLLEVTCGQRPVKQDTQGKQLMLVDWVLEHWHHGLLTEAVDMRLQGDYNIDEAYLVLKLALVCLHPFPTSRPSMREVVQYLDNDLPPPELAPTRLGFSKLPLMQNKGFNPSVMSYPELITSFGTFSGLSGGR >OB09G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2848902:2850935:1 gene:OB09G11870 transcript:OB09G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKPMLLLVQMLLLSLDLVNMAYGEEYAFIYSGFTDQNITLDGAAMVTRSGLLDLTNGSVRLNGHAFYPSPLPFRKFSDGMVQSFSTSFVFGVQSSYPSQGFAFFIAPSKNFSSALAVQFLGLFNNQNNGDMKNQIFAVEFDSIKNIEFQDIDNNHVGIDINSLTSVDAFPAGFYDDKDGTFSNLTIASNEAMQVWVDYNGDTAQISVTTAPMGVVKPLKPLGSIIHNLSSVLSEMAYVGFSSAAGRDNTRHYILGWSFGLNRPAPSFDITNLPKMPRFGPKGRSKVLEIILPIASAVSVLSIGTVIFLLVRRHLRYLETREDWEVEFGPHRFSFRDLFHATEGFKNKNLLGIGGFGRVYKGMLPVSKLDIAVKRVSHDSKQGMKEFVAEVVSIGRLQHRNIVQLLGYCRRKGELFLVYDYMPNGSLDKYLYGQEDKQILTWAQRFRVIKGIASGLAYLHEEWEKVVVHRDIKASNVLLDTEMNGRLGDFGLARLYDHGADAQTTRVVGTIGYLAPELASSGKATPLTDVFSFGIFVLEVTCGQRPIKEDISGNTTMLVDWVLEHWQKGTLTDAVDVRLQDDYDLGEVSLALKLGLLCSHPFADARPKMQQVMQYLQGQVPIPEDMPPNLSFEMLTLMQNEGFDSYIMSYPSSITNHSDASHASCAAMSALSGGR >OB09G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2854383:2858984:1 gene:OB09G11880 transcript:OB09G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCTVVAENKEAPRRYGGRVTAFVTLSCITAGMGGVIFGYDIGVSGGVTSMDGFLRKFFPDVYRRMEGTSVSNYCKFDSELLTAFTSSLYIAGLVTTFLASWVTARCGRRPSMIVAGTAILAGSAIGGTAVNLSMVILGRVLLGVGLGFGNQAVPLYMSEMAPPLHRGAFSNGFQLCVGIGPPPPRLTNFFTQKIRQGWGWRVSLAAAAVPGGFLTLGALFLPETPNSVLQQGKDHDTVRVLLRKIRGIHDVEDELNDIAAANRERASSRRGMHMIVTQRQYRPQLAMAIMIPFFQQVTGINAISFYAPVLLRTIGMGESASLLSVVVTGLVGTTSTLLSMFLVDRFGRRTLFLVGGVQMLASQLMIGGIMTTQLGDHGQVSRTCAFVLIFLIAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSITVAVNFLMTTAVAQLFLPVLCRMKAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVGRLWSQHWFWRRFVTASDGEQAELEPVTKVDKL >OB09G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2862041:2862763:-1 gene:OB09G11890 transcript:OB09G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASHGCWGGGKEQDRGKGSYRGRTAKGLRGMMQLLARTVLKFIFLLADSVPDGMSETKKFFTGRPQPPLWLSQSPYRRDRDLSANLGGADLFKLKPKILALRSPKPRQNTIGHHVSKAKDLSH >OB09G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2866911:2868558:1 gene:OB09G11900 transcript:OB09G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLQKRRNRHLRYGGTSNKKVKETIEDGGKTNKQEWAYYQFKSLLRWFVEKKMSSLTLDNVAANDVVVKDIISELKKYGSPWEFLKCVAECGLETNKWLPSDASTRFAFDRLTNLDRRKYEEIFPTLEEWEKATKIHALLKIFHDLTKLFSVVASFLDPRFKMKIVKFYMKYTYREMHPMKANAFLTTTRNIYDCYVSAAPLPTFNESEPTIPDANQDPTKLVTALDDFLHQDEANAHVERVNDLDKYL >OB09G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2871707:2871868:-1 gene:OB09G11910 transcript:OB09G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLSLMVILLLFHIMPNLYIIYVLLLASKLSTEIKLPNFDQSITCTYSCTR >OB09G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2872982:2874524:-1 gene:OB09G11920 transcript:OB09G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNNPQIKGKKRVKQPLTTGNLAKIPNVSYIIRIELESSSILVTKTIQWQMNILERPPAQHSRIQAKYYCDRLKIRARTNLISLSLFLTRRHWRSLLCASRFAAAASTAPVSPTGEVISFLTILSPAHSWSGRIRFIHGVRRCRAATSSSASLRLLAKPPKPLLSKPHLLTLCAPVSFQRLVARSSASPTPSAAASASTSASGVDPARLPPPPRGTVALVQSVPAPRTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAAPEEGAPDRVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPIEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEVATGEASTEEFGNQEVAQEVAKPPAEKEPEFAAFGVREEEASEVHSHEDEEQLEEVPAEAMEGNGGLGGGRQERIRESLERGLSPVQLEIEDISHLHKGHAGVSGSNGETHFNVRVVSDLFQGKSLLKRHRAVYDLLQDELKSGLHALSIDAKTPSEV >OB09G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2876043:2876787:-1 gene:OB09G11930 transcript:OB09G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDERKKSKVMQIIAKQCGILSITADRDKDKVTIVGNENLDVTHLTMELRKQMRRAHVAIDTVTQVDEKKEKEEKEKKEKQEKEKKKKEEEEKNMCNPKLVHMPYPVQFCVDEPSPACCVM >OB09G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2888616:2889421:1 gene:OB09G11940 transcript:OB09G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAEVSADGDHAVLRNDDRGIPRCLPLLAALVEANALRNAAAAASRPAESDLARAFRGGARPAVQIGEFLERIHTFIQLQSVRHVIQLRARLPMKWTPVFETSSDGAIRAKEMADLERRFLRAVDYRLFVKDEEFEWFCGILETAPTSVGCSCGKGGRKRTAAESVEGEEQDERRRVRACVPPPAVVAN >OB09G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2895654:2896343:-1 gene:OB09G11950 transcript:OB09G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEKTKSKVMQIIVKHSAIISITADMDKGKVTVVGNESMDVTDLTRVLRKKMHAPVAIGTVTQVDERKEKEEKERKRMEEEYCRNLWHDIYQPPYPMYPHHMYASLWMCRLELEMNNLGKISIITLGGVSPVEFE >OB09G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2915406:2917226:-1 gene:OB09G11960 transcript:OB09G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKFVLKMPLDTEKKKRKAFKAAVGMTGVTSASLEGDKIIVIGDGVDPIALTTMLRRSLGHAELLSISSGDDKKMMGGGHGGMGMGAMGFGGGHGGGGGGMGMGFGGGKEGKESGGRKVVVDVDGVHHHEQQQQQHAMAPTPPYPGVPAYQQYNAVPSYPVYPSYPGYPQEEQDPSCSIM >OB09G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2939656:2942970:1 gene:OB09G11970 transcript:OB09G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT4G17180) TAIR;Acc:AT4G17180] MGACAVLLAALLLALAAQYIAVGNEPFLTSYQGQFQSYVIPATTNIQQSLVKANLASYVKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNTYYNAFDGNFDTLVAALGKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNAVLKNAKEVPYLPSRWCIANPVQNLDNVANHIKLACSMADCTRLDYGGSCYGIGEKANVSYAFNSYYQQQKQDAKSCDFDGLGMITYLDPSMGECRFLVGIDDSKSSAVASCGCGCGVCCGVWVLFFSVFMYLRMMGSV >OB09G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2942457:2942885:-1 gene:OB09G11980 transcript:OB09G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTILALVSWLMLLALFITTTTASLPCRCCWLVQHPKVTCGHACCGENCCPPTPPPSSR >OB09G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2959345:2959869:-1 gene:OB09G11990 transcript:OB09G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADAIHQFSVLTHAVFRSTADIAGDAPEQMKMGSERDKEDGRRIRAYFCGPHRRSELLPAKSRRCPSSSPRRGRAAARSTPQQRRCRICVEREPCHHRIHAERKDDGAARRAPPSAAPSPSRRGCVPPEVRLGRVAAGSAPRGSHAAAGSTLRGRTTVPPAELLPAPPRPCRR >OB09G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2961877:2966020:1 gene:OB09G12000 transcript:OB09G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMPLLCSTSWQDLKMLATEQEIGSIPISSSKNCQVRLLTGNWWRVRFSVFGPNSEGRGNSFAPFGPGENFAARPNKISSRRDGARGKLQPVHAPRPQRSRRRHAGEDSESKTACRKAAKKNDDKNKKKDDNTKQQQQKKKLQEAGKGANAVGKKQDTTKYIGYKYRDRSGRRGQ >OB09G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2973877:2974877:1 gene:OB09G12010 transcript:OB09G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTVLACFIWAMAAVIFAMAAAPATARMEEGIHPQGCRCCYFRLRPMIQCAKACCGSDDENCCLVNN >OB09G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2975609:2976124:1 gene:OB09G12020 transcript:OB09G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESGKTILLISSDGHRFEVTEAAASMSRLLSNMIEDGCTDNGVTLPNVTGDMLAKIVKYCDKHAAVTSELAAAGFSDAAAREESRVEKLKEFDAELVSLDVPTLFRLIMAADFMDVKGLLDAACQHVADMAKDMTVEQMRETFNIENDLTREEEAAIRRENAWAFATRR >OB09G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2990297:2992843:1 gene:OB09G12030 transcript:OB09G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNNFSEGNSSEETKDSCENMKGKQEDSVVQTTKSEHVEPSEQESSNMPVSDLGKKETPDVVETLAMSVEDVPEEGEIVETKSKSAMNKKRNIGKRTRQNNNGIQGKKSSDTNAQATSRSVKGKTTDPTAGPTQYPRGRGPTRGNLPYGFV >OB09G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:2997184:3000172:1 gene:OB09G12040 transcript:OB09G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTNRLISEYRSGLGEFLNAAENEKHAREMLSSCAAHARNLEYWKLLEVRHCIDLMHIEKNVTDSVIRLLLNIKGKTKDGFNARKDMEDMKIRPGLVGPQVDVSPTGLPSSCASTGMNAQDRVVPSVVDHMNEDTASFVLQVMVMEKFSSDAAEGLVFRPSRTIRVHGAQLLDGHAKIQVDSWVTFPLENPPNDEILTLGAAKGTYIQWPKSDIIIRMKPNAPPIPKPKDSMPPAVEPNVEASIGQALTGHHFGCGLALEVEDVLPNLPSIKIVV >OB09G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3000945:3004283:1 gene:OB09G12050 transcript:OB09G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLSSAISANYTWVLIKEALDRAWELYVTKGGKRDAKRSGIRKTVGDDLARHRHLRRDTRSDQYDAYKKQVVLNGLCEKHHMEKLRTVFREERDDDVTKPTIDITIAYIKIKMIKCWNPKDRATPCTSAASFHEVDNI >OB09G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3014925:3016944:-1 gene:OB09G12060 transcript:OB09G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLVNVLPHRKLRDLAAVHGPLMMLQLGDTPLVVASSREMAREVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMMRVNEIRAAGPSTPVNLSVMFHSVTNSIVSRAAFGKKRKNAPEFMAAIKSGVGLASGFNIPDLFPRWTRVLATLTGMKRSLQGIYTTVDAILEEIIAERKGIRDDKIRSGTENVDENLVDVLIGLQEKGGIGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRKPSVMKKLQAQIREAFQGKATVTEADLQASNLRYLKMVIKEALRLHPPAPLLVPRESIDVCEINGYTIPAKSRVIINAWAIGRDLKYWDDADKFVPERFEDGTIDFMGSNYEFVPFGSGRRMCPGFNYGLASIELVFTSLLYHFDWSLPEGVNEVNMEEAPGLGVRRRSPLMLCATPFIPIVPAN >OB09G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3070789:3072327:1 gene:OB09G12070 transcript:OB09G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFYQSLLLSVLAVTVRQLLKLLLVQRPRTPPGPWKLPVVGSMHHLVNVLPHRKLRDLAAVHGPLMMLQLGDTPLVVASSREMAREVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMMRVNEIRAAGPSTPVNLSVMIHSATNSIVSRAAFGKKRKNAAEFMAAIKSGVRLASGFNIPDLFPRWTSVLATVTGMKRSLQDINRTVDAILEEIIAERKRIREEKIRSGAENIDENLVDVLIGLQEKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELIRNPSVMKKLQAQIREAFQGKATVTEADLQATNLCYLKMVIKEVLRLHPLRLY >OB09G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3072453:3072728:1 gene:OB09G12080 transcript:OB09G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERFEDGTIEFKGSNYEFIPFGSGRRMCPGFNYGLASMELMFTDGVTEVDIEEAPGLGVRRRSPLMLCATPFVPIDPAN >OB09G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3080277:3092988:-1 gene:OB09G12090 transcript:OB09G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGGGPRPSSRPPALAICKVSYSVASRPLSSMRRPFTEGLAPPPSQFPDSPADRILSAATKGDVPGLRKLVKRLRAGGKIAEEEVAAVCDPLMRRGPLHMAALAGRLEMCKFLIKDLRFDVNVVDLDGATPLHFAIQGYGFIAIVRLLLDRGADLNKADRYGHTPLHAAINGDMYEITELLLSRGAYVDPICEKGAPLHIAAKDGNARMMELLLQHQADPNRVVQLFCTPIIVAIFSCSLKCVELLIKAGADVNSGKPVTPLLAAANDGLADCIKCLLEAGADANIPDEIGRMPVEIAAIQGWKECVEILFPFTSPIARFADWNIGVLFQHVQFGSPKTKDHVRHDNNGSFAKVEGDDAFEEKKYADASALYTAAIETDTADSTLYAKRSLCGLHLGERNKALEDARTYKEMGADFSKFCYEQGAALILLKDYGQACKALMSGLKLDFRGDQIKEASRPLEKLNPGMNLFLALE >OB09G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3098553:3102239:1 gene:OB09G12100 transcript:OB09G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTAGLQMAVARPCIPACQRLLGSRASLPSFSRALSTQSGFASCRNTASAGPFLSLHQKRFAVRAMSQSSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNPLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYGNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >OB09G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3107378:3107536:1 gene:OB09G12110 transcript:OB09G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVCCPCMHETDINFASVVWCEIFKLTWFSYVHDAHMHKICDMYEYDIGL >OB09G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3113620:3113982:-1 gene:OB09G12120 transcript:OB09G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGVYGEEPLCYLLAVDASMASGSSSTAAGPTSATPAINAMQQQQQANFLIRDDASFGGDVAAVPPEFRFSSSFNVSGGGAVEYGGAMQQPPAKYVGSNWLNFSVLVRIIG >OB09G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3120780:3129751:1 gene:OB09G12130 transcript:OB09G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMCHAHESEDATMDGVDDNFICSTSCYKMCGNGEKSSIIDGDMGWLSMEISPCSTPYGTPPFSRESSCSSFASCFSSLDEYLVETDYDEEIELLDTGQLHPGILFFDESIEQRKGGPIQVEECQPSHAASVDDGSSFSIPTNQNISSGELQLEIHIESTDDNSAPSNVILDANLSTDPHQAIMSIDELTETFCGVPLEDINLKQSNIVNVEEGTSLPMANDEINEQVMDQIDNAKENSIVYNNITSAEQNMNLGLESSDYLYPQVTPSFDTDPHIWLPPEPVNKEDDTYIVANNDDDSDNNDSWVRSNFNISFDAHQNKNSRENQLQRAMSEVMNGQFKILVSRFLAAEGLSSSDREGEKNWLDIVASLSWQAALHVKPDANIGNEMDPCMYVKVKCIASGSWEQSEVIKGLVFKKCAAQKQMRADIKHPKLLLLQGILGHSSAGLLSMDSMKQENEHLEKTLDDVISKCRPDLILVEKAVSRNVNEFIHKKGVTVVSDMNIHRLERIARCTSSPILLLQNVLAKPDLMKQCESAHFEKFIEEHNITGEGGKRSAKTLLFLEGFPKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFAMGKNATENDNCVKTDPQLLVPCTVAPQSKFCSDIAQNDDTKKHALNILASDGEYVNQDKFVNSEKSMCIHDSRMETSRGHADTRLNDNDNILSYSSLPVQGLSRNLIGEISPDFPKLTSCNDFVCSTSGATSNDVILQKNGVDGKNCLETVSDGTSPKTKTSLDSQSILISMSSQHIRNKAICEQNHLSRITYYGYFDTSLGRYLQDSLFNEKHCCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDGTLSGEHQGRIWMWTRCLRCNGKPSQRFIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGTKVAMFRYFSVEIYSAFKPPLTLEFYNPNRKECLGVEFNNVLLKWRLLISEAENKVQILKSGDSQAAGERTKISVHEELLLEVTRMLTQEKNEFEVYLKAFDHLVKSGTCVHEILGLNWLYQLLLLGCYIWDVRLQHILQYSKVNAASSDSPIQKRTPEDEPKNSEITSVHGEALYRTNLEMERQEESTDTCHSFDSSCGYIISEKEQLTERPVIQEPGSHVSPDHDVWLSLSSSGEDGGSHEHTENFCLEKPIGLPVKNNVLPETANGNGMYSVAMSSKCFAVFPNLLDFFSNDARKWVWGSFSHLENEYKKELQGGSLDKFYLINKYTPSFSSLAHLKSQLDMVQFIVGPGGSTLSIVEEEVSSMIAYALSISEQKGTYSEAVIVKDKVIASRNFDKVAPSNLIGDTTMSSLILSPNESLEKDHSLSRNVSSLSSEESTSGFYDSFLSALKDLHPEICLNNETLALKSKYSVVCIYAKQFHDLRKVCCPSEIAYIASISRCKEWNAQGGKSKAFFAKSMDDRFVIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNTTCLAKILGIYQVKEIKNGKETRTNFMVMENLLFGRDIVRRYDLKGALFSRYVLDSKNPEKVLLDQNFIEDMRTMPIYIEGKTKNLMERAIWNDTAFLSRMNVMDYSLLVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPKEYKIRFRAFMSQYFLTVPDV >OB09G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3133971:3134955:1 gene:OB09G12140 transcript:OB09G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEETSVEKTRRENERLRKDLDEQRAAATDKMTSASTVAAAAGRRSSGWRSPEMAGDRKAVDAGR >OB09G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3133973:3134182:-1 gene:OB09G12150 transcript:OB09G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHRPASTALRSPAISGDLQPLLRRPAAAATVLADVILSVAAARCSSRSFLSLSFSLLVFSTDVSSCA >OB09G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3143282:3143632:1 gene:OB09G12160 transcript:OB09G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFYFSSHRNHHPLFSWGVEWTFLSFIFNTWKETSLPNYLVSSVKNVVELLLCCLLYNLYVLIWLMTPIGMQRSFSLRVVKNTIVFMYYFFCSACSMMMYTSINLGALEQWCFFV >OB09G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3143949:3150448:1 gene:OB09G12170 transcript:OB09G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMILSINLAREDKSITKVSTTCGYFTCKCLHGISASNHVTTINSHSVCMVCLMLVPSIWRVPSHAIVLICFQQKKRACHNTWQNAQGYQAKRYICNISFHGQLYMLFTHVQGTTICNHLVIKETNEHRY >OB09G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3141421:3151305:-1 gene:OB09G12180 transcript:OB09G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLGTCPFGPSVIAFKQLAMGVDLKSSFCGTADDLPPPYPNSRGIRGSGRVSGNGRAIVTAGSYTRVHTDMATQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDEDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPSNPQPIHDLVPSPTTSSRKRQKTSQSFPVLPAPPPVMHSQQLALQAPPSSSTAKKGASSGTKGKKAKPGQKVPGGPSVKAMTSSAGPSGRGPHMNRNFPVGIASFEPSEALRINPLINRKVMSRWPEDNSFYEATITDYNPATDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGGRGAPGSGGKKTSSRGGPTPGIGRGRGVPKHGSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKALKEQEQSLIEAIARLAEASDGESGKY >OB09G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3155714:3159696:-1 gene:OB09G12190 transcript:OB09G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQLMPREQPSGLEGRTVDVGNVRVHVREPIAEGGFSCVYLARDAASPARQYALKHVVVQDEDSLGLVRREITAMRSLRGHPNVVALVAHAVLDAGGRAREALLVMEFCDKSLVAALESRGAAHFDEQEVAVIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGGDGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDARPDITQVWFRVNELLPLELQKDLPDGSPSGSAFESHTTEDEALRKPTISPSRDNMRSQSPEYSSDLRSHGPSKPAESKGSMGAFWSTQHAQELAFVDEKGSDFDQEPTHQISSMQSQAKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKEAKIENKTTVQATNFNSFVADFDNVKVKLQNNVSSLNATRRLKEQKLEAEVNLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALATASATQSAKEFKENPKAELSPPITSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKSAHPRSVRTLRASNSNKASSLGQSNTSSSADPFAFGQDSFKAAPSQAAPSKMSNLGNGSQSSKMSNLGNGSPSLNALKAEARQDSPYQPAGWTGF >OB09G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3168973:3169386:-1 gene:OB09G12200 transcript:OB09G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRRRRRDDGNAPQAAASRCRRDAAGGGASSETVAGGEKFDPELAYYDSSWNTMIPAERQLLRPISGYLSWPEKEEEEDNNDQGQREDDDDEEEDGQNEIDKLADNFIARCHERFMLEKQESYRRFHEMLARSL >OB09G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3176738:3177028:1 gene:OB09G12210 transcript:OB09G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGGGGLHWGVGWSEVGGSPRSVGVSGGTRAGRESGRGGSLRRAAMAACAGRLAGLRESVAILVEESGTILVEESEEVLGAILVESGGVSYVGL >OB09G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3182578:3185608:1 gene:OB09G12220 transcript:OB09G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLRNLVDEEVLRKTGKAAGIGLAAGTVWGGLVSMLIGGPQVSSNVKYPELVRTGKVCGNYAAGFALLGATYVGIEQSLENYRKKKDYINGAVAGFATGATVLGFRVRSLPTAVLSGSALALTSVLLDVTGMKTDETETAKEHH >OB09G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3185999:3188362:1 gene:OB09G12230 transcript:OB09G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42220) TAIR;Acc:AT2G42220] MAVLGLSTAFSPPRGSWIAVRIRHGGRPARRSNLTRRTNAGGGGGAAIAVRAEVSFVNGDEAKRLVGEEGYTVLDIRDRTQRERAHIKNSTHVPLFVENDDSDIATIVKRTVHNNFAGLFFGLPFTKLNPDFTKTVKEKFSPDSKLLVVCQEGLRSTGAADALEREGFQNLVCITSGLQTLKPGTFESVGKSELQNAGKAGLVTVQGKISAVLGTVLITAYLFITLFPDQAEKLFDLAGIKL >OB09G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3189542:3191750:-1 gene:OB09G12240 transcript:OB09G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G52150) TAIR;Acc:AT3G52150] MATTTTTISSSLVTPPPTARALHHHHRCPSRLPVGAAPSLALRARRRRPAMVAASSSLLEAPEEVAARKLYVGNIPRTVTNDELAAMFADHGTVDRAEVMYDKYTGRSRRFGFVTMSTPEEANAAIESLNETEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEMLKNFFSEKGQVLSATVSRIPGTSKSKGYGFVTFSSDEEVEAAVSTFNDAELEGQPIRVNKA >OB09G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3196208:3205490:1 gene:OB09G12250 transcript:OB09G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) TAIR;Acc:AT3G20780] MDDAAGDGAPRGTKRKAPASSSSAAAKGKAAAGKGKAPSKAASAATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTSLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDSADKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAERLIGEMGPDFNAKMTVKSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVQSALKQCCIQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADGSPPKRPRYDREDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREAFFLNSLEGSYKFTDFQSPVFVFRFIP >OB09G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3206266:3211708:1 gene:OB09G12260 transcript:OB09G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20790) TAIR;Acc:AT3G20790] MAADGLPRIAVLGAGTFARTQYIPRLREIAHLVLLRAIWSRTQESAEAAAELARDFAPEIECRWGDAGLAEIMEDASISAVAVVLAGQVQVELSLKMLKAGKHVIQEKPASGSTMEAETALSVYNSFPNQPPYRPIWALAENYRFEPAFVESRKLMNDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMLVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAINSRSPKILWRVDGTKGTVQIERGIAGGKHGYQVLFFSENAQCQTTFYPFCGVNEELKAFVHDIVQTNKDGDHKAEPRSSYVEGARDVAVLEAMLKSSAKQGATVQVKKF >OB09G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3216583:3224101:-1 gene:OB09G12270 transcript:OB09G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMAASGNEEKRLASNLTAASDENGGDMDPELEMLAEARRRGEPRPPVSMCWSLLRASRTGDWVELGRLLGREDDGDGADQQCYQSSPPSPAPARAPQVPRDVQESPLKRLTYQGDTALHMVAASGDGESFLRSAEVICGRAKVLLVTANNNGDTPLHSAARAGNLEMVRKLVGLSKDGDGAGAAAATAAMLRTENESRETALHEAIRFDSVAMVRELLEEDPGQLVCGSGTSPLYLAVLLGRGKIVEQIHAIVAGSSASSKQLSFSGPGGQTAMHAAVLRGQGTTIHGMSSNFYLMQKGDDHGSTPLHFAASLEGPCDETAQLLLLLAARFMHPFQNCVTSQLLETNPDAAYQPDDRGMFPIHVAASAGRLRAVVVLLDRCPGTAGLQDAMGRTFLHVAVQKRRYRIVSYACGMAGGLLLDDPILNMQDKDGNTAVHLAVQIGDLDLASCLMRNHRVRLNLANNKWQTPRHLAEICVPPGLYYSKNQRRMIYRSLLVYCGAPGGNLRHDHFLEQDIANRNEAEESKKIIESTQILGIGSVLVATVAFAAAIAMPGGYRGDGTPALAGSYGFDAFVVANALAFSCALLATLGLMYAGMASVDFATRSRHFASAVGLVRSSIRSLAVAFALGTYVVLAPVARTTAMATCAFASPILVYANTELMPMVLLAWTVSRKSGDKMWFYTIGVEVVLGALSKLWPYLLIFGLPALLQITK >OB09G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3226796:3229560:1 gene:OB09G12280 transcript:OB09G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPQASLAPVFVATAARGTVNLGARGQPLGSSSSQLAGMPTSLPQASLAPAYLTTVSRGTTNLGGREQPSSHPWDPHHCQQPCSNTQATEGRGEQETLVREEVLEPLNHESTGVNLLRDWSTGEDDFLQRSNFNNMASERFYTNLLSEDTYTFDCGDMGSQPEQEQPNRNEPGKSRRQSQKRTKNFSDEEDYLLVSACRVENRNQSGVTIEDKARKLYKAENENRAFTYMECYHLLKNQPKWFDKRIEMAELEAQKTPNKRQKSTTKSTAVLSTDVPTEGNIGDGSESTRIDAATNTLERPVGRKKMKEKLRQRFDRSHIESLDYLWAKKKEADEEKEIKKEERYNHAFALEEQRIAAEKEKFEFKRMIEEERILRTDVSNMDISQQEYYKNLKDYIISRRLNSSTE >OB09G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3245878:3255541:1 gene:OB09G12290 transcript:OB09G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGDQEEDRQQHHHHHHHHLLPSELPLGFRAGSRPASPAAMIASSSMSKESSSYDMADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADPVHEAKSAGVAMAMLPNGNQLQVLPSPNKSPDQQGGQKITSSAPTNPSSPNLPLPNSAKDNKTSLIKKEGTSSGKGATSSDPEIEGRRILDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHAARVQGALLGTGDQHQVLPSGPSAASLFDMEYGRWVEEHSKLIFQLRAALNEQMAESQLQVFVSGAMAQHDELLSLKGAIARADIFHLLCGVWASPAERCFLWLGGFRPSEAIKVMLKQVEPVSEGQLMSIYELQQSVKGTEDALSHAMDSLHHSLSDTVAAPDVAGAGGFMGHMSLAINKISAMEDIVRQADGLRQQTLHKLQHMLTVRQAARCFVAISDYFHRLRALSTLWVARPRQEEGGPAM >OB09G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3260729:3260905:1 gene:OB09G12300 transcript:OB09G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQNKFQAYVRMFRNGILTRSWRRDSKQLQENCVIWMLAVSDTRLIYKQVKLRAQLIT >OB09G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3261913:3266472:-1 gene:OB09G12310 transcript:OB09G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mnd1 family protein [Source:Projected from Arabidopsis thaliana (AT4G29170) TAIR;Acc:AT4G29170] MSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSTKKRYKELVEQRENLKRGREDSDERESALEELKAVEEHHKKLKEELAAYADSDPAALEAMNDAIEVAHAAANRWTDNIFTLQQWCSTTFPQAKEQLDHMYREVGITEDFEYLQ >OB09G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3268077:3272324:1 gene:OB09G12320 transcript:OB09G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MADKGINPALFANDGSFMERFKQMQQEMQGKGKASSTSTSTSTPMSANPKPAVASKRPLELKGGEVRKAGSVSSGAKLAFSLKKNKVAVAPVKFAADDEEDEEDAGGAERQEPAKRQRSDAPAAAAPWRVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYESRLAEEEKLLAQSKEAQASKHASSSNPSSRAPSGPQRSSFEPKTNYQTPASALYGTYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAHEERKRPPKQSKDEMPPPPSLQCSSSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTAEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >OB09G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3274994:3277051:-1 gene:OB09G12330 transcript:OB09G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKLLVNVGVIGVARTATGLLHGGHHRSWVPTAKGAAYIQIQVRDPGERERQRAVESDELGRRQAWGLRLAAAEKSLGVEHSSYSEEMELQWQQQDVEPLDTNEEEDYTEGAEEKQAMDENPEGLYDDVGVDEQ >OB09G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3279698:3284826:1 gene:OB09G12340 transcript:OB09G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSYGEVGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLQIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVTRWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERESLFFMETSALESTNVEDAFMTVLTEIYRIVSKKNLVANEETDSSGNSSLLTGTKIVVPGQEPPAPAKASCCMSS >OB09G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3291314:3295735:1 gene:OB09G12350 transcript:OB09G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHHHHPEAPATKTHGGGGGGGKVHKLLKSAFKRGVDHHHGGGGGGGGDHEGDLLSRSASESSSTSAASSSRAASSSSGRRGGGGKRGDDACSSVDGDCGDLDVIQPSLINRPEFITFKNKGSKNAKVLAALRDAKIRYAYESFPWEKKMKELLPTEALLTKISGEMALSTVNMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYTPAAGEAALEIKLLPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELHRAARRASRLLVVSRVGGEKVLPWMVSTAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDSALAVKDVAKPPPPPMLMLPSPPSPTPSEAEGDVPAYGGDGEEAAGGGKGGKDSSFRFQNIDLLPDSWL >OB09G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3305960:3311030:-1 gene:OB09G12360 transcript:OB09G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSKDHLIFPFQRSLIPADQSASPYNSLPKKEETIPLLSLLPSTHDHNKIHGCGHGYKQEKEEEVMEDVDISLQIGLPSPTSTGSLDLSKNHLHALGAATTTSQELDGDGDHKVGAVEDGEEEEEASDDLCLDYFSIGKLTKGKYWIPTPTQILIGPTHFVCPVCCKTFSRYNNLQMHMWGHGSQYRRGPESLRGTQPAGMLRLACFCCAAGCRNNVDHPRARPLKDFRTLQTHYKRKHCAKPFLCRKCGKAL >OB09G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3336774:3341105:-1 gene:OB09G12370 transcript:OB09G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSEAFLIGCVNRIANLLEEQAVMILGVKDDLKKLQAKVELIKAVLEDAERKKLHHRAIDIWLNNLKDVLYKADDIIDLCRIKGRELLDEQPSPAHQRKMHFALPSFVSTVRLRHKIGSKIRKLCGRLTEIENDHLVLTLCHLRPYDQEDKTTVNIRQTSPLIDLDVVGTEIEDSTRKIVNTIFSHEDNFKVIAVTGMGGIGKTTLAQRVYNHVKIRNFYPTAIWICVSRKFSEVELIQEIIRQARGDYGQAKTKAELLPIMANTVAHKCLFLVLDDVWSVDVWNALLCTPLHITSRCGCVLVTTRHQDVARGIKAVYTHEVQKLHTSSSLELLWKKAGVDRKKDVDMLMKIGKEIVQKCDGLPLAIKLIGSLLARKDKNPRQWSDVLRRRLWNMKELPGELKEAWGALYLSYDDLPPHLKQCFLSLSLFPADYDLAIWDLRALWVAEGFLHPKGQFIPEELAEHCYAELVSRSLLQPVALYADQRKCRMHDLLRSLAQYLSRGESWYGDPRKMDAYSMSKLRRLSILMDEEVEEEAVPLARSQAKNLSLRTLMLLEGTSIFQKEAMFTFPCLRVLVLNGKGIKNLPSTIGSVLHLRMLNLNYTSIDSLPTSIGSLKNLQILYLIRCLCLHSLPASITQLHDLRCLGLNGTPVTHVPKGLGKLKLLNDIGGFVAEVSTIEAIFEELFPPPSLEKLQLINFHGKSFPGWLISSCMETNLPCIEYIHLIGCSFCTQLPPFGQLPQLRYLNIEDALAIVKIGREFIGMHVVSAAFPKLEYLTFNGMPNWEEWSMTENEEQEPLMELLSMPHLIELQVLGCPKLRALPKSLQNITSIQTIGITRSHHLTCIRNFPYLHKQLVIEKSSGLEIISNLPTLNKLIITDLVALKHIEHLPALQYLELCSGSLDKLPEWLQGLARTNQNLANDFHLTLRCTITMMQRCVREGPDWTTIRCFPHVTAYTHDRTYANQGLQQQLEKMTLSGCGRMINVLQHSPKDKQKQIDTGLDWSPLMLQPSQKLRLNLSCKKENTLNQVTLSLMTMIVEVGFGDCRCL >OB09G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3375720:3375911:-1 gene:OB09G12380 transcript:OB09G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINMIELVSDRIRSVFIPACRFFVERYDGCVHITCRCEMQFCYGCGREWNSADHSCCHEPAP >OB09G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3392363:3401231:1 gene:OB09G12390 transcript:OB09G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:J3MW60] MGDISCLHFSVLVYAFVLLPVCGLPTYCCGNPTPTKKNVQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEVINNDRKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYGVFQAVYDYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNFQSFEKLRLVRRNLDQAKALMDALVKREETKRESMECEVNLQRIQMKYKHEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYVRPPVFRHRFPDHKLSVIPTLRIKRDRELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLVAAGIKPPPDPPIENGATMPPFRCRGRIGRGGRIIFDRWNPLLQTPIGQETSYYVPYSHRPPTPES >OB09G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3401288:3403919:-1 gene:OB09G12400 transcript:OB09G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCRSPPSERKKNGQRKRWMPPLYTAARPPQQCWSITERVNFRIALGRPRVGQFVSTKSTDQICVYADYFQTRHTHSPVKKKGFLIDS >OB09G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3405723:3409554:1 gene:OB09G12410 transcript:OB09G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:J3MW62] MVASRVLARASRQCVAARFLHGYGAVAAAEPPCLRVSVLATLLVVHAPNVLNQPLRYSTTISQRFGFSSTSPEQSDKEENQHKDQENAKKVSNEGIKDHDLSKDDLVKLVLEKDGLLKSKDEEINEMKDRVLRSYAEMENIMARTKRESENSKKYAVQNFSKSLLDVADNLTRASTVVKESFSKIDSSKDSTGAVPLLKTLLEGVDMTDKQLVEVFKKFGVEKFDPLNEKFDPSRHFAVFQIPDPSKPSDTVASVVKVGYMLHDRVLRPAEVGVTEGGPTEKAAKQSQQKSTGD >OB09G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3409118:3409429:1 gene:OB09G12420 transcript:OB09G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHNSHRTKSDRCSFSCYLFGLFIQSLKHFNPRNFSQSFNTKTCMANEISWVEIPFWLKKSLSTMVLSARDHGPICIVKYILIFTRDVAESVGFFFTCIQAY >OB09G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3410073:3412619:-1 gene:OB09G12430 transcript:OB09G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGARLSRSSTRYGPVGNTASSFSGPVRKWRKAWVPIAGGGAGFAGMMGPMGVSRGNKVVLFRWAPVNGGAAGGDGDEAAATRRRFVPGGLVVRNASGVAQNSSKKSGSTELNLNLGLEDPDDDSDADLSTDEQRDGVSNLRSESRLKRKAF >OB09G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3414030:3420460:-1 gene:OB09G12440 transcript:OB09G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MW65] MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPNITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHTNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPSKRPAPDYFL >OB09G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3431713:3434042:-1 gene:OB09G12450 transcript:OB09G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNCHIQYRFLNARAAAVARAKTSAAQKSLFGLAERITGLRESVTEKRVEVERIRREQTLCSVVDDQVPYLDQWCDLEGDHSSCLTGVTSALYNASLRLPVIGNVRANSEEITEVLNSAVQLLEPLSPCVQTFLPKVQEVDDVAAKLAQVIASERSLIEECGNLLYQAQHMQMREYSLRSQLMQLKQQIEAT >OB09G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3439540:3445847:-1 gene:OB09G12460 transcript:OB09G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAKILPLLKKKKKQRKKNSPPETGTPPNPATQRFPSARLLEKYPRLTATTATDHAAGESRRATAAGDAGMALELSLVSAAPLAGLAASPPPPAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRMWFNFHDEFFFLFLLPPIIFQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVHLCGLAFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVRSQAAAGENFFMMVFQFLETFVGSMSSGVGVGFISLLYPFLRSCETLTTLYLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGNVSILFTGMVMKHYTYSNLSNNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHLGFIFFSIGSKCLFLCILG >OB09G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3437234:3439375:-1 gene:OB09G12470 transcript:OB09G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENTSIENYDDNNGYIPPTYEEGTSSGGGLRMKLKEFHKSTTSFTALDKNYLTPFFTSQTDDDDDFGEQPQNQRRGFYDQ >OB09G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3448189:3448867:-1 gene:OB09G12480 transcript:OB09G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKSNTRVMCLAILVVMVTAFFYDHAMGKNTGLLDGADPNWTCSPIDECPVDQCVLFCRGRGFEEGESHCKVEGTSSSAVVDISHGFLLLGGCQRVVISSILKMLITNACLGEVGYGN >OB09G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3460015:3462565:-1 gene:OB09G12490 transcript:OB09G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRQRDAGAEAERQAPPQEAPRRQEARRRRRGLGGRLPGVRRPRRRGRRPGRCFAVAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRSRPPRQQPTALPRRRPTTPAAASPPATRGYSSSASPTTSWTASSPASTWPATSTCPSGANQPPRART >OB09G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3477984:3479933:-1 gene:OB09G12500 transcript:OB09G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVIPNDDDKPPVPAAVRRAALRRHAGQLRPELWDAKKKKKKRGDDEIQWEAAFLEFVVAGDDDDDGVAMFPPSCAATAEAAVAPAVERPRRRRVRRSYPYRGEGKDQLPARRRSSAGAGQRSPWDVDDDDGDALSRRHATLLPAQRRCRGRRKKPGVGGAVRDVDGVVVGVLRRAYTTGVLLGRRDGQPPRRLRRGQQHGHLEHEQHNPLPELRS >OB09G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3497777:3500569:1 gene:OB09G12510 transcript:OB09G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQHHRQIRKQHKLSQGPSKLHHAHPSLGTSNRDKIDYESHRCPLWFVVIVNSIIEEIGGDVFCLMVDESADVSDKEQMAVVLRYVDQHGVLKERLIAVIHVNETTALCLKSNIDKLFTKYKLSWKQVRGQGYDGASNMRVLEYVEKDDPNDSKRRQARGLIDYLQDFDFVFHLHLMLIILGHANALSLCLQRKDRDILEAMLEVKSTKEKFQEIRDDG >OB09G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3501859:3502932:1 gene:OB09G12520 transcript:OB09G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRRWHTSSSSCAIGWHRGGDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHGASMRRSEADGEAARCERGAQCDAAGAGLARGKRCLHRWAPPIGDRERGRLSDGPHLSVVASGP >OB09G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3511417:3512280:1 gene:OB09G12530 transcript:OB09G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASSSIPILLAHEAPDHVRRTGDPRRQAAAGVDSAPRRGVMGGQDDDDGGKDEEEEAWCGRGTMGGRLPGVHAQRRRRRRRPRRMCLAPSRCCCKLSSGKFLVVRSSGELDAGVAAVEPAPTGTPRRQRVRRRYGYHGIRQRPWGSWASEIRDPVRGVRVWLGTFDTAEEAALAYDAEARRIHGRKARTNFPAVDPPPPAPASCYHHHRTTTPLCFLLDGDLFLGGEAPHGMGSAATSTASAELIQLECCSDDVMDSLLAGSDVASGCRDMDMDIWSFLYLCSN >OB09G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3527612:3528046:-1 gene:OB09G12540 transcript:OB09G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRWKPVFALETGGPSNADSQDFEDDGGFLGRTRLGRLIQAAARELLQKLNSARSNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYKKPVSRPPGRFQSLISMVNYWKAGVCLGLFVDAFKLGS >OB09G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3592701:3595302:1 gene:OB09G12550 transcript:OB09G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECENFTMVIFFLPQNAVIPLHDHPVMTGFSKLLIAPLHVRSYDWVDPEPAASCCNHLRLAKRVVNGAFTITGGNMHRFRARAPCAILDILGPPYRAVPYSRHSRYLIPSGHRRLDPDQV >OB09G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3595780:3596007:1 gene:OB09G12560 transcript:OB09G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQGLVGNNGYPSLAGFLTNSFHSYHDTCMQIEFSCSKEALTLQVTYFSTAYKNGNMAITFRIEQATCKCHFRK >OB09G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3616325:3619794:1 gene:OB09G12570 transcript:OB09G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHIYKNQRPRYEEMARAWTQKYAMG >OB09G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3624709:3628557:-1 gene:OB09G12580 transcript:OB09G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFTAAAVFLVVAVLLFRVPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRLAGAGLLNYSFAGCHGLGYLNLSANLFAGRLPELAACSAVTTLDVSWNHMSGALPAGLVATAPANLTYLSIAGNNFTGDVSGYDFGGCANLTVLDWSYNGLSSTRLPPGLINCRRLETLDMSGNKLLAGAIPTFLVGFSSLRRLALAGNEFAGAIPVELGQLCGRIVELDLSSNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVASVVSTISSLRELRLSFNNITGVNPLPVLAAGCPLLEVIDLGSNELQGEIMVDLCSSLPSLRKLLLPNNYLNGTVPPSLGNCANLESIDLSFNLLVGKIPPEIIRMPKLVDLVMWANGLSGEIPDVLCSNGTSLETLVISYNNFTGSIPRSITKCVNLIWVSLSGNRLTGSVPGGFGKLQKLAILQLNKNLLSGHVPAELGSCNNLIWLDLNSNSFTGTIPSQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFNNNGSMIFLDLSYNGLTGAIPGSLGGMMYLQVLNLGHNELNGTIPDAFQNLKSIGALDLSNNQLSGGIPAGLGGLNFLADFDVSNNNLSGPIPSSGQLTTFPPTRYDHNPGLCGIPLPPCGHNPPWGGRPRGSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEMRTGYVESLPTSGTSSWKLSGVREPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDSNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDILDGFSINSSTIDESAEKSM >OB09G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3648174:3652140:1 gene:OB09G12590 transcript:OB09G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:J3MW80] MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSAARTVVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSSAGDDESESYGEDIGESDTDKEFNASDDSYESDFIDDSDIEVSEDKCKSHSVHDGDLCSTPDHHKEKGTVEKRRRLKKKHPADTSDDNKDDSPYKPAVRRNPNSIFASDTEDEDGMPISVSVAKKENAKDIDETKYPNGESNDEKKNNGTKKRKSDAISQDHAPLLDLTDDGLLVSKQEGRTKKKSKKKGGKQLEVEDGKQSNKIRTLEDGLIVEDLLTGNLDAEMASNGSKVYIKYVGTLQDGKIVESNIGEKPCKFKLGAGKVIRGWDVGISGMRVGDKRKLTIPPSMCYGSKAVGEVPKNSTIIYEIELVKVRSKSA >OB09G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3652162:3664788:-1 gene:OB09G12600 transcript:OB09G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAPKSFLPLSLNSGSTPSRRRPSDPVGGGGASGRAVGKAARGRGEAALWGAAEGGVALVAASGSWGGGSGGGGRQACSWGGGGSGDGREVISQCWKCEINQDQSLGNSLRIGQSQGSLQRHVSKNLVATAAAISVEQAEVSISLPKGDMWSVHKFGGTCMGTSQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYMAALDEIFDKHMLAAKDLLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPNGSNQVDPDYLESEKRLQKWFSRQPAATIVATGFIASTVDNIPTTLKRDGSDFSASIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTVICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVVAVSTALQVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLRDQVAVLKENMNIDLRVIGITGSSTMHLSDIGVDLNKWKELLQQEAKPADLAGFIRHLSENHVFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYMKLRSLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVVAEAKEAGYTEPDPRDDLSGSDVARKVIILARESGLKLELSDIPVKSLVPEALRVCSSADEFMQKLPSFDQDWDRQRNEAEAAGGVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRFAGAKTCIVTKILL >OB09G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3681402:3683319:-1 gene:OB09G12610 transcript:OB09G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQQTVAHGIIDLDADDDPDEVMRICEKASNYSSNLSVGHPSDWPKHRKNGLAEDVKTVDSKSNEKYKTFKQFDTVTDHSDHFYSMLGEGNDVVTKPSKDWVKRIQHEWKVLEKDLPDTIFVRVYENRMDLLRAVIVGPAGTPYHDGLFFFDVSFPSQYPKKPPLVNYRSGGLRLNPNLYDDGKVCLSLLNTWSGKGCEKWNPSKSTMLQVLVSIQALVLNAKAYFNEPGYAASAKTLQGEQMSMAYNENTFLLSCKTMLYSLRNPPKHFGDFASCHFCKYGRNILAGCKAYMDGAQVGCLVGNGVQDVDEGDKSCSTNFKGSLKKLFEEFIKEFTRIGVHCREFQAQTWMLNAGSARATASTTLRL >OB09G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3686984:3700440:-1 gene:OB09G12620 transcript:OB09G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIHRDVKSDNILLDNCMIAKVSNNGASRGLSTNQNGVTTEVQGTFGYMDLEYYQISKLTDKSDTYNFGSILLELLIGQKPVKIISDFTCFVNQGRVLEILDPLVHAEGGKDAKAVTELAATCLNWKRQERPSMRQVEIKLRELLREDHNNYTGAIDGTHVPITINPKIAAPYRNRKSALSQNVMLACDFDLDITFISCGWEGSATDARVLRSAQLSGFRVPEGKFYLVDGGYANTPSFLAPYHGVRYDLKEFGHGHRRPTNYKELFNQSYALLRNHIERAIGVLKKRFPILKVGTFHPIENQMRIPTAAAVFHNLIRGYNGDERWLDHQPHDPHNISPENFVDVPDGDSEYNNDVSALNNQTQQGNVIRDAIAMAMWNDYVMWENCRTQNGWTPEGWKRIVPGFHDKFPHVTFSKRQIQDKEKELKRDYMCLKDARSQSGVSWDDKLGMIVADDPTVWSNICFSFPPAKKFCNKPFPIFEALGELHDGQTAEGLLSFTSLQPTNTQDQTTNPQDDVVTEIGADEFDN >OB09G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3704014:3705445:-1 gene:OB09G12630 transcript:OB09G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDAMHHRKRQQQVAVFASRHPSEPELISSYLGPRLSMASSSSAADDRCCLLIHHADAYAADPEDLTARHPPARAADGVTAWKGDDGRRVRSGWLMVELGLDRDTAAPARAEGASASDELVLCKIYMTPRKQQPPPPPLTPSPIEVPGEKGKTIDEMIMAPDATSPPRQRRRHTPPEQEATADDDEDDTRGWPPPSPTEEPGEKRKTDEMIMAPDATSPPQQRWRHTLPEQEATATATADEDETPRLPPPPPPGDKRKTIDPMITAPDAASPPRQRRRHTLPEHEAADADAESVLLSSNDSQEEDGNGSKETSDEHSTQGESVPMARRSDDGVDQHGTPVVYEDDDGRKRHRGSVPDLNVDVIAAVPHEEHHAKADDCTAERRRRTPSPRTKQEPPCCSYYFVVLPCPTHVDVTDDETYGCGCRVTGSVRCHHRSFPKN >OB09G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3711983:3712345:-1 gene:OB09G12640 transcript:OB09G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3MW85] MPLSLIPIEIKPQSCRIVHLCREPKDAFVSRWHFENKMLKSYNLDLAKHFDMFCEGFSPYGPFRNHVLEYWKASIERPKEVMFLKYEDIKSNPVLVVRKLGNFLVCYLLKQKTLVVFPNK >OB09G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3721617:3725343:-1 gene:OB09G12650 transcript:OB09G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELLKAARARNIVAFCKLIDPQRSAEPSINHASISINHQPAFCSCLCYCFHFECTSSRRSDDQIMQSAAKSTSSTDRGQPTDDSTTNSAANTCPLIRQVTADGSGVLHIAASFGDVKPVEAVMERQRNEGGVAAVAALLRAENNRGDRPLHHAAATGSRETTERIVERAKQIMGEESEAAFVWFLRARNLDGQTCLHEAVRLGHRDVVEYLVREDARCCCRVPNRSREVAQPLVQAVDNERISPLYLATTLLREEIVEALLAESVASVISPSYSGPAGKTALHAAVLLSKELSSILVNWKPSLIRTPDESGSTPLHYLADGKYTDEASCISITELLLNKDPSSGYCEDSEDSLPIHVAAAYNTLCIIDQFVKMCPGCESSRNASGQTILHVAVQRGSYDIVRLVCSDVRFKMILNTKDNDGNTALHLAVQKGCRKTFGFLIGNRGVCVSTRNKNGYTPLDLAVLNKTSRWTYVTYWPGHQRWICNSLLAAGADYGTFRADHLPAGNIPAAAATDQAADSQAFSETLSKSAAVMATCAALLFNAALNMFLNADSVYRTSKNTTAAAATPQGIDQARLYLKVKKLSADSLSVSACAILLFAIAGFPILPGAIGRTVALILGLGVLVVSSMISLQALAERLDLSRVYGTGMGAFCLLLSLFYAVLRKYFHRTNLLVIPVLVAWQDSGSVVSMAAPLSVVWHDNTTMLSRHQQCLPRQKLCVTALFCHVVKKFIR >OB09G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3727548:3731797:-1 gene:OB09G12660 transcript:OB09G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLPFLTGFMDSYSPLAFLRKKKNSQGGLRSTEWFSCRTIAFSSAPFVVTLFVLTCVEPEVLFTISTVGNATDYMAKFVVKPKKELKSPFLVKRHLFLKRRPDPTILDELYRLTTDMNHPETSIWASSNGPFPIRLSHAAIKKSVEQGKVMDRTCLNMAVRNMAREDAENFMNTKCLGWRHYVDSNWMQSTKVTNNLRTAYSREATLYDPSGSHLVLIPVIDAGQWTLYAFNMCEKKLSILDSRRDASEGGDEDPARRHEETRRAVCAALDKTMDLDFSLLSWDCELPKVPRQQNSCDSGFFVFNFMRLWDGHRLIRWFSTETMELRKNFLAYILSSSNEHHPEMPANVSELIKRLPG >OB09G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3733056:3736936:-1 gene:OB09G12670 transcript:OB09G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELLKAANAGNIDAFCKLIDPERSAQASIDHASININHQPAFCSCLCYCFHFKCTSSRRSDEQANQIMQSLAKSTSSTDIGQPTDDNTINLVANTCPLIWQVTADGSGVLHIAASFGDVKPVEAILTPQQNRGIAAALLRAKNNRGDRPLHQAASTGSRETTERIVEKAKEIMGESDANFVWFLRARNLDGQTCLHEAVRLGHKDVVEYLAQEDARLGVERPSREVAQPLVQSVDNEGISPLYLATTLLKEEIINALLLESVASMISPSYSGPAGKTALHAAVPLSKELSSILVNWKPSLIRIPDESGSTPLHYLADGKYTDKPSCISITELLLSKDPSSGYCEDSKGSLPIHVAAAYNTVGVIDQFVKMCPGCELSCNASGQTILHVAVQRGSYDIVGLVCSEVRFKMILNTKDNDGNTALHLAVQKGCHKTFGFLIGNRDVSTSIRNKNGYTPLDHAVLNKTSRWTYATYWPIFHKNLNNDPRRIWIFHVKWSYFLPMTISACRATSGGFATVCSPFFSAFDAALWGLMAWKIVRHVRPLWARCGCRGLAGAVLNRRRAPCHSTVPLLHVLIPVSDAGEWTLYAFNMCDKKLSILDSRRDASEGGDQDPARCHEETRKAMCAALDKTMDVDFSFLSWDYNFPKVPRQQNTSLFGEMI >OB09G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3738829:3740357:-1 gene:OB09G12680 transcript:OB09G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQTSSSGHNRGPSGAQNGSHVEQLPSQEQKVPMTITAVGNAIDYKIVAKPKEELKSPSLVKRHLFLKRPDPTILDELYRLTTDMNHSETSIWASSKEPFPIRLSHATIKKSVEQGKMMDGTCLDIAIRNMAREDAENFMNTKCLGWRHYVDSNWMQSTNVTMRIAYCREATLYDPSGSHLVLIPVPEAGEWTLYAFDMCEKKLSILDSRRDTSEGGDEDPARRHEETRKAVCAALDKTMDVDFSFLSWDYEFPKVPRQQNRSHLKTSSPQRSLINLIVLDYNMVF >OB09G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3765733:3768384:1 gene:OB09G12690 transcript:OB09G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVAKEVLGMQEGWRKEAVLEMNRTRRLSRPLANSATDWPCLLLDVLSGAAEIDFFQPKLVLNNVDVLRKATCKDDTMVPAEMYHDSLIWRVIALGANEQCLPVIISTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSENEWKVVDEVLGPNPRQLSEIYMLKQNADNLGVLHDQNIEEIIDTYLAHLQVSVVNPAMETALGMIQKFASDVRDGKVPENRLSFGAPWRHPPQGDNPDVSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEIFDDPAAVAMSEVFWLTAISILIVPACSAEVTIELSRINSILMAKGDARAKLSTLDERSWLQVKRYMS >OB09G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3776400:3777866:1 gene:OB09G12700 transcript:OB09G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10630) TAIR;Acc:AT3G10630] MPGSPIQTPPPQPKPKPHRRVLLSVPALLAVAFAVLLAASPNPLPRILRLLLGPKSSLLRPTEIRPSVDASPDAGRPPCVLWMAPFASGGGYCSEAWSYVASLDEHATAASANFTLAIAHHGDLESPEFWLGLPEQSKKLPYRLATARCELSRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRLSPEHVRRCNQMDAIWVPTDFHVSTFVKSGVDPSKVVKVVQAVDVGFFHPAKHTALPLPIGVPVLVLDDSRLGLDGSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVALYLLINAYHSDTDFSRKIRSFVKESSIEEPTDGWAEVRLIGEHVPQSALPRLYKAADAFVLPSRGEGWGRPVVEAMSMELPVIVTDWSGPTEYLTEENGYPLDIDRMAEVTEGPFKGHLCAEPSVDHLRTLMRHVFSDREEARRKGKKAREDMVERFSPAIVARIVADQLQQTIASTR >OB09G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3785710:3788004:1 gene:OB09G12710 transcript:OB09G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3MW92] MLAALGVVIPELLDLFGVVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPAAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPLHNNILSSFV >OB09G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3789019:3798186:1 gene:OB09G12720 transcript:OB09G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVTESWMEDSKNASTPESISRYQSSSPRGLGKRNIFYLLAQREISPQSKHQAKKLWSGSPECSTGSVELSFWVADARHDLFSWAESQSLHRWSAKYCPLLPAPRSTIAAAFSLDGRTLASTHGDHTVKIIDCQTGKCLKVLIGHRRTPWVVRYHPLDPDIVASGSLDHEVLLWDTKTSRCIESHFFYKPIASIAFHANGELLAVASGHKLFIWDYNKRDEASNPSMVLRTRRSLRAVQFHPHGAPYLLTAEVNNLDSADSELTHATSSGYSNSPSPFFFAMMNSACCPTSESRFSSACLICPAYVRDDGSICLFRASGSSNVQLPSDSETLQADHMVTPMDVCPGEPGVNNNDDAASTSLSTRTEMHTLSGQNSSTFRNSSAAIDLQRYNARDITQISDLSSNMPNREVPVHSTADVPSTMDLFTTSNTLDALMFLRDVEAGNHHNNSSGGAHSSELPFLQSWLMAQNHTGSRPALPSNEVIGDLPIGGSLISELSDSSRGLSQHYSLHRHLLASVPGGDASSHQGTQNGEDQVNVVSLGVASEFATSLFVGDGAELPCTVKLRIWQHKIENPRVALAPEACCLTISHAVLCSEMGTHFSPCGRFLVACVACLLPQTEVGDHVSQSPVHYDSTGAGTSPTRHPLPSRRVIYELRVYSLEEETFGTVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRGIFMDGDTTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGTGLVYGTKEGKLRIIKHNDADSASMGPLTRGNILEIQRH >OB09G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3809772:3815540:1 gene:OB09G12730 transcript:OB09G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:J3MW94] MECARCSGALHLDCSARFLGKGGSSRSSSHSSSTNLVSTKYEQNSRRNVKPSQLAASGSSCLVNRSPVLKQRQNLSLRSTLADVYNTFDEKVISVSSHATEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKDGYASIGGGSPLRKITDEQANALKVALKKKNLHANIYVGMRYWYPFTEEAIDQIKKDRITKLVVLPLYPQYSISTSGSSIRVLQNVVREDSYFAGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVTDAGDPYKDQMEDCIALIMGELRSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASAIVTKKADESDSDMDLMHYLSKMFFGSILAFVLLLSPRLISAFRNTLL >OB09G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3815869:3819169:-1 gene:OB09G12740 transcript:OB09G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDSRRFGVLANWQREYTMETILTQLKKEMATAQNRKLVQPPEGTFF >OB09G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3823183:3825993:-1 gene:OB09G12750 transcript:OB09G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITGILKRPKISGAQLAAILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSTLFLGEMPTPFVVLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVTLLTEGVKVTPTVLQSAGLNLKQIYTRSLLAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGIALAGVFLYSQLKRLKPKPKAA >OB09G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3830880:3831494:-1 gene:OB09G12760 transcript:OB09G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHARVALFAAVLAVGPAGFISNEAVGHGGGGGGGGRSLLQAKKECPVNFEEANYTVITSRCKGPLYPPSLCCEALKDFACPYTTYINDVQTSCAATMFSYINLYGKYPPGLFANTCKEGPHGLACPEDTPQVKPGEEKADAASSAATIAAAAGVTAVSAFLMLVAMS >OB09G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3831583:3831867:1 gene:OB09G12770 transcript:OB09G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEGGDGGGGSCRKWEDAAAEAEAEVERWREESGGGGRARPSEGWRGGGEVWREGAPRNSQRFLDGHDATRALLRRRTLRSPSYGPQRTLIC >OB09G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3841736:3846754:1 gene:OB09G12780 transcript:OB09G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:J3MW99] MSMNADLGKPRELTGLQQRRALYQPELPPCLQGKAIRVELGDATTTIDPTCVNMVAQEFPHTFGQPLVHFLKSNKLDAQATDAHTSIRVGVVFSGRQSPGGHNVIWGIYDAMKTQNPQSVLLGFIGGTEGLFTNKTLKITDDVLSAYKNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFASHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFIRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIVSKICDGVQERATQDKYHGVLLISEGLIESIPEMYALIQEINILHSNKVPENKIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVEAEMNRRTKEGKYKGRKFSSVCHFFGYQARGSLPSNFDCDYSYVLGHICMHILAAGLNGYMAFATNLKEPTNKWRCAAVPLTAMMSLKRHSRSPGAVPTGKPVIHPSPVDLQGKAYAVLREKASSFLLDDFYRTPGGIQYDGSGADVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKVMSTPFSAELPLFNLN >OB09G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3847328:3851852:-1 gene:OB09G12790 transcript:OB09G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MWA0] MAMAMGATAAASWAPIPAPARSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKEMPYIASMGIYVFSKDVMLKLLHENFPAANDFGSEVIPGATEIGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETENDKKTLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNVQEASRETEGYFIKSGIVTVIKDALIPSGTVI >OB09G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3848589:3848878:1 gene:OB09G12800 transcript:OB09G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLHIFSNTSILVNYCFSDVCILSNTNGNATSLRKGFLFYFSSPHNSLHPLAESPLSLHLQIYRTVVQPQNG >OB09G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3857232:3863914:1 gene:OB09G12810 transcript:OB09G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G56130) TAIR;Acc:AT5G56130] MEGKEEDRRRAGGGGGGSSTAGMNLKNLVSREYYGHKKKVHSVAWNCLGAKLASGSIDHTARVWSIDPHGHSKVKDTELKGHTDSVDQLCWDPKHPDTLATAAADKSIRLWDARSGKCQVVELSGENINITYKHGGTHIAVGNKEDELTIVDVRKPKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLDDTLHVVGKLNAHTAGCYCIGMDPLDRYFAVGSADSLVSLWDVKELLCIKTFSKLEWPVRTVSFNHTGEFIAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >OB09G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3866952:3871910:-1 gene:OB09G12820 transcript:OB09G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCAGAGDGDGMLARLRRAAAKRIRLSCASFFSHAAAASPPSPPSKTISCSALNAPADTTDEDQEKLEEPTSTRMADKNLCAICLEPLSTGNNDTDNGDKPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNQSDPILRILDDNIASSRVNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAHHHVLGHYPCGHVMPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRSFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDHPIRSCFGVDTNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGREDQFRTGEVAVGFEEKGENRYCGIRDAGGLSIGGERRSSCCAERWDYLDPFMARRWAKHFNVYRA >OB09G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3882532:3887512:-1 gene:OB09G12830 transcript:OB09G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRYSQHADQRRLTEHRRNHQSSPEKSTDKSKRSRATEPSEVLWIGFPVGLKVDEATLWEAFLPFGEVVKITTFPGRTYAFVQYTTIAAACRAKEALQGNLFNNPRVSICFSRSDGVSAEFGKGSLDAPYSPHLNPSVRSVFREHDFEAFPRARPFDSPPKDMYMPSPHFGSKRLSRDPDDVGFNRNDYLQYEPGVEPDHRSHFEPYKTRGLGPERRMSDDPYEQHRRSPAVRHDAPWYNIPFERSQGALPLDDSWNARDNPYPFSKKLRTGEAHDSELPEYPFSEFDRGKVGSGYPRRPLYGMPEDDTHPRAYELAPMHVRNHIDPLRNPTPLVDRHIPYHSQDSFPRHVEVEKPTPEYHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEILDCTARTSLEMLAKHYYQAASSWVVFFVPENDADMAAYNEFMNYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFEQSDTEAISPNRKPEAFEKAPPYLPSHLNLDVRAHENLDALRRLNPPDSRSLPQGSDYRGSSPGSYNPASAHSVLPYKFGNAPSYLGSELAQQRPPPDSHREITQDKQQQPPDVLPSRWSNNMNNPSPGSGNLNSLAQSAIPHTSSHRTPEAYSFASSTSGYTPSAGEASNMSFHPMQPASQQVVRPQQSPTLPVSLPPEQLAQLATLLAQQNQQGKVPVDSLNKQSGFVQNPYGHASMVPNSSSSIPVQNSFSPVQPSASQLQVHAPPVQGSVPPNPSIMLTSNAPMPSHNPLPLPPMHPPGNPAHSSMPLRSFVPPLPEGPPPLRQHTSSALQAQPSLSAGQQTSQQLSVQEDHNGDPQKRLQATLQLAATLLQQIQQQSKPGQK >OB09G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3889706:3890128:-1 gene:OB09G12840 transcript:OB09G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding XSSGWGVAPPSRHLWVGSLGPGVTASDLSELFLRCGDVEGISRDPGRNFAFVTFARVEDAIAAVRELQGIQLRGAPIRIEFSKGVSVDRPPAFATVCRALVELFIFSKLSVSTLVSYANLFMCENMKSKIRTTMFQNNRVS >OB09G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3902278:3902922:-1 gene:OB09G12850 transcript:OB09G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDFPLQIHRAAKSATVTAALIRLAYGAHFPAKSKPDKTKDPGFPESKIKKSPTPRESLLPSRLALARTPPPPPHPHAAARREHQPRRRREEAPPPLTPTSRARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPRRRPPPVAAVRPVVDQPRRKHLLLLLQPLAGAKEERKDETLLASSSSSQKRRIQ >OB09G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3904725:3908011:1 gene:OB09G12860 transcript:OB09G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYLAEATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSDQLASSGFSISDNDLPELSGGGGVMCGSTDTLTSAIFHQLSVSPINLHNPEGKPMPSGIDGQMILQKSPELKRKSC >OB09G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3914271:3917842:1 gene:OB09G12870 transcript:OB09G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAASAITLSSDQFPDSERTGPSTPAPISESPTQGVPSNRDNGGRNEETKSPHREDSLSHPEPLTPDSNCQPGSPTVSPKHERAAKRQRGNGTEFSETDFTLPHSIFESSSGSEFQQCSMSYSGH >OB09G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3918447:3919212:1 gene:OB09G12880 transcript:OB09G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Chromosome transmission fidelity protein 8 (InterPro:IPR018607); Has 127 Blast hits to 127 proteins in 63 species: Archae - 0; Bacteria - 0; Metazoa - 70; Fungi - 17; Plants - 31; Viruses - 0; Other Eukaryotes - 9 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT5G52220) TAIR;Acc:AT5G52220] MAGKQQCSTPFLAPNAQKNPTFLLLCSPFLRLHPPDREKRAAMQIRVRCGCGEASCPEWAVVEVQGVVQPQPSFSGRIQGLHIGRLCAAASPSSKAAFTFTVGYHELAGTKVTLKKPLLVLRKQKTSTTTAETELEVIGVIRHKILFKDRPKALISKPQVKEKKTLPAPPPPAS >OB09G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3921594:3924824:-1 gene:OB09G12890 transcript:OB09G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALAVVAICVGVGAAVLQRVENMGWLDAVYLAVMSVTTVGYGDHAFQTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVIYKLKEMGKISEKDIIMICDQFQRLDTGNCGKITLSDLLESHHLVTDLSEKKKGKKS >OB09G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3945458:3945749:1 gene:OB09G12900 transcript:OB09G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASLLEVYRRDRRALLGFVLSSAGGRAVDLSCVDLDDVSADYALDYVASEACSLTPQQPP >OB09G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3982431:3982934:1 gene:OB09G12910 transcript:OB09G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDLHAAAKDQMHYDLHAAKELNDDKIMKIDRLQSKVARLENEIARLRGQLEPGDARLRLTARKRTRNANGAGAIGWVTVLSSSPSAGVLKEVKLKNYRSRSEDEGGEGEPTVNSGGRRRSPEQ >OB09G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:3999819:4002440:1 gene:OB09G12920 transcript:OB09G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAASGFTKAQVGTVRLDVKEGVKPEVRQSSNIDPAAPTGSKDIQPTSRPAALMPVALDTVPAPATNRTLGLATEVTLAIVPPTAPTTIEEPKPADPSGLTTAGASWTHDNAKIEEYIEESIKLLKGIEAHSKAKSEALRSLHLHLGDFDALCRDHDEILEVLESHLEDQDATLGELKKCPSSIRVDDVIQKLKEVSAAHGAELWETVKLASSHALAVIKPLYPRVELDAVCDGFAADYD >OB09G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4028931:4030051:1 gene:OB09G12930 transcript:OB09G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRLHLSEVDNTMGHQARLLRNKLRLPPAVVHRLENQKRLPDALDGPWWSGRDSGFPVGGTTITVFDGKRGQIIYKYDEDQHDDDHTQVENLVLTGPYRAISADGSFAVEIETSPTDIKYWEWDCSDDEIVYDELYTENLGPVDITYVVLSEALEATVEVKLPETVSTNGGESSPPRIFGYIAAQTKVFDRASVLFSRAADRAVPIPSDLRVPLARSVVAVPSWSKLQIDVGLYLLTQNATTKFEHTVKLDCTNHSQRIQTNNGDVLQVNVSWYPQFTDCGEKTRRSSPSGD >OB09G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4032448:4038127:1 gene:OB09G12940 transcript:OB09G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3MWB5] MFINKHGTRQDGQVNIFLQYYLIWLSAYVKSPLQTNRAASNLVYNVDAAGGYEAFMTAFRTLLGEHPNRKEVEGHHVLARQRARQPARWIHVKLVAGEETTTLAIRDDNVYLKGFMNKEGEWYELSGPTERSARMLPPEYASSLLGCCDVGYRSILGADVDEKVLDMLTHATVLETSFTIEAVRRLSGYRPHATDYVDPFVRLSLVRLIILVCESARIRKLYDTISSGLGSALTTWQVHYLWNWKRMSRLLLQSSQGNSSDIWPRRDPQLEPLGITSARETLRIVDLLLNCPLPPARVPDTDSLGDGLGRQRVELLAVRADFPRQLDAITVFDGKRGQVIYRRQQAADDHSSVLDYQEKGKRWNEPWEALEKWAYRIAYTKRGNMMLTGPYRSISADGCFAIQVDVAGSGVFFWEWDGYDKTYASQVNSAIPSYHTIDIGLGRAIHVAYAVMSNAVETDVQVELPLAGGTLVSGHVTARIEGFGTMGSVLFSATTAVPISTVDSTVPLARSVVALQYGKRLYIQVKLRADTNEGVKDFEDTVITLGGRSSVEQLRTRHGTVLVNIVPHPKLRATRGCV >OB09G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4038746:4041539:-1 gene:OB09G12950 transcript:OB09G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDDVDDWSASGTPSGEPLPSQRNRPHRQPRSASIPHLLCAPPLDWAVVRPRAVWTGLNILLPVPFASFCPLRPPPPSLNLRQRHRRLWSNPRNSTGLPFGKKGKATADWSGVGSTGLWHGGNWWALAVQQ >OB09G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4046443:4048745:-1 gene:OB09G12960 transcript:OB09G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRTAHHILHQCHILLDLEPTKHAVVVDIGDAAVIKRRWHRAVQYHVAGVGLKRRIFDGGVEHHTLLDVEYCGGPLEIPVLHVYDNTGGMFRNLTAMEQASVGAGHYATAYCAFLSRLMCTVEDVALLAKKGIVVRHLANDETVATLFADLCKNVVSDDRCNYRRAAYEAADERYRSRVRNWMTWLKHKHFSNPWLAMAAIAAVLVTICTVVQAVFAV >OB09G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4049124:4049408:1 gene:OB09G12970 transcript:OB09G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVTRCGNDEYRERGGENVRTPAATVNAASNGESESEGEGGGRGQGIYRGKATAGWRRLWHAGAEKAEVTVADTDPGISPSTYYDKLIYSSTT >OB09G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4056804:4060366:-1 gene:OB09G12980 transcript:OB09G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRINIETLVNGMEQSMPTSSTSHRLFHLQSEGGNQGDRPQRVRATHGGDRSFKHSSLFSATFSRRSHTKISGLYVCTYAGSGF >OB09G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4081463:4081624:1 gene:OB09G12990 transcript:OB09G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRSLILLVANQDQYIYRTLNYVLCALGCGYCRAKLILRTSYIYTYKVFLI >OB09G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4102845:4104673:1 gene:OB09G13000 transcript:OB09G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAILEGDASASSTCNGQGSPGGHMNDPQGGSSSGTTAAPSPSNDGGAIKCPIGKVHGRVRGVDPDAYEPMVVSLGPYHAGRHHLRRMEKEKPFYAHSLCDLTGKGMVDYLEAIRAVRDDARRYYVDGIDDLKNREDRNGNNVADVEGVFPLMLLDDAAFILITVGALDPLVNTGPADETSSRNQWTNAAVVHDMLLLENQFVRNAMQKHANQTAEQSADDHDHQISSGKTVYHLLHLCHMLLEPPTKPANPGDSGGGHGDAASVRRPRRWHRAAQYHRAGVGLKMRSFDGGEDHRLLDLNLDVAYRGGTLEIPVLYVYDYTCSMLRNLTAMEQASDAGNYVTAYCVFLSRLMCTAEDVALLTKKGIVVHHLANDETVAALFADLCKNVVFDDDDGKCNYLRAACVAADERYQSRVRNWMTWLKHKHFSNPWLALAAVAAVLVTICTVVQAVFAVPWKSK >OB09G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4118891:4120310:-1 gene:OB09G13010 transcript:OB09G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMASRQCFETANNPSGCKIPLDDNGLKPKVMSIGPYYHDPLKGAMEQEKAAMLYDMLQAWEQQKPAMLTSLLKAVSAVEKEARENYFDRVPDMSSHEFVEMLLLDACYILAKFVLPYCCTSTGTSTASQTVSAMHDMELVRDIFYLLGNQIPFCALEKIHEVLHGGSSMSMPPGTTVVTDTLLTNVRQLLQHFGYSIRNDTLVEPWHLHHLLYMHFQPHNDGSISSSVAQVDSGRKSKAITYRWRAATYYRAAGVIFRKRHLDHGASKKWWFWFVDGGARSILDVRFDGLTLRIPSLMVDNNTYTVLRNLMMLEQHNPDKLGSHVTAYCVFLSQIAGTASDVALLVKMGIIVHLMANDIDVANMLSRLCSGITIDLDEPKHNYLHKTRKDVERTYKNRTIRCGALLWRRHFRNPLLAIAMVAAVVAFSCQIIQAYYTYKAYKP >OB09G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4133295:4135034:-1 gene:OB09G13020 transcript:OB09G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNWLENLRRRLAMLMEQTNGTSSPTVMPTQPNPEEGSSKSPRAGKKTCAKKLACKKMKPT >OB09G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4142153:4145030:-1 gene:OB09G13030 transcript:OB09G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSLTSGLAGKGGGREELGRQTWRPARKPAELSGKPVAPRTRATREPNTHIQCDGRTPATRARRCGKQRFFETNMSLASIARKKEEKEQTDSRWRKKLGFGEQSPAIDASAAGSGRGREACSGRGGRACASACSVQRWRAAAGAALQHQQQQQQRAGTARILKPIKEPLVQCRMGVSLGPLEIHHGVGSKPAAARAKPSPTKLNAIREESRPSKQFAIPAKPWPSSNTKQTLAPSKDQQQVEQRRGARAPGPGGNPFARLLTQGEATRWSPSSSPKKKYSLSYKPFSHTTNIDVAKRVATPLSVVTPLTLA >OB09G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4158013:4160693:-1 gene:OB09G13040 transcript:OB09G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVTLVAALPSSPSNMSYNQEITTSASTSTTTRDRPPKSRNRLPESKLTITVVDLNGNPTQLPRITTRECIGILHKSFKEVLDEEKDLAWEKLNEKFDYPPEMGSYKKFKSMLVNEYVFNRVDPPNDPTGTDGYIGKVDRWAKEDEAAHRSGASVPFADLEEERARNWARPRVKQNLDNTLMFPNQADSDVYRQMQLFAVSDQSLRGPKVDVSPTGLPSIYASMGMDAQDRVVPSAVDRMNEDTAPCVLQVRVTAKFSSDAAEGLVFKPSETIRNPPNDEILTLGAVKGIYIQWPKHDIIIRMKPKAPPIRQPKDSMPPPIEPNVEASIGQALTDPHFGCGPALEVEDVLPNLPPIKTVVRASSSPSLPYQKKDTKGRQQGKGSDKAATGKGLQEP >OB09G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4221790:4223710:1 gene:OB09G13050 transcript:OB09G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEYDEAGGCRDQACGDRVEVDGSTLAGDAKKAEGMALSVWPPPSVTTRAVVGRSIPCWPKVGELNGGGSEVGDPVITAATQCRGDEQRFLPVHTVSEKARAKDTHTLAQEGTSNANLVHKSNAKNKGKQAVQNHKPKNTTNFKKKKITCYVCSLEGQKANKCCGKKGKKIRQQEQNIANMVVSEPLSSGYDFTPVALLAFQSLDLWVDTGANIHVSADINSFSSYQAASSTSILKGNGSSVVFLGTGKVGLKLTSGKLIPLKNVQHMPSIKRNLVNGSLLCRDGYKLVFESNKLVISKFSLFLGKGYECGGFFRESLLDTSNKFVSNVLSNFHKNVTIWHSNISIVNASKCQICVQAMQPHKPFQAVEERNLTPLELVHLDLCEMNEVLTKARKRCFISFIDDGTRFCYQYLLKSKDEALNYFKIYRPRLKIK >OB09G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4237695:4238972:-1 gene:OB09G13060 transcript:OB09G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSIGPYHYDPLSSMEQQKKATLHEVLQVEDGQKPAVLKSLLCAVSSVEKEAREHYLDRAHDMSSEEFVQMLLLDGGYILAKFVLPHCCAGAGSPAESPRWSHSTGSAPAASQTGSAMHDMELVRDIFYLLDNQIPFCVLKKIHKVLHGDSSMPSSVVADTLFTNVRRLLQHFGYSIRNDTLVDPWHLHHLLYMHFQPHNDGSISSSVAQVHSGRKSKAITYRWHAATYYHAAGVIFRKRHLDHGASEKWWCWFIDGGARSILDVRFDGLTLRIPSLLVDNNTYTVLRNLMMLEQHNPDKLGSHVTAYCIFLSQIAGTASDVALLVRMGIIVHLMPNDIDVANMLASLCSGITIDLDEPKHNYLHNARKDLERMSKKRTTRCMALLRRNPMLMAAIYAVAVGLACLLLLAIYTLKSYYRNGGDA >OB09G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4271353:4272461:1 gene:OB09G13070 transcript:OB09G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTIGTRKRKFWAGLRNNGASTSSAGSGMVTSLDDIAVVHARLRDTLDDLGVSPERIFGRRLTATDRDSVQSRLQMSCKSWHNNGASGEVFPFDQLLTLEEKLACNTSKPKTVAMSDEQPKKKNNATKTKTKPIKGDDDNHVDNDGLLVQAYDRTGKPYVLRLKYIKKSDCYRLMYQWTDFLKNHNLVVKDDTKKTKKNKAKKKRKKKVIRKVAMADEAMIDLWVFRSWKLKYGRDDHEDGRLGLVMVHYFKGDAPYADAALEANDEELVQARPRKKKSRRKDRKGAMSSSSSQCRTRKTKQPWRLPSAMAGEDEGGAVRQLAEIAGEGAGVPHLPTYDPEMEWAAGVLLHMRNFGRW >OB09G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4281574:4284207:1 gene:OB09G13080 transcript:OB09G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGWSRGTRSSRSEDDHELNLQAQMERKRKRRKESNRESARRSRMRKQQHLDELTSQVNQLKSQNQQLSMALGLTTQNLVAVQAQNSVLQTQKMELQSRLCALTEILMCMNSSTTTTTSAATTIPATASACDVFGASSWNQTPIDLYQYQCF >OB09G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4285415:4285639:-1 gene:OB09G13090 transcript:OB09G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASMSRSKFRLNGTMEFARTYWLLFPCLMLMSPFCEVTCPHIPTATTEQLCLYICSCCINFSESFTSPSINQ >OB09G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4285922:4286845:-1 gene:OB09G13100 transcript:OB09G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVTQKIMQMRMAAQEMKLKGLKRALKEQKARIYIIRRCVAMLIRWHD >OB09G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4294708:4294821:-1 gene:OB09G13110 transcript:OB09G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVKKQRGKLQKVLREQKARLYIIRRCVVMLLCWND >OB09G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4294883:4295293:-1 gene:OB09G13120 transcript:OB09G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLACRHVWPLDPVRGAAHGPQSWSSPWVLCLSTHQGSIHPSMITYLSKCSSPLTIIISNIIVRVCIWTWKCLLQGTKVLKLHVRWWVVVVQALEILHHTHSISLPLLYINKIASLHSHSLPTPYSAFAWRIEP >OB09G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4294708:4299151:-1 gene:OB09G13130 transcript:OB09G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILAIVQKMSCTCLNALVTAMHACVRAYAGGAQLFPEDKVPAFLIQWFSMAPMKVLGIWQWRATGMYGHLILSAARRMVLNHGAAPGYCASAPIKVLVAMEQVKKQRGKLQKVLREQKARLYIIRRCVVMLLCWND >OB09G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4307917:4308607:-1 gene:OB09G13140 transcript:OB09G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIASTPIGQPEGDAERRLREAAEWVVDTTEDGACQAQKSLLLLCMKIFPLWLLLLCIALGAIKLPFDIPGLDDLLM >OB09G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4313604:4324350:1 gene:OB09G13150 transcript:OB09G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome and flowering time regulatory protein (PFT1) [Source:Projected from Arabidopsis thaliana (AT1G25540) TAIR;Acc:AT1G25540] MAGQKLSGAPPELALVVFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSPSNSQNHQSLEVQKHCILVAASNPYPLPTPVYRPLVQSSDLKDNNDGAKESCLADAETVAKSFAQCSVSLSVISPKQLPTLKAIYNAGKRNPRAADPSVDHAKNPHFLVLLSDNFLEARSALTRPLPGNLVSNHPIAKMDTTATSVPIPTSNANPSVNGPILARQPNGVVGIPTANIKVEPTTIPPMVSAPAFSHVTPVSNGVSQGLSTVQSPSPSLISQDTNLGNDSVQEHKPLINPIQQSVRPVGPANVNILNNLSQHRSVANIISSGIPSISGTGQSIGTQQMVQNTGFGSNTSNNAVSSSLSNIQGNMSLSGPPVTQGGPMAATQLGQGGINTNQNVMSSLGAATIPSAPAMMPTPGMAQQAGVNTLGVTNSSAMNMPIVQHPNAQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVPTQQPQMQQQLQQQQNQLQQQNQLQQQHQLQPQNQLQQQQQQLQQHLQQQQLQQHMQMQPQGHQLQQQQQMQQIQQQQQQQQQMQQMQQQQQQMQQMQQQQQQMQQMQQQQQQMQQMQQQQQQMQQMQQQQQQMQQMQQQQQPQQLQQQQQPQMVGAGMGQQQPQMVGAGIGQQQPQMVGTGMGQQQPQMVGVGMGQQQPQMVGTGMGQQFMHGRGQQQFMQQGKMAQQPGSMSGSYMS >OB09G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4323083:4335939:-1 gene:OB09G13160 transcript:OB09G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIVQRRSIKNPAFLQRCLLYKIHAKRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTSNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFIIPDVKNLATSRACNLNIILISCGQAGQTFDGNNCSGNHVEGSTLQKLEGKCLWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPGFLEPKFLEHDSCLTFCSHKVDATDSFQLQVSISAQEAGARDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKGLGCHLNASHDLFHYEFWISEECQAVNVSLKTDSWRTEHLAEGVDPRHQTFSYRSRFKKRKRVEISSEKIRHVHPHIVESGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTEDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSRLHGQQLVQSPALLWCWRFFMIKLWNHSLLDARAMNACNTILEGYQKKI >OB09G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4336420:4336710:1 gene:OB09G13170 transcript:OB09G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSIQLRGRLIKRPGAGGDRRGIPPARSSNRDGTQKVGDRGGQPPPDLLSPAPRARAAGTRGIGPSTPRGSRSPRRAGVRCGGVGWVGRRSLEP >OB09G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4352423:4357750:1 gene:OB09G13180 transcript:OB09G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 (targeting protein for Xklp2) protein family [Source:Projected from Arabidopsis thaliana (AT5G37478) TAIR;Acc:AT5G37478] MEKTRKATSPKSSMTSSTGPKSPVRNGGSPPHKKNTTEFRGRKNEPQIFRKGGQDSISQEESKRRSPTSQTSNRSSPKHEQPLSYLRLHTEERAIRRAGFNYQVASKINTMEIIRRFEEKLSKVMEEREIKMMRKEMVPKAQLMPAFDKPFHPQRSTRPLTVPKEPSFLRLKCCIGGEFHRHFCYNAKAIK >OB09G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4368809:4369585:-1 gene:OB09G13190 transcript:OB09G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICIIRNTMSIFLLSLELESPSSMATRVQEAVTITCMLLKRLKVLLQTAQENCVEPTRISAAEGIQYWLGTCPPPGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHACSEAHHGGGGGPGTGEDAWLCSCCAWPLSL >OB09G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4368826:4369671:1 gene:OB09G13200 transcript:OB09G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSYRAMHPHLYQGHRHHHGAPPSKHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRREAGRCLASIGSPPPPRSSSAPRSSPAPSATRPSTASTTCRCTCGGTVRSTGRGRSRCGGRSRWGRRRRRR >OB09G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4389415:4389735:-1 gene:OB09G13210 transcript:OB09G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAILTRNRVVTIDFKFKIFRFTFVKVFVVFAVSTRNPRVCGAAVFASCTVSETLPPTTTTLYRSIDIDYFLESHLNFSLLFFSIHGSFILMYIRFRLSPVHSF >OB09G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4388717:4404856:-1 gene:OB09G13220 transcript:OB09G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAKIRKKYENVFDQLIKAIKAPVDFDLPSALKEWKSGYYVPIKRNVYLTRKRIEDDGIFCSCTPSSGSSVTCDKDCQCGMLFSCCSSTCKCENKCANKSFQHRTLRKTKLIKTEKCGFGVIAQEDIKKGEFVIEYIGEVIDDRACEQRLWKMKRQGDTNFYLCEVSSNMVIDATEKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRNIKMGEELTYDYKFVQFGANQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYFENCIGEIVRLWHRRHNMYLAASIYDFNERNGIHTLLFTDATIEEFDLREENWDFLPDPDEPDKV >OB09G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4408718:4409726:1 gene:OB09G13230 transcript:OB09G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRTDARRGKVAPRSHPRSIRAGGICGSVAGGDGSHAQPKATGVPQADGVHQIGASLVAGWWPTSSPSESYWTFACERLYPPGGFSNFLQGNPLANHPNANEDFHFVGAGMSQSSVSPIDMGVTRTPSPAEQTNDMVEDLDAEEDDIFKESRTDERLNWSVSEDIRLTSAWLHNSKDPIDGNGRKADFYWADVTEEYNKTTETNVMFLF >OB09G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4410954:4411589:-1 gene:OB09G13240 transcript:OB09G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPFPSVNLSCDNTSCNLFCCHPNKDHPHQNDAAAGKPPPQPEPPLKPPPQQIIPPAPPTSHEEPPLKQSAATMPVIVVQPPPAADHHQRHPPPRRPTAPGTGEDDDGSQYPPQVPPHTPPAAAPARRAPPPPAAAAPEPALPSPWEKPPPPPAHDQFMGAAIPYDGGGYGEKQYAAAARTVPPHRCVPPQGDDPAVTGAGAGTWRVLR >OB09G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4426103:4430793:1 gene:OB09G13250 transcript:OB09G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPRLAPLALPPLLAAPCPWHSVPTAAVAASCSVSFGVRTVDLHPAQLAPPILVDGGCAGLDSDEMVPFVLISTSIQYCCSWLDQSKANNTMILDAFASYLGDVLVETMKEEAGMMLGVSDEIRKLCATLEGLKKFLYDAEKKHIASDYVQDWFRKLKGVMYEASDIADLVQIKAKERHMFMENSSGCFNSFLFCLQDPLFAHKIGCRIKSLNQKMEDLRKEAPQLKFIISHADGNENKKVIDKMAPGFVLGDAVGNKLEQDTKMLVEVLTKEKASGGGSDSNIVNIVASGGGESNNGNVVAYSGSESNKVAVVAILGVGGIGKTTLAKKIFSDQTVRDSFNTKIWLSVTQDFNEVDLLRTAIAAAGGEHGGIQEKSLLEPILCSTLMGKKFLLVMDDMWNQKPWDKVLKTPANAGACGSRVLITTRNEGVAREMNAVHLHHVSKLGPQDAWAMLKEQVQLSESESKRLKESGMKIVEKCDGLPLAIKVVGGVLCKRSRTKNDWENILDNQVWSKTVLPDELNRAIYLSYEDLPPNLKQCFVYYSLFPKDEIIGPDKVVAMWTAEGFLGTDGNSTQLGIDYYKELVMRNLLQPHDDYYNQEYCIMHDVVCSFAQHVARDEALVVGRTQNLTNLPSSKFFRLSISENEIEWSNLQKQHSLRTLLLFGNIKFKPGDSLSNLPCLRTLHIRAARCATLIGSLCNLKHLRYLELGYTNISALPQNIGKMKFLEHIGLRGCNSLAELPGSITELEKLMHLSIDETKINAIPRGFRRLGNLEMLWGFLVHIIIEDTGEQRCSLEELEPLSKLRKLKLIGLEVVPSSSMAALAKLKSKENLICLELWCTSRVTVNGKVKDLAMDDKEHIIEVFDMLCPPPCLEELTIGGYFGEKLPSWIMMPAEFIENLRRLDLQDMANCAHLPSGLGQLPGLDCLVVNCAPQIEQVGYDFFFQREQKKTENRRPGNDVFFPKLHELCLQGMINWKKWTWEKHVEAMPILSVLNIRNCKLCNLPPGLSYHAKALRRLSVANVQHLLSVENFSSVVKLDCYDNPDLERIANLPNMQNLTIVGCPKLVVLDNVKSLRSIQLGIREMETLPAYLQKTKLEQLEIACSLKMLILMTKKESWSEWEKISNIMHVKGFASENGRRYYVSYTKYPFSFDTNFKNTLVLPEEAGYEANVAMERI >OB09G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4434167:4435183:-1 gene:OB09G13260 transcript:OB09G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPPPPSVVVRDSMKGGKGGGGLQEQEDEDPCAPPPANSPRHSPPSPPHHHHQSLCPPMEQPRGRVQHKRDDSLDASPPIEILRQALPPPLEQPKGRVPHKEDGVDASLPTKMPHQSLPPLEQPKGRLLHNKEDGIDASLPTKILHQSLPPLEQPRGRVIHKKDADLDAPSLPARIPHQSPHPPLEQPGGRVLQKREGLPASPLPGKMPHHSIAPPMKQASGVTEEVLCAPLRAAMPRNFSLQQEERQRTSSRSKIPYTPILPLQEENKSSHREEVSIVRSYHD >OB09G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4437796:4438029:-1 gene:OB09G13270 transcript:OB09G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYMGGQTTRCHAIIVVSLAVMIIMAFGMIMVTIFTISIITVLVRKPHDMSRYITTNFSDFFFQFFSEILNSQDIS >OB09G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4443113:4448934:-1 gene:OB09G13280 transcript:OB09G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPDTMAGVQLGCYTIKSHGTKVARLHMYDWMILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWGVPIIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTLQLAEASRNNNTTNSYSVRPTGFETVNIPEGHGHGGIALRDNLDMEAGRR >OB09G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4454963:4458202:-1 gene:OB09G13290 transcript:OB09G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G60560) TAIR;Acc:AT1G60560] MGTGTEAEVVESVSDLPVQDPPAEEFSAADLRWAKYASSEHQRDDVALIPYERMEAFIAGECNSPECPTRFHIERGRKRDRGTLREVRSDDYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHINKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFYYQDSTDTDAFVLGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVESTWRIGGFVIDDPASELDPIRDVFSCPILFSLWHIRRTWLKNIIKKCSNSEVQREIFMQLGKVMYSIWSEKNPMDALEQLFQDFVDQTAFIQYFKSFWVPKLEMWIDTIRSLPVASQESSGAIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYADESGSFPEVKAEYVASTSWQRALKIPDDAVIIDDKEPFLAKVASQKDTNQMWNVWNPGSEFSFCGCSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATSDGIAQVSGRLPVQWTNKKGRRIATKRTSPLRVLPHSNGTVQKDFTPKKNRKRKRLSTVSG >OB09G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4465032:4465235:-1 gene:OB09G13300 transcript:OB09G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCSEGTSRPPLLTGGSILEKEKLDLYLRAAEQRERSPREGGGLVLFIYSKAIVLFIDAKARVSNSLN >OB09G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4467396:4470695:1 gene:OB09G13310 transcript:OB09G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHQGFMAFGRIRADEQEEEDRRPEAKRMRQTMPSFVPITRGAIAAEKIRKLSLGLEPFFRKAVQEELERSLSKHGHLLNSPHRSPPLLVNSVDPSPSLKLAFAKPLLLPIFTNNKLVDVDRDPLQIHLLDMNMSTNTSHHLGLAIGLGVVVLDGDFSHDDSDREGWSSDEFSGAVVKERQGRRPLLVGTLSGVAMDGRRGVAVIDDVVFTDNSSWTRSRRFRIGVRAMAGSGPGPGPRIREAVSESFVVKDHRGELYKKHFPPKPNDEVWRLKNIRKDGPIHKRLESEHVCDVQGFLNLHDTNPAKLKKLVVMSDRLWKATLHHAKTCDFGAVETIQVEQCSVQSYQNWDQLEEAVTSRAASDAENGLVASRNLDQAHEASLNTPDLHSLSLQAEEMSTITGPHCKCRDQLDSQDPLAAVTEDAAMWSPCIWMEQQQFSVGPELI >OB09G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4471885:4472055:1 gene:OB09G13320 transcript:OB09G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELATVSHFLMQQYTNNHLACCVVVKGQCHRQHITYVIKTLIGSHDDPSFSCCNMDQ >OB09G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4481007:4481333:-1 gene:OB09G13330 transcript:OB09G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYITIYLFQKEIIWTYEYQLQKNSSIIGICKSSFTISFSFKANYSLAHIQTQQEVNLAGLKAEHISVISSLNASKTKGISSCKHFFCRVLLFYNKNYKYILYHSSFT >OB09G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4482459:4482966:1 gene:OB09G13340 transcript:OB09G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKRSPLLPLVVLLLLSLFTFMATEANAQVFCRSQFNLANEACSLRTFAGVNPAVPLRQLNESAMAAGAAGGGYEVQAEHRPRGGDGGHETDYEHEHERRHRHRRNVDVREDPYDTACCRRLMGIDNACICQAMSYLPVFMSRVKHAIKLTPVPGCDVAFECAAAY >OB09G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4483874:4484938:-1 gene:OB09G13350 transcript:OB09G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSSQKIRIFCSDPDATDSSDDDDQSANKDRKDKIMIREILFSMDNSKESKTVVKTLVQRDTETFKGTEKEPTSKYRGVRRRAWGKWAAEIRDPVRKSRKWIGTFNSEEEAAAAYLAQSNQFHAEMMALKGQSSVSEREDLSSSVTISCVSSSQSCEQKIQAQPQAHKRQAHKKLSHSCEQKIQAQPQANKRVLAGINLETVEQKVQAQPQANKRASAGINLETVEQKIQAQPQTHKRLLVEINHETVDENLPNVSSTHKCKEISVDASLGQIDEVPTSNFVSHANEFRQIDVFPVSDFVGMTDEPPGDDYIGLADISHLPLPTQDPKFDLDAELNWDGFDFASLEQLLNVL >OB09G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4485534:4486290:-1 gene:OB09G13360 transcript:OB09G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALLLLHGSELLSPPPVSSRSLLLRAAQRGATTHDNNPFRRSRFGFGVKYCGFL >OB09G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4499364:4500976:-1 gene:OB09G13370 transcript:OB09G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTEDSADEPAAKRLAFDPDVEPTGPPEEKDVAASTRSPSPPPLRRLRRPAFKMGPRKSSNIDPTAPAISGDVQPQDQHATLRELQKANTGLQAECAKLLAAKAELEAERSQLLASKAVLEAECTRLKKAKDTTVAELMVALDVLEATALLAQGSSSVSIDRVVQQLEKMHAAHNVELRETAKLASSHALAIVKSLYPRVEVDAVCDGFIADCDETTAMKYVNEARKVVESVADDLGL >OB09G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4521853:4524084:-1 gene:OB09G13380 transcript:OB09G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRHRVDRLSEDDGWMLLHRMANLHAAAGGFEDIGRKIVQKCSGLPVALRWIGNDLRERTDQNIWEKVYECDFFGKYSQIHSCIDASYKRLSFVLKRCFLYCLLYPEESVIQKQCIVQQWIAEGFFSLAASQEGEAERCYEALIDRCLLLPEDNGHGEPGAKMPKLFRLFAIHKSQHENYVNNPRDIRTIFKPWRLSITSGYSVQDIPDEATSLRSLFLFGSPLNNGTALEFIFNKLTSLRVLDLRDTQVDTISNNLERLRQLRYLNLSGTRIQSLPESIGNLTVLQFLILKNCTRLESLPRRVGRLRKLRSLDISGTPKLNGVRFNLEQLTQLNCLQGFIPATSAPGNNGNGWKFEDLRHLGNLTSLQMVKLDTALSTTEDQNQLILDGQPHLRELELIWCRCSPADPQSRNRELETRVFGKLKPAGCLVSLKIVNYCGNGFASWLSSSYLTELQRLILDGCLPSLDLPTLGQMINLKFLAITASNINQPPREERNDVAFPQLEQLILGRMESLQAWSGLQERDLPMLRVFQLDGCQRELTSIPSWLQSCRELTSMKIQNAGTLQEIADLPSLKELEVHNCSRLERISNIIRLDDLKIFNCSGLEAVNDVPFLCSVHLELQGAQLPRWLQPFNLRRLDITGTQELLNICSSPFSPCWSIIQGVADHVCGKKLGDDSIHFSYNKSTGSLYTSPRCAQRIAVPGLHNSATVRQDNWRAWKHNTFYAILLIATCSLVYLANF >OB09G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4529630:4531185:1 gene:OB09G13390 transcript:OB09G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTAARKLKKELDLAEAAALWLGGGGVPNSAAEALGMVVACSTPGCAVLFSWPWLSRFIKLSADNKISINVSQELGQTCLEDWRKRKKDRNSTNLLLITDLRALVLQENRRYLLLQSRHISIAGKSNLDISAALQRTGRLVGG >OB09G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4531224:4531415:1 gene:OB09G13400 transcript:OB09G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSGFFVDLKNQKERKSNGCMRKGARALVLKHPVEPETFLQFRFQLQIKPGRQLLTLTNFGLGC >OB09G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4543072:4549524:1 gene:OB09G13410 transcript:OB09G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDSSKSRGGIRAELRRLVEIADDEETRSLVSAAELMMVTAARDRRGDLAAWIGGLREAVGDLDDMVDDLGEQRRRERLEKEARRKSMLRWFSDSSSDDEIDYKKMKIQTESLNKKFHKILQNGIGLGLQPGDRWQKQKRISEFTGDLPPLVGDVEQEKTKLINKLTSTQSPSPVIAIFGLDGTGKTTLARKVHDDLLIRSVFSNVVWVAGSRSLTEVKLLRAILKSFGGKPGETESWEQMQNMLCTMLAGAKRFLLVLDDVWGHQVRENFLEASLEAQEGSRILLTTRDETVMSRMHLGPDDIHKVHELSFPACLSLLHTSAGMDERHCDKLKQIGISIIQKCYRVPLAIKVMGGLLGTKNPTEKEWQEVINETEKWIVEGFHDATKNIYIKDIPNRNETRKIILKDIPDGMKEICVAIYLAYFNLPHHLKLCFLYCLQLPEEFVIRPQTVTQLWIAEGFIKEQDNCSPEDIAEEYYKELVVRNLLQPEVGSSDMSRCTVHDCIKSLLQPFTGDNKSTATTVTEGEQISRSFRTAIVVYKNPSSERGLEKGLKGLVNLRSLDLTGTGIRYIPKSIMRLLHLRLLNLSLTQVLELPESIQKLRHLQFLILRCCYGLQTLPKEISSLVNLRTLDLEGSAPHTALLPSLAALEELTVLHGFVVKRHNAATGKDHQNGWPIEDLRPLKSLRSLQIMNIDRVPDDCSAEEAALSRKSCLTRLELCGSATIDTQVFVPDEENVRWLSVLTALRPPRCLEYLKVASYYGKSFPDWMMHLQNLQRLVVADCKLCESLPALGQLPQLRFLTITGCHKLRTIERGTDATLVFPKLEQLDLSDMQILESFECFRDGDFPSLTKFYVENSPRLRSLLPSGHGHCKALTSMKVVGADILQTISSLPALKELVVQDCRELAMISDLPELQVLLVSDCSRLKDVKGVASLRHVHFVDRVMKNLPDWLTGQHASVLQTLTIAGTAELLGKLVPDTENWSAISNIDKVYANFPDGTLFLACNKGRLDFLMIKPVDGPQLEDPYGQAMLTKIVRVASWTGLANTVKQYFIPLTISFVFLLLATRDLILVGFFVALFAALACIAGVLASMSYMP >OB09G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4560135:4563130:1 gene:OB09G13420 transcript:OB09G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFLIVMKIQINAGIANNESAYNTIPNAHQNMGNSTVTNEETGNFQKLINDAEQELYHGCDTFSILSFIVQMLNIKCLYDLSGNAMNALFEVNMLKLNHALFVEFQDGKIMDRIELNVCDSIVGTLLNWEGKTKDNIKSRFDLEVMKIRPQLRAPPTGDGKYLFRPACYAMTLAEKKAFCEFLREIKVPHGYSSKISHYADATNAKISGMKCHDCHMFLDRYLLLSIRGVLPANVCETIIELCNFFREICSQKLDIEIVKELTTIIELCNKILKLLLLSIFATVGRPLLGNKYCQMAMDELEKARIYVLKNCQEISEYAIIHKDELSIQSNKGVEKRHEKEFFAWFKNHILELYSKESPTITEELFALARGPDARVNHFTSYMINGWRFNTEDRDMLIQSQNSGVFVKGDEVSGAEQVYYVKDTRDPNWFVVVKTKPCDLYDFPPEEEEENDPTLLNRYNSEACQESELITTASNSITDHDGDDHIILSKNGAECESIKAKIATCSEGDIFEDEEEEHSESDGAEYIDTDNETGIQSEEDDS >OB09G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4565134:4567755:1 gene:OB09G13430 transcript:OB09G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRKMQRGSELCSAPLKNTELAPFPSPVLLPPPAAAAAAARRQMRLLAAAARAPRSQRHILLSSSSCHLSSSAGAATSHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQPLRPFAALLVAAILPDAAPHLLAFCETFRGRSGRYAARRLALHAFLAAGMASEALDVLARVRCSGDTPSLSALAALLRLLFRGGDVRAAWMVFEEMATRGPRPNLIIFNAMIFGFCHRGLVRVSSGLLAIMGRFHVVPDACSYNILMKGHCVYGQAEDAFQLFDEMLAAGCYPTIVTYNILMNELCREGRMVEARGLFDEMVQAGVQVNTITFNVLIDGYAKAGQMDKANMACSEMKAMGLMPDCCTFNILSAGAYKFGMTAPFVIDQQQLHEMFGPQLLPDGIDMLICRLCWDGRLDDAWDLLRSAVEQGIQVSISGFNALIAAYSKEGFDEEAFELYRVMNKLGLAPSSSTLNYLIMGLCNHGRLDQARLFLEYMVKMGYCVSASFTIYLDASFRVGDVIGAMKCWDEMEIVGIQPDFIAFSAYINGLCRLDFLNEAYNGFIEMIRRGLIPNNFTYNSLISAFCRTGNMPEALKLEQKMRQNGLVPDIFTWNILIDGFCREGRLKTANNLFFGMYSTGLTPDVVTYNTMLHAYCRSKDINGAMIFMDKMLADGCEPDIFTYNIWMHSLCSNHLLNRAMKLLDDLAAMDCAPNSVTYNTLMDGICSDVLDRAMILTGRLIKLAFQPNTVTLNILFSHFCKNGSGKRALVWAEKLRDDSFTFDDATRNILDWAYREMEDDPHATNADIDKCLFLEFLMLMTCTTIHNSRSLKFTNVPIDTVFGYSGSKSLDTG >OB09G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4568324:4568751:1 gene:OB09G13440 transcript:OB09G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVQNHFDILAWVWVSEVFDEVRETKAVFESVTAKPCDLTEIELLQRQLHKEVKCKKILLVLDDVWNEDPSKWESMKQPFSAVVVRSHMIITTHDENVSTIRANKVIRLGGLPKDDSGALFCKLILPNNSCTETELVLVG >OB09G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4576860:4580735:1 gene:OB09G13450 transcript:OB09G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLRSRIMEELDISHLTERLMHGKGEPSALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDGAQLQEGSDTFGKNGHKDFLATADYLATYGINALITKMQHAATDILKEKQLKDPMSIDQVLETILQILNQFMGLCEDNSWINYLVPENANLYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSRNIMDRSLKKIAGVVIEDLAVQIGTPIPPSGLPLAKLLAKVAQLSLPLLEEPNKNKHIQIIRSMPEVELFYTFLYANMPPET >OB09G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4581797:4583680:-1 gene:OB09G13460 transcript:OB09G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREHCFLSTLRMAKQNYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAVVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFFSLVVILGGAVGYVMTDSAFSLTAYSWALAYLVVITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMAPIFWFLTGEYKSVFGTTGSREEGWFQVDASVAVALSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVVIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGNNVVQREPAASSEQTEDDKESTELDEEKQSLVPSPKGSDV >OB09G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4588908:4594284:-1 gene:OB09G13470 transcript:OB09G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G18030) TAIR;Acc:AT1G18030] MVHQKREAASDGNEEWVSKRPKGADAAAEKEHILKSDASQEANVEKAQKGDASRKENTVSTNPCVSEEKAITNSNVSSEREVTLTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGKLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRKTDEILLQESANGNWQDGATAVCVWVLGQTVFVANAGDAKAVLARSTSTDGNAVVDDTKNQLRSIVLTREHKAIFPQERARIQKTGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >OB09G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4593558:4593832:1 gene:OB09G13480 transcript:OB09G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFLCILCSQATAMPIIYCKISIPQFSRRFHASIRKQHPCIFHSMSATLVFSSISFNTSQCHLSLT >OB09G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4607857:4611263:1 gene:OB09G13490 transcript:OB09G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELFGSYCSAVVADCRICGDPTSGLRFAFIEFQDEDDAYAALDLDGYVLGICPLRVAPSKTAIMPVNPSFLPQSDAEREMCSRTIYCTNIDKSVTKSDLKYFCEEYFGQVSRIRLLGDDQHATRIAFIEFAEVNGAINALNSSGIFASGQPIRMCPSKTPIRT >OB09G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4615091:4620485:-1 gene:OB09G13500 transcript:OB09G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGKVERLSSIDAQLRLLVPAKLSEDDKLIEYDALLLDRFLDVLHGLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGNMITSLDAGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRADDLHRSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIPEEATLTNVEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFCPDLPKTEEVADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAAVARLFSIGWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPGYHVTLRPHLSKDVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGVAAGLQNTG >OB09G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4627949:4632756:-1 gene:OB09G13510 transcript:OB09G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSFNEVKPHVWKDNSEQEDADDDSSFGRIPFQIWNVSKFSSSADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKILWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLHTEYTKGDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFTSSPFSSSYSPLEVYLFNGDSGQLSVLNRHIG >OB09G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4637209:4639768:-1 gene:OB09G13520 transcript:OB09G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSMATIQKLYEVCKVSLSGNGPLSPEAVDSVCSVLDMVMPCDVGLETEAQSVRSWRSPRALNRKSAFHSSSAIRYRHIHECKSFSIGIFCIPASSIIPLHNHPGMTVFSKLLYGSMHVKSYDWVDTPQPLNLSKARPAKIARDGEMSAPCGAMVTHPTDGGNIHAIKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILLDRSKGSELVWLEEHQPPNSFVIRRDLYTGPALSL >OB09G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4657815:4659971:-1 gene:OB09G13530 transcript:OB09G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRTPGSSSNRRRCQNTSPEKPSSINFCNPQFPQNVFSQPPYAMNFPFPPFPHYTPYSPNFQYAVPPQYAPYSLPPPSGAMPSPYLPDPVMPSKAASDQGTPHSVTGPVEQDGEPERTSGRLTWTKDEDIRLINAWLIHFKTDKYWEKVTAEYNSTTPVSRKRELQHLKGHWHKTMKKVAHFNDCWCRVKAKYHSGQSEGMQLMDKTWLMYNKEAHVMYLEEAKHNFSFEHCWQALSDQPKLKEYISSLFTKRTMRSESGDYMSSSEDSEDVPGKEIDKQVTSKEGKLKGSTSSSEVQDKCLVGSFYMLTKNNEDMTEIQPSVSHHKLALGNLKQPDTADKDTGIPINKSKLLIADASCPTEIHVGKQEPKPDTSKLNEHRQGMAVRDDMLEKESWPQGFEIIDNQRVQREELPKKESHAQGFKPRKVSRKRKGKASSSSCEVQADIKHALYLQTMLKNDREKMSEVQLRLSKEQLELARLKQEEAKEKKETTLYKKYTELLLADTSRFDEFQKAEYERAVRHIGEMLFGKDSN >OB09G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4699061:4704583:1 gene:OB09G13540 transcript:OB09G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHTPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVQLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFQRGFPVVGSCVEIGLPMLILFVALSQYLKHVTVRHVPVLERFSLLICVALVWVYAHILTASGAYRHTALLTQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLIETTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTASAQYGPAHTKAGWFNDYINSVFSSPPTVALIVAVLLDNTLDVKEAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >OB09G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4713826:4717240:-1 gene:OB09G13550 transcript:OB09G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSLHPCICKASPSFRPASSQGARNQPTSTTTNRKKPFFQEIRRRLSFRIDEASKALEMAKQGLMEALVDSTFKFSDQPMLPSESNFAPVSEISEAIEILQVEGEIPEDFPEGIYIRNGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHALYFRKNSSATWLMSYANRYVQSETFKIEKAQKKPSFLPAIMGDSSAIIAGYILNYMRFGKVNKDISNTNVFEHAGRVFAVAENHLPQEICIQNLETEDSWDINGEWDQPFTAHPKVAPGSGELVIFGSDTKRPFLMVGVVSADGTQLKHKVDLKLDRCTLCHDIGVTVKYNIIMDLPLTIDINRLIRGDQLIKFEKDSYARIGVMPRYGNAESVIWFDVEPFCMFHFINCFEEGDEVVIRGLRAADSIIPGPKISLNKNDLPSDPSGDDVSVKQGINEEFFSRLYQWRLNMKTKALSGEYLTGTEFSMEFPVINNHKMGLHHSYAYAQVVDSLTSCYGVNDKVILKYGGLAKLYLEERDNVTTETSKDLIKAEYHWLGKDEFCSGAVFVPRVGGTHEDDGWIISFVHNEGSNTSQVHIIDAQRFEGAPVAKIILPRRVPYGFHGTFITNKLNEVM >OB09G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4717115:4717318:1 gene:OB09G13560 transcript:OB09G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRDLSVCGCGCWLVSCTLGRCRSEGRRSLADTWMQRNSHHSGSKKKKPNPSAFTNGYCTSFYSTS >OB09G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4748894:4752083:1 gene:OB09G13570 transcript:OB09G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) TAIR;Acc:AT1G77210] MAGGFGGGDAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTAMDDFLIKFFPDVYERKRAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFAASYVTKRRGRRASIMVGAVSFFLGGAVNAAAANIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYKIRGAVNQLFQLTTCLGILVADVINYFTDKIHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGELEEARRVLEKVRGTRKVDAEFEDLKEASEAARAVRGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMLVVDRLGRRFLFIEAGIQMITSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSIFVVLLLPETKQVPIEEIWMLFDKHWYWKRIVSKDPKYQGHQHHMAAVAAAGVKPEV >OB09G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4755635:4759291:-1 gene:OB09G13580 transcript:OB09G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTDVVLNLIKIKGQKKEEAANTNERPPAKKQSPGELRLHKDIAELNLPKNTKVTFPNGKDDLMNIEVTLRPDEGYYVGGIFVFSFHVPHAYPHEPSKVRFITHPNIDLEGNVCLNILREDWKPVLNINTIIYGLNLLFIQPNHEDPLNHDAAVVLRDDPQKFQRDVQAAMLGGYVGQVYFPRCK >OB09G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4773275:4777347:-1 gene:OB09G13590 transcript:OB09G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDITAAESFGWEDALRVAADGGRADQSDLSGYFRKVDTCNRGMEKRGEFVEFTVEGQVVGYIHNGFVEHLRDFHDVFTIASGSNGSSTVEHVTLHSSLRTPDERTNAVGNVIKSLGDLIPGIRNELYPITSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKEGQRFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPADFVPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLYRHGYINPDCHGYLKLLQNLRRGDCS >OB09G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4781395:4786741:-1 gene:OB09G13600 transcript:OB09G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGPSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVVDSLGNSSELAVRRVAALAGDAARNLSFHKVDIRDKDGLEMVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDYNVVGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEDICRDIHHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPSNLMPFVQQVAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSTGCEAYNLGTGKGTSVLEMVKAFEKAAGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNGNSH >OB09G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4790094:4793558:-1 gene:OB09G13610 transcript:OB09G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATCDDVREHAITVSHGETASTSTSHQDLHSDSDDLHLDDRPSTSTQTPSPQSSASTSPTAYDSRNLSFPRRDSIYGHGRSIWNSGLWISFELVIYLAQIVAAIVVLVFSRDEHPHAPLFAWIIGYTIGCISSLPLIYWRCVHRNRPSEQEPDQPPTAYPNLTSSQSSEGRNQRSNGTVLHFGCIIISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHNTSTDAQEAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISLLRLQDDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENPSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRSVASSRFTV >OB09G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4799073:4801445:-1 gene:OB09G13620 transcript:OB09G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFRELLRKHGDDEVEAKDIVESLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYAGEASLYEKYLESGIWKDHTVHYQIE >OB09G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4808340:4809411:1 gene:OB09G13630 transcript:OB09G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MWI4] MAMAAALMVAVAVLGLATGGQAQLQYGFYKGKCGAGDVEAAVQGVVRARFAREAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTAAPNLSVKGYDLIADVKAELERRCPGVVSCSDIQILATRDAVALAGGQAYPVRTGRRDGRQSRASDVVLPAPENTAAQSVAFFGKLGLSPFDTVLLLGAHTVGATHCGVIKNSRLYKSGGRAGATDPSLDPYYAFVYKTWVCPDTPASDNNVVFLDDQWSAVQVDNNYFKLLQRRRGVLAADQNLYSDGSTKWIVDLLANSDQFHLLFPQALIKLGEVGVLTGAQGEVRRFCNRFN >OB09G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4812062:4813121:-1 gene:OB09G13640 transcript:OB09G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAALMVVVAVLGLATGGQAQLQYGFYRGKCGADDVEAVVQGVVRARFAREAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTAAPNLSVKGYDLIADVKAELERRCPGVVSCSDIQILATRDAVALAGGEAYPTSRLYKSGGRAGATDPSLDPYYAFVYKTWVCPDTPASDNNVVFLDDQWSALQVDNNYFKLLQRRRGVLAADQNLYADGSTRWIVDLLANSDLFHSLFPQALIKLGEVNVLTGAQGEIRRVCSKFN >OB09G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4824355:4824997:1 gene:OB09G13650 transcript:OB09G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSSLGAFLIDGGADETGGCITMSNFRVLSVIYELHPTPNCYDVHTMYRPRAYVFSSSGADGGWRAVENGSDDSGTVIPRSVSFAGRAARSLYWEWGMDCDDDAVLALDLTRLKFSLVKISAIVGVSSDRSTFRVIDGGGAMRVVRLIVNDLKIFTQLQGSGGGGGXVSLRAATRGLPGRDVVLFDETTIDDAHIVAANARYILVTPSRR >OB09G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4835545:4835838:1 gene:OB09G13660 transcript:OB09G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIGDAYLKPYVSAVPEVTVTDRSDGDECLILASDGLWDVVSNEAACEVAQACLRRGRQRWCAEAAALLTKLALARRSSDNISVVVVDLRRTNTL >OB09G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4836544:4836711:1 gene:OB09G13670 transcript:OB09G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDKIQKPSKMQNQHEIFPVCDSSGTTIFFNQPLNSESHTTKNPTCVTAKPCTV >OB09G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4841622:4844688:-1 gene:OB09G13680 transcript:OB09G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRHLLAGVAGLLLLLLLLPRLQASGLYLGGLYLAGGFPVALCSLGALRHLDLSSNDLAGPLPPCLAALPALETLNLASNNFSGELPAAYGGGVPPLAVLNLIQNLISGAFPGFLANVSTLQELLLAYNPFSPSPLPDNLGDLAALRVLFAANCSLTGNIPSSIVKLNNLIDLDLSSNNLSGEIPPSIGNMSSLVQIELFSNQLSGRIPAGLGGLKKLQQLDISMNHISGEIPEDMFTAPSLESVHMYQNNLTGRLPATLAAAPRLTELMIFANQVEGPFPPEFGKNCPLESLDVSDNRMSGPIPAMLCAGGMLSQLLLLNNQFEGAIPAELGKCRSLMRVRLPYNRLSGPVPPEFWGLPHVYLLELRGNALSGDVGTTIGRAANLSYLIIENNRFTGVLPAELGNLTKLVELSASNNSFSGTVPASVTSLPLLFRLDLSYNSLSGEIPRGIGELKNLTMLNLSDNHFNGSIPAELGGIHEMSVLDLSNNELSGEVPAQLQDLKLGTLNLSYNKLTGHLPISFETDQFRQSFLGNPGLCYGLCSSDGDSDSNRHVQIQMAVSILTVAAVILLMSVAWFTYKYRRYSKRAAEVDSESLEWVLTSFHKVEFNERDIVNSLTENNLIGKGASGTVYKAVVRPRGDTLAVKMLWASTAASKKIDTFEAEVETLSKVRHKNIVKLFCCLTNEACRLLVYEFMPNGSLGDFLHSAKAGILDWPTRYKIALDAAEGLSYLHHDCVPVIIHRDVKSNNILLDADFRAKVADFGVAKYIDDGPATMSVIAGSCGYIAPEYAYTIRITEKSDVYSFGVVMLELVTGKSPMSSDIGDKDLVAWVATNVEQNGAESVLDQKIAEQFQDEMCRVLRIALLCVKHLPNSRPSMRLVVKFLLDIKGGNKPKAMKVAEAPVAT >OB09G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4859195:4860120:1 gene:OB09G13690 transcript:OB09G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVGKDSMDLVLVPCGLAAMIGYHLFLLHRILRRPHTTVIGYENHNKLAWVERMMAQTAGPEESALALGVISDNISAATTLASLCIALGSLVGAWVSSSSAPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAATSGRRGSARSTSPRRCSCGCSAPCRCSPAPCSPWPCSTGSTPTPCRCTTTGSRRRGTRRRRPRRRCGLWPPRDQPLLGEAEPAMATRWPSPRLLLSAADTEIISLTDRTDIIFFLWFS >OB09G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4861231:4863240:-1 gene:OB09G13700 transcript:OB09G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVMMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >OB09G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4866788:4869352:-1 gene:OB09G13710 transcript:OB09G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02750) TAIR;Acc:AT4G02750] MLPSRHLRSAARQRSHRPPGRLDAEVIRRNKAITAHMRAGRVADAERLFAATPHGHRSTSTYNAMLAGYAANGRLPLAVALFRTIPEPDTFSYNTLLHALAVSSSLADARGLFDEMPVKDSVTYNVMISSHANHGLVSLARHYFDLAPQKDAVSWNGMLAAYVRNGRVEEARGLFHSRTEWDAISWNALMAGYVQWGKMSEARDLFDRMPARDVVSWNTMVSGYARRGNMVEARRLFDSAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPERNAVTWNAMVAAYVQRKMMDEAKELFDMMPCRNVASWNTMLTGYAQAGMLDDAKAVFDTMPQKDAVSWAAMLAAYSQGGCSVETLQLFIEMGQCGEWVNRSAFSCLLSTCADIAALECGMQLHGRLIKAGYGVGCFVGNALLAMYFKCGNMEDARNVFEEMEERDVVSWNTMIAGYARHGFGKEALEIFNTMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLAEAHDLMKDMPFEPDSTMWGALLGASRIHRNPELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDVGKMRVMMEERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKEKIYAFLEDLDMRMKKAGYISATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPPGRPIRVIKNLRVCGDCHNAFKYISAIEGRLIILRDSNRFHHFKGGSCSCGDYW >OB09G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4870158:4873004:1 gene:OB09G13720 transcript:OB09G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G38660) TAIR;Acc:AT2G38660] MGGAAVALLAAARRRPATPLSFFLPRAGLHEAATPATEEEKGGTRRRRSRSSSSRLLGPDIPDAWDQPPSSVARPPPPSGAGVDYGSTATIIDGKSVAEDIRFQITEEVRQMKNAVGHVPGLAVVLVGNRRDSQSYVRYKIKGCEEVGIKSLLAELPGNCTEDEVVDSVSRFNEDPSIHGILVQLPLPQHMDEERILSAISLGKDVDGFHPLNVGNLALRSRKPLFVPCAAKACLELLLQSGIDLMGKHVAMIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITRQSDIVISAAGVANLVRGSWLKEGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAARVASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATEPHEL >OB09G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4874480:4878684:-1 gene:OB09G13730 transcript:OB09G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66530) TAIR;Acc:AT5G66530] MAASCALSSLSLSVSVSSSSSPRRFRRCSGVVAMASVGQKVYAPGVAVSEGNGGLQKIDLKSPHGSEAEIYLFGACVTSWKVPSGKDVLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNMNWSITDSEANEGNPAVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHSYFRASISGVLVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCVYLGAPSELTLDNGLGDKIVISNANWSDAVLWNPHLQMEACYKDFVCVENAKIETVQLEPKQSWVAEQKIEII >OB09G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4887233:4892747:-1 gene:OB09G13740 transcript:OB09G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINDIVGVAQGEVKLCCNFSDDLEGIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGGYAQKGSLFCSLSNPMLLKSSMVYKMKSKRDILQQRQHLPNQYHFLSHINSAVKFEGKQTTSYRNTDIAIVGRDADLDRIIDILMQNDAEELSIIPIVGPVGFGKTSLAQLVFNDTRTEAFNFRIWVHVSMGNINLEKIGRDIVSQTTEKIEGNMQLQSVKNAVQRVLNKYSCLIVLDSLWGKDEEVNELKQMLLTGRHTESKIIVTTHSDKVAKLISTVPLYKLASLSEDDCLKIFSQRAMTGLADPLFREYGEEIIRRCEGSPLVTNFLGSVVNAQRQRSEIWRAAKDEEMWKIEEDYPEDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESKHGTLPLDVTAEKYVDELKAIYFLQVLERSQNGAERSSASEEMLRMHNLAHDLARSVAGEDILVILDAENERNAKYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNGRADLEHVSEVLSANKYLRVLDLSGCSVKDIPSPIFQLKQLRYLDASSLSITALPLQISSFHKLQMLDLSDTELTELPPFISNLKRLNYLSLQGCQKLQRVDSLHLLHDLHYLNMSCCPEVTSFPESLENLTKLRFLNLSGCSKLSTLPIRFFESFSTLCSLVDLNLSGFEFQVLPDFFGKIHSLQYLNLSKCLKLEVLPQSFGQLVYLKNLNLSYCSDLKLLESLEFLTSLQFLDLSNCSRLEYLPPCFDKLSSLESLNLSQCLGLKALPESLLNLKNLHLDVSGCPDCILQYFYQSSSSSQSCQRSEKAEQVRSRASEISEVTYEKPADVFSRNNPSKDLASISDLDDDKSEGPGVVTEPSATRGMVQRISGNQLPSSSSDFSSFASSSSAPFASSSSDTSTSEHPVSNEEAPALTGSQSSEKCDNTSMPVRDGLISEDNAPLQLHQQPLQAAAMAAI >OB09G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4896967:4897347:1 gene:OB09G13750 transcript:OB09G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKSAKPKGSAASQEDETKDDIESLLESLDLRKDEEDVELEEDLQELEADARWLALARVHTEKVFSHSALFGSMRSAWNCVKGVDFRAMKDNLFSVQFKCLADWERVMEEGPWIFRGCLVLLAE >OB09G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4897617:4899604:1 gene:OB09G13760 transcript:OB09G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFYGICGLVGHVTKEHGDESGGARFGFHILLLPPKSPDDDILGHRVRLVPRNNGKDKETLREGLRGVEDQQRRSNQYRGLNQVDFENDLESKISLY >OB09G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4902482:4907221:1 gene:OB09G13770 transcript:OB09G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRRILAGLQDVLLSVEGKYFKTSRELQEWMSKIRQIAYDIQDLLDEFEDSRDAGSQRGRSWIAKATLLCYSGPSVLLHRSRLQRMRTVKRKIVLLTEGSVVFNLMQHSPSNHKHSNQPECLDGYTIVGRDNDRANIKRLLLQNDPDGFSIIPIVSLVGMGKTTLARKLDLNKIASDIISQLNQKEETISEFVLNDQIHNNLQFTKSRLREVLYGKSSLIVLDGLMTTDKNLLIELKEMLRGTDQKCTKIIVTTSSEVSADLIGTLPSYKLCPLSEDDCWKIFCQRAFGTGDGNMDLAEIGKQIVRRCEGIPMVAYSLGSMVCNQDKDVWFLARDKEIWELPKIFRNGFELLAPFTEIYHSMPSALKSCFAYLSIFPIGSIIDREKLIQQWIALDMVGSKHGTLPAYVQGEIFIRELLSLFFLRIQNMPFANGISHTNCHTLLRVNSLVHAFAKYVAGGDLVSFDGRDMSIAPSAEKITSSYVVVNNHTGQSTLHRDFLTKARAVSFINCEVSIFPADAFLRFNHLRILDLTSCHILELPASIGHLTLLRYLSGSGLRIRTLPNQMSSLEKLEALDLSESHLEELPSFIGSYQKLTYLNLQRCEELRNLPTTLGDLKRLQYLNLSRCPGVSQDADYLCSLHALRSLDLSGCSELQQLPRLFGNLTNLEDLNLSACSRLNKLPESITGLVNLQYLKLSHVLSELPGSLSKLERLQTIDLCDLDEVSQDLGDLHEVHHLESHERIEEVQEKTRQHDDSRRRNEVL >OB09G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4910129:4915914:1 gene:OB09G13780 transcript:OB09G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAMVSWRANMWLNSQGCHYLTTWDEGQPHRCDLRWVSGMACKWRQKQIQEITIRISAETEKGRRKAMKIAASVTGVQSVMLTGGHRNLLLVIGEGVDTNKLRKKLIRMNVGAAVIEETVRGRGNFLEQGPHDEDVSKASQTPAICETRNANFGGFLMRTSTPSIHPQLHQYNFLVTLPTFVHVNSTIRISALMAGVTHGKIAATIGGGVSVAKTQRSWTVVVEP >OB09G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4927521:4928108:-1 gene:OB09G13790 transcript:OB09G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEKKKDLINESDGDGSSPLHFAASVGIKGITKLLIDSAREQNVIQMPDNEGMFPIHIASSVGAMDAIESLIDADDLSSAAVRNAEGKTFLHIAVENGNRSVVEFVCVEPRPKLVLNMKDNDGNTALHLSVQKRDETIFSYLLGNKYVELSHANNKGYTPLDLASKIRTGNPFASPQV >OB09G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4931610:4933116:1 gene:OB09G13800 transcript:OB09G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRRPGSGGGGGIRVCSEELQTAVVRFKKRFSWSILHPFLHVDLVSTAHIADKEYFDRLQQALQDYDCVLYEMVTSRENLKNRKDPTFANKLKSLIGFSILGFIQKQMANILSLDYQLDCLDYGNKKWQHADLDFETSEQLQNMS >OB09G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4938553:4940449:1 gene:OB09G13810 transcript:OB09G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDEFKSLGTNRLVTCEDFKNASPGALQQYDVYLHWFYSFHRGPAPLADAASMCLEKEKSMELLWTNVKAFRIIRGYVVGKCIFQWMNTAIKGEEKGRKRPFGIDAAEEAELISVSEWLRHGRRMLNDEEYALCHEIRAIAVSFFIFRGEWSVDVATALLGIRKEAEWLIANLKCGPVESISTSMKIRQFALDFSHAEDL >OB09G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4941260:4942914:-1 gene:OB09G13820 transcript:OB09G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAADFSEYACILLRDQLDTFIYDVRADPEFSSCSDLGNLAVKMVQSDRHTVFPLVYRLIELALILPVATAIVERAFSAMSIIKTELRNKMWMNHSKSDLTH >OB09G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4942192:4942434:1 gene:OB09G13830 transcript:OB09G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPAMPCTNQLASHPFFARRYACSHIHLCMHASMYILLLLFYFLREYPMRPHDVRFYICSCVLPYHLTLSTVLDPNSP >OB09G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4945136:4947711:1 gene:OB09G13840 transcript:OB09G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRYARLLLAIPEFVLAVILCHLPRSAASPSASSVAASFSPSPSPSPLPLRPLLTQRGVVPPRVSELPASPFTAKAAFVRYWNRKFAVYSGGETSPVDSFRRYGKGSQGRNDSFTSYEAGGNVGTANFTSYNSGATGGAGGFSTYAGETNTASVSFANYDHDGNGRSREFAAYTQDANTGEESFAAYGKTANGAAESFKTYGNHSNSIASGFLNYGDRANGAADTFSSYGVNGNTPENTFKSYGTDSNAGVDDFKGYRDDANVGNDSFTSYASNANGAAAGFESYGKSVNPGSVTFKGYGLGSNPRLQPHPPHRLHAILRRQHDLQGVLQRRRRVQGVPEHVQAGGVQAGGVINK >OB09G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4951104:4951588:1 gene:OB09G13850 transcript:OB09G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCGSCCKASKPAASRIFRSRSSILKEDRKFLFRFMMRFGTFIEKKTRVENFTNKHTVNLGKSGKHIWGSKKQNPCDGITEILLLS >OB09G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4956821:4959234:1 gene:OB09G13860 transcript:OB09G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKTTPKLNDLYLAIFVGHSQVTEARIGDRDPAYDDPEMHQINPGFLRKISPDGGSLLHVVAASGDRQNHRDCAMAIHEKDKTLLLERNDDDETALDCAAKARHSNMVLHLLHLERESDQSASDAGAARGKEIVEKMNGEWETELHRAVRQRDDGELERLGREDRGLARVPDIHGMPPLYLAVLLGYSDIVENLISAFGDDLSYDGPNGQNALHAAALRSAGKRVNTMTKADCSGNIPLHFAASVGVKGITSSLLDGDTGRTGRRPDKNGMYPIHIAASVGVMDPIYSLVKKDLSCATLRDAHGRTLLHIAVENGKCNVVKFVCDEPTGIFKDSLNMKDGDGNTALHLAVKKRDKFIFGHLLGKRDVELNHVNMEGYTPLDLASKIKVEHPFASPQNPTEWMIRALAHSGAQFSPRRHDEFISANNSEKRQEHGAKLAESTESVLVASALIATLTFAAAFTMPGSYKTGEATPALGALYGFKVFLVADMFAFYFSVAATFSLAEYGNRRNVDPLVRCAYARRSVWLFHVALKSVIVAFAFGVSVVMWEVSASAIAIVAAATAVLVLYGNVPLAHDFRLVRVMYRRFGFSRSWDLRPSTSSRLGWTSWRLTNFVVTLGWNLVKLFWVYGLIFLVAYIAQLRQKS >OB09G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4964421:4965939:-1 gene:OB09G13870 transcript:OB09G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MWK8] MASADFTSANHGLPHLAIFPFLSKGHTIPLIQLVNYLRHHQLATVTFFTTPGNAPFVRSGLLSGAGGDDDGAAVAVVELEFPTDVPGIQPGIESAEGLTSMAAFVAFAHAVSLLRPQFEASVAAMRPPPRFIVADAFLYWTNDSAARLGVPKVSFLVTSTFAHVMRELIVRHDPFSLLRPRATDVVIDDDITPPATHSQTFSVPEFPRVSLPFEELMVAFKDASAFGPMMELDGKMGKAIAQSHSLIINTFHGLEAPYIEFWDEHVHPRAWPIGPLCLAQPAFEPGPTRPPRMGWLGGVGGAAAPPAQPVLYVALGTLSAIPALQVKQVADGLDRSRLHFLWAARHDGDGDGDVDLGREFEERTKHRGLVVREWVDQPRILRHRSVRGFLSHCGWNSVLESVAAGVPLAAWPMNFDQPFNARLLVDELRIAAMVWTSDSIARGFVTDEEISRVVGELMLGDVGVEAARNVARLSASAKKAMDQGGSSWTAVREMISEFCKNECA >OB09G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4968706:4970172:-1 gene:OB09G13880 transcript:OB09G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MWK9] MAPAPLASGSHGGLPHVAIFPFMAKGHTIPLIQLVNYLRHHQLATVTFFTTPGNAAFVRDGLSSGAGDDDDDDVAVVELEFPVDAPGIPPGVESAEGLASMVSFVAFTDTVSLLRPQFEASVAAMRPPASFIVADAFLYWVNESAARLGVPKVSFFGISTFSQVMRELRIRHDPCAAMKPGDVDDDGNPATFTVPEFPHIKVTFEDLMATFGEPAAVAMMLELDGKLGKAITESHGLIINTFHGLEAPYIEFWNDHVKPRAWAVGPLCLAQPPASSAPAAARPSWMQWLDKKAAAGRPVLYVALGTLAAIPELQLREVADGLEQAQVDFIWAVRPKNIDLGLGFEERTMDRGLVVREWVDQLAILRHESVGGFVSHCGWNSVLESVAAGVPLAVWPMLADQPFNARFLADELRIAVRVSTSDGTMRGLVPSEEISRVARELMSGEVGAAARKRVVELSVLAKESMVEGGRSWVAVKEMISELCAANDV >OB09G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4973189:4973464:-1 gene:OB09G13890 transcript:OB09G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGGLAVAAADGPELLAAMTTIIMAGSSLFTRSFSIWHSPRPFAIISLASLCTGGSAPAAMGDPECCSPLVDAITNQVSLALSRRQRP >OB09G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4973235:4973875:1 gene:OB09G13900 transcript:OB09G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGEQHSGSPMAAGADPPVHRDAKLMMANGRGECQMLKDLVNKEDPAMMMVVMAASNSGPSAAATASPPVVAAMHPLLLASACSGDWKAINFLLNRAEAQADPVLVRVCVTNVSQVAISVLENGDLRSISVNGGVIVTRLREELRSGRSRMPGDDIEYELAHGGATPCGMES >OB09G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4974589:4987475:1 gene:OB09G13910 transcript:OB09G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFSNKPHIFNGSDFDYWKKKMESYITSQGYDIWLKVNQPYEIPERIDTPALKLEFENNCKARNIILNGISRSDFDRVSHLATANKIWKALNDFHTGTSNIKELRKDVFKKEYIKFEMKLGESLDDYLARFNKILSDLRSVDASYDVNYSQSEIARHFMNGLDMKVWDVKVTSIQESVDMNALTLDILYTKLKTYEMNILSKRTDLKSTALISSSGSSSESISLAAFAAFTALSDDQLEEINPSKKPSEEFLNYLERYTSSSINSSAAGSSQMPLPAAALSAESILRSVTIEGDTVLHVVATHGDHENFLECAKLIYERAQHLLFKTNGKGNTPLHCASRSGNYDMAYRLYGAAKSTSKGAEYLRMENGCKETALHEAVRKGNNRIVEFLMRGDSELARLPKEGMSPLYLAILLKNYVIATTIHDIREDGLVSYAGLNGQNALHAAVLQDRAVTELLLRWKPSLTEQADQNGSTPLHFAASRRVEGRGCMWKSRSTVVPVLQENRFQLYRPDSEGSYPIHVAASSGARQTVIYFIKERPEIAGFRDSKGRTFLHVAVEKDRRDVVRHACNTRSLAWILNMQDNDGNTAIHIASQQGLMLHFSFLLMNRGVNLNIQNNKGQTPLDISHSKIPAGFFYAWNPENVILSLLKICNAMPGSLRADHLQEQYSSQQKHEDKVRESEKLSSSSQTLGLGSVLIVTVTFGATFALPGGYKSDDHYRAGTPTLAGRYCFDAFIMANTLAFICSVLATINLMYSGISMVNLPSRRWHFKMSSLLVAGSVTSLGSAFALGMYLVLIPVSPAIAATICALMVIASLWLCMEPWYGFLIGTAVYFRIGNQALLGIAQIFIRRMVIIYWPCILIFGWVALSRTTGHN >OB09G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4987001:4987306:-1 gene:OB09G13920 transcript:OB09G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHRDAITISAHIVAAIAGDTGISTKYMPSAKAEPKLVTEPATRSEDILKCQRREGKLTMEIPEYIRLIVAKTEQMNANVLAMMNASKQYLPARVGVPAL >OB09G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:4998238:4999624:-1 gene:OB09G13930 transcript:OB09G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMLLNWDSTLAMKRDEHRSTPLHYAVSVDNRSCINICWFPFYRTLNVPILDLLKANRFAAFQSDLTGKFPIHIAASMGVKEAISILLEECEDCAGLRDNSGRTFLHVAVERKRHNVVKFACKNTELSWLLNMQDSDGNTALHLAIQEGDLGTFGCLLGNQQVCLNLVNNNGLTPLDLSESKIPAQFSLRWTAMNLMYETLKCAKAERGNIRRDRFEAKYTRQADIENESQRLTKLAQVAIIGSVLIATVTFAAAFTLPGGYRSDDRADGGAATLAGGRSYTFDAFVIAVTLAFVYSSLATFGLIYSAMPFVDLGARRAYFRRSLGVVACSLRTMAVSFALAVYTVIAPVDRCLALVVSLSASVVMAFGHLNVVQTLALARALHARMGFRLSGMLLLRVMMQLAFAYWSYPLIFGLPAYLRSHRLGEHQP >OB09G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5000151:5002560:-1 gene:OB09G13940 transcript:OB09G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAGKFPDDDQHPSSASSCETTDADSYESYLRLRRNTSMINGCGDLILAVPDDDDDQQAMGAKQGMKSVVREQNERGETILHEAVRRADKDMVRQLMSLDSTLALVSSQEDASPLYLAISLGYHDIAQMMYNKSGGQLSCSGPNGQNGLHASVLRSGVGVHYSKYLYAYWKEYNQRPILAHPRKIANLAPCRTGAYRTPTIRQGPPPDARQPVELLPDDRAPCRILVVPQASLVTLSMRVIVPQAPVEGLSNCPYSTGPVKLATLRSPLVNYWLFDRGCIELRQFDKTLILRVSADDL >OB09G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5009945:5015941:1 gene:OB09G13950 transcript:OB09G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQLPVKLPKEEEGNGDPTIPATILVASDREGCQRLKDVLNKEDATTMVMVMPTLLTGNNKQCEEDAKPHLPNLPRMDPRLLMAVRNGDSMALHHLLDNHSEAHPHYIVHMDDGSVPPPRTDMAEEGLDHRACGSITRIMAVPPPPATTEVSKEDADDRCSSYTDGTTLEGLPAYVADVDDGTDPENINKKPIPGFAPSQGSPLDGVTCCERDSALHVVAACGDSEEYLACARIVYSKARHLLNATNKRDETPLHCAAKAGNVGMVSCLVELAKSEDRGAERVRDLLRKINKFNETVLHGAIRNDNKMLVEKLMREDPELVCLPNPRDGTAPLYLAISLRRWHIVFGSELLLASFKQSSYAGPFRRNVFHLAVSRGAALKELLEFCRRESLPFVHLLRQPDQCGCTPLYTAASVDRLDKSFSSMVIRFMIRHLGPRRCILRHMTTEGPTKLLMEAEESALYQPGPHASYPIHMAATRGLKGVLTVLDKFPGCATLSDGSGKTFLHKAVEWRKYDIVAFVCQNNPRFASVLNVQDVDGNTALHLAVYIEDQPIFNCLFENRQVRLDLTNRHGLTVLALAALNLEERTFNFRSNPQAIIFKTLLIVDAPDSDTRFNDDDDTNSDIIDNQEINERMKAEEEKLSRDITTGTQVMGIVSVLVATVTFAAAFALPGGYRADDHTNAGTPTLAGSYAFDALIISIALAFICGLLATSSLLYYGVPMVDFSIRFKYFNASMHLLQSSVKSLSVAFALGLYLAMFPVAHQATITVCVIVSIGLLYGNVEIRQTIMVMKTVSARIGFQGALQLNARTLRLHYAIIKAIFNKFWSFVLIFGLPAIRKINPPK >OB09G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5018925:5019485:-1 gene:OB09G13960 transcript:OB09G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSRGIGDTGHATRVAVVRCIVVAVIATIVLAGLVALIFWLVVRPKPIEQIITTPPNTGSGSATVNATFYLTLDVDNPSTGRGGPVVEEGVGARAGGHRRAASVVDFSPLVPGGAAAAVEGVAARASGVVGWRLQSSLVRETRRRRRVSRRWWAAPSSGLSPRPLVHDCSPGSITKPGVKWYGF >OB09G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5028181:5031378:-1 gene:OB09G13970 transcript:OB09G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQGDSALHVVAASGDSEDFLSCARTIYRTCGRLLDRPNAKGDTPLHSAARAGRARMVRRLLDLARSGAAGGAXXXXXXXXXXXXXXXXXXXXXXXXXPGGGAAGDAAAKAFARRQNKMGETALHDAIRLDSEDMVDALMSADPELARVVPADGDSPLYLAVSLGRRGIAQQLLQRDKALSCAGPDGKNALHAAVHKGKKMTEMILDWNKDLIKQADRSKGSTPLHVAASWGYHDVISLLLRDDPSAAYRPDHDGSFPIHVAAVDGQVKAVKVLLQLDRRKDRNPAARCAGLRDARGRSFLHVAVAEGCQSVVAYACKLGKLEPAGMNHMQDDDGNTALHLAVQASNLWIFNLLMEWRRLELNLTNNKGETPCDVAWIKKPIGLYFRLSPRVKIYNLLKAAGGEFGNHRWDHFQRQHSSTLDEEAEAKKLSESTQTIGIGSVLIATVAFAAAFAPPGDYGDDGAPNLAKHYAFGVFIIADTLAFICAGLSIISLMYAGVAAVDLHTRMSSFVFSAVFMASSARSLGVAFAFGIYVMLAPVARTTAIAACVVTGLALVDVAFFVWVVATGEVMLLKRLGVLRAWWRLPSAILGILLMQFWPYIVIVVVVLYSKMKGVH >OB09G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5031654:5031923:-1 gene:OB09G13980 transcript:OB09G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTAQSERNDGMQPQPELLMAARHGDREQLMRLLVLGRTVALASSQLPADEVVVHVEEEAGCVLPTVEDAEVTPADAVTVGLDSVLHV >OB09G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5034871:5036886:-1 gene:OB09G13990 transcript:OB09G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKPIEPFQSFAGLSGENFTSYRHHSEAEQNDTSTTREYTCAEFNEALRLINQETLPCKDILDEFAMRANITEPCYFTVKLHDICSSFISSVLADGRKYMGERGATVQEANESAARAAIKSILAARNHHMLESVRSYRPTIQGQQSGQTSAHPEVAFAATTADYIPCPPQYMVHTVPFVPHDQTQWRHPGTAAHMAPVLPHEQMQWCHPTAVHMPLVIPHQQMQWHQPPARMPFLPQGEMQWRDPAATHMQFLPADEQISWNSLAAHASSEMWQLPQAMARVNPIVQDGLYNSSVAQDDDMIVEVGSYEEDVALSGTKRKMDQAGEPEGKHARTSQ >OB09G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5044712:5045200:1 gene:OB09G14000 transcript:OB09G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHFLAVAALVGAITALYSAATVAADTPDCPYPCLPPPTAGGVVNSYPPPPPAGTAAAGSSGGGGGLFGGSYPPPPPWGFQMTPPGAFAPPFGGGFPTGPVPPPPNPIPPWFPWYYQHNNPITGSTTTSAAAPERTSTGMATLLVLLPPFLLALLRVVWGF >OB09G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5046684:5048736:1 gene:OB09G14010 transcript:OB09G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVRTGEEEATERRRRRPARPVMPNAIPGHRKPDRKRPGRRRSAGRRGAAAGNDPRRSPDDTRDGAPSTSTTASRTAAC >OB09G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5052820:5061879:-1 gene:OB09G14020 transcript:OB09G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRYTRSGAACGGRGRRGRRPAPGTCSRGGRRRRGMGRTTRRPCGGRRWRSSPPTTAPGRRAVLAMPEGELREVNVHSRCCPQERHALLERLAWAGDDHPRFLSKFKDRIDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANALHLTPNRKQTIPILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGTVPSGLKVSGAITYNGHSMNEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEIDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTYQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPERKGVADFLQEVTSRKDQRQYWIHGDETYQYVPVKEFAEAFQSFHVGRAIRSELEIPFDKTRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMAFIAMTVFIRTNMHRDSITNGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFLTYYVIGFDPNVERLFRQFLVLLVMNETSSGLFRFIAGLARHQVVASTMGSFGILIFMLLGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKTIPGFKEPLGKLVLESRGLFHEAKWYWIGVGALLGYVLLFNILYTICLTFLNPFDSNQPTISEETLKIKQANLTGDIIEASSRGRITTNTNTVDEEAISNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGILTALMGVSGAGKTTLMDVLAGRKTSGYVEGNITISGYPKKQQTFARVSGYCEQNDIHSPNVTVYESLVFSSWLRLPAEVDSATRKMFIDEVMELVELFPLKDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPVGRHSCELIRYFESIEGVNEIKHGYNPSTWMLEVTSTMQEQLTGVNFSEVYKNSELYKRNKSMIKELSSPPEGSSDLSFPTEYTQTFITQCLACLWKQSLSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRKNQQDLFNAMGSMYASVLFMGIQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNMASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHHDFLSVVAVMVVAFAVLFAFLFGLSIKIFNFQKR >OB09G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5070252:5075718:-1 gene:OB09G14030 transcript:OB09G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDLLMELLRREKEENIKPDPEIDMYLKLLLLSIDVPFDKSKSHPAALKTSKYGVSKKELLKANIERGVLLMKRNSFMYMFKAIQLTLVAVNAMTVFIRTNMHHDSIENGRLYMGAQFYGMLMIMFNGLAELGLAVAKLPVFYKQRDLLFYPAWTYALPSCIIRTPISLLNTTIWVFLTYYVIGFDPNIQRFFRQFLVLLVMSEATAGQYRFIAALTRHQVIASTVGSFFILIFMLSSGFILSRDDVKKWWIWSYWMSPLMYAQNALAVNEFLGQSWNKIIDGFREPLGMLVIESRGFFPKAKWYWIGIGALIGYVLLFNILSIICLTFLSLSKSYQSTISQESLKTKQANVLDEDFQESSKEWTMNNTSYAKDDSSPKATTSHATENYVLGRKGMVLPFVSYSITFENIRYSVDMPKALKAQCTTESRLELLKDISGSFRPRVLTALMGVSGAGKTTLLDVLSGRKTNGYIEAIVMRAIRNTVDTGRTVVCTIHQPSIDLFESFDELFLLKRGGEERYVGPLGQHSCELIKYFEAIEGVNKIKDGYNPSTWMLEVTSMVQEQKTRVNFTQVYKNSELYRRNKRLIKELSTPPEGSSDLLFPTEYSQPLITQFLACLWKQRLSYSRNLPYVAVKYFFAIVVALLYGTMFWGVGKKRQNQQALFSAMGSMYSTCLSMGVKNCMSVQPIISVERIVFYRERASHMYSPLPSALGQTLIYAVLVYAMIRYEWTIAKFFWYLFFMYFTLLYYTFYGMMAVGLTPNHSMSTVICTAFFTMWNLFSGFLIPMTRIPIWWRWYYWICPVAWTLNGLVTSQFGDVNDKFDNGVRVSDFVKNYFGYHHDLLWVTAIVVVSFAVLFAFLFGLSLKMFNFQKR >OB09G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5113062:5114601:1 gene:OB09G14040 transcript:OB09G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEGNGGGRLVVTELSHIKELVKQLEGHLGGSPALCKHLTSEIFSVTERSIGMIRSGHFHGHHRKRAAAAAGDLGSATPSPLSDVSDLPFKASKKRKTMEKKKHQIRVSSTGGVENLPVDDGHSWRKYGQKEILGAKHPRGYYRCTHRNSQGCMATKQVQRTDEDATLFDVIYHGDHTCVQKAVAAVAGKPEPEMDAQSRLHDLISTGPTVKTELPPQQGRSWAGRPARAASMT >OB09G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5115633:5117428:-1 gene:OB09G14050 transcript:OB09G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILVISLILLLVLGALFVIPRSNNNGKGKEVQSRNSGMTSRSYTKKEVSTHNTRKDCWIIIKDKVYNVTPYVEEHPGGDEILNNAGGDSTEGFLGPQHGPRVFDIIEDFCIGKLND >OB09G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5124192:5131565:1 gene:OB09G14060 transcript:OB09G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSVSGRTGSATTTRSVNRILALREGVRPQERSDADGQPPHAPLAGGGAQDAIVSTIWPTVVFFFAMTAQMPSTFVEQGKAMDTRVGPVDVPSATLSTFELQWLDVGLVLSALAMAYSTLLEASQRATDAPTSIMWQALPYIMLGAVEVFISVGLIKFYYDQTQDTMKSMCKLLIFVAVQAATLTLPSWWWWWRGCHLVDIPVAKSVRIWQSPKGDLAVTSSTAISSPTMIEVAPPPQPSSRSSYRLPSDLGTSSSSSLLEGTTFQGDSALHVVATGGDDDDNLQSADLIYGKAKHLLEATNNNGDTAIHCAARAANVEMAEAKIRLLRKQNHQHETVLHEAVRRADKALIEELMEEDPELARHPSDATLPLYLAVILLDDLEIATKLQERDRKLSYSGPNGQNALHAAVHRTIEATKMILDWNKDLAGKCDKDGWTPLHFAVSIEPSTKIPYYYKPLFFILHYIDRYGLYMDWLLYSRKTSGDVDSTTLTGMLLDADESSAYQPNDMGSFPIHVAAAEGRYAAINILLNRSPNSATLCNAQGRTFLHVAVEERRYNIIMFVRQRRWLASRIVNMQDNDGNTVLHLAVQAGDLQAVLCLLANPRVEIDCLNNEGLTPLDNSVRLRPEGLHHGSHQQIWIDESLGLANAKHGNPCVDHRQEKCICKKVAQKGDSGTEEDKKDDHQQEDSKTITESTQVMGVCSTLIATVAFAAAFTLPGGYRADDRINGGTPTFVGSYAFGAFVLAITFAFIFSLLATFSLVYSGMAKVDYKIRLGHLNSANTLIWLSIRCLLAAFALGLYVVLAPVATILPWLSASCVLLGCCTGTSLSGHKFSSQCSYMEDLRCGGFWARIYFETLCIHFGPS >OB09G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5135185:5139174:1 gene:OB09G14070 transcript:OB09G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGKTASSSSTASRRRHLLLPLISFAVHLVSHGGLHASSLPFDFDFSNTSTFSLADFETAGIAAFHGGRFDLTANAYNASLFDNVGRVSYAHPVPLRDDATGEVASFTTSFAFVINITDKNNKGDGMAFFLAHFPSTLPPLSYGGPLGPRRRCLPSYGGSLGLCSSCLNASAVAGNDRFVAVEFDTFNDSFDPSLTYDHMGIDVSSLRSVANITLPSFSLNGQMSARVDYNSSTTVMNVELRFDRSPKFSTATPIFNMSAKVNLTAVLPEPVAIGFSAATGRSIELHQLLSWSFSLADPNSRSSRTGKSSSKSNVGLIVALVITSSLSLILCVAVLALVSALRKKTLALAEKEDESQVQSMLMDEEFQKGSGPKRFEFRQLAAATRGFSEEEKLGEGGFGAVYRGFLKELDAHVAIKRVSRASEQGRKEYSSEVKIISKLRHRNLVRLIGWCHEGRELMLVYELMPNGSLDAHLYNSVILLTWPVRFKIVQGLGSALLYLQEEWEQCVLHRDVKPSNIMLDASFGAKLGDFGLARLVDHGRGSHTTNLAGTVGYLDPECLATGRAGPESDVYSFGVVLLEIACGRPPVAPALPDQHGRGTGTVARLLVESVWGMYGRGAVLEAADERLGGDFDSGEVERVMVVGLACAHPNCRMRPSMRQAVSMLQGEAPLPTLPARMPTPKYL >OB09G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5142185:5143354:1 gene:OB09G14080 transcript:OB09G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRAVVVDCDDDDAPCKIFGGGDDRHFTFSVDYEAAMQFDAGTRLRTACRIGEVYRCEASFQFLHKPAPEENRVGLAVLVSGPISHPAGVHRIMVDIVLLGNTKSVAMQPPAVRSKVIPLPAGGDGGCKAACGLLVLTDYLEANCLHDGTMVALFSVSFVEGLPPCLSHDSLGHRLAAMAREQDLTDVCFDVGGERFSAHRTVMAAQSEVFRALLLGPMAESKMATVPIRGISASTFRHMLHYIYCNELPAACSDGGPGHAKGAAAELQRLLVAADMYGLETLKQMCEDTLCAGVGMDTVTSALALTKSGSYPKLRASCIEFLSTTQLFTVATTDELCEVARTYPGVLAEIRDMYNVPPLPKRPCRRSSPEKTPSSTDEDTHNLEE >OB09G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5147260:5148219:1 gene:OB09G14090 transcript:OB09G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrogen fixation S (NIFS)-like 1 [Source:Projected from Arabidopsis thaliana (AT5G65720) TAIR;Acc:AT5G65720] MRFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICREKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRAVVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIEWAQH >OB09G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5149681:5150742:1 gene:OB09G14100 transcript:OB09G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLVLGLLRADSKPMKTFVREGAMWLFCQDNQLVLYIYLLAKLPALWLGTDVSARLALVFY >OB09G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5153611:5155008:1 gene:OB09G14110 transcript:OB09G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPSMPEPAAAMPASSKAGEVRAISSDELRKHAKAGDLWISISGDVYDVTAWVAHHPGGDIPLLTLAGQDATDAFAAYHPPSVRPLLRRFLVGRLEDYAVSPASADFRRLLAQLSSAGLFERVGPTPKVQVAGMAVLLCVAFYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHATLDRLLQVLSGNCLTGLSIAWWKCNHNTHHISCNSLDHDPDLQHMPLFAVSSKLFGLWSYFYERTLVFDAVSKFLISYQHWTFYPVMGFARINLLVQSAVFLFSKRKVPQRPLEIAGVAAFWLWYPMLVSCLPNWWERVAFVAASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDILCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVAPFVRDLCKKHGLPYAAASFWEANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >OB09G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5168450:5169023:1 gene:OB09G14120 transcript:OB09G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVTIKMLGERRHRERYRSSGTLLIGDEGCGCPTQLEAQLCSGCSVPWQLGSCCAALYQDSNRCVVHGDIKPANVMLDASRDAKLGDFGLARLAEHGAELRTTQIIAGTLGYIDPEFVNSRRPSTESDVYSLGGPPLRKLWKLCDRRGQSCRC >OB09G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5175831:5177418:1 gene:OB09G14130 transcript:OB09G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIAIVLLVRLVACPVVGFFLALFLRSRAKRINSSSACAVQNMHGSIESNREEAETHHHAELEIVVAAAGARRYSYDELAAATGDFTEEEKLGQGGFGCVYRGRLPVVAGGNGGGDGQQVAIKFLSDSSSQGRKEFEAEVKIITRLRHRNLVQLLGWCDCPRGLMLVYELVPGGSLDKHIYHTERLLTWPERYKIILGLASVLRYLHEEWEQCIVHGDIKPSNIMLDSSYNVKMGDFGLARLIDHGERWKTTKAVQGTAGYIDPEFVNTQRPSTESDVYSFGVVLLELVSGRKPVDHPVDAPPFMLVKWVQGLYSQNAILDAADGRLRCDGGGVDELAERQMERALVVGLWCAHPEMGERPSITQAMRVLQSDDARLPALSPQMYMAPPGLMSFAVGDQFGVSGSSSSSSGARSLATTSTTTRSSGLFAS >OB09G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5193568:5201896:-1 gene:OB09G14140 transcript:OB09G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAKLTVATADTSRGEIRQQLKALVNKEDTTTMVVALASSREATAAAATAEKLGSATTMVVAMVVSKGEDATRPSSKASMDPLLLSLASRGAAADQASAMAMDLEGVTIDGDTALHVVATCGDSRSYLRSADIIHRKAQRDLLLVQDKNGDTPLHCAARAGRAQMVSHLIHLAQTEEDNNSGGSSSSRLKEQLLRMENNLHETALQDAVRIGNKEIVTKLLESDPELASYPLDGAGISAMYLAVLLNRVDIVKLLHQMSEGNNPSYSGPKGQNALHAAVLRGKEMTELLLNLNKDLTKQADQNGSTPLHFAASLSSIRTADRERMSCTPIIIPVLEADPTQLYQPDSEGLYPVHVAAFSGAQTAVSYFIKERPEIAGFRDSKGRTFLHVAAERDRGCIIVANACSDPSLAWILNLQDNDGNTAMHVAVQHGRVSSFCSLLSNREVNLNIPNNEGQTSLDVSMSTIPGGFLYLLNPDILMLDALIKCNAKMGCRRVDHFQEKEKDERTELEKVSGSTGTLGIGCVLIVTVTFGVIFAVPGGYMADDHYNGGTPALAGSLIFHAFIVANTVAFLLSSLATISLMLSGSPFVSLSLRQSHFLIAMSLAVCSVASLATTFVLGMFLVLAPVALWTAVAICVLTAIASLFCVYSIVHVRLTISKAIKARIGRTYKLLGSAAIISRK >OB09G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5214244:5223623:-1 gene:OB09G14150 transcript:OB09G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLACFAVFFLLTAAPGAVGQKGFLSIDCGLDANSNGYNDTNGVFYVPDGSFVDGGENHVVAADQEGKLDRPYRTLRSFPSGDRNCYALPTVAGAKYLLRVVFFYGNYDGKDSSSTLQFDLYIGVDRWATVKADGKHWYEALFMAWASWAPVCLVKTDPHNTPFVSSVELRTMGSGIYADLKVNESMSLVVRGNTGSSNSVIRYSDDPYDRYWWPVQSGPLWKNISTVSPIKLDPNWPVPLSVMQTAIEAVSNNTKFISKWQDLESDEYRVYLHFADFQNTEIRQLNVTINELEPFLFIPPYLAHTVARNVGWYKSKTTIYSITVGATTASKLPVMINAFEFYTRIPNVNPKTLPRDCKHSPFIYSIPVSNMIYAGMRSVLGIQYQKYNSWYHQQGGPKLKGARGQVAWLRDAWSLDAIMAIKFEYGIKKNWMGDPCFPTALVWEGVGCSNTSGNTMTIISLLESNGNSCIVQSPPEKNGNRAVIAIWVVVPVTTIGALILIYLIWRHKTKANDFSHSWYFL >OB09G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5241966:5244186:1 gene:OB09G14160 transcript:OB09G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQLLLLVPLGCLLLFSNGAPRATSLDFSFNFSSSGDLCGTELQCERDAGMGSGVLDITRAENGAVYNTGRASYSRPLPLWDNATGELASFTSNFTFQLRLINETIYPNCKTGDGMAFFLAHYPSRLPTGSYGSNLGLFNDTSYRAAAGENRVVAVEFDTFYNENWDPSAYHVGIDVNSIISTAYTNVSNNLASNQSVVAAEVSYNNLTGVLSARVGISNGERYKVSTPVDMRASLPEQVSVGFSAGSGWCVELNQVHSWSFSSTLRSRDDPAMAPAPAAKPNATLFTSINSKSPNKDKILVSVLTPLVILVAIAAAGFLFLKRRMTRSSARTDAVDDSDSDDQLERAELERGVAAGGPRRYTYRQLAVATSNFSEEEKLGRGGFGSVYRGDLAVANQPPDRRAVAIKMFSTESSSAQGRKEFEAEVTIISRLKHRNLVQLIGWGGSRQGVPLVYRVILGLGSALRYLHGEWEQCVVHGDIKPSNIMLDASLSTKLGDFGLARLVDHGARWTTTHAVLGTAGYIDPEFVNTRRPSTDSDVYSFGIVLLEIASGRRPVTDTPDGFFMLLKWVWNLYGRSSVLDAVDPRLRRDGDEEDELWQMERVLVVGLWCAHPDRSERPSVAQAMHVLQSDDLTQLPALPRRMYSKMSELAVVGRARQYGSQSVNDSGSGASRSETTDETTHSSG >OB09G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5249839:5252485:1 gene:OB09G14170 transcript:OB09G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRQLLGCLLLLMCASRATSLTFSFNFSSSGNLCGTQLRCERDASMVTDVLDLTKADNQGAVYNGGRASYSRPVPLWDNVTGELASFTSNFTFQIRPINETVYRYCNITGDGMAFFLAQYPSSIPVRSFGQNLGLFNDSNHFNATGDSRVVAVEFDTYENVDWDLSQYHVGIDVNSIVSTAYTNVSENLASNQSIVTAEVSYNNLTGVLSALVRINDDERYNVSAPVDMKASLPEEVSVGFSGGTGRCLELNQVHSWSFSSTLKSKDPAMAPAPAAQPPPTTSSSSKAVIVILLSVLLPAMSLMACAAASLLLWKRHTRESARTDAMDSDSEDQFEREELERGVAAGGPRRYAYRELAAATSGFAEEEKLGRGGFGSVYRGDLAVGGGGEGRRAVAIKMFSTESSSAQGRKEFEAEVTIISRLKHRNLVQLIGWCDSRKGLLLVYELVTEGSLDKHLYSRDRLLSWSERYHIILGLGSALRYLHGEWEQCVVHGDLKPSNIMLDASLSTKLGDFGLARLVDHGARWTTTHAVLGTAGYIDPGIASGRPPATDTPDGFFVLLKWVWNLYGRSSVLDAVDPRLRDGDGGEEDEQGELWRQMERVLVVGLWCAHPDRSERPSVAQAMHVLQSDDVGQLPALPRQMYRTVSALAVTGHAYGAQSSSDTTDGTPLSSE >OB09G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5256655:5258289:-1 gene:OB09G14180 transcript:OB09G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRWCRRRGRRSDKQNCRAPRRKAAPGPAATTTTVDDVPDHLLEDILLRLGPSSACLVRAAYACRRWHRVVTGEGFLDAFRDRHGERHHVAGHYHTVEAHHDSASAVLPGGGTSVFVPSPSLAGVDGGRFSLDFLPENDDGGDSRWEIADSRGGLLLLTKKKRPYAYHAVDDLRFSFSDLIVCEPLTRRYQGILCPADLRGYSCLGVFLLDGDETGGGGISMSNFRVICGLYDRHRCFVGRANGYLYWGIDHGDGAVLVLDECTTEFSFLTFPESIMESYHHRTFRIIAGADGATRVVRVIANELKVFRQLAGSSSRGGGHDESWVLEKLVRLPEATRGLRGHKERYFQQNGAMIVGQNGAMIVAANTAYVLLTPAVKKWLFSVELETMRAERRHERNKYAGAAYPYELPLLRALQAADAATDDRITGRRRRR >OB09G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5262550:5264064:1 gene:OB09G14190 transcript:OB09G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKDRSNKSTKAVARTTKRSPASPTTLGDLPDRILELILLSLPRSPVWLVRAAATCRRLRRVVTSDRFLSWINRPPLPPPVAGHYHYRRRASSSRRPAGRSLTFVPSASAPTSLGVDGRHFSLDFLPGGGSLWEPVDSGGSILLLAKKSTGATRRRRRFFRDLVVCEPVTRRYQRIPPVVENDDDADAAARYDHQHCLGVFLFLHDGDTCSIDMWGRARSSMARYRLICVVYRGYSGMAAGDEAGTIGAYSFDPNQGKRRNHRVRRPRWHDLIEVQPSWAMPKCWSVHIRGTDSIRFVGRAGGSLFWAMRDHNELLVLNEWPSGFGLLGLPADIPVSELQVVVDHGNGNNSEGPPPLVVDRGNLRVVCLDREHVLRVFATRRQRYGGDGEWVLERSLRLAAATTIVGGLAAGPREELGHFRGAAVKVVKASVGSVVLVMPVEETELVFSVDLETMEVARCKDGGVTYPCELPWLPTIRACVTPCARRGEGRCSHICICDDV >OB09G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5267524:5268081:1 gene:OB09G14200 transcript:OB09G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGELLAGGEPIRPPRLEDAGLEDCALPPESIAEAFSLAAKAAASRLAHFSLSDEDDDGLLKMRGGGAGGCVDDSGPTCGTIPDALVGVGGGRGSCADEVVVVGGGGEGGDEVVVGGRGDEEDRIVVVGEELEGKLGSENGCVEGIRQGIDEPDRGEGNGEEGRVEEEVVVAVEKAILVEDFA >OB09G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5269238:5271684:-1 gene:OB09G14210 transcript:OB09G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWMAKQQGSSCPLDLFEMNTDFQPPIPGHRPKKEQKEQHQQDSLESNSREPPCQIAVPVRSQMITTGNCRHFIHGLAKTISLASAAWMFAQAHMSGLHEDF >OB09G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5279283:5283520:1 gene:OB09G14220 transcript:OB09G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWWHAIAALGVAAVAVAADRGFSVTGAAAAAAAVTTPEEMGLLRKVANFLWQTDGNSYHHVWPPMELGCQVVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWMVTVLLIILFLGTSTKAFMKGVETWKKETIIKREAAKRLEQASEEPEYEPLPTGPGAAADTKMPSDEAASLMKNIYWKEFGLLTFVWISFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTLYEALGLMSGKRVLSSKGTEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVAVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKIQQHEYMGFENLCKYEA >OB09G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5284282:5285950:1 gene:OB09G14230 transcript:OB09G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPLEQDHGLYHHWPSRGRAPWPLYHGRDYPGRTLEQRVTREPFGFSRILHINGSNLRPRQENPGLTGAEFEKAMEQLKKQVYMPSDLQKTAAASKTGGRGLSHTKNARSEPIPNNMEEEKACTICLETFLAGEKVVATPCNHIFHQGCITPWVKGHGSCPVCRFMLCERNAVSDNSHSSVGEVEVDLDLLEMMRAMEEVFSRVTFSNFMPYH >OB09G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5284500:5288156:-1 gene:OB09G14240 transcript:OB09G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPMGAEAGKNTTKKIRKPKPWKHPQPITMVQLSKMREEFWDTAPHYGGRKEIWDALRVAAESEVSLAQAIVESAGIIVSNTDLTLCYDERGAKYELPKYVLSEPTNLIQDS >OB09G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5297200:5297493:-1 gene:OB09G14250 transcript:OB09G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKNKAQSHQEQQSPATWHQPPCHPPLSLSLSLSLNSKWKDNVSGRLPEEWMTWLSRQRILTHYFSLWSSLSIYISLFCWKPFSLSLLCDAACTA >OB09G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5297416:5307008:1 gene:OB09G14260 transcript:OB09G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase [Source:Projected from Arabidopsis thaliana (AT1G07650) TAIR;Acc:AT1G07650] MAWRLVPCGWRLLFLVTLGLVLAEVHGSGARAASLPRLFPAEVRTLRRLADKMGISRWNFSVDPCNSGNSTISWGQLNCDCSFYNHTFCHVIGISLAGQNFTGELPPDFAEFPNLLQLDLSRSLLHGGVPDQWAQMKLQGLSLMGNNLSGPFPMALTKITTLTNLSIEGNNFYGPIPPEIGHLMQMEKLVLSTNEFSGPLPAALARLTNLTDLRISGNNFSGRMPGFLDKLTKLIKLQIEGSLLEGPIPSGFSELKNLSDLRISDLRGRGSVFPDLSVLVSMRTIILRNCSISGSIPSYIGNMGNLKHLDLSFNSLTGEIPGSFANLGSVDQIYLTGNSLNGSIPEWILKTNRIADISFNNFTMGSSGPSQCLQGNLVETYSPEMSNLTNVQSCLKRNFPCASSNGQYWCKLHINCGDKELTINGTKYEADVEPKGASLLYLSPASNWAFSSTGNFMDNNISDDSYIATNTSKLTMADSELYARARLSPLSLTYYGLCMHDGSYTVKLHFAEIVFTNDSTFCSLGKRRFNVFIQGRMVLENFDIEQSAGGAAKAVIKTFTTNVINHTLEIRFYWAGRGTTGIPKRGNYGPLISAISVLPNFEVPLAVEPPQNGSSEKLSKISKAFLVAMPILAMLAALFVGTYWIKRRRKSSMHKDLRAFDLQTGSFTLRQIKSATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLSLVYEYMENNCLARALFVEQYRLSLDWQTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLSAKISDFGLAKLNEDDHTHISTRVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALETVSGKSNTNYRPKEDFVYLLDWACVLHERGNLLELVDPDLGSDYSTEEALLLLNVALLCTNAAPTLRPKMSKVVSLLEGNTPLQPFLSDLSLAASSLSSSGLRRNFWQNPSDQRQSMTAQASSSNTNESSSLDIDGILRPSAS >OB09G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5312018:5315561:1 gene:OB09G14270 transcript:OB09G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMVTSCNLLSPMAPRPPPAVLKASSGNGKPAAAAMAVKTKSPRLCVRANNSTGSQANATAASFGIAPFALMHPKFPPTSGNKWRITEDDDYVKVWLHVGEEIDKRRLQVRIEHRVLVVGYTAGAGGQNPPANSLDVRLLLPNLYDTSMVEAELTFGSLLVTIAKLKPKEEDVVRVPITARTIE >OB09G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5317044:5319435:-1 gene:OB09G14280 transcript:OB09G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MALRRVLLFLKPFDVYPPRPLAATSATPPPPPPRVSNPKVLSYLDDRCRVHKETINLCESVLRRKSIECISVQRNDFSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATIDGTRQPSELSRISVKLNGLELPTYALNDILVSHPCPASVSRFSFRKRSSTGQTSHLINCRSSGLRVATSTGSTAAMLSAGGFVMPISSHELQFMIREPISPRDADKPLLHGLVKQGQHILVVWYNEDGAVYFDGSHVMHSIQHGDILEISTDAPILRVILPEILLKQGY >OB09G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5346505:5347090:-1 gene:OB09G14290 transcript:OB09G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTWTAQMDALRVDQAGVAEVVEAALAEDLGAGLEPDGLAELDAVAGEELREDAPERAEHGPPAVDHLQLPVLGERLRVGRQPGGVPAVVAGELAGEVARRLAGQRAEVEHAVGAVPRAARGGHLGLGGGTLAHRDAGLAEERGRRAGAGHHRGGERHGGSGH >OB09G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5346505:5347385:1 gene:OB09G14300 transcript:OB09G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3MWQ1] MAAATMALSSPVMAGTRAASSLFGEARITMRKGTAAKPKVAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OB09G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5350357:5353375:-1 gene:OB09G14310 transcript:OB09G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVFSSEFWRMAVLWTVSILYSYAILFLRGGAPIPRRWRPSPTDATSGRPVCVITGVTSGLGKAAAVALAQEGYHIVLAGRSSELLSETVQEIRNRQPGAYLEVFQVDLSSYKSIKKFETSLNQWIKDSNMERSIQLLVNNAGMLANSYRITEDGFDEMMQANYIGPFALTNILLPLLKSSSTPSRVVNLSSFTHRCVSEINLSENGLRGVRFGHWSGRRNYPLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMVADPGVVETGIMRELPPCLSWFALSVLRLLNLLQQPETGVGAVLDAALAPPEASGKYFFGGKGRTIRSSRLSYDIELAKKLWEESLALFNELQIREHELRAS >OB09G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5355144:5361451:-1 gene:OB09G14320 transcript:OB09G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQMRVSEPADARIRRGLLRIAASQLGRRADSMVLPLEFLQQFKASDFPDPQEYDAWQSRNLKLLEAGLLVHPLVPLNKSDVSAQRLRQIIRGAYDRPLETGKNSESMQVLRSAVMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWVILGINQMLHNLCFAWALFNHFVISGQVDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNASNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRIETYIRSSLRTAFAQRMEEADSKRSSKNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVVTLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVDRNLKQETWNPGANRENIAPSSVEMLRVVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLFVSKAKSGCGTKNTFMPQLPPLTRCEVGSNLLFKKKEKPQNPQYRGNQNGTTNGADPLALPQLCVRLNTLQYMRGELENLEKKIKTGLRNVESAQADVTDGLDIKFDLCQSSCQEGIQQLCETTAYKVTFFDLGHVLWDILYVGDVASNRVEILLRELDPILETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKALKDLFLADGDGLPEELVDKASSQVKNVLPLLRSDSESLIDRFKRMMSESNRSAAKNRLPLPPTTGHWSPNEANTVLRVLCYRYDETATKFLKKTYNLPKKI >OB09G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5370589:5382000:-1 gene:OB09G14330 transcript:OB09G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTGPSEELRVVQVDAVAAGEAQKVCAENEKIARMEKLVKNKNKRVLDDVFCQKLVEEFNCSPGRVGSKALQAAQVQEWFRRKFPASTVKPPCLPTGSEEKALASQSSALVSEEKPPSSEENALAVDTSISNDGEVSPDLPIENIDKLPETEDMEFEARSAKDFACGVSLSTWSGGIPAAGSQRRWSVRFAPSEIARMEKLVKKKNERVLDDVFCQKLVEEFNCSPGRVGSKALQAAQVQEWFRRKFPASTVKPPCLPTGSEEKALASQSSALVSEEKPPSSEENALAVDTSISNDGEVSPDLPIENIDKLPETEDMEFEARSAKDFAWYDVATFLAYRKLSSGEFEVRVRFEGFGAEEDEWINVREAIRLQSIPLESSECRLIRQGDLVLCFKESNDDALHFDAHVQEIQRKQHDIRGCRCVFLVKYDHDGTQERVNLRRLSRRPKYA >OB09G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5390294:5395025:1 gene:OB09G14340 transcript:OB09G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 2 [Source:Projected from Arabidopsis thaliana (AT5G56740) TAIR;Acc:AT5G56740] MALKQKGTDAAAAADPKKRRRVGFSGIDVGVEANECMKVFIARNPDEVGSENSITLQPFDLNHFFGEDGKIYGYKNLKINVWISATSFHAYADISFEETSDGGKGITNLKPVLQNIFGENLVEKDEFSKTFSKECEYISSVVTDGNVIKHDASTDKDSAVEIVRVELQGAAAFLYCRLVPLILLLVEGSTPIDITEHGWEMLLVVKKAEQASSNSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSIAESENIYDVTIEDPSDYLQYIRSSIDCLRLLSFDPIKPALSSMVTSLKETNLSKRTSSLKMVPPSNLAETVRQKLKINKKQFLRCWEILIYLNLDAEYRKSMDNFRACIYDRIKGEILGTSTGTNGKRLVQMPSNFDEETCFAVYWTQEGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLGDSCSVSC >OB09G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5396928:5400697:-1 gene:OB09G14350 transcript:OB09G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >OB09G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5414641:5424486:1 gene:OB09G14360 transcript:OB09G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVCFAVLVLAAVQGVVGQKAGFLSIDCGLEDANSGYKEERTGIVYVSDGPYVDSGENHRVSPEAERGRERRYATLRSFPSGVRNCYSLPTVAGAKYLVRARSFYGNYDGANSSATLQFDLYLGVNYWDTVYADRDEIYELLFAAWASWAPLCLLNTGRGTPFVSFVELRTLGNDLYHPDLTASHFMSLYERGNLGSNTSSITRYPDDPYDRFWWQTRADPTWRNISTTLAIEQSYNYAEPTPIAQTAVEAAAAAGNDTAVLTIERRQDRAEHKFMVLLHFADFQNSKLRQFTIVISDDKPYLYTPAYLKAETIGNDDWYSAQNGMITITLAATNASKLPPMLNAFEIYTLVSQDNATTFPRDFDAIMAIKLEYGIKKNWMGDPCFPEELGWEGVKCSIASGNNTKRIISLFDSDIDMCNPHRPAPRKKANKAATLAISVVVPVIAIAALVLAYLIWRHKTKPSISTAHSPRELEPEISPASRKDHGVALQKIENRRFTYKELENLTDKFERFIGQGGFGLVYYGRLEDGTEVAVKMRSESSSHGLDEFFAEVQSLTMVHHRNLVSLVGYCWEKEHFALVYEYMPGGSLYDHLRGNHGVSGTLDWRTRVRVVIEAAQGLDYLHKGCSLPIIHRDVKTQNILLGHNLQAKIADFGLCKTYLSETQTHISVAPAGSAGYMDPEYYHTGRITESSDVYSFGVVLLEIVTGESPIQPGQGHIIQRVKKKIASGNISLLVDTRLRGAYEVSSIWKVVDTALRCTDDVASQRPTMAAVIVQLKESLALEEAWEYSGFQGSTKTPSETTISTSTFAPSAR >OB09G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5439899:5442831:1 gene:OB09G14370 transcript:OB09G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSVVFMLVTAIHSVVGQEAGFLSIDCGLEANFSGYKADDTGIIYVSDGPYVDTGENRRMSAKEEGPWPRPYRTLRSFPSGVRNCYSLPTVAGAKYLLRLVSIYGDYDGRGSASAAVQFDVHLGANYWVTVSSNPTDGFYEALFVAWASWAPVCLVNTGRGTPFVNALELRALSGELYPAVMANQSITLVERINMGSNITISRYPLDKYDRRWWLMQSSPTWNTLFTASTIQQSATYALPLAIMQTAVEAAVVDGSSSVGKAFQPNTTISGEVGSPGTPVPAPLALVIARPHRAAMEYKAFLHFADFQNSRRRQVSPPYLATDTLHSSFTYKAADGVFQMTLTATSDSMPPPMLNAFELYTVISQGNPMTFPIDCKHSSSSSAI >OB09G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5443498:5446870:1 gene:OB09G14380 transcript:OB09G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIEYGIKKNWMGDPCFPTQFSWDGVKCGNVSGNNTARIISFFDSDGDMCKKIIEPSPTRNKSKMAIILVILVVVPLMAIAVLVLAYMIWRDKRKLKLLTYNMYLWQPISSGDPSREPADENVLASTNNHVDALPKVDNRQFTYKEIEKLTNNLKQFIGQGGFGPVYYGRLEDGTEVAVKMRSDSSAHGLDEFFAEVQSLTKVHHRNLVSLVGYCSEKDHLALVYEYMAQGSLNDHLRGNKHAGEGLNWRTRVRVVIEAGQGLDYLHRGCSLPIIHRDVKSSNILLSQNLQAKLADFGLSKSYLSETQTHISITPAGTAGYMDPEYFYTSRLTESSDVYSFGIVLLEIATGESPILPGLGHIIQRVKNKITAGNISLIADARLGGAYEVNSMWKVLDIALLCTTDIGAQRPTMAAVLAQLKESLALEETRLDNIFSGTTGTSDSTVSSDNFGPLAR >OB09G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5448488:5452671:-1 gene:OB09G14390 transcript:OB09G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPSLGVYQSVLPTPHGVDGQCKYYLSKFRIETTLQVLTPSKRRFSSRSLPKFLVRQSKSKYQSLSQKVLVQNGGVKVYLPLRMPMERDLQGVLIALVNNKNGKKSPTTVVLTAD >OB09G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5453749:5459363:1 gene:OB09G14400 transcript:OB09G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKSRSSREGSGHSRNSRSFPQQPTQPWGGGHGYGQDPQVGNGGYYGAPQPGGYAAPYPAYQQQPPPMPSPSAAQQPARAGGASKPRLDRRYSRIADDYHSVDQPCGVCPPSAALQVFDEMAVKREYDEFVVSADVPPREPELETTLASRKIHGDVLQNVENPRFTYKELDRLNNKFEHFIGQGGFGLVYYGCLEDGTEVAVKMRSESTFHGLDDFFAEVQSLTKVQSWTKVQYRNLVSLVGYCWEKDHLALVYEYMSQGTLYHLRGNNSVRETLNRRTCVRVAVEAAQ >OB09G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5465505:5466904:1 gene:OB09G14410 transcript:OB09G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAGCVTHERNGMTFKIGYMGVDVKRQSICKGHSRIIEGLGNRRNSERINVHKILFDGGMCITSQMQIERRLREPGTLANVVPEACSSRTLMSASQQDQGKQRRSARTREPKRRKRMEKYLPLASGRRRIWKSIPHPIFPLRDYDAIRSQSNRTIIAVDITGGNHGDSNIISLNSQPLQKGRRPADATSRTRTDQNGPNRLDARVLRSPYHFNQSTKSLY >OB09G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5468934:5473180:1 gene:OB09G14420 transcript:OB09G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAYFAVFVLAAAHGAVGQKGFLSIDCGLEDNSGGYKDPTTGVVYVSDGPYVDAGEPHKGAADQGSGLPRAYQTLRSFPSGERNCYTLPTVAGAKYLVRVVSFYGNYDSKDGSSTLQFDLYIGVDRWTTVHADSSWLNEALFVAWASWTPVCLLKTGPASTPFVSSVELRTLASDLYPDLTANDSMCLAARYNMGTNISVIRYPNDTYDQYWWQIRLGDPTLKNLSTVLPIEQAYDFSVPLPVMQTAVETASNKTVFSVALQDKSLPKFMVYLHFADFQNSQLRQFNASGDDQPCQYTPPYLATETVRNTDWYRAPNGLCTITLAPTAASKLPPMLNAFEIYTLISHDSPRTFPKDFDTIMAIKFEYGLKKNWNGNPCFPTELAWDGLKCSITSGNTARITSVDLSNSNLHGAISKNFTLLTALEYLNLSGNRLDGRIPAGPVCKNNAGSLVFSYDGHLCNTAEESTSRNMAVILAPSIVVPVIAIAVLGLGYYFLTRRRKPIISTDHPSIEHEHEHPLASRQNHSVGALQKVENR >OB09G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5491050:5494441:1 gene:OB09G14430 transcript:OB09G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGFLSIDCGLESKYSGYTDTDDGIFYVSDDNYVDGGENHRVSAADEGSVSRPQATLRSFPSGLRNCYALPTKSGSKYLARVHSVYGNYDGKNNSATLQFDVYLGVNYWDTVRPNVVSEVLFVARASWTPVCFVNTDHGTPFVSTLELRLLGSDLYPLVTANKSLSMFQRRSMGSKIRLKRRYESARSRKLDAARMQPMESESDRNCSLIGPEDQLNLAHHLKIFDLLELPARGLLASQCSALEWSKIDSHAYVYNRYYYFQRQQFCVESRINLKAIAGLLDDVLVV >OB09G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5496927:5504957:1 gene:OB09G14440 transcript:OB09G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSAWNNISTESSVKENANYAEPLSVMQTAVESVSTNTTLEVPVWEDQTPAREFILILHFADFQNSQLRQFNITFNNNGRDNYSPPHLATDAFVDRWKAPDGKYTVRCRATAASKLPPMLNAYEFYAIISHDNPMTSPTDWMASEWFDVIQEGIRTTGTTQAAFATPARDLSNSNLHGAISNNFTLFTALQYFLDSNGDPCIPAPPPEKNGNRTLIIAISVVVPVIAIVALVLAYLIWRHKRKPNVSSADLPRELEVNIAPASRKDNGDPLTKVENRRFTYKELEKITNNFVQFIGQGGFGLVYYGRLENGMEVAVKVRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCREKDHLALVYEYMARGSLYDHMRGNNGVRETLNWRTRLRVVIEAAQGLDYLHKGCNLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVNPAGSAGYMDPECYQTGRLTESSDVYSFGVVLLQIVTGESPILPGQGHIIQLVRKKISAGNISLVADARLGDAYDVSSMWKVVDIALSCTADIGVERPTMAAVVIQLKESLVLEEARLDSGFRGSISTMSDTSVPTTTTLSPLAR >OB09G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5504738:5504983:-1 gene:OB09G14450 transcript:OB09G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRQDQLQDHLANGESVVVVGTLVSLIVLMLPLKPLSKRASSNTRLSFSCITTAAMVGRSTPISAVHDNAMSTTFHIELTS >OB09G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5508709:5520488:1 gene:OB09G14460 transcript:OB09G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVIFAVLVLGAVQGAVGQTGFLSIDCGLDATAGYTDPNEGIDYVPDGLYVDAGENHRVAAGQETGRRRSDLTIRSFPSGMRNCYSLSTVAGTKYRDDPYDRFWWWQTGYDPTWKNISSKWTGAGLDTTYAVPLPVMQTAIEGASNDTVIKITRQVDKAQPSFYALLYFADFQNSQLRLFNVSINGRSLDNYVPPYQSTGSVANPVWYNAADGKITVTLAGTSASKLPPILNGFEMYTLLSHDNPRTHTQDFDTIMAIKHEYGIKKNWMGDPCFPAKPGWDGVRCSNASYNIMRILSLNLSGNQLNGPIPDGLCKNKNGSFIVSMDSNGNICIPPPPKKKNKTVILAISALIPVMAIGALVLVFFIWRHKRKPKVSSDQPPQEPELEIAARNIKGHGDVLQKVENRRFTYRELEKLTSKFQQFIGQGGFGLVYYGCLEDGTEVAVKMRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCREKDHLALVYEYMAGGSLYDHLRGNNHVGETLNWRTRVRIVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLSQNLQAKIADFGLCKTFLSDTQTHISVTPAGSAGYMDPEYYHNGRLTESSDVYSFGVVLLEIVTGESPMLPGQGHIIQRVKKKIAAGNINLVADARLDGVYDVSSMWKVVDIALSCIADIGAERPTMATVVVQLKESLALEAARQDSGFRGSTSTVSDTTFSTTTFSPSAR >OB09G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5524326:5542056:-1 gene:OB09G14470 transcript:OB09G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVFISLLVLEAAVSAVGQPGFISIDCGLEGEDNYMDSPTGINYVSDGVYVETGENHKVTAVYRNFWGQSYRTLKTVRSFPSGGRNCYTLPTDTGEEYLVRLEFLYGNYDGKDSSLLKFNITLGVNDWETVDIHSTDPYSNEGYTMHEAVFVAWASYTMVCLVDIGQGTPFVSTVELRQLGSMHYPMIMGNQPISMYQRMNLGALVYGIIRTCGDTNTVGKAFILVGRAYQESHRALQKDPLYCDSGVASAGGGVRVARPVPAVGGLTHPLLGPSYPLVKGGSAYPLDGLAHQSIKDKEMSWILHSPLVYVPHASTELKINSRSLACIPYSGTRKYPDDQYDRYWFAQQTADVVKQDNISTQSTIDPGDLFVVPSAVLQTAFVPAGNDTKLVYPMPDEVLLRDHFIILHFADFQNRSSREFTVYVDSGAQIGPFSAPYQKGFSVTTNWSSNTEGGGNFTLAATARSTLPPILNAYEVYRRISHDNPTTFSEDFDAIMAIKYEYGIRKNWMGDPCFPPEFLWSGVECSNPRDKTMRIISLDLSNSGLHGSISNKFILLTALKYLNLSCNQLNGTIPDSLLKNNRSIVISYESDRDMCKKPVTLSPSSKRVTTIAVSAVAPVLVVAILVLAYLIWRAKRKHNVSANDPSMVPELMGTPRHRTNHWDHLQKN >OB09G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5554967:5558128:1 gene:OB09G14480 transcript:OB09G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMARGSLYDHLRGNHGVTETLNWKTRVRIAVEAAQGLDYLHKGCSLPIIHRDVKTQNILLSRNLQAKIADFGLSRTYLSDTQTHISISPAGSAGYMDPEYYQTGRLTESSDVYSFGVVLLEIATGESPILPGHGHIIQRVKKKIDAGNIHMVADTRLRGAYEVSSMWKVVDIALRCTADVAVQRPTMAAVVVQLKESLALEEPHANSDIRRTVGTTSETSITTSTFGPSAR >OB09G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5562309:5569562:1 gene:OB09G14490 transcript:OB09G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALFAAFLLTAAHQAVGEAPADFLSIDCGLEANYSGYRDANTGIVYVSDGPYVDSGENHRISDEKAKTRSTNLQTVRSFPSGVRNCYTLPTRTGTKYLVRLSFVYGNYDGKGDGSSSTLRFDLHLGPQFWTTVDRPSDHVDEAVFVAWASWAPVCLINTGSGTPFMSTVELRPLGDALYPTVMANQSMSRYVRCSLGETKKFITRYPDDPYDRYWWQMWYSDPTWKNISTVSTVKPDSIFAVPSAVMQTAVEAISNDTTINITWVDKTPGGRNIKLLLHFADFQNSRLRQFNASLNDGQPFQYSPPYLAADALSNTGWWTASDGHYSIRLVPTAASKLPPMINALEMYTLISHDSPATLPADFESIMAIKLEYGIKKNWMGDPCFPVKFAWEGVKCRTASNNISRIISLDLSNSNLSGVISNNFTLLTALEYLNLSCNQLKGPIPDSLQKNNTGSFNFSFDSDGYMCNKTIIVPSPPVNQRNKRAIIAISVVVPVTVIAALVVAFFIWRQKTRTSCMYALHHPELENIPAERSKSRGDVLQKVENRQFSFNELEKFTNKFERLIGQGGFGPVYYGRLEDNIEVAVKMHSESSSHGIDEFFTEVQNLTKVHHKNLVSLVGYCLEKDHLALVYEYMVQGSLFDHLRESNYLMKFLPCSCKFYRYYRTGRLTESSDVYSFGIVLLEIATGESPILPGQGHIVQRVKERIAIDPSDIRHHRLVSDKRLKGAYDIISMLKVVNTALLCTADVAAERPTMASVVLKLKESLALEEARENSGLRKSIGTGW >OB09G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5571298:5577166:1 gene:OB09G14500 transcript:OB09G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRSQLVPMAPIVLFAAFVLHAAIHAAAQPPADFLSIDCGLEANYSGYKDPTTGIVYVSDEPYANSGENHRIAADQESKWSGATNLRTLRSFPSGVRNCYALPTHAGTRYLVRLSFLHGSYDGNAGWSTLRFDLYLGANRWATVDKDYAHEAVFVARASWSPVCLVNTGSGTPFVSLVELRPLDAALYPSVMANQSMARYIRCSFADNKKFITRYPDDQYDRYWWQLGYTSPTWKNLSTVSAIKQDPTYTVPLTIIQTAIEAVGNNTTLNITWQDTTPGGRGLKFFMYFADFQNSQLRQFNVSFNDVEPYQYSPPYLSTSVLYNTGWSTAGDGVYNISLVPTAASKLPPMINALEIYTLISLDSPTTSQEDFSTVEPSRHQPKHDDTLQTGQDHGDVLQIVENRQFTYKELEKITNKFERPIGKGGFGPVYYGHLEDKTEVAVKMRSESSSHGLDEFFAEVRNLTMVHHRNLVSLVGYCWENDHLALVYEYMAKGSICDRLRGNNNAVSETLNWRTRVRIMVEAAQGLDYLHKGCNLPIIHRDVKTNNILLGQNLQAKIADFGLSKTYLSETQTHITITPAGTAGYIDPEYYQTGRLTESSDVYSFGIVMLEIATGESPIIQGEGHIVQRVKRKIVAGNIHLIADARLGGAYDVSSMWKVVDTALLCTADVVAQRPTMSIVVAQLRECLALEEARGDSGLMGSSSTASDNLFPTSTFAPVAR >OB09G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5582640:5588973:-1 gene:OB09G14510 transcript:OB09G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVLLALFLLEAGVTAADQTGFLSIDCGLDDEDDTYTDDLAGGITYVADGVYVDGGNNSKVTTVYQKDWQGPRYKTLYTLRSFPAATADGGGRNCYTLPTNDVGGKYNVRLEFLYGNYDNLDSASLRFNLTLGVNHWDTVNLDTGDDNYGYQAYAAVFVAWASWVPVCLVNIGQGTPFVSTVELRPLGDLPYPVMANQSLSLFERRSMRWSADDNIVRYPDDEYDRYWYAWELEEDDDASNISTPSTISPSSQFEVPSRVLETAFVPAAAGNSRELILHSKRAEVPPRDHLVILHFADFQNNKSRQFTASIDDGAQSSPISPRYLDGSSITIWSMDAEGFSVKLTATAMSDLPPMLNAYEVYGRIIHDNPMTSPQDFDAIMAIKHEYGIKKNWIGDPCFPENFAWHGVGCSKVTDDKTMRIISLDLSNSELHGLISNNFTLLTALKYLNLSCNQLNGTVPDSLRKSNGSIIFSYESDGDMCKKTITSSPSRNGKAKLAASVVAPVIVVAILVVAYLIWRTKRKPHHATDDSTMVAQMMVAPPGHWTSHWDHLERPENRRFTYEELEKFTGNFKHLIGHGGFGNVYYGRLEDNTEVAVKMRSESSSHGLDEFLAEVQNLTKVYHRNLVFLLGYCWEKDHLALVYEYMCSGNLCDYLRGKSGIARTMKWATRVRVLLEAAQGLDYLHKGCTLPIIHGDVKTHNILLDQNLKAKIADFGLSKTYHSDSQTHVSTTAAGSMGYIDPEYYVTGRLTEGSDIYSFGVVLLEVTTGEPPIIPGNDHIIQRVMQKMVTGNISSVVDARLGDSFNVNSIWKVLDTAIMCTADIASQRPTMATVVTQLKEGLALEEAHGDLGDMENVARDNISSMSMLGPSAR >OB09G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5597696:5598555:-1 gene:OB09G14520 transcript:OB09G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDKSGIARTMKWATRVRVLLEAAQGMLQYYITGRLTKSNDMYSFSGSFIGDIASQRPTMATVLTQLHEGLELEEGHWDLGDMENVTRDVHAWTISKTKIKPFIPHCRA >OB09G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5602297:5609847:-1 gene:OB09G14530 transcript:OB09G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAFATGIVVVVLGVAVRAAGQLPPGYRTDPYGGSGTVGMKRYIVLDRIEFNTSGLYAEITGLYHIWIGVEGGTTDRNCYTLLTNDVGGKYIVQLEFLHGNYDNLGSTSVRFNLMLGVNHWDIVNLDTDDDDYGYRAYTAVFVAWASWAPVCLINIGQGTPFVSTVELRPLENLPYPVMANQSLSLFERRSMRWSADNDIVRYPDDQYDRYWYAWDLKEDDQASNISTQSPISASQFAVPPRVLETAFVPAVGNSNELILRSKRAEILPRDHLVILHFAVFQNNKSRQFAASVDDGTQSSPISPGYLSGSSITIWSSDSAGFSVKLTATAMSDLSPILNAYEVYGLIIHDNPTTSPQDFDAIMAIKHEYGIKKNWMGDPCFPNNFASDGWCRMQQMSLMIKL >OB09G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5615035:5623544:-1 gene:OB09G14540 transcript:OB09G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSALSLAVFIVLVHTCAQQASPVQDFISIDCGLPSGSSYVDEKTNITYISDDQYIATGENHNISSEHQGSEQFRSGLNLRSFPTGGRNCYTLHPATKGQKYLIRGTFMHGNYDNKGQNLVNSPLLFEIRIGLNFWNQVNVTSATMTYTSEAIILATVNSVSVCLIDNDKGTPFISSLEMRPMKSSNYPAVTPNQPLFLHDRRSMGSANTIRYPDDPYDRLWFPSQNTSGQWVKLSTESMVRRYPDDVYEVPVAVLKTAATTSSNSTALTLLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYRPPFLLANYWNSSSPTVSDNGVSYNLSLVATNASVLPPMLNAIEIYYQVQQDEKMTSAEDVDAMMTIKVNYQVKKNWMGDPCLPEKDLSVSDLQGAISEQFSMLRSLEYLNLSRNGLTGSVPESLTNLPNILVLDLSGNHLNGTFPGALCRNRALTLRYDTANGDPCSSRSSKKKNKTVIAVAVVIPVVVVVLLVSATFMFIFCRKQGIVKSTGQEHCAHMHIPDNCEGGFGPVFQGQLQDGTQLAVKMRSSTSIPGKGMPEFLAEVESLTTVHHRYLVLLVGYCSDKDHLALVYEYMPNGSLYDHLRGKNAIVQILSWQHRARIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGYCRSGRLTISSDVFSFGVVLLEMVTGEPPIIPTSGHIVQRVKEKVSMGNIESIADPRLHGEFDVSSMRKVVDTALMCTREASAERPTMSMVVAQLKDAFALEQARLSCSISDISQGGANAELSINSMPTAR >OB09G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5621908:5622534:-1 gene:OB09G14550 transcript:OB09G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSSGTHFHTSAVQDFISIDCGLPSGSSYVDEKTNITYISDDQYIATGENHNISSEHQGSEQFRSGLNLRSFPTGGRNCYTLHPATKGQKYLIRGTFMHGNYDNKGQNLVNSPLLFEIRIGLNFWNQVNVTSATMTYTSEAIILATVNSVSVCLIDNDKGTPFISSLEMRPMKSSNYPAVTPNQPLFLHDRRSMGSANTIRCSIITS >OB09G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5629618:5638444:1 gene:OB09G14560 transcript:OB09G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVGQPGYLSIDCGLDANSSYQDDNKIIYVGDDGYVDGGENHKVSPAYLSKFQRPHTTLRSFPTGKRNCYTLPTTKFSKYLLRLVFVYGDYDGKKSSAAAAAPQFDLYLGLSRWATVHDTGGGGEVHEAMFVAWASWAPVCLVSTGGGTPFVSSVELRPLADSMYPGVMTNQSLVMYRRYNMAANVFIRYPDDLYDRYWWPMQQGDPTWANLSTTSAIRTGTTFAVPSSVLQTAVAAAAPAPAGNSSSSSASALSVKTWQDTSARSYAVFLHFADFQSSRLRQFDAYPDAGQVVYNFTPSYLAASTVYSPLFRAAGGVYNITLAATAKSALPPMLNAFEIYYLLTYDGMATFSNDFDAIMAIKLEYGIKKNWMGDPCLPLEFAWSGVTCSNISGGNNMRIISLDLSNSNLSGVISNKFTQLTALEKFYGSDGNTCNKIIISRNRTAIIAVSVVVPVLVVIVLVIAYFIWRVRRKPNNSSYVPPPVPDTKISPEIPAVDVEPLPISESRQFTYEELKKFTNNFSRFIGKGGFGSVYYGCLENSTEIAVKVLSEFSANGLGQFLAEVQNLTKVHHRNLVSLVGYCWEKDHLALAYEYMAGGNLCDYLKVFLYEGRIGVNWATRVRIVLEAAQGLEYLHKGCNLPIIHGDMKTNNVLLGENLKAKIADFGLSRTYVSETQTHISTINAGGTIGYIDPEYYRTGRLTESSDVYSFGVVLLEVVTGEPPILPGRGHITKWVKESVASGNINLVADARLKDSYDISSMWKVVDTAMQCTTQVAAQRPTMSTVVLHLKDSLALEEAHDDRHVTASSVGDAIELVSTFGPSARMWYFFDGSLLQGYNHSIHSGKMSVGVAGC >OB09G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5639784:5641505:-1 gene:OB09G14570 transcript:OB09G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKVLNRELITEAAAIAFADPHHIPERYARADDAPAGGAAAAAVVGDDESYVRPGGDMARLLDPEHRAVEIACLGSACRSWGFFQLINHGADDAVIQQMKDDTVQFFELPVEEKKAVAVRPGGGIEGFGHHFNRSSTDKLDWAESLIVETQPIQRRNLQFWPSNPPTFRDSIDKYAMEMRNLATRLLGFMACDLGVEQGTLLAAFAGKRQSMVLHRYPPCRRPEEVVGIAPHSDGFGLTLLLQVDDTPGLQVMLGDGRWLPVRPLPGALVVNVGEILEVLTNGAYRSAFHRVVVDAERGRTTVVVFQDASVGGVVGPLPELGEPRYRAIGRSQYGKGHATEILGSGERFIDTLRTIR >OB09G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5651612:5652745:-1 gene:OB09G14580 transcript:OB09G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSSHARVSMEATIPGLVPAPTHQARRMLSDLFPAHGTTPPQHHLQSSSAQRRVMSMAPTLQRWRVNGGTAVESLPLNQVRSNGLVISTFLVTIVRHQQRLRYSNTGTIPLVTPTSAPPTTQLPMVQNTMVGTMSPVTSQRTIDHRTLNDIISPVAVHGNGNPLACIFCARGFALRSSEILRLLPPRVFSYPEPIRPPPLPVMLPLAPVGHTSLTTGMCSDPHHFFLTMQHMPRQVLANLNWTSQIGNIHNVVPTHIGGQHVGMALSSAGFTGINVLPTLNLTHMPVIHREQPAPPLIMSSSSTSLEDISSAIMPPMLNMMRMQAIGREQHVPPSTTPSLSSSGLHCDYVTPEHEDMVCLTLGRSCTMDLHLGL >OB09G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5654910:5660717:1 gene:OB09G14590 transcript:OB09G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Bystin (InterPro:IPR007955); Has 475 Blast hits to 467 proteins in 210 species: Archae - 0; Bacteria - 9; Metazoa - 155; Fungi - 139; Plants - 55; Viruses - 0; Other Eukaryotes - 117 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G31660) TAIR;Acc:AT1G31660] MGSTSVSISTNVTLVEAYHLWQMMEAIPNDNLDCHCEGYKFTHTIWVWIKCIKWRQKQQRLPLGADADAVADAAKRRRSGASKKHQAEDEAAIPSSLSAKILREALSQQQEESLADQRPSAAAATPSASFSFPVPNKDGEDDDGEDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSTRTLGDIILEKIRQKDAEVSTEGRAPVKLDSSIIELYKGVGEFLSRYTSGKIPKAFKRIPSLECWADVLQLTEPENWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHASAALMKLAEMEYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERVMPVIWHQSLLAFVERYKNELEKKDKERLARLFDHQKHYLVTPEIRRELRMSCNRGEKDTNMSICSPVSVITKPIEEDRWNIPEVPMED >OB09G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5662379:5662657:1 gene:OB09G14600 transcript:OB09G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAHQQKQTLMQPSSDQRAAAPVTQPPKQEQEETATKPEAAHKKAPVTVVVDAGEEEDDGSADAGRRCVCSAAGQPAGHFRCVCAEAAPP >OB09G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5688452:5688766:1 gene:OB09G14610 transcript:OB09G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNYDGKGHGLVSSPLTFDISMGLYFWDRISVSDTAKTYVAEVILVAEVNSISVCLMDISNGTPFISSLEMRLIKSSLYPAAMANQSIALQERQSMGASSLLR >OB09G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5690160:5699922:1 gene:OB09G14620 transcript:OB09G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTEFQKPHEHQFNTYYNGYFWWTNNNPVIPSYLLAGYKYATSQYTSDSGFYNMSLVATNTSILPPILGAFEIYYLVKHDNAVTSLEDVDAMMTIKTEYQVKKNWMGDPCLPENYIWTGLKCQSDGITSGVISLDLSHSNLQGEVSDHFSLLKSLQHMYDTMNGDPCNGKSPKKRNTVVLSVAIVAPVLVVALLVPTLLVCYLRRNQDTTSKGDCEDRIHISDGREFTYKEVVEMTNNFSVCIGEGGYGPVFHGRLKEGTQVAVKMHSATSTTGKGMAEFLAEVKSLTTVHHRYLVLLVGYCSNKNHLALIYEYMPNGSLYDHIRGKNAIVKILPWRDRARIALEAAQGLDYLHTGCVLPIIHRDLKSQNILLGHDMVVKISDFGLSRTYLNAAQSHISVTAAGTLGYIDPEYCLSGRLTVSSDVFSFGVVLLDIVRRVKEKVAMGNIEAIADPRLGGEYDPNSIWKVVDIALLCTKETSQERPRVVAELKVATALEEAHAISGSISDVSQGGANFDLSISSLLSAR >OB09G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5700539:5701399:1 gene:OB09G14630 transcript:OB09G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLLSHLCPATAGDTTQRPGKTVAGDDRSRELKDVPAKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLAPSPLHGCICRCGEREWKERKEKRERSREREKERRMTRGAQLSWTRKMERLL >OB09G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5705373:5713565:-1 gene:OB09G14640 transcript:OB09G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSMRMSARRSMGPTTSRVTSFPADQYDRYWWAMPSEATWANLSTTLAITEGSRFPVPSAILQKAVTVAGNGTTLSVIWGGDQRMLRQFMAFLHFADFQDSQLREFNAYFNSDGPTRVTPQYRTGTRLYTADWYSATSGVVNITLAATARSALPPMLNAFEIYTPIVHDTPATFSKYFDAIMAIKYEYGIKKNWMGDPCFPNQYAWEGVKCRNTSDNVQRIISIDISNSNLYGVVSGNFTLLTALESLNLSGNQLNGPIPDSLCKNNGGKFILRSSLDIGNRQFTYEELKELTNNFQRLIGRGGFGNVYYGRLENTSEVAVKIRSEYSKQGLHQFLAEVNNLTKVHHRNLVSLVGYCWEKDDHLALVYEYMSGGSLWDHLRGKTGVGDTLNWATRLRIVLEAAQGLDYLHKGCNLPIIHRDVKTNNILLSQNLKAKLADFGLSKTYMSDTQTHISTKNAAGTPGYMDPEYQMTGKLTESSDVYSFGIILLEVATGEAPILSDDDHTHITQHIKNNITSGNISLIVDGRLKDSYDVSSMWKVVDTAMMCTTYDAS >OB09G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5721597:5732159:-1 gene:OB09G14650 transcript:OB09G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVFFAAFALSTATHAVGQTGFLSIDCGLERNYSGYKDNDNGLSYVSDGPYVDAGENHKLAAGQETDRVRTDLTVRSFPPGLLRNCYSLPTIAGTKYLVRVVSFYGNYDGTNSSSTLQFDLHLGANYWDTVRADGDQVYEAVFVAWASWAPVCLVNTGQGTPFVSSVELRPLGSDFYPTGMANQSMRMSARRSMGPTTSRVTSFPADQYDRYWWAMPSEATWANLSTTLAITEDSFQVSSAILQKAVTVAGNGTTLSVIWGGDQRMLRQFMAFLHFADFQDSQLREFDVYFNSDSPTRFTPLYLSGNVLYNTDWYNAANGVVNITLAATARSALPPMLNAFEIYTPIVHDTPVTFSKDFDAIMAIKHEYGVKKNWMGDPCFPNQYAWEGVKCRNTSDNVQRIISIDMSNSNLNGVVSSNFALLTALESLNLSGNQLNGPIPDSLCKNNGGQFILSYGSGGDICNKTINPSGSKNRNAIIATSVVAPVLAVAVLGLLYLIWRVKRKPNCAYALNLYAPLTDLKNSSASTENHLLDTENRRFTYEELQEVTNNFQRLIGRGGFGNVYYGRLENTSEVAVKIRSEYSKQGLHQFLAEVMNLTKVHHRNLVSLVGYCWEKDHLALVYEYMSGGNLCDHLRGKTGVGDTLNWATRLRIVLEAAQGLDYLHKGCNLPIIHRDVKTNNILLSQNLKAKLADFGLSKTYMSDTQTHISTENAAGTPGYMDPEYQLTGKLTESSDVYSFGIVLLEVATGEPPVLSDDDHTHITQHVKNNITSGNISLIADERLKDSYDVSSMWKVVDTAMMCTTYDASRRPTMSTVVIQLKECLALEEAHVDKDVSASSTHDDLHHIPSKVGPLAR >OB09G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5734412:5734850:1 gene:OB09G14660 transcript:OB09G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMLVHELSDKSDVYSYGMTLLELVGGRKNYNPSPADDSSPATPDLSRDFFPNIVREKMERGRVMEAVDAAMAAAREDEKAVEAVVAVALRCIQHRRETRPSMQTVVDMLLAAVPPPPPPPENRRPSSAAAAPLSTSLTHGR >OB09G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5738453:5740376:1 gene:OB09G14670 transcript:OB09G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRLDAICSKYDKYDADKHRADAAGGDPFSRLYAAVDAEIDVAMEKSERAATERNRAAAGALHADVRRTKARLMEEAVKLRKLAAKKVKGLSPEEAELRRDLVSALPHRIQSIPDGGGGGGGGAAVGQNGGGNVRPGIKFDSSAEDLDEGYFQTSEESEEFRREYEMRRTKQDEGLEFISEGLDTLKNLAEDMNEELDRQVPLMDEIDNKVDKANVDLRKTNVRLKETVNQFRSTRNFIIDIILICVILGIAGYLYDILNQ >OB09G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5740673:5744282:-1 gene:OB09G14680 transcript:OB09G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEENSAAAAGAEGEGEGGEEVIGNDKTSADYYFDSYSHFGIHEEMLKDVVRTKSYQNVITQNSFLFKDKVVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKANGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVITICEGETLTGSMTVTPNKKNPRDIDIKLKYTLNGHRCQVSRTQHYKMR >OB09G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5749286:5753462:1 gene:OB09G14690 transcript:OB09G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G14000) TAIR;Acc:AT4G14000] MEETDAKNAAAAASSPLFSFSSPNASFGFGFGFGASSGPPPPPPPPPVEVLLSEESPVTAGELEPVVVDDSLSIYKGRASTSDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSDIKEGRLLIEHKHVLELGCGHGLPGIFAGLKGAGLVHFQDFNAEVLRCLTIPNVKANLLKESSEEKITSMSVGFFAGDWSEIDSLLLRADADQDKSTNSHENNKAYNGYDIILMAETVYAVSSLPNLYRLIKKAYCCTLTKNLLQCLHYPGGIVYMAGKKHYFGVGGGTRQFVRLVTEDGAMQSDLLAEVADGSSNVREIKSLMPCCHAMQPGRFSSKYKWPQGRGLPALNGEPASPCFLHVKKLKWRRITSVLLPRKVAELSSKIRHAGSTMAAADICPTIIFASQWGLPVLSRPLLAGSKTRYRHGKGF >OB09G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5757559:5762444:1 gene:OB09G14700 transcript:OB09G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGGQKLSFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGSDNASASPRSQHASQSTQATVHIPNTMNQQPSPSLFALGNTGLVQSKNSAVFSSALSSPVRRSLQPFHLEQGGDAGYFANGVNRDQNSTASNDSSMDMHSDSPAHDSY >OB09G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5767465:5767617:-1 gene:OB09G14710 transcript:OB09G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKARNLSVGARCRCRDGGVDLEFNAVVHSKEVKELRNDEWDYPTGCYR >OB09G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5774238:5777891:-1 gene:OB09G14720 transcript:OB09G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTMSSSLPTAMASLATSLRALPAHALVPLVASALLFLAAVARRGLRRRRPVYLLNYSCHLPDVERKVNLEVCEYFGQRCRHYSDDTADFMRLIYRKSGLGQETYAPPFIFSGEFQKTQAFAVQEAEEGLFATVAHLLAKSDVHPRDIGVVVVACSMFSPAPSLSSMILRRFKMSPDTKTYSLAGMGCSAGTVGIDMAARAPRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNQAHAGTQQHLPRRQRGGARHRRPGAPRRRQVRAGAHAAHAPRRRRRRLQRRRADGGRGGQRRRRAHQGPRARRRRRPPAAHRHARATRAPRLRAAQVRVAGGAAVRRREPEGGGGGGAGLPARVRAHVHPLRREGGDRRGGEADGVWAARRGAGPRHAAPVRQHLQQPRLLRARLLRGPAPRPRRRPPLDARL >OB09G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5801680:5805577:1 gene:OB09G14730 transcript:OB09G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPPPPRSKVDTSTSSASTHGEKSTENGSRNQPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFGFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEVLKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRNHGLKVQGSFARNSQQPMRSLSDGPRASPFRYSPKPNVK >OB09G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5809235:5812715:1 gene:OB09G14740 transcript:OB09G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTPKQQGRSSLASPPPVLLAPAKPFGFFVCFSRRYGLGKFGYRSRQGMGQCLGLVQIDQSNVAIKETFGKFSGVLEPGCHCLPWCFGQQIAGYLSLRVKQLDVRCDTKTKDNVFVTVVASVQYRALADKACDAFYKLSNTKGQIQSYVFDVIRATVPKLNLDDAFEQKNDIAKAVEDELEKAMSTYGYEIVQTLIIDIEPDVHVKRAMNEINAAARLRVAANEKAEAEKILQIKKAEGEAESKYLAGVGVARQRQAIVDGLRDSVLAFSENVPGTSAKDIMDMVLVTQYFDTMKEIGANSKSSSVFIPHGPGAVRDVAAQIRDGLLQANAQQ >OB09G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5813509:5815882:-1 gene:OB09G14750 transcript:OB09G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITLRSVADGLNSTPPAGCAEIPRRGGGDGAAAAQGAGGGGGGGGGGGGGGGAACXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVVGAEAAALRAPADVIARVFSQLDCVDLLSCSLVCRQWYRDSAELREEWRKEYMDAWNQFGLHVKLEPQPPCPNCSRSIKTLRSLCS >OB09G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5820661:5822234:-1 gene:OB09G14760 transcript:OB09G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNPGVSSSTVTVSHTFESEFAFTSTVMFLFFICDWISPKIRSAFFVLSTYDAIGGVGGEGELVVGLVVPVQQIPGLLADLGVEPWPDHLLPRSSSTRRDA >OB09G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5820657:5824203:1 gene:OB09G14770 transcript:OB09G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVVTTVTVDELTPGLKSILSFAVPDQRSGKFELQYLHDYAGVSASIGLTANPVVNLSSVFGTKALAVGADVSLDTATGNLTKYNAGLSFTNDDLIASLNLNNKGDSLTASYYHIVNHSATAVGAELTHSFSSNENSLTFGTQHTLDPLTVVKARFNNSGKASALLQHEWRPKSLWTISAEVDTKAIEKSSKVGIAVALKP >OB09G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5833244:5835236:1 gene:OB09G14780 transcript:OB09G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTTTEDFQIGQELLLSSKEDNEFTIVRDSIKKKLEMICDDVVVHPSKALRKLPRVQHLSAQLAARIRNEGDEFDILNTLHPSPAVCGLPTEEARQFIQDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLLQYQEQHICLQEAENMGRVI >OB09G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5838440:5838709:-1 gene:OB09G14790 transcript:OB09G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKNSSTRKPSAPSSSSSSAAADGDRSSPSPWLRLTAFAVLTAHSVFSAYLAGDDLRLVALVAVGYLLMLVLLFYGLAQPVPQKRD >OB09G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5839600:5842616:-1 gene:OB09G14800 transcript:OB09G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitochondrial distribution/morphology family 35/apoptosis (InterPro:IPR007918); Has 214 Blast hits to 214 proteins in 102 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 69; Plants - 29; Viruses - 0; Other Eukar /.../- 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33100) TAIR;Acc:AT4G33100] MVFGRSKSSSTATPAASAASSAAAAACSELRAAYHECFNRWYAEKFAKGQWHKDDCVGEWHKYRACLEEHLEDKHLRQILLESETPAYYAQFDADSSARKGGTSTK >OB09G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5852674:5852931:1 gene:OB09G14810 transcript:OB09G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWDPQAYDSPPCATYPRWKQSSRSPGNVVELLTHGSTSRAIGVGGCRQLVCHCLLFVRSKGDDYDEIDRTEQGYLCFPYLTKE >OB09G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5855717:5863631:1 gene:OB09G14820 transcript:OB09G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3MWV3] MAGSADQFRGQARLPRFXXXXRYELRLRPDLVACTFAGVASVAVDVSAPTRFLVLNSADLAVDRASIRFRGLAPAEVYLFEDDEILVLEFDGELPLGQGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETTAGPIKTVHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSKQGKFALDVGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPEWNIWTQFLDSTTSALKLDSLSESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEDVSGEPVKDLMTTWTKQQGYPVVTVKLNGHNLEVKQDQFLLDGSSGSSIWIVPITLGCCSHDKQKRFLLKHKHDSIKDIDSQCSGQQKGENFWIKLNMDETGFYRVKYDNELTAALRKAIQAKKLSLMDEIGIVEDAHALSIACKQTLSSLLHLLYAYREAADYSVLSHINSVTSSVAKISVDATPDLAGDIKQLFIKLLLPTAEKLGWDPKDSESHLDVMLRPVLLVALVQLGHDKTISEGARRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSSNRSGYDALRRIYNESAEGEEKLIVLGTLSSSKDKDIVLESLNLMFTNEVRNQDAYRLLVGIIPEARETAWSWLKGNWDRISETFAASSLIADFIKYTVTLFTSKEKEVEISQFFATRTKPGFERTLKQSLEKVLINARWIKGIRGENELAQTVHELLNKL >OB09G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5864726:5872721:1 gene:OB09G14830 transcript:OB09G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3MWV4] MVLEFGGELPVGEGVLGMNFDGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPTELVALSNMPIANETIAGPIKTVEYEESPLMSTYLVVIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEKSSSAATKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPQWNIWTQFLDSTTSALKLDSLSESHPIEVEIHHASEVDAIFDSISYDKGASVIRMLQSYLGAERFQTALASYIKKYAYSNAKTEDLWVVLEEVSGEPVKDLMTTWTKKQGYPVVSVKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGFYSHDIQKRFLLKQKLDDIKGINSQYDGRRNGGNFWIKLNIDETGFYRVKYDDELTAALRNALQMKKLSLMDIIGVVEDAHALSIACKQTLSSLLHLLYACREEADFSVLSHINSVTSSVAKISADATPDLADDIKQLFIKLLLPPAEKLGWDPKDSESHLDAMLRPLLLVALVQLGHDKTINEGVRRFQIFFADRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEEKLTVLGVLSSCKDKDIVLESLNFIFTDEVRNQDVYLVLRGVITETRETAWSWLKENWDRLTKIFPVSAALSDYVKSIVPLFTSKEKEAEISQFFASRTTPGFKRALDQSLENVRINARWIEGVRGEAELAQTVHDLLNRL >OB09G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5874329:5874490:-1 gene:OB09G14840 transcript:OB09G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKRMIITLQPGYSILLLDREKTKTPKKPNSALRKVARVRLISGFEITAYIHE >OB09G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5874799:5880656:1 gene:OB09G14850 transcript:OB09G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQIRPKYIHVAPPELRGGEESRETRAIRGVCEHRQRPAFRHHLRHIAAGVLLRRGGFFLAVRDGRGGTAGVNGSVEGRGGDDGEKGVNGSVEGRGGDDGEKGVNGSVEGRGGDDGEKGVNGSVEGRGGDDGEKGVNGSVEGRGGDDGEKGVNGSVEGRGGDDGEKGVNGSVEGRGGDDGEKGAIGSVKGRGGDDGKKGAIGSVEGRGGDDGEKGFHGVAEGRQEEVVAPAAVEETACNGTLQRGPSQPEVERMEVEEGEGRDMGERPLPNDRDALMSNDQGVSLVFDVMPLAVAAPITCGANVSNSSAENVSDAASLLMDRRGGLGGSEFMRKEVTSDIASQEMKHRVGVGELQRKEDDVHDGGRKKRWLMSAMNPPPKRRAVSAIRKFPPNCGRSAATLAGSEDKKELPLEATPISVATSGVFMEDALARTPISVQGASLVPGLDHSSEAIDGRTIEDDESSMAGNRIQEFQVATNASLDDFEGAENGSTCWNDIVRKPSPRHGFVEKVNGKGSSQEKKLVARAVGGGKMTRKCEGRLQEGTLETRTRELVDVKAKHKLLKSDKMNGALQDGARLSRDGIIERNASSTQRTAVRSDMNMKQGDIARKVDATYKCKGTTKRPIEGAKSGKHVTTNQIEDDDRDLVSDRIIVQALMAPDKCPWKQGRKSVGSASQSRTPKHNLKKKIGGPRKELKDATPRKELSLVAATSKAIKHEATKDSYLEDGGNSKDSYSEDEGNSKSLVHNRGKALVVRGGKKELCVALPPCAPSGSDPRSKIRNVLQRFQAACRKLMQVEEQHRANIARIDIEAVNALKQNGYTKPGPIVGNVAGVEVGDEFHFRIELSIVGLHRPYQAGIDMTKVNGNLIAISIVASGGYHDQLSSSDELIYTGSGGKAIGNRAAEDQKLERGNLALKNCIDTKTPVRVIHGFKGHAKSEASHSKTKQISTYIYDGLYMVVDCWQEGTKGSMVFKYKLHRIPGQPELALHIIKATRKSKVREGTCVPDISQGRERIPISVVNTIDDMQPEPFMYTAEVIYPDSYAKEPPKGCDCTNGCADSDRCACAVKNGGEIPFNFSGAIVEARPLIYECGPSCRCPPTCHNRVSQHGIKITLEIFKTGEKGWGVRSLSSISSGSFVCEYTGEILQDNEDDQVENDEYLFDIGHNYHDEVWEGSKSGIYGLESSTSETTEDGGEGTTIDASKCSNVGRFINHSCSPNLFAQCVLWDHDDLKIPHIMFFASENIPPLQELTYDYNYKVGKLKDKNGKEKVKPCFCGSPDCSGRLY >OB09G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5885165:5896952:1 gene:OB09G14860 transcript:OB09G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPPPHALSPKPPFPSALRSSRPPREGEGARGQESGWNGSSTLQSIVDYFDFDYDYDSSDGDGGSGGAGGDREAGDGVVARAEVAEDRDDGPRPEPSYLLGSRPVSAPWMHGEEEPVKNQLVSEEEGVERDDVSEDELGLVDGDDDELSSDEDTHLSGSSDGEFSEDHAAPMANPSLMDSLVDRISPGGGFDRGPRQRSISSIVSTLRNSMEESSRNAATEWPETQDFVQELGPVLLPWEREEDKEASSGVDRPRKRSNTELAERTIPEPELRRLRDVALRMKERMRVGPGGVTQVLVESIHQKWRVDEVAKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTKTAEKSGANGLNHSGYSVSSSKKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVHGYNTPFRLLPYKVKSTLRNKEMTALRRLARQTTPHFALGRNREHQGLAAAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEELKKLTGGVLLSRNKEYIVLYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISSKPKVFKNPLIAGTLAETREAKSRWGDSINDDLRKKEKNHMIIAKHTSLLRNLKRKLFLAKTKVTKAEEALAKVQEYLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKILVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKRPQILKPRNLLSRRKALARSIELQRREALNHHISSLRDKIWKLKSQLVRMKVAGEKPDAKLLQTVEDDLLKDDDKIEDEGEEAYLQTYSSDNEEEPGDEPNEYLARLPMAAEAEQPRRWAATYTKHVKQKRKAYQDGALVLHTASGNLVLLDDAGGTVECRFLRAGEEVSPGASLAFQRHLVDVGEPEPHPTCYSGPSSAAAAAPASRAVHRGGARARPSAVNSRPPRAFANPTTKGGGGGGCNDEAVASSFQEWNALYTTHLTQKAKKFHDGVVRLVQVGSHAKQIVLLDEEGEVLAIRYLKSGESVESGRKCHFPNYLIEICEVKSEKQALESDTSGKPMVQRSGEKTSKKTGSDSTSKSLKFITPQIFHDLEGSKSSNTAGSSKPHPTRIGVVDAGSSGNIMVSTDSGFKEWSALYTTQLTQKAKKYHDGVIKLVHIGSHAKQIVLLDEEGGVLGSRYLKSDVESKNPSEEAVSHTRPTNGENATDRTDDRNKSPKFVSPLKFNHFQKSRLQDTQKGKTDITVGYSTDLGRSKFSNLDDPYKRNDFQGGKSGCSNSFIRTEVEKPTFGTMDDSLRTASQILSIMRPPSEIKYPQCIPASQVHSASFGSNIACDADHSKTASNISVINSSNRTFGGNRNSGLSQCATLLRTSVQSCLNLETHNTKNSISTHHRNELSGNVLPTYDHQTIMSPTFDSLVLDIVDSPTFGVSNAKEQRQDSTLAMRTSSPGLPTDESENADQGFVGGSPYDYFSRRMDGVKAHSALYSSFDPQVCYGFMYGIFGVPFCLIELSSLSSIQNILGKIQRD >OB09G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5899643:5902655:1 gene:OB09G14870 transcript:OB09G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCLVQEDAKRAPKLGCCLPSAQQNEMNNGPTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNLLASEDLNYMCGDVGLKKVDSYAPVSKLEDTNPKKTADPFEPPWIVSTAFMKQTYETSFEDLKSLPAYSEMTLKCRGSATSYLHEDKEHMDFKTFDPLYPKKPQMACYERNAPWQENKKSQPWWQVADADGLASLAAMPNIDKNELPRPDLRSHGSELNNHESKDDYGPYAGKESHPAQYDTMLCSYSVSSTNETNSSDNGGWQRQRNDAHGATQDSYSSDDRTPGSKPTYHSAAERAQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLLRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVPTEQERKKKGRKQNKDGHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >OB09G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5904120:5907546:-1 gene:OB09G14880 transcript:OB09G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWD (DDB1-binding WD40 protein) hypersensitive to ABA 1 [Source:Projected from Arabidopsis thaliana (AT2G19430) TAIR;Acc:AT2G19430] MDARGWDEAAYRRGILRERGLSCRTLFRAVFFDHQDDPDKPDVLLAAASSDGSLASFSLSSCISSSSSAAAAPQAPDAVSMVDPVCIVQAHSGPAYDVKFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAINKQEGSVYAAAGDACAYCWDVEIGKCKMTFKGHTDYLHSIAVREANRQVATGSEDGTARIWDCRSGKCTQVIRPLKNKAFEGSWISCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCHAPVQDLLFDKNQILAVGAEPLLSRFSINGTLLSQIKCAPQSAFSVSIHSSGMAAVAGYGGLVDVISQYGSHLCTFGCRSLDKY >OB09G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5912160:5913865:-1 gene:OB09G14890 transcript:OB09G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDKVHDKETHGTSSDITNKTSVDKVKAPNLFERAKEEVEALVGAVHNKMEHNSSPRGNNGDLHKDSKDDSKAAMNKMETHKNETHGTSDDINENTPVEKVKGPNVFERAKEEIEAIVEAFHPKKGSDK >OB09G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5921413:5925600:1 gene:OB09G14900 transcript:OB09G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13800) TAIR;Acc:AT3G13800] MAGAGAIAALVRAAHVPPAPCARSLRGLAEASASTPRHRTSTAAPPSCASAPVPRSFSSSCSSISRNAFAVSTSSSEQEKQRQQSELIFLGTGTSEGIPRVSCLTNPSKNCLVCTKATEPGNRNRRRNTSILLRHATPYGTSNILIDAGKFFYHSALQWFPAFGLRTLDAVIITHSHADAIGGLDCLRDWTNNVQPIIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVHNLEVIPLPVWHGQGYRSLGFRFGHVCYISDVSDIPEETYKLLEDCELLILDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNNELAKLLDTEGLDIQLSYDGLRVPVWL >OB09G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5926125:5931875:-1 gene:OB09G14910 transcript:OB09G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRVLFIFQAIVCVCFFSLTQGNQQFSLRNLPPLQKASTFGAMRPETYDYIVVGGGTAGCPLAATLSLRYKVLLLERGGSPYGNRNVSYMENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNESFPWVEDKIVQWPKIAPWQAALRDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVNKIVFNSQRGQLKPRATGVQFTDENGRLHQAFLNNNCDSEIVVSAGAIGSPQLLLLSGIGPKNDLKSHKIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPESIHCHHGIMSAEIGQLSTIPPNQRSLEKAQKYAHTKLNLPKEIFHGGFILEKIDGPLSTGHLALIDTDVRNNPAVTFNYFSHPQDLTRCVYGIKTIERILKTNRFSELSANNDGYSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRYFGVMILRGRLGRAAGV >OB09G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5932982:5934171:1 gene:OB09G14920 transcript:OB09G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCNSLVAYVSADWKKGTSSSQLFISWESQALTLYKDNATSDEQEKKLLTVTSAIITRHPNNLLSDSLVMGLFKGLTELPKQSGAPRVIRPHPCPGSSLIAGRFLNFLNRSP >OB09G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5942344:5946792:1 gene:OB09G14930 transcript:OB09G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G56900) TAIR;Acc:AT5G56900] MAAAASPSPATPPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPEAEGGDGEAAEYLEGRAAVPIPTYFTGDYGPAAPRLLAKAAAGARGFSPGGIQICNNLFWLRGSARFTLHGLSVVYLSGRKGPGGPGCYSQDDVDALRALAEEPGIVDLFLTNEWPAGLVNGTDTSNTPPQILDPHGYDPVVAELVAEIKPRYHVAGSKDVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASIMSSADIHARPPNTTLSPYISPAKLVHVEEAPKRPAEDADLQYWRYDVKKQRHGEASGNRLCFKFTSTGSCPRGSKCHYMHDEEAREHYSRNVCFDFLNKGKCEKGPECRFVHSLSDEGAVRDTKSRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCASTLMMPAEAEAELGRYKDALGKYFEKEGKTAVYFEWVSQHSRHANLQVVPVPLSKATSIKKIFHLAAQRLGFEFSVVNQDGAANRGRELLRSEYDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >OB09G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5948494:5949375:1 gene:OB09G14940 transcript:OB09G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFPADQQRRFLNAHRLFGVRNIQRTLQRTRPDLQSDAMQALTYEANSRAADPVGGAARVISEPAEHYKTLCKELVAVHEKLELCRPQQAAAAAARDTLIANDPLADPASAMLFAGAGAVAGPSQNEEDAMVDAFYADQPAVGESSNVQAGGGFQEQYIKDESQVQPPPQQHYEHLYHGAAGDEGSSHAWISSVVGNVQHCGDGEEQPMGLSDQLRPHFQIEAAAFDVKAAALARTTDQHHGNATFKQPEQKVVASSSAAAAGSSSSSAAHCQLQFGCSSNAWNNVGAHVN >OB09G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5952002:5952238:1 gene:OB09G14950 transcript:OB09G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRASLRKDKYSYEADHEDLGVSKEETIRLEELNRKCKEVGLPPTKLNSAYFHGTFPSTSKQKEKDMIASSKYVHF >OB09G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5958213:5958831:1 gene:OB09G14960 transcript:OB09G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAPWWWPVPAWLPGSTAAWFVVVNVVTSPAPPRKRLVAAPAPDTPPARPEAQDNDEDEDANAMSMDEAYALVMAARQRPAPTEEEVSRGEVDAKAEEFVAGFKDEQRRQRLDSIFNYTQMLKQRAAAFAAGRRQQPAAQL >OB09G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5961299:5962826:1 gene:OB09G14970 transcript:OB09G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRTYRLKTGQSVHIGGLVRLDIEDLTVGSVYVTVWASPLVPLHMGKTENAATMIKDHFGLQLQPPIGQQRVNELGKWVRKHFKVFGNSWDVNSVDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVARNSLVHERATIFEEAGFTVSKIVSQADSTANRLKNSKKINKKKDNKANPSPPADPESLKPAEVVDA >OB09G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5974293:5985742:1 gene:OB09G14980 transcript:OB09G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMEYLSDLLGGGGGGRRRYRKRKQFQTVELKVRMDCDGCELKVRNALSGMKGVQSVEINRKQYKVTVQGFVEPGKVVKRVQATGKKAEIWPYVPYSLVAHPYAAPAYDKKAPPGHVRRNLVSTHKQIVFHKAKVVFAKLPWNNQSMHASHAHSIRSAELIPPQLITEAEVQ >OB09G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5989186:5990641:-1 gene:OB09G14990 transcript:OB09G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRRGMGMGGGALTWCAGAVDGGEEEANGGGAWLRFHPSYRGVLQRLNKFFVCVFVSVSTDGQVQL >OB09G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5991112:5994785:1 gene:OB09G15000 transcript:OB09G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT2G28060) TAIR;Acc:AT2G28060] MERQGRGDHEGVNVVGFEVPTSPDSSYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >OB09G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:5995327:6001488:-1 gene:OB09G15010 transcript:OB09G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3MWX2] MESEGDIPSSCGNERFRKMATLVDSAAKERERENDKCLDPQLWHACAGDMVQMPPVNSKVYYFPQGHEEHAHAQGQGPVEFPAGRVPALVLCRVAGVRFMAEPDTDEVFAKIRLVPVRANEQGYPADADADDGIDAAAQEEKPASFAKMLTQSDAISGGMFSHFSVSRYCSKTIFRRLDCSTDPPSQTILAKDVHGVVWKFRHIYHDMPLCHRLTTGWSTFVKQKKLVAGDSVVFMRTKNGDLRVGIRRGKKGGVGGPKLLPPPLLPEIANYGGFPMFLRSDDDSNKMAAAAASGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGPVIAAMRTQWLAGMRFKMAFESEDSSRISWFMGTVSAVQAADPVRWPNSPWRILKVSWDEPYLLWNVKRVSPWSVELVLNKPAIHLAPFSPSMKRPREPLYLDLSTIDDQFPTPMFGGNPIARGIGTPTGEQGAKHAQFVESVDSQSRFKYHFDREVDSIGEDFSTSRYWYLAIQKEWRPDNTELTGEGTSGPAWNSIVQTKTYQYLPNVEQWMQDKPVMLQRALSRMHDLVNVAELWVHKDAVARLLQEAKDEVYSAENLLDELDYYELQSKVNQNTNPFRFPLHFEILMDWIHTNIDHLIGQMGNLGLQDMRHMSMDKSLHQKVDLFHEETIVGRGKDLMELLIRVLDFQGRSSTSDREQASCTSVLDLDQTRSENVCVLPIVGSGGVGKTTLVHQIFNEKRIQDHFDQQIWLCVSDGFDEKKLITRLLCSLADNELKSDDLSCLQRFLTNGIIHHSKRFLLVLDDMQEDVCKEEFNGWKGFLAPLECARPGSTILVTTRSLKVAEHLGTKKHFVLDGLPEESLWELFRMHAFGSDYTNRNQELEGIGRSIVARLNGSSLGAKILGRLLSLKLDGIYWKSILESELWDLPHQEVISSNPALLLSYQYMPSPLRHCFSFCSLYPKGYSLEAELLVNCWVAVGLVAPYGDMLAADIGHLYFQQLVDRSFLQRVTSSKYVMHGLLYDMAHQISSSECFVIKGSGDLSRIPPKVRHVSILNYSGLSSSDLESLQNYSTLRSVVCIGINSDALTASVLETWFNHLTNIRMLKFISCQPKEIPGNVGNLICLRYLDISSCELEVLPDSFWRLHKLEILDAQNCRFDCVPKEIVKLVNLRKVRLKGGLIDQLGCVPGVGKLIFLQEMPYYAVDDTPGRRIEELENMNHLRGALEISGLHHVTGKEQAAGASLDKKIHLDTLTLSWHDSIRPDKHNSNLEMEVLESLRPSPSIKNLEMRFYMGSGFNPSWFYMGSEFHPIWFLYGKEDEPISGRLESLSISSCPNIVSLFVTETSSSSSNGSSPVFRSLTKLCITWCRKLRSLDNLLDPLLLPKIRVIQISNCEELASLPTDQLGEFAHLEDLEVSHCWSLGWERGLTLPSSLKSLKLEACGEPMDPALSRGLRGLPAITTLELQFCSGLESIGAEVWSGLTSLRRLKIFCCQELSSIGGAESIARVEEVDIRHCPKLTELEQPFQRG >OB09G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6009830:6012055:1 gene:OB09G15020 transcript:OB09G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAAMDLFVSATSHVSNANQWFEFLGAAFAAASELLSRWRCRRERRQDEAPAERGQLKWKREDAKMKQLHYCMLQLPDLISHAEWFSFVKGDKEVARLLPELKVLVYDAYDLLDEFNRHHRQLQPAPDAGVELEQPPWEEDGFLQGIAGGNMVSEILDDLNCLRNTLGAVLNRRVRSEPPHQIGKLLRPAMSCFYDKSKVRSLESEVSEVLELLEVKMCSGREHKRRIEGEAASARKRTRMNSGVPSASTRSSCSNQEYECASENVNVLAISGIGGVGKTTLARQVYNDERAEEYFDLRIWISVSDDFNVKRLTKEFIEFALADLMQSDNLCNLQQRLTGSVVRFRFLLVLDDVWDDVYANQDNRWQEFLEPLKSAQQGSAILLTTRSERVADLVNKNKHFRLEGLPPTIFDEFFQACAFGPDCCRVNPELNLIGKSIIPQLKRCPLAAETIGRLLKPMLDREHWNSIAESELWELKQEQYDILPVLRLSYLYLPSHLRNCFLFCSMYPKKHQFDKDTLVNCWIAAGLVESCKGGKLESHGCQYFEELLHRSLLHKDASGPADSRYVMHELIYDMAQLVSEEECFIVKGETDLKKIPQSVRHLSIIGSSSLNEANLRMVCKFKRLRSIVCHGVEACTLTAAAKYWFEELTKIRMLGFLSCKLNFLPETIEKLKLLRYLNISECTFEELPPSFWQLQSLKIVDAEKCRVKQIPDDFNRLGNLQRFKLRGRIIKEPGTYAI >OB09G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6016620:6021339:-1 gene:OB09G15030 transcript:OB09G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLGAERRLLCSLFLAAALFGVASAATRRHDWDVSYQFASPDCVRKLAVTINGHTPGPTIRAVQGDTVVVSVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFNYTFVVDRPGTYMYHAHYGMQRSAGLNGMIVVEVPPGQPEPFAYERDHTVLLNDWWHKSTYEQTAGLASVPIVWVGEPQSLLINGRGRFVNCSSSPATAPSCNLAHPDCAPAVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLITANQDPNRNYWLASNVVSREPGTPAGTAVLAYYGGRXXXXXXXXXXXXXHPAHVVAPPASSDRTILLLNTQNKIGGRIRWSLNNVSFTLPHTPYLVALKQRGLLGAFDQRPPPETYDHAGYDVYAVPKNPNATTSDGLYRLRFGSVVDVVLQNANMLAANKSETHPWHLHGHDFWVLGYGAGRFDPAVHPATYNLKDPIMKNTVAVHPFGWTALRFRADNPGVWAFHCHIEAHFFMGMGVVFEEGVERVGQLPPEIMGCGKTRGGH >OB09G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6030285:6031756:-1 gene:OB09G15040 transcript:OB09G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASSNLQVGILSAITLLFSVPVLGTGCSLSALGRNQDAAGEGAISGRGCIDTVKTLQVLFPLEVCASMIKQRDVVPREKVYCFDQRKFQLPTTAVSVCCLRDHGPHVAATALSPLNSNPRFDPAAVRTSTALGCGLVVAMTVLFTQAATDGRGKGAGAPRSRRWRFCCS >OB09G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6033089:6033322:-1 gene:OB09G15050 transcript:OB09G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLWNLESAMLAQETFDGASGRLASSLDPANSNDDGSTVSATTLGTSSTSHPHETCVILEADEVVGMQEKGRGRR >OB09G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6035181:6035925:-1 gene:OB09G15060 transcript:OB09G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVKKLICVGTFADIKPIFNEQVRLLFRPTESFNHHQLLSQSAASGIMFAAGKPHHVAATALSPLNSNPRFDSAAVRTSTALGCSLVIAMTVLSMQLQAAADARLDDKRKNKTPRPRGKGAGAAAALLLLLTVAAFPDGAAAARPLHYDGSSGGGHVRVAAAAPGGVMANSLASSGRSSCTNDPNIMGAGPCVHR >OB09G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6038911:6040753:-1 gene:OB09G15070 transcript:OB09G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERWPDNLKVVKSKHASPIDTLNTTEKGCTTLTSKRGCTVDAPPSPAGSRDDPPTTLTSKRGCTVDAPPSPAGSRDDPPTTLTSKRGCTVDAPPSPAGSRDDPPTTITSKKGCTVDAAETGSLDEPPATITSKRGSTVDAAESGSRDDPPTTITSKKGCTVDAAETGSPDEPPPPIPGRRGSPVGAAESGSRDEETSLSQQLQRSVDAHSSWSLLSASGVPGATRPETVAAAASKSHHITTAPSQLNSGDGPIIALTVTMMIMTAGYRAYGRKRACSTAAALTMLLLAAALANSAAVAARPLPGGGHDEKVAAAAPGKPLTDAAARKSSCTADPNTQEPVPCIHH >OB09G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6045886:6046679:-1 gene:OB09G15080 transcript:OB09G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVKKMSSQYWMNTFTVPTNESFNHDQLLSQSAASGIKPHHVAATALSPLNSNPRFDPAAVRTLGCSLVIAMTVLSMQVQAAADGRLDKRKNKTPRPRGKGAGAAPALLLLAAVATVALLLLLAVAALPDGAKAARPMHYYDGSSGGGHVRVDAAAAAPGPGGVMAKSLASSGRSSCTNDPNTLEAGPCVHH >OB09G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6049461:6049643:-1 gene:OB09G15090 transcript:OB09G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAERRLLCSLFLAAALFGVASAATRRHDWDISHQFASPDGVRKLAVTINGHTPGPTIRAAQ >OB09G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6050673:6051002:-1 gene:OB09G15100 transcript:OB09G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHAFWDLIGFNPGINQTNSLMAIRRPNEPPKKHFKVFFTLCFRELWIHRNGVVFQGEAPSIHRCLRQAISDTIVWAKRIPTKDKIITQLWKTLLQNALHSVSAAP >OB09G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6056555:6057538:-1 gene:OB09G15110 transcript:OB09G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGYVPLILAVCLLLLHVALPPVAGEMVAGDLQVVTMCPTGRVQDHDDGDDDCARIADTHSARHGTVCPAPGGGGDDGLMATTTSSLLERTEADEAARRREHRPACVHHYSIFVAAAGVGGDRSMPALTVIADPIHDIHQPVPKSGRARLNCSAPSASGVLDDAPRPEEAAGAAAGDSHHVAAALSELSSDNHYFDWTVQIQSSIADRLFVLTTMTVVMTMAVGRAKQRRRRRAACSSSAVLMMLLVAVAFADSATAARPLPAAAALGTALAASGRSSCTADPNTQDPVRCNHH >OB09G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6061658:6062963:-1 gene:OB09G15120 transcript:OB09G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHVFLFVLSLLYGLLLLTAAAGDMDHCIAGDLAIMCPTGCVRPGHNDGRALEHAITEKGGAVVVDADVRGCDVGGEALVHTIAGKVGTVIDCVGAAGMRRRARHGVGYSAAGGGGDDGLMATTSSLLERTEANEAARHREHPPACVQPYSMFVAAAGIGGDRSMPALTVTAPVHEIHQSGRARSNCSVLSASGVLDATRPEDAAAGDSHHVAAAVSELSSDNLNQRFGWTVQIQSSIADRLFVLTTMIIVMTMAVGRAKERRRRRTACSSAAVLMILLVAAAFADSATAARPLPAAAALGTALAASGRSSCTADSNTQDPVRCIHH >OB09G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6075003:6077509:-1 gene:OB09G15130 transcript:OB09G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDQPLLLAACLCAVALSAAAGKMDHGIAGDRFIVCPGTGCDGASQGVKASGHTITARCGTIDGGRVGRPARHGVARLAVLAAERQQGRRRIVCLTGGQLGVRGWGCTRTMTGVDSTSSSSPFTATAPPMISRVRRRGTGTGRRALWWCMRCPALPVGTPGRHHASHCLHFSQSPLALLDGMMAAIPSASLTGRKHSEVLLLHRTCNGIRPPPGRGDDDGFMASSSFLQRADAHQPPRHRHHPAASVTDRSTPALTVAALIDSQVSWLVGVHVLSVPLSASAILDATRPETAAAAAGNWHLHVATALPELNSDRLRFGRTVQIQYSSIADTLISLTTTMAMVIMAVGRRRGAHERTTSRHPAAVLTTMLLLLAAAFASSATAARPLSGGGHGDEADHAAAAAAAPGKPLNDAAAGRSSCTTDPNTQEPVRCIHH >OB09G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6087945:6091762:1 gene:OB09G15140 transcript:OB09G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVSSDDGNPFAAGSVAISAATAQLINIKSHVPVILDLGDSNFGTWRTFFTIAFRKFGLLDHIDGTTDARLKLDDAEWTQIDTCIVSWLYATLSPDLLSDVIQPDDDAYTAWNAISSQFLDNVVQRTVQTRQAFHALHHMTITEYCGKIKVPADTLRDVGSPLTNQDLVVNLLSGLNDKFAHCLHHLRGTAAHDVPPSTAWPIARLPPASSAPGILGTRPGAAPQQSMMVQQAPAGTLPPALYQALTGLSLQSTPSSAKDWVFDTGASTHMVGNSGMLSSTTPSASRIIVGNGASLPVHCSGFQYYLVILDDYSHYVWTFPLHRKSAVVPTLLTFHAFVQAQFGRPILAFQTDNGREFDNTTFRSFLAAHGIVFRLTCPYTSQQNGRILTSRHVIFDELVFPFRQRFGTHLHHLGFVSSKSDNSLFVLRRGTDEAHLLLYVDDIVLAALSHRLLQHIINQLRVEFEMKDLGPVHFFLGIQVRRTAAGFFLSQGQYADDILARAGLVDCKPAPTPVGTKAKVSSTAGQPYNDPTFYRSIVGALQYLTLTRPDLSYAVQQVCIHMHSPGDVHWTLVKRILRYVHGTMHKGLQLRRSSTPSLTTYSDADWAGCRDTRRSTSGFCVFFGDSLVSWSSKRQSVVSRSSAEAEYRGVANAAAECCWLRHLLGELHVKLEKATLVYCDNISAVYLSKNPVHHGRAKHVELDIHFVREKVAVGDIRVAHIPTRQQLADIMTKGLPTSLFEDFRSSLCIVDDAPTAGGVKVADYVDKDRLESSFFLTYSSTVLSPRSILLGFCWHS >OB09G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6097739:6101014:-1 gene:OB09G15150 transcript:OB09G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPSNLMITVPFLVIFFASASTFLPRTSSSSSFFPLDGSDDGGGCVGFTVAVRPWPGHRTAADHIDSHGGNRRRRRRREHPSPAAIPDVVTSSALLDSASASSYPYLQACASERERIYGDLIWSMIIPLHVNFSSSVLPASARILIDGTRTAGCSSVVGKSRRSTVTGLPELISSDDLVITATMTIMALARRGAVERGKKRKKKSGRGRDSAPVIAPFLVAAAALLLAVAAAPPPVAAATVAGGGGSVRSNCSHDPNMPKHLRCDPP >OB09G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6104494:6105171:-1 gene:OB09G15160 transcript:OB09G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWVSPFGQRCRIALAEKGVEYEYSEQSLADKSDLFLRSNPVHKKVPVLLHGGRPVCESLAILEYIDEAWPEKAPPLLPAAADDPYGRARARFWADYVDKRLFDCQTRLWKLRAGEDGHEQAKRDMVDALRALEAELGDRVYFGGEAFGYLDVVLVPFAAWFHAYERLGGFAVAEHCPRLVAWAERCKERDSVAATLSDPGKVYEFALYLKDKFGAK >OB09G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6115304:6115813:1 gene:OB09G15170 transcript:OB09G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAGAGGDQAELSGLLRRRDRRVPPRLRPLPPPPRPWPRHLHVQGRGGVLQPGVQAAAHDAGGVERQVRGFDVQEEGGAGERPRPLQQGHHRRHGGGGLTNDLASKIATAYLPQLASNQAIELIDQRHHT >OB09G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6132465:6134837:-1 gene:OB09G15180 transcript:OB09G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCFEISRPAIFVCHGVGFTSSTRVSVYLDTEEADHARTKAFSIDLLRRGARSWAAELRAAVDHMLVSVENDLNMEVGGFSVFLPFLITEVGKASRGDLRQRLREXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSEVGFEAVKEMALVRSTVYEVLRMQPPVPLQFG >OB09G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6152756:6153228:1 gene:OB09G15190 transcript:OB09G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCDAKTLYEAVESGRGVDRRSILQLLRHRSGDQLRAVLASYRRLYGQELARALKRKADGVGHRSGESFPGVFRAALRCAQRPERHRRGEGGISAGRRDARRCRRAPRQPGVRGQDRVDAGERRQERVRRRECGRRLDRRLARGVAQAGLRTISI >OB09G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6165109:6165972:1 gene:OB09G15200 transcript:OB09G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELMRALEPFIRDASGSPPLQSQFGPTSPFSFPSAAAFVYGGGQAQPELTPAQMHYIQARLHTQRQAAQAGALGPRPQPMKAASAAAVATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAAAKNTKKSSASASATATSSAPTSNCSSPSSDDASSCLESADSSPSLSSSHAATTAETSATVPEMQQLDFSEAPWDEAAAFALTKYPSYEIDWDSLLAAN >OB09G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6181781:6182211:-1 gene:OB09G15210 transcript:OB09G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDDIASTRVVHSPRSRDGNGAEFATKIKELGVAIRSELLSPASKNTGVVVNLSNIQWLVDERCVAPDE >OB09G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6187299:6189182:1 gene:OB09G15220 transcript:OB09G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MWZ3] MARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKPSSRHAKAKGVLFQPASEFLPMIEQVHRRLEKETSSIPGAKVENNKFCVSVHFRCVDEKSWAALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETTASFSLQEPAEVMEFLLRLVEWKRLSRARLRL >OB09G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6189374:6189547:1 gene:OB09G15230 transcript:OB09G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVVRICSTAENQRSSDHHFLAHCTTSLSPLPSFFFLAFFYPSGAPFGRGCIPRM >OB09G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6204051:6205793:-1 gene:OB09G15240 transcript:OB09G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGGGGGGGSGRPALKVGRTQEYRMGRETQLLAAEGSPVSLFVLCGDRFEAARLFRSGGLAVHMARVEAHPVSMASCAVGDHQWMLARDALVARLDARVFVFEMPGFFYAVVVPPDGDGGGGAERKCATLAEIFCRFCSYHDLSTTPQGEDEAGAHGLNEHSNPWVRAHARIQRLKRSPASPSAGQATADSPAERASVVGIASQLERAVRTSAVVKLLSRSLLAGALQPVRHLTITLGAGAAGDSSTDAGASTGAPP >OB09G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6230910:6231971:-1 gene:OB09G15250 transcript:OB09G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSFRKSGAVRMNTSSSLSSSAPSSRAAAALPLPSAASPAGGGSSACLLASPFAGAERQRMSSSAFGGGALEHSSSSSDPLPWWSSSWSGSSSSPESCSGVSSLPSSTMSHLENTLAPALPPQTTDSASCSSPPPPSSSSSSEEEDSTAPWSDPKQRTFSRRLRSAPPRNGSASLLIPTASNQLTFFLCSCSRSISSTTVIQLLPPPPAPPPLPAFSATFLCFLGRATLICPTHVTLGDCGSSSTSTACFALEPRNSGLLPLPRPRPPPDLAGGSCRRLAATALSTVVLDGLQIGLGISARCCRAGKHTSRSVGAVVVATTPFPPPPPPPPAAAFFLIVPATPCAAAHSSL >OB09G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6230953:6232038:1 gene:OB09G15260 transcript:OB09G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAAAGGGGGGGGKGVVATTTAPTDLLVCFPARQHLALMPKPICSPSRTTVDKAVAARRRQLPPARSGGGRGRGSGSSPLFRGSRAKQAVEVDDEPQSPKVTCVGQIKVARPKKQRKVAEKAGKGGGAGGGGRSWITVVEEIERLHEQRKKVSWLEAVGIRRDALPFLGGALRSLRLKVRCFGSLHGAVESSSSDEDDDDGGGGDEHEAESVVCGGSAGASVFSKWLMVLEGSEETPEQDSGDEEEPDHEDDHQGKGSDDDDECSNAPPPNALLLMRCRSAPAKGLARRHADEPPPAGEAADGKGSAAAARDDGADDDRDELVFMRTAPDFLKLSIDIAKETWIVGGVDPLGRSRSWKR >OB09G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6235731:6239224:-1 gene:OB09G15270 transcript:OB09G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39740) TAIR;Acc:AT4G39740] MLNPRVLRPSLLRRLGAAAARAADWPPSPCRPRVFPARSNHSRGYSSEGSSKYDRPMRQFAEENEANRQPLTYYIVPSALLVFAGLATFVHYNDERRAVTQESQQTSVPKRCTTNRPAIGGPFKLYDTEKNEVTESKLRGNWTLMYFGYTSCPDVGPAEVQKMADVVKLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLSGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHDMYLLDPCLETARCFGPEYEASDLAEAITMEIQKASKSSAN >OB09G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6240131:6240664:-1 gene:OB09G15280 transcript:OB09G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDGVNTVACLKPVDTGTSAATTVTPLPHMYVVKDLVVDLTSFYQQYKSVEPWLKRKSKTKTTEGGLREHAQSPEERKKLDGLYECILCACCSTACPSYWWNSEAFLGPAALLHAYRWVSDSRDEYGAERVQALAEGWDKLYRCRMIKSCTATCPKSLDPAAAISAMKTLHQLGKP >OB09G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6253253:6253606:-1 gene:OB09G15290 transcript:OB09G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCRAAARPRDRSRRTWVATSRGRWGRRGSCCWLRPAASGGARRASAGRRRRLPAAPRGVAAAAAVPVVAVALALALALATPMLDEDGVDVEGDDDVKGGNEEAAAGSFCAKGRSR >OB09G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6253489:6254253:1 gene:OB09G15300 transcript:OB09G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDATSSSYLSFPNVLGSSQPMFGGFGHGGARLHDTSPSPSFSEFLGGSGGSSSISLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGPAAAAAASASPTAAGSCPRRPYTICPQETTPTTAATSCPAWSRQAAAAATAAASSTTPRTPAHHPHKPQPAPTSRRTPPAEARASTHGSVHPSRQKASSSQ >OB09G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6277553:6280902:1 gene:OB09G15310 transcript:OB09G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMEAARRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGSFLWAAATFLVAVSDTFAQVAVARGLNGVGLALVTPAIQSLVADCSDDSTRGSAFGWLQLTGNLGSVIGGLFSLTLASTTVMGVAGWRVAFHVVALISVAVGAMVGAFAVDPHSGGVQQQQYDDDHQRRTEQRRRPPPWEEMKDLAVEARAVVGIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGYTHAMTGLLTTAFAVASSLGGLLGGKMGDHLAVRYPNSGRIALSQISSASAIPLAAVLLLGLPDDSSSGFLHGFVMFVMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPLGLGRLAEHAYGYSPIADGAGGGGGGASSSVVRDRENASALAKALYAAIAVPMLLCCFIYSLLYGAYPRDRERARMDALIASELQLIELERRHRAGAAAAAVGYAAGRKDDAATVIDVEEYGEEESGDDDEDDERALMRYQVEQGGS >OB09G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6284510:6287826:1 gene:OB09G15320 transcript:OB09G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQQQQQRPVVEVEVEVDQVDMERERRRRRTLVLVNLASIMERADEALLPAVYREVGAALHDTPTGLGALTLCRSVVQATCYPLAAYAAPRHNRAHVIAVGAFLWAAATFFVAVSDTFAQVAIARGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVFGIDGWRIAFHLVAIISVFVGILNWFFAVDPHCPTSSAATCDRLVSKQSAWQVVDEMIREAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKDTAFLMTIFWVASSFGGLLGGKMGDFLAVRYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSNGIAYGIVLFIMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGILAQRVYGFRPDDKGKSVQLDRQNAASLAKALYTAIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEKESSCLEEGDCRFQVFDSASDGERATIEVTNGVKDLSETEKDTARLLANRES >OB09G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6285969:6286340:-1 gene:OB09G15330 transcript:OB09G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSIPKTVVCARSRPTKPPEMRPKLLASCNHPKADPRVPSSVESATNDWIDGTTRARPMPFRPLAIATCDDKCRCKLSIVMIQRIQFCWLPQKRVSHCQIFSISHIVGEHVMYHDSNINEN >OB09G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6288925:6291314:1 gene:OB09G15340 transcript:OB09G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAAAAAAARATWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSAVQAACYPVAAYAASRHNRAHVIAVGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDDNRGSAFGWLQLTSSIGSIIGGFSALLLASTTVLGIEGWRVAFHLVAAISVAVGVLVWLFAVDPHFSSGASPGGGKRRSAWDEARELVGEAKAVCRIPTFQIFVAQGVSGSFPWSALSFMSMWLELIGFSHEDTAVFTTVFSVATSLGGLLGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHCLVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGFLSQHVYGFKPAGGNGSGGAASAAVERDRENAASLAKALYAAIAIPMTICSAIYSFLYCTYPRDRDRARATQALAAAGDLPAELRHVELEEGSFPRRIGGDTRRFELVGSREDDEEQDGVRGDGNGDAGGDGSGEGRADADTERLLSSRKL >OB09G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6289899:6290618:-1 gene:OB09G15350 transcript:OB09G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPMTNSTRQWATPVDGSSGRASSRTAAKGTADPALICDSTILPASGYRRARASPILPPRSPPSDVATEKTVVNTAVSSWLNPMSSSHMDMNDSADHGNDPLTPCATKIWNVGIRHTAFASPTSSLASSHADRRFPPPGEAPLEKWGSTAKSQTRTPTATLMAATRWNATRQPSIPRTVVDASSSAEKPPMMEPMLLVSCSHPNADPRLSSSVESATSDWTAGMTSARPMPLRPLEIAT >OB09G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6292458:6293599:1 gene:OB09G15360 transcript:OB09G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAALNGVGLALQIPAIYAFVADSVDGTSRGVAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGVPGWRLAFLFLAVAGASVGVSIRWFAAGNAAAAASTTTPANTTKPVKQQLQEFAREAKAVLRVPSFQVIVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKVATSLGALLGGKMGDALARRFKNSGRIVLAQISSGSAVPLAAILLLALPSEPPTAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRSRTSVYALDRTCEAVLASFAPPVVGLLAERLYGYELARPAGAAAVTAERRNAASLARALYTAIAVPMVLCCLVYSFLYCTYPMDREAAARGDGGDRPGGGEGFGTDDEEEDERKLLPQ >OB09G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6299908:6301158:1 gene:OB09G15370 transcript:OB09G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGESMCRGRWVAVMVVLLLLCFHVAVVRAAASRAVVSRKANLSGRKGGLAAAEKMKPGKDGAGGVVDATVVRALKKKTVAAAAVKDQTGVLKQGKKLSSGGAITSEIATSRTPAAVAAVKTKLPKVNKVAAVKPKITSAAKLAKIGTEKLTEESRKEGQAAAVMKARKSPAAMLLGLAVGLHYYAAVFHRAAAGEAPRATWRVHAVYAACFVVVCACARAERRKKAYLTGAAEAWKKS >OB09G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6305344:6310915:1 gene:OB09G15380 transcript:OB09G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLLSCDLRLDQAISMGANLNEKPTPAIASADELPPTAAAPGQRGYCHYHTTTASATPDTTLFDPDNFMRLAMRQGPGSVSGVISCIKTTWSRSNGSAPDAQAQTNQSVTTKLSTEEIIDSVVKELKLLDIDKKDTPDVKPDPKNEMVRDLIKQTREMEAQLKERKEWAQQKAIQAARKLGTDLTELRVLRMQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDIAECKTKITQADRELSEVNKAIRNMEMKIREDAKAREENLALAEQERTKRESAKANAERRLEEIRQKTEVESRCFKDDIKRLEDELARLQKSMGVNQPTVPSTHPPGVTDRNTARAPKQPSQRPSAASNKPQAPTQKMSRRRDCVVCKKEEACVILLQCAHQVLCVGCNKRHEEKGAVRCPCCNAKVDERIRVFGASSN >OB09G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6312797:6318310:1 gene:OB09G15390 transcript:OB09G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CD2-binding protein-related [Source:Projected from Arabidopsis thaliana (AT5G09390) TAIR;Acc:AT5G09390] MEPPRGTKRPLPADASGGVDDDDDNDGALPGERKPRFPKGKKAKYRDPAAAAAAEGIDGLINPELAAERRARRRHRKEDDDQQGAAADVRGFEVRYEDSANLVDDGIRLEPFNLEQEREEGYFDENGNFVEYARGNDIKDAWLDSVEVDTKYAEKVQKKKEKEKEEEFQDLSTDDIGKIKRRIANILEPGETIIRALKRLKNTSSDKRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEAEEDIFADSPKDKTTSLLLDMEPGPSAANTSTTATASKEDDDDFDMFGDDDDKTDANRDSDANAVGSGSNPEQVPHDSNETSGAEKGDNGSVSSDYIYDPTSGYYYSSSTGYYYDSTSGCYCSASTGIWYSYDEQTSEYKETQSEQSSTAKETPGDGIKE >OB09G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6336431:6339237:1 gene:OB09G15400 transcript:OB09G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVEHLLGLSSAPVDWEAEAYPGYGDFAVLPFLVAFFPAVRFLLDRFVFEALARRLVLGKGYDKLDETDESRKKINKCKESAWKFVYFLSAELLSLSVTYNEPWFKNTRYFWVGPGEQIWPDQKTKLKLKAVYMFAAGFYTYSIFALLFWETRRSDFGVSMSHHLATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAIVSFLLFVASWIILRLFIFPFWILRSTSYEVLLTLDKEKHKFYGPIYYYIFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGHVGDDVRSDSEDEEEHED >OB09G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6339575:6343608:-1 gene:OB09G15410 transcript:OB09G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINDRRXXXXXXXXXXDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKSQQGDWNHEAYLLFPVHIDGTLFDNAKVMQSRKEFYSTINVLQIFQQLCEGLRHMHNFDPPYAHNDVKPGNVLITHQKGQAPLATLMDFGSARPARKTIRSRSEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFVTWMLQPQPAMRPQIDDIILHVEKLMEKYSS >OB09G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6351030:6353028:-1 gene:OB09G15420 transcript:OB09G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVVALETTYRRVGAGVSFRFMEGEVSMLWPGGLVLGRREREGGRRGRVLGGGNAGLRLVGGLMGGKKAKTVEKDALLLCGDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHMKRSQQKLLLFANDKASDRSLAMVLADDTGSTYQLTRPMASRSVFVHNSCNGLLCLGDSTGVVQLLNPTTGESATLPVPTYTAGSSQFSSCNWHCLGFCPSTKDHKVVHFYLGDHIDSLKVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINRLNLESEKFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHENPPRTMDILMLDSGDKASWTHRYHISLPWLIPSCYFTPKHTLFHDEKIWVQLLARNLYCYDPSSSSDELKMACPESEFPFSTHTFTESIVPLRQNYFIKKMQ >OB09G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6354675:6357896:-1 gene:OB09G15430 transcript:OB09G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:J3MX14] MSTAVKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQFSRWARAQGYLEKKEPEAQQ >OB09G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6367321:6374350:1 gene:OB09G15440 transcript:OB09G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCSPAPAKAAATALVKILVLAAVVATTTTSAGAGAGDGDDEPTYETKSIDPSLAVMTLPPPVMGPESLAFDGRGEGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSVGVCAPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLMRAPARGGLAGGVAPGAAGVPFNFLNGLDVDMRTGDVYFTDSSTTYRRSQYLLVVAMGDETGRLLRYDARRRRVTVLHSGLPYPNGVAVSEDGTHVVVAHTGLCELRRYWVRGPRAGKSETLAEVPGYPDNVRRDGRGDGERGGGAERHALGRLRRHAVRRRRAGPVTHVRVARCSSLLHACTTP >OB09G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6382766:6385029:1 gene:OB09G15450 transcript:OB09G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVADAYLGLMRVGRRGGLAEVVATEAGGVPFNFVNGVDVDQETGDVYFTDSSTTYQRRLAYSTYGPAGDNGDINIIIRDYLLVVLSGDATGRLLRYEARTGNVTVLKSGLAFPNGVAVSRDGKHTXXXXXXXXXPGSPDNGRPAGGGDGYWVALNRDKEWAVSGTTPASVAAIRVVVDGAGAGKVAVALRGFGGATVSEVVERNGSLWFGSVDTPYVGLLKFTSL >OB09G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6386089:6391551:-1 gene:OB09G15460 transcript:OB09G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQRTMAGRALPAGPRRRPALELRDGDREVYAGKGVLNAVRNINEVIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKQVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGAASFSEALRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDASYDLNFKNQPNDGAHVLSAQRLCDLYKEFVKDFPIVSIEDPFDQDDWNSWSSLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >OB09G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6393219:6397078:-1 gene:OB09G15470 transcript:OB09G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52640) TAIR;Acc:AT1G52640] MPSLRFFLFAGELPGFSHHPDSLLILANSLAGARLFPLLRSLLSDLPPSALSRGLFPLLFRAYSRARLPDDAIRVFSSMAGFGFPPMIGDFHSLLFALSHNGLVEHAETFFRESAGQIDHSVKTYTILISGWAVVKRPENARSLFDEMVERGVEPDVPVYNALIDALCRGGDITSAEEQLSSMQQSRGLVPNAATYGPFLHAACALKDVRAALRVLDRMHAHALTPNIFTYNAVIRLLCDLGEVGEAYNILDEITAQGEKPDVWSYNTLLNAHCKLKEVNKALRLISRMDKELCPPDRHSYNMVLKMLIGVGRIDRAIEVWDGMEKHGFHPGAATYAVMIHGLVSKKGRVEDACSYFVTMVDEGIPPYQTTCEVLRDRLLNLGLRDQLGVVTDRMRRSTSCTIQEMSIIMRRSKREDETKSLSSGHEFSERDLDDNQWRTNMDSEPSSQNPTDMTAFVQNLLTQMSHIWCYAVKNFSQHMQMLDVAIRYENGLIAALYLWTAVSVFFNCAALDEMGTKIDELEQSVNDLKAEMGTDVPTKKPDEAKPADST >OB09G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6404746:6404985:1 gene:OB09G15480 transcript:OB09G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTPRHHLSSQPLPLLTKRIFTYALYALLPLAVLHYLLFSPRPPAPPPLAAVSSPPHGDRTLSVLRFLVTSVRRRGR >OB09G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6405943:6406248:1 gene:OB09G15490 transcript:OB09G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRADAHPGPYMNAFPFAGGERERVPNDCVHWCLPGPIDTWNEILLQLVKRWRDASSSKRGTEMSNVKIS >OB09G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6408967:6412471:-1 gene:OB09G15500 transcript:OB09G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLARLPAVLARRRGALPRAHPVRGAARHPRAALRAPPQGRRGRGARRVPPRPLGPAVRRRHRRPPRTHGRGLLRAPRAQAAPRLRVRDGHRVGRGRPCGEGARVLPSRWQRRRWSRLRGIVNLYNLKNMLGGQSYLTRTR >OB09G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6419285:6422417:-1 gene:OB09G15510 transcript:OB09G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24030) TAIR;Acc:AT1G24030] MFNNIVSSWNKRRRSRSLDQLNPWVYKPAELWQMKEQGPTRGAPPPPPSKKRSSCSMVFTLKEMEEATNMFSEKNLIGKGGFGRVYRGVLKNGQIVAIKKMDLPTAKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDVLNGIGEVRLDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNVLLTEHFEAKISDFGLAKLMPQDLDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVRMQQVAGDRKRLRKVVDREMAKGSYTAESVSMFAGLAARCVCFESAGRPSMADCVKELQFIMYANMKI >OB09G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6427999:6431176:1 gene:OB09G15520 transcript:OB09G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSAAAAGGRMPPPPPPMHMDDFVLEGKKPVKNPFVPIGALVTAGVLTAGLISFRSGNSQLGQKLMRARVVAQGATVVLMIGSAYYYGDQIKLFKKGSSP >OB09G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6439919:6441234:-1 gene:OB09G15530 transcript:OB09G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGSGFLRTIPLDCVDAEPDSGTHGHVFGVFRSVSVVFSMPRAAQKSNADGGGSSVGFIAEMTCCACRKCRATPPEADEQHKFEVEKFVYFVDSASRWRPVMARMVGRPMSVSGLKKRMVSIGTKGSYTMLVSTRKTTLKWCPSYPAVRKSDVLPGSCGRAYTGIITGIYMQGMLVELDDTIWLLIDDQHIAPSHSLRVGAVISVKNGQAVCLKFAWTRIALLGTCIKTSIIIKFFSLVDSKCYIKAENRGLLGKFVESIELPARFWMLVLISCFKHKFTKLFSEKEILGSKNVSIDTRIGPHLCF >OB09G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6432270:6439905:-1 gene:OB09G15540 transcript:OB09G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPQHDFFMKFCNHNCCSPSRELHLETFKMVIPFANFICKCESFWILMMLKIWNDTEEMDKNQMVHPFLCDGIAYPGTTKRIISSSDLGAVLVGRIKRSSLPGTLQLVDATGCIDVIIPDLPPNVCVDGIYEITDYKVALEGPMAYLDPYDIADPLSCKATFQHLSFRKRLNHLKICVITSLSELNQIGPSAIPLQINTCAKLFHLLKLTHIFPVNNIFQHQNMSGPNLYAEAVILPYDLKFTERGECSEHAESFRILSTVSLSNSKASMTKQCNILCTLRFGTTNICGSLVSIHSCGSVDTTVDNTLCGERDHSSRILLELKETRFNYQSLRIGGYYLLECPSGSLNCSVKGCGCLQGSKVSLGSRSRFWSLAITFSGNMPIGDQAIGVSSVKMDEPFFRKAVHNEIKLVHTWNDFHQYCDFHLNFYCEAISEKMDEYNSACYVFNKLCSYSNEVLSVSSFIKTRVLKRSSDSGSSKWQGDKLIQGDLISLQGKIENIHPYGCKKENFTVGDEKSSICIHVTDDIHTVRLCGDLCKRTYPIGLGPGASVTFHRVLLTHGHELSFTPLTYIEVSSINLQCPNRECAVTPPISDCIEDKSLTRVSWCFLFLSQKHLAENRAIQFQCRVATIHVLVLENSLNYLQPSESVKRCETTTVKIRLAGFIVDDGSSLCCCWADDARAELLLRLQDVAVLDASVNLKLPKDGSDVNRQLTVGSFLERMLKKHKRIIARNCGIPPDISCRDLELSSVLNKVLSCLEEKLLKFVILNACWKGTLILWKRPEESLAVKTANVIWTSGCIRFLMIWRMRRSQVKMAPELRAHVDCFSFSGIAFYGPCRN >OB09G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6456992:6459397:1 gene:OB09G15550 transcript:OB09G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALNRFTQWLWPATAARVATATHELPAAGLISASFPDFPSGFLEPDAVSFAAPATXXXXXXXXXRRRSSREEPRVDREFDMVIVPSDGGGCLSGSESDDSDWAIGWQEKLSPELQADGDPESCFAVLVRCYRHGQAEHSAGRPEGQFLGAGSLANGGLSEGRNFV >OB09G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6475817:6478248:1 gene:OB09G15560 transcript:OB09G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWQVLGTAVLVAAACCSRGVRGEDADEVRASVVGFLRTLAGGDGDRAVQELGWNASVAPCGPPAPWLGVHCTGDGRIEKIVLEGWGLSGTINATMLCAARALRVLSLQDNALHGGLPADISGCSGLTHIYVGANRLSGSLPPSLVRLTHLHVLNVSKNDFSGEIPAGLSKLGLARFCGNDNHFNGTIPEFELSRFEDFSVANNNLTGSIPRDVGIFGNDSFSGNSDGMCGQPSFAPCPTSSGENDGKRRGVHTIVMCLGYVLFGAGTAAFVLYMLCSKKRRHKLGRKPGGAGGKGEAGGVRVPEQWQPRQASPWGIDVFLEQNGQSVSVGCQKLVVIFAGSVESSRTALDWPARLHIAAKIADGMAFMHDALRGDGANANLSFSEEDEASAFDGGAVAHGNLKASNVLFTAAMEPRISEYGVTAPPQSSAPSDAAAHCADVRAYGVLLLELLTGKATADGAELARWVTSVIREEWTAEVFDRVMLSAGGAGDDVASEQRMVRLLQIAMRCVDASSPPPTMREVAGMVNAICEEDGRSVSSEARARHGRTPRN >OB09G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6484286:6485328:1 gene:OB09G15570 transcript:OB09G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRWREGRFLGDDPLGDGPAVAEAPAGSSPSSSSAAARVTPAVLFITVVLAVVLLASGLLHVLRRLFLKSHRANARAEAQPDIEEQKLEQQQDAASDDSASSVVLSVRLGRFKNTQRSDAAAAAGDDDAYASSTSSCIDARRCYSMGSYQYVLADDNLQISVHWRPGDGITGIGAAAAAGANVATARTADKQPAAGKKVFARGDSFSMSKIWQWRGGDRRLPVLHSDASPPANDGLPWATAATRTRQESDT >OB09G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6489882:6490073:-1 gene:OB09G15580 transcript:OB09G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCSIFSLHKESSGQAQANNQARGSGFCRNLFFRGTVETFVLFRLYEFFPWFYKILFGSSGFW >OB09G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6490305:6494318:-1 gene:OB09G15590 transcript:OB09G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLMISSYTKTHSLHKGCEIMVSRSYSNLLDMSAEDVFDFQQPFRSLPRFVTSPSITSNPDWETSNGNDSVGPASSCCLRKIIVSNFLPLNCTKDEATGQWSFSMDDSQLLVQLKDGFPIESEVVYVGSLKVEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKRFADKVMEAINSDDDCVWVHDYHLMLVPTFLRKKLHRIKVGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESILRLPSTISKVKEIEHRYKGKMVMLGVDDMDIFKGISLKLLGLELLLERTPKLRRKVVLVQIVNPARSNGKDVEEAINEAISVAERINIKYGSADYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGDDKSSLHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERRLRHDKHYRYVNTHDVAYWARSFAQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAELISILTSLCNDPKNCVFIVSGRDRATLSEWFAPCEKLGIAAEHGYFIRWSKEAEWETSSSVQDCEWKNIAEPIMDIYKETTDGSTIETKESALVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVNKGIVVDTVIRMLINNEFAPDFLMCIGNDRSDEDMFESINEATSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAISSRREVINQSQVFRDILEVVS >OB09G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6504707:6508981:-1 gene:OB09G15600 transcript:OB09G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G60160) TAIR;Acc:AT1G60160] MSVRGAHGHSSKDISLLSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEHISSFRLKLPSPELERALSVKESLEKNPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFGTDAVVIMSILVLVLLFSVQRFGTGKVGFMFAPVLALWFLNLGLVGIYNMVKYDISVVRGFNPVYIYLFFKTNGIKAWSSLGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKVIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGVVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMQGKISLDFVLDLGSTLGTVRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRIGQKDYHMFRCVARYGYKDVRKEDHGFFEHLLVESLEKFLRKEALEMALEASAMAVERDDVSVVSDIPSSPAESGDLHVPLLSDQRTGDDNTPMLPASSIAEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFVINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >OB09G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6516832:6521223:-1 gene:OB09G15610 transcript:OB09G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAVSISSLLVSFSGYAPYLVYLRMSSNPDGGRSFARRDLLLKIQSDVQKCWEEGNVFEAEPGSKPPSPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREAQQYGYPPVFPVLEDDSNAEVADDSQADQGASVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLSDEEIAKFRDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPQLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFVLTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFNDIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVSKPALRQKFGVKDEWVIPFKVIPIINIPEFGDKSAEKVCIDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKKQLLDEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHILQNGNMYGKEIFSIRPEQMTDEVWDYVFCDGPAPATDIPPALLSKMKLEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRTGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGSAGMNRDLLWRFMEVQTRLITPICPHYAEHVWQKILRKEGFAIRAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGAAAPPPSEDSKLTVGLIYVNEHYYGWKEQCLRVLQSKFDSQVRSFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKAKKDEARSVGPHALNLKLPFGEMTVLEENLELIKRQVGLDHVEVLSASDKVACAKAGAHISMLDKTPPSPGEPVAIFISKQEFEAHH >OB09G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6521340:6521495:1 gene:OB09G15620 transcript:OB09G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center protein H [Source:Projected from Arabidopsis thaliana (ATCG00710) TAIR;Acc:ATCG00710] MATQTVEDSSRPGPRRTRVGNLLKPLDSEYGKVAPGWGTTPFIGAAMALFA >OB09G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6531124:6535131:1 gene:OB09G15630 transcript:OB09G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPPSKPTRRRLLCLPAVYPCEGITPAPLLASLVSLVADVAGRPACDVDAFPVLRRGVRTVVRLAGILLAFLEELREAVAAEEETAVPPSAVLGLTELHVAMQKLRFLLTDCARRGARLWVLVNAALVASELRLALGSVAAAMDALPRGVADASVEAGELARLVSKQAWPAWVRPDGADERAARSVRSILEQFKDGVAPDPEDVKRVLRRVRVGSWSECSEEIAFLESELCARLDAGDENSNDVVVMNSLMAFLVYCRVVLFDHIDSNKSQAAATTPARCPEWIRPDALQCPITLDLMTDPVTVSTGQTYDRASITRWMKSGCRTCPVTGERLRTADVVPNAALRGIVERMLLSNGVTLPEPSAAAGHRHGAIANTSVPFGPAAAGAARLAVAYIVAQLSRGSTEERRKATSEARKLSKHSVFYRACLVDANAVPWMLCLLSSTDAAQCVVTVHAHEDTADPSLGWDQTVIGTGGDAPTVFFGRHAVWNILSCKKVKRNCRKISSTENGKARLAQSVERKALNLVVVGSSPTVGAVTNYFYSGLDQLVMGHGTAGIVKKNGVDGD >OB09G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6536975:6538375:1 gene:OB09G15640 transcript:OB09G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:J3MX35] MSSAAVEAARRVVSGLREACATPATRLADVAAALAGEMEAGLAVEGGSRVKMIVSYVDSLPDGGEEGSFYALDLGGTNFRVLRVRLAGKEARVAERVAREVPIPPRLMSGGDATSERLFGFVASALAEFVADEGHDADGERQRELGFTFSFPVRQTSIASGTLIRWTKAFSVDDAVGEDVVAALQTAMSKHNLHMRMSALINDTVGTLAAGSYYDDDVVAAVILGTGTNAAYVESADAIAKLEPSQLPKSSNIMVINTEWGGFDSPCLPTTEYDRALDKESLNPGEQTYEKLISGLYLGEIVRRVLLKISSRCSLLGDVGGGTELRTRFVLTTPDVSSMHHDETPDLTVVAKTLADRLNIRGTSLETRRMVVEICDIVATRSARLAAAGIVGILRKIGRGGPGDERRSVVAVDGGLFEHYAKFRRRMESTLEEMLGEEAAARVVVKLASDGSGLGAALVAAAHSQS >OB09G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6539802:6542843:-1 gene:OB09G15650 transcript:OB09G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPAFVEAVSELRPRLEAALAAMRPRVGLLVAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGPGRGAALAAMRPRVGLLVADALLYWAHDSAPALGVPTVAFFGANMFAQVLREVILRDNPASILADGGAAATFPVPEFPRVQLKLADMPVPFNDPSPPSLALEMDAKLGRAIAKSHGLIVNTFDAMEGHYIEHWDRHHVGHRAWPIGPLCLARAALLPCNGAGAGASKPPWMQWLDEKAEADRAVVYVALGTMMAVPEPQLKEIAVGLDEAGVDFLWAVRPSDADLGAGFEERVDGRGMVVRGWVDQWRILQHGCVKGFLSHGGWNSAVESISAGVPLAVWPMGAEQPLNAVLAADELSIGVRVRVPAAGTGHGLVRSEEIARVARELMMMDGDVKGPGAEAARNVAALAAKAREAGAGVPLAVWPMGAEQPLNAVLAADELSIGVRVRVPAAGTGHGLVRSEEIARVARELMMMDGDVKGPGAEAARNVAALAAKAREAVCEGGSSWKTLEEMVAAVCLPADAGPIPTK >OB09G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6541750:6542910:1 gene:OB09G15660 transcript:OB09G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLTMRPWLLAMALPSLASISKARLGGEGSLNGTGMSASLSCTRGNSGTGNVAAAPPSARMLAGLSRSITSRKTCANMFAPKNATVGTPSAGAESCAQYRSASATRRPTRGRMAASAAPRPGPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXATRRPTRGRMAASAASSRGRSSDTASTNAGNEDMESRASTHSAPRGPAASGTEAR >OB09G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6549505:6552787:-1 gene:OB09G15670 transcript:OB09G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLAFSSAAAAPCRVAGAGGGQMLLFGGHGGFLGGSPAVAGVEDAERRRKRPFLTTSHKELELQLDLVEELYGVGLDEQGSSSSEAAAARTKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKTKQLKLDFDRLRAAHDELLAGRDALLADNESLRSQVILLTEKLQANGKSPSPAPANQTAVPTTHATVRAAGSPHYQLEEGGPYAGSTTTAGGDAMPSPAALLVRGSPESYFAGARSPPSSEEEEDGCGVSDDDPSSSAALLSGAVLVGASGFEHAVAPAPAPATATVAADDEAPLSSWEWFWN >OB09G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6564007:6566202:-1 gene:OB09G15680 transcript:OB09G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKKKLGRTLTRFLSKPPFNLAPPKPTAPLPPPPIERPEALPGMPHGHPPPAFPGGRDAFPRAASTVVPDPARFFAPTLLSSPLPTNSFFQNFVLKNGDQPEYIHPYSVRSAAAALTLCYPSRNHSPSFDIQTFVEDLTVSAPADAAAGQRHRVAAFDDLSVTLDVSPSLRAFLVRGCPFVTVATADAAGPVDISVASVHAFIEVASCDDTLTKWRLRMNSGQTFFLYASAPIRLAQSSVTQLAAPGFSGVIRVAYLPDPSMEAVLDRYSRCFPPAGEASLNRPFCVEYTWRKQGWGDLLMLAHPLHLRLLSEDCSVRVLDDFRYRSIDGDLVGVVGDSWVLRTDPVSPTWHSMRGISDDGVGEIAAALRKDVDALASSPISTTSSYFYGKAIARAARFALIAEEVGCPDVIPAVQRFLRATVTPWLDGSFQGNGFFYEPKWGGLVTLQGSKDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMPQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLVSTGATLTALEMLAAQTWWHVRDGDTVYEEDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDVGFVRELVDWTAPALSREGVGEGWKGFVYALEGIYDKESALRKTRALAGHDDGNSLTNLLWWLHSHGSANDGVSRCCWYRQYCH >OB09G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6571632:6575661:1 gene:OB09G15690 transcript:OB09G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 17 [Source:Projected from Arabidopsis thaliana (AT5G23050) TAIR;Acc:AT5G23050] MLYYGCYAGFPSATPPTWTPDPDEAVLTNVGRVLEARGREFLGEAYKDPIASFSDFHRFSIENPEAYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVMNAAANCLAVKPGRNSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLDKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRLKISETKAIFTQDYILRDDKELPLYSRVVEAKAPMAIVIPVRGSTLVKGLRADDLSWEDFLGRVNHTKADNYTAIEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIQRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSNIRCFSSSGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNNGNPLPQDSTGTGELALDPTFFGASTTLLNADHHDVYFNGMPEWNGKVLRRHGDEFERTADGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDTILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >OB09G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6577042:6579023:1 gene:OB09G15700 transcript:OB09G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPTMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLMRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >OB09G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6583338:6585208:1 gene:OB09G15710 transcript:OB09G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYQGKGGRDGGKELCRKIHSEIVNIILISVLPHNNFISDALTIRSLLSTSNNFFPLGSGKCSFLQFVGPDALIVLRLALVADAAAHHGWAPQVKRKDATPQCIHTDHPDLPVSAFSPTGQKMHDVG >OB09G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6597305:6597511:-1 gene:OB09G15720 transcript:OB09G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPLYFLASFLLPALKLKANPHLALASPRQLAFFLARILLLLLLRRGGEGWMSCSGGGKVARFQGWPL >OB09G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6597655:6601951:1 gene:OB09G15730 transcript:OB09G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLASVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYRNEADPHEKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVRLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNSPPKTQAHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGISILIDSFFGTANGTSVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGKFGALFASIPLPIFAGMYCIFFAYVGACGLSFLQFCNLNSFRTKFILGFAFFMGISVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIETHNSTVRKDRGYHWWDKFRSFKKDARSEEFYSLPLNLNKFFPAV >OB09G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6621632:6623375:1 gene:OB09G15740 transcript:OB09G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLGRPPPPPVMRTNPGHYVALVILRISADKAAAGDAPAAAAASAGAGGKDKPAAGGGGGGGAKITRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGDPLADVAEEEESACDDQTRTTLLVLKMFRVPLGGPGDASPDQAAVHGVPVELQRASPSSRSDATPRTCGIVGASRSGGASTAVVLGFSAAVLQAARGVSLNLEEQS >OB09G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6624615:6625187:-1 gene:OB09G15750 transcript:OB09G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWLTFRGIVDTEMQTLWKSPLPLKLKHFLWLAWKNKIQSAAQLRKMGWEGSVNCQLCNLIEDASHIFFHCPMAVFLWNVCKDALSWDRITVNFSDFLVCSFWPYCSKFPRVRLCLLAACCWQLWSIKNDMIFRYILVKFLHTIPFRMICCLLQWRLLLMEEEKETLDTWISELQRCSRNLQGARFSST >OB09G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6634628:6638666:-1 gene:OB09G15760 transcript:OB09G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDDDDASSPPPPQYDLLCAEDAGAAFLDAVAATTIASSTCTAEYGGGECCSGAGGEDRSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPDQGWAMQLLAVACLSLAAKMEETLVPSLLDLQQVECTRYVFEPQTICRMELLVLTALNWRLRSITPFTFIDFFACKVDPRGKHTRYLIARATQMVLATIHDIEFLDHCPSSMAAAAVLCATGETPSLASLNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSCNSSSPPPAKRRKRSPPGT >OB09G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6641230:6643523:-1 gene:OB09G15770 transcript:OB09G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLWAAAATLNPPLLTLSPPSSSRLNFVSPARRRPVPGGNLRSRRPAKFVCRRAKNAGYDDYKFPDPIPEFAVQETSKFKEHMLWRLEQKKDDYFGEHVEEIVDVCTEILGTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >OB09G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6645273:6648996:-1 gene:OB09G15780 transcript:OB09G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSPPPPAPLPHLQPRRGFHVELGAREKALLEEDVALKRFKSYKSSVKQVSKTGNVLTGVVLCACAYEIYMRAVSS >OB09G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6651076:6654568:-1 gene:OB09G15790 transcript:OB09G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G59990) TAIR;Acc:AT1G59990] MALVLCPNVMLCEQVVRMANSLVDESGEPLKCAAAVCGPKGWPAVQPDILVVTPAALLNYLFDYDPENRRRERFLRTVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMENSGKEISLGDTNEHREDSESESAELSADEEENEDGPVQDRPFKVENAHVGARKKDWRRVRKVYRRSKQYIFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVTADTQVDALLDAVKYGLKSEVHDPKLGPNRTMVFTNTVDAANSVSDILRHVGLPCILYYRESSLEERANNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALQKSTTLLS >OB09G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6660510:6665926:1 gene:OB09G15800 transcript:OB09G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDTGELLAVKQVLIGSNNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTVNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGEPENLQPLNRDAQQETCVNELPAHVSSALGLDHNHSVNWPTVSSNKSSKIKPLWEGSCDEDDMCEFADKDDCPAIGSSYNPMSEPFDNWESKFVASPEQSSHQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKAFDLKKLQTPLYEEFYNTVNAGNSQVADHTSKGFFSNSPKLPPRGKSPTSKMRGGAATASTCDKLNSTRPESCSSQLSEGTVQSSRILREIASPQLDEFGNKIHSDVQDSPSLTFAERQRKWKEELDQELERERVMRLASCGKTPSPNRVLNGKRERHPAL >OB09G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6667369:6668079:1 gene:OB09G15810 transcript:OB09G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHNAVSFFFFTLCLLVLPRRKPWRGCVTGQKIFKFLTDCGSFQPPVSFFWASRPHCVAFLSAAAAPASDDAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRAGTGGGEILPPMPPSSSETCCDIWRGDEVVVVVVV >OB09G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6674055:6674243:-1 gene:OB09G15820 transcript:OB09G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTLRAMRNEADDAADLTGSSLECGDGDDYAGSIVSDGLMSFRRRQRELGSSSCSNTAEF >OB09G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6674218:6675801:1 gene:OB09G15830 transcript:OB09G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVFLAMSRRTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEELLLLRRPLDGVFGFGFYLLGVWVLCG >OB09G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6690411:6694177:-1 gene:OB09G15840 transcript:OB09G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome family protein [Source:Projected from Arabidopsis thaliana (AT2G19560) TAIR;Acc:AT2G19560] MAAYLSMGEAHRRIADYLSRLADSVSSSDGAALASLLAVSSAPASTPLSDALSAFPDFPRLATDRYPHLADLLAPLLRAVHSHSLGRFADAYSSFEKAANAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELATSGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLIADQKLTYALMHCNPQYESNLRRILKFLIPVKLSIGVLPRITLLERHNLLEYADVVTSLKRGDLRLLRQALERHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLEIVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPI >OB09G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6706562:6709665:1 gene:OB09G15850 transcript:OB09G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:J3MX56] MVGQLPARRAAGLILQLIRQGKIAGRAVLLTGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPVSAAAAGGGSPAPTGVAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITAIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEAEGDDAMQS >OB09G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6716416:6722047:1 gene:OB09G15860 transcript:OB09G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKQGKKDVEEFYTIKGTNKAVRAGDCVLMRPSDTDKAPYVARVERLETDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYICRPCSSVSDHLIGRPFALRSACDNCNVGFACVDYNWWFMCRYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCADCVKENGTKRPSNSYPASPNSDSKVEPKRRKRALGADRRHGGGASGRRRRHAHHIRRFVFGLAVSNGPVGVAPVEKVEDFVVGLLLSLFFALSGLRTDTAKITSVHAAVLLMVASMLAAVFKVVAAVGVAGVFGMSLSDGRCIGLLLNTKGIIELVILNIARN >OB09G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6723390:6728825:-1 gene:OB09G15870 transcript:OB09G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVQRSSIGVGGPADAVEDIQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAADTIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILVHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTSDAAGSKKTPTGNTSQTMTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATHQALLEALGSNGAAGSEAIAAAEKHAAMLTARAGARDPSAVPSICRISTALQYNSVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKAVSERWLPELRSAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSTAGDD >OB09G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6731874:6732670:1 gene:OB09G15880 transcript:OB09G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLAHSEVSQAVLCCLKTLWSARKPKLAASRQFELPICPTKLGGTSSACAPARSWNDLMVARETKEGDSSFGGTMLLPPDTEQMYLNFGGGNDFKQKLDERVADNLTFDWNSVPSSQLPSNVGTHHSMSQRWNNSNSNRSNIGARMIVQTSSSAQQLCHRIL >OB09G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6735023:6737869:-1 gene:OB09G15890 transcript:OB09G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVAAGKKVTVAAVQFACTDVEAENVAAAERLIREAHKKGANIVLIQELFEGHYFCQAQRLDFFQRAKPYKGNPTIIRLQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATVGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLEEIKSTRHGWGIFRDRRPDLYKVLLTLDGEKS >OB09G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6745364:6745819:1 gene:OB09G15900 transcript:OB09G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRGRGEGGAGAAAELRRGAGDPGAEPRADPGDQPEPRGPRRRRPHPQRRPHPGAQHQHRPRRRPLRQPLRLLLPLRHRRRRQRQQQHLPLVRRRCRRRQGEQAAPRHRVNPTTTPSAPAIRFIPFSSSSSSSFFSRFLDLLSSSRSSRF >OB09G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6749799:6753076:1 gene:OB09G15910 transcript:OB09G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTRWGQHSNLAFLEKHMGASFEKRSQPWVTNIRKEEIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKGEEIIAIVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWDYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPFAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTEADKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >OB09G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6758998:6761693:1 gene:OB09G15920 transcript:OB09G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAVAEEKRIIFTGHSSGGSIATLSAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDHTFNHAVRREGWSQCILHFVMPVDIIPRIPLTPLSSVTEGIQAVLDWLSPHTPNFSPSGVTPTITQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSSEQLAVVTNSDAVLQLLFYCLQLDPQQQLRDAAERSLNAHWQYEPIKQIMMQEIVCVDYLGLVSSTIPGRQMSGTAVGGLELSKEAMLSLSAAGQWEKQRETNQAKLDGASCTKIREVLKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVRRLELAGLWDEIVEMLRKRELPDGFEGRQDWVSLGTMYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQLQHISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVAKLESDAHGWFMSGSLGKDVFLSCSSFVIWWKTLPEKHRSASCIAKLVPW >OB09G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6766346:6770091:1 gene:OB09G15930 transcript:OB09G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCQLCGDKVYCATCIKNKYPEMHDAEIRDACPFCRKICNCTRCNRIAESDGPKNSLIRRCNITSSVKRKVRPAASGLRFKVGTAVAWTKTNDQIEANSRINNESSILYKADTLDVKADEVDAETKNKYASYMLHYLVPHLTKLNKDQMSEMEKEAKIQRLELSQLTVEQAACRNDERVFCDNCKTSIFDLHRSCPNCSYELCIICCKELREGKLMGSCEEELFSYPNRGSDYMHGGNGDPVSELINYKETCMSSDQSNDTKWCVESDIIYCPPTKIGGCGGKHALKLKQIFSKDLLSQLEVNALQMCKKLELSDIINRDTCECLCATDQGSSRKAASRENSNDNYIYCPVSGNGKPDDLTHFQKHWVKGEPVIVQQVLQKMSCLSWEPPDMWSKVHGTSTSPEMKNVRAIDCLSCCEVEICTQEFFDGYYDGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKYIDSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAKVDPPEEQKDAIKSLKRIHTAQNEKECFGNAATDGSYTSKICEDANDLSSSEISEVDEGGALWDIFRREDVPKLKMYLEKHCKEFRHVYCSPVLKVSNSVHDETFYLTKEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEFKLITRCI >OB09G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6771566:6771994:-1 gene:OB09G15940 transcript:OB09G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIEPSRDVTPPCHPLYAHHGGHGGDMGLGRPQHRVAMVAADQSTPSSHNPCDRKPSYALCRSASLRRRWLGATLLGTRSPAALSFLVCIRNESPTTRRPWVPTLASTSTTPISTSLTRGGAIKLVYWGHRGQNTLILLNF >OB09G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6771923:6772649:1 gene:OB09G15950 transcript:OB09G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVSIERVTRWRDVARGLNLLHGDDKDPTPKGVAQPRRRLISPWGCEVAEAGGGSKFYYTTFTAGKGILISEYKTWEAWQSDT >OB09G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6788134:6789693:-1 gene:OB09G15960 transcript:OB09G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNHAPKSLAVLLRARMYPDAVGPAPPPPXXXXXXXXXXXSLDRGGRREILRSLAADYDVPRARVRDLMRQYLSAATAAGEKEEEEHQEAAGEDGGGGGGSASAMYRMERGLREALRPKYAGFLETMNAQPGGLKLLAVIRADLLALLGEENVPVLRALDGYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTATSIQEVLWDDPPTPECEARSALFYSISSTQAYQVLTWENSFLSV >OB09G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6798058:6799741:-1 gene:OB09G15970 transcript:OB09G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVTMLAWGAIDFADDIAAAGEWHHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRRSNPHYSHLLLHHAQQLFEFGNRYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGREEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKCCIQD >OB09G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6831360:6835831:1 gene:OB09G15980 transcript:OB09G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05030) TAIR;Acc:AT1G05030] MANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSAALVDNFGCKRTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGSLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGVLIVVGMQFAVESPRWLAKVGRLDDARNVVERLWGPSEVEKSMEEIQSVVANDDSQTSWSELLEEPHNKVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFGGAIVASNLMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHSLSITGTLLYIFTFAIGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVKKFGVGAVYAGFGGVSLLSAFFAYNFIVETKGRSLEEIEMSMSPAAPGKQE >OB09G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6842525:6844837:-1 gene:OB09G15990 transcript:OB09G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKHIILPRLITSKLSQRQKLCGHRNVAEISGVVDETLGKRPLDGQNDMLRYRVFTSTWNVGGMTPSSDLDLEDWLDSRANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALHKRRGAAEMHPEITNSSAMERSAQEDHFRCIMSKQMVGIFMSVWVRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILIRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLLEFSTGQHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECDIRGDADCACGCITLSSSSD >OB09G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6849488:6852278:-1 gene:OB09G16000 transcript:OB09G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCLQGHGGSDGVHGYVSSLDHAVGDLKEFLEDIVLEENYGLPCFLFGHSTGGAIVLKAALDPCVEVHVEGVILTSPAIHVQPAHPIIKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLNVLQRW >OB09G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6860853:6866440:1 gene:OB09G16010 transcript:OB09G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:J3MX72] MASLTLPPALTNPRQDAIDLHKAFKGMGCDSTTVINILTHRDSMQRAIIQQEYRTMYSEDLSRRISSELSGHHKKAMLLWILDPAGRDATVLRDALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFAERSWAHLASVASAYHHMYDRSLEKVVKSETSGNFEVALLTILRCAENPAKYFAKVLRKSMKGMGTDDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAIHSETSGNYRTFLLSLVGSH >OB09G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6900950:6905827:1 gene:OB09G16020 transcript:OB09G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGGSGDEDFTGGGQAASGGDSMCGMRGSAAPFAEHRSASEGAASSVGGGDMDPSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >OB09G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6916796:6918392:-1 gene:OB09G16030 transcript:OB09G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPLLLSLVVLAGADARPPPLRLVRPARSIAFDEGYAQMFGDGNLALLRDGRRVHLSLDESTGAGFASQDLFLHGFFSAAIKLPADYAAGVVVAFYLSNGDTYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYDLPFDPTDAYHHYSILWTRHRIIFYVDETLIREVVSTAAMGAAFPSKPMSVYATIWDGSAWATLGGRYRVNYKYAPFVAEFADLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTAATAARAAPPTPRRSPRARDCTVAAPWSPAGTVRHCHGAM >OB09G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6929314:6929478:-1 gene:OB09G16040 transcript:OB09G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGPAKGRRKRTEGERERKEERQVAPFPEQPAVPRGTILVNQSAHIWCYRDRDS >OB09G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6929786:6930148:1 gene:OB09G16050 transcript:OB09G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDRFERQDEYLEGVAEVGKLLLGLSLSDLFLWSRLENLISSKTSWTCYYGYRRKNATTSHPNTLSPRSSPDAALGLSSPSIDLPPPPPPRATPPMRCPQPVARRCGPTAGRERGIERV >OB09G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6948412:6950208:1 gene:OB09G16060 transcript:OB09G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLVHDEEKQRLLLEEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYHRELQREQEEIDTVPDTEAAEIAEILSQYGLGPQEYGPVVNSLRNNPKAWLEFMMKFELGLEKPDPRRAVTSAGTIALAYVVGGLVPLLPYMFVPTADRAMATSVAVTLAALLFFGYVKGHFTGNRPFLSALQTTVVGALASAAAFAMAKAVQSI >OB09G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6954636:6955177:1 gene:OB09G16070 transcript:OB09G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLECKRQIEKSNAAGTSRDEGISEHENEEDEHLKMKSTFDKLCLCLDKQERLVQEIEVLMEDDKKYVQAQQFMVAIPSFICIGLILDRMRILVDSNILYICFLPATFYFSNCPHSSHHLPLTQYMVEKKCTIDKNNTHIIWITRYNTLVSC >OB09G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6958062:6958552:-1 gene:OB09G16080 transcript:OB09G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQSCHVIVSDVVRQFRHATDNDMAKPFRHVNVGDVAPKAQFRSNKNSIWALICPMRTISVVKD >OB09G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6978082:6982271:1 gene:OB09G16090 transcript:OB09G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELAAGGGXXXXXXXXXXXXXXXXXDDAASDQSSSHAPRERTIIVANQLPIRASRRGGGGGWEFSWDEDSLLLQVKDSLRAHPDRPDMEFVYVGGLRDDVPAAEHDEVAQQLLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRTLWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVSELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYAMVQRINEAFGRPGYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDRILGITPSTRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHDKHHKYVNTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVSAYRRTTMRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLCRDQNNMVFLVSTKKRSTLDEWFPSCDNLGLAAEHGYFLRLRRDAEWETCVSVTDCSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIQENCLLPDFVLCIGDDRSDEDMFEVITTAVQDNRLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMHGVTPLPLDAADTALR >OB09G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6982454:6985691:-1 gene:OB09G16100 transcript:OB09G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLGGHGGMDLRPTNQEEGSLAGPDQIGSSTFAGFLQQEQQVLGDRTARQNKGHHWNRGKFNGNHWELYADGKPIGKGRLADLNRAKNLALYSIARWVDSELVQYPQMKVFLRTILPRHFVIGYWNTEGSCGNTIHLSNGSELRDEGHISNSTFKLQQELTNACTGAFLFGSDSLAFFSSQIWNLLLLQSLIETPRVYTYSRRNINMGSVHCAKSVDYLFVSFLLLE >OB09G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6985367:6989057:-1 gene:OB09G16110 transcript:OB09G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTHFVVPAARFALDPAAAGFLTTYTFGTHTAKHTFCRACGITSFYTPRSNPDGVAVTVACVDPGTLSHVEYRHADGRNWEKWFAGSGISGFSKPQEAAPAPPPPPAAAEVISEFLGGGRQEQQLLGYSTARRNKECDYAERKMGGRNDAATLFRGKLSGNHWELYADGKPIGKGRLADFNRAKNLSLYSIARWVDSELVQYPQMKVFLRLISPRHFANGDWNTGGSCGNSIPLSNGSESTLTSQLTHVAVEYREDKDEAEAETEDEHAAMSSEATADVVHSGGCHCRRVRWTAEAPASVVAWICNCSDCSMRGNTHFVVPAARFALDPAAAGFLTTYTFGTHTAKHTFCRACGITSFYTPRSNPDGVAVTVACVDPGTLSHVEYRHADGRNWEKWFAGSDISSFSKPKEAAPALPAAAAEQVQ >OB09G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:6993555:6995204:-1 gene:OB09G16120 transcript:OB09G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGCLHRPLLRKLCLGLAALLTVLVVILSLEEAPVLTIFSSTPEKLKILSQGFLQQEQQLLADSTARRNKDCNYAKGKWVADKKRPLYSGNECKQWLSKMWACRMMERADFSYENFRWQPHGCEMPEFSGPNILRRLRHKTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPGTNLTILFYWSASLSELEPLNSTDSVTNYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPIGKGRLADLNRAKNLTLYSIARWVDSELVQYPQMKVFLRTISPRHFVNGDWNTGGSCGNTIPLSNGSEVLQDHSSDLPVESAVNGTRVKLLDITAISNLRDEGHISNSTFKGKASTGINDCLHWCLPGVPDMWNELLIAQI >OB09G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7002768:7007580:1 gene:OB09G16130 transcript:OB09G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73 kDa subunit-II [Source:Projected from Arabidopsis thaliana (AT2G01730) TAIR;Acc:AT2G01730] MGIESKRPTARGNHLLLAGGHPNQPAARPRRRRRAAFAGRRRRGRRRGSSGGCGMAIECLVLGAGQEVGKSCVVVTIGGKRVMFDCGMHMGYHDHRRYPDFPRVLAAGASDYTAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMKKVIPLDLKQTIQVDKDLLICAYYAGHVLGAAMIYAKVGDAAVVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTVQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTKVDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPNHVILVHGEKPQMAFLKERIESELGIQCCYPANNESVTIPTTQNLKINATEKFITSCCVDRTENNPQNRSLVFGSDMPEGCNTEGVAEGILLMEKSKAPKILCEDELLHSLGMEKHYVHFEPLHSSRTEEENTVLE >OB09G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7013970:7014194:1 gene:OB09G16140 transcript:OB09G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAVLMVVVLLVAAASDLQHAADAAGAPRRLLGAAAGDSPAMVSASMASSRPSGCTHDTNTPPNGPCPPNAP >OB09G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7028003:7028275:1 gene:OB09G16150 transcript:OB09G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATATAPARVIMTVLVVAAIVFPATLPGAAVARPVHVGGGTGGAGGGPQAAAAAAMNWHGGLTAVQLADPVPSCCTNSGAPMTCPPGVKCP >OB09G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7029953:7030262:-1 gene:OB09G16160 transcript:OB09G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCNDPRCFSSFVDGSNNKVGGTASFVPKSLVEQFRCIANCFFLVIAYAPLVRSRPSVLPPSCSRSSIVVRVAMAKGGVKDQWRKQ >OB09G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7035670:7039750:1 gene:OB09G16170 transcript:OB09G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPELVLCSDATRTKETLKILQEHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVEAFSLAGLGGWKLHGIVKP >OB09G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7048798:7049055:1 gene:OB09G16180 transcript:OB09G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAGKAVAALLMLLVAMAASLQAADLVAAAARPVGGGGGGGDHQQTALLAPAPPSAAGAGLAVSLEGSSSSSSSSSLEVILE >OB09G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7058186:7059787:-1 gene:OB09G16190 transcript:OB09G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTAVLGWAARDPSGHLSPYSFKRRVQKEDDVTIKVLFCGICHTDLHVIKNEWGNAMYPVVPGHEIVGVVSGVGGGVTKFKAGDMVGVGYFVNSCGSCDSCGEGYDNYCPTSVITSNGTDYDGATTRGGFSDVLVVKQDFVVRVPGTLPPEGAAPLLCAGVTVYSPMVEYGLNAPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISTSPSKREEALERLGAPAVLPSRGGEEMAAAAATMDGIIDTVSAGHPLVPLLSLLKPKGQMVVVGAPSTPLQLPAIAIIDGGKRVAGSGGQRGRVPGDAGLRRGARHRGGRGGGRHGRRERRHRPPREERREVPLRRRRRRHHTRRAGLGSHSRSFWRVGVQACASTVSSCLRLACIRVTSVASCRRLV >OB09G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7063579:7064286:1 gene:OB09G16200 transcript:OB09G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFASLSAQGHIEVSYLGVAGAGGEAAGHVNDEAVADVVLLQPLKRRVDVVHLDHLHIGVDAVLAGHVEHGLAFPDAADAVAGDALPTGHDGAGRQLQQPGRHAEEYNLPHRLHQGEERRDRVPRRRRVEDAVHGAGRRRHLARVAADEELVGAKVLQRLLPLPRRRADYGHTHAERLAELDGEVAEPAEADNAQVLARRVQAVEHHRAVHRHAGAKQRSRLVQRHPRRDAEHV >OB09G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7058592:7065025:-1 gene:OB09G16210 transcript:OB09G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGKAAVGWAARDDSGVLSPYNFSRRAQKDDDVTIKVLYCGICHTDLHIAKNDWRNAKYPVVPGHEIIGVVTDVGSSVTKLKAGDTVGVGYFVASCRSCETCGKGYENYCSKIVSTCNGVDHDHGGAVTQGGFSDVLVVNEHYVLRVPPGMPLDKAAPLLCAGVTVYSPMVLHGLNAPGKHLGVVGLGGLGHLAVKFGKAFGMRVTVISTSPGKRQKALEHLGADEFLVSRDPGQMAAAAGTMDGILDTASAWHPITPLFSLMKPMGQIIFLGVPTRLLELPACAIVPGGKGITGHGVGSIRECQTMLDMAGEHGINADVEVIKMDYVNTAFERLEKNDVRYRFVIDVAGSLASGAGDAKI >OB09G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7072765:7074277:-1 gene:OB09G16220 transcript:OB09G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQGKAAVGWAARDDSGVLSPYNFSRRVQKDDDVTIKVLYCGICHTDLHIVKNDWRNALYPVVPGHEILGVVTDVGAGVTKFKAGDTVGVGYFVTSCRACETCGKGYENYCSKMVTTCNGVDHDHGGAVTQGGFSDVLVVNEHYVLRVPPGLPLDKAAPLLCAGVTVYGPMVLHGLNAPGKHLGVVGLGGLGHVAVKFGKAFGMRVTVISTSPGKRQEALEHLGADEFLVSRDSDQMAAAAGTMDGILDTVSAWHPITPLFSLMKPMGQIIFVGGPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAFERLEKNDVRYRFVIDVAGSLASGAGDAKI >OB09G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7080351:7081313:-1 gene:OB09G16230 transcript:OB09G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRSSQAAANSAKKLVFVGGEPDVPYDLETLLHASAEVVGRGWLGTTSRATLEGGAAVVAVKRLRDAPIPESEFRDKVATLAALRHENLAPLRAYFYSRGEKLLRVTGYSAPELTDSRRASREADVYSFGVLLLELLTGRIPANAVPGLDGVDLPQWVRSVVQEEWTAEVFDASVADEAHAEEEMMRLLKLAIECTEQRPERRPVMAEGAARIEHIVDGAVRNADVDDSESVSS >OB09G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7086818:7089017:1 gene:OB09G16240 transcript:OB09G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPPVTLRHIKGVEAGVAHITRFTAAVTPPQKPYPMKGGATSDGIRHRRASTSPELPRPSPSPSAPTPEIDDDGRFLLLEDDIRKLHANTTSKYNLSFLGLISLKLSCIIMPSTLSNATDYY >OB09G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7089912:7090175:1 gene:OB09G16250 transcript:OB09G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARSPFAPMEEKAQQLDQQGAGGVYLIHSQVMRIKKEEEEARELLLELQLLETRPAGGRCPATTLRASRSLSPLRRAGGIIPVGE >OB09G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7099720:7100764:1 gene:OB09G16260 transcript:OB09G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEAEEKTVIDLHEQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLHPAPANPPPLPADGDGSPEEEEGVEDKTSAAVLGSATTSTGTDGGGGHDVFCTDEVPMLHLDDIVLPGVDVGTATTGSPFSESSATSSSSSYSASASSGGIDEEWLGSIMEWPESMYLVGLDVDDMVTAAAAATATSSSWEFEDPFNTYQRIALFDHGHELTWA >OB09G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7112038:7116644:1 gene:OB09G16270 transcript:OB09G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRLSAWLTQRCISSSTTTTARWPGPAVTSFPGQGLEFKNLSYSVTKKQKKDGVKMKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLSFAAEVRLPPSLSRAEKLKRVWELIDQLGLQTTAHTYIGDEGTRGVSGGERRRVSIGVDIIHRPSLLFLDEPTSGLDSTSAHSVVEKVKDIARGGSIVLITIHQPSFRIQMLLDRIVILARGRLIYLGSPGTVPAHLAGFGRPVPDGENSIEHLLDVIKEYDESTSGLEPLVAYQRDGTMPDAAARTPVPRTPARTTPRHKSVQFRQIHLKSNQFSTFESSYNGGGGGGDDDFDNSLERKSQTPMHAGGGTASGYQPRLASQFYKDFSVWVYNGVTGSTPQRRPTWTPARTPSRTPVSSFQRGRVVTATPHNGHPPPPSSSPQVPVFKPEEPAYREYELGPELELEPPPPLDAPEHDGGRPKFANPWAREVAVLSWRTALNVVRTPELFLSRELVLSAMALILSTMFRRLGAGDIPTVNRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVVASLVVYLPFFAVQGLTFAAITKLMLRLRSSLLHFWLVLFASLVTTNAYVMLVSALVPSYVAGYAVVIATTALFFLTCGFFLKRTLIPAGWRWLHYVSAIKYPFEALLLNEFNHGRCYSGDRAELSTGPLGGFKPSHRRQELNLSDAACPLIGQDVLSTLDITVDAIWVDVAILLAWGVLYRLAFYVILRFYSKNERK >OB09G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7117257:7119564:-1 gene:OB09G16280 transcript:OB09G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraticopeptide domain-containing thioredoxin [Source:Projected from Arabidopsis thaliana (AT3G17880) TAIR;Acc:AT3G17880] MAMAGGSSFEDEIVESDIELEGEVVEPDNDPPQKMGDPSAEVSNEKFDQSQLAKKEGVDAISEGKLDEAIEHLTEAVVLNPTSAIVYAARASVFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIAAELKKVEPNVLKIEEHRRKYERLRKERDMKKAEMEKQRKHAEEISAASAVLKDGDVIAIHSSSELESKLKAASTLSRLAVLYFTAGWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKLAQHGSS >OB09G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7121006:7121563:1 gene:OB09G16290 transcript:OB09G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNFPSPRLNAEDSCGFASVRLAESMNTGQSTDQICSFVLGDSTQKLMVLGCPNDKDSSRLLERAMSVHDDLLSLALPIVSLFSSGLFTDGYGATVVTLK >OB09G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7123265:7123630:-1 gene:OB09G16300 transcript:OB09G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFASRGSLPSAPSTVVHMPEAHVFAPAKATVTEVSPTKPMLVQKPQLVSSPVSNISKPISIVSQAASLPRSASSSNVDSTAPKSSVPLVVPRTSLPSSAEPETLATTTAAAIMPRGMTVY >OB09G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7147278:7150248:-1 gene:OB09G16310 transcript:OB09G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) TAIR;Acc:AT2G01650] MMKEKMKDLMKKVTSGSSSASSFKGTAHVLGSGPSPSSSSSRPSNPSPSRPAPPRPGPRREAAGTARPSSGFAPYSPLISTSSRRPSRGGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVKRLLGNLLADAGNDKYRRIRLGNPRIKEAVADREGGLDLLEAVGFRVVDEGGELFALMDEVPGDARLGGIRQAVLLLEKAHPSTPQQPQADAKESCPNGVSEQHEIKKPVDRQIRVFFSVSESSAAENDLPDSFYSLSNEEIRSEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQALIRIQFPDGVILQGMFLPAEPISSLYEFTASALKQPSLEFDLICPAGPKTRIISPFPKPGERARTLLEEDLVPSARLTFKPKETDSVPFTGLLDELLVASEPFTSASS >OB09G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7152559:7153409:-1 gene:OB09G16320 transcript:OB09G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group A [Source:Projected from Arabidopsis thaliana (AT1G14900) TAIR;Acc:AT1G14900] MATEEDTPTMAAAEGEDPKPASDNLPSYQEMILGAIEALDDKNGSNKTAISQYIEEKYEGLPAAHPSLLTAHLARMKQTGELVFSKNNYFRGGDPAIPPKRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKATSGMPRARGRPPKKAKVDQGAPIGAPAASAAAPEAGAAPVKRGRGRPPKVRPAAAPVGEPAAA >OB09G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7163494:7164279:-1 gene:OB09G16330 transcript:OB09G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSKDAAEAAEKIMQLCVARDQGGAGAPSPALSKSGPVSAMSGRKTSAMKKEVPKTWKSLPHIELHVPINQAHLKILVDQDRIGHI >OB09G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7164113:7164811:1 gene:OB09G16340 transcript:OB09G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFFMADVFLPDIADTGPDLDSAGEGAPAPPWSLATHSCMIFSAASAASLLSFISRATSDLGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRARRAGAPPRTPAAGGPGAPAAPRPPSPASCTGTWRRPPSSPWPRAACPRERCPWRRSRRGRGGFARGT >OB09G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7164279:7164764:-1 gene:OB09G16350 transcript:OB09G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGKRRVAKVMTVDGATSRYKTPATAGAALRGHPGHQLLESEEVRRLGVRARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSRRWRG >OB09G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7167460:7169478:1 gene:OB09G16360 transcript:OB09G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDVEYEVPHSGETPCGIELERASHVAFRCDANNPHWNCSVTEEETNTRRSRKSQAQTACADVSCHGLSAFGLSQWTIFHNDSPNDDQDWATDHYNSQSIDRPMMMMMIDPICSSKQSIQKNRFSACYMVNQKAVI >OB09G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7196360:7197972:-1 gene:OB09G16370 transcript:OB09G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVAYSIVVNGYLGGAGGDRVASRGAAAEGGVGDPVVLLADANEDPPRHGGAGRKLFVYFYASEPRLCLTDTDLIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGARWSHQRHVVAPAFMADKLKATCRTPLPLPRHWHPLPPRLLTRRLPVQGRVGHMVECTKQTIRALRDAAARGRREVEIGAHMTRLTGDIISRTEFNTSYDTGKRIFHLLEDLQRLTARSSRHLWIPGSR >OB09G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7208256:7213395:-1 gene:OB09G16380 transcript:OB09G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVDPDLEDPNPDVGELFRHYDGLYFRGALAGAGFSVRWSSPQTPPRVAGPFGSCIFEKPDNTITLSEPVLKYRSSTDTKNALLHQMIHAILFVKHHRKDCRGHGPIFRAWMTAINSCSVDDHQRPPDGYNITTRHDFSADNSPRSLNSSLWKCEYCGDTLVRAMNMGAPSDACCIENVDEYSTCGNMLCHWHNHKMGCDGTYANMGKAKRTQLTQDPNNVQASPGTKRCPTDMQMAKSQIAIQEPESPDSDGLQQDATVRKPEAEGKLLTLGNVKSTGRSSSKKGVKRHRPENTQDVNDMLTAPLKNPKLGLDLVSSWKRRVSSIVGSNNAKSSGGSASRKESKPHMPENVEESSVLPSLSQKKLKLNEDLVVSGKNETLSLVNCSNGKSAASNSSKKVREQHELEGVQKSCVQPASPPRKLRQDFVASVKTDISSLDSNCNAKVLRSSPLKCSGKQHEKADIQKSGALPSGSESKLKRQNEISSSTKAGVQDKSRNTQKNIDPPASPQTKLKQSVLQKQRQSKTRKSANEKFAVISAWLNYYESEGSSGSTEPLVNKRTERRRRARNRITYTRSRKRNAGVSSSIKTQPSEDGSSHSHAKAAASCLDIVASIPSKQVVNQSPRYQSQSPAPFMAIVPFDAANADPTSTSSIIDISDDD >OB09G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7217650:7221244:-1 gene:OB09G16390 transcript:OB09G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKNSAAAGVASDADHARLRELGYKQELRRHLSALSNFSISFTILSVLTGVTTLYNTGLAFGGPATMTLGWFVAGAFTMAIGLSMAEICSAFPTSGGLYYWSARLSGERWAPFASWITGWFNIVGQWAGTASVNFSLAQLIQVIVLLSSGGNNGGGYFASKYVVFAFHAGILLSHAIINSLPVAWLSFFGQFATAWNMLGVFVLMIAVPVVATERASARFVFTHFNTENHAGIHSKLYIFVLGLLMSQYTLTGYDASAHMTEETKDAGRSGPIGIISAIGISLIVGWGYILGITFAVAGKQDVAYLLSTDNDAGGYAIAEVFYLAFKGRYGNGAGGIICLGIVAVAVYFCGLSSVTSNSRMIYAFSRDGAMPLSSVWHKVNEQQVPINAVWLSAFISVCMALPSLGSLVAFQAMAWRPGRWRRWRRRPCASPTRCRSCSG >OB09G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7223474:7231480:1 gene:OB09G16400 transcript:OB09G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 6 [Source:Projected from Arabidopsis thaliana (AT4G02070) TAIR;Acc:AT4G02070] MSDAATAAKSPAVLGGGSGEETGDSTEDEDWKKDAVAEDDSEEVELDDEVDEELVAVKTRKGNKKNSLLMSASTPKLASGSGSVSISGSTLSKKRRKVDAGALDCAKKFSFEPTNTTREVELKVPMSCGQREQPLENAHTALTGEVAERFGQRQAEKFKFLGEGRKDAKGRRPGNPSYDPRTLSLSPQFLNGLTGGQRQWWEFKSQHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGDQPHCGFPEKNFEVNLEKLAKKGYRVLVVEQTETPEQLELRRKKTGVKDKVVRREICATVTKGTLTEGESLLANPDPLYLFSVAESYQCFSDKNKEGLTIGVCIVDVSTSKFIVGQFQDDPERHGLCSILSEIRPAEIIKPANMLSPETEKALKSNTRDPLINNLLPSMEFWDAEKTIHEIKQYYCSLDTPTAGAQNNFAHLPELLRELIEAGDKTYALSALGGSLFYLRQALLDEKLLPCAEFERLTCSGLTSPIRKHMILDAAALENLEILENARNGDLSGTLYAQLNHCVTGFGKRLLKRWIARPLYDHQEILQRQSAIATFKGSGHECAIQFRKDLTRLPDMERLLARLFSSCDKNGRSSKSVVLYEDASKRLLYQFTTALRGCQQMYYACSSISMLTSTEGSSLLNDLLSPGKRLPHVSSILDHFRDAFDWAEADRNGRIIPREGCDPEYDAACIAIEEIESSLENYLKEQRELLSDSSLKYVNVGKDVYLIEMPDNLREFVPRNYQLQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQKLIQLFVEHHSKWRKLVSVVAELDVLISLAIASDFFEGPTCCPIIKESYGPDDTPILHARNLGHPTLRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSIVALDELGRGTSTSDGQAIAASVLEYLVHRVQCLGLFSTHYHRLAAENEGSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGIPASVLRRANEKSIDFEASYGKRQYITKNKLGCAQEDKFAVIKDLFRVAKAMHRQKDQATSLSMLREVQKRAKVQVMGE >OB09G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7231668:7235255:-1 gene:OB09G16410 transcript:OB09G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPNGIPAAGAGSLASSVPIEAVLFDIDGTLCDSDPLHHAAFQEMLLEIGYNNGVPIDDEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEAKYRSLAKERLEPVKGLAKVVQWVKDHGYKRAAVTNAPRINSELMISLLGLTDFFQAVIVGGECEKPKPAPFPYLKALKELQVSAEHTFIFEDSASGTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSVLEEIDREEAKIKKVDA >OB09G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7236638:7237924:-1 gene:OB09G16420 transcript:OB09G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDVFSYNSMVAGYVAEGDMASARNLFDEMEQRDVVTWNSMLSGYARHGDMKNARKIFDAMPERDVVSWNSMLDGYAQAGEVETARLVFDGMPKRSIVSWNVILALYAKLRDWRECLGMFDVMMAEGNAVPNEKTFVSVLTACANLGDLEKGTWVHGLVRERWDRLVPDVLLLTTLLTMYAKCGVMETAREIFNSMNEKSVPSWNSMIIGYGLHGQSEKAFELFLEMERDGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYSMEPKSEHFGCMMDLLGRAGLLEQSEKLIENLQGKVSPALWGILMSTSQTQNNTQLGEFVGKKMIEMRPTEVGPYVLLSNIYASEGRWDDVEKVRKMMEEKGVEKDVGLSLIGSRGGLITEGSGLAPRNDVMLSMLGEMGLHMKRSSEQPNGRKRSAVVH >OB09G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7240288:7245212:-1 gene:OB09G16430 transcript:OB09G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLSSLTRKRGREEEEEEGVVDGDGEAAEKRPRAGEEGSEGASLLGLAGYEDEEEDEAAAGRARANGRHDEDEDEDEEEEVVVEEVDDDDDEDDVRRGPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVQTLDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYRNSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTMHSKLKSRRRNRSIIHDCFQGELEVVKEFHKKHIVEKKEDSDEQNGDASSDIVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKDNEKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >OB09G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7249169:7262575:1 gene:OB09G16440 transcript:OB09G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50590) TAIR;Acc:AT3G50590] MAYSPTASHIVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIQTYVVHYTLQLAVDSTIKLVGAGAFGFHPTLEWIFIGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIKNLALHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDIARKAFLHSHFMEGHAKSGPISRLPLITISESGNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNIAYSPKQHMFLVVFELSGPNGLAHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDRASLNLFNLKAVATKEALENNAAVLEENTFADKAATPTERQGPLQFTFESEVDRIFSAPLESSLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPNESVLQVHWQTTLRGPVVGILTTQRVMIASADLDILSSSSTKYDRGLPSYRSMLWVGPALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVIPTDINPRQKKGVEIRSCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRVTPRSLDILTKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLSQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTSMKSIPQWELAGEVMPYMKTTDAGIPSVIADHIGVYLGVMKGRGNVVEVSERSLVKAIAAASSDNANTASSESAQKNVANAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAGSTVDVNKLKEATKQLGLVAPITRTRSLSGTQEFNQAPMQLPTSGPAMPNSAIDLFGTNALVEPQAPSGATGPIIGGMGVTAGPIPEDFFQNTIPSQQLAAQLPPPGIILSRIAQPAPGTNAVRPVHNQNMMTNVGLPDGGVPPQALPQQAQFPQQPGMPMQSIGLPDGGIPPQSQPLPSQPQALPQPHGFQPSVPAMSQPIDLSTLEGPGQGKQAPRPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGTLSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPVPSPFG >OB09G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7271655:7276558:1 gene:OB09G16450 transcript:OB09G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGRGATNGNGRNSGRGGGRRARSDVVVGANTTMLAARRWIRFEIDSVSGDEGQRNIVNYYLRRATSVTSGERELAVVGTHRGNGRVTYVVHEPFLRSLRAMQVAAFVGVEQLRWQSRKEVVDWLGSLILDVRSEEVAICNNDGEDAKLGNISTPEDSSSSAAGNDSDHFKWLGPASHSKKGKCYKSFWRKGCTIMVHDFVYISVHDTKRMVAYVEELYEDDHANKMVQIRWFLTVKKAGIQLAPVGNDTQLIFSDELRDIGVECVDGVVAVLNAEHLDKFQITGKDSNWKPHPYLCIWQIDDDDNVKPFDITQLQGYSEQEIFRVIPYASPVTAHSDASDSSKNKLPGSSAGEQKRSEANHDQAVEESPTAGDARNVQSMVVSVPPCNASPAESASGLLSSAQEQYLEQYFSPGCTVECLSQDSGIRGCWFIGSVIRRNRDRIKVSYQHLEDSERPGANLEEWLRVTRTANADTLRIRLSGRPRVRPHNALERGSRSTIGLGSVVDGWLYDGWWEGIVVRVDDAGRLQAYLPGEKKMVLFRRDELRHSLEWIDSEWKAFENKQDMARRIPTAEALGIRIIAPRKASAREDRENVRQSDRGAAGARSAGAVANQGNSQGSEPPARSDVQNSSKGEKTRPDQPRRADDLGSSNFKYAGMPVPEEIRTDHSQPQVDLTDVLKSDGLNWTERRARGSFGPRMYSDGGSGSSSQEYNKEHSPSGDPDEFSA >OB09G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7276559:7279781:1 gene:OB09G16460 transcript:OB09G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLVKLMSLVGNFVCGEVIEERVKPVHLVKAGPGFRLQTEDAKNGELMRKQPEPFVHFVLSATAESSSSSPRKSCSFFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMHELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPQLAGDEGGGGGGHVA >OB09G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7278755:7281153:-1 gene:OB09G16470 transcript:OB09G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEEVAVAQARAQALPKAPGQEPPPPPPHKAAPPPPPPAPAKGIATPHAKKLAKEHRVDISKVVGTGPHGRVTGPDVEAAAGIKPKPKGPKVTPPPPPHPHPPPPPPPRAAAHPAPPMPAAPRHPAVLPPVPGATVEPFTAMQAAVSRSMVESLSVPTFRVGYSICTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPNEYSSGTFALSNLGMFGVDRFDAILPPGQGAIMAVGGSKPTVVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTL >OB09G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7291738:7291950:-1 gene:OB09G16480 transcript:OB09G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVLVLSHNPHGFRAAGLLPKSNSVATSTTRWCTCQRLQSSVLVPSIRLFVYTYKIKFGFLILTLELI >OB09G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7294311:7296789:1 gene:OB09G16490 transcript:OB09G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAGAGSSSDGERRRRRDELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVVVLEIVSGQKNSSFVPPSDSDADNLLDHAWRLYKKGRTLELLDPAVKSTAVAEQVELLVRIGLLCVQADPRARPDMKRVVIILSKKQSTLEEPTRPGVPGARYRRRSYGTRVGGGSHYSVGSTSGTCSSPSTSTASHATTSAASNAMTTTTSSTTTTHTMRSQGLPLHQEDKKE >OB09G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7297925:7298314:-1 gene:OB09G16500 transcript:OB09G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAVKVAAAALCVLVAVSVAAGQLKTPASTSTCPDVDVDVYDGAATPLLLSQPRELELIKEDDEARLAEELALLVADGAGATICPTSCQKCLVKCAASCVVDIIHPPTFVACFLKCAVVHSTCFAKK >OB09G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7300981:7301322:-1 gene:OB09G16510 transcript:OB09G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVKFAAVVCLLALVMASAAEARPADHDAAMLRLEMEVEEQALAAAEDLLAAGLLDDGGADAVGACSCGSKCKACMVKCGVKCVKGGIPRFPSCFVKCVFTTDKCLTLP >OB09G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7310932:7311261:-1 gene:OB09G16520 transcript:OB09G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVVKLAAVVCLLALVMASAADDHEAAMLRTEVEVEEQALAAAEDLLAAAVGPDCPCGPYCGPKCKTCLVNCALKCFRVIPFRGCFLNCVCNTDKCLKRIGSIPE >OB09G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7325921:7326162:-1 gene:OB09G16530 transcript:OB09G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNLLGFVAKPETMADWAVNLMIWHCTIPGKQGVSYFFLFSTC >OB09G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7325957:7326190:1 gene:OB09G16540 transcript:OB09G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAGDGAVPDHQVDRPVGHRLRLGDETLRRHRIITDQAGGATCEKKTRRFFLHALRSSARRPRAIPPDMAAAAAGVSS >OB09G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7326244:7330771:-1 gene:OB09G16550 transcript:OB09G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAPDDRYIVCGNELHCLGGMRLHVLVTKTPSPAEAPSVVNCSVTKLENPTWDAHLCSVNDAVFQYSKYHTVSEVDAAGYRNCITANAVLTRSDDNTTMPLTAPDDRYIVCGNELHCLGGMRLHVLVTKTPSPAEAPSVVNW >OB09G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7337958:7355601:1 gene:OB09G16560 transcript:OB09G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) TAIR;Acc:AT1G73960] MAKARKQKGDEQKPDGGGGSAAGGGGGGGATVLHQKLCLSIDMENRLIYGYTEIKIQAENDTFALHADNMTIRNILVDGQVAEFEYFPQWKNAGDEQSLSSISCSKTAADAACSVYISSLNSEAAPNLIISSERSSKLITEPQNEENSGNHEENGEKHGKNSETHDGNGEKCEENGGKPAQISDDQAVNGCNGSADKKEKEEETEKYNEKEKEQQTETEEEEEKKEKKEKEKVEEEDQEEKEKEKEKEEENLEENGNEKDKENEIEKVKNTKLVHIDYILEKAETGLHFVGNILHSNNQIRRAHCWFPCIDNATQRCPFDLEFTVNMNLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDRNDISVSHMCLSQNLPKLENTISFFHSAYSCYEDYLAASFPFGLYKQVFLPPEMIVSPTSLGASTCIFNSDILHDEKVIDQIIDTRIKIAFALARQWFGIYTSAEEQTDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKANCTVCEFDVGGATALSSPSASSDLFGTQTIGSYGKIRSLKAVSVLQMLEKQMGPDPFRKILQMIVAPTRGSRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNLVELAVSRGCTAKVTDPGPDSRMNGDIREGDTGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGSDDIDASNQENRASMDAPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAIAVMEKSSQLSFAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTELTGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSADKSSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGDLEFGQQGVGLLSSLLKRIDRLLQFDSFMPGYNGVLTVSCIRTLARIAQRVSPSICLDRICELIAPFRNMDKPWKVRMEASRVLIDLEFHHKGLDAALLLFLKYANEERSLRGGTKLAVHALRLCQANIEPQDNNQIQLPTLVGLLCLLAGKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKVVSPPQIVQEISSDNHTKADSSVPQQSRPHEHSTGTPQQSRPQEPSTGTPQQARPPEPSTSTPSVREVLPASGPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRDHSHGRNENEAGPCSSMSVDAPMTEAPEAVNVSNHNIEEQNSCHDRESRMSASISNVKLIDKHEASKELQCTADSRLDAIPKDHLSPIVNGQEAVDKPCSQLEVVSTSYDGNQAPGSLNGLETKEKKKDKKDKKRNRDKKDDPEYLEKKRLKKEKKRIEKEKGKRQKEKEGEGVSSSEPKNIAKPSDSHGTSSARPPAPMRTAEPQISNVRAPVDTTRTLTTTKIRIKVKPLQR >OB09G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7357192:7365995:1 gene:OB09G16570 transcript:OB09G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPAAAAATGGGGGVSAAAEEADRRRRGAPVTWGRVRRAAQEAAAHALLLCFTALLALKLDGLFRGSWWVLFTPLWLFHVVIARCRFSLPAPSSAQSFQMASCHSLVATPLLVAFELLLCVYLEGTDGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPHFWVAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFGISQCFGFLVCTRWSNPMDIGGPILIIPIVIFQVLLCMRLEGTPSNARYFPLRAVFLPILLLQVTAVSFAVWIFFERLVTKLRDKKTSDGYISLSSKIDELFMMLQHGSRLIAWWSIDEDSKEEQAHLFYANNTGYSTFCSYPPQMVKEMPKKVLVKEVQRLQLALGEQTKMAKLSQQQCDKLKNEQILCRICFERDICIVLLPCRHYVLCETCSDKCRLCPICRVNIESKLPVCDAVSSADSVSDIV >OB09G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7376642:7378335:1 gene:OB09G16580 transcript:OB09G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSAERGGDHMVVIRDAASAGSGKGGGDADKARSARSRRSVPLELGCELGRLVPAQVLGEYYYDLAEMMSNNGGGGEADDDGDYDDDGDFLDGIYTYDV >OB09G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7396078:7398585:-1 gene:OB09G16590 transcript:OB09G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAKALAASRSHSEAERRRRQSINGHPARLRSLLPNTTKTDKASLLAEVIAHVKELKRQTSAIAAAGEAEDGDEDGVGRPAGQLLPTEADELAVDAAVDVEGRLVVRASLCCEDRPDLIPDIGRALAALRLRARRAEITTIGGRVRSVLLITADDQLGDDGDDVDEDGRRRHGMGNDEDDDDECAASHRRHECIATVQEALRGVMDRRSASSDTSSSGGSSIKRQRMNYAA >OB09G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7407150:7409517:-1 gene:OB09G16600 transcript:OB09G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inorganic carbon transport protein-related [Source:Projected from Arabidopsis thaliana (AT1G70760) TAIR;Acc:AT1G70760] MDATASTCRLLLLPSAACSTPPPRRQQSFFLIPSSTPPLVRRRHSANRARLLCLLHDAVEAPAALATVTGEEDLDILGILPTVAAIAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >OB09G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7415499:7417038:1 gene:OB09G16610 transcript:OB09G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRTNLNTALYANSLVGVGIASSLYHSSKGQIRKFLRWADYTMIATTTLCLSRALRNENPRLLMAASALLLPFQPLMVSVVHTGMMEVSFAKRASIEPELRMVHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGIGTCNKLLE >OB09G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7417947:7418900:-1 gene:OB09G16620 transcript:OB09G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTVAAWNCMLAAYVRCREMDVALWFFNEMPERDSVAWTTMIAGCANAGRAAEAVELFWRMRKANVKDDAVTMVALLTACAEQGDLRLGRWVHTRVEQEGREWRTVLLDNALINMYVKCGAMEDAHRLFLVMPKRSTVSWTTMISGLAIHGRAEEALDLFHRMQEHPDGATLLAVLLACSHAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCRRKQLREALELVKTMPLQPNDAVWGALLSGCKREGNLEIAAEVTERLIELQPERAAGHLVLLANMYAGVGQWEQAGKLRERIATLDAGKSAGTSKVNPS >OB09G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7422267:7424923:-1 gene:OB09G16630 transcript:OB09G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G14030) TAIR;Acc:AT1G14030] MAAPISHHLLPLRLLSGHPQPPGLRLPMTRARPLPRLLPRACRSEEELLEIQGTQLLNTTMGVKEYVQSEFDSVEAEIISVNKELFPDTVTFDDFLWAFGILRSRVFAGLRGDKLALIPLADLVNHSDDIASNESSWEIKGKGLFGRDVVFSLRTPVGVKSGEQIYIQYDLDKSNAELALDYGFTEPNSSRDSYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPPQMLPYLRLLCIGGTDAFLLEALFRNSVWGHLELPLSSDNEEAICQVIRNACKSALGAYHTTVEEDEELLKSKNLQPRLQIAIQVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDIGLVGDNGEIIFWES >OB09G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7425822:7428182:-1 gene:OB09G16640 transcript:OB09G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26550) TAIR;Acc:AT1G26550] MGKDSKPKDAKGKGKQAAGSSGGGDDAGGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEFSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGACSAPFKSTHGYHFILCEGRKN >OB09G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7433297:7434355:-1 gene:OB09G16650 transcript:OB09G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGATAISPPLPPGFRFCPTDGDLVAHYLARKAAEAGFTSAAVRDVDLYGAEPWELLPPPPPTRDAAAGPVTGAEEVEERCGYFFCTRSFRCPSGLRTNRATAAGYWKSTGKDKAVRHHDGGDGDVPMRVKKTLVFYRERAPRGEKTSWVMHEYRLLHGGGGGALSSSSPATASVLAGGVRNFIELEQFYLINSNNSKSRALFVGREDTTINSSSGNTNRTQQHLLRDHRNHLLSSPAPAPAVSVDGTAHAGCSFSGANESMAPSDRFNQMAA >OB09G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7444720:7445064:1 gene:OB09G16660 transcript:OB09G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLKQTSEKYRLSCLSGSDNICEIVTEKSDNTCICLCITGSHINMNSRFTSAAKNKKNQNRDGSEMKKGKKHQRDSHYRLQSIIPIPETERVLMSLSCSHHLHASSHGNPPKKNP >OB09G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7446231:7449118:-1 gene:OB09G16670 transcript:OB09G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETLLNEALRQAISNEQKRELWSPLPYQGWKPCLKLSSVHGVPSEPTGYIQVFLDGGLNQQRMGICDAVAVAKVLNATLVIPHLEVNPVWKDSSSFAEIFDVDHFINTLKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKNAPLHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADIQRLRCKVNFQALAFLPHIISLGEALVKRLRSPVQGHSGELIQEVGEDTTQAGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDVELRNTGRCPLTPEEIGLLLAALGFDSRTRIYLASHKVYGGEARILSLRKLFPLMEDKRSLASEEELANVEGKASLLAALDYYISMHSDIFISASPGNMHNALMAHRTFENLKTIRPNMALLGRIFVNKSMEWSEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >OB09G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7453276:7453800:-1 gene:OB09G16680 transcript:OB09G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASAPSTSTSFQFSLAHAVLTISINVAFLWLSAFIKSSSSSTSASRSSPPHRAAAPTEERAGAAAAEVDLDAVLALMGAAAEESVGFDEAAALFEEEEATVEEAAVAFRVFDRNGDGFIDAGELGSVLRSLGFASGAGAEDCQRMISAYDADKDGRIDFREFLSFMEKTVTA >OB09G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7460588:7463576:-1 gene:OB09G16690 transcript:OB09G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLDPIPFPLFLPSLISSSLSLSKLHTRQWRTRGCSLSSSSPPSSPSPSLKEQGGKSLF >OB09G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7470111:7472169:1 gene:OB09G16700 transcript:OB09G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMGKSPALPLYYLLLITLVAFYLLIVSSNAIPLSRVQRLPLQESSDMPLVRGISTAKPKIEMERSIVIPGEDVVINARVALETQDYPPSGPNNHHKPPGWS >OB09G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7474390:7476439:1 gene:OB09G16710 transcript:OB09G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36730) TAIR;Acc:AT2G36730] MAGLKPENVHAFVDIICPCGNDDFCSSRSDQKSPARRARPATSIHGMAALHALVAGAATVTHLRQIHAHLLTSGRLASLGPELLRRLVALPNPGRHLPLARRLLLSLPSPSLDLFNLLLPPLASSPDPSDAAHLFLRLRRGGFRPDAHTLPHVLKALARLAPGSLPLVGSVHSEAVKDGLACAVVYVPNALMAAYSACGHLERASQVFDEMPRRTVVSWNTALTACADNDRHQLCIKLLSEMLEAGSVPDPTTFVVMLSAVAELGNLALGKWAHGQVLARRLDMTLQLGTAAVNMYSKCGAVSYALRLFERMPARNVWTWTAMIVGFAQNGLAPEALELFDRMKLSMVMPNYVTFLGLLTACSHAGLVDEGRRFFHEMQHVYGIKPMMTHYSAMVDVLGRNGLLHEAYDFIVRMPIEADPVVWRTLLGACQLHSSKECIEIVDKVHDRLLELEPMRSGNYVMVANIYCEIGSWDEAAKARRVMREGGMKKMAGESCVEVGGRAHRFISGDESCPEFHGACRIIHELDLNMRECEPTDPILSTDNDAD >OB09G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7477472:7481013:-1 gene:OB09G16720 transcript:OB09G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G47610) TAIR;Acc:AT3G47610] MAAAASTSGEWLKGALQDLRERMGSGLELDADLISGLVSFCELAPPPDAADYLANIIGVEASQDLIQEYLQRRGYIDPSRGTENLQSSNLQPYVKPSAGTGVTQTKKQTRTQKDATASSSTQSSKSQPESADPRVASKKSSKKKGGKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLTDVGIPLSESEAAAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKQQEGALEAAEKGKGKVVVTFDLVGRKVILNKDEAAVLESEHRILRPPEEKDQSHRIQANPTIREQPVFIETGPVKPKTDRARQSKRLAKNGLCLEVTGRLQHDDKDPQSFLGGKMKKGDHLAYSSFGQPREGDNFDCSQDFD >OB09G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7481209:7485457:1 gene:OB09G16730 transcript:OB09G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPALSLHMLRPLPCRALLLSRQRKPFPSPRTGCVAAATAAAVRTHQQRWLRSPETGRRRRRGRFACFSSNSGNNPPPPSTKNSDEWPILRRWDVPWEWQTVVLTMVGCGVSFVLTGLVEQSVLTYVGFKAVEATVDQKAEILFLGQLSVTAVVLGVVFGITNTFRPLPDDIFRYDIKEPFKLQNGWLLWAAAGLFGAIISIALVGVAMNYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFALAHLTPGQFPQLFVLGLALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >OB09G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7487426:7489624:-1 gene:OB09G16740 transcript:OB09G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74600) TAIR;Acc:AT1G74600] MPRGPDLLARLPAELASFXXXXXXXXXXXXXXXXAAAGRVAVAEQVYCAAWKDGLSGNAYVCSGMVDLLAKSGRLGDALRAFADGDPSSAVCWSAAISGAGRNGEDGLAVEMFRDMVWGSCEPSSFTYSGALSACAAGAELSAGRAVHGLVLRRDPEYDVFIGTSLVNMYAKCGDMDAAMREFWRMPVRNVVSWTTAIAGFVQEDDPVSAMLLLRVMVRSGVVINKYTATSILLACSQMSMVQEASQMHGMIMKTELYLDCVVKEALISTYTNMGCIELSEKVFEEAGTVSSRSIWSAFISGISNHSLLRSLELLKRMFLQGLRPNDKCYASVFSSVSIDIGRQLHSSAIKDGLINAILVGSALSTMYSRCDNMQDSYKVFEEMQEQDLVSWTAMVAGFATHGHSVEAFLTFRNMILDGFEPDHVSLTAILSALNGPECLLKGKEVHGHVLRVYGETTFINDGLISLYSKCRVVQAISLFQLMMAAGSRIDGFICSSILSLCVDMARPFYSRSLHGYAIKSGILADLAVSSSLVKVYSRSGNMDDSRKLFDEISLPDLVAWTTIIDGYAQHGSSLNALAMFDSMIQLGVRPDTVVLVSVLSACSRNGLVEQGINYFNSMRTAYGVEPELHHYCCIVDLLGRSGRLAEAKCFVDSMPIKPELMIWSTLLAACRVHEDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARVRNTMKGVNREPGWSMV >OB09G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7491494:7493448:-1 gene:OB09G16750 transcript:OB09G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLYRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSASK >OB09G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7495424:7500566:-1 gene:OB09G16760 transcript:OB09G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMCCRARDEEDKEAERKARRGSGFAGGGGGEEREEETAGRNDDRILEMMRSFSFMHTSEEDFMEGMVTYDHVVARMAPEPVAPLPLTPSPPSTFSFKFQHQLPEILRETTVVSGEIPVQVVEEHEPEKKPAIALESVAKQEREREAEEREKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKSRVGRLKSYYKAKVLKALDALDESASNLERSFQDSATTVSPGSVGRASPDSIAGGSAKYPEDMWSRSPSPDAEYKEDKHKRTGEEAEVRNMEEEEVSFDMSDDERAPYSGSKKTAAAAAAASPVHDADSEDENSMDHSEKETITINDHSFESVSDTRRSPEAISDRELDDLSSHRVHVLDAKMSSEPTSERELVGTNVHSSELISDDTEESAATNDQLQAAVSDDKRIPRHLEEEFADTDGHSHELISDVWKEIVSANEQPLAAHDEKSNLEPSEIEFVGTDDQPVETVSHDQIAIVRTLDDPSFAMVSDDKSIPETPEQEFSANDHPSGLVTDVRISSETTEEEIDIPNDRPANATRHVTFSVEEKGKVLDEVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSANSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPDSDPYHSHNYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEECMRVFWEFIRSDKVETTSVLKGLSSNHVELQDPLDHDLMMHIHATLQKKEKRLKDLLRTGNCIVKKFKKPKEDNLNQNLFFSQVDMRLVARVLRMPRITSEQLHWCKAKLDKIALVDRRIHREASFLLFPC >OB09G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7515215:7520695:1 gene:OB09G16770 transcript:OB09G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74640) TAIR;Acc:AT1G74640] MIPTISDVSTVEEWREVAKDIVARKGESGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLIRSPNSPVANTGGELVVVGGGHAATIAVRAAGKGLIRPSGIATVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYTSHVYANPENVTPDIVESRYELTKRKGARYVPAAFLTGLLDPVQSREEFLQLFAKLDGDIPVLVVSTTNAPKRSKAEMEALRGAKGVTEFVEVPGALLPQEEYPSPVAEELYSFLKEIVK >OB09G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7542883:7544021:1 gene:OB09G16780 transcript:OB09G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKRMQAAGGGEDGAASEGGGGGGGSAKVAAPKGQWERRLQTDIHTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRHRGDRADAGGLDGDEQDGRPRAGVLDAGELAARRRRPGGARRGGAHGRGAIGGPQ >OB09G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7556063:7556353:-1 gene:OB09G16790 transcript:OB09G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVETTGRQVKRCVVACCGGHGGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAVDGDKLHQCDAGPSTRLGIGPLCFSRLGRML >OB09G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7556159:7556317:1 gene:OB09G16800 transcript:OB09G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAGAAVAAAAGHDAS >OB09G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7588671:7589795:-1 gene:OB09G16810 transcript:OB09G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPLVFPNGAIKKAVKPAAVAPAVGLGGETVYRECLKNHAASLGGHALDGCGEFMPSPAANAADPTSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEARRGHRRRVVPRHRRRQGRLQGLDAQQQAQLPRRPQRPTQRLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPHHSTPPPLLPLPPPTTPHPLPTPPTSTSTEHPPPPPPLPLPPPATQRTELRRRNPHKAREKETSSLQ >OB09G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7599876:7601198:1 gene:OB09G16820 transcript:OB09G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALGLLFLEVTGENETMSDAEREALEMAGSKSGSRLVHVCPQGSADTVAMDDGIVNTFNTNYCENLLAAMTSSPLTRPSPPTTPPPRSLRRMH >OB09G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7609074:7612654:1 gene:OB09G16830 transcript:OB09G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVSSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHHSKKASSGDLVSPVKKFKPCADRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLRCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTVKLPYPSRAFDMAHCSRCLIPWGSNGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVSEKGEMAIWRKRLNTESCPSRQDESSVQMCDSTNTDDIWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSRAYQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESQRSWVMNVVPTISKISTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTMYKTKCSMEDILLEMDRILRPEGAVIMRDDVDVLTKVNGLALGMKWSTRMVDHEDGPMVREKVLYAVKQYWAGGNQTAAAAA >OB09G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7609926:7610183:-1 gene:OB09G16840 transcript:OB09G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVIHILPRKFHRSILILARGIIRVAICARLKLLHRRNKITRAGLLGVVCVETKVWQDGAVRPLRDLQGNAVPFPKSTLLPCP >OB09G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7612892:7617628:-1 gene:OB09G16850 transcript:OB09G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3MXF6] MAIAFKASANSSTPQQHWLHSTWEQHQHGFTHLNERKSRKGAMVLCVRAVSGKPDLDFSDPSWKQKYQEDWNRRFSLPHITDIYDLKPRLTTFSLKKNRSEAGNLSADMWNGYVNKDDRALLKVIKYASPTSAGAECVDPDCSWVEHWIHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPVSMFFHIIRCPGRLIAVLELQFDDNLQLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLVKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITTPKRVNPNSRMWHRCLTSTGQPDFH >OB09G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7618496:7618816:1 gene:OB09G16860 transcript:OB09G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTSISPGGFRRRDGGRRSGGDHGLAVVGETQVATALRFVDETRRGVDLGAAEKQRKAAVEVGPAVQVERVGPWPTMVVGVAHVQELGENGPLDLKEAPLGQKA >OB09G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7629783:7635572:1 gene:OB09G16870 transcript:OB09G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MAGGGVAALGVKKERAAEYKGRMTLAVGMACVVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVYRKKNDGGQNNYCKYDNQGLSAFTSSLYLAGLVSSLVASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLEMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHITPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMTEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGTDKELSKSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWIAVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGWAAADDNVVDRHR >OB09G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7637906:7640593:1 gene:OB09G16880 transcript:OB09G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVNTWEEDELELEEEEGLLRTRKRAKFSDSTVRQQQGVEAAAAEKVAAGAAALSLGVSASQEPVHGGSGRVEQRNGGAKHANGAIDKEAATLGLRNICSATRWKEPSYEFEEQGPAHDKLFTCKVTIHVDTVTNTILECISEPKRYKKAAQEHAAQGALWYLKIFGHAN >OB09G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7663376:7664209:1 gene:OB09G16890 transcript:OB09G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVAGDMAEEAYRKTKARRGPGPDAALISRREHVSLRDGGHGRARKTFVNVRGKEREISVDLVSRGHGKDRDKDKERDKADVGMSISVDGERVLHIRRLRWKFRGTEKVDLGGGDGVQVSWDLHHWLFPTRDTAPADASAVVAPPQPAHAVFIFRFELAHIGGEERDSAEGKEKEGKEKEVLDNAGSGGGGVGAWAGYLGRWGSGDWSESSSNGDNRRKRGQARRLAKASSSSSASVASSSASWASGSTVMDWASPEEAELQRGHGFSLLVYAWKC >OB09G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7663910:7664173:-1 gene:OB09G16900 transcript:OB09G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLGLLGARPVHDRRAAGPRRGGGSHGGRRGGARLGQPPRLPPLPPVVPVAAALAPVPTSPPAEVACPGANAAAATPGVVEDLLL >OB09G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7668306:7669148:1 gene:OB09G16910 transcript:OB09G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATEKPDGVEIREVWADNLEAEFLVIRDIVDRFPYVAMDTEFPGVVCRPLGTFKSAADFNYATLKANVDMLKLIQLGLTFSDERGGLPALGPEGRPCVWQFNFRGFDPRNDVAASDSIELLRRSGIDFARHSADGADACRFAELLMSSGVVLNSEVRWVTFHSGYDFGYLLKLITGTNLPDTISGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKESYFNGLTEKYAGVLYGLGTEGGETTSAAH >OB09G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7680952:7682414:1 gene:OB09G16920 transcript:OB09G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCGNADVGGGFRLWPIFSAASLRRKILEVLTCGGGGGGSCRSRSQFRSPQPRPRPRSDRLAELLRAEPSECGDEEAQADAAVKKAEALEELKVVVSALQAGDGDNADGGDMGRVEAATVVRRKARDDAGAREMLAMLGAIPPLVAMLDESGGGGEEMVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGDLTEALVANFLCLSALDANKPIIGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPTSALLELTLVGTALAQKRASRILEILRADKGKQVADASGIVATMSAPLERGGGTHQEDPDAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSSEKLKALTASSTSKSLPF >OB09G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7683100:7684226:1 gene:OB09G16930 transcript:OB09G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQHSPFSDLGNRSPEEGQEGYYFLFVFFVVVVFVAALALPRPRGEPMAINLAFVALWQRDGEEEETFGNFASCIHCIGDRESLWCQWKL >OB09G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7699702:7702744:-1 gene:OB09G16940 transcript:OB09G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAAQISSLEELRNGHAGAAANAAGGVHDDFFDQMLSSLPPSAWPDLAAAAKAAEDDAEGMHQQQQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGGGRGAAVKQMVLQQLADLRQGHHMMLQGLGRSPAGAGAGDGLLLPLTLGNGGSGGDVQALLKAAAANSAGGGDAGVYGGFAGSLQQQQHQHFQPHHPQQQAAPTMPTQSFGGGGGGTAQPQAXXXXXXXXXXXGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKWLQTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGRGGAANGGAPAAGGSDSLTVTEQQVAKLMEEDMGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGADAEGSQPKDAASVSKP >OB09G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7713907:7715379:1 gene:OB09G16950 transcript:OB09G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDEALSVFAHLGQLPALPACNAILDGLVKAHRFACVWELFDEMLRRGMVPSVVTYNTLINACRLQGDAAKAWEVWAQMLARRIDPNVVTYTTMICALCEEDCIGEAVRLFVAMKETGMQPNLYTYNALMSSHFKRDNIKHALVFYYDLLKCGLVPNDVIFTTLIDGLCLANRITEAKNIFLDMPRYEVAPTVPVYNSLICGAFRSGNSQEALAFFQEMTCKRLCPDEFTCSIVVRGLCDGEQVQVATRFLEVMQQSGIVLNAAAYNVLIDDYCKNGNLDEALVTCTRMSETGVEPNVVTYSSLIDGHTKKGKMEIAMAIYTEMVAKGVEPNVVTYTALIHGHAKNGDMNAAFWLQKEMEEKGISSNAITVSVLVDGLCRENRIQDAVRFIMECSRKKSEKNPSIPNSVTYMTLIYGLYMDGQYSEACRFFSYMRDSGMVPDRFTYTLVIRGLCMLGYVFNAMMLYADMIKIGVKPTRCTMVCPIIWS >OB09G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7718477:7721974:-1 gene:OB09G16960 transcript:OB09G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAWRGGGRSPVCLDLCVGLSPAKILEAKVTQMSEENRRLTEVITRLYGSHVARLGLDDAASPQRRPVSPLSSRKRGRESMETANSCDGNGNGQKSGAAAEADHAESFAMDDGTCRRIKVSRVCRRIDPSDATLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSLLVATYEGEHNHPLPSRPGELAAGGGGGVGSAGSLPCSISINPSGPTIALDLTKNGGAVQVANRDTPLNGTAGVLVINGLGVLFLLDSSGQGRMVVVEHDSSWHGVFIISGGGGTAARVREPCRARPEQQQLAVVVIRSLIEHPIADMRLDLDTNLQTGAKWFLTSWRAPDDPATREYRRVLEKKGLPDCVSWRGATKKYRMGAWNGLWFSGVPKMAFPSSSVFGNQEVDRPNKMAFIFNPFAGGPLSRLVLNEAGIAQRLVWDPSSKAWNAYAQTPHKITVAYEHEMLMSWLIHGRSDIRTKH >OB09G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7737984:7740072:-1 gene:OB09G16970 transcript:OB09G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGGSPTNSAGLLPLFSRSPVEDLEEKLRRATEENARLTRALDAILAGHHAHHRDPGAPSATTRAPNASTSCAARDDDAPVTATAAAAVSTAPAPKAEPRPKIRTVRVRADADANSVAENVKDGYQWRKYGQKVTRDNPYPRAYFRCAFAPSCPVKKKVQRCVEDRSMLVATYEGEHNHVAQTTEFVGGSGCTMSQPAQHAAGSPPALHPCSISINSSGRTITLDLTSQQLEPGGSSCVVPGDGDLVAAAVSPEEFRRLLAEEVVQVLRNDAEFVETLTNAVAARVVDKIRAGHVDL >OB09G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7753820:7755463:1 gene:OB09G16980 transcript:OB09G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MXG9] MARSGREEGGGGEERKLGRRKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDSYAKMYQKIFKAEYQVPPWVSGDARRLIARLLVVDPAKRISIGEIMRTPWFRKGFVPPVPTSPVSPKKWEDDDVVLDGTAAAAGAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAATVIQKLEAVGRSLGYTVTRGKGWKLRLEATADGANGRLAVTAEALEVATDVAVVEFAHDAGDELDFNKFCAVDVRPGLADIVWAWQGDGPATPGAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRRPGDARRCRRVLAGVMSEA >OB09G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7767696:7767873:-1 gene:OB09G16990 transcript:OB09G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHAMREGWRPVHVEEYVAHERVKPGRPGCHAYVRVKLAKWVRGRCVAVGIKLVV >OB09G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7771278:7773966:1 gene:OB09G17000 transcript:OB09G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAEGKGVVLLQGRYELGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMAEQIKREIAVMKMVSHPNIVELHEVMATRSKVYLALELVRGGELFDRITRHGRVGEDVARRYFRQLVFAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALACHARPDGLLHTACGTPAYVAPEVLAGSGYDGAKADLWSCGVILYVLLAGALPFQHENLMCMYRKMQRGDFCCPVWMTTDAQKLIKMLLDPNPGTRITVPGLVETPWFRKTPPVPRPITGPAPAPVDTLGNAGDDKDEPPELHSPEYLIISETEATASTNRQTKISILTCCHMCMPTWNGRAKLPIYP >OB09G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7780013:7780225:1 gene:OB09G17010 transcript:OB09G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHGMNISYWEEHGTTICVDVDFFSALVNLATGIGLDRLLVLDVCAIYQIKFFCFKLLMTKCIIFFSLQ >OB09G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7798838:7799146:1 gene:OB09G17020 transcript:OB09G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPIYLFFSFSLSLFSFSPFSLNPCRTTTMTVVKDAARAGGGRRAAASVWVGSGLRTVTGSDVDLDGDFEGRTAATTGQARGGLDGGGGWVVGRWTAAGDV >OB09G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7800705:7800959:-1 gene:OB09G17030 transcript:OB09G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSARCVLTLFCQPHRHRSVAPRAPTVFHLHRAAVQLQINTKTRSDGEEEQKPNPSVNKYTAAYRTRLLETAMAGYVWRGRPDL >OB09G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7801744:7801968:-1 gene:OB09G17040 transcript:OB09G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQKKLPRPEGRIKQAGAHRRFPDLEGAGRRRGVSSFHLWQESRSASSSKQKPWPPLSPAATYLKHHHRTMR >OB09G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7813685:7813849:1 gene:OB09G17050 transcript:OB09G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRTSSSFLSKSWIGERCKHCEAYITYQSIITSKNKNTFPRKSFLIRALYVRPA >OB09G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7820095:7824469:-1 gene:OB09G17060 transcript:OB09G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAVVDDDGAGAAAAQQQEEVPPGRGQTVCVTGAAGYIASWLVKLLLERGYTVKGTVRNPDDPKNAHLKALDGAAERLVLCKADLLDYDAIRAAVDGCHGVFHTASPVTDDPEQMVEPAGGGGGAVRGTQHVIKAAAEAGAVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQAARRAAEERGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSARKYANAVQAYVDVRDVAAAHVRVFEEPAASGRYLCAERVLHREDVVHILAKLFPEYPVPTRCSDEVNPRKQPYKMSNKKLQDLGLQFIPVSDSLHETVKSLQLKGHLPVLGKEIPAAGLNGVTA >OB09G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7831733:7835558:1 gene:OB09G17070 transcript:OB09G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVYRVANDLMFAEDLQLEEVIRFSARSAGGTNCAVCGQAIPLVDASWKPDNCDHVMCITCFGQLASDSHAAELPKCPMASCQFSPRASIIGAGIDSLVLAEEDAGSGKGKEPATYVMLQERGECSRAVAAGSGSGEFYCTICMETVDAVQRFAIAGCTHAFCASCVRQYIAAKVEENVLSIGCPDPGCNGGGALHPEACRGVIPPRLFQRWGDALCDSALSSLKFYCPFSDCSALLVDDPGDGEAEITDAEWGDALCDSALSSLKFYCPFSDCSALLVDDPGDGEAEITDAECPHCRRMFCARCKVPWHDGATCAEFQKLGKDERGRDDLLLRNVAQESKWQRCPKCKMCGHCFCYLCASPMSRQNHHCKTCKRTCSIRRMERKCVDAAMIRDEVLAEELQVQEAILFSAFQEMTIQDDHDTTSDESMDYLILLGQDQTQESKRPFSGSTDRGESSSTSSSTAVAAGDAGELYCTICMETVPESLRFSVSSCRHAFCVFCIGQYVAAKIGESAAHVACPDPGCGGGAVEPESCRGVVPSELLDRWGLLLCEAAIVVARRRPQYCPFRDCSEPLLAAGGTTRAAAAAWRRPSARAATGCSARGAWCRGTTASAARSSRSSARTSAAGRT >OB09G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7837551:7838717:1 gene:OB09G17080 transcript:OB09G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAADAAAGGAHLPICISSDDEEDDGGDLLCLGSYSSDEIQIQQALLLSLVGSSGGAAASATTAAEEPSTLPDRKGKRKVPSAEGPRPRRDAAEDDAPSESTSRRRRRFTCIICMEKVEASEEFLVGACAHAFCAGCIGGYVAAKVSENVAVIGCPDPGCEEGSVEVEACRGIVPPELLDRWGVSLCELALGEKKCYCPFKDCSALLINDDGGGRAAQMAEAACPHCHRVFCARCRAPWHEGIGCGDGENREEDLAFRALAGKEKWQRCPSCRMYVEKSEGYLFIRCRCGCCFCYSCASPMSKEGSHYCKKCYAIDDDNGYVGQALLFTNLMGHYDLKRRINKERWQMS >OB09G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7840245:7843193:1 gene:OB09G17090 transcript:OB09G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAAAAAADGALLPIYISSDDEDEGRILFLDSYSTEEIQIQEALLLSLHSSRAADTAHSSASPSGPSVASTSGGREPSPLPDRKGKGKRKLSSEEGVPSESTRKRRRFRCSICMEKVQASERFAVSFCAHAFCNGCIGRYVAAKVSENAAVIGCPDPECEEGFVEIDTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLIHDNDGTEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKMGDDEKGEEDLMFKKLAGKQKWQRCPNCKMFVSRIGGCLQIKCRCKQYFCYHCAAPLKKDRHYCNNCRR >OB09G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7845370:7845705:1 gene:OB09G17100 transcript:OB09G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAALKMAAAICVLAMCIGSQVVAVAAGASTPQQDAAGAGGALLRELMEHELAAELGLAGDAVGDVCTPACQTCLIVCAIKCVLKPSPAACYADCIVADSCFTLKTATV >OB09G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7848545:7849997:-1 gene:OB09G17110 transcript:OB09G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSGALAEMTWPAILSKRETFREVFMDFDPLLVAKLSEKKILGPCSPARSLLSEHRLRIIIENAQEVVKVIEEFGSFDSYCWGFLNSKPMVGRFRHPREVPLKTPKADAMSQDLLRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFDECCSCCSTDEAAAAAMDGAADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTDEAAAAAMDGAADSHSMALVKDQEVNMICGLVECVSLEPSRARATTVLSIS >OB09G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7856881:7860258:-1 gene:OB09G17120 transcript:OB09G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNVKAETVALMDRRAAVEAEMDAIIAALSAPGGLGITGGLVDAEGFPRSDIDIPAVLAQRRKLAELQNDHKDITNKIEKNLEVLHSTKLSRNDQSIPASSGTPASLHSGLSQNDPMEEDAVTALPFAIIDELTDGSPAALDGLQLWDEIVKFGNVEAGDRLQERLVSEALSNEDSQVSLVIIRQGSSMNLIVTPRKWHGRGLLGCHFRIL >OB09G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7861030:7861221:-1 gene:OB09G17130 transcript:OB09G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSVCGVLDISSQNAGNAPLNCLLDNVDKRPYSTPHSLNKCSRKYCSAQSTQTLGEGAHMA >OB09G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7862714:7863336:1 gene:OB09G17140 transcript:OB09G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARCSALLRLGLGDGECGCVQAWRRHGGWGTLPASGATEASDSTENKKLNKLLNLTTKQKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRPEGFNV >OB09G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7866438:7868425:1 gene:OB09G17150 transcript:OB09G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGH >OB09G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7868729:7873931:-1 gene:OB09G17160 transcript:OB09G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVISDLATDVIVHVSEVKFYLHKFPLLSKSSKLQRFVIKATEDGTDEVHIDGFPGGATTFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVEKGNLIFKIDVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSKKGMGCTEIVESTGRTSIAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELVRRISFQLHKASVKDLLLPAASPNDGAHDVKLVYNLVQRFVARTAMSHNGGFIEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLTTFVELATAVPEAARPVHDRLYSAVDAYLKEHPNISKSDKKKICGLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALTDSGVHSCAKPPMQDQSDICERRIPRHPNSLSKQATSLSAREVEHRNSEHRGGRNSFKDQLGGFLLQSRSRRIFDKLWSSKGQGENGKGSETSGSSQSPPLSAKPVDVKPSPLPPLRNRRYSVS >OB09G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7874181:7874366:1 gene:OB09G17170 transcript:OB09G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWRVNDGILLTLSLSLSPSPSPSLSLFSAQSRLLLACKLQCQCCISLSLSLDGPLFFSL >OB09G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7893642:7897926:-1 gene:OB09G17180 transcript:OB09G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGSCGSGGGGGVRDMDALEGVRSIVLKPSESLDEGRFARIAGADFDDAGLGLSGLLASLASTGFQASNLGDAIDVVNQMLEWRLSHEKPDEDCDEAELNPTYRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGMLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSPENVWTPSKVIARLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYTVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHGAKTNLMNSLGAAS >OB09G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7897462:7897917:1 gene:OB09G17190 transcript:OB09G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIESKAHFLRNSTDLETQQFQATAPPNLLLRLSHGFVKGSRAREDGGSSYHLVDDVDGVAEVGGLESGGGERREQPGEPEPGVVEVGAGDPREPPLVEGLRGLQHDRAHALQRVHVAHSSSSSRAAAASCPGHRPRRWRPSSSRRRRREL >OB09G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7900124:7914449:-1 gene:OB09G17200 transcript:OB09G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10180) TAIR;Acc:AT3G10180] MERIHVSVRARPLSVEDARGSPWRVSGNAVALSTQPSTRFEFDRIFGEECRTAEVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFKTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLKFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDDSDAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERIALELEEEKRAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKNKRRLTWCPGLRSRQFDGQVLEPVQEGISSSMVRHGRNREMPLCFEELIEENCDSNIEHCADACLSGDLSNEDDSLPDSHALLHVTSRRKPNMTKISDQEQLMGLAGEPMITQYPNEYTRECQENIKHCVSSLSARESEAILVIKQLEDQIKLLELEKASIQNNLDDVLELATQQKVSFSEKYEELQQNALVAQEQAKIANEKLSSLCTTGKFKQEIAYEIFTGISVEIEGIAVQMDQSTHSVDSALSFIEELFKNLFMMAECIIVWDYENISNYLRKRISKLEMEKKLLDDQSLDQKNELQRLKSTLESCEKAMEDCNIQNELEKDSILSELLTLQKEVTSLSSSSLMKEKESIRKELDRTRTKLKETENKLKNSVQEKIKLESEKAEAHREIKKLQSQRTLLERDLRKRDSLTVDKRHEMNVKTKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTSIAEKEEALSRVDLLTSALKDLESRLNSAESETNSLVEEIAVLTKKLDASESISKDLEASISSLTREKEDMGIQLTDVLLEMESERSMWAAKEKVYLEAKQKLDICNENNCKVSEDLVKVRQELKCCREQYSILEGKMMLSSKNGTDEKICWEICEESEPVMKKERSIDNHVNENELRQQLSMITEERDKLLSETKHMSLVINESEVLKENYGNKLMQAKANIDELSSRISTMEAKMKSDALAYNKQKTKLSMQIRRMQPELDAYRGRLKESINEMKLMDTKYQEASAKLKKELSYYCREVLKLREKLKESQDTT >OB09G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7915772:7917473:-1 gene:OB09G17210 transcript:OB09G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQDKTSVSFLGNDGLMKTHDEETRRFFEGTGVRCFLCPRNADASLTMVQHVEVTAEFTHHQKTVTLDAAAPGDADGHRRQIVSFVGGIDLCDGRYDDENHTLFGDLDTTYRHDFLQNNFKHAGLQRGGPREPWHDVHCRLEGRAAWDVLANFKQRWRKQAPPELNDCLLELSRTEFPDPGSFADDDTWNVQVFRSIDDASVVGFPSDPAAASAVGLTTGKDVTIDRSVQAGYLEAIRRARRFIYIENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRSGERFAVYVVTPMWPEGEPAGDSVQAILRWNRLTVEMMYGIVMKAIDDAGLRGQAHPCDFLNFFCLGNREAPRPGEYSPPETPEEGTDYWRAQVNRRFPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPSGRARGLVHAFRVSLWHEHLTGHGSAGGGEGVFLEPESAECVRAVRRAAESLWDAYTQDRAEDLPGHLLPFPITVSEFGEVADLPADGCFPDTTAPVKGRRSLKLPAILTT >OB09G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7917938:7919026:-1 gene:OB09G17220 transcript:OB09G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREVAGGNGSVDHLHGVLELTVFEADDLHNAIHGRIMKATENLEESLGVHWLGHRIYVDVDVGAARVARTREVEFHPTNPVWNQSFRLHCAYP >OB09G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7926958:7927996:-1 gene:OB09G17230 transcript:OB09G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQDLPVVLGACCILHNICETCDEVLDPELRYELVDDETSPEIPIRSEAAKRSRDNIAHNLLHRGLASTTFL >OB09G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7929374:7930694:1 gene:OB09G17240 transcript:OB09G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGEVRLVRRKGKKRPQPPAPSVVERDEAARGRFDALRRDYHDLLKDTEMKRRRLESMRQRNLGLLAEVKFLRKKYDSFMKDDGLQKAHYRLKEKKTPRVPYHVGSNDASAHYGGTTEFPSTSKRTNLDLNQDSAMNDELADFLPHHNHLELKRPAQAGLDDDIVAADVNLSACRDTGNSPASDDKRSVAWQDRVVVKV >OB09G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7931051:7932817:-1 gene:OB09G17250 transcript:OB09G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGRGGPAGGSRFAGNPPPHGDPMAVVRDALLSQLQHDRLRQEVIVAELAKIERAMALRNASPSPSPTPRYGAAAAAATETVPNKKPSASEKPEPAVQKPTPASAWSCNVCHVRTSSERNLRDHCGGQKHQAKVAELEKRAKAMAGQKAKPTARWSCSICQVSCTGEWDFDVHLKGQRHQASTQALLEQSKKNPGSSEKKTTTTTTTAPWICSVCQALCTCESDLHNHLKGKRHQLKVEALREAAAKQESSDPPKLAKQESSDPPKLPNKQRSEWFCSVCQARCNSASQLEDHCRSTRHQQKAESSDGSTSFTSASSEKTHEQSKALYFCEVCSVRCTSERMVSDHLGGKRHAKQEESLAFCEACKLQCNSEKMLAHHRAGRKHQAKLEEMLRGKA >OB09G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7935187:7936452:-1 gene:OB09G17260 transcript:OB09G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSRGTADAPPPHGDPMAVVRDALLSQLQHDRLRQEVIVAELAKIELAMALRDASPSPSPPSHGAAANETIQPKKPSSSEESEAAVQKPMQPSAWICNVCEVRATSERNLRDHHGGKKHKSKVAALVKSRTKAMARQKAKTTAKPSPAAGQKIHRNSRWSCSICQVNCNGEWHFDTHLKGKRHQANTQALLEQSKKNSHGTKVQPSIVVSASSETMDEQKALYFCKVCSLKCTSERMLSDHLRGKKHLKQEELMAFCEVCNLQCSSGKVLADHRYGKKHRAKLNEMK >OB09G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7938139:7938690:-1 gene:OB09G17270 transcript:OB09G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGVKRQLTAGTSPAKKPRSQEMINCSLCNVSVTSPQELVKHRASLLHRSNLAPLQSGNKATAATAMAMNTEAAQHAEKKAAEKSELSEWSGGSAYHQQHLYFCDICSVRCSSVKMMESHLAGRRHRERQNSMFM >OB09G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7942443:7950854:-1 gene:OB09G17280 transcript:OB09G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPCQDCDSNVNLLNQPHSVLETHVDLGVIFWLQLGPDVAVMMQSLELNFGLVQVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTGLSRGLDAADLSPSPPSHERTLLRARSPPHVPFEHPRLRPPLPSPYFGNAIVRDLVTVTVRDILSQPLGFAAEQIKRAVARVDDAFVRSVIDFLEVESEKGSQAARGQFMPETDLWVVSWLGMPMYDADFGWGRPGFVAPAQMFGSGTAYVTQAPDKDDGTGGGVSVLFALEPEYLQCFEKAFYGSE >OB09G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7963591:7963857:1 gene:OB09G17290 transcript:OB09G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAAPARRAASPARRTPPPPPPRPAPRPAARGSSRPAGCGSWARRGRGWRATACAAASPRSAPPASSPPPPPSSRLSFLSLSALLGLFC >OB09G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7983094:7983285:-1 gene:OB09G17300 transcript:OB09G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRWLCSSTLWKLLVLRANLTGGISTLQCAVHSLHCTIAPTEMQHQTRGEVEKRLGIHNFG >OB09G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7985049:7989854:1 gene:OB09G17310 transcript:OB09G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G17420) TAIR;Acc:AT5G17420] MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDDDEEDIDDLEHEFNIDEKQKQLQQEEGMQNSHITEAMLHGKMSYGRGPDDGDGNSTPLPPIITGARSVPVSGEFPISNSHGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGIVAGGGAADPDDYDADVPLNDEARQPLSRKVAIASSKVNPYRMVIILRLVVLGFFLRYRILHPVPDAIPLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPSLLAAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQVGRKVCYVQFPQRFDGIDIHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKKWILMEMLTGQSLCDAGMDSDKEILMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLILNIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFTVKAKGPDVRQCGINC >OB09G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:7990346:7994172:-1 gene:OB09G17320 transcript:OB09G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTSDVKKAALVNETRPPLVPSEKHNASPINRGRDVASRYKNGLSTHSAAATARRCTSPSPGQTSATEGTTEQKRTQSADRRRPSTPSRPSSRVSTPFTPASRSVTPVRSTVTEGQKNSRCITSTRNPDGLWPAMRNLSSSFQSESMVTSGNKKDKVVSSGSLDRAKGQTSVLAERKRSPLRRKNIAEHCENAQPSEDLPRRVMEQQRWPAMLTDRVTSNILSKSIDISDKASRSVPLTTISRGLSPRKMPDSEGMGKGFNKSLDEVARRLAIHAGLSDAKLDSGCDGDSQSTQRCKSVNRPSRAVTLPVPVLHHSSAPSKDLSVTSSISRSFQSPSRRRPSTPSRSQSAGSIQSGVSSPIISYMVDAKKGKKNSSQIDNIHQLRLFYNRHLQWIFVNACAEDNISFQKATAESVIYNVWRNTLNLRDAVSMRRIMLQCLEQELKLDGILKGQIDYLEQWPALEKENNISLFRATEALKASTLRLPVTSGAKADVVALKNAVSSAVDVMQGLGSAVCCMLPKVEDRTYLVSELSVIAGQENVMLDECRELLAMAAKLQVQESSLRTHLTQLKPVPGHMN >OB09G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8005938:8006330:1 gene:OB09G17330 transcript:OB09G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPRARAGSRSPPRVAVNARRAPSLSADPLARLASRPRPAAHSSCTTQRQKAPPRIVRSTIIAIAEHPLLLHSLPFAIDIVSPPSPPSFCAALHPQCLPLIFSPSVHEQELLHRCCFSLDASMLGLRR >OB09G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8007132:8010275:1 gene:OB09G17340 transcript:OB09G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24130) TAIR;Acc:AT2G24130] MQEKATLLAMKSGFAMLSPGLLADWNESNADVCGFTGVACDRRRQHVVSLKLTNMSIGAIPPALGRLSYLRYLDLSDNHISGAIPPFVGNLTQLRDLSMSANQLSDAIPASFGNLTSLVNLDLSGNFLRGEIPAELSNIRDLADLNLSQNQLVGAIPPSLGNLTQLKGLDLSNNNLTGRIPGELSNIRVLEALNLGQNHLVGGIPPSFTELARMFYLSLEKNNLSGTIPAAIFTNCTDMGVFDLGDNNISGEIPGDASPNLAETFAVLNLYSNRLTGRLPRWLANCTILYLLDVENNSLEDELPTAMISGKKNLTYLHLSYNVRFTSGDGNTNLEPFFRAVSNCSSILEIEAASLKIRGRLPSLLGWLLPPNMSHLNLELNAIEGPIPADIGDVINITLMNLSSNLLNGTIPESICRLKKLQQLALSNNWLTGPVPACIGDAASLGELELASNALSGNIPSSIGSLTLLLYLSLRGNQLSGEIPASLGRCGGILRLDLSGNRLTGEIPDVVAGIAKRSLNLSHNLLAGSLPRGLSMLQQAEVVDLSWNNLTGTIFPELGGCAELQVLDLSHNSLTGVLPSSLDGLQSIERLDVSDNSLTGEIPSTLTKCTTLTYLNLSYNDFAGVVPTTGVFTRFNSTSYLGNPRLCGAVVRRRCGRHHRWYQSRKFLAVMCICAAVLAFALTILCAVSIRKIRERLAVVREEFRRGRRGGGGSSPVMKYKFPRITYRELVEATEEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANAADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPTDDMFDAGLSLHKWVKSHHHGRADAVGDQAPGRRWRAWSGTRRRRSRGCPTWPSASCWSSASSAPRRARPCARP >OB09G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8014454:8017573:1 gene:OB09G17350 transcript:OB09G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30825) TAIR;Acc:AT4G30825] MPACLQIVRSRVYVIPPIRMAALRICKRGGAPEPRRGNLAAGSAGQFGSDSVGFSSWVLPISAGYAVDRRQAAGGAATCHGLLYVGSGRRKIYPRASLVNGGVSSLEDSGSRESTLCVSDPPEDASFSGKLAPSRDVVDGIGGISRSSAGKKGMKFRRRVQGGNKLARYTAPRRSNGKSGQDKRVFLSEDDISAILSSVTYESSIEECNSVLIRLEKHNDKTALRFFEWMKANGKLKGNPEAYHLALQAIAWKEDWEIAGQLLHEMVADSGCTLDAQAFNGLIYVCAKRRLVPWGTKWFHMMLEREVQPNVSTVGMLMGLYQRTGNLPEAEFTFAKMRNCSIKCINAYSAMITLYTRAGLFAKSEEVITLMKYDEVVPSKENWLVRLNAYSQQGKMEEAELVLRSMVDEGIDLDVVAYNTLITGYGKVSDMQKAMEVFNRLKSAGLAPDETTYRSMVEGLGRADKYKDSILYYQKLRKSGFKPNASNFYTMINLLARHDDSEGAKEILEDMRAAGCQCSSIVTVLVRAYGSVGRMHRVLQILQACFYKNVLFDATSCSILVTAFVQHSLIEEALCVLREKKWRDSDFEDNLYHTLICSCKEAGSCDDAVRIYNQMPKSATHPNLRIYCSMIDVFSIMDRFADAETLYVELKASSCVLDMIAYSIIVRMYSKAGRPEDACLVLEDMKKQNEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKSRVELDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNRAEKVFLMARKQGLADIISYNTIIAAYAKNGDFRSMTYFVQRMQEAGFPVSLEAYNCMLDAYGKTGQLEEFAAVLQKMERARCDLDHYTYNIMINIYGRRGWIEGVANVLAELKSRGLEPDLYSYNTLIKVYGIAGMPEDAVKLMQEMRLKGISADRITYTNLIAALQRNGNFLEAVKWSLWMKQTGVA >OB09G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8020049:8024887:1 gene:OB09G17360 transcript:OB09G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10820) TAIR;Acc:AT5G10820] MLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPSTVQFLSVFFFIPWVLKPLWGIMTDVFPVRGYRRRPYFLFAGVLGTASAAIVAMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPAMLVFLGFFIYELKMYQHNAKEKVLNKIHTAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKKPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAVFVTLEECCSRVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSVGMLAAKAGGAAVLRALRVTRTDFGRLWLAVLVRNLLRVSPPAAISLVPTSDQTEVLLPRDLLLGSSPAAVDDEERLQLAKLTDHVDDDA >OB09G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8027087:8027443:-1 gene:OB09G17370 transcript:OB09G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAQELAGRRRRPGGPRGEEEGVVVVVAVGEREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREREYF >OB09G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8027585:8029500:1 gene:OB09G17380 transcript:OB09G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G79720) TAIR;Acc:AT1G79720] MAWGACAVNAVALLVVMVVAVVLAGGGGGGVHCLEAKRSRRELQQGRFCQCSISFEKDEPFWQEMGGAASGATILELRHHGGGYSSSGKSRGRSREEEVGGLFSSDAARVSSLQRRVAAAGGGGGGEQGMKCAPCASCHDQQDPLFDPSSSPSYAVLPCNSSSCDALQVATGSASGACGGGDQPSSSSCSYTLSYRDGSYSQGVLAHDKLSLAGDVIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFGGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTSMVSDPLQGPFYFVNLTGITIGGQEVQSSGFSANKVIVDSGTIITSLVPSVYNAVKAEFLSQFAEYPQAPGFSILDTCFNLAGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVSSDSSQVCLALASLKSEYETSIVGNYQQKNLRVIFDTLGSQIGFAQETCDYI >OB09G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8031541:8037085:-1 gene:OB09G17390 transcript:OB09G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MSDTGGGHRASAEAIKAAFIQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALYDEILGEPIGQILVICGRNKKLTNRLQSINWKVPVQVKGFVTKMEESMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPEQIAKIVADWFGPRSDELKMMSQNALKLARPDAVFKIVHDLHELVRQKCFVPQYACAT >OB09G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8042383:8045592:1 gene:OB09G17400 transcript:OB09G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24860) TAIR;Acc:AT2G24860] MASAVGSPGSSSDFARRMERAWLISQQPRPIPCSSCQSAGHVECKWCTGTGFFILGNNMLCEVPSKNTKCVICSGKGFATCADCKGTGFRAKWLEDPPVNK >OB09G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8047856:8048029:1 gene:OB09G17410 transcript:OB09G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFNCLGDSRFTILSTSDCSMKDHATALPRYLNFKVGLLDCDSLFFYYQSPFFIFS >OB09G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8050388:8054156:1 gene:OB09G17420 transcript:OB09G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNTAATTNTGSRGRGAVAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAGRAYDLAALKYWGPDTILNFPLSAYDDELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGGVGAAQNPHPMLGGLSAVDLDAMASSFQHDGHGAAAAAAAQLIPLPSRTSLGPTTTTSALSLLLQSPKFKEMIEQTSAAETTTSSTTTSSSSPSPPRPSLRQATKDDASPQCSFPEDIQTYFGCAAEDGAAVAGYTDVDGLFFGDLAAYASPAFHFELDL >OB09G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8053493:8053769:-1 gene:OB09G17430 transcript:OB09G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLERRRHGVEVDRRQASQHRVRILGGTHAAVGAGPEPLDVPAEVEVGDGVQAPVLDRRHVVRHCRLLLRRCDKKRLVQAMADTDQPS >OB09G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8063975:8064851:1 gene:OB09G17440 transcript:OB09G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLPPYRFLDDALQGLPAAAAPYAKITEVHQDEVWELPAGAEVLASSSKTGVEMFCAGDRVLGIQGHPEYTIDILLNLVDRLSSAGSITMAMAEAVRRQVEDTGPDREFWLKLCKSFLKTEEE >OB09G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8067117:8068292:-1 gene:OB09G17450 transcript:OB09G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:J3MXL6] MTMSLADSWGSTPASSIGFEGYEKRLEITFSDAPVFVDPCGRGLRALSREQIDSFLDLAKCTIVSQLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGMFIFPGAQTSPHRSFLEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTGLHAKKAAVFFKNSTDDSCSSAKEMTKLSGISEIIPEMEICDFEFDPCGYSMNGIYGPAVSTIHVTPEEGFSYASYEAMNFNPTSLVYNDLIKKVLACFCPSDFSVAVTIFGGHGFAKSWANSAEVDPYMCDDLVEQELPGGGLLMYQSFTAVAPGAVSPRSILDGWNSDGVEMVAKSKEMIVCWEGENAAEEDADA >OB09G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8080253:8085955:1 gene:OB09G17460 transcript:OB09G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT2G23890) TAIR;Acc:AT2G23890] MAAARLRLLSLGFLSPAVSWPRHSSRQGLRAMSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDRSFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLNLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLIADTVQQFVDAKLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKDKKLFLLTNSPFYFVDGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNGENYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSAMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSGS >OB09G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8085531:8086908:-1 gene:OB09G17470 transcript:OB09G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPPRLLLCVAAAALHASMAEQPSSSSQQAAAAAAAAPVPTFMFRWHENRAAFRAGETAVVMIKALDLPDWGEARRSMTFTATVNGRRGNSTYITDVAAHLEGEPDTWNLTFVPLRADGFVVLTGEERFDVGPLDIAKSTCSWK >OB09G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8088057:8088764:-1 gene:OB09G17480 transcript:OB09G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTKTIVLGIVVLAALVLASEGRISRKDLSIDLGGGGGIGIGTGISIGIGGGAGGSGSGATSGSGSFSNSGSGSGSGSASGSGSWSSASSSAGSSVGSSAGSGAGSYANSGGDSGSSYNQDQGTSEGQGQDQGSCHGQGTCSGYGEGHGEGNGYSSGYGEGHGEGYGQGNGSGSGYGEGHGYGRGTGSGYSEGHGSRHGQGSGSGYGEGSGSGYGNGSGSGYGEGHGYGYGHGK >OB09G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8089444:8090924:1 gene:OB09G17490 transcript:OB09G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRTTTPKRVRPYGIHIDQLCPRLVPDSTREAALDLALDPTVEPTNDPSLESELDLTEDDALEPADDPALEPPPDLNPEPAELEAELELEEPALPPPPPNPIPTPAPRPPPPELEPEPEAETNPEADPKPGLDPELDTDAEPYLELDS >OB09G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8090606:8091219:-1 gene:OB09G17500 transcript:OB09G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVALVAVVVVAASLAPVGEGRSARKDLGINLGVGGGVGIGVGIGLGAGGSGSGSGSGSSSSGSALGSGSGFGSGSGSGGLGLGLGVGIGIGLGGGGYESSSRYGSASVSSSGSSPGLGSASGLVSASGSGSSSGGGGLGAGVGIGLGGGGGNAGSSSSSSASSSAGSGFRSGGGSSAGSSAGSSASSSVRSNSDSNDGSFVG >OB09G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8090943:8091238:1 gene:OB09G17510 transcript:OB09G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTPSPSPRPPDPEPEPNPEPEPKADPELEEPEPEPEPEPPAPKPIPTPIPTPPPTPRLIPRSFRAERPSPTGASDAATTTTATRATPFMQTIMS >OB09G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8093097:8093552:1 gene:OB09G17520 transcript:OB09G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKGSAVASLTLVALLCAMSGGCVESRRVATTGLTIGLGSGQGIGIGLDPGTGGAVPASASGSVSTSTSVARPGSTSGSRSRSVSIGGASSSTRSSAGSYARSGGSGGSGLGSGSMYGEGGGYGRGSSDASGIGFEEGYGYGSGSVRYP >OB09G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8095159:8095668:1 gene:OB09G17530 transcript:OB09G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKGSAAASLTLVALLCAMSGGCVEGRRVARMGLDIGLGGGQGIGLGLGLGLGLGLGAGTGGVSASGSGSGSGSVAEAGPTSGSVSIGGASSSAGSSAGSYAGLDGSGAGSSAGSRAGSNGGQGYGQGGGSGSGLGSGYGEGGGYGHGSSNGFGEGYGYGSGYGRNP >OB09G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8098609:8099073:1 gene:OB09G17540 transcript:OB09G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKACNLAAALLLLVMVVFMCMPYGGTVEGRPVPRKDLDVGLGGGGQGLGVGIGVGVGLGVGLGPGGVSVSGSGSGSGSVAGVGSASGSRSGSVSIGGASSSAGSSAGASVGSGGLRVGSSAGSSAGSSGGSGTGIGIGTGQGSGSGSSRNP >OB09G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8105124:8107795:1 gene:OB09G17550 transcript:OB09G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNLIGILNAVTFLLSVPVLGAGIWLGTRADGTECERYLSAPVIALGVFLMLVSVAGLVGACCRVNCLLWFYLVAMFVLIVVVLCFTVFAFAVTNKGAGEAVSGKGYKEYKLGDYSNWLRKRVENSKNWNRIRSCLQDSKVCKTLQQEKWTQDQFFKASLSPLESGCCKPPTSCGFTYIGGTNWTTTTTTPASTDPDCATWKNDDRALCYDCQSCKAGVVATLKRDWKRVAVVCIVFLVFIVIVYSVGCCAFRNNRRDNHRGAYRGAGGAGRASPSSASSSSSSSSSSTPSAAAPSGTTGGTTTAAPTAAPAAPAGRAATPDRDRASAGLFISDRSCQIFLSI >OB09G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8109241:8112363:-1 gene:OB09G17560 transcript:OB09G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MXM7] MAVGARAFALLFAASLACATGQYFYFQGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSWAKIAGTVVCLAGAIAMAFFKGPKLLSGLPFAAAAADDDWVKGGIYLIGTAFCVSMWYILQVPVCRSYLDPLSLATWMSFLATLQCAVMAFFLESNYLEIWKLASVWELPCILYAGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTVLSTIFLHEELHIGSILGATAIIIGLYVVLWGKAEDVKSERLTMQSNNSKMILEPECTGVKFECGTSLSAPLLSKNTNDNTCTC >OB09G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8111951:8116340:1 gene:OB09G17570 transcript:OB09G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAQKLNWAVLIGRAVFTRSYRAQKGERSAAGEGGGAGDGDDIVLVPDDGGDGEGDAWGEVGGGPRHGRRRELEPLEVEVLPRRAAEKNETATGDEPRMPDSIKGRAEEVRGGFARVPGEGGGEEERERPGADGHVGXXXXXXXXXXXEGPRAGAGARAVGDEDDGAHPHPVRLLERHVHQRVEPLHQHHPRARPVQLRPPSSSPAAAAARHAEIRASELPTAAAAAAASARS >OB09G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8114481:8115919:-1 gene:OB09G17580 transcript:OB09G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MXM9] MARATTNLAPGITFAIAAVIGLEKVDLRSSRSWAKIAGTVVCLAGAMAMAFFKGPKLLGGLPHAAAAADDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCVLATLQCAVMAFFLESNYLEIWKLSSVWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTVLSTIFLHEELHIGSIIGAIAIIIGLYVVLWGKAEDVKSERLTIQSNNSKMILEPECTGLKIECGTNLSAPLLSEITNANTCTC >OB09G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8118662:8122453:-1 gene:OB09G17590 transcript:OB09G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MXN0] MVAAQCIYAAMTLFAKAMFGRGVSPVIFVVYRQAIGTLVLVPITVVSNSRSETKDTRSLGTTGFFVVFLTALVGATVNQNLTYQGLHLGSSSMASAMTNLIPAITFLMAASAGQERVNIRQRGTVAKISGTIVCVGGAMAMAFFKGPKLLNYTLSDLNMLLHSPTISKWVLGALCLVVSSSCWSLWLILQVPICKSYVDPLSLSAWTCFLSTLQCATLAVFLVPDVNAWKIHSLFELSGYVFAGAFGSGVTFYLQSWCISVRGPLYSAMFTPVCTVVATVVAGVVLREELHVGSLLGAAAVIAGLYVVLWGKADDMKRRSEPKTAAAATPCSDPRSDIERTAAEPLLADDDSS >OB09G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8125378:8131052:-1 gene:OB09G17600 transcript:OB09G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3MXN1] MAGGLEEYKPCAAMVAAQFIYAALALWAKAVFTRGMSTMVFVVYRQAIATVFLVPIAIVANRKKMKKARLGMTGFSLIFVASLFGATVNQYMYYQGLHLGSSSMATAMTNLIPAITFVMAASVGLERVDVRKVRSLAKIFGTVVCVGGAMAMAFFKGPRLLNSSSLVGLSLFLHSSASSKWVMGAMFLVCSSCCWSLWLILQVPICKSYVDPLTLSAWMCFLSTLQSAVLAFFLLPDLNAWKIHSLFELCCCLFAGAFGSGVTFYLQSWCISVRGPLYSAMFNPLSTVITTVVAAAFLHEELHIGSLFGAVAVVAGLYVVLWGKAGDGRGGKSGSAAAPEHAVDAEKAAAVQPDAQLDAGEGVTEPLLAGGNPADREVEERF >OB09G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8151012:8151437:-1 gene:OB09G17610 transcript:OB09G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQPVVGARPLPRPPRHRLPDQRRVQHILHATQHPSTPATSKPNQGQQPMQCNNKFRGRSISLYLVCSKVIPGPRRQECRTHERNLSAGSVYLLPIDSPINSSHTRSIELIDDLSLSWPGSRSGRPRRGGAVRGSEEEEDGCT >OB09G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8151195:8155774:1 gene:OB09G17620 transcript:OB09G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGAYKYVLYAPLVGKAVAGRAWERASPDHWLLLLLVLFGVRALTYQLWSSFRNMPFAPPPPRRIVRDGVDFDQIDKEWNWCSVLCVTLDFCRDNFLILQVHMAAAAFYAFPSLRHLPPWDARGLAVAALLHVVATEPLFYVAHRAFHRGHLFSCYHSLHHSVKVPQPFTAGLATPLEHLVLGALMAGGGGGAAAAGGSVGLAFAYVLGFDHLRAMGHCNVEVFPGGLFQALPVLRYLIYTPTYHTIHHTKREANFCLFMPLFDLIGGTLDAQSWEAQRKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASTPFSVQLFLLPMWPFAFLVMLMMWAWSKPFVISCYRLRARLHQMWAVPRYGFHYFLPFAKDGINKQIELAILRADKMGVKVVSLAALNKNEALNGGGTLFVNKHPGLRVRVVHGNTLTAGGVILNEIPEGTTEVFMTGATSKLGRAIALYLCRKKVRVMMMTLSTERFQKIQREAAPEHQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPILGFRRDCTYGKLAAMQLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >OB09G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8161710:8161943:-1 gene:OB09G17630 transcript:OB09G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSMVTVGKTKGVASSVHRKPLQHMVQRRLRELKKIVPDAHEGNVNVLLQQTAEYICILELKVAILQRLAAIYGA >OB09G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8170569:8173646:-1 gene:OB09G17640 transcript:OB09G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGGMGVACLWGKDGRRGTPVVGFLALSLLALAVELAAHWNGWRFQGLELHVPEVVEIEGWAHSAYISWMSFRADYIRRPIEFLSKACIFLFVVQSMDRLILCLGCFWIKLRKIKPRIEGDPFREGSGYRHPMVLVQIPMCNEKEVYEQSISAACRLDWPREKFLIQVLDDSSDESIQLLIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLMSAMSCDYVKDYEFVAIFDADFQPSPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPDIITAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKSGRSSESDLLTAVEKDTKGITLPRLQKQISESELIELKMQNERQEKAPLSTKKGNKVYKKELTLSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLFVGLDLIGEQID >OB09G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8189336:8193399:-1 gene:OB09G17650 transcript:OB09G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPQVILFLLLITVHLGIAQNTNRNGVNQVHVGVILDLGSLVGKIAKTSILLAIEDFYALHPNYTTRVVMHIKDSMGSSVQAASEALDLLTNYNVKAIIGPQKSSEVFFVSEIANKSKVPIISFTATSPSLTFDNIPYFVRATINDSTQVNSIAYLIKHYKWREVVPIYIETDYGRSIIPDLLDALEGNLKAKELGMMTKGYVWIITFGVASLIDSLNPSVLEAMNGALGVEVYVPRSTELDNFTARWTTRFRMDNPNDPQLKLSIFGLWGYDTMWAVAQAAEQVKFTNEIAQMHHMTKSMTSLETLKNSGNGQEFLNAIVQYKFKGLSGYFNFSARQLQSSTFQIINIVGKGWREIGFWSAQDGFSRKLTKQKSNRTYLSIEHDLNPAIWPGESTDTPRGWEIPTSGKKLQVGVCTSSGYPEYIYAEKDLIMTGMTKASGLAVEVFEEAVKRLPYALPYEYVFYNATGNISSSYDDFVYQVYLKKYDMAIGDITIRYKRSSYVDFSLPYTESGVAMIVPLRESINPTTWIFLKPLTPGMWFGSIILFIYTGIVVWLLEFLGNSKNARGPIPTQMVMIYYSLFVES >OB09G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8197612:8208994:-1 gene:OB09G17660 transcript:OB09G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MXN7] MEKAPRAIVVLLLLRLLAHFGAVALNVSTNPGADEFHVGVILDMGSLVGKEARTSISLAAEDFYAVHRNYSTRLVLHVRDSMGNSFQAASAALDLLNNYNVKAIIGPQKSSEAFFMKDIANISEVPVISFTATSPSLTYDNIPYFVRATISDSTQVNSIASLIKFYGWREVVPIYIDTDYGTGIMLDLLEALQGNDARVPYQSIIHQSATRDQMTQELYKLMTMQTRVFVVHMTSSMASVLFTMAKEVGMMNKGYVWIITFGVASLIGSLNPSVLEAMNGALGVEVYVPKSTELENFTIRWNTRFRKDNPNDPLLKLSIFGLWGYDTIWAVAHAAEMARPTKDKVQMHHMSNSTTTLKGPGNTQNGMNFLDAIFQYKFWGLSGYFDLSERQLQPTRFKIINIVGKGWRDIGFWTAKDGFSQRLTKPRSNRTYLGTKPYLNPVIWPGESTNIPRGWEIPTSGKKLQVGVCTSGGYPEYIYAEKDPIITGITTASGLVVDVFEETVKRLPYALPYEYVFYNTTENISSSYDDFVYQVYLKKYDIAIADITITYKRSSYVDFSLPYTESGVAMIVPVKKNINTTTWIFLKPLTFEMWFGSIMLFIYTGVVVWLLEFLSNNKNFCGPIPKHMVMIYFSLFAKKEMVERPLSRIVLIIWLFFLLVLTSSYTASLTSMLTVQQLQPTVTDVHELLRNGEYVGYQRGSYVKDLLDELGFSKSKIRRYDNIDEFRDALSKGSSNGGISALVDEIPYIKLFLAKHCEGYTMVGPIYKTAGFGYAFQKESPLRGDISKAILNITGGDTIIQIEKKWIGDQNKCRNVGPVTISGSLTFESFKGLFILTGVASTSSLLIALAIYFYKNKQVKSGNGEQNFEQKVKGDTIEASFIIQFTQLSGLSPGCIARNAFCSIFWNTADFA >OB09G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8212749:8215674:1 gene:OB09G17670 transcript:OB09G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVAAAVAATLAAVAAVSAILAGEVYRRRCRRLATRVRELEASLAAAADKTAAERRGRVRAQQSLRSALSEKESSSDDKKKKKHTKAFPMASIGVVQSCFSTRNGTPRQPLVVPLARATVVLDPSRVPAEALEGLSDYSHCWILYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDGVIGAAIPNWLEVDGALAVESIHFSEHFISTLSDCWMHVQKQSLYASADEFRNLVKEVLSWDIRSLSQRIRPHEVTIKDDAHNGGSKIHNGHSNDEDHQSVDPSTSVVYHLHLDGIDVSYRIDQDSNIVVENAALLSSAVNQHRYSYLTWREKVVTL >OB09G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8217173:8223888:-1 gene:OB09G17680 transcript:OB09G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQSRRSGASSTRRQQDAELAAAQERRRAAAQTAAAAARAARLAAAELAAIRAEAEAEEAEDAARAAEAEVETLRSSINGSIVGDITADRDLEELARGRVRERTIRWAAAHPHGGGGPGEHASADGAPSEARAATAFPKGSPLLGDISKAILNITEGDSIMQLQKKWVGYQNDCKSVDSALGSVSDPDKLSVDSFKGLLILTGVASTSSLIIAVMIYLYEKHKTMIRMQPDQNGEDLEENDKPQEVNGGGRTEENNHPGEVGHGKGKYLEAGDKYPLS >OB09G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8224917:8225315:-1 gene:OB09G17690 transcript:OB09G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVEQLKPTISSIDEVRKSGLNVGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGFGYVSNFIYVHVWTITLQSISQSSLLKYIYRL >OB09G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8225766:8230564:-1 gene:OB09G17700 transcript:OB09G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPQIIMFLLLSFHFGVAQNATRNGGADGFPVGVILDLQSLVGKIARTSILMAMDDFYVAHRNYSTKIALHIRDSESNNVQAASAALDLLENHNVQIIIGPQKSSQASFLSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIASLIKTYGWREVVPIYEDSDYGRGIIPYLADALHDIDALVPYRSVIPLSATTDEIREELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIIDSMNTSVVEAMNGALGIQFYVNKSELDSFTIRWTRRFQIDNPNDPPLKPSIFGLWGYDTIWAVAQAVENVGVKTRTSFQKPSVARNSTSLENMGTSVYGPELLKVILKNKFSGKSGYFDLSNRQLQVSTFSIINVFGKGWKDIGFWNEGNGMLRQLNLGKSRMQSAGSVSDLNPVTWPGNSTEIPKGWEIPVSGKKLKVGVHKSAYKEYMTNERDPITGVIKASGFSIDIFEEAVKRLPYALPYEYVAFDTSRDTSTGSYDDFVYQVYLKKYDVAIGDITIRYSRMAYVDFTVPYTESGVAMIVPSKGIVDKTWIFLQPLSRNLWFATIIMFVYTGSVVWLLELLGKKRNVREPIPRKIGIVIFFSLFGDS >OB09G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8233752:8239365:-1 gene:OB09G17710 transcript:OB09G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MXP2] MERAAGRAAFLFLLLSLTVAQNITENGATTLNVGVILHLKSLVGKIARTSILMAVEDFYAVHRNFKTKLVLHIRNSNGDDIQAAAEAIDMLENYNIRAIVGPQKSSEAKFVSDLGNKSQVPVISFTATDPNLSSINVPYFVRATLSDVAQVNSIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAFIPYRSAISASATTDQLEKELYKLMTMQTRVYIVHMSSNIASVLFKKAKDLGMMSKGYAWILTDGISNIVDSLSPLILEEMNGAIGVRFCVPTSKELHEFTTRWNKRFKQEYPNDPPSQLTIFGLWGYDTIWALAQAAEKVRMAEAIFKKQKDTKNSTSLGTLGISTIGPKLLDSILHSRFRGLSGDFDLSKRQLEFSTFQIINVVGSRPKEIGLWTAKGGIFRQTNENSSKTTNINSMPDLYQVMWPGEVYTVPKGWQIPTTGKKLRVGVRTSGYPELMKVERNPATNEITASGYAIDVFEEALRRLSYAIPYEYVAFDDGQGVNSGSYNDFVYQVHLGVYDAAIGDITIRYNRTSYVDFTHPYTESGVAMIVPVKDNRDKNTWVFLKPLTSGLWFGSIAFFIYTATVIWLLERRINNAELTGSFLHQLGIAIYFSFFADRERLDSILSRLVVIVWVFVLLVITSSYTANLSSILTVQQLQPTVTDIHELLKNGEYLGYHNGSYLFDLLKELGFDRTKMRAYDNPDDFADALAKGSQNGGIAAVVHEVPYIKIFLSKHCKGYTMVGPIYKSEGFGFVS >OB09G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8250755:8251807:1 gene:OB09G17720 transcript:OB09G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEEAEINLELTLCYTSASPPEEPIVGFFLCMYCDRKFCSSQALGGHQNAHKYERSQAKRRREANPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARVDITDGGDAWYSTPASQRVRAEPPKAAAPEVGKSSPVDYGVENADGLDLALRL >OB09G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8264480:8272391:1 gene:OB09G17730 transcript:OB09G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MXP4] MAGHTPNPLFLLIFLGRLLLLAAQAQPVTVTVGLIFDGGSPGGKIANTTIPMALDDFYAAFPGSTARVRLEHRDSRGDVVAAAAAGALELMEGRGVRAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSPGAGRFFARAALSDAVQTGAIAALAKHFGWRLVVPVYQDDDYGAAFVPFLVDALTDAGAEVPYRFALPAGASSDAVAAAMYRMESLQTRAFVVHTRPDLAERVLAAAEAAGMMGEGFAWVITDGLTGLLASINAPPGLIGLAPYVPTTPRLRDVRRRWVRRFMRDHPGADSEHAEMGSYAVWAYDAAWAVASAAEHLAPGDLSPRGLVGGTGGPTDFAGLGKSSSGKKFLDAITATTFDGLGGKFELVDGELTAHAFRVLNTMDNGKERSIGFWRKNGGLTRKLGGAPGGCGSGFRPIVHLDVDPVTNWTTAGGFVVEVFEAAVRLLPYALPVEYVKAESMPYDMLVKKVGDGTFDAAVADITITAARSSHVDFTLPFMASSIAMVAPLRDDRGERTWVFLKPLRYDLWLASAAFFLFTGFAVWFVEHRGNDEFRGPPSNQVGALLYFGFSTLVFAHRENLRNNLSRFAVVVWCFVVLILQSSYTASLTSMLTVPQLEPSIADYAALWRGAERVGIMNNSFMRGAMDRSGFPPSRLVPYRAPQSFHEALLNGTIGAVVDETPYLRIFLKSYCDRFAVTGQLNKTGGFGFAFPKGSPYVADLSCAILALTESEEMNLIERKWFGESDGCAATQAGGPFTADSLSFGSFWGLFLITGATSLLCCAIHLATFVAANRRDIRLLLTSHPSWKGTLRRFAKLYDGKDLSAHTFRSKVTGRNDAAAAGASPSAVHHDAAASPISLSNHTYMSDWSLQTSSPAMAGCEIELAGAGGQAEEAATDEARDPNGSGENGRDN >OB09G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8275223:8280035:1 gene:OB09G17740 transcript:OB09G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:J3MXP5] MVEAARTVTFPALLLAAVVLLCSSGVLGVDVVVDGGGRQAVARRRVDVGVILDRSTWLGKTSWACMELAMEDFYAAREHAHYRTRLRLHLRDTGPGAVDAASAGVDLLKNVHVQAIVGPQRSTQAKFLAELGNKLSVPVISFSANSPCGSPSQTPYFIRTAWNDSSQADAIASFVQRFNWRDVIPIVEDDDSNTRFIPDLVDALRQAEIRVSHRYKIHPLAGPDDIKKVISNLKLKWTSIFVIRMSYELALSFFQHAKDEGMMGEGFVWIAAYGLTDIFDLLGSPAFDVMQGVIGMKPYVNDTKKLQNFRERWRKKYQSENPGTLLSEPTISGLYAYDTVWALALAAEKAGYVNSDFLLSKKNGSTDFDRINTSKAAKKLKSTLINIDFLGMSGRFHIQDMHLLSMTYEIINIVGKEKRVVAYWTPGLNISRSLNTKVSIDTVRWPGGGTTAPRGWLLPINKTLKIGVPAKPGFSEFIKHENGTFKGFSIDVFNEVTNALPYKILYRFEQFGNGKGESNGSYDTLIYKVYLKEFDAVVGDITILANRSLYVDFTLPYTESGVRMLVPVQDRRQKTAWTFLKPLTADLWFGTGAFFVFTGFVVWFIEHRTNEEFRGPPASQIGSLFYFAFSTLVFAHRERIVNNLSRLVLVIWLFVVLILQQSYTASLSSILTVEQLQPTVTNLDEVIRKGGYVGYLNDSFMPGLLERLKIDKSKLIALDSPVEYNEALSTGRVDVVVDEIPYLKVFLSKYCHNYTMVGPTYKFDGFGFAFPRGSPLTAEISRGILNFTSSNRMAQLEKDLYSNRTCPDKSDSQTSSSLTVRSFLGLFIITGASSLLALILHVALTLYHHRHDLSSDSGQSSWCGWFAILIKIFHERERPNAPQNADEPTITNANSAAETPFSTPNHTVQNVDSDSDIESLQEGEGTPGREEFVQGPDPPSFSYMHSERRAMD >OB09G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8280458:8281867:-1 gene:OB09G17750 transcript:OB09G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAAEEDAALKAYVDAHGTGGNWIALPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEEDRLICSLYIAIGSSGGGSYTGGHDAWLDTSTPPMSTSIGDTTTTTAGGDSSSSTPTVSSATTPFVGSMIDMEDEIDMLLQQIKCFDESDVDDQRLIGVDEATAGAAEHYLRALINEAGRRRRRRRRLELLLYSRSGLRVPRLRSARLRTV >OB09G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8290326:8293599:-1 gene:OB09G17760 transcript:OB09G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor II 15 [Source:Projected from Arabidopsis thaliana (AT4G31720) TAIR;Acc:AT4G31720] MFISTTKGPDFSPPLPLLSSRASGDDTTPLDLGGQPRGAASSGGGGGDGRHDDEVVLTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >OB09G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8296842:8305857:1 gene:OB09G17770 transcript:OB09G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein / CBS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G10690) TAIR;Acc:AT5G10690] MNAVLEACVRCGDVDLALRLVDEMRRPGGCGVDGVSYGVLLKGLGIARRIDDAFEILESIEKDTSTGSPRLSPHLLCGFLNALIEAGDMRRANALVARFREVLYKGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVTSAEIDMAIRFLEDMKEEANRDNNPELLPDAVTYTTLLKGLGNSRDLYSVLKIVVEMKSSRIFIDRTAYTAMIDALLACGSINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSVKEEADELLMEAAFNNNQIDMARGLLRRIVNAKEWFSWTSRVGMVAVKVETMSGFTNSVLRPHVFPQVVLNDPVEKYMISFQETQPLHADLILEEVAMRFFKDTTVPIVDDWGSCVGVVHRQDCTKIDAPLISMSRGPPLCVPTSTSIEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLTILWKFTADESDIDGMGGAACQLQEDVEGSNCG >OB09G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8314396:8314860:-1 gene:OB09G17780 transcript:OB09G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHAAAARVLDFFFLSICASLFYLSLSSDRWNFPVQLPGVVLAVNGDFEWCMMFSVVFVCFVFSISLIYFGRSVIKLFPSEASPSDFQIVDIPCVNLHPKHTLSIIYISLSLYISLCSNRENYPLFKRKKTIRNCTSLASREKKQINMLHSLS >OB09G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8315024:8315410:-1 gene:OB09G17790 transcript:OB09G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNREEEDEINLELTLYYSSASSSSPEPIGFFLCMYCDRKFYSSQALGGHQNAHKYERSLAKRRREIAAAMRAHGVPPAEDGAGASGTAAQSKVSIEAQQKAAAAPGRGGKSSPEYGGHGLDLSLRL >OB09G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8344650:8345141:-1 gene:OB09G17800 transcript:OB09G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASFSFPGRSRVVAAAHSGGGVRLSFTGCSASAVSGRAAATPALEPGGAGGDRLALNLSLQPSQQAADEPPGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRQADEEARRGKEPAGNASRGSAGSSSYRRASPEARRDLTEEIDLSLKL >OB09G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8389752:8391164:-1 gene:OB09G17810 transcript:OB09G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTALASLMGALAFLQGVLHAVFPAELRAAVARLLGRATRAFSPYCYFDVTETEGMSTNEIYDAVQLYLSSTAAPAAGARLSLSRPHNASSFTFGLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMDARGLPWDPVPFKHPSTFDTLAMDPDRKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVGSNADLRKLLMKTTSKSIIVIEDIDCSVDLTTRAXXXXXXXXXLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILLRNYLGFSDPDPDTGAVMAGLESWIDAAEITPADVSEVLIKNRRSGSKEAMEQLLRVFKARAEKRRRESGPSTAVKVTSDNNNNDDEEEEEEEEKRALESPKEGKEQQGGGMAGEDDEETEAKKQL >OB09G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8406585:8407672:-1 gene:OB09G17820 transcript:OB09G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MXQ3] MMKTASSSSSSHAFPTTALLCTPYLLLVPLGLLAMVLVIPSLGSSHFRSDDALGVLCRGGGGYLVTPGGAAAETKKVVSRPELRLLVGVLTTPKRYERRNIVRLAYALQPAVPAGVAQVDVRFVFCAVADPVDAQLVALEAMRHGDILVLNCTENMNDGKTHEYLSSVPRLFAANPYDYVMKTDDDTPGDDVSLGYGFAVGDDPMQFMHGMGYVVSWDVAAWVSTNEDILRHNDTHGPEDLLVGKWLNIGRRGTNRYSLRPRMYDLNWDMDNFRPDTVLVHMLKDNRRWAAAFRYFNVTAGLQPSVLYHLP >OB09G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8408302:8408532:1 gene:OB09G17830 transcript:OB09G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWKDRSGVHREFARDPTKIPKYSEEQSINHSCRFEASMTVQQCIGVLHFGFRGGCELQEGLSATTTCVIDMPGV >OB09G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8410654:8411698:-1 gene:OB09G17840 transcript:OB09G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MXQ5] MAMKAPASSSYVLLAPLALLLVAAVVFLLPSLNGAHVGSDGLGVDLCARRSAGAGAEGYTVLAKEEEEEKEKPELSLLVGVLTTPKRYERRDIVRLAYTLQPAAARARVDVRFVFCRVEDPVDRQLVALEAMRHGDVVELACEENMNHGKTHAYLSSVPRLFAADPYDYVMKTDDDTYLRVAALVDELRRKPRDDPMPFMHGMGYVVSWDVASWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTIAVHTLKDNRRWAAAFSYFNVTAGIKPHHLP >OB09G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8418429:8419385:-1 gene:OB09G17850 transcript:OB09G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MXQ6] MKQPTPPRFPVTSLRLLCLLLVPLGFVAMALSLSRSFFVPSYVLSGCLHGVPRCRSVDTDDGGVRRGAESTREPEFRLLVGVMTTPRRYERRAILRLAYALQPPAEAARVDVRFVLCDVTDAADAVLVAMEAARHGDIVVLDCAENMNDGKTHAYLAAVSRLFAPAPYDYVMKADDDTYLRVAALADELRSQPRSDLYLGRGYAVGDDDPAPFMHGMGYVVSWDVAAWLSANDEILRRNDTHGHEDRLVGKWLNAGGRGKNRYNLKPRMYDINWDMDEFRHNTIAVHRLKDNHRWAAVLRHFNATAGIIKPSILQDHS >OB09G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8421394:8422422:-1 gene:OB09G17860 transcript:OB09G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MXQ7] MKPHGLPPAPNQRWPALPAAALLLLPVALLAFVLLVVYPNEFALQASLAAGAAACGDQGGGGVAGSGTVRDGAVVVVGGEVRAAPDFRLLIGVLTLPGRYERRHLLRTVYALQQPAVAPRARVDVRFVFCRLGSPEDRVLVALEAMRYGDVVELDCPENMDNGKTNAYFSSVPPLFGDRAYDFVMKSDDDTFFRLPELAESLGRAPRQDLYYGCMVPCDYVRGSNEYMSGMGYVLSWDLVEWIVAAAPEIEGKTDGPEDRTLYSWLRRGGRGKNRVDVKPAMYNFPGWHPCSHEFIPDTIAVHQLKDNGRWARTLRYFNFTAGLKPSGSGQLLPGDDKLISS >OB09G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8423908:8424219:1 gene:OB09G17870 transcript:OB09G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3MXQ8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEATRGVLKIFLETVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB09G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8426178:8427688:-1 gene:OB09G17880 transcript:OB09G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MXQ9] MSTDPRVKAAVADCKELFDDAMDDLNRTLKGIDGAGKDGLAKQGFQLRVWLSAVIAHQETCIDGFPEDEFKAKVKDSFINGKELTSNALALIEKASTFLSSLKLSKRRLLAGEDEDDGAAAAEPQREPPLAKDGIPEWVPDGDRRVLKGGGFKNNLTPNVVVAKDGSGKFKTINEALAAMPKTYTGRYVIYVKEGVYAEYVTITKKMANVTVYGDGARKSVVTGNKNFIDGITTFKSATFTAQGDGFMAIGMGFQNTAGAEKHQAVALLVQSDKSVFLNCWMDGFQDTLYAHSKAQFYRNCVVSGTIDFIFGDAAAVFQNCIITLRRPLPNQQNIATAQGRADGREATGFVLQKCEFNAETALTDAKLPPIRNYLGRPWREFSRTVVMESEIPAIIDKAGYLPWNGDFALKTLYYAEYGNTGPGADTAGRVAWPGYKKVISKADATKFTVENFLHAKPWIDPTGTPVKYDFFA >OB09G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8426948:8427538:1 gene:OB09G17890 transcript:OB09G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCVLTEGCRLEGGDAVDEVLVAGDDRLPGAVAVDGDVRHLLGDRHVLRVHPLLHVDHVPSGVGLRHGGERLVDGLELAAAVLGDHHVGRQVVLEPAALEHPPVAVGHPLRDAVLGERRLALRFRCCCSVVFVFAGEQPAFGELERGEERGGLLDERQGVAGQLLAVDEGILHLGLEFVFGEAVDAGLLVRDHRAQ >OB09G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8427733:8428110:-1 gene:OB09G17900 transcript:OB09G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGDFGPLTERRRAEKARQQRRRIMIAAGTVSIVIILIVMGAAAITYSGKNSEKEESGSKGSKAKPGGGSGSPADLRAVSKSIKVMCAQTDFQDACEKSIGKAANASASSPKDIIRPPPPSA >OB09G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8436365:8437019:1 gene:OB09G17910 transcript:OB09G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQRSIFHLGEEGGDDELLGAAADDGEYSTVVSVHRHQQRPATRRRDGGGGAVVVGLQIVLDEKHQPQHRVVLKQMVWPPAQARRRRRRPCSFMRACSLCRRELSPDKDVYMYRGDQGFCSEECRWQQILTDKKREQDAMAKKERRDQHQHQRQHHHHHHRLPRPTAAAIRGSSPRRLLAVA >OB09G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8442699:8447060:1 gene:OB09G17920 transcript:OB09G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKTPSITAETINSKVRIFTYEPCGEIVRHARGLEQEIYENPGSLPFQEIIYCNLGNPQALGQRPITFFREVLCLCDNPPLIYRDEARALFSPCALKRAKKIVESLPGRQSGSYTSSQGVRSLREAVANGISARDGFPSRPEDIFLTDGASSAINLMMQILIRSPEDGILCPLPDYPLYSASIILHGGTMVPYNLTEDSGWGLEIFEVKRCLEDSRASGLTVRAMVVINPGNPTGQVMSITNQEEIVEFCRKEGLVILADEVYQDNVYTENKKFNSFKKVARSLGYDHNELSIVSFHSVSMGYYGECGRRGGYMEICGFGADVMDEIYKLASLSICPNIAGQILISLIMDPPKIGDGSFETFMAEKEETCSSLIKRAKALHKAFNSLEGVSCNKFEGAMYLFPLLHLPPLAISAAEQEGVSPDVFYAHRLLSATGVVVVPGSGFHPISGRSHIRCTILPDEEKIAEMVPRLQAFHEAFMDEFRG >OB09G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8449175:8455076:-1 gene:OB09G17930 transcript:OB09G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHAEKRPQARPGQGPEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRMVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVDEEGSSEVFPAIKIYDDDINMKFLLCGVPSTLDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQMLESHIKDEIIEKSQLVRALPNNDDKLASSVPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVAVGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRWSASLTKERVKMGLESKPNLSGVNGIPEDKKHLMEGPSSSSKAKLKPATMKPIPHSRKQQMHPFMGFAEATVHEPSQAKPNLPVAPPVKHNSVPAAPTTHRKSVSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPQKVANGFANTVSVSRNV >OB09G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8455810:8456094:1 gene:OB09G17940 transcript:OB09G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRDYDLFFCVPPSPPLGQAAAAAVGARDFSSDFASSSFPLLLLSHLSILNRCCSLLLLWMDGWVGALFSWRFSFSFPHYYCCYARGQILDD >OB09G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8457438:8461082:-1 gene:OB09G17950 transcript:OB09G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20910) TAIR;Acc:AT5G20910] MSAVEQRLQALRQKLGRKQHFEEAVADLTATVRDHYAGASPALRDLMYSTVCRVATVLQTRYTAPGFWRAGLNLFIGTEKLVTNPSEKEQLKTFILRAREHLDEKENEESMPNNGETDNRFLFEGHLTVGPEPPPPAWLVAQNLAREFNILAESSGDQGGNNNGVQSRDEEMAPAIMNFLNTMTMDGDLETALEESLQNVMVNPKVPPASKEVVANLPVVTVTEEIIARLGSETQCAVCRENLAVGDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >OB09G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8469998:8472764:1 gene:OB09G17960 transcript:OB09G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAGAAXAAAKKQGRRVTADVLWPGMRGKAKAGRGFGVEDDFEADFREFEQGLSDDEADGGGGEVDDDDDDVVVEVPPPARSVFGGAAKAAAGIAPPTADGVLTPKLVQHDGPTARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDELSVAQKPSLKQNAAKQEKLTPPLKTCVDDAFIHPNNADNDLFAMFSFSDKKVPAKPEEPVGILPPVKPLVSTETFEINMLSDESSNSFGSSDFGWDDDILTPDYTSVFVPNAYGEPAYLTGGAPKKMRNNYGVAVPQGNGMPDLTQNMPTFDPGMKYTPLPYVESSSDESMDSLLQNDATQDGASNVGIWSLDELLLAAGAY >OB09G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8489499:8490914:-1 gene:OB09G17970 transcript:OB09G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRCPAPMATASASASAQELDREQYLQELIRGSMLDPPSSSSSSSRAGRVRPLTDDEIGWFYCEVCMEWKLVFDRFRVSDGCPHVFCVACVVGHIEARVAEGKVPVPCLLAAGCSGGGVMHPEACKKLLDIDVFDRWCVALCERAVGPGRARCPYRDCGELAVLEGAGGEAALRAAVSKASCPTCSRAFCLQCEEPWDDRHGDSDARCSLTQLAMGSDWRRCPSCRAMIDKIDGCKRMTCRCGAVFCYDCGSSFKPRKWSCKCSSRTSASSESERGGFIDLTCPGRQLHLGDDC >OB09G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8494595:8496467:-1 gene:OB09G17980 transcript:OB09G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIAATGEGSHSTPAVPAGKGKAQQSAPAGSSGKGKGRHSAPSVPAGKGEVARHHVQSDGPISSKRKALLADRDTPAVFAGMEEGDDNDWYESIIREAAIRELEEDPELHGLLPVQYFTPRSETPEAVTTAAAAAVEEGDEISMPKFFKKWGLHPSDLDPDEAGPSTRRPRVLPVSDDDLPTFDCGICFDTHPLLDMFRGLPCDHKFCLGCMAAYVEGKVGEADVPISCPHPECKKDDVVAGVLHPEECKKSIDFAAFSSWGLRLAEGAVPHYRRAYCPNRRCAVLLETSGEDKPAMAECPACKLALCAACGMEWRAEDNGEHMDCAKGPDAAMMKKLADEHRWKKCPKCKMMVERVSGCRVMNCRCRMVFCYDCGLQMSATLEGAEKCSCV >OB09G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8503644:8504634:1 gene:OB09G17990 transcript:OB09G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLHRAVRHLLRARRGRAASRRVRREAQEADLPAAVRADGQVVQPPVAVVVGVAGNNGGGERAVGVPEFYQPPRSGNVTVVMHAVFSQSDVSRLVVGELSAQRRYMEIRIAGSIDARTHVMNFPLPKIQFSIDCTIGTNYTDIVLREGIESVITRKALLVSELPHLSQKCSIKIDIRSRGKKRASLDELGC >OB09G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8506390:8507542:1 gene:OB09G18000 transcript:OB09G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSTSSRSCACTATAASRVRGEAARGAWGGEVTLVESEGVGHCFHLSPEFNPKTVELMDHVVEFIARGKTSTPTSMLMDRRRRRGKGESFRRVEFIARGKTSTPTSMLMDRRRRRCKVESFRRPKLHGPNWAHRSMFRAGNGQHWACQ >OB09G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8509976:8510449:1 gene:OB09G18010 transcript:OB09G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGGRGHGGRPAALRDRGHRHHELLRDGAGWDDRVQLVVSRRKDHCFHLLPEFSPDDETKELMDRVAKFIAEGKTAPPMSTPMEAESVIGRKTTARTVPSRGGARCCAAQIAPAAPRRSGFGVGNMRKPPRNKVQKYHRLPAAALERSVLKSYF >OB09G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8512131:8522392:1 gene:OB09G18020 transcript:OB09G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03140) TAIR;Acc:AT2G03140] MPRGSGSSSPAPRRSTGVLLDGVNERLLTEERHYVNLSRGRIPAARGDAAGDISYQRICIPTEDGGVIALDWPDNLDLDKEHGLDSTVLIVPGTPEGSMERGIKVFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDISTVVRFINNKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCVDNPFDLQEATRSFPHHIALDRKLTPGLVNILRANKELFQGKDKDFNVQKALSANCLHDFDGAISMISHGFSTVDDFYSENSTRLSISHVKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTVFTFERYAVLWCQNLALEWLSAAEFALLKGRHPLIKDVDITINPSKGLMFVEPQANDRKVPTNNNFRQESQFILYNSMPHGINGLLLDSAKEDSSSNKNVSSQVKDNGDIDRARQDVHEEESEKNPEDDEKGHVLQSASLVMNMLDATMPGTLDDDQKKKVLGAVEQGESLVKALEEAVPEDVRGKLTTSVTEILQSKRGNFSLDALNRLGWTNGRSNAKTSVQEKVKDSDRESGLKDAKMHDQNKSASAIGDVDQKDGNVTSNDNNSGEGIELSQGKPCQTSAPIGVITDMGTEQPNRSEKTTPGINESSEGQHRTDQVTETAPKQVSDDQSPSEKKSSDDQLPGEKKVSDDQSTANLNSAPRERVQSSDATAESPQAHVEKDGEAVRASEDKATHNDTEQSMQVSKTEESKPPPVNVTQALDALTGFDDSTQMAVNSVFGVIENMIDQFEKQHESENEDKSDGSTVETPVNKTEPQVTGDENNESIGKSINPSSYQPENNISGKGHSIIYEDHMIGDKNSNLGIISPAKEKIENYQRNRITDYVDVDVTKQGSGSPDYLLDIAINSYLKAQYAIYLHQFLSTQLKLKPPYSNSATDLFLDPHEGKWKIADQMDSTHDYNSKSDKDCSFTENVDLSGSSREPFRTGNVSTPYLVLSDFPVSRGKANESNQTVATNLPDIALRETLTSFIRDELENALKIEVGRKVGITNTEQLERTLAHDVERLAAQVSRAVVLNCELYSAACVQRNPTSVKFGTTHGENVIEAVSNAIQQSHDLRNILPVGVIVGVTLASLRNYFYVGISKHDQHMKTTAKSGILHEDPDFKSPSLKKEESTDNISLKKEENVNNASLQKEENANEASSRNVENADHFIEKTGAHKVQEITRSEGQGMMVGAVTAALGASAFVAHHQQKKVDNMDSSTASDQHRPDETAQEKSQNNLVTSLAEKAMSVASPVVPTKGDGEVDHERLVAVLAELGQKGGALRFVGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSFMVLVLWSPVVIPLLPTLVQSWTISSSTGIVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASASRVQEFFQGLVGGVTVVGLVHSVSILLGFATLREGSYSLLARPFDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEVAVDLGYYSAILISGVAFSLIHRSLPSVPGFLLLSLILFGLKQRTQGKLAAPIGLRSGIMTASYLIQSSGIIQTKPGTPFWMVSTYHLHPFDGVIGLSICALLAILLFPQEPVQKDTFVS >OB09G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8525088:8528370:1 gene:OB09G18030 transcript:OB09G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT3G43540) TAIR;Acc:AT3G43540] MAAAPAAAHLLSSPPSASPAHPSPLPPHARPRTRRAKAVGALSCRASLGPDGSLAALAPSVPRVEPRRRPYLREHSCLVFPPLRGRRPLAVVKFLGGAFIGAVPEVTYSHFLELLAREGFLVVSVPYNVTFDHEAAAREVFERFHGCYDALLSSGLPEAGLSALDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQVMPMMKASPVYSAARNASGDAWKALFDLAEGFIQVYDQEAMVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSIDAIDDTDIVEDVLKPRVDSIGGQIKKVILSGTHLTPCIQDVKWQIGSEYTPADALAQGLKSLALNETRVLSRTIADWFRSL >OB09G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8548346:8548795:1 gene:OB09G18040 transcript:OB09G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRAPGAPPGLRRQVTPPLPHRRRPGRPPDVPEPRRPLRRGRSGRRRRHRRRLRGRAVRAPPLDAGERRPAAGVSRRARRVLRVLTAYLRSTILRRRWPAPLSSPESEASRRGNLVIRFVLSFSLSLFEARGRVVIYAKLRGQVAKCLEI >OB09G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8558431:8559000:-1 gene:OB09G18050 transcript:OB09G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGAGVKVAAAAATATTTTGMKQITRLRELLHKWQAMAMGAKGGEEEEEEEVEEGVVDAPAAAAIPPFVMRRLQRTVTVDSDDESCQSPEPPADVPRGYCPVYVGPEQRRFVIPTGYLGHPVFRLLLGKAEEEFGFRHEGALAIPCETETFKYILQCVERHDKGLAPASAGAGDADAVVVDGMLRD >OB09G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8568020:8569985:1 gene:OB09G18060 transcript:OB09G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRNTSIPRPTALSVTSPRSESSSSTPSSPASVPDSPFGSATTPKGEAWKKMRRRAARMADGVDAGGQPRSPTVYDWSVA >OB09G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8575914:8580128:1 gene:OB09G18070 transcript:OB09G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFLLGIQALRRATAPGQNSLTSRRNEAEVVTSRVNHVGKTFFCSNTNATSNDRSSDSEAKISVTFIDKDGEEKLVKVPIGMSMLEAAHENDVELEGACEGSLACSTCHVIVTDVDYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPSATRNFAVDGYVAKSH >OB09G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8597400:8604776:1 gene:OB09G18080 transcript:OB09G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISIPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGTAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKNLSHEMSHRTGTRFHFHKEYF >OB09G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8603380:8603786:-1 gene:OB09G18090 transcript:OB09G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEREREKKFTLVPETMSTPCLAWFRACTIDSRVVRASRSSSYLLVHLGNLIHHSFEPLERQRLPSNPVKMRTACSVSPLLVATCC >OB09G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8617414:8621115:1 gene:OB09G18100 transcript:OB09G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MTPRIIGICKELVRGWSSLDSSRFSIERVSGGITNLLLKVSAEDGKGNKSSVTVRLYGPNTDMVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKELRRFHQVHIPGSKEPQLWDDIFKFLKKASVLEFEDKEKQKRYETISFREIQDEVKELKDLSDLLHAPVVFSHNDLLSGNLMLNDLEEKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKDSQYHFFRNYLQPDRPSEVQAQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFEYLGYFFLRYDEYKKQRESCLSLAESSLAALKNV >OB09G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8622364:8622552:1 gene:OB09G18110 transcript:OB09G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLKDGKRMLLLCSIYKLNSGAKPHIKKRTFLCKTQITQRSTDIGHRKAKTQPLISFLKPP >OB09G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8622697:8623954:-1 gene:OB09G18120 transcript:OB09G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGHAALWVKLYELELQLRLMRAARGEAVVVEEDGGDDDDDVDVSRAGSEPWWSVAFAAAAAETDTCRGRQYDAYMRRRDARRHTMGGGVAAAAVTERREEGQTARPRGGAGAGARAAAAATGRLSPLAVSCAAGEEVAGHVHSDYPKKGNRGSAQDEDCERWHGEAVVAPEAEQPRGSAVRLRRKRHATAVSQARQRHGRRDDDNDNAAAACAAGESARPPSERRGHGQPEAASSATRPARAGGGTSPPPLPVRVGASAPPPHGHGGPGLPEVGGGAAIAIAIATAKEAVGQPGDAAGDPHGRLLRRRRLAQGLRQGAQEAAELREEEQQQQQQQERRRPAPFFPGASSQKGARDQQGVARRRRRVAGDSLASLLPY >OB09G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8626540:8631649:-1 gene:OB09G18130 transcript:OB09G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLSAGPRVLSPAAAAAAKLEGLRLAAPRGRRGYRGLVLRAAPVVSPKYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGEVVAVGEGRSMGSSSIEISVPVGAQVVYSKYAGTELEFNDSDHLILKEDDIIGILDTDNVKDLKPLNDRVLIKVAEAEEKTKGGLLLTQATKEKPSIGTVIAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >OB09G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8632922:8638347:-1 gene:OB09G18140 transcript:OB09G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPKLAARPSPPAAPAPPXXXAAATRPKATANPFLAVRHCDDDDDDDDFQSPPRATRALKPPDGAADSRRPSKKVRPSSSCRSGKENRPAAGGGRALAAKAAGVGETLAVASGVSSGVPGGNEAMGGGIRGLLRRGSDDSSSFSNRKKGLDRYVHRDGSLNSRPSSMDSMVSMPDSTNGLGNVCSEVTQMAGSRDCISIPLEGHAVMELGRSESGSATMQEERTGSEVLEGDHPAGLIESRLLTLDAKCDFRGADSMDPKELGSGIDPSFSDDRTVEKESGVTSVCTFALHNRNCNLSCVESELEMSNARYGFGPHDCKGSQEGLGLCNLISEERTVAAEGDATFKFEARENTSSEVEACKGGRSLDPVEPKLTESCGTHAFEGDGYDDFEIGTQLNELINLCMEDYAEGPFSNRASCLEGNGMDSGSFSSVNQVQCPVCGSDLSELSEELQLVHTNSCLDRDEPAKEPDSNHQNEPCAENMPVVEWLRNLGLSKYEEIFIKEEVDWETLQWLTEEDLLGMGIISLGPRKRIAHALSELQKKNDDANDLANDVLNMENNKKAKLPMNGNKLITEYFRCSSFDQRPRKACKISTPSNLNSQKNSNAKASSGRHTVKGKVKDTPLWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTASLVHHKIGIPWDRLHVLPLNEKITVAGVNLTCFDANHCPGAIIILFEPPNGKAVLHTGDFRFSSEMANNHILQSSPIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQDIFHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYADRFDLIVAFCPTGWSFGKGKKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSVNNDGPDSANAMLAQLLND >OB09G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8646454:8648282:-1 gene:OB09G18150 transcript:OB09G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSMATAAVKDKSSFAVTCSLLSQFLKEKNKGGLQGLGLGAPPAPATVGAGGDFRPPTTMDLLSGLETPAAEPNPADSMRQAGTEPPPKPPADRQSDENNAREAAVEQAQQLTIFYGGKVVVFDNFPSARVKDLLQIVSAGDGVDKNTGTAATPRPAQNNLPDLPIARRNSLHRFLEKRKGRINANAPYQAIAPSKQASVDKSWIGFGQEVTIKQEM >OB09G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8657656:8661398:1 gene:OB09G18160 transcript:OB09G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWVASPPVYDLREFGGVGDGRTLNTAAFVAAGGGVAERGGGRLVVPAGRWLTAPFNLTSRMTLFLAAGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGHNGTINGQGQSWWIKFRKKVLNHTRGPLVQLMRSSNITISNITLRDSPFWTLHIYDCKDVTISETTILAPIVGAPNTDGIDPDSCENVVIRNCYISVGDDGIAIKSGWDQYGIAYGRPSTNIAIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNIVYRNITLEHLRVGIVIKTDYNEHPDEGFDPKAVPIIENISYTSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSIGLVDRKHHVFQCSFVQGQVIGYVFPVPCKNLDLYNERQELVKQSTLQNISDIDYSF >OB09G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8669063:8670155:1 gene:OB09G18170 transcript:OB09G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:J3MXT8] MGWAEGRRWADYLNPGCVDVEPRLPNRKNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLAHLADPGHCNIFSAFTSH >OB09G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8673870:8675233:1 gene:OB09G18180 transcript:OB09G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYGREGPAPKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVLASERRLCFLIFHDLPLSSSTNTTAAAGSSHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIVSRPSACSRIAPEGNETAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFIMNNVHYIAHKVKDSPELRGLIGDEYLKQLTGKFRLAATRYQRTAWLKILNCLRDEGLHVSGGFSSGVSKSALRERFKAFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTDFFEGCPPSLHNRRRSHG >OB09G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8680709:8684510:-1 gene:OB09G18190 transcript:OB09G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAAARLLVLSLCVAAAALLRGGEASVHEYRGLGFLNKGNAFILHAGSEGLYAPPPVPSPANATAEDDEDSAAALADAFIRFDKITFRRPEDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYQPSKVNPGWPQLFVASFDGSDPIATLPSRVIPITKTGMYNMYFIHCDPSLAGLEIEGQTVWKNPTGYLPGRMAPLRNFFGIMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMALWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGVVRPSLGGLTSKVVMLGGTFFLATEILELVENLGTVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSVGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISHLWAPSKNTMRFTYDASENFDREDSLSLIRPGPIGSKNGWNLSSSPDTKASKNITVASFDEDDEENKRE >OB09G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8688125:8690765:-1 gene:OB09G18200 transcript:OB09G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIFSWVANKIGGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVAQVQNPSDNVQPVQDTIKFTEEEVDNIRKEFETLLAINDQAEAQGSHDDDQVASQKRVDGEDSEKHGRQLINKRIIISKSKGSLGKKGNTIKPRSVASLLKLFMCKGGFTSVVPEPRNSFPQTRMEKLLKVILQKKIHPQNSSVLVGKRHLDWKPEEKEINECLEDALRDLDDDDDDDGAKWVKTDSEYIVLEM >OB09G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8695881:8696105:-1 gene:OB09G18210 transcript:OB09G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNSGCDSRGPACYLAGVTLLFGSARCRKDLEKKQCPPIWCLQRCNARGILGHERLKDLKNLQVARTEHIPRMPC >OB09G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8701234:8701389:-1 gene:OB09G18220 transcript:OB09G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLHSHGTIISMYQASLHSSSSIYTLPYSYIPIVALAVVVASMRKILPN >OB09G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8702127:8702318:1 gene:OB09G18230 transcript:OB09G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLVVLDYEHLCSSKPYTGLSKPLWMTVHYQHIRYGCSDNIGAKFSLEVYTKRTVHLCIKRLC >OB09G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8708048:8712699:1 gene:OB09G18240 transcript:OB09G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVIVSRGCSRLVLPGMQSSSASAASSSFSRGGGSAAAAARRPLRDGPFSGLVICVTGLSKEARTQVKEATERMGGEYSGSLHPNCTHLVVQSLAGRKFEHALKHGRRNGLFLVTLGWFVDCVRRNMRLDESLYSIKNIGENGMPLGEFNRLVGAPVGENSCLPPMVSQEKAFSNTTEKHRLQTSRKEHDHDEYVFTNDSIYIDPGISGEMRKKVSDAATREGAKLLDHWFIGCHATYVVCEDTSVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHLSSDIARQVAMILENAQTLQENRKTGGVPSINSNTNGASSTQEEIDETRQERQKFVEAAKKNVRDRRARRMQSCEVPLHPITPVKLLESICWTVSEPTTSACIYTESSWSDDAFEQQSTTFFDANGDGKDPDQSSDSFSRPLRESEKSEVIFKNHFLTILFPIDRFGELGPSSRTFFSNGGFTRIQVLDHIYNFYQENMSPDEINVALHTDSRHADRLRSLYTSTESAERGLVTFKRIDFLGSRRSFEGLKRLSRENNSNVYELVIRA >OB09G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8711339:8719989:-1 gene:OB09G18250 transcript:OB09G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 7B4 [Source:Projected from Arabidopsis thaliana (AT1G54100) TAIR;Acc:AT1G54100] MRKERERQWKARVIAEVVEASPREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGAEIGQAISLDTRIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQTFLDQLVEVYKQVRIGDPLENGTLLGPLHTPASREAFLKGIQTIRSQGGKILYGGSAIESEGNFVQPTIVEISSSAPVVREELFGPVLYVMKVQNLKEAVEINNSVPQGLSSSIFTRRPDIIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG >OB09G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8726068:8728197:-1 gene:OB09G18260 transcript:OB09G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKSRRGKFCGLAGLGARGGGGWTEKGRRMRIPAKETGGILKERPLLQGAVLGAGFGCIYVGATAVEVGSPSTAVSLVIYTLRLREVNCLSRLVNRAPEPEVSKESGSKPPYPKAFLKKQRRHIQSLPSSFSAFAARRRIVPLRCPAPSGSPSSLEVTSWQEPRWRASGDVESWASGKKRQR >OB09G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8728226:8728591:1 gene:OB09G18270 transcript:OB09G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKEATILFDGWRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLFGVNSGLGYLLMLAVMSFNVGVFFAVVVGLAAGYLAFRSSDGEDLVVVDNPCACA >OB09G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8733780:8734055:1 gene:OB09G18280 transcript:OB09G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAASATPAASGTAMMVAATTPVVVATAAVTAAPAMAAATLVGLRNGEDCVAVCCTSQDTEKTNRAPENKRLDDSLYRPVLVPAATTC >OB09G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8748694:8751458:1 gene:OB09G18290 transcript:OB09G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPFLVGAPVLLLLVVVSSCPLLASGAGDGAATYIVYLDPALKPSPYATHLHWHKAHLGSLSVDPSRHLLYSYTSAAPSAFAARLLPSHAAALRGPHAPPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAGAVVADAGLLGYAQGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGLFPLSRDPIAVGALAATRRGIVVACSAGNSGPGPSTLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDDKFPLVYNKGIRAGSNASKLCMEGTLDAAAVKGKVVLCDRGGNSRVEKGLVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKSGDAIRRYVESDADAEVGLSFAGTALDVRPAPVVAAFSSRGPNRQVPQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRPPFNILSGTSMSCPHISGLAAFVKAANPDWSPSAIKSALMTTAYTVDNTGSPILDAAGGNSTATPWSIGAGHVDPVKALSPGLVYDASVDDYVAFLCSVGTSPQQVQAITAAPNVTCQRKLSSPGDLNYPSFSVVFGRRSSRSSVKYRRELTNVGGDGGSVYTVRVTGPSDIAVAVKPARLAFKAAGDKLRYTVTFKSATPRGPMDSAFGWLTWSDGGEHDVRSPISYTWGM >OB09G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8754919:8756321:-1 gene:OB09G18300 transcript:OB09G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLKTHDVVFTDRPRTAAGKHTTYNYTDILWSPYGAYWRQARKMCVTELFSERRLASYEHIRDEEVRALLRDLHAASSSGGGRAVVLLRDHLSIATLGVISRMVLGKKYVGEGAAATGEGTSPAATPEEFKLMMDELFLLSGVLNVGDFIPWLDWLDLQGYIRRMKDVGKKLDRFLEHVLDEHDERRRLQGDRFAAKDMVDVLLQLVDDPNLEVQLRRDNVKALTQDLIAGGTDTSAITVEVGHHGSPEEAGDPRQGD >OB09G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8756328:8756558:-1 gene:OB09G18310 transcript:OB09G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWASLPGIVLAAAVLRRGHRAYRLPPGPTPWPIIGNLNLIGALPHRSIHELSKRYGPLMQLRFWCFPVVVGS >OB09G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8758816:8759016:-1 gene:OB09G18320 transcript:OB09G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWEETKREAKRGGDSEMVAGGDREGGNEGRGEPGSGGEVQLTPAPDFLSPSEPLSLCCATRQKR >OB09G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8765657:8768118:-1 gene:OB09G18330 transcript:OB09G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATGSFSRANYLGCGGFGPVYKGAVDDGLRPGLAAQDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSGESLEKHLFKTVNGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDFNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLKWADKLYKVMDPALECQYSCKGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLTMEDFFPVGPFVFTVVVEDEKVVNMKVDMKVEVEERKIAHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGGYTGSLRRHRRTASCNKERGA >OB09G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8784617:8788739:1 gene:OB09G18340 transcript:OB09G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRLLLLLAAVLALTATAAVASSDFDDSNPIRTVTDHAASSLESAVLAALGRTRDAIRFARFAVRHGKRYDDAAEVRRRFRIFSDSLELVRSTNRRGLPYRLGINRFADMSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCAGAYNNFGCSGGLPSQAFEYIKYNGGLDTEESYPYTGVNGICHYKPENVGVKVLNSVNITLGAEDELKNAVGLVRPVSVAFQVIDGFRMYKSGVYTSDHCGTTPMDVNHAVVAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGVATCASYPVVA >OB09G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8790154:8790586:-1 gene:OB09G18350 transcript:OB09G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAASAEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRKKKEEPNSPAGRGEPAVVGRKRQRR >OB09G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8791941:8794358:1 gene:OB09G18360 transcript:OB09G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSGLALLILACLWLCPRRSSGFSWNIFSSSSSSSATAGERSAPMMELDGGAVADFSMDGTNDPRGLKLLENAWGKLAGPKNCWQEAYRKLFASCGEIMADKEKQSRLAWHLSSCFQEDSGRPPFPRCGESSEMVYCRKRLGDSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTKTSKSAEEKLEVIEERSDQIIKESGKVQETLSSIEMQADHLAETAKNVEVQISDVLAHSKAIFEQSKEIADSQAKLREGQTEMRETIDAGMVRIQESYESLGDGMDRLKEEAVDIQREIKTVGDSMSSKMQDLQSTANDIGSVAGKSLENQMHLLDGQSKAMDGLNNLYSFQAQALEESRETMQKLAQFGQRQQEELLSRQEEIRQAHDHLIHNSHSILEAQEEFRAKQANIFAALNKLYILHNAILAESRFIKAFFFYCCIVFLIYVLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVLSKVFLVRSVFLALATAQMLHSIFTYRDYEVLNHHLLQTLVEKVRALEETAGAGEKMLSCGSTESERSLGDYSWVFDDLADDEADSRADPSYALPEDGHQQAVVAMAVAVAPRRKHGVSPEEAVGESSVTTSAGRRYNLRPRSSSYRLP >OB09G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8801338:8804604:1 gene:OB09G18370 transcript:OB09G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPPGAVYSSEFDPSSRGTSPPCSTAAPPPPATSHHQRPSAVAGGLSCLFSSPAAAAAPPRGPAHDELGSLWQDRSDDPSFAAGGCGGGGGYAYSHPHSSSPLKWRDLHHHHSPVSVFQGPSSSPASRSPPASWLAGRERERLFAGFVRNALGSCVDYAPAPSPRSEVGGGELAFELDENLAEASPACEPYARELLASAQAHHRIFHEELVVKAFCEAEKAHRGQTRASGDSYLQHCVETAVLLAKIGANATIVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHKDLSSKLTKSFDEVLITSAVDKLDKGLRDAGLSYHNLSGRHKSLYSIHNKMLKKNLTMDEVHDIHGLRLVVEKEEDCYRALDVVHKLWPQVPGRFKDYISRPKLNGYRSLHTVVMSESVHPFEVQIRTKDMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGNRDAIRPPCPFPMHSEDCPYSYTRQCNHDGPTFVILLEHDKMSVQEFQANSTVMDLMDRVGTNTPRWSPYSIPMKEDLRPKVNHEPISDLNRKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSRRC >OB09G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8809391:8812654:1 gene:OB09G18380 transcript:OB09G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding XARLRPRAAAVRLASSASSSFPTASICGLLSLYGASRVSSVSFRRCPTSPLVRCSQDPDKIEVFNTEGTEQSQGGSAGSVNYHKDSAWSFSSKELVEKLRRYGAAGVLSYGLLNTVYYVTTFLLVWFYFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKILRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >OB09G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8816767:8820699:1 gene:OB09G18390 transcript:OB09G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MLMTLESEDNVPEASDVWSQPSSAKFRQCIVSNSHKREDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADGSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQAIEDLGATLVSRMHQDGNPYLALHLRFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPMETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALLDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEYDEGRVSWDEFSSEVKRIHAERIGAPYLREPGEFPKLEESFFANPLPGCICEKLSDE >OB09G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8822273:8824220:-1 gene:OB09G18400 transcript:OB09G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWRETVDKKSLPGHFIHVESSFSEYGLSDHYSFQHTAVQYATCLQQLMAAVRG >OB09G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8826237:8828663:-1 gene:OB09G18410 transcript:OB09G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDILMAVVAKLKEELEKQRDLKETYKARLESTQAYLRFCLDVAQEHGFLHLMSSSNGDGESCSPPRDARDADDDDDEEDGAEAPACDPYFATTRDLAVQHGWSVSPDEIELHEMIGEGSTAEVYRATWRGLDVAVKWMRAEFFLADPGRGEAFFAQELDALSRQRHPHVLRLMAACLRPPASCFLVTELLAGATLARRLHGDGAGGRESSPPPLVDRVSRALEIALAMRYLHEQTPTVVHRDLKPSNVLLDGDSRVRVADFGHARFLPDGTAALTGETGTYVYMAPEIIRCEPYTEKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVADGKLRPKLAERGVNSSVLNDLICGMWDAEPSRRPSFATITSALQEIKQQLM >OB09G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8831996:8833012:1 gene:OB09G18420 transcript:OB09G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQCHGKNTPPPPLAPPRRARGEGGAGGSFSASLLDAIYRSLDEGAGGGGDGDGDGDGVGVADVTRRRSEEETKTAVPPQFWWAKSKQAAGAARSRRESSAGAASRPRHSGYASSTTSSSDASSSYSFTCSSASTTDTESTTHRRRHSPQPPPRQPEDVDVDADVAAAAPPSTKAKKKKSRPCFPGARLRPRGTAPPSPPSSSASSPATFACVVRALFTSSRLPRKPKTPTPVPLPQASPPVPQPPCMSATSSSTRASERRSVRFCPGAETPSLVRRRVEELVRGLADVEEDEDGSDASSDLFELESLRGADGDELPVYGTTSLATNRAIPQRAAC >OB09G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8840008:8842484:1 gene:OB09G18430 transcript:OB09G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNINWAKRMKCNICNTTKPGHNEGGVRGGRAGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTESAPSLPGSGRAGWEVEQRGSTGREGRERSRDRGRDHDYDERDNRNRDRASHGRERRRSRSRDREKERGRDRGRDHSYERSWERGAERDRDRYR >OB09G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8846877:8848568:1 gene:OB09G18440 transcript:OB09G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSSSNAPSGEGAGSGKAPMVPVGSIECLRKRCRLLRVLIHVNDHRKAVVVLHAGEDGKPDHIIMQNVSPDNDHSVQSTFLQDVADWSTESQIEALNDWYSSFRMDNTGVFYDSDQNVIFGVPRGHPGGDVPRSLAILAPAPKKNERRKAPVAGSSSSSADGLVLVVKTDQTGAIDKRMKLTFPDQRKRIKAMTKHDLKSYFHITQKEAAEIGLSIGTTALKSVCRANGLPRWPYRQIMKLDNEFNNNLKKKITGWNLGRAIKGVTKAFELRKKKERMYEELMSNMPEQLQGIDEIVHNLQEDYSAADQDTDVEDEEDNEEMDSNMENSDDD >OB09G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8851930:8859221:-1 gene:OB09G18450 transcript:OB09G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid:diacylglycerol acyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13640) TAIR;Acc:AT5G13640] MSLLRRRKQQPPPPPGPSPAQDDEGSNGSDHDEKGKKPSSSSSSAAAAPPPPPGPPAGDATKRTKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLNWSPEDGFECKAKNQKTNDSEVSKNVNGQNEVHPEPVNYGRIVSFGKDVAEALSSEIEQIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTAGSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDTSAEGGDENSCLKGGVYLANGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDRIKLKL >OB09G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8866750:8870992:1 gene:OB09G18460 transcript:OB09G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSDFPIGDEEEEEDDDDDEEMVSTGGGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDEVTRLSSKEDSPVTPPLGKERLPRSLHRPMSGGGAVGSSSSDSLEHHSNHYCNSGRHHQHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >OB09G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8871879:8872076:-1 gene:OB09G18470 transcript:OB09G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLLPYFFIVAFDLDELHSCFLYFYSSLNSKYHKILLNFTLVLGTTACSFLTHFSYETEMDVIKQ >OB09G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8873230:8873799:-1 gene:OB09G18480 transcript:OB09G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGNPCPASRYRGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKTIWSGIGCYLCEYGIGVQEIDAGEGDSSLQPGYKTVMVVQGVSAAMAGGAAALVTMPLDTIKTRMQVMDGEGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKEHESGLT >OB09G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8877489:8877674:1 gene:OB09G18490 transcript:OB09G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIIGLPTTMVIIHYRTWLGSKATSTFLRARHNDEYISNPIQTIHHRLSPTPRHSRIRFQ >OB09G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8877959:8878861:-1 gene:OB09G18500 transcript:OB09G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPRSSIGHILPGAGFVAVGLWHLFNHMKLFALRPDAYVAPVWFPVPRARYLELALIIAGSAAEFAMEMFAPPPPPPGGSPPPPPRLHNHEHAIICLALVVYAGAAVHLDRVRAPARAALCLLLVAAVFAQELLVFHFHSTNHAGVEGQFHWLLQLVVAACLATALLGIGFPRSFAVSLARSACITFHGLWLIVIGAMVWVPSLVPKGCSPVREDGRDTVRCHSKESLHRALALANLQFGWYLSFMTVFVVALYLYVCNRYPAAGEAAAAAYAPLQTAGDEHDEDMDARKGGVLEIEV >OB09G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8885400:8886359:-1 gene:OB09G18510 transcript:OB09G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGAGFLVIGLWQLFNHIRLFALRPSSYSAPVWFPVRGARHLELVLVIVGTVISILMELVIGPAKHQPFDEDGTIPSDHLHNFEHASISLALLVYAAVTIHMDRVGAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGIPCPRSFAVSLVRSASLVFQGVWFIVMGVMLWTPALIPKGCFLNFEEGHDVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLQLRKAYPEEPRYVPLVKGGGAGGDGDSDGGRFSIGDDEEDDLEAAKGGFGHVVGGGKAIEIER >OB09G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8908338:8911694:1 gene:OB09G18520 transcript:OB09G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARYYGQAGSVSHPINGSPVPATALWRVPAITVAAPLARRERPAPLSLAAGREEDAMVEVRRGNVVGAAAVQPGSRLSRSSSSSSSASSSSQHHHEPRLGDIAEINRENKVSRNLKEHKNMSVQGPGHVHRLCPLDIRPKCFMPGGATASVDPLGNYFELIPFGAGTLAGIVFVQYFLSTLLHSFDWRLSDGEEKLDMSETFGLALPKAVPLSAIVTPRLVPAARRRCVCINMCRPFIQVQIFTFPVLSLRDLR >OB09G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8913039:8913499:1 gene:OB09G18530 transcript:OB09G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSSNGGSPAAAGHMQAPELPLHLCFFLVVLLAFLGFSWYTSYESAAERFADQARLLLLASPLALLLAVRLLSGAGXXXXXXXXXXXXXXXXXXXXXXXSPWGVGVLLALLVVMVSYHSSFRDRWFPLVSR >OB09G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8918193:8919736:1 gene:OB09G18540 transcript:OB09G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHRSSRARRLHTNAASPRGAATWSSVPFCHPSTFDTIALDPDLKARLLADLTAFADGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRGLASKRRNKRRRLHATSSDDSSDSDSDGGDNHRARVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLGACGAHAMRELVDRYVGVEDHEMLDAAEGCIRDGAEMTPAEVGEVLLRNRDDPDAAVTELAVELKARQSAADELQWEDSAAELSDESPRKKGRKGLGWEGKVRILGRLRSLTKSESGRRGV >OB09G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8923052:8924146:1 gene:OB09G18550 transcript:OB09G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERYATGGVDASTLCYRQVGRISDGGYGRVVKAKHRLTGQTVAIKTLREDHDDVRMLLREACFQAACRGHPNIVGLHGVVRNPRTGKYSLVMEYVGPSLADVLEDRVERRGRGYLEPVVRRTMRQLLRGAKAMHDRRIVHRDIKPGNILVGKDRGSVSVKICDFGLAMSTTEASPPYSQGGTYWYMAPEVLLRKPGYGEVADMWSLGCVIAELFSGKVLFEGDDAAHQLHKIFDVLGVPGKETLEAFKPKSKLLALEVEQWRSARQQQQPEQCANHHDRLRELIPEKLLSQDGFDILKGLLAFNPDERLTAAEALSHRWFAGADPDEGSALAAFLAMVAWLVFTAICAWVFVRVYTLWINFEA >OB09G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8926196:8926576:-1 gene:OB09G18560 transcript:OB09G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERSHAFASPSSVSGAFFPSASSSAAAATSSAARTPSSGTPMKKKKPPFRPVADDTKPVLRDPISRSDPVETEQAVLRLPPFP >OB09G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8926440:8926652:1 gene:OB09G18570 transcript:OB09G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEDGVLAALLVAAAAEEEAEGKKAPDTDEGLAKAWLLSLPADMAATGRGRLCSTPVWNFGWFLFFFSS >OB09G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8927268:8929712:1 gene:OB09G18580 transcript:OB09G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLSDQEYYSGISNFNCVPIILLVSVDFRAGKKMQPIITFSLKCVEIWVHNSKLHALLSKSDSLDRSLVMDNKGMNPLDVGIATPDFVTSAEDTIHLNANENNEDSWRSLVLVME >OB09G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8929894:8930280:-1 gene:OB09G18590 transcript:OB09G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTEKARVIREGRTVVVSAANSVALANISRARPQRPQQHKQHHGCGHPLNVVVVSAAKSAALATVLVLVPSGPNSTSNATSSVAALAKGRRSRRGGLRRVPSEGATRRGGLRSDGGRRGHPQSEIS >OB09G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8931152:8931391:1 gene:OB09G18600 transcript:OB09G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCGRELCGSTRLPAGWGLVGCDAVAFGIAEGRRCKPVGGRGVEAGSDRRPAGRQPTSHDHSCSWAGPTATLHDGRSR >OB09G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8933996:8936733:1 gene:OB09G18610 transcript:OB09G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:spindle pole body component 98 [Source:Projected from Arabidopsis thaliana (AT5G06680) TAIR;Acc:AT5G06680] MDDHQTQDLVKELVLRLISTESGAGGGGKPGSRAPGAPPGGVVLVSKDPDNIREIALREYTELVLEETEVSEAALVRDVLYACQGIDGRYVRFDKGSDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSSNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPTFQDFMARLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWQEGYLLQSDMLPTFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAAYVGTTTSRGGLGYGQIDALEALVIEAAKRIDQHLMDVIHKKYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASNAQYDDRDILERIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTVSVMKRYLKVFNFLWRLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTNIRSQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDSAKDLDDLLMAHDKYLTSIEEKSLLGERSQGILRNLFALFDIILQFRSHADRWFERIYELQLRGKAKPKSKSKDTGSWVDGGRKAMIQLAGELFRKMGEDLDSIAKDYTSSLDAFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSNK >OB09G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8941098:8941535:-1 gene:OB09G18620 transcript:OB09G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARPGCSHPIPMSLNLGTMKTAGEEAAAAAAAATLSRRTTTAARAHAGVAVAEVSAVAGVEVEAAASVPLWRRMVMTTGRLRAGVTQSSAAAGVEEAAAALRRRPMMTTTAATVRSGVAEVSEVAEGEAAAGGGGSISEEK >OB09G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8941325:8942272:1 gene:OB09G18630 transcript:OB09G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPLILPPRLLLRVPSPPSSSFLTELLPPPPPPPPPLPFSLSPDSATWEWDDYIQDAPEQPPSRLLHRSLGHISFGISPDTSPPLPPHASELQTSPPRSPPDYMPTHASSEAYGRRRGESSSLAATSGPGAARATVTVSDAAEVCAVCTDALPLASTASGLPCGHLYHYHCIVPWLGGGRCATPARSAAAESRCSRPLPPPPPERPCHRRRMITMIRSQRRRPIGGGLFPGGPRAEGTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAAQLLPGLPPRNPDVPGRCRLHHRRDRAIAAA >OB09G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8945499:8945771:1 gene:OB09G18640 transcript:OB09G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEAALSSHGALVKVGVFVLVQALVYLILAQSSAVFSRTKAPCPRPARSVSVRRMLAVLSDLPAGGEPSPVAGRQSPVAVADRRRIN >OB09G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8947710:8948066:1 gene:OB09G18650 transcript:OB09G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEDKQGEGIKFLSFSPTALFVYACRFFTCYEHEFDPKNSSKVAYKTSTHLAPAKSPNLVHHHLHIIIIAHIHSSTQKPLSSPVDCIPSSIHPIQDKSSKNPCHPFQNPAPHFLMNW >OB09G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8948257:8953239:1 gene:OB09G18660 transcript:OB09G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVPPTNYPKTLSSIIQCRRRPDARRREEAINKCARQRLAVHPVVSHPPLSQSNHHHSLQRSAPPGRGRERVVVRSSAVVAPPELPPLVLPMATPEPKPTIRRLDVASPVPADIDIAHPAEPLPIADIAAELGLRPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRVFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPDELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDIAVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGSDIGTEKFMDIKCRYSGLVPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLVKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNAALAAGAFDAVVCTHHAHGGKGAVDLGLAVQQACESQADPLKFLYPLESGIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDVDTATGKVMGLS >OB09G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8954541:8955216:1 gene:OB09G18670 transcript:OB09G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVERHQPWEILEDTALAIIDQTVSPGTLFLSRTDDGGRWSSRSSPDVWPGEGAAGGRGALAILDAIVLRLGAAIRLEEALLVKAMASGCCMMGPKADEILTVRNALDEMRSEMDLPALMDRIRHKRRGHDVAETTCRPEQNQSDEAERLAKKLRGDCLSL >OB09G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:8968965:8970413:-1 gene:OB09G18680 transcript:OB09G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox from Arabidopsis thaliana [Source:Projected from Arabidopsis thaliana (AT5G06710) TAIR;Acc:AT5G06710] MELGLSLGEAAMPDAGRELVLGLGVGVGVVGVGVGVGVGVRTEERAAESGRRELGFGSSRCGSSPEPTVRLTLLPMVPGLGLPWPSSSESRGHLEASTRGFDVNRPPSSGGGYGAAEEEQDDAAGAALSSSPNNSAGSFPMDDYSGQGRGGNDSAPGAGGAGGGDRSCSRASAEDDGGSARKKLRLSKEQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQGEVWSQTRRARTKLKQTEVDCEYLKRCCETLAEETRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRSRPQAVVLRRPVLVGTQLTVIRSTAGAAADELVTRKLRRGTCL >OB09G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9000791:9005190:-1 gene:OB09G18690 transcript:OB09G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSILWLLYVALASCLLYKVFVSTKNGSKAGGAGDPRRPPGPTPLFLLGNVLDLRGDPHLALASLAGKHGPVMFLKLGTTTAVVASSAAAARDALQRYDHILAAKSVSDAGRALGNHERSIIWLPGNSPLWKRLRAVCTNHLFSARGLDATRAVREAKVRELVGYLGARARAGETVDVGRVVFSAVLNLVSNVLFSEDVADLSSDKAQELEMLVRDTVAEATKPNLSDLFPVLAALDLQGRRRRSAMHLSKFHGLFDEIISRRQNAGGERKEDFLDVLLHLHSVDHLSLETIKSFLLDLFAAGTDTNSITVEWAMAELLRHPAVMSKARAGLRDALGSKPHPDESDIGKLPYLSAVMMETMRLHPPSPLLMPHEAIADGAAVGGYAVPRGAKVIVNAWSIMRDPASWARPEEFEARAAAAGAGAGGMFRGGELLEFMPFGAGRRACPGTPMATRVVTLILASLLHSFEWRLPGGMRPCDVDVRGRFGTTLNMVTPLKAVPVPVPVPVSPRAPAT >OB09G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9009944:9015172:-1 gene:OB09G18700 transcript:OB09G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLSQVLVSLLGIPLLYLLWSKAAKCPSGAPAAAAAPPPPPGPTPFPVIGNIPDLLRGGELHRPLPASAASPRPLLSLRLGMATTVVLSSPDAAHEALHKKDGAISSRWMPDNAKLLGYQDISMVWLPSSSPLWKHMRTLAITLLFTSRRLGASRGARERRARELGAPLGARSGRPVRVGLAVFGSVLNFMSNVFFSESEDVVELGSETGQAFQQLIADSVAETTKPNISDFFPFLSALDLSGSAAADARRPGTSRGTTISSTMSFDRRLNSGEKPGDLLDSLLELHAKSQLELPLIRATDLFIAGSHTTMTTVEWAMAELLRNPGKMAKARAELKEAFGQGAVEEGVEKGGKSTKASEMNEGGKKLSMKKQWRKLDGLWPHYSVSSIELIAVWKRRWVISV >OB09G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9019661:9022721:1 gene:OB09G18710 transcript:OB09G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3MXZ2] MSPLNCEQGCNVRKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKKVYSEEEALLDNQSAMARRPSKLGKFFEQSITARRVLLFIAVLGMCMLIGDGILTPAISVLSAIDGLRGPFPAVSRPVVEALSAAILIGLFLLQKFGTSRVSFLFSPIMAAWTFTTPIIGLYSIIHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSRKAIQIAFLSSIYPSLVLTYAGQTAYLINNANDFADGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRTPLVLAGLYFIPFFIMEGAYVSAVFTKIPEGGWLPFAVSIILALIMFGWYYGRQRKTEYEMTNKVSLEHLGELLARPEVQRVPGLCFFYSNTQDGLTPVLGHYIKNMSSLHTVTIFVTLRYLLVAKVDQSERILIRRLGPNGVYGCTVQYGYADSLTLEGGDDLAAQVMNCLRWHIQMDSAGRRPPVSVEEEMARLEAARLAGVVHVRGKMRFHVGEDAGWFDRIMLGFYEFLHGVCRPALPVLGMPLQQRVEIGMLYKV >OB09G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9029484:9031640:1 gene:OB09G18720 transcript:OB09G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQERELQLLQVQGSWPFHAAEAASSWDASSSSSSSSGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVGVAVVVPAIASCSAGMSRRSAASASSPPLAPTSSTASSLSVRRSELAGVCFFVF >OB09G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9031703:9033364:1 gene:OB09G18730 transcript:OB09G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRASLPPAPPQQDAVALSLPLPLPLPEELDDLLLNFWDAGEQQQQQQQQVAFNSSCTLQEEKTSSSTATTNSNSFYDDEDLLGSIFSAGPTLAEKGVAEPLSSSSSSCRADQQPDGLDGGATLADINARILMRPSRPVHHPVGEFACVPRVSADKPGLSGKTVSGFTRLHTPGRGTITIIRTRG >OB09G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9051225:9055443:1 gene:OB09G18740 transcript:OB09G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPELRMVLELATDEELMEFEEILYGTRYEAKMAKPEPASFCKIKSYFSPLLKSIAKRPNSDYVDVLDDIEERDIFISKLESRFLYLAADARSIIRGCRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKPPKENSSLGVNKWMVLTNSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKMLMEAGKYEIKKELLKQGGRLAAANLESRAGLLAARQGLARATSRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRTCYIESHEE >OB09G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9055509:9057757:1 gene:OB09G18750 transcript:OB09G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22260) TAIR;Acc:AT5G22260] MAKMVISLGSSRRRKRGEMVFRFEAFCQPGYPAQFAGAGGFRDDVRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEEVAASPHPQCHLCRHIGWGRHLICSKRFHFVLPRRESAAEADGLCFAIDHGGAGPGKASSKGTTTAAATASARGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRKVSLVDTARKGHMELRLLHGVAYGESWFGRWGYRYGRRSYGVGLPSYQQSLHALSSMPLCVLVPPLSCFSQELPMVVTNSRAISGHKLLSLGNLLRFMLELRPRLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRAEPPARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRTMNPVTKVLEYCLEDVSSVLPAVAGGVPSQGKMRVRFQLTRAQLMRDLVNLYRHVLKEPSQALTTGAFGAIPVAVRMVLDIKHFVKDYHEGLAATSNGGFGHPHINLCCTLLVSNGSSELVPPYETVTLPAHATVGELKWEAQRVFSEMYLALRSFTADSVVGVGADQEGSPVLGLVDVGSAVVVQGNVGEQQPQQQERNGEGHETDPRKAAAAVCEGSGGERESVVDCACGADDDDGERMACCDICEAWQHTRCAGIADTEDVPHVFLCNRCDNDVASFPSLH >OB09G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9080764:9083162:1 gene:OB09G18760 transcript:OB09G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTAGPPLPDEATTPEPFRSLQIATAAGSTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGESARKRVVVCPGPXXXXXXXXXXXXXXXXXXXRRKNGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAAAAEAGNVSTACGVAVLEREKEDQQAAAAAVSLSRTASSTSPSSDVVVSPVAWPGAPAMPSPKAGAFRGRFDKAPSPPSYDHYRGGAAHSLELQLMPPFNAAGAAPGMGACYYAAAAAHQSTLAEQELATARRMRHQAQMELSRAHALRDHAVRQGNATLLSITCFSCRHKFRAGGAGPPPAAMSSEVACSYVSSVVTEGGDADESLDVDATRRRLQHTNMDMI >OB09G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9083199:9083504:-1 gene:OB09G18770 transcript:OB09G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSWYLTLYNGINSRLLSVMLSRESPIGSKQQKDSMHVTISKPCLAFRSACSSGRKTQEKIALANLAACSSVYLSPCVILMGETRSDSEVDEDDAWRAS >OB09G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9087361:9090692:1 gene:OB09G18780 transcript:OB09G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGVLLRSDVSPRAGRVPFELADFRDRSAPPAKSLAFSAFVHAYFRFLDYRSLLAAEQNIKADDDTDRCVARLDRVTKLQFLRELLLQIRPYGDGMEVPLVLEAMDCALIKIFQVYSEICVERFLAGVPGPAAPGPLHTPAAAGIKILWRAAEQSAQLSSYLELCRSLGVVNARRLPAFQRLPDEDVRELGRLLTLDADDDAQGGNKGTSPSTRLSTRTRLPRLTLVFFYDLLRSAAGNVWLRLAMEVRVSALANTSLMTMSRCGWQWSETSLGEWRGLRSFVAFLAEQPRQLKHLEWPGFRNTLRTATLTLLLVAVFIVVLSSVDAALCYVLSWLLRKSA >OB09G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9091492:9096578:-1 gene:OB09G18790 transcript:OB09G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLGAGLGMEATSCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLIATLGEHKLYLKKDKSVVPLKGQLEAIVPVLETLKRKKEERIKQFSDIQSQIEKILSELSEYSDNDTKANGVIVDENDLSTRKLNNYQTQLRTLQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNEVHPSLHQNGLEQSTNISYSTLEGLDRTITNLKAERKSRIDKMRETMESLCQLWKIMDSSEEEKRQFNKVMSVLISSEEEISSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRLELEEICKTAHIEPDASTAPEQTNEMIDSGMLDPSELLANIESQILKAKEESLSRKDIMDRIDKWIAACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWESARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLETILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGNASGLMTPNPRRSSLGGATPELLTPRSYSGQHNRYFGDSRSRRLSATQLNFGDDSLSTFTSISGSEPESPSLG >OB09G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9110060:9111410:1 gene:OB09G18800 transcript:OB09G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3MY01] MGRTWALISHLHAIAGPTLTLIYPLYASICAMESTSKVDDEQWLAYWILYSFITLIEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLRKHRARPREHHGDEHRSHVASNEPLAVLFAGRAG >OB09G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9113549:9114688:-1 gene:OB09G18810 transcript:OB09G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFPIIDMALLAGEERPAAMELLHDACENWGFFEVLNHGISTELMDEVEKMTKGHYKRVREQRFLEFASKALNDDGGQGAKAENLDWESTFFVRHLPESNLAGVPDLDDDYRRAMRRFAAELEDLAERLLDLLCENLGLEKGYLTRAFRGPAGAPTFGTKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDDRVGGLQLLRDGEWVDVPPVRHSIVVNLGDQLEVITNGRYKSVMHRVVAQADGNRMSIASFYNPGSDAVISPAPALVEKDAGGTYPRFVFEDYMKLYVRHKFEAKEPRFEAFKAMETETPNRIAIA >OB09G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9120767:9122069:1 gene:OB09G18820 transcript:OB09G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGLLRGPEPRHLDGADGRGGEDDQGPLQACARAEVPRVRQQGAQRRRRPGREGGEPGLGEHLLRAPPPGVQPRRRPRPRRRLPAGHEAVRGGAGGPGGAAARPALREPRPGEGLPHAGLPWPRRRPHLRHQGQQLPAVPAPRPRQRPPRPHRRRRHHPSLPGRPRRRPPAAQGRRVGRRAARAPLHRRQPRRPAGGDHQRQVQERDAPGGGAGRRQPDVHRVVLQSRQRRRHLPGAGAGGEGRRRDVPQVRVRGLHEAVRAPQVRGQGAQVRGLQGHGDRDPQPHCHRLIDDLVRSVLCLCAWTVFRRQFVTHRIRQTVRVVHSGLNKRACEPGVDMNRLCV >OB09G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9123884:9128839:1 gene:OB09G18830 transcript:OB09G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVLAALLLAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAASPAAAFYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPTWEKAAGILKGVATVAALDADAHKELAQEYGIRGFPTIKVFVPGKPPADYQGARDVKPIVEFALKQVKGLLRDRLSGKTSAGSDSKASSGSSEKTEASASIELNSRNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDADKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCSSAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLEEITEFVKEAGRGGKGNLPLDGTPTIVQSEPWDGKDGEVIEEDEFSLEELMGDNSPVNDEL >OB09G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9131462:9132286:1 gene:OB09G18840 transcript:OB09G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESRAKRPRTAAVASSADHSKRPSRVWSQADELVILRGLITYRAKRGVLPGSTQDIDKLHSYIRSQLSVKVSITQLSDKVRRLKQKYQLLATRAKTGREDFPTPHDQSIYEVAKKVWGTMSIAGDGGGSGYDNVDAGESEDERYGEESDDDMECGRDNRQRKNQRLMPVTMANGNGTGMGAVNANVRGRSDFEKGKDVYPYLWETVEELSSQHPSGAVFKKAFELLEGSKAQVMEEKLRKFRLTEMRQQLRRMDLMKDTLSMVLDALEMAD >OB09G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9134690:9135415:-1 gene:OB09G18850 transcript:OB09G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAFTKMTKITRSKRDSSRQLRSHCQRPFSQCNFKRVANQESALQATEKYAWKDAICPVCLECPHNAVLLLCSSHDKGCRPYICATNFHHSNCLDQLIDSHSSSKDCEDLGSIELRCPLCRGEVKGYTLVEPAREQLNQNKRCCMQDGCSYMGSYGELCKHVRKKHPSVKPRSVDPVHTYRWRRLLFRSSLQDRICATSSPMVRRVLYAMLQFEELLASFWNEGDHGAMQINEMNLADP >OB09G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9148737:9154745:1 gene:OB09G18860 transcript:OB09G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRPLLSLLLLLAAAVAPTWSKSTLESCSSSTACPAQLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLTLRVPVPCACSDGIRRVTSVRYVSRPGDTLASVASSVYGGLTTPDWISDSNGILDAKPEAVVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAGKGDTVAAVAQRYRTTATDLMSVNDMATADLAAGDIIVVPLPACRSSFPAFTADYGLAVANGTYAVTANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSSGCSVTSCSYGGFVNGTILTTLTTALKPQCPAPHQYPPLIPPPTSSFFETYLGPSPTPMASEGGVDPMMVGMAPTSTPSASSGPPPAARYVVGDALGALALCLVANLLW >OB09G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9157096:9158442:1 gene:OB09G18870 transcript:OB09G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAAFLLVLLLPTVVVLSMDAAAAHSGGGFGFEATLTHVDARGGYTKAELLSRAVARSRARVTALQSLAAAKAADAITAARIPPRVSQGEELMDVGMGTPPRYFTAMVDTGSDLIWTQCAPCLLCVEQPTPYFEPAKSPSYVTLACSSPMCQALYSPMCFQNVCVYQAFYGDSASTAGVLANEKFTFGTNTTRVTVPRVTFGCGNMNAGTLFNSSGMVGFGRGPLSLVSQLGSHRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGPVQSTPFIVNPALPTMYFLNMTGISVADELLPIDPSVFAINDTDGTGGVAIDSGTSFTFLAQPAYAMLQEAFVAWVGLPRANATATDTFDTCFKWPPPPRRMVTLPELVLHFDGADMKLPLENYMAIDGGTGNLCLAMLPSNDGSLIGSFPQQQNFRVLYDLENSLLSFVPAPCSLI >OB09G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9162455:9163750:1 gene:OB09G18880 transcript:OB09G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVSVVLVLIACSVAEAAFGAGDIRVDLTHVDAGKELPKQELIRRAMQRSKARAAALSVVRNGGGFYGRSAQRRPAGVPVRPSGDLEYVLDLAVGTPPQPISVLLDTGSDLIWTQCDTCTACLRQPDPLFSPGMSSSYEPMRCTGQLCGDILHHGCTRPDACTYRYSYGDGTTTLGYYATERFTFTSSSGGRQTVPLGFGCGTMNVGSLNNASGIVGFGRDPLSLVSQLSIRRFSYCLTPYASSKKSTLVFGSLADDGLFDDATGPVQTTPLLQSRQNPTFYYVAFTGVTVGARRLRIPASAFALSSDGSGGVIIDSGTALTLFPAAVLAEVVRTFRSQLRLPFANGSSPGGRRRVLRGAGDGREARLAGGGAEDDVPLRGRGPRPAAAELRPGGPPEGPPVHPPRRLRRRRRDDRQLRAAGHARAVRP >OB09G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9165787:9169528:-1 gene:OB09G18890 transcript:OB09G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MY10] MKPKNGGAAAERRLLPRCIFLCAFSFGLGMLFSDQFGSVPEWQNPVVAQRRRQEREMLVSEDFVAKPKPTDYRDVMGEVTKTHEAIQYLDKSIATLQMELAAKRSTNELLGNADGTSQQRKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEKLKKLEEEKEIIIRFMIGHSATSNNVLDKEIDAEDAAHHDFLRLDHVEGYFKLSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLADKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCIASFDWKCSGVCNPVERLKYVHSRCSEGDDAIWSASF >OB09G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9172714:9175219:-1 gene:OB09G18900 transcript:OB09G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSAVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSEE >OB09G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9176856:9177062:-1 gene:OB09G18910 transcript:OB09G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGQREVIKRELILHLLLKLFLHMHVKRRIREMDASLSPGCQIQIDLHSFPSASLMLLVMMMSLGRSIM >OB09G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9177929:9179701:1 gene:OB09G18920 transcript:OB09G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGGTWAPAAVAALLWCMAAGAGAVWLEIAPSGTKCVSEEIQSNVVVIGDYSVLYEHHHAHPTVTAKVTSPFGDILHHKQKVSTGQFAFTTAEAGNYLACFSVDGENKMLVVKLNLDWRVGIATKDWDSVAKKEKLEGVELELVKLETAVQAIHENLLLLRSKEADMRDISEKTNTRVTWLSIVSLTVCIIVSALQLWHLQQYFRKKKLI >OB09G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9179440:9181406:-1 gene:OB09G18930 transcript:OB09G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLVTTGFEDECRAIHDACSQPRRLSLLLAHRSPSERQQIKATYRAMFREDLAGELHRILMANEGDEVKELCKLLYLWVLDPAERDAIMARDAVESGGGAMDYRALVEIFTRRKQDQLFFTKQAYLARFKKNLEQDMVTEPSHPYQRLLVALATSHKSHHDELSRHIAKCDARRLYDAKNSSMGSVDEAVILEMFSKRSIPQLRLAFSSYKHIYGYDYTKALKKNGFGEFEQSLRVVVKCIYNPSMYFSKLLHTSLQCSATNRRLVTRAILGSDDVDMDNIKSVFKSSYGKDLEDFIHESLLESDYKEFLLGVAKAQGVNNLMKSVWNDP >OB09G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9183516:9186100:-1 gene:OB09G18940 transcript:OB09G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASLGQRCSSASTAAGCRFFQDGGWRPFCMLTSSRQAEHHRNSGAHPRREALRESGEHDHPKVQALSSSAAGGHSLFLSPAYASSRAQPPSLAVGLLSVLAQGTGPTGGISGAASLSGSSSISLGFNPSSFLPFMQTSKWLPCSDLATSSSAAPSSPPPLPSPPPSISPKKALVSSASAGASSSKAPIARNSGGSAAMSRSNWLSRWMSSCSDDAKTAFAAGTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPDVLDIVIFRAPPALQAWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVIQDEEFVLEAHNYEMEPTLIPEGYVFVLGDNRNNSFDSHNWGPLPVRNIVGRSVLRYWPPSKITDTIYEPRAEYSAAGLS >OB09G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9190741:9195355:-1 gene:OB09G18950 transcript:OB09G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G37130) TAIR;Acc:AT4G37130] MAFSFASPAPQNPFQTPAQTPSLSPSPFQFSLPQPQQQPPQQAPQQQLAAPSAQPPQQQLMLYTTDGKPAGYNTKWEELHSESQKALLQIEDKIREYRDESERLDQCSRLHDSSISNVNFEHDASEIAQELGGTTTMMEREKASVQELMTVVNEMMRNTEFSIRSYMMLRPRFIRPGVGANGSGSNPSGPAGAQSNQPVAVAPTIDFYSGIPKRPSLFMQQTINKFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQYVESLKTEYLHEQRRMGNANDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQIAGTATSQPQQSLIPSGATSSSAFPSFSTPVSAPSSSSLFSTPTLSSNLFGSSGSAPLSTPFGTVSTPTLGSTPAPSGFGNTTPSFASTPAIGGTSLFSTPFGGVATASGSSFGGTSKVRSKPRGRR >OB09G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9192004:9192258:1 gene:OB09G18960 transcript:OB09G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAKDGVVFPNPDGAGVDPSVGVETVPNGVDSGADPEEPKRLLDRVGVEKRLEEDGAETGVLKDGKALEEVAPEGINDCCG >OB09G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9198936:9204599:-1 gene:OB09G18970 transcript:OB09G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G66960) TAIR;Acc:AT5G66960] MASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKEKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRLFFSIIGSNKDDILMLEEPDENIYLNIRHTKDFRFITLNVFSDTHSKVYLINASDPLSRMTLVWEGESQVHCIVEHHRGCLYLFTDAAREGTPVDSHYLMLSDVESPGPKSWKDVFLEESGIILEDVEFCETHMVLILRQGRKLKLCSVNLPFPEHIKVPARLSDFHPFDLPLPNHACQILSGPNYDYHSSTMRFTLSSPVMPDAVVDYNLLNGRWKIVQQQNMLHERTKALYGNTFAASMDKPSSKRGDLSSEVFGDCDWNELSEYYACEYHDVPSKDGVLVPLTLVYSQKHKQDGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIFDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWAAKVREVTQYDSERPVILNLTTDVVEESKYLQTKELALETAFLIKMVNDT >OB09G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9205367:9209751:1 gene:OB09G18980 transcript:OB09G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77670) TAIR;Acc:AT1G77670] MNLAGPLATPTPAPRHEMPLNPSSSFLLSASSLRRSLLASLRKISPAATAALSPMASASTVAAENGAAKPVAEKQQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDTGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYLATLSMAGANVKAITLHPPDFSVPLEELKAAVSKNTRAIMINTPHNPTGKMFTQEELEFIAALCKENDVLLFADEVYDKLAFEADHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYSAKKALLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDETLRAAVERMKTKLRKK >OB09G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9213915:9215945:-1 gene:OB09G18990 transcript:OB09G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGEEAAAAAVVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGAGRAPAGTAASTARASAMATAFPASTASHGIGAQTCSDGSSYIGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKSPLNPTDPSVQRAVQAAQQAAENAFRLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >OB09G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9223617:9225657:1 gene:OB09G19000 transcript:OB09G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSSWLLLPSFPWPPPPPPGSSSGRGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSTEARHLGALPRIGDIWFEGSNSLVTHPILGVLGNALSTPYACSSVLFNGNGSDRRYIGKGKSFSGRPGGINLKKRLWTNILLAVNILAYVAQITTQGKLLIWGAKINSMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRFLAVYFTSALTGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVVLNMGMGLLSRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSRDGRAVFKDNAPILQLINGKWLR >OB09G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9226218:9231513:-1 gene:OB09G19010 transcript:OB09G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAGGLEIAGGGGGRVWAVSRAIGRAASFRCVFVLALSVGVLVPTLLLLVPTRGHGFLSDDPDVLAAEIQVGFTLEKPVSFLAAHRDKLGGDIYEEIGVPNSKVSIVSMSSLTSKYSTHVVFSVLPSPKDASISLPALSVLRSSLIEMMLNQVNLSLTPSLFGHPSSVELLRFPGGITVIPEQSGYVWADPLFNFVLNNSIYQILGNITELKDQLKLGLNLSYEKVYLQFRNEIGSSVDAPATIEASVLDGSSTVLPYSRLKQLAQLIKEPNARNLGLNHSVFGKVKGVQLSSYLQRSISDLSPSPSPSPSPSPSSSVPPSLSPSGSIHYPTPPTYMNPSLPPQAFPPLPDRNPCFPCFNCNHFPPAGNPTGKPPCFGRDPKLPPFIHSLQPSVAPSPPPTESYLSPTFQPIPGHADHPHPVPTPNHLPGAAPGPTYQMMPIPSPSLPVFRPSVPPWKKRKNQPAKSPPSIAPSPYCK >OB09G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9230545:9230991:1 gene:OB09G19020 transcript:OB09G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSQHMPFTDIPKVRKILAYFLSQDIIIRISDYVATTLINKFITDVIIAITSTTRRTSNRTSKLTYTLHTSNPTRNQTTNDQYTIIRCAQKQNRRVGKPSTVVTVLAFALAYTYVAPTAYVDHPVTFSSPDHTRLVGCSQNYHVSF >OB09G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9237586:9239243:1 gene:OB09G19030 transcript:OB09G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPEWLSLRSASLRVLELRMDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDRLRVLEIVGAPLEDSAVKDAIAACPNLTDLSLLGCDCSGAVSIELPLLERCRLDFLGSGNCSLSLVAPRVESLEVQGFTWITLRGDHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVNSVLQCARDVKHLVMKIEFCGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKSLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRTMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >OB09G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9239643:9243127:-1 gene:OB09G19040 transcript:OB09G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLEKNAVIHLAVFLAFSTAAITSARAEEAHASHEVFGYDDDATGPEKWAALRKDWAICGDGKRQSPIDIGKVEPQKEKAPLAQTYKAGAATMQNRGHDFMLKWKDGNSKLTVQGKEYTLLQVHWHAPSEHTINGTRFEAEMHMVHEDPSKARAVISVLLSTKAGMPSKLLTEMGPYFKSLAGKEKGEEEVKQPVDPSTWIDKTSGYYRYDGSLTTPPCTEGVIWTIMSKIADASKEQIDLFKTVTTRVEPNARPAQKLNDRIVRYYEV >OB09G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9244433:9247258:1 gene:OB09G19050 transcript:OB09G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;2 [Source:Projected from Arabidopsis thaliana (AT3G48850) TAIR;Acc:AT3G48850] MAPRGSLLPSFLYDGGAPSGGRTTPPAPSAGAPREPPFGKIEMFSPAYYSACAFGGAAACGLTHAAVTPLDVIKCNIQIDPAKYKSTTSAFGVVMWEQGARGFFRGWAPTFLGYSAQGAFKYGLYEVFKKEYTDLAGPEYAARYKTLIYLAGSATAEVAADVALCPMEAVKVRVQTQPGYARGLSDGFPKIVRSEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNAKGATAGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMIGLPTTGGAPAPAPVAVPIGELAELKASA >OB09G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9249143:9249859:1 gene:OB09G19060 transcript:OB09G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYIHCHVNAMLALWRCTQCHCHVIRAGSYTALGYHGAISLLTCPAMARPNGSHHRPSTTRSRRRSPSCRPWRSTIPAAAGDGSSRRAAGSRVPRPAAPAAARCLPGSAPRLPCSAAARGPHGGSRARRGLRVLLRPRRRVPQASRQRGPGISPAHGAPVPPSGSTRRRRRRSSRSPSKSSRCSTRTASPSARRWRPRRRRCCSTPPARHGSFSRVSALAAPCRSCRRRRGRRWPRC >OB09G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9249258:9251972:-1 gene:OB09G19070 transcript:OB09G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MY28] MPPPTRSLACLLLLLLAAVRASDAGPLAVEIVRPSFTATSYEYIDTGGAFLQSRNGAFSAAVFNPGKQQASFYLAVLHAPSGTPVWSANRDAPTGSSGKVQLSAGGIAVSDTNGTVLWSTPPLRSPIAALRLLDTGDLQLLDAGNATLWRSFENATDTLLPGQQLLAGASLSSAKGPTDFSQGDYRLGVITSDVLLTWQGSTYWRLSNDVRAFKDRNAAVASMSVNASGLFALGADGAVVFRVGLPPAEFRMLRLGSDGRLRITSFAHLNSSAPVGSDFISPAGDCELPLQCPSLGLCSAGGNGSTCTCPPLFAASVTVPGSCTPGDGSTLASPAACQNNSGGGGSVSYIALKQPIAYFATKFDAPTNTGVNRTACRALCTASCACLGFFHDNATLTCRLLGGKQLGSLYTGTSDTNLGYIKTFSSATKAGSNQPGSSSANHTVPIVLPSVAAFLLLSVLAWYLWWRSRMSKNGKKKKGKNSTMKVYMGRQKSPTRDTGYNADADADDDDDEIVIPGMPTRFSYQEITTMTSNFATKVGSGGFGSVYKGELPGGEGLIAVKKLEAVGVHAKREFCTEITIIGNIRHPTLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPALEWGERMEVAIGAARGLAYLHTGCEQKIVHCDVKPENILLANGGQVKISDFGLAKLMSREQSALFTTMRGTRGYLAPEWISNAAISDRADVYSFGMVLLELIHGRKNRGEQEALNNNVAVAVASVEHSDWPSGWSSAMTSTASGTSGGGGDEYFPMVALELHEQGRYLDLVDARLEGRVDEAEAARAVRAALCCLHEDPALRPSMAAVVRILEGTVPPPEPRVEALGFLRLYGRSHPLPQPGSLTAMAGTTGSAAGSVSSTAGGGSHLDVPSQDTSGER >OB09G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9262280:9263703:-1 gene:OB09G19080 transcript:OB09G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSDCEVAAAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKAPPASRAPPSPPPFFAPPHFPLFHHPGVAAAQHHHPFVGDDGVVAAHAMGVPFPQPHWREPNMPVATRLLALGGPAPSSASAAESGGAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAASFLQGLGMQARKKPAAANVLNNSGGSTTSSSSLTIAEEPSPPPQQQQLXXXXXXXXXXXXXXXXXXPPQKQQLAAEKSGGEAGSSSAPRSTAPTKLFGVHLSTAPCGAGSKRPSSPEEHPPTSPATKPRLVLECDDLSLTVAPSSSSQQQPSGTSSPTSTS >OB09G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9280351:9281049:1 gene:OB09G19090 transcript:OB09G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLLNSYPEAQLDLMSTMLQLEQLTALTDQSLFMAAPTSPPVSPMGTPSPQFSPPPQMSMTTTTAGGYQELQDQYSMPATYGAGAGVQQLDFAMSSPGSDSGGPQGSSSSSEAMREMIFHIAALQPVNIDPETVRPPKRPNVRISTDPQSVAARMRRGGRNGXXXXXXXXXXXXXXXXXXXXPIHYVKFLKSQVQSLERAAAATGAAAHRAAAFGAAYPAALPLQHHAPW >OB09G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9290247:9291665:1 gene:OB09G19100 transcript:OB09G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGRLASVVRLPGRSRVSASPSPRRRGSPDRRRQWSPYHRDRGRDVEREWARDRDHPAPRGGGGRGGGGGGGDDDDEELKGLTYFEYRRRKREKLRKSMKRCIWNITPSPPRREGEDEDYGYSDEEEEEKKESPKKVASSDKSEEEDSKGSSGSDSGESDSLSDSSESDDSRKKKRGRKSSHRTSKRSRHRRRHCSAGTESDRDSKADEDSEGSYDSEDSRDRRRKRKSRRHMKSKRRGRSSRRKKRKIIDTASEESAEEAAASGSSPSPLRDSKKKSRSSRAKRSKRSDSEDHAPSDADLGAKEIDETNVPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATADG >OB09G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9292969:9293151:1 gene:OB09G19110 transcript:OB09G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKHSLMPPHESNHSRICSWPFASGNMGAHLFLTPSQNSSASLPSSSRCRTKGGARLLR >OB09G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9297112:9298068:-1 gene:OB09G19120 transcript:OB09G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSEILVDTGSFRLYKDGRADRTDDMDTVPAGFDADTGVTSKDVVIDAATGVFVRLYLPHIQAAAADDGKKLPIVVFFHGGYFVVGSASCPKDNRYITDVVAGARVIAVSVNYRLAPEHLLPAAYDDSWAALNWAVSGADPWLSKHGDISRVFLVGGSAGGNIAHNMTMTIGVRGLDAAVPARIEGTLLLHPSFCGETGIAGEPEEFRDGVRKRWAVIFPDAKGGLDDPRMNPMAAGAPSLRTLSCERMLVCAAELDARRARERAYYDAVKSSGWGGEVDWFESEGEGHAFFVLKRGSSESVKAMDRVVAFLSGH >OB09G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9303081:9306896:1 gene:OB09G19130 transcript:OB09G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIRGGGDTPLGFRAVVEEEEAAVSASGRAMQRRRRGGRGGGEEADDGYSASSTGGGGSSGCGSFGCDSPLAGFVRADGDPDTDLETDGVATPSSNASAAFAEPHDDEEGDEVLCGVEGDWARLQEPTKNPADSATGECLYQRCRSEAVILQGKKGLKQRPASLDFGSGSPGFNGTPLSPGFVVGGVGLMNKGLVSSSFIRSDVFPSPRTPNFRRHRSSVFGHQKGWSSERVPLPSKGNRKYPGSSSMAFPFSNGRTLPSKWEDAERWIFSPNCGDVLDKTSFAPARRPKSKSGPLGPPGKFGGGQYSSASLLDNGRVGHLTTNSPFLAGVLIPEQHYCGEKDSGGRYMSRAAGEEVSIGTGGKLLLANGGSHATQSNRVCRQLDTAIESSHSLPTTQESVQDEQVGITEDSASIITPIILRKDAATQTSPNLSRSSSPSVSTPFIHLLTTHQVREKETCFSDVIRDVHMDDRVTLTRWSKKHVTRASSKNSTNVIEVKKKTVESKSSSWELTDSKSISKVEKEQEKIAAWEHLQKAKSEAAIQKLVMKIEKKRSSSLDKIWNTLRSAQRRAQVMRETSATNQDEQSSRKAKRTSHLTKNGQISSLSGCFTCHAF >OB09G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9311172:9315240:1 gene:OB09G19140 transcript:OB09G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRREAMEFKNGGSSSERRAPAAEGTTLARQGSVYSLTFDEFQSALGGGGGGGSGFGKDFGSMNMDELLRSIWTAEESQAMASASGSAAGVGMGVGAPPTSLQRQGSLTLPRTLSAKTVDEVWRNLVRDEPPPVGADGGEMPPPRQATLGEMTLEEFLVRAGVVRENPPATPTVPPPPMPPRPVPVVPKTTAFLGNFPGANDVGAAALGFAPLGLGDPTLGNGLMPRAVGLPGGAIAMQAAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNKELERKQAEIMEVQKNEVEEMIKDPFGRRKRLCLRRTLTGPW >OB09G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9322975:9323127:-1 gene:OB09G19150 transcript:OB09G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCPERSAGSPCFGPPRLAAWGAIRRVRRPAPSGEGGRGASFGVTPRQACPP >OB09G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9325693:9326226:-1 gene:OB09G19160 transcript:OB09G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSICTPYTRRSLDAACGGQSPRWIEFWSDAASDRRRRYSSSSEASTASSSGCPSPPSRSTPLWVDNYLDKLGTVLKNGGWRDREVDEMVEVAASGLFDGEEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDALGLDLRRRKEPPRAAVQIPPEIASKVRRLAQAVARS >OB09G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9335513:9336623:1 gene:OB09G19170 transcript:OB09G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQTRLRMLGLSVVFALVAPVHGQGCKHFYGVATTVYSICMYASALSIMRPEIKTKSMEYMPFLLSLGGFPRQHVLVHLRPARPRPLRHGQFMTDGRDADLFEHFSAVAQRAEVYTASDYGERRHGGALRAEMEGGAELGGARRNVCRLARNIRKMERLAHDPAAQINKRFAPEFFLSSVAPNRF >OB09G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9342551:9348529:1 gene:OB09G19180 transcript:OB09G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEHATDEVISWGKEGRSFVVWKPGGVAPDHLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANGNFRRGEQGLLSGIRRRKATTPQSSKSCGSGVNVAFPPPLPPLPPAPSGTTSSGNDRSSSSASSPPRADITSENEQLRKDNRTLTTELARARRQCEELLGFLSRFLDVRQLDLRLLMQEDMRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHASGGGRRRRRAARARALPRRRQVREAVRRAPRRHPWRRHEEEGAGAVRGGSGQRAADQDDQDRRTLGQRAVLGAGAVWRRQLKSKLMRAGAACCVRACTGKLAAKNGSISQEVIR >OB09G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9347713:9348009:-1 gene:OB09G19190 transcript:OB09G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCISSRRSSWRTSRKRDRKPRSSSHCRRARASSVVSVRLSFLSCSFSLVMSARGGEDAEEELRSLPLDVVPDGAGGRGGSGGGNATLTPLPQDLED >OB09G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9353074:9353421:-1 gene:OB09G19200 transcript:OB09G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSPRPNTFTPFGNGVHACPGNELAKLEMLVLIHHLVTGYRCVRARPSTQIRPYILCLPLWTRASHGCCHSIGPFLPAARFLKHVPQLHTHMLLRHAYLHDPLPVGIIHCMLRS >OB09G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9354282:9356860:-1 gene:OB09G19210 transcript:OB09G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFAILVCFFVSLAFLSYVHYASRQRRKGHEKAAVRLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGDIFKTHILGCPCVMLASPDAARFVLVTQSHLFRPTYPRSKERMIGPWALFFHQGDYHLRLRKLVQGPLGPDALRALVPDVEAAVRSTLASWDGNVASTFHAMKRLSFDVGIVTIFGGRLDERRKAELRQNYSVVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGEPGGDLLGCLMQSRAGGDDVAGGRRRRRAPHRRAGRRQRHRRAVRGAGHDGQRAHLDRQVPPRPPQAARGRQGGAGGDPRRQRRRQAAADVGADAEHGANPQGDFGELKDGQHHLVHIQGGRG >OB09G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9366593:9366957:1 gene:OB09G19220 transcript:OB09G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCAGAVTNQKLIWRVTIPVVLTESPRCSSSPSAVTARFVGHLGVVELPAVIAVESILEGFVYRVLFGDDDCDSDGDDYDNNGGGDDYANNSGDGGR >OB09G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9377846:9379608:1 gene:OB09G19230 transcript:OB09G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:J3MY44] MGTQVAAMCGLVLVALLRLTPDVAHAQTQILFQGFNWDSWKKQGGWYNMLQGQVNDIASAGVTHVWLPPPTHSVSPQGYMPGRLYDLDASKYGTKAELKSLIAAFHGKGIKCVADIVINHRCADDKDSRGVYCIFKGGGPQGCLDWGPSMICSDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSAAVAKAYVQNARPGFLVAEIWSSLSYDGDGKPAANQDGERQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWRMRDKDGKAPGMIGWLPEKTVTFVDNHDTGSTQRMWPFPSDKVMLGYAYILTHPGVPCIFYDHVFDWNLKQEINALAAARRRNGINAGSKLRILAADADMYVAMVDERVITKIGPKTDVGSMIPSDFHVVAHGNDYCVWEKSGLRVPAGRR >OB09G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9382072:9384852:-1 gene:OB09G19240 transcript:OB09G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:J3MY45] MAKGFTTMSCLLVFVLLGLGSHMAQSQVLFQGFNWESWKKQGGWYNFLHGRVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTEAELRSLIAAFHGRGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFAAAPDIDHLNTRVQSELSDWLNWLKNDLGFDGWRLDFAKGYSAAVAKTYVDSTDPSFVVAEIWSNMRYDGNGEPSWNQDADRQELVNWAQTVGGPASAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGSNYCIWEKSGLRVPAGRHH >OB09G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9387309:9388379:1 gene:OB09G19250 transcript:OB09G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSAAVWSLLLFALFCLSSQLAHAQVLFQGFNWESWKKQGGWYNFLHGRVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTEAELRSLIAAFHGRGIMCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFAAAPDIDHLNTRVQSELSDWLNWLKNDLGFDGWRLDFAKGYSAAVAKTYVDSTDPSFVVAEIWSNMRYDGNGEPSWNQDADRQELVNWAQTVGGPASAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAGTII >OB09G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9387385:9388418:-1 gene:OB09G19260 transcript:OB09G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSVWSQCHMFLNNGASLLRQPADHPRGLAVAVLHPPQLALHRRLQHTLGREVERRRWATHGLRPVHQLLPVSVLVPRRLAVAVVAHVAPYLGDDEGRVSAVDVRLGDGRRVTLGEVEAPAVEAKVILEPVEPVGKLALHTRVEVVDVGRGGEVGAGVAVTAAVGVLRVVAADHVGAPVEAAVRRAALEDAVDAAAILVVRAPVVDDDVGDAHDAPAVEGGDERPELRLRAVLGRVQVVEPARHVPLRRDGVRRRREPDVRDPGRGDVVDAAMEEVVPPALLLPRLPVEPLHQKHCKSHVNIHEAHLEEDLGVCQLGA >OB09G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9388418:9388859:1 gene:OB09G19270 transcript:OB09G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGSNYCIWEKSGLRVPAGRHH >OB09G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9388387:9391822:-1 gene:OB09G19280 transcript:OB09G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDCLLRCGRTPRRSPLSLSLSLPCRLPCTGSAGNGDPSGLVPSVPVLGEFENQEWLEMTEVLEAHSLYNRHQIVLKEKIFFA >OB09G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9392381:9393205:1 gene:OB09G19290 transcript:OB09G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLMPAMAAAKQQDSCKTRLEDRGISQASSPARRWISAEQEHSIIVAALRYVVSGCTTAPPEIVTVACGEACGLCGIDGCLGCDNFGAEAAAGTEEAVMATGYAGATTAGPAAVEGGGLRVRRRRKKNVYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAALEFRGARARLNFPCSEPLPLPSQRNGNGGDAAVTAATPTELSPTHSLCSADAEETAPVDWQTGVDEAGSNQFWDGLQDLMNLDEEDIWFAPFSGAASSF >OB09G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9397295:9399710:1 gene:OB09G19300 transcript:OB09G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPTCQEMEGLNVEGITQVTVDPNFVDVLSSSHESKTTYSSNFPSSFSIGHKRHSSEDLSSLTINNLRLNDGEENHHNHFEENIKYRHGHTRRFSEDLSSLTINDLCANKVDKNCDKQLEKKEIYRHNSAGNIFKAAEIAERFIQTIDNRVLVDTRAPIESVKDAVSKFGGILDWKERRKHVQIELDKMQQDATEYQIKIEATRVQKSKVLEELRGTRRIIEGLRIDLDNAQVEAMQAQQNLELVEIQFKEIQQGIAHKENGILKEEIGLVDERRASVLTDLQSVKMELDQLQKEYTSLVSQRDITEKKACESFVASQEIEKIVEDLTIKIINMKESLASFQAAHIIAEEQKRNVALTYQHDRLNWHNELNQLDDEVQKLNDDLSVNKDLESKLQAASVLLMNLRDEFMAYVEGTLPEVPSDNKEKECPMVLLRMKLAQTRKELEDMRIDIGRAKNEVKSLWNVAATLRADVENEKTNLAVLRQKENLAFVSALSLQEELNKIAFDLSTVEERTKAAKIPLELQQASKKLEHAKKNVMFARNEMKKAREEADQAQAEINVVQLRIEATSREILAVNASREIAVASANALEDYKDEVELESQVARRNKTITLSIEEYNILCKKVQDAEDLAKKRVIRAVDKIKEAKEAEVRSLDRLDQLIKQIDDRRIALRDAHEKANVAHDGKLAMENELRKRRAQHEKQRKASEASLPIGQIFSLKNTSTSFDAIGSSTSDPHKYQLLPRADTIGTTTMTESRPRKSFFPRSLVAMFMFRRKTHLK >OB09G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9400777:9402777:-1 gene:OB09G19310 transcript:OB09G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWTYLGLLSWALVFSSSLDRVLTKKRSVNKWKFASYTTPFAACFELQKGESPRNAASPRHHDPASDRSQSTFLLRSRTGSFHLSRRRRSREIKMDRKPTRPPPLPSKTSGAWPVALLVVLCFASLPLFLALSRARPSLSDVWQMGVTVAPVREEDPAANSSEPSPASWDRLLGGLLSPDIGESACPSRYQSSLHRKPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECNYLVWTPCSGSHLGDRMLSMASAFLYALLTHRVFVVHVTDDMAGLFCEPFPAASWELPQGFHVHNLTQLRRGSEHSYANLLQAKKIKTDDPAGVRSESLPSYAYVHLEHDYQQPDQLFFCDDDQTVLSKVNWLILRSDLYFTPGLFLVPQFENELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYHTSYMAKFEEKIGIQTTTFSGSKVSSEEHFKQIVACTSQEKILPEIDPSATSSVNGTASKAVLVSSAQPEHAEKLKAMYYEHTTVTGESVSVLQPAGAARRQASNQNTLAEMFLQSYCDVSVVSGRSTAGYVGHGLAGVKSFLLLAARNQTTAANPPCIQTTSMEPCFHAPPKYDCRAKKDGDLGAVLRHVRHCEDVADGLKLYD >OB09G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9403961:9404164:1 gene:OB09G19320 transcript:OB09G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSRSKPALVPTKITSRKKDALFVFHSNHRLIIVSCTACLQICNAVLCLGRDHTYVNNMCSTKS >OB09G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9404246:9413631:1 gene:OB09G19330 transcript:OB09G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSQLSSRQRANDYLVVVAHLHLPMIPFSLLAVVLVCGIAGADGLAGCQISCGATSEKVVGDVTWVPDAGRFVGVGNATDLKSPGVLPVLSSLRYFPDTSARKYCYVVPAERKRKYLVRTTYFYGGFDGGGAPPVFDQIIDGTRWSEVDTAGDYARGLATYYEAVVLAAGKEVSVCLARNAATKSSPFISALEVSPLEDSVYNSTDFESYALSTIARHSFGHDGSAAVSYPPGDRLNRFWEAHSDGMPVVESQASVSPAAFWNKPPEEVFRRGVTAAGRGESLELQWPPAPLPAASYYLALYFQDSRAPGPLSWRVFDVAVNGQTFFAGLNVSTAGSMLYGDRWPLSGRTKITLTPAPGSPVGPVINAAELMMVVPLGGRTHPRDVIGMEALARGFDSTPPDWAGDPCLPQGNSWTGVTCTQGPLARVVALNLTNFSVGGSISDGIANLTAVSSIWLVGNNLTGAIPDMSLLHHLVSLHLENNRLTGVIPPSLGNLPRLRELFVQNNTLQGMIPSNLKNRTDIMFHITFANHEQIRLNRFISQIVQSMRAPPDADPSTSGGRVAARDPGVRVRAKEDAGESKKKGKGRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKMTFREDVENMGELDNGKPP >OB09G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9413545:9414140:1 gene:OB09G19340 transcript:OB09G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G15680) TAIR;Acc:AT2G15680] MSHLNLLDFKYNLEKLKSKAAGRPTGRLLSARDRQFSDLMMIYKPDDDEMRKVFDKIAGEPDRISRKDLRGLLEKFGKADAADEARRMICVADAKKDGHVDFEEFMEVHRSGVPLGDMRRAFFVFDRDGDGRISAEEVKEVLRKLGDECSLEDCRKMVREVDRNHDGFVDMDDFMAMMTRSRKKP >OB09G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9418536:9419198:-1 gene:OB09G19350 transcript:OB09G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRSRCLEDADTKAEASPPVLDEPVHLAVVDVAVAVRVGVDHPELALEPPVPRAHGAQGVAQLVAADPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGRGGGRALRSSSRLIRPSPSVSTRRIHSLNSRTVLSSSATWWWRLPPSTMPRLLLISTPKQGRNASMIRGSELLADRQK >OB09G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9418573:9419177:1 gene:OB09G19360 transcript:OB09G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLPCFGVEIRSKRGIVDGGSRHHQVALDERTVRDRDELRNALRAVGARNRWLKCKLGMIHADADGDGYIDDGEMDRLIEYWGRRLGLGIRVF >OB09G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9422621:9422914:1 gene:OB09G19370 transcript:OB09G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNMTVATTRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDGEVDGLIEYAQKSLGLRIVAY >OB09G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9426333:9426491:-1 gene:OB09G19380 transcript:OB09G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPCTMQCNDVSRSLSQIPLQLGQNRSPSKFLVSSTRDTCLVGFLSAFCQT >OB09G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9438993:9440616:1 gene:OB09G19390 transcript:OB09G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEQHHHHQSSFMAPRISFSSDFALEPPPQQPARAPGDADFEFSVGSPPMMAADQLISKGRLVPLRETPHGHGXXXXXXRPLTLRDELRADSRHGRVPRAPNIRWKEFLGLKKAPKKPPADAAAGTSSSSADTQMDLGGQGSSRD >OB09G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9439835:9440128:-1 gene:OB09G19400 transcript:OB09G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICPAHAESLHSNDLLARSSAIGMMNKLADEKGSSPPSDPWLLQSSVSLQRTNTLHCVLLLQSLELPWPPRSYRFLHTFWRHFSRKWLRMHELFRR >OB09G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9444824:9445669:-1 gene:OB09G19410 transcript:OB09G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPRPRSPPLFKPTTHPPPPLLSPATTTSPSSRDFDFSFSCYLPSSPPSAADMSRTPPLGRVGSDLSHNNYAKASHHHHQASGGGKDRDKAKNKASSPFFSGLGGSWRSGESRDTAGKAEEVKRKARARRGLDVGQWVKKYMASMVEHLLASFSGRHGGGRDRRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWGRRRGQLSSAPASLRASPTNSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSIAVAK >OB09G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9453520:9459149:-1 gene:OB09G19420 transcript:OB09G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKDNLLNNLLRAIPSGLSREEWLAVLPRVLVAAFVKTDKDFQAVAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSVYHLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPQVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLAFEMALECSRGFPSDVAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPGPPTKRQGKIVFNNMFRRKHPDVSFKLDREYAEPDEVEEIFEDGSAMISKRLAAGYALQSMFKPFSCAVCQVQLKAGQGVSLHSNPLQHEKLQGWQGPFLCQSCNEKKDAMEGKRPPTGIAKFVMLFILV >OB09G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9463114:9474538:1 gene:OB09G19430 transcript:OB09G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELRPGMSALVTGGASGIGKALCIALAQKGLFVTIVDFSEENGREAASLVQIENKRFHGDLKVPTAIFIKCDVSNAGDLSAAFGKHVDTYGGLDICINCAGIAVKTLVYDDQSDGTRTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLRRHGVRVNVLCPEFVQTNMAEQLNRRIVDATGGYITMEDIVNATFELIKDESKAGACLWITKRRGMEYWPTPEERRKYLLNPSKSKRMLTQNTFSTVHTPEFYEKIVVHTLSHNFRDATRLDRVRLRLPVEPHSALVKIIYAGVNASDVNFSSGRYFSGGAKEIAARLPFDAGFEAVGIVASVGDSVNYIKVGTPVALMTYGTYSEFIQVPAKRLLPVPRPEPEVVAMLTSGLTASISLEKAGQMKSGEVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGNSKAALLASLGVDRVINYQNENIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWRPRNYTGLCDKILAKSQTVAGFFLIQCAQLWQDHLDKLFDLYASGKLKVSLDPKKFLGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >OB09G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9475447:9476601:-1 gene:OB09G19440 transcript:OB09G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTCPRRPRRGGCRRRRRRRRRRWRRSSPRRRAARRGASRPSTTMTSSRTLRWTAGTSGSGYARKEDMRQQPVKMSGGCTDQTCPNESFLYP >OB09G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9482402:9482944:1 gene:OB09G19450 transcript:OB09G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G10130) TAIR;Acc:AT4G10130] MDSYSDMLQGSNFSTQETLYEILSVGEHSTFDEIRAAYKSAALNTHPDKAQMAPNPLVSSSEQNEFLSVQKAWEILRYPKSRAEYDRQLQSSRQNLLVVASEIEIDDMTVETTADAVELLYPCRCGDYFSITSHELGKIGISVREDGEMELQTSDSVPASVVLGCGSCSLKARLVINKTS >OB09G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9485178:9486063:1 gene:OB09G19460 transcript:OB09G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYHHPKIPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGEDSDADDGSCLDDMLDTKQVILNEMRNRQMRKRSRCSVDSPTPSSAFAWSLTPLDPRSVLEKFPSPKESVSEEEKMKGKEEEEEVGEDDVGGGGDDESEAFFSVKSFFSRSTSRGATVASSTXXXXXXXXXXXXXXXXXXPSCRRCPARRPTRGSGASAAAAATTPRARLLLTATTELLLVDANRVSTPLAKKTLFLTVHASKPAKLRGM >OB09G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9489193:9490329:1 gene:OB09G19470 transcript:OB09G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINGGATVSAGWVAAQPRRRRTVDHRSGLLRPSHVSTTVSPSLKCFFGTWRMVARSTSQKDSSVATEPEPDDEVVLESPEHFRIYKSGKIDRLNRPPVLPAGLDEATGVTSKDVVLDAETGVSVRLFLPRLQEPSKKLPVVVFFHGGAFFIESAGSATYHSYVNSLAAAAGALVVSVDYRLAPEHPLPAAYDDSWAGLRWAASAQDGWTPEHGDTAPLFIAGDSAGANIAHEMLVRAAASGGPRMEGAILLHPWFGGSKEIEGEPEGGAAITAAMWSYACPEAAAGADDPRLNPLAPGGPAMEKLPCERMLVCAGQKDVLAPRNRAYYDAVAASAWRGSLEWLESEGEGHVFFLGKPECENAKQLMDRVVAFMAGEA >OB09G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9491250:9492230:1 gene:OB09G19480 transcript:OB09G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPEEPDVVVFEAPAHFRFYKSGKIERLHRPPCLPAGVDEATGVTSKDVVLDADTGLSVRLYLPKLQEPSKKLPVLVFFHGGGFLIESADSSTYHSYLNTLAAAAGVLVVSVDYRPAPEHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRVARELGVARVGGGGSRVFPPEAGVRECQAAPGPCLGVHSRRISSLVSTTYYIRFLYIIYNELKFMVKIIWIDLVVFF >OB09G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9494433:9495361:1 gene:OB09G19490 transcript:OB09G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMEEVVFDSSFFRIYKNGNVHRLYRPPIVAAGVDDATGVVSKDVVLDTGTGLFVRVYLPKGQEPGKKLPLLVYFHGGGFIIESADSATYHNYLNAVAAVAGVLVVSVNYRLAPENPLPAGYEDSWAALLWAVSRKDDWLAEHGDTARVFVAGDSAGGNILYLD >OB09G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9498801:9499742:1 gene:OB09G19500 transcript:OB09G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSDEILLDMESLRIYRSGKMDRLHRPVLAPAGVDEATGVTSKDVVVDADTGLSVRIFLPAASPDPSKKEKRPVLVFFHGGAFVIESAVSTTYHNYVATLAAAAGVVAVSVEYHLAPEHPVPAAYDDAWTALLWAASAKDEWLAEHADSGRLFIAGDSAGGNMVHHVLIKAASSHSAPKIEGAILLHPWFGGNTLVEGEPEATAKDMAVIWEFACPTAVGGVDDPRMNPTAPGSPRLENLRCDRMLVCTGEKDWAGARGRAYNAAVTASAWRGSASWLESEGEGHVFFLEKPECDKAKELMDRVVAFISGS >OB09G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9499361:9499729:-1 gene:OB09G19510 transcript:OB09G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTRSMSSLALSHSGFSKKNTCPSPSDSSHEALPRHALAVTAALYARPRAPAQSFSPVQTSILSHLRFSSLGEPGAVGFILGSSTPPTAVGHANSQITAMSLAVASGSPSTSVFPPNQGCR >OB09G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9501077:9503045:-1 gene:OB09G19520 transcript:OB09G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPSRLSHIAAAASSFDDAAGESNELLPVAASDLSSRMMGYHLPPKEIQDIVDAPPLPMLSLSSSKDKILFLKRRALPLLLDLAKPEQKLAGVRIDGHSNTRSRMHVDWLYVYRASAITMPVYMEFTALPLHS >OB09G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9506966:9508022:-1 gene:OB09G19530 transcript:OB09G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPLLLFLLCSHGGSGARAGRRGMRVPPLAGDPNSQVKFDFSPFLIEYKSGRVKRLMGTDVVAASADALTGVSSRDVAIDPANDVRARLYLPSFRATAKVPVLLYFHGGAFVVESAFTPIYHAYLNTLAARGGVLAVSVNYRLAPEHPLPAAYDDSWAALKWVLANAAPGTDQWLSQYGDLSRLFIAGDSAGGNIAHNLALRAGEEGLDGGARIKGMALLDPYFQGRSPVGADAMDPAYLQSAARTWSFICDGKYPIDHPYANPLALPASSWQHLGCSRVLVTVSGRARLSPWQRSYYTTLRSSGWPGQAELYETPGEGHVYFLTKLSTPQAQAEMATLVNFINRN >OB09G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9507330:9508100:1 gene:OB09G19540 transcript:OB09G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLEVRVEQCHPLDSGAAVQAFLAGAQCEVVRDVSAGAVPGNEEARQVAVLGEPLVRPGRGVRQHPLERRPRVVVRGRERVLRREAVVHRHGEDAPPGRERVEVGVVDGRERRLDDERAAVEVEQHGHLRRGAEAGEVEPRADIVGRVNGDVPGRHAGERVRGRRHHVGAHEPLHPPALVLDQERGEVELDLRVGVPSQRRHAHPAPPRPRAAAAVRAEEKKQQREQDPRGHSRGEGDTHCGAQLESGSGRAWSVRE >OB09G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9522689:9523880:1 gene:OB09G19550 transcript:OB09G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTELRFDSPLLRIHNDGRVEHLFGTDTNSGGLRRRPPLESPPRTSSSTAPSASLPASTSPTSPPPMSTAASLAYHRYLNSVVSKAGALAVSVNYRLGPEHLLPVAFDDSWAAFIWTE >OB09G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9524897:9525268:1 gene:OB09G19560 transcript:OB09G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGKTPIDGEYAETRELIEKLWFLVCPGTEAGLDDPRLNPMAEGAPSLQKLGCRKLLVSSAEKDISLARAAAYYQAVVASGWPGMVEWLESKGEEHVFFLDKPDCDESVALMDMVVTFLASD >OB09G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9525628:9526768:-1 gene:OB09G19570 transcript:OB09G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRYRTGRRVCSKCVDSGSSQQISRPVVCSPSIGGRNRVKMATPAPESSRRLLCAALLAAPFAALLFHLRIPNLNPRSADTGAATMDAGASEIEFDMPGVVRVYKDGRVERFDGTESVPPSPSGDPANGVVSKDVVLDAAAGISARLYLPPGVEPGKKLPVVLFFHGGAFLVHTAASPLYHNAGKLGLDHPCVNPLASPEEWRQLGAGRVLVTTAEHCWFVERARAYAEGIKKCGWDGELQFYETKGEGHVFFLPKPDCDNAVKELAVVTDFVRRC >OB09G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9525709:9526402:1 gene:OB09G19580 transcript:OB09G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALGLVELQLAVPPALLDPLRVRARPLHEPAVLCRGDQDAAGAELPPLLRRRQRVDARVVEAELAGADELPRAVERAAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRGRRRTCGRAGTRRCGPRTRRRGRRARRGASSRAPHQAGGKAARTGGRIEDDVLGDHAVGGVAGGG >OB09G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9529237:9530379:1 gene:OB09G19590 transcript:OB09G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIKRQIGSLSLLAKLGLLLLTLLLLLAAILLLVFLLPRHHRRPLPPGSPPANGSDPDNIVAFDFSPYLILYKSGRVHRMDGTDRVPAGVDEGTGVKSKDVVIDRGTGLSARMYLPPPAKGGDKNKDLGALPVLVFFHGGAFVIESAFTAKYHDYLNKVTAKAGVVAVSVDSRLAPEHPVPTAYDDSWQALNWVARNGKSGPEPWLRDRGNMSRLFLAGDSAGGNIAHDMAMRAGKEGGLEGGVAITGILLLDPYFWGKNPVGAETTDPAVRRKYEATWAFICDNKYSIDDPLVNPLSMPAPELRKLACSRVAVTVSDLDIFKERAAAYAAALRDSGWAGEVEQYETAGENHVYFLDKPSSPKSAKELTFVAGYLSRE >OB09G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9535520:9536494:-1 gene:OB09G19600 transcript:OB09G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGDIDGEVVFELAPFIRIFKGGRVERDFGSDPVPASTDAATGVASKDRAISPDVAVRLYLPPVAGASGEGGARKLPLLVYFHGGGFCLHTAFNAVFHAYLTSLAARTRAVVVSVDYRLAPEHPIPAAYEDSWQAVVWAASHASGAGEEAWLTDHADFSRMYLAGESAGANIAHNVAMRAGAEGLPNGGKINGVVLVHPYFLGRGKIPSEDLDPVMAENVVKMWSVVCPGTTGVDDPWINPLAGGAPALDGLACGRVLVCLAETDVIRDRGRAYCEGLKASGWPGELEVLEVAGHGHCFHLVDFNGEEAVKQDDAIAKFVRR >OB09G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9536819:9539380:1 gene:OB09G19610 transcript:OB09G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGDGGDEVIHDAPNFIRVYKSGRVERFLRIDFAPPSTDAATGVTSKDVVAGDGVSGRLYLPATPCGGHWVASHADGQGEEPWLTAHADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGDSSESDEMGMALLRELIRLWPVVCPGTSGCDDPWINPMADGAPSLSVLGCRRALVCIGGKDAMRGRGKLYCEKLRESGWQGEVEIWEADGQGHGFHLLWPTCTQAEAQVQTIAEFLSHG >OB09G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9541832:9545072:1 gene:OB09G19620 transcript:OB09G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3MY83] MLLTFPTTSAMKFLVPTSIYVLLISIELSNHHPQRNTIPILQEIRNMESKKHTICRHPPTYGNLITVLSIDGGGIRGIIPAVVLTFLESELQKLDGEEARLADYFDVMAGTSTGGLVTAMLATPNKNRRPLFAAKDIKEFYMNHSPKIFPQLRGPFGRMMRIVRSMSGPSYDGKHLHEVVREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEAKKKKNNTMDALLSDICISTSAAPTYLPAHLFRTEDCHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKQNPDFFPIKPMDYGRFLVISLGTGSPKIEAKYSAEKAKSWGVLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEQNYLRIQDDTLQGTVASVDVATRDNLEKLANVGEILLNKPVSRANLETGQMVPACDDPEMTNREALKRFAKLLSDEKRIREARSPT >OB09G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9546285:9546503:-1 gene:OB09G19630 transcript:OB09G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQKPRKNMLHRYSDEVSSICMAQSGNLLCLDHGTMHRRRSLHWCLTCILLRRSNSPLYTFDRPSTFAGSQD >OB09G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9547008:9547283:1 gene:OB09G19640 transcript:OB09G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKVSSFTCILVVLLIVSSYVACPGEARRLITEVAATPASKEAVEHASAQSPPAAAGVTAASKMASTDGRPTSPGHSPGIGNKANGNVR >OB09G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9553000:9553151:-1 gene:OB09G19650 transcript:OB09G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKFVCLSVWMIHLLPYKQTNKEGTMWLQAGIAASWGWSVTSLSAPNDLRV >OB09G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9556707:9561516:1 gene:OB09G19660 transcript:OB09G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAPHAQGGGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGLYCSTRPMRIGPATPRKTSGTSGPTGSSARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFIQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAAYGAYPFYGNQQQVS >OB09G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9563868:9566894:-1 gene:OB09G19670 transcript:OB09G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3MY88] MSNALPVFLILIICVAALHANASSEEARFRELMRSRRSSNGYGVHEQEVYSVSDQSNLKAADKIAALPGQPEGVSFSQYGGYVTVDEKNGRALFYYFVEATTGAAAKPLLLWLNGGPGCSSVGYGAMIELGPFRINSDNKTLSRNEFAWNNVANVLFLESPAGVGFSYSNTSSDYDRSGDQRTADDSYIFLVNWLERFPEYKGRAFYISGESYAGHYAPQLAATILSHNIDSKRMIINLQGVLVGNPCLDEFKNLKGQIDYLWSHGVISDEVLANITKNCKFSPSDGKQCSDAMNAYDSGNTDPYDIYGPVCINAPDGKFFPSRYVPGYDPCSNYYIHAYLNDPVAQKAVHARTTTWLGCKNLHWKDAPVSMVPTLKWLIEHDLPVWLYSGDLDSVCPLTATRYSVSDLGLAVTEPWRPWTANREVGGYVQQFAGGLVFISVRGAGHQVPYFQPEKALIVVSSFLKGVLPPYAKEQ >OB09G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9578781:9582715:1 gene:OB09G19680 transcript:OB09G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMSGRARRPAAGAHAAKAAAPKSDRMMEKDQRKGAMPVKKGSSANAVTKGITNRIQARRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIIFSSAKNTSLPGGEGCVPAQLMPSSPVPNSAVVPVTNGRDHHHHHHPTARPSLNGVVAGARQTPRKPSPSATAAMVDDRSGAGKENQSCSNTPARNCRHSPLLQKASKSRLPAAAPEKRRPAQTISTVTVPDRKRVADAGSNNADKASHDDSSVPNRLSEELLRCLLAIFSQMGSSSAGGQDEEQALSPSVSGSCESSEDAYPQDPYGILEFGTRDVGPYKRFHVIDATSFDQTMLENDTILARKLKALLRRLSSTDLVGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKHVNPGGGSKGDDMTMRGVFGLEWPEPLVTFALSCGSWSSPAVRVYTAGRVEEELEAAKRDYLQAAVGVSAPAAKLAIPKLLHWYLLDFAKDVDSLIDWVCLQLPSELRRKAMGIVEDGRGVAAESRRVHVLPYEFRFGYLLAS >OB09G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9594790:9595574:1 gene:OB09G19690 transcript:OB09G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDGPTLRSLLRPSTNGRRTKTGDGGGGGGGGGIFKMFKLMPMLTSGCKMVALLGRQNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPGRHVLRLLRGVSMGAGVLPAAPEKEGGVPAGPDGELTYVRARVERVVGSKDSEAFYMINPSEGGVGGDGAGDGSAPELSIFLVRMK >OB09G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9602895:9603584:1 gene:OB09G19700 transcript:OB09G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPRQPPPQPTPPKPAPQPTPPRAAPQSTPPWATPQSTPPRAAPQSVPPRAAPAPQSTPPPRVAPQPPAAAAAPPVEPVAPPSPTFWTVCMSCCHIHQYDRLYEARKVMCPSCRQPFVAEEMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKLMNSQQQGTDQLNTPWHGTTGGVEGDAAVGAANGAPVRAAVEVQYAPKPAKPVRVKVGAKKRGRPKGSKNKKNL >OB09G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9608432:9608902:1 gene:OB09G19710 transcript:OB09G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALLGEVVRYVRDLRSESGRAAAGAVVPGEVDEVIVEEEEEQGSRRSCDAGEKAKRVQARMCCDDRPGLMTELGNAVRSVGTRAVRADIATVGGRIRSVLELDVTQTAAGGDNVASLPALQAALRAVIISREELLAMEGYKRRRFSSHFCKET >OB09G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9613682:9616982:-1 gene:OB09G19720 transcript:OB09G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:J3MY93] MAPSLLRPASQSLHLAPPCADAAADRGRSRSAVTIGGSRTLSVSLRVGGSSRREFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGERDPFKELKARFMDFKQRNCVDNNSNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQHGASETSAALEFAVNTLEVENVLVVGPSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCRHCEKESINSSLLNLLTYPWIEKKVNEGTLSLHGGYYNFIDCTFEKWTLVYRPGLEGGSKYAIKNRSTWS >OB09G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9627116:9628120:-1 gene:OB09G19730 transcript:OB09G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGERDMNKESMYQEREDMSGIRFTTPPPSQHQQLECFSDEVDSRGSGEMKETVGTGGQLVVVGAGGDGASIEVAKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDVAEALARFSSRRNLGICVLAGTGVVANVSLRHPSPGVPGSAPAAIVFHGRYEILSLSATFLPPAMSSVAPQAAVAAAGLSISLAGPHGQIVGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADDDASVSVSVSLSGSGDADEHRGHGHQHKPEPQEPRQFRRPPPHLATPAAVAASAPQPVEPCGAPIYACHPHPQEVMWPPPPRTPHPPPPPPPY >OB09G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9637744:9643396:-1 gene:OB09G19740 transcript:OB09G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFEQSAMSGIEQAVEVNKSVAAMLELSEGNFVSDGVDNERKQMRCQKTSITGKVSEDVLDNNRVRSVDSSRLPTSGKACNLQDAAVAGNFSQISAGNSSSHVERSNGLEPELEHFNKQTPGTDNLNSSRRLPSVSTVGTISSTHGSEKEGAMSNNQSLAKNIARQQAAAKVGRNYPSELTLFPYEHFVKLYNFDKVELRPFGLVNLGNSCYANAVLQCLAFTRPLTAYLMEGLHSRNCSKKEWCFMCEFEKLILECRRGKSHLSPTGILSHLHDIGSSFGPGREEDAHEFLRYAIDTMQSASMKEARKNGVCGLPEETTLVQLIFGGYLRSKIKCTKCQGSSEQCERILDLTVEIDGDINTLEEALHRFTSTEVLDGDNRYNCSRCKSYERAKKKLTISEGPNILTIALKRYQSGNFGKINKAVRFPEHLNLSNYMSTADDNSPVYQLYAVVVHRDVMNAAFSGHYVCYVKDTQGKWHKMDDSQVKPVSLEKVLSKCAYMLFYARCSPRAPNSVRKIMLAQDPSRTRKARQMVDPGPVSLEGGSYLSRHQGGQLSKDHIVYDLTYTLDTFAGSSYTVVESPSPSDSSSLLSNSDAGSTSTFSSDSTDSTRNSTSMEEYDYIFGSSDQMYPVSTVVIPEEHELSYSRQRSSLNPSSSSQYVDQASEVEMLNQHKDSRGGWDEGDGMPFFYSNQGKHHGSSRSSTSSTSSNSSNRKLTEQRRTIGEVDHGPGEVHGSILIRRIAQAIY >OB09G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9655453:9655653:-1 gene:OB09G19750 transcript:OB09G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKVVSGSPPPYSGALDCLIKTVRSEGAMALYKGFVPTVTRQGPFTVVLFVTLEQIRKLFKGIDF >OB09G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9655463:9656590:1 gene:OB09G19760 transcript:OB09G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNNFRICSRVTKRTTVKGPCRVTVGTNPLYNAIAPSDRTVLIKQSRAPEYGGGEPDTTFMFMTLVLTTSTGVDAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWGGGGGARRTGGEGRRGAWWARSRALP >OB09G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9657746:9662153:1 gene:OB09G19770 transcript:OB09G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSSASSPAAAPRRSPAMMKLRRETLESVLDELRRALDGLREGGELGASRADDSPRGINGGEEEPTDSEEGGDGGGAGVGDDDPALSLAGDSDGETGQIRDLKSTFESPHFFQKVDEIQKSLYQKDAVEQDPSWNIVMAVDSWEGDDFDDGYVLVKNDDATEGMAFFVATYISSLKTANECSPDQIRKALKKTFSARKRKGKLRKAWDGSKVIYNVASWSATAIG >OB09G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9661708:9666013:-1 gene:OB09G19780 transcript:OB09G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:J3MY99] MASISGAAAPPSSAACRLRLRRQLLLRPSHLRLRAPHSIADLSRSSSSQQPSPTPASPLASKPGQNGGHARAAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLHRMEPRMQQAFTAMRELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIETTLDRILAFSHDIISGKIRPPSSPAGRFTQVLSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANERESISVTVQEVTPRAVGALVALYERAVGIYASLININAYHQPGVEAGKKAAGEVLALQKRVLTVLNEASCKDPAEPLTLDQIAERCHCPEDIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEDMLAA >OB09G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9668263:9670591:-1 gene:OB09G19790 transcript:OB09G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPRNLATLFRPPPPRREPPRAWTASELAPAAAAAALVRLPLRASLPNQGFGRGRPGASSLAVRAKDSDDFRVLISEKAAEPAPAKREGWEGFGREVNDGDGEVQMQGESASWNVLNQIGVELDSENSYTALVYGTSALVTIWISSIVVSALDSIPVVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQILGSRGD >OB09G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9683524:9685079:1 gene:OB09G19800 transcript:OB09G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDYAERLRGGGGGGDLDLRVRMDAIDWIWKVHSYYNFAPLTACLAVNYLDRFLSLYQLPDGKAWMTQLLAVACLSLAAKMEETDVPQSLDLQVGEARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYADYFLRELNGGDAPSRRSAMRSEELILCIARGTECLEFRPSEIAAAVAIAIVAGEEERHTLHTTASCPHVNKERVSRCHEVIQAMELITLQPRRVSSSMPQSPTGVLDAAGCCLSYRSDDSAAVTSHHASSWGYEYDSSPVSSKRRKISR >OB09G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9693858:9694722:1 gene:OB09G19810 transcript:OB09G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSPVQPSASRTETVKNALSRWGRRVGEATRKAEDLSRNTWQHLRTAPSIREAAVGRIAQGTKILAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDNPLSYEAGGGRTEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLMSMDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQA >OB09G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9694659:9694874:-1 gene:OB09G19820 transcript:OB09G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFDCLENNLNLQTQITSTWRIEHNTQDANQNGNRSPRTLEQTPMLPSRHACKWWKPLRASCKCLTALS >OB09G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9703818:9704675:-1 gene:OB09G19830 transcript:OB09G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMPPMPVSSSYETPPQHGLGVGGGMAPKPPGELGSRVKGPSSCGGGKYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTIDALRCAACNCHRNFHRKESESLAGDGSPFSPAAVVPYGATPHHQFSPYYRTPAGYLHHHQHHMAAAAAAAAAAAAAAPAGHPQRPLALPSTSHSGRDDVDDLSGMVGPMSAVGPLSGMSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKLP >OB09G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9723186:9724605:-1 gene:OB09G19840 transcript:OB09G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSKGNAKHVKGSLCLVTPLLLAAFFYLQFQTLGVFSHIFRCAGRSAAIGDVDYVDRLRASVTFLPLKDTREWAETWFISTLDDTSEPEGEAKSLVFPSAASAGRLLCLSAPSRRDGTKNAYALAWRDALPDGAELRPGLAYVSETAYDHSNLWHGISALIPFASWHARSGCRSRPARWALFHHGEVRLGMSPWLTSLAEATTGVEMVVETFNASDVPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVDPPPNGDTSAVRVTILFRTGARAFKDEAAVTRVFQKECARVAGCSLTTARSDDLTFCDQVKLLSGTDVLISSHGAQMTNLVFMDRNSSIMEFYPKGWRERAGGGQFVYRWGADRAGLRHEGSWWDPHGDPCPGSPDILSCYKNRQIGHDEAYFAQWAARVFAAAKERKAGLAAGDASKRRRGAATCHCS >OB09G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9728179:9731236:-1 gene:OB09G19850 transcript:OB09G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase-related kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G12680) TAIR;Acc:AT1G12680] MGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDADKFYLVMELCGGGRLLDQMAREGKFSEQRAAIVIKDLMSVVKYCHEMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYTEKVDIWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVELDFHSGPWESISSLARDLISRMLDRDVLSRITADEVLSHPWVVFYTECPLKAVATNLSITNKIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >OB09G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9736364:9740649:1 gene:OB09G19860 transcript:OB09G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAPPAAVRLPGLPKASLPTSSSPSIRLPHLSMSASSSPRPRPLAPAAAAAGSGASSSSSLLAADPSHRDAVLLAARSAMANCLGETSLDLAVPGLRLVAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYSKGVRNYCGNVLRDGMVKNQKLSENILTPTTKAVDHDVPVTPEEIINSGLMSKEDFDEARSKALSLFSYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEKRFNSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPNELVCELAWRYIFLFETITNTKFEIPKTQDPIHERISKNVTEALRNL >OB09G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9742119:9743201:1 gene:OB09G19870 transcript:OB09G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMTRKHQFDLTSQLPTSQAFLLRRSQASSRPPGMADKGVKVFGMWASPMAIRAEWALRLKGVDYEYIDEDLANKSEALLRYNPVTEKVPVLVHDGKPLAESTVIVEYIDEAWKDGYPIMPADPFERAQARFWARFAEEKCNAALYPIFMTTGEAQSKLVQEAQQCLKTLETALEGKTFFGGDAFGYLDIVTGWYAYWLPIIEEVTGVAIVTDEALPLIKAWFDRVVVVDAVKATLPPRDKLLALNKARREQLLSA >OB09G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9743198:9746702:-1 gene:OB09G19880 transcript:OB09G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATQRRQSCKNASSKQCCPSAAATAFRNPLLVVNFILMVVGSACGPLLLRAYFLRGGRRKGLSTLLPPAGWPLLLVPLCFSYCSRRRREEEDGGAATTPLFLMTPRLLAASATVGLMTGLDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNTGGDRPAGVSRAQYYAGFAMTLGAAALYGLVLPVMELSQARHAAARGAVTYTLVMEMQLVIGFVATAFSAVGMLVNNDFHAIPGEAQGFGLGQAGYYLLLAGSAAMYQCFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGEVRAKAARPHSGEAPKPDHLDP >OB09G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9750557:9755904:-1 gene:OB09G19890 transcript:OB09G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPKDVGHGQAAAAAPVRGRAARWLLAAINCGMLAVGTTGGPLISRLYFSKGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRQRLTAATLNAVALLTIGAVVLGLHTSNDRPAGVTNGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMIVNKDFQAIPREAKQYELGETRYYTVLVFSAILWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYTDAKAKKRAASEAGAS >OB09G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9757829:9761992:-1 gene:OB09G19900 transcript:OB09G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVVEMQLVMGFFATAFCTVGMIVDKDFQAIPREAKQYELGETRYYTVLVFNAILWQFFFVGAIGVIFYVHTLLAGIIIAVFIPITEVLSVVFLHEKFSSEKGVALVLSLWGLASYSYGEYTDAKANKRAASQAEASLVLV >OB09G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9762085:9762774:-1 gene:OB09G19910 transcript:OB09G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCKFAIATRVSISKYGFPTPSVYISRSRRHRRHARAVVASTHALSYAPKSPVLGRRQQTSLPLIMDVEAPKDVGHGHGQAAPVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWRARGSGSSLAPTTSSTPPASRTCRCPPPPSSPPRISPSRSSSRASSCGSGSPPRR >OB09G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9764668:9765780:1 gene:OB09G19920 transcript:OB09G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSGWLVTVLQLAYVVGCGCPVSRDVERVLPAGVCGFVGFCQAINLIWRYGMFGDLVLQDRILILLTGHLKNSELAVNVLSGKSCMSKPCLCFTSLPLNAYERVYLTSVTTSPLNSMSFQAWEMMIPVGFLAGTGVHVANELGAGNGKGARFATIVSTATSFLIGLFFTVLALSFHDKIAIIFSSSKAVIDAVDYISVLLAVTILLNGVQPVLSGVAIGSGWQAIVAYVNIGCYYFIGVPIGVLRLGGVSTLEFL >OB09G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9768607:9769671:-1 gene:OB09G19930 transcript:OB09G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLRRLAATLLSSPPASAADAHHAVMRATAHHPFSARLLELLLLLPDVPHDAADAEYLIVLPNRHLIAELAAFASVADAVRQAPPPSSAPQHNALVWELIRLAEEDRVTAEHNIAARVQEMSERLATLSLADAVELVCVLKQVEESASSPADWKWAGLDEDVVGKARRLRERAEEVVLRRTEQERRLVRRGAAGSVSVRVRTGGVAEAVRFGSTRWAGTVPAWR >OB09G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9768941:9769732:1 gene:OB09G19940 transcript:OB09G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAVTRSSSASRMSSQTRALCCGAEEGGGACRTASATDAKAASSAMRWRLGSTMRYSASAASWGTSGRRRSSSRRRAENLTHVAAAKDRPSGKAASARGLRPEAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPGGGSGGRRPKARSASRWCAAGGAVDGWCAVARITAWCASAAEAGGEERRVAASRRSLRPMARGGQSRSAAVNRELANAK >OB09G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9775857:9777038:-1 gene:OB09G19950 transcript:OB09G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVHSNGRLLMLLLLLAVAGFAVAQQPDNPPQGYYYSTNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYSGRADYSTTPLPQTGAGRSRRQRGLDQAVLVTFPTMTYADVKAHKSVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHVTCPVCRANLAPNHDGSSEPASDVPAEIPTEGVPPSASAVDVAAPGEAPPVVIDVEETEEERIIREEAAELTRIGSLKRALRSKSGRAPARFPRSHSTGHSLSAAASAGAGAGSERFTLRLPEHVLREVIAAGHLQRTTSLVAFRAGRQGSTRRGLRAGGEGSNRAGRSVRLGQSGRWPSFLARTFSARLPAWGSRSTRRGEGDGSSKGGRTAGAGGRSVACDDQACALGQRV >OB09G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9792858:9794545:1 gene:OB09G19960 transcript:OB09G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVLHSRGLFGRRCAMEGGGGVGGGGGGGGGGWSGGLFGGFEGVMDLDGGNWDAAACSSMLLHGFQELEIPVAAAVAPAPPGEHAVVVAAAGCAENAGGIGGGHQEDQATAVQARRRKRRRARAAKNREEVESQRMTHIAVERNRRKQMNEYLAVLRGLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARKSSRQCAARDAAAPFAGFFTFPQYSMSATVAAPAVNDDVRDDGGASAEAEASGSKPSAVADVEVTMVESHANLRVLSRRRPRQLLRLVVALQGHRLTVLHLNMTSAGHMVLYSFSLKVEDDCQHTSVDEIATAVHQIIEKIQEEQGCSLD >OB09G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9800750:9801535:-1 gene:OB09G19970 transcript:OB09G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding XRAAAAPLPGDDGFGDGDEEAGGGGGDVHHVWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCAHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEADAEGGQVEEHQVFDEISLSESHDEVSEDSDASSDTQSEDTAAAAEDNGRMMPKPIRRSASMDSPLFLVVVPDAQDDAVRANRKLPNGQEMKVFSVKGKEATGTSSSSCQAGRFGICRSMSSSGQGFFFSRNGRSRSTVLPL >OB09G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9803169:9805190:1 gene:OB09G19980 transcript:OB09G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPPAAAAAAAQAGQEDPSVMKNPTGDAADSSGIDSGWVVLGKSDIVSADLAAAAADTGHRQLGFSPLPMLPIWVQMMLGGVVYTAVPFYKRVRKIEGVAIENAETALEVVEHAAEVTEKLADNVANALPENGKLHELAEEIEYIAEIVDKDAQKVEVIIKKIEDVSNQIDAAVEPVIEDLEKEFKP >OB09G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9810047:9810640:1 gene:OB09G19990 transcript:OB09G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAALVGLLVVSCAAAAAATRDTVGDGEGWTTGVNYNNWANGKYFKQGDELVFNYQNGAHTVTEVRQSDFDSCNGNNPLSNDNRGSTTIRLSYPGTHYFICTTQGHCSTGMKLAVTVNGDPSYSAGSVPAASAVAAAAAGALVKLALF >OB09G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9815762:9816556:1 gene:OB09G20000 transcript:OB09G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTRGGRRIPGLLSRTRRRRPRRRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXELRRRRRASPWRRPPAGSAPLEQSRAVSRGAGARVWEEEEPVLGSLRWC >OB09G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9821523:9831601:-1 gene:OB09G20010 transcript:OB09G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 3 [Source:Projected from Arabidopsis thaliana (AT4G09020) TAIR;Acc:AT4G09020] MTEERECTMADTEMPLKYSSGKAFPLGVSQVEGTLNFAIFSQHASSVILWLKLPGRGTEDEKDVVEFVLDRQRNKTGDIWHVLVEGLPASGVLYGYRVDGPQGWDQGHRFDNSAILLDPYAKLVSGRKYFGIAEEESTQSFGTYDFDSSPFDWGDNYQLPNLPETDLVIYEMNVRAFTADESSGLDSAVRGSYLGVIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHNAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLKKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGHFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFASRVSGSADLFQVNNRKPHHSVNFVIAHDGFTLYDLVSYNFKHNDANGEGGRDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINHFQWEQLEQRDGHFRFFSEMIKFRHSNPILRRDSFLNKNDVTWHEGCWENQESKFLAFTVHDHNSSGDIYLAFNAHDFFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFKGSKYRIAPFSSILLKANP >OB09G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9833667:9839687:1 gene:OB09G20020 transcript:OB09G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:J3MYC3] MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCISAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCRNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVKGFLRTQFPKGDVPKWVRNAMVVAGIDISECCTPAKCPRDAMDAPGTDIPELCPPTKCS >OB09G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9840848:9845015:1 gene:OB09G20030 transcript:OB09G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAVSHRPPLLLAAPHQHQLRRRSHLPVPLSSLPHTSLSLSSSRHRLGLAPTPLRRIPPLLASQTPGGTDDPSPPPAGVKLVPLLVSLAVGLAVRFLAPRPPEVSPQAWQRLSVFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGGSTLGLSYGLTISEAFIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAEELGVIVANPWVAWFKAASLPAIASLLATPYFLYKIFPPETKDTPDAPALAAEKLERMGPVTKNEWVMIGTMLLAVSLWVFGDVIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINVLIWGVVGTFWWKLLGLY >OB09G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9859258:9860816:1 gene:OB09G20040 transcript:OB09G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAGAGGGSPSLVPMANSSDDGYGGVGMEVEGDAEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYTALRHSYDTLRLDHDALRRDKDALLAEIKELKAKRGDGGAAASFPSVKEEPVASDGPPAAGFGSSDSDSSAVLNDTDAAGAAPAAEALAPDVCTFLGAPSAAGQAGAGATAASHGEVFFHVNFLKVEEDETGFLDDDEPCGGFFADEQPPPLSWWTEPTEHWN >OB09G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9861175:9861606:-1 gene:OB09G20050 transcript:OB09G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALSCREHAQAFYVVLLPIMHSFTILSCSCRVQSAAAVLKIGRGARLCCLSDHVAMAKKHRSFSEFQSSREYCAATSCLTRGKRRRFRGGEAAPWPVEARGSAEPKQVHVADRCQRGESRSVIRKKKPTPAPHVAPGPTEQ >OB09G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9875615:9881030:1 gene:OB09G20060 transcript:OB09G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT4G40050) TAIR;Acc:AT4G40050] MLMRRAEAGDHPAGRLRSLVEETKSAYPKTNFKEWKQVLQELGKFLKADGAYKGSRSLRYDNLFDSFPSNLASLARFHSRRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGSLPSNPQKAVVYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSAYTRATSVYPIDKSNSNISSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKGIHNAEKGEPAALLLSPRIASAMPGAESTGNGSQFTYFLTAPMQAFCQLAGITSEIDSDTYANAETILFSALEQYEGILSTSVGLNNVWGQILPDPFLRRLILRFIFCRAVIFYFHPEENGEHMPTCLPSLPESVSPKSEAIMSPILELAENLVVSERFHFRHSIRNNKK >OB09G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9886310:9888932:1 gene:OB09G20070 transcript:OB09G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDDHQSKVQRLYDACDAVFSSGSKAGLPTLTQIRWLQDLLDGMEAADVGIDAGGGGGGGERSSRRRAGPAGAAVSLGGGVHPDHLRAHIRVRRFLDRRVLLPGRRDAAAARPPADGGAEQASLRLDAGQVVRLGERGPVLGPKEEWSSQSGRRRRGAGGAVQGVGPLPAERRQRPLPHRRHAVRAPRRAGAALRRGPRPAVHLLLRHPHPFPPRFCSIGRGRLARRISCRRGPICRSRTHARHGQHV >OB09G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9890032:9890751:1 gene:OB09G20080 transcript:OB09G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHNTLISEQLSSRTPAAGSKRTSSSSEVHQDRRLGRRHHIRLHRRRRAIGDAAVTPYLPLPGVLLLPHLDHPLDEGTEEGVLVLTELPHGKPCPGVVGEERLVGVEEPAEADEVLEVLVVEDERGGVHASGDVLVAAAGAERVERAAVGGVHVGIGSAGAGLVVEAEDDGEAAGFADGVRAGERDEVGDGEVVAGEEFDQCTGVGAWARHDSVRVLLARRQAVLAPEPHVPEGPTCL >OB09G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9890113:9892785:-1 gene:OB09G20090 transcript:OB09G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22420) TAIR;Acc:AT2G22420] MARARAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMAGEKEALSNINSLRSFDVVDEIKEALEERCPGVVSCADIIVMAARDAVALTGGPFWDVRLGREDSLTASQEDSDRIMPSPRANASTLIKLFAGYNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPNMDPTYRGALDTLCPRGGDENVTGGMDATPLVFDNQYFKDLVRLRGFLNSDQTLFSDNAWTRLAVRKFSEDQDAFFSAFVEGMIKMGEQQNPRKGEIRRNCRVANGPPSPVEADVVATSKAAVLVDF >OB09G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9899706:9903789:-1 gene:OB09G20100 transcript:OB09G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVPLLPPPSSLLLLLCLAVSAAGNVPAPAGGSKPGGPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARAAGSVPAPAVVSKPGCPTRCGAVDIPFPFGIGDHCGLEAPYTNYPFKFNCVSVDGTSKPFFRGMEVTKISMEDGKAWMKMNISKNCYDQSTGTMKDNTNTTSVHFGRSPFWISDKDNKIIVIGCETFSYMQINNVLTGCVPSCGEDPKNGICSLEAGCCKLDFPNGTWYYNAFFNKNNSTSPCSYITVMETTTFNFNQTYFKSTAFYDTYKGLASVSLDWIITMDSCDKVERNTTSYACVSERSSCVNDPKGGYRCKCSDGYEGNPYVKDGCQDINECLDNATYPCPGICNNTLGNFTCSCYPGSYMMNGVCLLNQKSGFPRNPVIGASVGAVVLVIVITYACFMREKRKLQHVKRQYFRQHGGMLLFEEMKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNKEIAVKRCMTIDMKQKKEFGKEMLILSQINHRNIVKLLGCCLEIEVPMLIYEFIPNGTLFSLIHHNHNQRVSLETRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKEYTAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLESPEDERSLAMRFLSAMKENKLSDILDDQIMTGENLEFLEEIAELAKQCLEMSGENRPLMKEVAEKLDRLRKVMKHPWEQQNPEEMESLLGHSSYKINSDLTIEHTGNFSINSELGVLESGR >OB09G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9909924:9910685:-1 gene:OB09G20110 transcript:OB09G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFIPNGTLFHLIHGSHDRHISLTTRLQIAYESAEALAYLHSWASPQILHGDIKSSNILLDGNFTAKVTDFGASILAPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLNGEENERSLSMRFLSTVKVNKLEDILDEQINNEENMGFLEEIAELARQCLEMCGENRPSMKEVAEKLNSLRKVLHHPWAQQNFEEMESLLGGPSVVSSEVGSTGFFSIEKKSIIALESGR >OB09G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9915256:9915669:-1 gene:OB09G20120 transcript:OB09G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHELLRLLLCLAGFSWVLAAADVAPAGRHPGCRTRCGGVDIPYPYGRPGWPASRLPDTVRRRRHPVPLRHHPRVRHPWRLRGQLHVREWHREAPAWNLGGDQHLGAQRKNLVQDDDIFAVLRSRNRPNLVPRRMG >OB09G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9920442:9925077:-1 gene:OB09G20130 transcript:OB09G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFYDFKIDCVSSKPFFRGAEVTKLSVSDGKASMNMNISTYCYDPSSGAMRSRSASADFSDSPYWISDRDNKVVVIGCQTLAYMQINFALTGCVLTCTEDFSPVDGVCSGAGCCELDFPNGTWYYSSYFNENYNNSQIWKTNPCSYMAVIETKSFNFNATYVNSTIFYDTYKGVTPVSLDWIISMDSCDDAKKNTTSYACISGNSKCVSEPKGGYRCACSDGYEGNPYINDGCKDIDECLDNTTYPCNGICKNTMGGFTCSCYPGSYMTDGVCQTNRKSRFPPAASFVIGGSIGLVVLVIAIACAWFIRERRKLQRIKQHYFRQHGGMLLFEEMKSQQGVTFKIFSEEELQQATNKFSEQQIIGQGGNGIVYKGLIKGNIEVAVKRCMTIDDAKKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNNTLFHLIHGNHDQQISIGTRLRIAHESAEALAYLHSCASPPIFHGDVKSSNILLDANLSAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMRTCQLTDKSDVYSFGVVLLELLTGKKPINLFAPEHEKSLSVIFLIAMDENKLEDILDEQIKNDGNLGCLQEIAGLAKWCLEMSGVNRPSMKEVGEKLDTLRKVTQHPWEEHNLQELESLLGEHGTTQVISNTGNFSIEENVVMGLKSGR >OB09G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9925281:9925439:1 gene:OB09G20140 transcript:OB09G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQRTRWPKRIAPRQEYRHLIDLTDCWTAKAREATAVRQIKLLSSRVIMS >OB09G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9932251:9935045:-1 gene:OB09G20150 transcript:OB09G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGISWQCYNQATRQVDERVIFQNFTGTPFRFSYEDNKIFVIGCNTMAYMRGVSYVIGCLSTCYGDQQPKNGSCSGAGCCAVDVPHDLGYLEAYFNKDYNTSEISNYSNCGYVIVMEKAVFSYSTTYITSINFWNDYKGKVPAVMDWVVTGETCEEAQMNMSSYACVSENSGCLNPTNGRGYRCKCSKGFDGNPYVKDGCKDDIQAHGCTYTHVLKTVSPPRWFNCVCITRKLSVMQQQIHFCFVPDIDECLGASVGLFVILIITVTCSYLIHERRKMENIKRKYFKLHGGLLLFEEMKSNQGKSFTIFSEEELQQATNKFDENQIIGHGSHGTVYKGLLKGNIEVAVKRCMTMDEQHKKEFVYEFISNGTLSNLIHGNHGQHISLVTHLRIAHEFAEALAYLHSYASPPIIHGDVKSSNIFLDINLMAKVSDFGASILAPIDKSQLVTLVQGTWGYLDPEIAHKSVFNVDAPEHEKSLSMRFLSAMKENKLEHIMDDEIYNNDNMEFVEEVADLAKQCLAMCGEDRPSMKEVAEKLDRLIKVMQHPWAQQNQEEIESLLGESSFITNSRGSTGNFSIEKKAVSRLESGR >OB09G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9944640:9945134:1 gene:OB09G20160 transcript:OB09G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYRARPNQCHSPTSLAQPSHLPSFPPPASASLAAAAAPKPQNPPRQPPPPFRAVAGSERAARARGRERESEEGTGGGRKRGRTQRRHLKQGRENVWKHNPQRPVAAGSEGAEWSGDGREGNPSWQPFATENRASEVYYKVRSLASDDADAWFTDFTIWLLS >OB09G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9944838:9945065:-1 gene:OB09G20170 transcript:OB09G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVDLGGPVLGGEGLPRGVPLAPVAAPFGSFAAGRHGALRVVLPHVLAPLLQVAALRAPALPAASRPLLALSLSTP >OB09G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9945194:9949627:-1 gene:OB09G20180 transcript:OB09G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDSYVIGCSSTCDNKGGNLTPKNGSCSGAGCCQVNVPKSLQYYQGYFNEGYNTTEIWRSSPCSYMAVIEANEFHFSTTYLKSTVFYDKYNGTAPVVYDWAISTETCTEAKRNMTSYACVSDNSVCIDNLTNGYGYRCKCSNGYEGNPYVKDGCKDIDECLNNVTYPCMGICTNTLGNFTCSCHQGNYMMNGVCVPNKKLGFGLVPAVVGASVAFVVLVITIMCAYLIKERRKLQHIKQHYFRQHGGLLLFEEMKSQQGVAFKIYSHEELQEATNMFNEQHILGQGGHGTVYKGILKGNMEVAVKRCMTINEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGNYGHQITLATRLRIAHESAEALTYLHSCASPPILHGDIKSSNILLDKNLIAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYSFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNALKDNKLGDILDGQIKNSENMPFLEEIAELANQCLEMSGVNRPSMKQVADNLDRLRKVMQHPWAEQNSEELESLLGDSSMVISRGTSTGNFSIERKGVMELDSGR >OB09G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9951871:9959740:1 gene:OB09G20190 transcript:OB09G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPVAAGSEGAEWSGDGREGNPSWQPFATENPAFEDYYKAQQIIPEEEWSDFMNMLRKPLPATFRINASCQFFQDICSQLENDFRKPLETEVSDDHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKQENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGLLPNAMVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSETCADDSKLLRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKLGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELVRRPGLSTWKVRDRGSWFGTHEDVPRYRKNVISPSMFPSGKGTMDSHMARGSVEVNTDVVDADLKDSENMVGGEQETKASTTDGSNGGNPNTEETIKLQSNEVQNGSDKKSDSISTHTEYSNLPLHHCMRIVPHDQNSGAFFIAVLQKLAPVNENQVTEAIKCENSMSKDSTGKLKKGLGSDKAPHKENTVPQQGIDDGTVLDGQQNGDMDNETSNDKSLEEAKVLVNEVENDQAGTGDRRRKPQNQGRWRGVDPVIFFKDEATIGSIISFYGIKDTFPLDGHLVTRNPDANHVKRIYYVSKSVQEVLGLNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAMDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLREGHQNIDSIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERICLRYGLKVPKADDAKPSKKIDESDEQPDHSTEAVDPEARPESKASDMEIADVKEAE >OB09G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9975312:9997070:1 gene:OB09G20200 transcript:OB09G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAVRYSAQLQLPSGMTAAAKRERAEETLREMGLEGAADTRIGGGGQRRRVSICIEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGVFHGLCLLAYGRTVFFGPAADTNQFFAMSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKMTTAEAIDTLVNSYKSSVHLEKVTRHIQDIRATGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNVGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSADHFAYFAVVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPNPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPSNQPGSGTITGHEILRDYWQVQLGYSKWVDLAVLGGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDAPSVHIAENGSASSP >OB09G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:9987015:9997214:1 gene:OB09G20210 transcript:OB09G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQEPAAVLRWTPSPRRRQDDEADDHHRGAFDMVSDLGGCMRGTEGFPFGSGRSFAPPPFLTAPEAEPPSLEISVGMNSDVALVARDQVTSLRQPDQGAVLAWEDLWVSTAGGNRRRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSSMIQKGDVLINGRRQELAFGTSPSTEVFGLFHGLCLLAYGKTVFFGPAADTNQFFSMSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKIMTTTQAIDTLVSSYKSSFHWEKVMHRIEQIRANEGQLVKKEGGQPTFLTQSWVLTKRSFVNMYRDLGYYWLRLAIYVSLCLCVGTIYYDVGHTYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVVANTLSSTPYLALISVVPGAIAYYLTGLRSSGEHFGYFAAVLFTTMMVVEGLMMTVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPVWRYPMYYVAFHKYANQGLYKNEFLGLTFPSSDQAGGAATITGGEILRDYWQVQLGYSKWVDLAILIGMVVLYRMLFFVIVKLVEKMKPMVQRLRLRRDMPSVKVITEQGFSS >OB09G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10000417:10001893:1 gene:OB09G20220 transcript:OB09G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMPKVTSVVMAAVVGMAMVSLAAGISGTATFYTPPYIPSACYGNQEQGTMIAAASDVFWNGGAACGRRYAVTCTGATNQGVPQPCTGRSVTVKIVDYCPSGCQGTIDLSQEAFAIIANPDAGKIKIDYRQV >OB09G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10004726:10005329:1 gene:OB09G20230 transcript:OB09G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIGSVVMAIIVSLAMVSLVAGSSGTATFYTPPYTPSACTGFQQEGTMIAAASDAFWNGGAACGKMLAVTCVGATNQGVPQPCTGRSVTVKIVDYCPAGCRGTIDLSQEAFAAIANPDAGKILIEYHEYVPHRHACT >OB09G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10005845:10013923:-1 gene:OB09G20240 transcript:OB09G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVRLSSSLNSNMWWISARFSSVRLRSSCTTPTRYFSASGVHGLSSAPLVYGETSGNVVAASRRSASSASSGIDRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSRKQTFSRHRVATNVSHSLTPATASSSTAAAAAPTEEDSENRLIVFHLQRLFAGEEPSFHSTPQITPRPQPQTLTPPAIAAPVTPAPSLPTLTPSNADLEVMNPKGVAVDLARLAELVDPYGEEMQRRTAGLGAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPSGQQFASCKEISSYLISLLGYVEAKPTAIQNSNAGVHELHTVNSVGHCQPNSTEEKHSAPPVTSVPVSSHYGDPQRQHDKNETQVETNGKECQKCNLIFQDQSAYVQHQLSFHQRKAKRRKVNKSGEVGVNKNGTFVTQELQQTSEDKLGHIDHNVAASRNQGQTPEKVSDETISGELGGQPSMAPEPVGFRETDGETEQGKESSAGELLSGHCNDSLHNMADVAEQEKRSAREPVTGHHENLSDNCVDHKIHDGACHNAEEPHAVEAASKFSTGSPANFHEIDSSKDIVLSSADCTQNISKTDKTCNLLEEAPNATSTQSESKCTDDPMGCTDIKPSKKISEPCDLLDDKFSGFPEGSNFSGQEENNPLSATLNEPVLNSINMKVDNGNVECKYGNADGSTSPEDGKHIENQIVDCRITALKDHEINTDGRIRDVNLNSCLDTISSPVSGANYETSNALEDKNRSSIIAQCFGASSAHDNACKEETFVNNQNSASKAESFVNQNNDMMYQPNLTLDTISPAQLDCFTSSCSMTSEIKNNSNRCEDNAKEQLVNPRNITSNEAGFDVEAYSNIFNGAITESSLAQLNSAIHMKTDYSSCYSLSDLNTLTGGSATDEIDMHSMRNTFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSARPCGSLPAAGTSGSIDDFMSMQTNFGSLTSLVRSVEDGPMSRIIQDQCDLQLGFGVQKPQMYPTFEEQLRMASAGAPQFGTMSRHNHVPVPEPTLMLGYAPHIGSCPPVQIGWDMSMSKMVGGCVLQSSVCVWCNTQFQHFGTVADQQADSLGFICPACKEKISGHLSMLNNSSSQL >OB09G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10013703:10014120:1 gene:OB09G20250 transcript:OB09G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDAEDADRLDAATTFPLVSPYTNGALLRPWTPEAEKYRVGVVHELLSLTLEKRALIHHIFEFKEELSLTRHMYASLRNQNRAFYLAGTEMNWAVFLRDAYGDDGALREKDPLVLFNEKLQRYACMTKMDSSRESIR >OB09G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10017397:10019706:1 gene:OB09G20260 transcript:OB09G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMNNGLPCGGAAVCKNFLPTHMNVAHIAIYGNEQVNNHSTIFVEQFARAHYSIERHANLSSEINENMIGHSAMCRTQEGRFYGLRAKIGVWGSENIGHSQVSGACIAAFSQQAEGVNTFEVGFHVFPELYNNSDVHFYTFWTRDAYSKTGCYNLRCPGFVPASGAALYPGQAVAPTSSYNGEDRYIIISLHTDPDTGDWVVYRDDLDTPSFLGHFPRDLCPDMTGSASRVAWSGFVSYPKNGRGPPMGSGHFAEEGDRRAAYFKNMKLFDSKGHAQDPVPSSLECMADRLECYDRSLVYLAVKDGYLFYYGGPAGCVG >OB09G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10022949:10023431:1 gene:OB09G20270 transcript:OB09G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSPVMESPQLTEDDGEECNSNESGWTMYLASPTRSDDVRAIVSEGSNVDDGTGYSNVNHRGEDDKCNANDDADYDSLASDASTGPAEVKVQEGKEDRDHQMNGGSRHEHGKETQDEIRPKLSISCNKKVGKMKKGEEKISRRGQNKRRSSSRTSFFW >OB09G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10027825:10036815:-1 gene:OB09G20280 transcript:OB09G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MTSFASRSIQADIVGALRRGDRQQASLLLLNLQQTNRPLTSEDFHYILEYCATAPDPLFVMEAFELMEEKAVHTSQIVHRSVTRALSKGGYSKEAIHWLTLLGEKDSNHLSLPIFNIFLSGCVSTTKQSDVEWCLEKMETCLLGKSEITYCELLKLAVFRRNLPAVHDIWKDCTRNYHPSIILHRKFVRALTILGDLQSAYRIMQHMVVLAGRSTDHMRGSSKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGRVEESEKYSIDIQPEQPQGETLSLENLQLKSYVEFISTGNNPSDKFDLDNGRMAKPLGSVPATIKKFLRCSFNDMIHACVQQNNSQIAEQLFLEMQKIGLQPSRFTYDGFIKAVMAGKGAAYAIKVIEAMERRGIEPYNDTLAALSVSNSRSSQLDLAEDLLARISKPRPKYIHAFNDLLAGCDIMNEPERAVRILAEMKHLNLKPNLRTYELLFSLFGNVNIPYEEGNVLSRVDVSKRISIIEMDMLNNEIQHSFVCMKNLIRALGAEGMIEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKARDFRKAIKTFMIMRSCGLPANAATYSIMIECCKLLPCIKSANALLSLMLRDGFYPTILTFTSLLKVVLAREDFEGALDLMDTCITEGIQPDIKIFNAILLEAFRKGQIHVIEYIVECIRRAKIQPDQSTLWHTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVILEDLILSEAPDAELRIMKTFEATEHLSTALLNLRWCAIMGSTISWSPEDSLWARRLASSYDGNRRPHIITSIVPERFVV >OB09G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10040119:10044431:1 gene:OB09G20290 transcript:OB09G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDAAAVVVAVQEEEEDEAKPQLLREDDSEAEIQEHEQKINKYQAILAARLKAKYFSNKDFDGGNVFEAEATVEGETIQSSRWPCTRSFANPVNFFQEKNSRERSDSPSLTADSSAKNNSPRIDSSPKNSANTLATENNLTPGKRQLSKKT >OB09G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10045898:10046446:-1 gene:OB09G20300 transcript:OB09G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHHYYGEVASLHCLSPPSLPFSSHYHSSNMITTMPSSPFHFPAATICEPIQEVLPVAAAAGNCPAGSGSTDDAYQMAAAEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDVHCENGRLRKERAELQARLEHLMQGQKNTSPSSSSEPCENNDTE >OB09G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10067975:10069351:1 gene:OB09G20310 transcript:OB09G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48910) TAIR;Acc:AT5G48910] MLLDARKVFDRGHEGDTVAWNSLLQGYATAGGVNALREFFAGMQGRDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIQVEERLSSALINMYSKCGCIEGAVYVFENLGPKMSVDTWNAMLAGFTVNGCSKKALELFVKMEITGLVPNKITFNSILNACSHGGFVEEGIGYFEKMTKVYDIEPDIAHYGCMVDLFCRTGLFDKAENMIQMMPMKPDASMWKALVGACRTHRNFELGRKAGHRLIEAAPNDHAGYVLLSNIYALDGNWTGVHKVRKLMLDRGVQKLPGSSSIKIDGVIHEFISGDKNHLSKEEIYKMLSEMCQKLKVAGYVPDTSQVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIVKNLRVCGDCHNAIQLLSKIYGRCIIVRDANRFHHFREGSCSCSDFW >OB09G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10072688:10072894:1 gene:OB09G20320 transcript:OB09G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARAGRERVNALCRGRPALPCTRLAKGGPAQCDTRSWMAKEICRATPLRMSYGRLLKDKKKGGAGLG >OB09G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10074594:10077631:1 gene:OB09G20330 transcript:OB09G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSAVHQQHAMMDSFAAASASLWAAASQNMALSSDVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGASGGGMMGASAYGAADQSMGDAFGGATEGLMDHHRRNDGNDKAEQEFAGNGHDELPSSEVAGGDCSSKGSDSKKRRRPNEVIGADQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIQGGAAGMANPDVFRRIIQAQLGAKDGSQMPHALNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >OB09G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10083973:10084754:1 gene:OB09G20340 transcript:OB09G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSDGLISMAGMGMTSRRRPYLSSEPDSLDGSTSITLYAKNDLIEREVIYMAKLTSVHSYINLLLVVYQTKVHKRGVQMSSVQRERIAIVRAIIKDMIVVLLDESVGHGVRELVMQQALDKVMRN >OB09G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10087700:10088353:1 gene:OB09G20350 transcript:OB09G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCASVLLLLLLSLSALSASTAEANEERLSRDNAAPSMGRKWLRGRKATAMAMATAAGRGHGDVVVEGKGGGEKKNTGANTAHAHGSERAVEVTVVGLSERAAASTGLPASCRSVPITALRELIRQGITDRNKKAKASSWFLPLLVITRTNLKSASASVFSTLLL >OB09G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10092624:10094597:-1 gene:OB09G20360 transcript:OB09G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPSSSRNEGRSCSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQVAFQVPTEESSLIFGDLVLNNDLSSVRNDSPLLLRKNQMHRSSSTPCLSPTGHDAQQQDQSEPIAILGYSSASSQMKQLAKDVMKAIRNGVDPVPVNSGMGGAYYFKNINGERIAIVKPTDEEPFAPNNPKGFVGKILGVPGLKRSVRVGETGLREVAAYLLDYDNFANVPPTMLVKITHSVFNVNDTVGRKSKVFHNKSQAVSKLASLQQFIAHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGADNFGVQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFTEEELDYIANLDPVKDAEMLRMRLPFIREACLRVLMLSTTFLKDAAAYGLCLSEIGEMMSRPFTGKEEEPSELELLCMEARKLVEDRELFLPEVRVEDDDDGVTQFSLDNEDVSDEFELPSFSKFGPMKANHSNPLSKLDECDEEDDEVEEDEEDDDDEQVIKYDAFNLKNPFSKQIPSVSKLSASFKGLGFLGKARAYRKGVPKSKLIAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGPEEWSAFLDKLKELLPSAFRARKHTAAGGPRPLQRLGTSCQF >OB09G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10106472:10106759:1 gene:OB09G20370 transcript:OB09G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEGVRLVTEWVADLNTTDVSRAEWGGIISEVHVNKIKRVSNRIAHTLAQMALRSGIDAEWKLSAPAEILDLLNQECNPMFSH >OB09G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10116539:10123309:1 gene:OB09G20380 transcript:OB09G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVLLFWRWIHPWLSALLPNPWRVVVFAPRNAGNKATTHEHDFLSLCTAAAKDSSSLQLHDAKPSPPSQDLRGVAEFGNFSGKSRSGRAEEHKSIGHHHHHQWTLPFAGVAQVATAARQPQTQAQERKVRVGGGGFMDSGSRSSGGAGFDDDDGLAARREVSSSLKELAVRREGKGEGRSPHALMETEMYSRCSQPSHIHQLHARLLVSGRLSCSPSLALALLRAACRVRATPCLRPLARHLLDQIPRPSPHLLHASARLASRLRLPSLALRHYVALRTHHPSFLPPAPAIGDVLRSVRGRAAHAHALRLTAHAGDVRFLHNTLIAMYFTCGDAQRACLVFEGMRDRDVVSWTSLISGLVQNGSPLQGLQQFAMMMRGAIRPDFVVLVTIVKAFMELYDLPGAESAHSLVVKGGFHDEQDVLITLTAMYASFGCLVPARALFDIVPPHQVNVILWNAMISGYSKNGFASEAVHLFKHMQMVARNVVPDSITMRSVIFACAQLGSTELAAWMEDYVCRSEYKEDVLVNTALIDMYSKSGSIAHARAVFERMHVDDRDVVVWSALIAGYGVQGLINEACTLFEDMMSDGVRPNGVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYKFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFELEQSNAGHYVQLANMYASAGMWNQVAGMRVTMREKGVTKATGCSSIEVDGEMHSFHAWDHSHPRAAEIFALLCLLSPTPTGVGGFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKYETSAPEWNQENAKILPWSNIYFRSFWKNSQSKGQTPGDDLPDPSQFIRNGSSSGFNFTGKPDDNHTMVTSAAASGAQEQLETDHTASVSYRSAETPTNITSMIDNQQLIFTVIVPVTFLRPELAIDEGTISLSTQYSQQYVFKNLTHCYCVTLARKNALMHRLLGTLTHALESSGVDLSQASLSVQINLGKRAVKRPGGDGSSSSKELPNPSSNNENMGHQLTMLGGGAEELPHSTKRHKSGNS >OB09G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10143274:10149932:-1 gene:OB09G20390 transcript:OB09G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G69020) TAIR;Acc:AT1G69020] MRARLPPSAASPPQPWGPWLYYQHVPEGKEYPVLSRRLRSCGGLARAALDLISGLKKEQVLLDWNEIAEKYGYVHIGSCRMSPDHKYLAYTFDTSGDEFFSLEVNDIQSTNTIFSSPHKGIVSLAWSCNSDNLFYTVCDETLRPNQVFCKNVQSEEAGFLVFMEKDINCCVDITSTKDFKYVTVNSNTRTSSEVYVMESGYARGGLWPVQKRADKVQYFLEHHNGFFYILTNAPLEGAEAAAGGYYLARCRAERSMMDKWQVFALPGSDYAFQDMDIFHEHLVLFLRKKGLPLFCSINMPTDVDFLERKELDDLDPWFFPVPSDLCSIVPGPNNDFMSSTYRLVVSSPVLPDLTVDYNMGMKAFAILHQEEVTDLTSNQCTVGLESNITGIQQSLQLIEDSQSWSDLSKLFSCERIHVLSHDGVSVPLVILYSREAHRHGESPGILYGYGAYGEDLDKSWCSDRLSLLARGWVIAFADVRGGGDSSWHLAGTKANKMNSIKDFAACGMHLIKEGFVHKNRLCAVGCSAGGLLVGAAINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTVLDYEEFGDPNISAEFDAIRSYSPYDNLTPGLCYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSIILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDGNDTAAK >OB09G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10154884:10156309:1 gene:OB09G20400 transcript:OB09G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTIDPCRCRCPSPLLRHTATRRRPQPSEWGGSRCRMGPRGGWPRHFCKSCRRYWTKGGSLRNVPVGGGSRKSSSSSSSPASPPAKSPKRSKNSKRRRVSPPPPEPTPTPHTTTDTTTTTTTAADVAAPTGPQASAKEAPEHLAVAPTQPAEGGGGFTDPSVALGLGLADAGGGKELLDSSPFEWPSGCDLGPYWPTGVFADTDPSLFLNMP >OB09G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10156907:10157236:1 gene:OB09G20410 transcript:OB09G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVSSVPPPVARRAGRGRHIAAGTATWLCSCPRRVFALSAGSGDVDRADAGWPPVSRGCRRNVCHQCCPYSYSDFTFENILFIYRHIFIRIWNEEKYQWLFGSGTFP >OB09G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10159895:10162563:1 gene:OB09G20420 transcript:OB09G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGNGPRTRARGGLAAASAPPSARRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLGGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLSEKESLIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRTPYPRERTDDSSLECEPVQLDEIAGDIDMEKMEHEMSAYVTALAAAKDNPTDEFLKAVTEARLKLQAFVL >OB09G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10165747:10166829:-1 gene:OB09G20430 transcript:OB09G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQPGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASERRREREKDLPPPPDWPERRHRDERDVGRERERERERDRDRERERDRDRERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSPE >OB09G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10187877:10194848:1 gene:OB09G20440 transcript:OB09G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIQRSRSRQRNIEDRLREKDQAANGSSGGLQDVTEGSKLAGVGINSTTESSSSEPCGAGGANNAGTTSCFLGQENDLNANKRNSTEFLKCSNGNEGVRLDCSPSMVLENHITSSDNNAKVPDDCSARDSSRAQVADSICHPLPETHLFVEPKILQFEGVESVCMKFSSEKMRQSLESAHLDVSEAHPLNESPSSTGCYHVPCSTGSSSLAGVELGFLNPDSATLKQHPQYSSLDLATTRSRNVDPSPTISSKVLDYMSDPLVERNAYCIPDINSLEGQCSKVGQLLEKEETNAFSVADPMLETDALHCTENTEIIRNLVTHDSKPLEQQTTDSHVLPHPCSGSVQLADISSGPPSLGILPNSFLEEDGLDHLSHSDTNDTNSQCSPYRAAESPDLLPPRHVNSGDVYRQSLSCCKSQKNNKNSNGCAEIEDSTVSIEKPSSFHEQYLLDRPPMELNENFADQDIPLGHTLGTHSETLKGKMVAVLVNCHSGKMVADSQRKGLTEASVFSYRENESTGQKVASNISTGAMHITERSGYSAMNCTGPQQDGTERETSLFDSAVQINANRCTVENNKHMKSSRPSVQYSLRSLMSHDRSNMLQSEGRSAASDQKRSNADGVQVNGGSSSKRRRINRQPDTALSISPDTDSLSANHQVDIDGHVLTLECFSGKSQPSGHYFLRSFGSSECIPDKSVRKNAASHCKIPVSNTHNKNSCSSPERNKKGSLDNENGTSPGQLQNTLDVVKTTTALPSCYGTLIDNEASCTEEENPCLEGKHTNDANSSVAHQQMTLQIDNIASQSVILDSKNYSRVNSTTMSPSCVSDQHGDQAYAPSALVHENLSHGSSSEVDRGCKSNGSEGCLLSATAITRQEGDEYINCDDTMPEFERFDVPIQFDSPSVDRRTSEALCESRKLVTLSSKFSYYDTNTASGVHRLLSTMSGKPIKCPFPDDLQQYSANNDRSINDIFGPCGLGFDDSLSMYDVVASCSSNSSNRQENNDNPLTPSVEKYGLGKLSAKSGSSSEQMGSIPELECFRIDEHSSIAEENEHQGILHGSVGLNSSDQLPSGRKALQDITGLCQNTVNSASLSSIFMDTGSELNHPADIISDHASDKPNDSLATSTKRKGKVSCSLRPRLRRTELHNRNGGHQSEANIDKQSKPSNIVANVASFIPLVKPKLQPTTACVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLERERLKQEKQLKQKQEEEQKKKRDADVAAKKRQRGEEERKEKERKRKCTEEAWKQQKQPTDKKHSVNDEKDAHHKTSDNKELRNDLVDAVKVQVKPDGRTTAPALEYRTTNCNNEKGVVVDERPASIGSHATTSIPNSLEEPYQMSPYKDSDEEDDDFEHEEESRRKRKFIPSWARKENLDKLLLANHTLDPREIFAQKCSFNLSYVLPVHTPQRGFR >OB09G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10197122:10197802:-1 gene:OB09G20450 transcript:OB09G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAGGHGGCNAVVATASMDGTCKVWALKNGHNLRTLSLPCIAFSLTLDRPAARLFAGGSDGSVHVAPLGSAANTTTATTRSWHASGSTNAAIVGIGMANGCKNLVTCTEDGNASIWDLASGSLAASFRIGGGAVTDATVLKKSAAAVSRARNDGTGFTVRDGEDWRRAGEVARMEQTLRESEVDKARSVELVEMAVGGYKRCLRLMLREVTTARRPNDGKDDHTSAND >OB09G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10201854:10207783:1 gene:OB09G20460 transcript:OB09G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MSYVERTLGFSTRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKMFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKETNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKDEALKLMKWNNSDPHFSLRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQTVLQAGLLKEGMCAVQDESAGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIQALDVNKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTVKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELTCLQDELLDSASMLVKPGGILFYSTCSIDPEENEHRITAFVQRHPDFVPQSVHGYVPAEFVTKEGFYSSSPTKHSIDGAFAARLVQSVF >OB09G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10208613:10215029:-1 gene:OB09G20470 transcript:OB09G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETNRRRLFATEKMGGRAVYRFQAATMAAGILLVLYYRATRVPAAGEGWAAWVGMLAAELWYAVYWVITQSVRWCPIRRRTFKDRLAERYEENLPCVDIFVCTADPHSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESESHHNLCTPKEWSLIKNLYEEMRERIDTAVMSGKIPEEIKLKHKGFKEWNSEITSKSHQPIVQILIDGKCRDVVDDDGHELPTLVYMAREKRPKYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNCSDSIRDALCFFLDEEMGHKIGFVQYPQNYNNMTQNNIYGNSLNVINHVEMSGMDSAGGCLYIGTGCFHRREILCGRKFSKDYKEDWNRGIKERRQENINEIEDKAKYLVTCTYEHKTQWGNEIGVKYGCPVEDIITGLVIHCRGWKSVYMSPQRAAFLGVAPATLAQTLLQHKRWSEGGLTIFLSKYCSFVFGHGKISLQQQMGYCIGGLWATNSLPTLYYVMIPPLGLVKGTPIFPEITSLWAIPFIYVFCMKTIYSLYEALLSGDTLKGWWNGQRMWMIRRITSYLYGFIDTIRKLLGLSKMSFKVTAKVSDDDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSLWYIPWNVFLLQFILCGMIVIMNIPIYEAMFVRKDKGSIPSSVTFAAVGFVMLAFLVPIV >OB09G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10210920:10212135:1 gene:OB09G20480 transcript:OB09G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCSAYKYIHTRWSEFFVKRNRRTPMKVSNPGEASERRNCRLSPSELQFKKGDEVTTVGVDKNKTFNWMSGCKVRILCEQIILYIK >OB09G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10215857:10219444:-1 gene:OB09G20490 transcript:OB09G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETNRRRRRLFATEKMGGRAVYRFQAATMAAGILLVLYYRATRVPAAGEGRAAWVGMLAAELWCAVYWVITQSVRWCPIRRRTFKDRLAERYKENLPCVDIFVCTADPHSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESEGRHIHNLCTPKEWSLIKDLYEQMRERIDTAVMSGKIPEEIKLKHKGFKEWNSEITSKSHQPIVQILIDGKCRDVVDDDGHELPTLVYMAREKRPKYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNCSDSIRDALCFFLDEEMGHKIGFVQYPQNYNNMTQNNIYGNSLNVSNHVEMSGMDSAGGCPYIGTGCFHRREILCGRKFSKDYKEDWNRGIKERGQEKLNEIEDKAKSLVTCTYEHRTEWGNEIGVKYGCPVEDVITGLAIHCRGWKSVYMSPQRAAFLGVAPATLAQTLLQHKRWSEGCLTIFLSKYCSFLFGHGKISLQLQMGYCIGELWATISLPTLYYVMIPPLCLVKGTPIFPEITSLWAIPFIYVFCMKTVYSLYEALLSGDTLKGWWNGQRMWMIRRITSYLYGFIDTIRKLLGLSKMSFKVTAKVSDDDEAKRYEQEILEFGSSSSPEYVIIATVALLNFVCLVGGLSLWNIPWNVFLLQFILCGMIVIMNIPIYEAMLLRKDKGSIPSSVTLASVGFLMLAFLVPIV >OB09G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10222341:10227821:-1 gene:OB09G20500 transcript:OB09G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETNRRRLFATEKMGGRAVYRFQAATMAAGILLVLYYRATRVPAAGEGRAAWVGMLAVELWYAVYWVITQSVRWCPIRRRTFKDRLAERYKEQLPGVDIFVCTADPHSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESGGHHNLLSRKEWSFIKELYEEMTERIDTAVMSGKIPEEIKLKHKGFDEWNSEITSKNHQPIVQILIDGKSQNTVDDDGNVLPSLVYMAREKRPQYHHNFKAGAMNALIRVSSVISDNPVILNVDCDMYSNNSDSIRDALCFFLDEEMGHNIAFVQYPQNYNNLTQNNIYGNSLNVINYVEMPGLDSAGGCLYIGTGCFHRREILCGRKFSKDYKEDWNRGIKERGQKNINEIEQMAKSLATCSHELGTQWGDEIGLKYGCPVEDVITGLAIHCRGWKSVYMSPQRAAFLGVAPSTLAQTLLQHKRWSEGNFTIFLSKYCSFLFGHGKISLQLQMGYCIYGLWAANSLPTLYYVMIPSLGLVKGTPLFPEITSTWATPFIYVFCTRIIYGLYEALLSGDTLKGCWNGQRMWMIRRITSYLYGSIDTIRKLLGLSKMSFEVTAKVSDGDEAKRYEQEIFEFGSSSPECVIITTVALLNFVCLVGGLSLWNMPWNVFLLQFILCGMIVIMNIPIYEAMLLRKDKGSIPSSVTLASVGFLMLAFLVPIV >OB09G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10237999:10240087:-1 gene:OB09G20510 transcript:OB09G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAAAVALFTKLLMMEHDATDQERGERKIKNSHPDQGKVRMLSREEWDEIQEVRPRTPFESKLARPHARIRTGEPVRLENVKDWATDMIMDAFTRAEESAKKK >OB09G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10245231:10249252:1 gene:OB09G20520 transcript:OB09G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSLLQSMPGQAAKPKDTGEDERQGGNSEIVSEERTPSDKQQGSPVSMLDKELSGISSESGTLDDSLVVEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVVKSANPTLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKPKLDAPNQATLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSANGKVAPASNQKGSDNYLEFEFF >OB09G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10255747:10256400:-1 gene:OB09G20530 transcript:OB09G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLVLYVLYNAVVSLAALAAVVRAALVFFGLPAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPTHARRWRRGLRRKRREDSPPFERAARAGYAPPSPGGLPVWA >OB09G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10260153:10263931:1 gene:OB09G20540 transcript:OB09G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATGAWWHIDHQRASESSSFWSSGGHGGFFHLKSKKKKSQFRGVLYQLPQNPKKDLHSFTGSFKLVILVLYSAHLLMIGLGHLLTGKVGCSIWTLMENTVVQPLSPPAIRMTQDVTEQILVRLPVSSLVRFHSVCKQWCRVISSPRFITEHARRAPEQLLLFLPRVDASAAGIRAVKPGQAMVFDEKWSPSTLASSSSSSSSMDADDHLFASCNGLLCFYGQHAVKVANPVTGDCLLVSKPDGILLHDFYYLYSFGFHPVSGEYKLTHFPREPRRYRSGRPFHFDAIQVHTIGDDKWRDIRAPVECCLVNLGVVHVDGAMYWLTEDEERRSSGSGSGMKIMSFDLKEETFSPIQPPPLLQDQAKHSHNNRKLTHYLSEMDGKVCLVTTPFHSHVPWRRYNTEIYGRMDIWILEIQTEFTEHEWRLKHSIGSPSLSLLYAPQPCFVHREKILLHDEGNAFFQDLQHERGLKIDIELGGMEVKPLMSFRPHRYYETQAYFYRETLCSPVPVLEAHYDNSVKCQRKRNL >OB09G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10264389:10268764:1 gene:OB09G20550 transcript:OB09G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRKPREPTSGEGSGRRAAAGVRRCVRRSGRSHEQSMASNKTMAMEPSKKSYMAWLPQDIVELILVRLPVSTLLRCRGVCKQWDRIIRDTQFGMAHIRCAPCRPLIFFRQENLGQLLYPCEAILFDEAWSPSKWDIPVIEPDDFLCASCNGLICLYSTKSTIKIANLATGECMHLAKPVRNSKTDHFSYYSFGFHPVTKQYKVMHCLRDEQLHDGTSFSTIQVYTLGDEKWRDVRTPQALSLRCVERSGAVNVDGAMYWLTEDAKSVWKRVVVAFDLSEELFWWLQLPLVDPASCMLGNPDQLLITVTDIDGKLSVATRSYSGLIGKMHIWTFDSKLEQRWIQKCTIRLSVLNVPGPHWICGDKIILHDFYRNLHFYELMEENSEIELSKIVKLLDFSPRQENNMQCFMFAKSLVRLDAFRKAGVVRRPKRQEGWKLKKWEVWMGSIHRLEKYCRRSCEMQHKISECADKMGIKINLILQQLPDLQASSLQPINWVEYKRVLEILSVNLDNMHDVLVVMTQAAHDAHHKENTHVADQGASSSAVDRSGS >OB09G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10270155:10270654:1 gene:OB09G20560 transcript:OB09G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHCRFLQAWNDGWPEEQNRYDCLSYIFSLLLILINNIVITQVFLSMLNNLRAKTAWRRCCGVLHFKKGAGVIECCRHGVVQAAASRRRKREMLLLELRLFTRMQTKSQSGSRQAQA >OB09G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10273120:10280790:1 gene:OB09G20570 transcript:OB09G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVSCNERPEKCPNVRELTEELAVKERTASRHDQGSLSLMPMMSSQQEAAERIISDQRTDWFPLTERTLVLSGTNLTGEIPPDLGGYGELATLDVSKNQLTGAIPAELCRLSKLESLALNSNSLRGAIPDDIGNLTALTYLTLYDNELSGTIPASIGNLKRLQVLRAGGNQALKGPLPPEIGGCSDLTMLGLAETGMSGSLPETIGQLRSIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPPQLGQLKKLQTLLLWQNQLVGAIPPELGQCKQLTLIDLSLNSLTGSIPATLGGLPNLQQLQLSTNQLTGVIPPELSNCTSLTDIEVDNNALSGQIGIDFPRLLNLTLFYAWRNRLTGGGAAGCPSLQAVDLSYNNLTGTIPKQLFTLQNLTKLLLINNELSGFIPPEIGRCGSLSRLRLNGNRLSGTIPAEIGNLKNLNFLDMSNNHLVGPVPAAISGCSSLEFLDLHSNALSGSLPETLPRSLQLIDVSDNQLAGALSSSIGSMPELTKLYLGKNRLTGAIPPEIGSCQKLQLLDLGDNAFSGDIPSEIGTLPSLEISLNLSCNRLSGEIPSQFAGLDKLGSLDLSHNELSGSLDSLAALQNLVTLNISYNAFSGELPDTPFFQKLPLSDLAGTRHLIVGDGSDESSRRGAISALKVAMSVLAAVSAVLLVAATYLLARMRRGGGATGRIIHGEGAWEVTLYQKLDISMDDVLRGLTSANVIGTGSSGVVYKVETPNGYTLAVKKMWSTDETTTAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYSYLPNGSLSGRLRGGGAATKGAPASEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKAMNVLLGAAYEPYLADFGLARVLSGPASKLADSTMPPPPRIAGSYGYMAPEYASMQKITEKSDVYSFGVVVLEMLTRRHPLDPTLPGGAHLVQWVREHVQAKRDAAELLDARLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRSSPRRRRHWPAPPLPPRRRRRPRRARRTRRRAAPSPSRTTPSENFWNLSTAIASSN >OB09G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10283212:10285565:1 gene:OB09G20580 transcript:OB09G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKMMGATTLHGVDAKTMKHHTDLKMRRFDRIVFNLPHAGFKGKEDDVHLIKLHKDLVRGFFHNARHLLRPYGEIHVSHKRGRVYDKWNIEQLASESSLIMVEKVDFHIEDYPGYNHKRGDGRRCDEPFPLGPCCTFKFSIRDLKKKKKGHSSRICSTPSLGGSNVHPEILASDWSPSQPFQPLRTWHWSHFQPPVNAVNMPVTFGLYSAGIAQRHQLYFPGNFVGLLGSNCVFSSSREYPSNTQHPKAIS >OB09G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10292943:10295521:1 gene:OB09G20590 transcript:OB09G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRLGVTVLHGIDAKRMKDHTDLKLRRFDRIVFNFPHAGFKGKEDDLRLINLHKELVWGFFQNARHLLRPYGEIHVSHKIGMPYDSWCIEHLAFESCLIMIAKVDFRKEDYPGYNQKRGDSAKCDQPFDLGACCTFMFIRDLERLKKVRGNRTRAFSSPVGDIPFHPLVPAYLHPHFPSQASAIHRPVPPGRPHGIAHGPGFPVPPELPRGGMVRDPYFHHQGAIRSVFGMPGPPLNVLPPIDGTPPMIRITRSRFHEPQEQPWHQERYIVDPEVRRDDYYRFAREYPRNLQEYEMQRQVMPGGSSLRYIDFLENRFEESVERQQQLRRMVARYGGY >OB09G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10296279:10299966:-1 gene:OB09G20600 transcript:OB09G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVLFVIKKIGIAVAGETLKLAKPLFANKAELNNAELVTALPVNMKLIKDELEVINAFLKELGTNGCKGELVETWVRQVRRLAHDMEDVVDEFMYVVGKRREKESRAYVKKIIKKPQSLFSLDEIATKADSINRQLVELSKRLGRWTKPILSGSSIPAINYDTDQQLYLPGHDYSINDSELVGIDKNRQTLIESLCLEDCSLRTIAVWGMGGLGKSTLVNNVYKSEAIVSNFNFCAWLSISQSCRVHDIWQNMLKELYGKDSREFSTENMSYAKLKVELTKILDQKRYLIVLDDVWSAADYLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKISLEPLDDHDAWLLFCRKAFPKIENHLCPPELQQCGMDIIKKCDGLPLALVAIGSLFSFKSKNKKDWRLFYNQLISELHNNENLNQVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRFWISEGFIEQKGACSLEDVAEGYLMELVQRSMLQVVARNSFNRIQCLRMHDIVRELAIYQSKRESFCTIYDDTHGVAQLGSDSRRVSVLRCNNSIRPSIDPFRLHTFIAFDTTSMALSSWPAFIPSESKYLSVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKAFPKSVTKLRNLQTLSLERAQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWQSMEPFEGLWDLKELQHLNEVRATKVFVAKLGNLAQLRSLCITYVRSSHCIQLCNSLSKMHQLTRLNIRASNEHELLLLDDFTLSNPLEKLELAGQLSEGTLESPFFSGHGNKLLRMELSWCQLTLNPVARLAEFSSLTELSLTRVYTGHWLNFHANWFPDLKKLVLWDLQQVKQIFVQEGALANLHYLHIDSLMELRDIPIGIEFLASVKEAYFTRMHSDFVRNLQMGKINHIPKVHWSTQGMPTDLMELADLPGASYRTNTNPEWRILGGSGWVFI >OB09G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10300928:10301176:1 gene:OB09G20610 transcript:OB09G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTNYTLDLFGWKLHTQRDSYFFFEWELCIQCVSNIFIEITQSTWRDLIRSNTLTQDPFLWLSSQFLFYNILVFKKAKNHG >OB09G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10306899:10312066:-1 gene:OB09G20620 transcript:OB09G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGITVAGDTLKLAIPLFAKKAELKKVGLVTALPGNMKQIKSELEITNSFLKELGMNGYKSEVVETWIKQVRRLAHDMEDVVDEFMYVVGKNKHKESWACVKKVIKKHKPLFSLDEIATKADMINRELGELSKRLDRWTRPLSSGSYIPLTNYTNEQQLYLPGHDYSINDNELVGIDKNRQTLIESLHLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEAIISNFNCHAWLCISQSYKIHDVWRNMLKELCGEENRGLDAGNMNNTELRVEMSKILHQKRYLIILDDVWSVADFLKIREFLVDNGLGSRVIITTRIEEVASIAEDGCKISLEPLDNHDAWLLFCRKAFPKIENHVCPPELRQCGMNIVDKCDGLPLALVAIGGLLSLKPRDKNEWRLFYNQLISEVHNNENLNQVEKILNLSYKNLPSYLKNCFLYCAMFPEDYIIQRKRLIRLWIAEGFIEQKGACSLEEVAEELPGLPIEIIPYSIGELFNLRYLCLNDTNVKEFPKSITKLLNLQTLSLERTRLLNFPRGFSNLRKMRHLLVWKSVDATYKSLNNWESLEPFEGLWNLKELESLCEVRATKAFVAKLGNLSQLRSLCITYVRTSHCAQLCNSLSKMQHLTRLHIRAMNEDEVLLLEDLMLPNPLEKFDLLGQLSKGTLESPFFSTHGNELLQLELARCQLELNPVALLSKLSNLTELRLTRVYTGQQLNFHANCFPNLKKALLWDLQQVNQISIQEGALSSLQYLHIDNLMELRDVPTGIEYLSSVKEAYFTMMHSEFVRNLRAAKGCLGNLLTCLENPVPPHNGTQPIKHDDQARSFSSPLYSEAVAVHKYRVVVDYIYTRQSVDSLHPTLFGPYAPIDQQQQQRRGSKRYAAAAAHYGTAAAATADSGVGGGDLRPGLQPPRAWAVAASGVGGAGPVFKNLM >OB09G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10324408:10325791:1 gene:OB09G20630 transcript:OB09G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEKLMPSLALHKLPTLAGDATGATTSAAIPNPLAHHPLYHPSGSFFVSPTDVVLRDILLDASSASGGPQRQAAQQAIAAGHVEAESAVNGVGLVKLMGRSAGHIALHATLSSRDVDCCLIPEEDFYLRGAGGLFEFLYHRLKKNGHAVVVVAEGAGQGLIPRNTTAPKDNAAVAVAAADESGNPAFLDVGAWLKAELRAWWEEEQGGELFTVKYIDPTYMIRAVPANAGDNLYCTLLAHSAIHGAMAGYTGFVSGPINGNYAYIPMAEVAEAENPVDTMDHKWAWVRSITNQPDFLRAGLTS >OB09G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10327031:10334928:1 gene:OB09G20640 transcript:OB09G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLKNPPALLAVALLISTSLVLLQRPASAEKKSYVVYLGGHSHGRGGADLASNQERAMNSHYEFLGSFLGSEEKAKDAIFYSYTKHINGFAATLEEEEAMEISKHPSVVSVFPNRGHRLHTTRSWEFLGMERDGRVRANSIWAKARFGEGIIIGNLDTGVWPEAGSFSDDGMGPAPARWRGICQDQASDDAQVRCNRKLIGARYFNKGYLATVGQAANPASSRDTDGHGTHTLSTAAGGFAAEYLRDGVAIGSFHAVRRGVAVVCSAGNSGPAAGTVSNTAPWLVTVGASTMDREFPAYLVLANKKKIKGQSLSPVRLPGDKSYPLISSENAKAANATLSQARLCIEGSLERGKAEGKIVVCMRGKNARVEKGEAVRRAGGVGLVLANDEATGNEMIADAHVLPATHITYSDGVALLAYLNSTRSPLGFITVPNTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDSRRVLFNSESGTSMSCPHVAGVAGLLRALHPEWSPAAVKSAIMTTARVQDNMRRPMSNSSFLRATPFSYGAGHVRPSRAADPGLVYDMNATDYLGFLCALGYNSSVIATFMAGDGHPYAACPARPPRPEDLNYPSLTLPHLPPSGGGRTVTRRVRNVGAAPATYEATVVEPHGVLVAGRPSRLGARVRGGRGGVGVRRHVPGKGRVVLGGGVRVRAAGLVGRRRREAPRPEPARGEGRGQEEEEQECLVHFLIEFLP >OB09G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10334581:10334962:-1 gene:OB09G20650 transcript:OB09G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSKRLRKRIMARTQSRNGQGILALLLLVHDPRHERAPDAVPPAGAVRPDQPPEHVLPRQERPFLCPERDGELQLLPDRRELEPRARPPRHQHAVGLHDRCLVRRRRGANVPHPPRDGAPAAGR >OB09G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10338030:10343915:1 gene:OB09G20660 transcript:OB09G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MYI7] MVHAEQVERMVGDVEALMVTFSREDVLARGVLDREDEPSLAWPQVVAASDVSLAKDLTIERLGEHKNRVLSATDDWQVVEAVSRNVNPALEKPDAIVSTKDHRSGIADEVSTEGNGGGQSGQDGVILEVVGRDRSSDGFSEPGEINEAEERDGEKIKEIKSDDGVEGQNDGAGETGVNNVAGMHATGNLDSSLQKERIADRLSEQITNTNLKESYTRASNNNYALPTATAIPGSATTSPDATIQTIKDQLTRAKTYLTLVASRGNHGFARELRARMRDIQRMLSDATSNGQLPQNVHRKIRAMEQTLGKVKRIHDSCSGAVNRLHAALHSTEEQLQSHKKEANYLAQVAAKSLPKGLHCLPLRLTNEYYSTNTNNKKFPHIEKLEDPKLYHYALFSDNVLAAAVAVNSTIVHAKKPADHVFHIVTDRLNYAAMKMWFLANPLGEATIQIQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKNGQARRDENPKFRNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQQDLSAIWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIANNFDPRACGWAYGMNLFDLSEWRRQKITNVYHNWQRLNENRQLWKLGTLPAGLVTFWNRTFPLDHSWHQLGLGYNPNINEKDIRRASVIHYNGNLKPWLEIGLAKYRKYWSKYIDFDQVFLRDCNINP >OB09G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10345362:10345628:1 gene:OB09G20670 transcript:OB09G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACALVVSFAVFLCGHSSGVHSDDLPRKKPMASSSKKARPVSGTVVDTTGRCTAAYGVAVVGGCGGGCGGGGGGGGECGGGGGGGC >OB09G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10350926:10351456:1 gene:OB09G20680 transcript:OB09G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSRDPPPRRRCAGHRPARLLLCTPAVMYQLNLFKPS >OB09G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10353703:10354030:1 gene:OB09G20690 transcript:OB09G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVLALAATALMVVQPGRQMTVVFAARTSAAAEAFWRAAMPGASMPDAILELLHHGEPVN >OB09G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10361855:10366667:1 gene:OB09G20700 transcript:OB09G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHAEELEISPCDPNSEDDRRRRGMGSLRRKAIHALRKRGRRRRVDFRYPAAMSIEDVRDAEEELAVAAFRDRLAAHGLLPDKHDDYHMMLRFLKARKFDSEKAMQMWAEMLRWRKEFGADTILEEFEFDELDDVLRHYPQGYHGVDREGRPVYIERLGRVDPNKLMQITTVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSMKGFLDPKTSSKIHVLGTNYQSKLLEVIDKSELPEFLGGSCVCSEVGCLGSNKGPWNDHVILKLIHSMRSSSSMREIKQVSDSEDRSGSSLRAEKLKGLISDVSNCESESDIDEFSLSAVQRGTDYSFLTPVSEEVKGSDSSTFCSCESCDRKGLPDVTPESSQTVQQSSEMVPEQLNSHRHSSTIEQINNLGNTAIILHGTLTGRTVGNFIRGVGILMIKILCFFSLFVSWRVNMQENDHPSNATGNPQPQSAMEDGISACLQRLEKLESLGNHLASKPPDMPKEKEHLLLQSFDRIKSIEADLERTKRVLHMTLVKQMEMMETLEAMQQMQSSSVRRRLCCS >OB09G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10367259:10367606:1 gene:OB09G20710 transcript:OB09G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXGGGEGGAEPVGGDQPQHGGVPLPGPLRLLQLPARERGEAGARAERQDPLRRRRRARQGVRRPAQVQRPRRLQHRRRSRLGLARPHRRLLHPRHLQQRIQPKLLLISSTSRACNL >OB09G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10367302:10371337:-1 gene:OB09G20720 transcript:OB09G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANSANSVGESVAESGAESPAPVLYDDAEHSDGEPPSEEARSGGAGGFYRENGSVVGRLVKGSSDSDADDHHYDDEGSIGKGENGEIHSGLDPYAQSIAMLQSTEEAIENEIQKFIELRSETCENSTNNHSETEWSSSCHFDESEQLGEELKLLESRLEEASVLISDKDSRILELDALNHKQPEKHVVCNSELLSLQSDMDQLFMEKMEAETQCFILTRASQAWKPPTEDQADLLYMQKFLPEDCKDLEAKLRHTENRAVMLEEMVEKLEAQCKDLARTSEILKLQARANRARLFCCIQFVLLCIAVGTFLVRLLPSSPEIVPT >OB09G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10372752:10376878:-1 gene:OB09G20730 transcript:OB09G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDKLDILLRKMEEAEQKHEASEERFHSGLQELKSAMEGRISIVEQKVEELSVTVGELSDWVAMMQLKWEEYAGEAVPHNNGTGKSKRSREEDDPKSPIFVHQRNPPSCEYSASHTPHFAFLALLATIIVRYLLVHLTNTVAEYVERFDAVMHQLMAYEESAPPTYFVTRFVEGLRQDIRMVVIVHKPQDIDTACSLALLQDKHTVAYVSRSLGPRTRGLCTYEKECLAILLALQQWRSYLQHKEFIILTDHKSLMNFTDQRLHTPWQQCAYPKLLGLQFRICYKKTRVISALHDSPVEGYSGFPVTYRKVKSLFAWPRIEQQHHLHHAQQIMKLQADKRHSFREFQVGDFVYLKLHPYVQSSVAHYANHKLSFKYFGPFEIVQRVGSVAYCLALPASSFIHPWSSGYAKEATWENLDELRSHFSHALAWGQAILQGRGIVSAAPESQPDSAGERKETAAGGGSHLHSKTLLKSVPLYQYVLESTVFPREPDCLRELRLATAKHPMAVMAASPDQVQLFGLLIELLGARNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDEVGAPVIEKAGVAHKVYFRVGLAMPVLDQLVAEEENRGRFDFAFVDADKANFLGYHERLLRLVRVGGLIAYDNTLWGGSVVSEPDGALPRLTREFNAAIAADRRVQPCQLAIADGVMLCRRVA >OB09G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10382216:10384690:1 gene:OB09G20740 transcript:OB09G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLRSCDVYIGFHGAGGALPRVCKWLKSELELQGIASFMADRARYSDTQSHEVADRIICSVTFGIVVVTMASFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAEMFDDKFEGKEGVEAFEGLMRCHEFKLETDESNWRNCVSRTATVLQWKLGRRCSGEKENQGVEYLPFPRNPHFVGREKELSEIEGMFFGRAEDVEVLECPRGSMTTGESSGVSDGFADEDSDTVRISNGRFISLDLRRCKQPMLEAFATETSSGKGRSIQKQRSKRKKSRFRCNSKSHGNVSVICINGISGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLIIDNLDNERDWWEGKDLHDFIPRNTGASHVIVTTRLPHVMNIQPMPLPQLSFPEAVTLMKGKMKEDYPSEEIEVLRKFDGRLGGLSFGLWIVGSLLSELMIAPSVLLETVDQISLNDNMLALGANVDSLWQHNLFLIKVLVFCFALMDRVKGGSLALRMISAGSWLAPAPMSSTLLAAMASKLPTKANSIQLWGESLKTALLCGTHCFLAPQARKTEVESALLLVKLGLARKTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAASGVIRSGDTSVYSDHMWASAFLVFGFKSEPPVIQLKAVDMVLFIKKIALPLAIEAFVTFSRCGSALELLKVCTNILEDAEKTLASRIQDLKQGPLCWKKKLQTNNHADDEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSHTLAAQETLAKIVRYRSKI >OB09G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10385926:10386787:1 gene:OB09G20750 transcript:OB09G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCSGELAFKMEVGFFDTVGDIKQRLQSYRGWPAAGMSLFHNGDLLEDAGGSAACAQREGGRGRALRLRVNVVSRCGHWRAEIAVSARDEVSALREQLEERVFPLPLDGAYFFIHRQSVMDEGRSFEWHGVETGDEVVVFEGTVTRSPAY >OB09G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10386946:10390320:-1 gene:OB09G20760 transcript:OB09G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSDHGSLMEDWMPPPTPSPRTIMSSFLNDDFVSGSFGNFFSEHESNKPQDQFERGRELVGLSKEVSSQSARPTFQKDASLEPSLLNPTQRSNSHGGLAERRAARAGFSVPKIDTSRAGSSTVVRSPVAIPPGLSPTTLLESPVFLYNAMAQPSPTTGKLPFLMGTNAKSTIPPTTKMDEDCAFGNDTFSFQPHVGSRLPNFAAAEKESSLQSSFTAVKDTSDEKIVKTKISDSKFGDNHSFSDEQEDDETNQNGEFSSAAMCSPAEDGYSWRKYGQKQVKNNENPRSYYKCTQTACTVRKKVEYAQDGQITQIIYKGAHNHPMPPPNHRSGVPLSHTNDLEVNVLDNRGPQAGLNSASLWNNSKNDSLQDVQSEVIETTTAACRPQSSNCDTSIMESQDAVDVSSTLSNEDDRATHGTASIECSGDGDETDSKRRKLDALTAATAAITTTSNIDMGVAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGSSGSGSAPSAPQVNSSQRRQEPAQASFGQFGGTAPFSSFVLPPRNQFGPAASNFAFGMVPPGMAIPMPPLGPLAPTKMVGHPSTMQGFQGLMMPEGEMKTEPMLRPSFPAVNQSSSSFQQMMNRPPFGPQM >OB09G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10400293:10400647:-1 gene:OB09G20770 transcript:OB09G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGSNLLLRFVWMMMAGQVDLAELDGPFVKLRLKGKFWHTRATVLARLGNYLKNRIPEILEVEIEDEKQLDDSPAAF >OB09G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10404517:10406250:-1 gene:OB09G20780 transcript:OB09G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLQPGSFPFCAVVSPVSDETIAVLQQVEGPVSPSELVEILQRTIDEQGAASRQTWLPEELAAAVRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSRKSVQEGLANAKPKVSNGLRPRYPGQAAREASKTAQARPPAQNGTEPSHRTEANTKVMIRFPNGERKQQAFHHTDTIREIYRYVDSLVIPGIGNYQLVRSYPRKTYGRQQLEMTLQDAGFHPSVTLYIEQLQ >OB09G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10412329:10415673:1 gene:OB09G20790 transcript:OB09G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLRQCSLVRGRAFALLAVAALAVVTAPRRIGGAAAPAARTNDPNWHVFRVSSLLPSPACTASKATSNSSALSVVHQHGPCSPLHARRGGAPSHVEILERDQARVNSIHRKVAAAGASVVDPARASKGVSLPAQRGISLGTGNYIVSVGLGTPAKQYSVVFDTGSDLSWVQCTPCSDCYQQNDPLFDPAQSSTYAAVPCGAQECQELDSGTCSSNKCRYEVAYGDQSQTDGNLVRDTLTLSASAKLPGFVFGCGDSNTGLFGQADGLFGLGREKVSLASQGAPNYGPGFTYCLPSSSSGNGYLSLGDAAPANAQFTAMVTNSDNPSFYYLNLVGIKVGGREIRVPTTVFSTAGGTVIDSGTVITRLPPRAYAALRSAFARYMGQYKKAPALSILDTCYDFTGHATAQIPKVALLFEGGATMSLDFTGVLYVSQVSQACLAFASNGDDTSIAILGNTQQKTFAVAYDVANQRIGFGANGCR >OB09G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10414339:10415814:-1 gene:OB09G20800 transcript:OB09G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMIFLFIQSFHFRITATKLRSVSIILDSFLYILANQTSSRTPQLSAASIGAEPDPLVGDVVRDGERLLLRVAEDGDGRVVAVGRERQARLRHLRHVQHAGEVEAHGGAAFEQQRHLGDLRCRVAGEVVARVEDGQRGGLLVLPHVPRERRPERRVGARREAGDHRAGVDHRAPRRREHRRGDPYLPAANLDADEVEVVERRVVAVGHHRRELRVRRRGVAEGQVPVAARRRRQAVGEARPVVGRPLRRQRHLLAAKAEEPVGLAEQPGVGVAAAEDEARELGGRRQRERVTHEVAVGLRLVAVRDLVPALVRRAGPRVELLALLRAAGHGGVRRRLRRVEQRVVLLVAVAARRALHPRQVAAGVEHHRVLLRRRSEPHGHDVVAGAKGDAPLRRQGHALRGACRVDHGRAGGGDLAVDGVDPGLVAFQDLDVRRRAAATGMKRRARAVLVHDAESRRVRRRCRAAQTEMSNAMQLEAEQPHLFLSFKH >OB09G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10415893:10424082:-1 gene:OB09G20810 transcript:OB09G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAPPHDVVATAVSVSVAGVGLVDEQQAAGVGILLQILMLVLSFVLGHLLRRRKEMVQFSGGLLPSFLIASNYIISFLKPFFSNFGAIITFAILGTFIASIITGLLVYGGGVIHIIYKLPLAESMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDASLILSNLFKYAALGVEKYMLSEGIGLSGIVSILFTGIVMKRYTFCNLSEDSQRFTGRFFHLLSSLAEAFVAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALAIQSVHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQVVGDSHRYHHPYENFDGNNAGYTGQHYEEETSTSNRFKIKLREIQKRNNGLGELDLSSPVPLRYIINRRTSGQVANQSRVKGLQLQIEKREFAMEASKERRQQQQEERKLAGDTVQLPLETSPYVQYKKDDGLEDYKLRAYGARGHLPVSDVPHGGTGTDAPTVPGTAVPTGKRQPQLFAFQTFRVMKNKA >OB09G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10425500:10430731:1 gene:OB09G20820 transcript:OB09G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVIAVAMFLQAATMAATALSLSLLGCPSSCGSMVIPYPFGVGEGCHLAGFAVTCNRSYHQPKLFLGDGGGATEVLEISILNSTVVVSSAFDAAKGEEGAWGRGLGGAFYLQERRNRLVVVGCNLQAALLDDDDDGQRDIVAACTTICGTRPAGRAASSDDSCTGVGCCQASIYLGLTSYSVQLSPFGTRSTGPPPTTTSSPNLSAALVFIADSEWFAGNASKLGSSARQPGGGGDMPAVPAVLDWAIGKSGCPANGSSDTACRSSNSYCRSSTSTSHGGYSCRGSKQRVPGQSLRCRCQVLLVVIGGSSGVGIPSLFVIAMAVAYILKARKAKKLRATFFKQNRGLLLQQLVDKVIAERMMFTLEELEKATNRFDETRKLGSGGHGTVYKGILLNGGAVAIKESNMAVRKEIDDFINEVAILSQINHRNVVQLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPKSLSWMDRLRIALEAASALAYLHSSASISIIHRDVKSANILLDSHLTAKVSDFGASRGIPVDQAGVTTTIQGTFGYLDPEYYQTGRLTDKSDVYSFGVIIVEMLTRKKPTVFTSSDNVGLIALFNLLMIHDSIYEILDPQVVTEGMENVNEVAALASECLSLKGEERPTMRQVEDILVSVKCRSKSLTTSIEKPAGYTVQCQQWIPYLLTWEFKVSSQSQLAEHSYVDTDKVA >OB09G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10431117:10431617:1 gene:OB09G20830 transcript:OB09G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHNLEEREKMGSQSCCHVKAIFNIMKPRSLQEEQQHNKARTVEAEARQNTLRDILYPPQSFQGEAIGAPSPRRHSPKVCPINPDCSDENSSRNIRDSFSIDRISIRSQNSIRRVSFRLPDESDVFIIPACKDPESYSSDDDESVEHVSEEDIDARKICYDITGY >OB09G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10431330:10435112:-1 gene:OB09G20840 transcript:OB09G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04530) TAIR;Acc:AT2G04530] MADEEEYRRARAQVQRKGVEAEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVSQDYLFITHAHLDHIGGLPMYVATRGLYNLKPPVVFVPPCIKDDVEDLLQIHRRMSQVDLKVELVALDLGETYEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGTQIMKMKKSGTEITDTILYPEVAFTGDTKSDFILDPLNADALRAKVLITEATFLDDQIDVDHARQHGHMHLSEIMEHSQWFRNKTIVLTHFSNRYSLEDIRQAVSRLQSKLSSKGDSIDGEAVPDVTRAIFIRAIRVNWANLWRVPPR >OB09G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10436235:10440636:-1 gene:OB09G20850 transcript:OB09G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAFLLLVVPTFLSAAASPHISAVISQSGLDFVKDLLVSRAAEAIAPLEVPDIERSVSIPVIGTVDMVASGIVLDGLEVADSTAAVGDDGIVVAASLSSVNLTMRWSYSYSAWIVTISDSGNASIQVDGMDVGISMGIKNQNGSLKLFVIECGCNMSSLDISLNGGASWLYQGFVDGFSNHIRSSVQNAITSKIMEGASKLDSFLGSLPKKIDVDSVAAMNVTFVNDPLFKSSSVEFDIDGLFIPSGKTALLRHRHFGSAKYVPPLGSSSKMLWISLDEDVFNSASALYFKAGLLQRMVDKIPEQLLLNTATWRFLIPRLYQQYPNDGMLLNISATSPPSVRINVGRIDATVDLDVTVNVLDFDEIVPVACISVSVAVSGAAVVSGNNLAGRVELDYFSFTLKWSEVGKLHTFMIQSVMQILLKRLFVPYVNSYLTRGFPLPIIKGFSIRDAYILTSQSRIIVSSDVAFIGGHTSYQSQ >OB09G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10441774:10445788:1 gene:OB09G20860 transcript:OB09G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1664) [Source:Projected from Arabidopsis thaliana (AT1G04960) TAIR;Acc:AT1G04960] MAMQTGFATSKVIILVGAGLTSSIVLRNGRLSEVLAELQELTKGVNQGEGSSAYDIALLQAQIRNLAQEVRELTISRPITILSGSSDSGGSLSSYILPAAAVGAMGYCYMWWKGLSLADVMFVTKRNMAKAVESMSKQLDQVSSALAATKRHLTQRLENLDGKMDEQVEVSKVIRNEVNDVKHDLSQIGFDIEAIQQMVAGLEGKIELLDNKQDATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELLKSETKAVKGLEFVLESNKEQKVIDSEPNTATKIDAEKPVKTVDGPVKSGAVHRCSRFSFRKEGLAL >OB09G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10450911:10451159:-1 gene:OB09G20870 transcript:OB09G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMRSSDLSNQYMAIGRINVCSIHHHHQLCCCTNPCSRDVVYRRGLRTEKSRFTDQQLRSEFAVRAFRSIMDRSSLKRAING >OB09G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10458586:10459473:-1 gene:OB09G20880 transcript:OB09G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKHHLAMISCTDRCTFMDGLRGSEEKRMEFKAAIFAAAVAAVLLSSSSPALAQKKSPPAPAPVSLPPSLAPAPAPAPHYVDLAELLSVAGPFSTFLNYLEKTNVIETFQSQANKTKEGVTIFVPKNSAFAAIKQSTFSNLTGDQLKTLLLYHAFPEFYSLAEFKNLSELNPVNTFAGAPYTLNLTDDMGSIYVQSMWSKPKISSSVYATKPVAVYALNKVLLPMQISSKAPPLAPAPAPAPVSGASDLAPSPPSGKAGAGSGKADSTSAAYTVGAGVVNGLVLAAAASLMLLW >OB09G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10460158:10460346:-1 gene:OB09G20890 transcript:OB09G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding QEQERELGQKRAANTWPAFIIVEEVRWWWWCLLTCNAATAATPHMNLIIYSLPFHTNTKLSI >OB09G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10466102:10466515:1 gene:OB09G20900 transcript:OB09G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVEDEGAFNSTVDDRFAALDADRDGRLSYADMAGELMSLRVLETHFGVDKAAMGADELVELYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKRAVERELAKAA >OB09G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10467945:10470269:1 gene:OB09G20910 transcript:OB09G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDADRDGLLSYAEMADELMSLRVLDKHFGVDEAAMSADELVELYRGLFAQFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >OB09G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10469967:10470383:1 gene:OB09G20920 transcript:OB09G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDTNRDGLLSYAEMAKELMSLRVLEKHYGVDEAAMSDDELVELYHGLFARFDRDGNGTVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >OB09G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10470834:10471308:-1 gene:OB09G20930 transcript:OB09G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding STEDLDAHLPEGFSERTSGRGLERREHLGASTGHPPPPGHRRVCDALWVGGTLLWKGSRTADVPMLCCPLYMEQRINKVLMVEEMGVGVEMEGWLQGLVTTAEEVEAKVRLVMESGQGRELGERVKAHSDGAAMVWKDGGSSRAAFTPLLSNLSNA >OB09G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10474971:10475384:1 gene:OB09G20940 transcript:OB09G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIRSFVEDEGAFNSSVDGRFAALDADRDGLLSYAEMANELMSLRVLEKHYGVDEAAMGADELAELYHDMFAQFDRDGNGTVDLDEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >OB09G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10476421:10477458:1 gene:OB09G20950 transcript:OB09G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKSITLEVESSDTIYNVKAKIQDKEGISPDEQRLIFAGKKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYKIQEPSLLDLALKYNEKKMVCRKCYARLLIRSKNCRKKKCGRSDKLRIKKKFISKFAVA >OB09G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10478252:10478857:-1 gene:OB09G20960 transcript:OB09G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINLRHVKKCCKTVSSGCLGQLPVDSHLDEAAFLNHHLHRQEPEAIGDGEHHLLHLSPELLQVDGAVAIVVEPRIQATVQLHELVGAHGSLIDTKVLLKNPEAHELLGHLRVGEEAITVGVKRGEPAVDGGVEGALVLDEAADGLAVEDFYTHVADSQNVAVPEVLDCYRMWLYFEVFLLVWFEERETCNCYLYRGLLI >OB09G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10478393:10478806:1 gene:OB09G20970 transcript:OB09G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIRSFVEDESAFNSSVDGRFAALDTNRDGLLSYSEMAKELMSLRVLEKHFGVDEAAMSSDELVELYRGLYARFDHDGNGTVDLEEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFIKVAVDRELAKAA >OB09G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10479649:10482653:-1 gene:OB09G20980 transcript:OB09G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45880) TAIR;Acc:AT3G45880] MTVETTALHHWIKPRACWICPRVGLYLHCAHGFPGDGPDCCIAMVLTPKLRLAAAANEEAGRSTRRGGERRLVVEDVTKSIATELIEMKSACSQTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAARYVAEPEGEGDPTLKLELEEPERIVPWSSVDPYPPSPEETAAQVSSFPLYFEGPRPIHCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSFEINGSKRIDAPEGDLEETTD >OB09G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10490519:10491579:1 gene:OB09G20990 transcript:OB09G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVEVPVEVTPPDAAVEVEAPKEETPAPAEAEAEVAAPAEVAETKEAEPEPEPEPAAAEPAAEEGXX >OB09G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10501239:10501715:-1 gene:OB09G21000 transcript:OB09G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQPGLSEISHTQDWKKIITLKTLINTHKQHDETRAKQQNTNQATRRRPTEACGPGEGTTASLPLGLHPPSKPQLFFLFMPCHVTHPRHARTPTSRVLPTHSLHATRTYNSIDPPAPARAAMACKPRCTTTTTTASQPASRGGRSLAGLLGLRRGXXX >OB09G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10502449:10508226:-1 gene:OB09G21010 transcript:OB09G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 5 [Source:Projected from Arabidopsis thaliana (AT4G12570) TAIR;Acc:AT4G12570] MHAAWDDTVGAVLGHLAERGFGRDLRLVYAGRQLASKTVLAELCLPPDSTLHLLSRLRSTPYPDAWQLASYIVSIASIARSDPLNSAAVSGLDDLVKEFILCAHRANQRQRHDRDSPIDAQAMGDQAAHYLEIFHEAGVPFALVGLYAAYPHSTIHFYAGNAIKCFLTMDPSALPPDVLPVTAPLLLEFCGMLSLSVGKEDELYRSCRSMLASVLCLPSGLPASLKLKSPSKLIEQVLPFAEEIVDAVMYELASLEMTVSSKNLEDLSNFFKVLRQQALCWVPNGGPLPKNLYNSERDSWVWKLHDISMNLLNRVDECLKKLEMDLSLSSESRGVNVSQSRWVARSHMLVVLTQLDFISMIYEDLAHNLRLVLLAHIDPLNALVRFSKRNEHLHWLVKHKDLLCFEARRNLVMMMLAEGKDEYGELHEMLIDRAHLLDESFGYIMQAKSSELHSGLFMEFKNEEATGPGVLREWFFVVCQALFNPQQVLFSPCPSDRKRFFLNGTSAVDPLHLKYFIFSGRIIGLALMHRVQVGITLDNTLVLPLAGRSIKLEDIRQADPVLYKSCKDILKMDAAVVDGLELTFSRDVHELGSRRTIELCSGGKDLRVNIRNREHYIDLLIKNTFVDSISVQLTHFARGFSDILVDPELRKVFFDFLGPEDLDRMLGGRSNTINLEDWKLHTQYNGYKEKDRNIIWFWKAVEGMSIEQQRDLLFFWTSVKFLPPDGFGGLASKLYIYKASESADRLPSSHTCFYRLCLPAYPSLKVTKNQLQKIAQEHVSCSFGTW >OB09G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10526116:10528165:1 gene:OB09G21020 transcript:OB09G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50590) TAIR;Acc:AT1G50590] MTTSMEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEVGTVLVPILSSFHILLVGISAPAGFPDHPHRGFETVTYMLEGEGVFGGGGGEKAGPHHLLLLGQGDGVEVWNRSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFNINGFEKAKHWKSQALVALGIE >OB09G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10534181:10536805:1 gene:OB09G21030 transcript:OB09G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRGYWESSSEDVTGPLLPLHDDDDDAADRRRSCSALRSMLASKYLAVASGPVACALICALVDLGGHRAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPVFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRGEASSTSSAADAREVQQFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYYPEQPPITFSSWMSFGLPIALVLFVALWATLCLLYCSKNTGRALSAYLDRSHLRRELGLLGPMAFAEKMVLAIFGGLIVLWMTRNLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWAKCRRLQWNIIILLGAGFAIADGFKASGLTDILSGGLGFLRGAPALAIAPVACVFSGVITEFTSDDATATLVLPLLAELAKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYVTIKDMVIAGMPLKVVGVAALTILLPTLGSVVFGMDQKL >OB09G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10556134:10559062:1 gene:OB09G21040 transcript:OB09G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLCPRASGGGHGALGGAAALDEDDDGAVSDLDHDSSSSAAAAAAARSL >OB09G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10572561:10572899:-1 gene:OB09G21050 transcript:OB09G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQWWGLAAAAAATTAVAETAAAMVAACGYCSSDNGSGGDSGGNDGGLRPLLQRRQQRWTQQRQRRWLAAAATADMAGSGDDDGDDSGDAVYPASGKPRIVYLILFLFLG >OB09G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10572143:10574076:1 gene:OB09G21060 transcript:OB09G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIHAPLAADCCSVSRVSACSTSLNGANEESVRCRQLHEGDERREEERRRRCLRCGSLYADEDNSPTACAFHGHVTGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDRGSRPNTGRANWKGRWSCCQERDEGAPPCRLSRHVSYDDGFTLF >OB09G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10196237:10579743:-1 gene:OB09G21070 transcript:OB09G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFGRKPSESPPLAVTSRSNGGDQNPNLRHRLQYRGHSSPRRRPSLEQPPKPSPLPRRRGGRSRRRKPAKMKTILASETMDIPEGVTVQVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDA >OB09G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10580051:10580632:1 gene:OB09G21080 transcript:OB09G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTDADARAAPLSHILEVHTLSRRVDAREGRIHAVRAIAGRAPPLPLLLRGLASSATDVVLCVEHTVVDGPARAMRVVSRNANLRGLVHVEETCSYRPHPDRPDEWTLFRQETSIRCAPLASVAAWAAETVERRCAERFTQNASRGREVVERICERLALADGDRSVRPM >OB09G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10585383:10585883:1 gene:OB09G21090 transcript:OB09G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKEEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAASASTSSPASLPGLSPVLDKAARPATSLVEAQAAPAAAPVEELASPAPALEVKAPAKTSAVNRCSRCRKRVGLTGFRCRCGYLFCGEHRYSDRHGCGYDYKAAARDAIARDNPVVRAAKIVRF >OB09G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10586568:10586813:-1 gene:OB09G21100 transcript:OB09G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTRTQFAYHHVLFITNSCLTSYIDHEKTIRSNQRRVKNRSKRDGDHHTCEREKDDRPSRAEGCGRDHVEEEKRSYRTHC >OB09G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10596481:10602344:1 gene:OB09G21110 transcript:OB09G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMADIDLDAVRAVRVLGRGAMGTVFLVAGGGDEDGGGAYALKVFDKRPSLEAAPAPAEVETDDLVAWAVPYCAGGDLNALRYSQPDRVFSPAAIRFYVAELVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPKSPSPSASTSTSSSCSTTSSPPPQLHGHGRSQLRRIFARSESSVAATSTSSGQETHNLAWFLKRSDGGGGGGDQLKKAKSARVSPVSRVKKQASFSSAASACERSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGRSRKETFRNVLLREPEFSADSRRRWPELTDLITRLLDKEPTKRLGFAGGADEVRAHPFFAGVAWDLLGELSRPPYIPPPADDIVACEGFSVVEYFNKLHETPPQQEEEELTEFLPEF >OB09G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10607892:10611440:-1 gene:OB09G21120 transcript:OB09G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIENVEERDEHSTDVERDLKQEKEAGLDYEPDRDSVSSQVEANSNEDTPTRVKRVSRVPKKLVKKESKENSPRLGRINLNHQVHTKLQYISSNNLQNKSPKPNKADNGGKTAELTRPENVKVPSCPSSEVSEEMDDKAVEDISTDDKSIEDVAEDKAIEGKASDDKATEGKTTDNKAFENRDVDCAITEGRATDDRSIEGSATDDSNTDGRATDDKIIAGIATDANAIEGIPSNNKSNEEAKEIDILDEAPNCDQSTGTDEETANTEESIDDKSAAYEMNKELKSKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYIHASKFWSSDKKASVTKNFVSGLVIVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISCQPSLAMKAFSTNGNANMLGKNSSAMRRKRNYNGKHARPAVWPLPDDWRETDTLVLALEKIESWIFSRIVESVWWQALTPHMQTLREDISSPKAGSLSGPALGDQQQGNFSIHLWKTAFHDAFSRICPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAVLRESANEIPSDPISDPIVESRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDKFGMVAAASEKDGQAGDDSDDRRHSVDVSSFKLLNQLSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPEPVSGMVLEELNDESLLERFTEKDMITTFPCTAAPVGYCPPPPDDVADKVADAGANVETDLRASMVQRRGYTSDDDLDDLDNPLASLYDRSAPPSPCNGVSHSTTGQGFSMVNARYQLLREVWSEGLSNQ >OB09G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10619341:10619532:1 gene:OB09G21130 transcript:OB09G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSAAQSIRNHKETRNSPYGLILYVTSLGIRREQYTPLPHVHCNRLAIQIHVSVAYLYLTRLLS >OB09G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10620554:10620799:1 gene:OB09G21140 transcript:OB09G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSRVKQILIPYRAQFTTDYLPDLLHDIEASTKPIKLHNPGPRDQLNQLIEPFLWDRRAVRIQKYFPTKNEMFRRTLQLV >OB09G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10622751:10624931:-1 gene:OB09G21150 transcript:OB09G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57790) TAIR;Acc:AT3G57790] MASLLLLLLLLLAPAEAGTSHARVFSVADYGAAGDGVRYDTGAIQAAVEACAAAGGGRVLLPAPGDYLTATVHLRSRVVLEVAPGARLLGGTRQGDYPPESRRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPNAQKNIMVSWNVTGDCKGDECRPRLVGFIDSKDVRIHDITLNQPAYWCLHLVRCDNSVIHNVSIYGDFDTPNNDGIDIEDSNNTVITDCHIDTGDDAICPKSTTGPVFNFTATNCWIRTKSCAIKFGSASFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVRDVVFSNIKISTRYYHPSWWGRAEPIYITTCPRHPDSKEGTISEIQFINISSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYSGGLYDYRPGCQEMVKHRTGGMMLEHISGLEIDNVRMRWTRGSLKGWDVDPLLFRASTIDKLSFHNWQSLDVSR >OB09G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10626979:10630222:1 gene:OB09G21160 transcript:OB09G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYTSTVSVCLQRCTYSMYSQPSQLQGALTQGMALWKYSHSRAISCHVKTGLTGLPPKMNIKSPQSCFVSLGKQLCCRLPMRDPILKLKLDVPSCRKFYSILLDRSIGQKVGGTSTGLCLCFAVPAEANAEGPVDNNTDNPQTTESATSYAHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPTPDENLQRKMADDLRAMVADEFIKKRAETEWFVEGDFDAYVSQIRKPHVWGGEPELLMASHVLRMPITVYMYDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDAVQIAEEDGPRSRL >OB09G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10636359:10637775:1 gene:OB09G21170 transcript:OB09G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPQQQLPAAGGGGGVRTPPQMSSPGLLRYRSAPSTLLGDVCGDFLPAVGGGQQQQQRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWPLSSSSSSCTSRISSSRWPPWRGSTAR >OB09G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10637142:10637909:-1 gene:OB09G21180 transcript:OB09G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVCVCVCAQSRWIGSGGSAPPHLFHVGDELLQLPYPLADARPPHLQRGETFFELRKWQDRAGRNGRVPWRGVAWCMGRGRGGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRRRRPCPLLAYSRIL >OB09G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10646154:10646738:-1 gene:OB09G21190 transcript:OB09G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLPSAAAAAGGDGEAYLIRPLELADISKGFLDLLNQLSPSPPLAEEAFRARFEELAALGADHLVLVAEDAATGRLAAAGALLVERKFIRRCGRVGHVEDVVVDAAARGRGLGEGRAAXXXXXXXXXXXXXXXVVDAAARGRGLGERVVRCLVEHARGRGCYKVILNCTTELTGFYAKCGFVEKNVQMGLYF >OB09G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10647138:10649457:1 gene:OB09G21200 transcript:OB09G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDDIFYLGLPAHELFKLDWIFRWAYLMLYFTWAFQPMSYSTWAGFCESRWPVATSGVSHTYPPDSFHVSKTPSPKSPAQTQRVKFFFPKSKRASSPWSPPATARRPLHQMDLPPAFRFPRPRPRLGLVGAEEATSAASAAPGLHPDEAVAWEFFSPLHRVLLVATVAAASSRSHAARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCNTFISSELEKSELVGSKKLGEEDGTRCCACTRPEILTTPQKTKDIDGVDDPKCDVVDRSSVSHMDHDERRMSDLSDFCWSVVSSVDNHANGDNQLSSLAAEQELYNLQKECEEKDAIIKEMAATAHASSTIDAKRIAELQDILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLNPSCSELPVMSNNVLYDMSSSSPSSSDSESPVAPTEYLDEHVMVDGIVEHSESKGSSRVSMEKTYFPTKISSACKLRSTSPLKENRINPNVETSSVGRQKKRISSNGDFKKIRRQSQQDSRNKVTRRWT >OB09G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10652669:10656651:1 gene:OB09G21210 transcript:OB09G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDGRRKREAAAAGTAAGEGQVVVLECVAGSSKAEEWGGGAGVVQEGDVVEAVRVGRGSGAAVLEAPFKGGRAALQKAMHSAVKRGDTSVEVRVRGGRELQACVLPHPGGGGAPAGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVASALSRAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKAVDLNSCRYESFEDTLARANAWLYSSQVSGVPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYCSIAGEMPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAKEASKLLVISRVSNEKVLPWMIASSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPSDHVERIIRSPKLPPQSELPQVPWNLIESLEPGVDAEEDYVGDLSFRLDDLSVGSSWV >OB09G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10656449:10657969:-1 gene:OB09G21220 transcript:OB09G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESYICQDNRSRSENWSGIALDRGGGGDDDAAFSDYDNLNVFSSANSEVRFPSSTDHHRRHKVHPAFLHSAPSAERFLASAGRATGTGDLKAPATCGRAFRPATIGRDHGIDVGALKFLSGSRVPPLSSNHAAAAAASASQPRPKHRGAQILSWLFPRTKKKAKPEMMSPNAIERENMSQLLKEWGLLSLDSLRRELADANAHRDAALQEAAEMRSSLGELTTKLVGLESYCSELKKALRQATSSSSNTQLSRRSTRSIGASRELPGPVSHEAMVEGFLQIASEARLSVKQFCKALIQQVEEEPDNGLADKLNLLLQPYQLMITDKHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRWLDPKQDSQENFSSFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCILSTLKNWSRPWPEQLLQCFFVATKCVWLLHLLAFSFTPALTIMRVEESRVFDQMYMEDILPDKQQLHNPCQVKIMVMPGFYVQDRVLKCRVLTTP >OB09G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10662834:10663049:-1 gene:OB09G21230 transcript:OB09G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLHLALSLRHSPLQLQQPLSLKNLLFLLPSISIDSASLLSTSHPCFCLLASLCVSSEIPRKHAASVQWL >OB09G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10667219:10667395:1 gene:OB09G21240 transcript:OB09G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLAVVLHGRALLFSFSCSLSLFLCNCSTPFVRLKRMDAQSVSFLEAGNSKISRLD >OB09G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10668348:10669899:-1 gene:OB09G21250 transcript:OB09G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTGCHSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDHIDLKEFPKVENLDFMDCILEEGDLLYIPPKWWHYVKSLSISFSVSFWWRAAVLPSKGS >OB09G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10674835:10683897:-1 gene:OB09G21260 transcript:OB09G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSESRQERQHQHQHQHQHHQEMNISFGMMNHHYGAQHHHHPPPSSSSSSSMHAAAASFMSTKEASAGAYDHLGELDQALFMYLDHGNHAPSTHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKIPRSPDDHHHHHQQQAAMEELATGSRRQDHHLQHQPFGAEPAGINRDVKPVAAKDHRRGASTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSSGLLAEPGVAGKGVPLGGIDGALSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEVAAIKDGVIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPANVANYMGQMAVAMNKLSTLEGFVRQAENLRQQTLHRLQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRQPPQDQQQSGGPPTRSPPPPGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAGPAAERRPPHLIAAAAGAGRDDDDRNEMMTPFS >OB09G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10689459:10691243:1 gene:OB09G21270 transcript:OB09G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLRAAPPDQTRTRDAELFADRKKVVPFETLNVQLLMLMSAGGLLRVQPQLKSKVKTAVKINELTQRVAGLPCEGRHGPPAAAAAAKRLGPEDPQ >OB09G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10693718:10695553:-1 gene:OB09G21280 transcript:OB09G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRVKLRRIKERQHTLALQLAELDKSKPKAISDQAMRKKMSRAHDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYESENMASADAPSSGIKNQHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGDEDWWIALGLPRGQIPPYKKPHDLKKVWKVGVLTAVIKHMSPDFDKIRNHVRKSKCLQDKMTAKESLIWLSVLQREERLVYSIDNGMSEVTHLALEDRNGDTHSSNNEYDVDGIEEAPLSTSSRDDEHDLPLAVVQLSEEHVPTRRERANVKRPNQVVPKKAGTKEPPKRKRPRHSATAVEHEVQRAVDAPENSRNIIPDMNRLDQVEIPGMANQLTSFNEEGNTSEAFQHRGNTQGQTHLPGADFNHYGNAQAANATPVSICMGGQPVPYESSDNSRSKTGNIFPLDSDSGFNNLPSSYQTVPPKQSLPLSIMDHHVVPMGIRTPADNSPYGDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSSFAGLPLDYISISSPIPDIDDLLLHDDDLMEYLGT >OB09G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10699139:10704035:-1 gene:OB09G21290 transcript:OB09G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLRKAAGDPSVWDTFAHIPGKVLNGDTGDVADDFYHRYKVDFGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFADVCFREFGDRVKFWATFNEPWTYCSQGYGTGVHAPGRCSPYVSASCAGGDSSREPYLAAHHVILAHAAAVRLYRARYQAAQRGQVGITAVSHYRGAVQRSLDFMYGWFLDLSARRARRLPGHHGVARSALGCVVHAGAGGGGEGLLRLHRRQLLHHLLRQERARPQRAPALLRRRHPRQHLWIPQRQAHRPTGVHRDVLQLPFGSSRAPPLHQEEVQQPHHLRHRERD >OB09G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10707074:10707319:1 gene:OB09G21300 transcript:OB09G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGDALEQRSATVVAGVACRDTLAGAEVMAAAWSSGHRQWWRCLGAPVNGGDDTLSNGLQWPWRRNGQCQQVWSCGWQ >OB09G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10711722:10712735:1 gene:OB09G21310 transcript:OB09G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKTVRELKYVERLILFHVKAQMLYWEQQMAVTGGDALEQRSATVVAGVACRDTLAGAEVMAAAWSSGHRQWWRCLGAPVNGGDDTLSNGLQWPWRRNGQCRQVWSCGWQ >OB09G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10712433:10712735:-1 gene:OB09G21320 transcript:OB09G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSTAPHLSTLPIASPWPLQSIAQGVVATIDWCSKAPPPLSMPTAPSCRHDLRSGQGVAARNSSHHRRRSLLQGIAAGDRHLLLPIQHLGSQSMGVVKE >OB09G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10713285:10716278:-1 gene:OB09G21330 transcript:OB09G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLFTLLLGALFCNGAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGRGPSIWDTFTHIPGKIMNNDTGDVANDFYHRYKEDVNLLTDMNMDAFRFSISWTRILPNGSLSGGINKEGVAFYNSLIDEVIAKGLIPFVTIFHWDTPQALESKYGGFLSEDIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSPYVSKSCGAGDSSREPYTVAHHIHLSHAAAVRLYRARYQPAQKGQIGMVVVTHWFVPYSDTAADRAAVQRSLDFIFGWFMDPVVHGDYPGTMRGWLGDRLPTFTPEQSAMVRGSYDFIGVNYYTTYYAKSVPPPSSNELSYDVDSRANTSGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPVIYVTENGIDEGNNSTVPEALKDGHRISFHSKHLQFIKHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLVYVDRRTLKRYRKQSSYWIADFLKRP >OB09G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10723377:10727330:-1 gene:OB09G21340 transcript:OB09G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGTQLLFTLLLGALFCNAADAKFSRYSFPKDFIFGTGSAAYQYEGAYQEGGKGPSIWDTFSHIPGKILNNDTGDVANDFYHRYKEDVDLLTDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNGLIDYVIVKGLIPFVTIFHWDTPQALESKYGGFLSENIVNDYLDFAEVCFREFGDRVKYWSTFNEPLTYSAYGYAKGIFAPGRCSPYVSASCGAGDSGREPYTVAHHIHLSHAAAVQLYRTKYQPTQKGQIGIVVVTHWFVPYNETDAGDLGAVQRSIDFMLGWFLDPIVHGDYPGTMRGWLGDRLPSFTPEQSAMVKGSYDFIGVNYYTTYYAKSAPPPSSNLLSYEADSRANTTGFRDGKPIGPQEFTPSFFNYPPGLRELLLYTKRKYNNPVMYVTENGIDEGNNSTVPEALKDGHRIAFHSKHLQFVNHAIRDGARVKGYFTWTFMDCFEWGDGYADRFGLVFVDRRDNLRRHRKQSSYWIADFLRRR >OB09G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10731151:10734179:-1 gene:OB09G21350 transcript:OB09G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSGGGDDVHGLKFGKKIYFEQDAAAGGSGSAAVEPSSSGGGGSQVEGCGVDLTGAKPYYCRHKVCYTHAKEPIVVVAGLEQRFCQQCSRFHQLPEFDQEKKSCRRRLVGHNERRRKPTPGANSSRFGRLAPSFHEESGRSRSFVVDFSYPRVPSSVRDAWPTIQPGDRMSGSIQWQGSHELHPHRSAVAGYGDHHAYSSHGGSSAGAPMLHHPAFELTSGGCLAGVSTDSNCALSLLSTQPWDTTQSISSHNGRSPAMSSSASAFGGGTNPVSPSVMASNYMGASSGWGSSSRGHDGARNVQLPPPHGIALSEVPPGSVHHGRHFSGELELALQGSGPSNRPETEHGSSSGAFSHSTTNAMNWSL >OB09G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10752783:10758169:-1 gene:OB09G21360 transcript:OB09G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLAVTEGSSWRKRVATGWPTLCGMHKPGFVAAPGIAQNQLWSSGSPPSEQMMITGFLRHMHLVSSTYHHRLILCLVCPFRHPPVSWMGRPRGGKGRKSMEATKAEDGSSGGEEEVIPAYKRRGRPQKHHLKDDHVGDEEDDDDGISKTEEDDDDGADDKMDGAAAAKTTAPAPPPSKAASSSKAAAAAHNPGRKRRRQLKRGSDSTAAGRQGKDEPSSRQNGFRQHGSRRKNSTPRRAAEAGVECK >OB09G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10759810:10760820:-1 gene:OB09G21370 transcript:OB09G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDAAAASSWIPLLCLVFARSSSAMECNGGDRAALLRVKAQLGDPVRLSSWQPSSANCCAWEPAVFCSESGRVTGLALFSLDDVSAPVPPALGELTELAILQVESVRGMSGPIPPSFANLSRLADLDITGTSISGPVPAAYLAGATKLRTLVIADSKLAGPIPPSLAGLPNLRYVDLSGNMLTGAIPPGLLHGSYTFLILSHNQLTGEIPGCYGAGGAHTVDLSHNRLTGDPSSSLFGITRPATKIDLSWNELAFDMTGVRFPHHLRFLDLSHNRITGRVAKSLMDIKLEHFNVSDNELCGEIPAGRSMSSHGAECYARNKCLCGTPLPPCHGGL >OB09G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10762252:10767126:1 gene:OB09G21380 transcript:OB09G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNPYDTSGTDDDLPPTQNRGLRGRSFSANGRSSVMPFSYIRPHSDLESEIHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDMSIRRMRELRQGGGSLSAQHRGSRVLHDTEPGPAAKRQRTPLSIPSHSAGLQTPAMPSPSVPSSVKWGPLSGTKGKKTRTTTPLALPSADPTSLINRKIYTRWPDDNNFYEATITDYNPVTGKHALVYDMGTVAQTWESIRLSDLPPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNGTVPMVGRGGRFSRNEPTKDYAPPQNGINRNIGHLDVPNTASVVLEVERVLSNPNMGEIEKARKLLQDQEQSLLDAIARLDDDASDSDNEDMPIGAQMVSAGDHMVRNGVAS >OB09G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10770530:10771509:-1 gene:OB09G21390 transcript:OB09G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGTDVSPSPAAAEAAALGGGEIWGTLEELLLACAVSRHGTGSWDSVAMEVQTRSPLAARPGLTPTSCRLRFRQLHRRASLARRXXXXXXXREEEEEDEEADGPDASAADGWMDELRRLRVAELRREVERCDLSIGTLQTKVKRLREDRERRIHGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLVVAAARRAARHVRVQVRVAARAAARMPGKKKAI >OB09G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10772861:10773802:-1 gene:OB09G21400 transcript:OB09G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIRFRDDDRPLVRAKVPVGVLGLPFLSGVSAGGAAQGHPFAXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPPPPLKLTDLANGDDHDGHKTFSFSGNGFAANVASAGKSGGGGGGEGGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPELKAALADDGYGRKAGNLAVSKLPLLVMNKITIEHTPRAPRHSDADKKGKKDAPEFQTEGFSLVKRQLEVLNAESIMLRRAVEDLRAEIGGGRATSMPGKGDARRSPASLPTPQQPFPAKPDRHGNSSKELVDSGPKPVSDEASEELKKALEARRK >OB09G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10775883:10776509:-1 gene:OB09G21410 transcript:OB09G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFISRIIRAHNNRPASSGGPTWQLEKWEEVLLPDRWGPRPSWFGKRICAFIYKRKRSPAREKRAAGWRVFFSLAMGVAYRRLTACESRRGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLRAQLRRRRVEGGGGRVLERRGGDGGGVQAHGDRAVRCGLLIAL >OB09G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10776745:10778679:-1 gene:OB09G21420 transcript:OB09G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >OB09G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10780338:10780904:1 gene:OB09G21430 transcript:OB09G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMMKSSLHFQGMLGRPDWPWLLRVTPWFIGLPGPPDDSLNVPLEGGLLGPVPLISAIFGSAGTGSEGACNFGPFFPSVLKLPTTICGHKNEKLKNS >OB09G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10779926:10783703:-1 gene:OB09G21440 transcript:OB09G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVTQVRASSEQGMMAGREPFGLPKSPPTPPSSGGMQSVRMAYTADGTPVFTPVSSTPAPAATYQPGGGAAAPNAGAAGGNGAPALAADSGDPVLKKKRGRPRKYGPDGSMSLALVPMSTAAAATATPGASGPFSPLATKSADAVSSAMPAGAKKRGRPKGSTNKKHVPSFGDIGSAGAGFAPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTDGKKGPKLHAPSDPVPALPKMALMSGTGPSSPPSRGTLSESSGGPGSPMNQGVTLSNHGQSGLPSMPWK >OB09G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10792185:10796695:-1 gene:OB09G21450 transcript:OB09G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQLFITACVPVLNMLLVTGVGSFLATDFAGLLNKEARKYLNNIVFYVFSPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLIFGWIVIHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCHTYGLAYSSLSMALGAVVLWTVAYNIMRATSKVTEGNGQANHTNVSISGSGTGTASEENFSILNDNTNQCTLPLISNSSVPSTKTKVAMSERAKGFVSMFGAIDFKKIFAPSTIAVIVGFIIGGTPLIRNAVIGDNAPLRVIQESSDLIGGGAIPSVTLIMGGNLLNGIRGGAGVQPSVIVAVIVVRYILLPSVGTVLVKSAVRLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWAYALASVAVTVWSALFMWALSPPAA >OB09G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10801971:10802813:1 gene:OB09G21460 transcript:OB09G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDVITPGVDAQGNPIDPEKIQADFEDFYEDIFDELSKHGEVESLHVCDNLADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVTDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLVGHLHRSRRSHSHGHSRSPSPYHYRRSSSRSRDRDDDHYRHGGSRRSSERHRSYSYDSDGGRRRHRSRTRSPVRDGSEERRARIEQWNREREAAQV >OB09G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10804038:10806957:-1 gene:OB09G21470 transcript:OB09G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLAGSARHAVASAVSQSQAPVARHAAASPLLSRLGGVARAFSSKPASADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNKDGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKEIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEDDIEKMVKEAELHAQKDQEKKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTAEIESAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQKGGGSGSGDSSSGGDQTPEAEYQDAKEAKM >OB09G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10809882:10816663:-1 gene:OB09G21480 transcript:OB09G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKEESYWFSNAILVPFYLAGRRPAGRSRRPLHHPLRRGLLVDLRLAWELPSGVVSIGSGKIYSGVRPECRTGGPTVQEARQRTRRPAGMASPPPRVCVTGGGGYIGSWLVRLLLSRGYAVHATLRDPCDPKNAHLKRLDGASEPERLRLFKADVLDSDELSVAIAGCEGVFHVASPVPGDKVVDPESEVMAPAVKGTLNVLQVCSSRKVQKVVVVSSTSAVHFNPNWPQGKPKDENCWSDRKLCMDNENWYHVSKIVAERTALEYAEKTGLNIVTVCPCLVFGPQLQPTVNTSNELLIYVTKGGPTVMKNILYHIVDVRDVAEALLLVYEKPESYGRYLCAPDHISTKAMVEFLKSMYPNYNYVKCSADADIFTPISSEKLKNLGWQPRKLEETLVDSIEYYEKEGILQDADGKSCVLPYLFRFAVEN >OB09G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10820148:10821760:-1 gene:OB09G21490 transcript:OB09G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSAFEYAEKHGLNLITLCPPLVFGPMLQPTLNTSSKFLIYVIKRGPDVMNNKLWHIVNARDVADALLLVYEKPESSWRYI >OB09G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10840896:10849868:1 gene:OB09G21500 transcript:OB09G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:J3MYS1] MPEEDEEIVAEVVAGKIPADVLETRLGDCRRAAGIRREALRRTTGREIKGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLEDPANFDTLAMVFNRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLASVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >OB09G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10849081:10849239:-1 gene:OB09G21510 transcript:OB09G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSRGDLGHIFAGSVVFHVALDQMACGLSRDKGQLPSKDSASHNRGQEPRI >OB09G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10851999:10853849:-1 gene:OB09G21520 transcript:OB09G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT1G20990) TAIR;Acc:AT1G20990] MSRCMSMKARELSGKLPASSEQQEHHCSGEAGQRQGRADDGDGEIVHFSHPEHRLGRFDFPYLFMCMGCKEYGAGRRFMCRLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFVKPGGFLRCKCDICGKSVKGFSFRCASCSFDMHPCCAAMGRTMELPAAHEHPLTLAPPPPPSSSATATAPATAETSFVCQMCRRWRRSSPRQSVYQCVACGYCLHARCAKDVVNGLYEHGVVPPEKGSALVAAAKVTINALFGVIGGLVEGIGEGIGEAFVENIGRSRGRSFR >OB09G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10856992:10860397:1 gene:OB09G21530 transcript:OB09G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRSTATAAAAELRRVEAVDTAAMVMDLDGTMARVEAPVTLIRSMGSCASRSTAATATAAAGVTATGGVPGGAAWRRARAPATAVRDALGADDHASSSSSCFVCCSDELRFDAPARAMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGKQRQQKARVAPLVSGAGADHAYGGDDAQKTVHGDRTTVGKAMTTIARHRVGLQRLSAISEGSE >OB09G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10869100:10869351:-1 gene:OB09G21540 transcript:OB09G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGKGTDAQLCRELAHSLNHCPGILSKDERMKELSSNCSCKGKEDAFLDNNAKKIKQPRTNNGGEAADKATQITDDEDYKE >OB09G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10868512:10873038:1 gene:OB09G21550 transcript:OB09G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSRSGVLSETASCADTPRAGYSSCNLQHLQSKSIMKIHEGALDISPRFSYCKPNAKQDKMLHRRFSLNLPEHMPGHYSRAGTERSQKATSKSITDLVGEIAALEQEVVRKELHLLSLYRRAFDQYLSESGSVTSEVDQELLKNIDEGALRLRDIKQSAGYNLPTVSDTKSEVPRSPSRHSSLVNFLSASISEYVPKMSCKLSEDILGCIAAVYCKLGSTPLQDGDYITSPSPSVSSSSTFSPRHRNDSWSPRYNFDITPSPSQYGYQKENSEQQNIGMIIVPRIRIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFAPTKRSMAGTARHPYTLQHPEPIAHFALSTGACSDPPVRLYTAKKVHQQLEVARAEFIQANVVARRQVLMLPKVLHYYAKDAALELRHVVELVCESISEAQQRDIQLCLRRRIDKCVEWLPYKSSFRYLLHRDLAE >OB09G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10872847:10875026:-1 gene:OB09G21560 transcript:OB09G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSKGKVCVTGASGFVASWLIKRLLEAGYHVIGTVRDPGNHKKVSHLWRLPGANERLQLEETLIPAINGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDEIKHAQISLDETVWSSVPLCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTAADILGLLQGDTQRFSSYGRMGYVHIDDVASCHILVYETPQATGRYLCNSVVLDNNELVALLAKQFPVFPVPRRLRSPYGKQSYQLNTSKIQELGLKFKGVEEMFGDCVESLKNQGHLLECPL >OB09G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10875446:10876450:-1 gene:OB09G21570 transcript:OB09G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRILEGFGYNGEKRFEQTYRCCSPATINKPHLESGDKVIMPASALHRLTSLDVEFPMLFELSRHSSYAAAAAGAARRVSHCGVLEFVAEDGVVVIPGWMMRNMGVREGDLVGVKSVSLPKGTYVKLQPHTGDFLDTANPKAVLEKTLRNFTCLTTGDTIMVAYNNNEYHIDIVETKPAPAVCIIDTDCEVDFAPPLDYREPEKVERQEPSVPASKVTSEAKDDAVKDEPKFRAFPGLGNRLDGKALKPQAAKIPPPAAASSGSNKKVNQQTVAPASSGASNSTTRQKKGKVVFGSNTSSSKQPEKAPDRADQPPKKEKPKFQAFSGKSYSLK >OB09G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10878149:10884610:-1 gene:OB09G21580 transcript:OB09G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPVRWPPGFRFSPTDEELVLYFLKRRIATGRPTPYIADVDVYKSHPSHLPGYWKATGKDRCICNGGASGRAVGSKKTLVYHHGRAPRGERTDWVMHEYTILADALPPAARDREAFALYKLFHKSGAGPKNGEQYGAPFREEDWLDDEEEEIAAAETDRPAATTTSGRAATVEELTDFEFSSEDLDVLLMQIGNEQEIIEDPRSDLSTPVSCQVQIQHGIHQGWLNDDLGKSDAADATTSGSALSMAENAGTELPINGLEQLLMQISDDQQTVEMFSGFSTSIPQFQLQHEERQVGVHSEEIGLADSTSVISAVVTAECTGPELRDIEGLLMQTENDQENAESLPDLSTPAPLHGCHQVASGDFQGSQQATFSIANLSTMVQEIPKFDLQTGPSNQIAQSILTTEPMSGETNTDEETSALRSMSGLSSYDRQDADDEFLEINDFFDPKDLEYIMGNTTSQNLIPTDDGVFDSLKYSDAPIFLPGSFDTTGVVAENQYVECGASGIHNQEFPHTTESWTHNQVALNVRSHMNDNHVVFSSHRSDTSIIHTVNEEPPNRSSNTSQSWFNAALSSLLDSVPSSPAMAAENIGLNRTLQRISSFRSQQTAREEVSTTVIHTRRRGGGLILISLVVLFAAIMWTFTNGSSPKLCKGLWMYSDLSPVILVVNPPYNFYPQALILVLLSFPLSLPIEKGDKFEFGSDKWQDDIVRFPFEANKYFSFNQSAGLLQFKTIHHMNTCSCIVSLALLVRLSQNSGRGDDADNLLEDLLNKHGDVVYSASGAPGIEADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATTIELPFESQLQ >OB09G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10885235:10889601:-1 gene:OB09G21590 transcript:OB09G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEAEIKRAYYMKAKQVHPDKNQNDPLAAANFQELGEAYQVLSDPTQRQAYDSYGKSGISAEAIIDPAAIFAMLFGSELFEDYIGQLAIASMASLDVLDVEHIDERRLQEHMQVVQKEREEKLAEMLKNRLHIYVQGNKEEFVQRAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHFIISQVTAATGAIALMQLQEDLKKHLSAECKYTEEELEAYMETHKSVMVDSLWKLNVADIEATLSHVCQMVLQDSTVRREELRVRAKGLKTLGKIFERVKLSSSEGGVTAMNNTINNSDDNDGSSPDSSPKSPREHPYDPNPPYYQSPYVEAPQFGEGYYSFNFPMPTAPPGAQRDPIP >OB09G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10894935:10896740:1 gene:OB09G21600 transcript:OB09G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNRIILTTLLVAAAAILIGGTVALILTAGTWKVKMQESREKVCDKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNSPVAHAVGFWDYQAFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGYGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGFGATMNVLYGDQICGKGYIDDMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAAFNPSYKKPDDQQQQS >OB09G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10897119:10902094:-1 gene:OB09G21610 transcript:OB09G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKAPQPSKKSQIMLSDTDGQLDNDDFSSESASNQMVLFNHETVSKGQDEDHLPSLQKSAHSNNPNRGLPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPEVTCDDPEDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPSGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIMNPSDEASVVTTKSIKPEEVSPIAWAAPSIHQEGEAGERTQADEPPEAEEVELTRKRKAESPLAEEAHSNHVCDEVKTKLEDTQNGGSSA >OB09G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10905855:10907631:-1 gene:OB09G21620 transcript:OB09G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAVLKRKDAGAPEMWLDDDGASGFPAVSSRATKIRRLDAEVPPVLPGDFVPPQPQPVAGEVRMCGEQVPVVVAPALKRKGEDASGFPVSSRATKIRRLIAEVPPVVPELCAPPQQPVAGLGAAEVPVVVAPAPNEEMAIVLYKPADAGRNLLLGPLRPEVPLRVSPDWIHGLKSTMLRELSEPRALIEQLSADESCNLAMVPWVPSNSQEASTSAAAATTEMMDAEDTSMEVEQDGGGGSYPAAAAAAGVAQGGEAAYHHHQWPQQQQHCMVQQPLPAASYQPSPVTWSW >OB09G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10919287:10919715:1 gene:OB09G21630 transcript:OB09G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALKYVALLLVFLVAFLCHSLAICFLNEASFLVNTSSTLLPSSSSDEGRRLLGLPSTMDYIDEVLERGFTLSFAGNRIFFAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNPKPAAASSTNGKLDKINGAAAIDCATHV >OB09G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10924967:10941004:-1 gene:OB09G21640 transcript:OB09G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MGGGGGGGGGFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDEQEENSPPRTIWKRISEELNICAQCVCEHHQAQKCFDTEYRSCSDPLLKVLRLLDEERVTEHLRQMNAKIQLKEYKPSLHGADVVSIMFEVLMYPVLLDDLSLANQFQTFIERIDEIFDVSLSANQQYPNLQGPGCSSKELIYGLDSNHCILGFLDAPAFEDGILEKYPIFLNIVLNHVSDDRSDLSCAVSCLKASFEMLGCKLWLRTTLSPNVMRNTLLGHCFHTRDEKSHKEIFDLFLPFLQSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMNPPCPASECVHMWGPSLIGSLTDTSLHSSLRQPALDLINILIISDVSALISFQLKYESCTKGGISNSVIFVDDEDELPVFCDAQEMDYSCWNDFSILYKLTCRECKDWRCVPLLWYLIMVQLEPSKLPIAFSKAVFWALSHISVLKPEAATDLSLPVNDWLSLHAGEVLPTFSWQVPNGADDGGVGQECINILKVSQSCTLLLKIFKRFAIHVIMQIEKCGLQKQWAWESMMAESLILTLVDHNDNVRQVGRAVLEHASQARGLTSGLQFLCSSASSLSATFVGLRNAIQLVETKSALADFHSLHHLFFVICKLLKDVVQQPSVAEQAKPIEGGFLRQSFSNVAVNLPEHSVDIISWEKFSTLLSGALWPFLSTCLRRGDDLINTKQCQISCVRLLELLPLVYDRLCSYSSNKLCDAVNISELTQKISDLKLALSKETSSKTERREVVSVPMFTEQIANFPSAVPMVQERNTGRDNVGTMKPSQATCTEQIILLSDSEENSSAADVSGDEVLSSVKGINGPTASDIMKEVEHTEQRMLTEDRHVLPKQQIGPVSHVVASSKPVSKDSRSIISAKEGLGRTKIPTVPVNTNDTSLLPKKIKPPTSTTPQPSRPNLSSGTEKFKSIFRDISDDEDDPLEHALDSCRKPQLRLTKTSLLVPKRQVVQLPLSAEKRQSFGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSTEIIKKLSLKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPVEDMNCGSISILSVERVDDFLLVRGRPDNSDILKLKSCMENDLILLSKDPLKSTRQQVHVLGKVDRRESDKNKALILVMKFFLSNENARLNKVKRLLVERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPVILNPVSCNSIYHGSGKAYLDKLSQPMRKVLKSSYNDSQLQAGPPGTGKTRTIVAIVSALLSLHTYNSSQRNESLTITPAEFNKPRTRISQSVAVARAWQDAALAKQLINDSQREVPKLTDQLSKGRVLVCAQSNAAVDELVSRLSEGLYGTDGNLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKTKSDSKNLSDAESSGSLRAKLEKIVDRIRHYELRRKLVEVDKTENDSVVPNENSTDEVSDDAIGAKLNFLYAQKRQVSAELATAHAREKKIADENRSLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFVNFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQVADKSALFHDHDCLGPYMFFDIADGREQCGKNAATQSLCNHFEADAALEILGFLKNRYPSEFACRKIGIITPYRSQLSLLRSKLNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASTSSDSGHRSGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQSNSHWASLLQNAKERNILISVKRPYGLLFEKVHSHSEDIHGSSHTYYTGHRKNKENEKNSTTISQKIDVRLHKEHVRHTVKTLETANESLPNDQAKRVSRWDRKSTKACDPNKKSSKEKELVLQHDGMRVTKGSFKHDIEQDNVVTKQKEGKGPSIHNDNHLKLANVSLRELNKPIKQSTHSEADKALFNQDSLQNSEVKKHGSKNYDKGTVKGSQSRDTKATSMKNDASPPAQDMQKLIQNAKGARKFSEKPRFGNLTQFDSSVKHDATLESANKSDGVCPPTNLEMKKISGKAKNARRFSEHPRPGNTNKVDPSHEESSQMPELKENQASNLTAVHQNHLTASRKRQREDIESLLSSSLISSKKHGSKFPSKKQK >OB09G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10946254:10949511:1 gene:OB09G21650 transcript:OB09G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDTHAPDGGGAGAGGGGGGGRYKELLLMALPKDNNLDARKVVEFLGFRLPDVEGIVRAFFRSREVREFASGALAGATSKAILAPLETIRTRMVVGVGSRHIGGSFLEIIEENGWQGLWAGNSINMIRIIPTQAIELGTFELVKRRMAKAQEKWKEEGCPKIQFGKLKIEPPLNLLSPIAVAGASAGIAGTLMCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIGGLYAGLCPTLIGMLPYSTCYYFMYETIKTSYCRLHKKTSLTQPELLIIGALTGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALSEVVQEEGIQGLYRGWGASCLKVMPNSGITWMFYEAWKDILLSDKYKHHA >OB09G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10951697:10957691:-1 gene:OB09G21660 transcript:OB09G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESVLPLTRETGAGKWPPSKGMSSYDSIPASLSEDELAELSFMPNNDAIFGNWRDSILERSESAPPTMGGSLAALGHLRGQQSGNLEVTLPNLGIGTNNITSKEHLFSDSACVKYCMSKVNLNPRFPPPLVSRNQFSRTFSLDDSSNMSLSLGHSTMSTHKEESEEEKSLGLDSSYVVHAQCDSGQSTSNLGGHISTLADSVKENLHHSNGLYDNSSDILSPNSRDGVSTYSIINSSKNSSLDVVKSQDLNGFPLDAHQHSPRPIETPLSNKLTGESLLASSPPNLSCLDHNTITETCQQRNQSMDSSMKNMNINPDTLSSSLNTLSSSYVMQQWQKNALVKNDLPNLVHVDPVMMITQGTNPQVPFAVNSSFGHMKLHFGDVQLMPHFRMTSPFCTPNSFGVPCYPNLQSPSIWAPPSEVVGYGFPSSSFPPFITYSAPQLPSMSPFDTHLPSVTLPYFPSAVNFATRADLFHPYKMYDHLGVRMPPLVPDQSLMHYMSPPVPDQSLMNYFRQPSIHSYGLGNPYDTVVSNNIVDNLGNISSSPIIDGPEHKFQAPVIVAANASTSRKDERYVGNYGAISPYFGISMPYPVALHGQASSGTCPHDKRNGAKGLQSTPKNMPVDSGIEGQQGREKCEDSKAHNFVEELMSSKTQGVELSDIKGQIVKYSLDQNGSRFIQQKLENCTIEEKDLVFAEVLPHASSLMIDVFGNYVIQKFFEKGSTQQMRDLADKLVGHVFSLSLQIYGCRVIQKALEVIQLERRVVLVRELDGHVLRCVHDQNGNHVIQKCIECIPLEHISFLVSSFHGQVAKLSMHPYGCRVIQRILENCNNNPEGLSIVDEIMQYACILAQDQYGNYVTQHVLEKGNDHERGQIITKLSEQVVSMSQNKFASNVIEKCFKHGDNTERELLIKEIQKQTEGNNYLLVMMKDQYANYVIQKMLETCSQQQIGALLSRMKCHVSLVKKYTYGKHIVSRIEQLCGDGAVQSES >OB09G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10963387:10964053:1 gene:OB09G21670 transcript:OB09G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAESSASARRRHFSAASACYRRFTAASASANDAAQTPSPLLPPTPLSWPLHCFVKDLNRPSRNSKETINGGVPKTKQLNEPTAMWASNEATTLTQ >OB09G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10964834:10968299:1 gene:OB09G21680 transcript:OB09G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane [Source:Projected from Arabidopsis thaliana (AT4G12590) TAIR;Acc:AT4G12590] MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSASPSPDPKLVKEGQVVIRARNLRMNAQYIPAKAFKSRKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGGNPTMSLAAEKDSLDIIQHDWALPKMEHHAEEVLRKLLKK >OB09G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10968720:10969958:-1 gene:OB09G21690 transcript:OB09G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLLHLLPPLLFSIGAALGHADLMLDRFEQWMSRHGCAYADAGEKQRRFEVYRRNVELVEKFNSMSDGYKLADNKFADLTNEEFRAKMLGFRPHVAIPQTLNTCISADRAMLGESGDDVLPKSVDWRKKGAVVPVKNQGDCGSCWAFSAVAAIEGINQIKNGELVSLSEQELVDCDDEAVGCGGGYMSWAFEFVVGNRGLATEASYPYHAAGGACQAAKLNESAVSIAGYRNVTPSSEPDLLRAAAAQPVSVAVDAGSFMFQLYGSGVYTGPCTAEVNHGVTVVGYGEAEPTDAAGGGGAKYWIVKNSWGPEWGDAGYILMQRDAGVASGLCGIALLPSYPVM >OB09G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10970286:10973657:-1 gene:OB09G21700 transcript:OB09G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLVTLISKKTFVNLYISANNQDFICNLCSLFVSLMTDRNGPICRLPEHLLVEIFIRLPICEWVQISCVSKQWASMFQGECVWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSENLVSSSGDIDELVGHTYLYLKEQLERPAIPPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFLLLKHLAQEGEFFLPFPYSRSYKVLWRVFDKLFTDLRDCFSRVDYHDALAGAKSRFQPVPSTWLGH >OB09G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10974397:10979055:1 gene:OB09G21710 transcript:OB09G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLRFASRREFGDESNIAEQTQVLKSKDDGIQKLEKLIGEKSQKIATLQSEINALETKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTAHLKQLKEVHGAWLPPWLVIHTAHAKEVLSSNWNEHGKPVVNNLLQKASEKSVQAQKWAKPHVEAAKAKWIPVIKEKWATMKTNTKPYVQKVSAKSIELYQASKDAVLPHVVKAHEIADPYFQEAKKVSKPYIDQVAKVTKPHVEKIRITLKPYTKRAGHVYGNLLVKVTAYHQQAQATVLDYLHHNELTREYATEELAWYLASALLIMPVYVFYMVVVETFCSKKQKKATRKVNANHSHRKHKRRHADK >OB09G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10981733:10984852:-1 gene:OB09G21720 transcript:OB09G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) TAIR;Acc:AT2G24430] MGTEGSGGGGSAKKEESLPPGFRFHPTDEELITYYLRQKIADGGFTARAIAEVDLNKCEPWDLPVVFFRCFEAHLSYLSLTREKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRVFAKTAGVKKYPSSNAHSRSHHPYALDMVPPLLPALLQQDPFGRGHHHPYMAPVDMAELSRFARGTPGLHPHIQPHPSYINPAATPFTLSGLNLNLGSSPAAMAPPPQSMLQAMSSMPMNQPSTASQVMATEQMVSGLANGVIPPGVDGGFSTDAAVVGGGGIRYQNLDVEQLVERYWPGSYQM >OB09G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10989631:10997037:1 gene:OB09G21730 transcript:OB09G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDALPRATLRVSQNWKTIFYYSFERIQIQNPCSHRSERHEAAAAAAAGARGRRRRSWWCGFGSRGSGAGTRRSPRGGAPAPPPPPPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGARDRRPIHPMTGRPLDLEGVTVVDDSSVPEDDAEQPVSEE >OB09G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:10998236:10999612:1 gene:OB09G21740 transcript:OB09G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24620) TAIR;Acc:AT5G24620] MQHAKPCSSRKSFLCMHGTWHCTFKSCKNSLNVFFPFSCSSYQHQLPNLENLQAMAMGEPRTCRLWLLVLAMASWVYTSMAMTFTIANYCSHPIWPGTLAGAGTSQLSTTGFRLDPGQTVQLAAPVGWSGRIWARTGCVFDADGVGVCQTGDCGGRMECRGAGATPPATLFEVTLGKGGGEDFYDVSLVDGYNLPVVAIPRAQQGGAAACNTTGCMADLNRSCPRELQVDCGGGGAIACRSACEAFGQDRYCCSGAFDTPAACHPTAYSTIFKSACPRAYSYAYDDSTSTFTCKAYDYTVAFCLPTSGIKKSDAMFLGAQIIDGDGNAPPVYSGSGGGGGSNRPPIYYNGGGRGHEPETMTTPSSSSAASTRYTQPWLLLLLVFLF >OB09G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11003081:11005244:-1 gene:OB09G21750 transcript:OB09G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANGGEGGCFDVVVVGAGIMGSCAAYAASSRGVRVLLLERLPEGWTAATSDLGGVMKATKAVAMFQSLAAKNGAVLRDRTEVVDVAKQGEGSVVVKTSSGEEFRGAKCIITVGAWASKLLRSVAGVDLPVQPLHTLICYWKVRPGHEHELTTEAGFPTFASYGDPYIYSTPSMEFPSLIKVAAHGGPPLRHARPRRHRRRAGHPAVVHVLHDPRRGLHHRLRRRGVREGRGRRRRVLRPWLQDGAGRREDPRRDGHGRRGQNGGGGRSGAPPFQDWAFRGQPEGKPHYMITCHVHGIGLFAINLSNIVFHITCIFW >OB09G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11007272:11012859:1 gene:OB09G21760 transcript:OB09G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51580) TAIR;Acc:AT1G51580] MEISPKSAGGGGGGAAPAPPGGGVGGAATAAAPASSSTSSPSGPKRLTTTLRVLCPSSRVSALRQARDLHVDQPPVGDEAVLSISGPDAPAVAVRAWERVVGHRVGGDEVGEEEREVPGVVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFSAVRKALLLVTTCLQDNPRPDASNFPTGRSFGPPGPVGVDPHSQRGYLPPSMPDYHARNYSSNMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDSDERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAATARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPVKPHPGGGMPPYPPGNAPLHHPRQEPAPPHPTGGMPPYPMPSFRADRPMGPFDMVDHRPPPPHSMEHMGADRMPYSYGCEQGGGARPFLDQPSPRAWAPEASNSEAPRNMPETVPTTDFRKGSVAGVNQVAAPTNATEVIIPRKYIGFICGTNGSDLAEIKKMSGAAITVHHPKPGDANASVIICGDPDQTKKAQSLLHAFIFCGLYQT >OB09G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11014786:11027376:1 gene:OB09G21770 transcript:OB09G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3MYU8] MASGAPPLEIGAPAGEEEEDREVEEEEDAFDIPSKDASHDRLRRWRQAALVLNASRRFRYTVDLKREEHKENLKRIVRAHAQVIRAVFLFKEAGQEEIGKAYTGTNLESLPHIFPIDLEKLIMLNRDHDAIIFHEVGGVGGLSDLLKSDVDRGINPNEDDVMLRREIFGANTYPRKKRRSIWHFVFEACQDLTLVILMVAAAISLSLGMATEGIKDGWYDGGSIFFAVFLVIFVTATSDYRQSLQFDHLNEEKQNIQVEIIRGGKRIGTSIFSLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKVVHKDQKAPFLMSGCKVADGCGSMLVTGVGTNTEWGMLMSNLSEDIGEETPLQVRLNGIATLIGKVGLSVAGVVLAVLLIRYFTGHTKNPDGTTQFLAGTTGVKHGFMGAIRIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKAMVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYLGGTKLDPSDNTRMIYSSVAALLIEGIAQNTAGDVFLSEDGGVAEVTGSPTEKAILSWGLKIGMKFKNERSKSSVLHVIPFNSVKKRSGVAVQVSDVSVHIHWKGAAEILLESCKRWISFDGLVQPMSSEKHNEFKRSIDDMAMSSLRCVAFAYCPYELKMVPREELDKWQLPEEDLILLGMVGIKDPCRPGVKNAVQVCSTAGVKVRMVTGDNVKTAKAIALECGILDAEDVGTEPTVIEGKVFREMSETAREEIADRIKVMGRSSPNDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATESPSDSLMKRHPVGRREPLVTNVMWRNILIQDENRENTDKTKNSFVFNAFVFCQIFNEFNARNPEEKNVFRGATNNHLFTGIVGVTTVLQILMIEFLGKFFNTVRLSWRLWLLSVAVGAISWPLAYLGKFIPVPIRPVQDYLKHYSCRERPQQDEEQGS >OB09G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11028913:11032220:-1 gene:OB09G21780 transcript:OB09G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDPRQLVAGFLTLSMFVMLGNMIKHDHFTPVGGEELALETAGVDSNEIKVADTTEITKVNTVGVDLPKETAEEIRPCWSKPSPNVQESKGFVTFSLTMGPEFHISQITDAVVIARYLGATLVLPEIRGNELGKRRKFEDMYDVDKFMTSLDGVVKVVHSLPNRVSAKKPAVIRVPNRVTEEFISGTIEPIFQRNNYLRLATIFSSISLKQKESSNKDLDSTACLAMFSGLELKPEFSAVAKHMLDRLKEISKPDGMVIAVDMQTELLEKKNCKTSGGSRRRGCYYPQEVLNFLKKVGFSANTTIYLTETWWHKSLNTLKEAFPNTYTKDDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVAGKRIASGLTNIIVPAPVSSTSALASEFISTYISKKSHLAYSCYC >OB09G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11041815:11052388:-1 gene:OB09G21790 transcript:OB09G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVPPAYGFPGSATKSPAAPGAARRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPASGFPGSATKSPAAAGDGRRPEEVVLAGKRRSDGFFIEEEEELEGEEVLTESSSVGPPSPASSSIGENSSSEAGGGEEGGDGEEEEVESKLKEEVGLGCLDALEESLPIKRGLSNFYAGKSKSFTSLAEAAPPPPLPPPRLSVHTQMGMVRRNGTFRSPRSFSLSDLQNSGWSC >OB09G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11068018:11073688:-1 gene:OB09G21800 transcript:OB09G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCWPDRRHHHARIRFLGGSDRNTAERSRWTGKNTSGDDDEDGDFLFSGVVRAGFLGAGGHSVGARSLLSSDVGVCTEEQQSCRGGGYHHHLPWSALLFPASQTVQATLHRVHS >OB09G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11073434:11073811:1 gene:OB09G21810 transcript:OB09G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGADDADVGGEQAAGADRVPAGAEEAGADDAAEEEVAVFVVVPAGVLPRPARPLRRVPVAAAQEADPGVVMPPIWPAIYHGRLLGLVDFLENSSSSSLLLSFFSSFCLFLLKLLVSTAIV >OB09G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11082177:11083649:-1 gene:OB09G21820 transcript:OB09G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHHPRTLAANIAAFANFGCLKDLPEILYRVVHGPRDERKEEDDQAEEDPRRRRRFKRRCIDHDEAKRARQEKEAQLAQTVLSRYDSDGSFRLLYDRVADTFADLLKSDVEHMRAGENAKIGLAAKWCPSLRSSYDRATLLCEAIARRIFPRESSQEYMNISDKHYAYRVRDRLRREVLVPLRKALELPEVYMTACRWEQLRYARVASLAMRQYKGAFEKHDRSGVDGFLDEVRTGHARVPVDAAMPHELVAAALKGEQDESAELQWRRMVSTLAAGGRLSNCIAVCGLSSGGDVAKPPGAAAIALGLLISELSQDPWKGRVITFDTTQQLHQVCGTTLVEKLRSLAKLRAHKSRLDLPAVFDRNRILTVAIAGGLPKDMMVRRVFVLSDMELDDGGCGGAWRSQDELNAIRSKFEANGFSAPEVVFWNVGAPASSTPVVAAQENAAMVSGYSKNLVRLFLEWDGKLTPAAVMADAISGTEYDSLLVVD >OB09G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11084773:11086473:1 gene:OB09G21830 transcript:OB09G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQHPRTLACNVAALAEFGYLKDFPELLFRLILGADVRKLAKDKAAADKIRKAMERTIVAGRKRSRGHALGRGGIVGPMAFKPLLSDFVSAALSKTKSKPKAETSSSNRDARMDIEEPEVAAQPVAMDVDVDGEKKEVDAKPEKKKVSKKARKMGKLAVQSLEKYYGDHAYRFLFDCVAEFFAQLLASDLEQLAPGVKRRKIGLAAKWCPTPGSSLDQTTLLCEAIASRLFPRDSSPDYAQLSEEHYAYTVLRRLRREALVPLRDVLQLPEVYMSAGRWAELPYTRVASVAMRRYKALFKKHDEERFGKYLADVEEGKAKIAAGALLPHEIAASAMRGGLEDHVSELQWRRMVDDLRAKGSLRNCIAVCDVSGSMTGTPMEVCVALGVLTSELSEKPWAGRVITFSRTPQIHTIKGKTLTEKLRFVQEMDWGMNTNFQAVFDRILRTAVDGQLPPEKMIRTVFVFSDMEFDQASASRHWETDYEAICRKFMAAGYGDVVPQIVFWNLRDSTSTPVTSTQPGVAMVSGFSKNLLKIFLQNDGVVNPEAVMEAAIAGEEYQKLVVFD >OB09G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11087883:11088590:1 gene:OB09G21840 transcript:OB09G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLVSSVHDVKHLINLYGCSEGLEVRVEALHGLSNARSVHTLLMKQIRRMGWRVDGLRHLEVLVGVHMSIASAARHLDKLVLYFETLTAPGSGGDPVDVAAAPGHGGDPVNVAAPGLAVPSPGEDLATTNSNASFDPASTDDDHHDGVNNSEGKLGLATRLHEAGAAILQHQLSCPDIAEIVQLLGGQLQDGSNAIVHQRFLPEKLLAYIKEAKNNLQAAWLLWVEHDQFKML >OB09G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11090820:11091014:1 gene:OB09G21850 transcript:OB09G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPNVSKKVVIRHTKGSHPSLSLKMGCPNHPTAILSPLSIESALNNPPLSDYYQYYYKSLIFWKF >OB09G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11092331:11095248:1 gene:OB09G21860 transcript:OB09G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRDRRRRRRRAANGDVTSLAIKKGTLCQEYDNPRDGKRLRYSWQNLPEDIWCHIHSRMTLQDAARAACVSRAFLRSWRFHPNLIFTEETPSLEQNARRKGDKSCAFASTVDHILENHSGIGVKRLKIMMDSFCNTKITSLNSWFQKAITPGIEEITLAPPSNYNGDYSFPFSLVFDRSRSSIRYLELTNCTIHPTVSPGCLTSLTELCLRMVHIKDEELGCLLSMSCALEDLQLMYCREIRSLKIPSMLERLSHLTISGCYNLQMVECKAPNLSTFSFTGGIVQLSLGESSQVKSLYMACSSVPNFTYNSIPKLPYIVPNIRNLTLSFINEGINTPTIAARFLHLKHLEINLYANSLPPGYDYFSLISFFDASPFLESFILRVHQVGMKHISIFEDDSHMRQTSEHQHASLKNVMILGFCSAKSMVELACHILENATKLKSITLDTVLDAEDEDNIGRCCTNSTRKIGKCTRLTREMILEAERGSVAIERYILGAVSSGVELTVRGACSWCHDIERAKSP >OB09G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11095567:11098836:-1 gene:OB09G21870 transcript:OB09G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit [Source:Projected from Arabidopsis thaliana (AT4G26965) TAIR;Acc:AT4G26965] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGLMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEKKSGARPRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGDDEVSRSEDRTNTEDVITDTNRSSEPTGTGATFKPGTWHPPA >OB09G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11103151:11108073:1 gene:OB09G21880 transcript:OB09G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVAATAPAPPPAGAGDGGGSVGGSNHGGVNNAPVFKEALTWQAYGRTPVLSMVVTSFGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKYMLADYSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESARLETPVMPEQPVEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGAIWSGCTNGSIIQWDGNGNRMQEFQHHTSSVQCIKALGERVWVGYASGTVQVIDVEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELSNKELSYTRMEKINIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGFGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMSFNKPHGSTASATSVQLHRAVNVNGNQVDEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRPISVAECSLECPVVASITSYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIESNEEVRSMLEESCFVPDTTVSTGEIILENQENIVFRITNKCETSKAAFEITCEGQSSKKEEATKSEILPRISFGFPLWLEVQPAVGLIKPGETAEITVHHDDFYTQEEFVDGIPQNWWCEDTRDKECVLTVSIRGSTSTETKSHTINVRHRCPATSAPPAIISNPPAAAAPPSNVLSSEAPSKRSSKKSQSNHKRELREQQQQQDYAQFGSSEVHDLCRMRCP >OB09G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11110315:11118426:1 gene:OB09G21890 transcript:OB09G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:J3MYW0] MAPKVLMVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGMFQGSHAFFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFSAPVLRSECNPKAHIRRHLSQEARGCTYLVLWLDCDREGENICYEVIDCTGIPENEVGRRIFRAKFSSVTEKDILDAMNNLVLPNKNEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASDGVLKVTDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSALAALVHNPLWTNDVRTLLDAGYVKPKQGHDAGDHPPVTPMRLATEEALGTDAWRLYQYICQHFIGTISPDCRYTRTSIEFASGGETFHCVGYRVTSKGFTSIMPWLAVSENNVPAFKKGDTVNIHKIDIYEGSTSPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLVSKGEADHLQVVQHVLQQFMQKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKYISTQPMRLYCVTCEDVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILHPVSAPKWRLYCNKCNCIVLLPQAAHKIATTDKKCPTCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSSHGRGRRGNSRHDDPKMSFRDF >OB09G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11120678:11122198:-1 gene:OB09G21900 transcript:OB09G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLALLLPALGGAGVLNQVGPAVTDGVPAPHAMAGDNLAAKAKLDLLTQTTTAVSSDDGGDSIAKKRNIHGVLNAVSWGILLPMGAIFARYLKTFRSADPAWFYLHVTCQLIGYGVGVSGWATGINLGNLSNGITYTLHRNIGITVFALATLQIFALFLRPKKEHKYRVYWNLYHHTVGYAVIILGITNIFKGMAILGVEQRWRTAYVAALCVLGFAAVILEAVAWGVVAKSRRAESKTFGDASNGHHLPRSV >OB09G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11121321:11121497:1 gene:OB09G21910 transcript:OB09G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPRRVCRSEGLEVPCKDRSHGQQDAPAHGVEDSMDLFVSKNESRVKVLAWCLVRIR >OB09G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11125687:11126469:1 gene:OB09G21920 transcript:OB09G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATTAMHLLAVVLLAASPAATRAGGGSVCGGEKFPAGVSYATCADLPALGATAHWTYDGTAATLSVAFVARPPAAGGWVSWAVNPTGDGMKGSQALVAFRGGASGSGAYVVNTYNVTGYQPFPGASTPIAFNATGLAADESAAGKVRLYGKLQLPRGMETVNHIWQVGSTVTGGVPMKHAFAQENLDAKGTLSLTGHGAMEPAPAPGAGGGGPSAEAENVVAAPSPSPSGKNAAATYTPAPALMILALAGFLALV >OB09G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11127639:11129753:1 gene:OB09G21930 transcript:OB09G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >OB09G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11128609:11129522:-1 gene:OB09G21940 transcript:OB09G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLLAAEPGKLVGDHDVQLRSTLDDLLALAGGDVVGNLGAVCPVVHHKQLQLRDIVHYKLLELVGKVVPCLLV >OB09G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11133168:11133455:1 gene:OB09G21950 transcript:OB09G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVMIWWFHFASVWLGGSRKMCSETLSELEMIQLRHGRRQHGEYHEMRSRPAGSEGKKAMANLPNLGDNDGRFCLCANQDMKDESIGTICLCR >OB09G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11134095:11135810:-1 gene:OB09G21960 transcript:OB09G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDYVSGLLMSAAAAGLDLGVLDAGGGGGFLETLCGGPGFAERAARMCGGGGGGGALFGLPGVAAAEGAGERRCREGQGRHLERQRHRQRPVGEGKLPDSKKCKTEVKPKVEEAASDGSVGDRAQKQSKGKNSKPAAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLQKDMFQPCGTTLNSVFPLESAGAAFPFCDQADFFQSFGLGTMENQCTLDLANTALPHAEHTQYAFQKQQRDLWEDNGFHYNDEQSQEDAVSAPDFDVTSSSRSHRGRVLEDQR >OB09G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11137535:11137729:-1 gene:OB09G21970 transcript:OB09G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSACVSGPILIQAEIFQKSSVVVLVVQEGGTKYFAGTSLTINHRMATAPFNFVYLCVFIAGDGT >OB09G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11140377:11140532:1 gene:OB09G21980 transcript:OB09G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYIPQEYYHLFLSLSTEETRGHKNTYQVKQKHLVQSRVPNAVDLAPLLAKE >OB09G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11150962:11156786:-1 gene:OB09G21990 transcript:OB09G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKESLSGGHLCHVCGYQYPNANPRAKLRRSHRKSCGKTPAAAAGADERKDDAAEAEPRNAVGEGLVPPGRGGGGGGGEPEENGSPGEANAGSASLGSAKGDADLVEVKESSEHTSLNVAGVQDMTDSCSETGLMNRTNDFDEIRKEVGRPAEREDSLDEYQDASPFLHQSDSEVGETVAEKSEFSIEEIKNLDSFSSGVSVAANEISVEIDGAWKEKSSGQPDMTDSGESEVGKDVGCHSDSRAELAEPTVKLESSDELSLNIYSDNTDMVDSNPNKTSDSSEFIDDMNGSTLLISDLESQSTCPRKVESLMEDSLDVLHIISEVSSSSEKQAGPANGESETENNSGNDFVQTEDELKLTNAVNTLTECPSQCKHVKDTLDVQLPVENSCLGDSVCSLDGHQSDHAVTNMDSMWGSDDEDICSEGIKAKGSELGFSCEERPQHVEQSDYIAAGNPFVVKPNGFSEEQVCSKEIGPEVPAIDQVCASQEHAALQMDQMSSTKNPFILDDTRSDDLFELATESYHSPNVAQSKQEVDFTPLPLDQLPFSDQTSIAKGQHSVISDNHILAISSASGNEPAVGTVDVSVSSTYPTKKISLHDAPVNHNKQEDGECVSGINFVPSQVSPPEFSTKSTSQDMNAVKTDENVNAPLEDISTKGMTASLSVDDVEEKKETEGTSVKEMDSIPKADNVEEEKLTDGTSAEMNAVHHTDDAEKKQSGDSVSRETSTLQNLQERQQQAEDTGAKGVPAVGSMGDTDVENQTENTDAKEMKAGCETYSADGKKQTNDTSTEETNENSPAQDACSKDTDTMQTRANAEEKNHTEDPALANQEGNKQNEDISLTAAKQNSERVHVPLKVLLAEASVETKEKKTTAKERVLSFRRRASKDDPSSAKSGSTPKAGADDNKYWSSPARLPENNAEKKSKPRRQPWMPFICCHSVH >OB09G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11156918:11157322:-1 gene:OB09G22000 transcript:OB09G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIDGAHGEGSRVADVTAPPSGGPTRHSLGVREAPRRGGLYSNPPVVVASPSLKKEQEGGGGESRRSGEGSCGERSMEGKESLSGGHLCHVCGYQYPNANPSAKLRRSHRKSCGKTPAAGGGGGGGGGGATGW >OB09G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11157757:11157909:1 gene:OB09G22010 transcript:OB09G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSGMCHSGDFYYSLADTNCDPHLANISIPANGNTMTSIRLTLNKLVSHI >OB09G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11162193:11166111:-1 gene:OB09G22020 transcript:OB09G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPATVWALLLLAAFSCCHVVANGRSAAHGVGVNYGRVADDIPPPRRSVELLRAVGAGSVKIYDGNSSVLRALAGTGMRVSIMVPNEIIPGLAASAAAADRWVAENLVPYYPETRVKYLLVGNELLSDYSIANSTWPRIVPAMENLHASLRRRRISSVKISTTLAMDALSSGSFPRPPSAAGVAGAVVRPLLRFLNGTNSYYFVDAYPYFVWAGNNETVPLEYALFQGGGRYVDPGTGLTYTNMLDEMLDAVVVAMAKLGYGGVKLGIAETGWPNGGDYEQIGGNVHNAAIYNRNLAARMAKNPGTPARPGAKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAVYPIDLTGARPLWSYPPLPPPENDTPYKGPVWCVLADRGGKLNLTAVGDALAYACGQGNGTCATIQPGRECFQPNTTAAHASYAFNSYWQQLRKNGATCYFNNLAEETTKDPSHGSCKFHSSLD >OB09G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11171311:11172737:1 gene:OB09G22030 transcript:OB09G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACGAVRSAATSADAAELPTSPIIRPCHKYHDIAGSRQSSSSSSSQIDVRVIDRSSSSSMATSSRRMRAVQYDKYGGGAQGLKHVEVPIPAPKKGEVLIRVEAASINQVDWKFQKGIARPFLPKKFPFTPGYDLAGEVVELGSGVSCFEVGDKVIAINFPDGGGLAEYAVARASRTAEDMELLVGMAREGRLKAVIESRCPLSRAEECWAKSMSGHVTGKAVVVVGEEE >OB09G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11173337:11174176:-1 gene:OB09G22040 transcript:OB09G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTPLLPTKFLHLKHLTISLSAWTFSPNYDYFSLVSYLDASPLLEVFILAISQECIESESIFESCSHLRQMPEYRHEHLNSATISGFCSGKSLVELTCHIVENTTSLECLTLDTTHGDGRCSGNGSSLCSPASQSVLMESPRTLLAVRRYIEGKIPPGVKLNVVELCRLCTRCDALLR >OB09G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11176073:11181261:-1 gene:OB09G22050 transcript:OB09G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVLNRLMSIRRPRRRRFRRAKLARKGLIATSAKRKYSSCQQDDDDDSRGGKSMRKSEIYIPEDILCHIYSLMPMRDAARAACVSRAFLSSWRCHPKLTFNENTLGLRSCGLEKHFIRRVDDILEKHSGIGLKTFKLLQFPDNLDICDHVDRWLQFAMTPAIEELTVIMYEAMLPYNFPCSLLSNGIANSIRSLVLGNCAFHPTVELASWRSLKKLCLSWVCITGPELGCLLSNSFVLEWLELKYCKEIVSLKIPCTLQRLSYLDVFQCKRLRFIKNKAPNLTTFYLSGYNVNLSFGEWSQVKKLRVCDSRLVRYASDNLPSMMPNLETLSIDSFSEVVNATMLTSKFLCLKFLNISLSGLTFSPSYDYFCLASFFDASPFLETFFLSISQQQMEHESKSIVGDFSHMRQIAEHRHEYLKSVVINGFCYSKSLVELTCHILENLVSLEYLTLNTALCFANPFKRRPGLCVPMENKGILKEQYEYVACPTKAAFASGTKEAFVAKHGPSAGTATHDAEPLLFIVTTAGFVTMLGPLVEYETEVEAFFQKFSKIGGVFGTSSLRSGGASFIGILAQKLDRGSILDNHCARLCKKKQLLNRRKGSTHTANKMMILKEVK >OB09G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11184003:11184510:1 gene:OB09G22060 transcript:OB09G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPPPSGKMRAVQYDRYGGGAEGLTHVEVPIPAPKKGEVLIKMEAASINPIDWKIQSGMVRPFLPWRFPVIPACDLAGEVAAVGGGVSGFKLGDRVVSINFPVQ >OB09G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11194425:11194655:-1 gene:OB09G22070 transcript:OB09G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYALRPALRRVAQRVARVDDGLELPLPHHVHQQLRVLLVRREHEGRQPLLGEAHPVEQRGGDGGGGGRDVDNHAA >OB09G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11196685:11199242:1 gene:OB09G22080 transcript:OB09G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAKTMRALQYDKYGGGAEGLKHVEVPVPAPKEGEVLIKMEAASINPIDWKIQKGMVRPFLPKKFPFVPVGDLSGEVVELGAGVSGFKPGDKVISMSFPNCGGLAEYAVAPASLTAALDYKTPGGAALRSPSGKKYDAVAHCAPPAPWSTFKNVLADAGGAVVDVTPGIAATATAFLHKVTFSKKRLVPLILMPKKEEMEWLADMAKQGKLKTAIDSTYPLSRAHEAWAKSMEGHATGKIIVEMGSTD >OB09G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11200837:11203539:-1 gene:OB09G22090 transcript:OB09G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTTDGPIDSVAKRKGSPCQQDGDFQDDKRPRSSVNLPEGIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLLFNEEIVLLDKNTFGNDETTRNLTSKVNHILQNHSGIGVKKLEFVFFSCTSVDFSYLDSWLHKAVTSGIEEVTLMLPTNSNAGYNFPCSVLSDGNGNSIQYIYLSHCAIRPTVDLGCLRTLTNLHLCSVRITGCELECLLSKSPALELLKVMSCKEIVQLKIPCLLKRLHTLYVNGCEMLKVVESYAPNLTTFDFTGHAVQMLGLLQMKNFDMLMQTQIVSTQTVLGKFLSLKHLHISLNKPPNYDYVSLVYFLDAAPSLETFILLTPYIHLPQGHMGYAWTAGDSAQLRQMPEHRHDNLKKFEVSGFCYVKSLVELICHILETTSSLNHVKLDTSYRSGCHASGRCYPYGTEQMREACNAVLAIKTCIIGKVPPKVELDLVQPCSRCRALVQ >OB09G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11204159:11205284:1 gene:OB09G22100 transcript:OB09G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGFSSVALLLPSPSSPRVQWPHRRLRLASRQPLSPLLFSLRGGFARPGVVWRLRRRLRRGSGRLSTATPPSSSRVRRPLSPLPISLPGGCVQMARLTSPVTRRVHPTVRATVLRMPGNRGSRKNRDEFKNKKFRFKTTRFSTGTTLMLAKLAGLHVTAACGARNLGFVGGLGADVALDYKTLDIGEEVRRRGALRAAGAVVDVQGRPGRRRRRRRRRQSWRVAATATAFLHKVTFSKKRLVPLILMRKKEEMEWLVDMARQGKLKTTIDSTYPLSRAHEAWAKSMEGHATGKIIVEMASTD >OB09G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11204814:11205259:-1 gene:OB09G22110 transcript:OB09G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVAWPSMLFAQASCALLRGYVESMVVLSFPCLAMSTSHSISSFLRIRINGTSLFLENVTLCRNAVAVAATPGLTSTTAPPASARTSLNVDHGAGGPQCATASYFFPDVQGLVVERHVGAEAADEAEVARAAGGRDVQPSELGEH >OB09G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11209415:11209748:1 gene:OB09G22120 transcript:OB09G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRACVPADRRRHGARGAADSRAPLRRRRRQEERAGHIAVQLDRAAVRRAQRRPRGRRRGARLRHPGGRPRGGARYDAVVHILAGVLADACVVVDVTPGAVGFNTALRQTVT >OB09G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11214646:11217484:-1 gene:OB09G22130 transcript:OB09G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTTDGPIDSVAKRKGSPCQQDGDFQDDKRPRSSVDLPEGIFWYIHSLMPLQDAARAACVSHSFLRSWRCYPYLLFSEEIVLLDKNTFSNDEITRNLTSKVNHILQNHSGVGVKKLGFLFFRCTSVDFSYFDSWLHKAVILGIEEVTLMLPTNSNAEYNFPCSVYLMRLHTLYVNGCEMLKVVESYAPNLTTFDFTGHAVQMLGLLQMKNFDMLMQTQIVSTQTVLGKFLSLKHLHISLNKPPNYDYVSLVYFLDAAPSLETFILLTPYIHLPQGHMGYAWTAGDSAQLRQMPEHRHDNLKKFEVSGFCYVKSLVELICHILETTSSLNHVKLDTSYRSGCHASGRCYPYGTEQMREACNAVLAIKTCIIGKVPPKVELDLVQPCSRCRALVQ >OB09G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11221994:11222248:1 gene:OB09G22140 transcript:OB09G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLHHWNLMAHAKKQLWCKGRNGQKNRPRMLAARMHDRWFRKGANMDRLPVRINKAYKESKVQLLCYPLISTQPAKFASNLIF >OB09G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11223495:11224973:-1 gene:OB09G22150 transcript:OB09G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAAKGMKLERYASGGAMLLLLRRASGGKIVSASSHLLFRATVLATLGLVFLFTFHYPSLLSRSFSLSGGGGDGGPAHASHRSLLMSSSSSYSASAAYGGAAWEKEVRKSAKPRKDGGIAVLVTGAAGFVGTHCSLALRARGDGVLGLDNFNSYYDPELKRARQRLLADRGVLVLDADINDGLLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEDHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKSIVSGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTSGKSTGSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVTMPSNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVTWFVDYYKLKLDIPKLTKPAGADKPSSSSSSSKKKKATAMAASS >OB09G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11236158:11240645:1 gene:OB09G22160 transcript:OB09G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDQEDVDKVPQADSDRGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFAWGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDTTLWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGIPEDKITPVMNKLPAKA >OB09G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11241003:11243932:-1 gene:OB09G22170 transcript:OB09G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGARRAQRRQSQEGSGDKVVVNLDAIPSPVVSRREAPTLTGARASPIDVEALDDEVQTVSASQVPPPRRNRRARRQPVAVVDLEVDARREGNKRQRVAPVIRLSPERGEGSSLQSNNAVKTNKEPPKPKEPVFNCPVCWNKLEEPSTTICGHIFCTNCIKQAIQIQKKCPTCRKSLRANNFHRIYLPGSDS >OB09G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11243067:11247142:1 gene:OB09G22180 transcript:OB09G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVYPSGYGYGEIFLPVTGIGILMITNIDITGGGTCEADTVCTSSSRASTSMGEARAPVKRGSRKVASRAVWVEDAGAAEVVDSKGKLWLTTGVSRDGKLYYNVEEIGFLAERGALVLLDDEGETIGMEEIYGKIAGGKYGCSWDGFQAYKHLKLLGYIIGRYGVPWTVKRSHSNFSISLADTDQSLNAAGGACNDISKLLKEMSMDDLHPSFEVYLPNSKFKKSSPGDPSFLLCLLSNKPPSREEMETAENKFEGIPLKFCHVDNGRVSFLSFNKAGLPSLP >OB09G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11247699:11251204:-1 gene:OB09G22190 transcript:OB09G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQVREGKGEGEEEDRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLRVRRDVKLLLATGLGDVLTAVSPVVASRKPANTILVVGRQGKKKPGANHSHHERLLGVARLLSEMAEPVMKGAVQISFLLARSFFVDLCTAVLALLARVRVLVQQMLLDVVSIYNKATDLIDKKQSVKISIGGAEAFREYYPSSNDAHTFLECIWVKDKFILHEKTKDNCEKVQNDDQNSCASKLSVLYETLGQVSEDIENAEGWNSPTKQPDAILANQPETTHLHHEEDSQSSRQLVNDNGSDSLSDPLATNVHLTPCPDVKPETKKRVAFIAVGNSKVTASSIGTTSTKKQRLDVIPHATAEPEDLYGKFSEDTDKSIF >OB09G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11260530:11261204:-1 gene:OB09G22200 transcript:OB09G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNLRDLLKLRDGEGEDDGEGGGGGRRHHRQPAPPVVVVGRRRTLLDVIRGVDDHDDDEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAWEGGAACAWPAARARPSSPAATPSAAAALASSAPAAAAARSATPPSTTSSTSSDHHHHHRPPPPPSPPGAGDDILAGIH >OB09G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11262943:11267925:-1 gene:OB09G22210 transcript:OB09G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:J3MYZ2] MGKKLKAKTKNPRKTQQQQQQREPAAALSDAGSGDAESQDAGNSTEEAAAASASGREQCGHYGGDIARLDKVLLEIMSSKHFASCEHCRDDMPRKKGGGGGKEKGGKQQKRKGGGQKGAAAKGQAKAQKSDMWVCLDCGRQFCGGEVDMTKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAVPAEAVAAVDRDLGLVNLHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRSKMLGPDVPTGALSMSLKKLFMETSASNDVGGALSPKNLFSNICSKYPQFRGYQMQDSHELLRCFLDGLRTEENEAWKLADESSNAAIPTIVDSIFGGQLSSTVSSTECTHSSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRNKQSIRDRNKNRRYGRISPRVSPIVQVNNKEKIETVAECNDSQIPGSESGQVVCEKEPEPSECSESCASVPNLEQTGTSNVEDPACWLDYIDDADEAKSEILDSADSTEAGHIWEDKGVIHGPFLPQDYALSKEQVLGSEHSGENLIDDATSSHPIILLPYKEFGSTAEEMDATVENSQKPEDALAPPAVSLTEDNAQPASVGDGDQDDFAGLGDMFNEPEVTSEVKKETGTVENIDVMAWSSNSAEDEVDDSNAPVSVEGCLALFTEPELLSEPWHCEHCSNSVACPDANDGKHDEMATSANERKDGKEMMAGGDERQDGDKLITNCIEEEGTDQIMATDGCSDNLNTDMNSKEGGCANSSLAGAANSGDANFSDNGKVALLKSGASLVETEQADSKLHHLETQDLNNSALEYTSLSKQPRDSTQHKDENNVDVASEEATAPECCRDDESASCSTTNKNEAECGAGSEEIVASSLPSEMQRILPGERDNEDVITRNQGRRKRMKMVGKAHQGRDSQNEQKQNGKKVFRTAMRRILISKAPPVLTINLNRFSQDSHGRFKKLKGHVRFKEIIDVQPFMDPRSKENDNTTYRLVGVVEHLGTMAAGHYVAYVRTGKIGGRQQRSTDSKSWFYASDAQVREASLEEVLNCEAYILFYERVGD >OB09G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11268801:11271037:-1 gene:OB09G22220 transcript:OB09G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:J3MYZ3] MRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPILTDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEKSSNGSAFAAPHPEEQRQFLHCLRFAGAGDEINRGRTVWRKLAR >OB09G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11290916:11294650:-1 gene:OB09G22230 transcript:OB09G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALVLGYGSVRWWRLFTPDQCDTVNRLVAYFAVPFFGFDFASRVDPFALSYRITIVHGRFQTNDEFLRNLQWHVQTPSIIEGSVLIMSKTGVGLSMFSMGLFMALQEKIIVCGAGPTVLGMALRFVAGPSATAVGAFALGLRGDLLRLAIIQGYIRDIGVITSADRVLHCSRLHTIGGH >OB09G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11296135:11299630:1 gene:OB09G22240 transcript:OB09G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:J3MYZ5] MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSTQGTGSSLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGMKREYIDLRKD >OB09G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11301631:11303427:1 gene:OB09G22250 transcript:OB09G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIQSIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVRVLRKKYPSLDIEVDGGLGPSTIDVAAAAGANCIVAGSSIFGAADPGEVILTLRKSVEGSQDNN >OB09G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11304584:11310444:1 gene:OB09G22260 transcript:OB09G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:J3MYZ7] MPEKAVDDVMDSAVGAHFSGLRLEALRLSSPSAPSSPSSAKAAAVAHPNGAVYANGTASSSSAEAAELASPSALRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIFPNVYVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTASVYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCNRFPRLKIVTSEIDTGLSEEFRVIPGLGEYGDRYFGTDN >OB09G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11312083:11315337:1 gene:OB09G22270 transcript:OB09G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MYZ8] LAGARGVLQALLVAAFLLLAANAAAAVTVEGSTTPEPDNIQPLSTLKIDAARVMMDAGSVIHASPELLGADGEDSAWVAVNFTTPAPTADHWIALFSPANFDLIMGGNQSDARISAEGDDEAPAGLPMAPIKYKFANISPNFMSSGSGNISFLLINQRYDYAFGLFSGGKDNPQLVAVSNKISFANPKAPVFPRLSQGKEWNEMAVTWTSGYNVDEAYPFVEWRMTGDDDARRTPAGTLTYTRGHLCGKPANAEGYRDPGFIHTAFLKNLWPNREYTYRIGHELPDGTRVWGKSYTFRAPPSPGQASLQRVVIFGDMGLGQSDGSNELAGFQPGAQVTTERLIEDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPVASRVPYMVASGNHERTSRDTGGFYNGDDSHGECGVPAETYFYAPAENRGKFWYAADYGMFRFCVGDTEHDWRPGTEQHAFRDRCFAAADRKHQPWLVFAAHRPLGYSSNDFYAKEGSFSEPMGRSLQPLWQKHRVDLAVYGHVHNYERTCPVYENTCTAAPADTKNGTATYSGALGGTIHVVAGTGGAKLKGYAGGDWPQWSVARNESYGYVKLTARDHSRLEFEFIRSDDGAVLDAFAIERDYKDILACAVDACDPHTLAN >OB09G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11316765:11322856:-1 gene:OB09G22280 transcript:OB09G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3MYZ9] MPGGIRILLVVLAAVAAAGGVAAVSAFSSSSRAGEQPLSLIAVHRATIGIDAAASVGASPRLLGVKGEDTAWVTVDFAAPHPGAGDWIGVFSPSNFNASTCPGSTDPGPVICSAPIKYQLANYSSNYGKSGRGTLKFQLINQRQDFSFALFTGGLSYPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIQEAYPFVEWGMKWNPPVRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKVVWGKFYSFRAPPYPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMLASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYSTDYGMFRFCVADSEHDWREGTEQYAFIERCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQGRGGXXXXXXXXYGHVHNYERTCPVFEGQCASPERSRYSGAVAGTIHAVVGGGGSHLSNFTAETPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGKVYDSFTVHREYRDVLACVAGSCPPVIPPPT >OB09G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11328697:11336541:-1 gene:OB09G22290 transcript:OB09G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQKSKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTSGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRILVLKRTILMKLLYYEDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDIESDQDNDFSDTEVHPSEAERYIVAPNDGNRKVQNGTISEDDTQDPAHEEELTAQVREWICSRDTESLEVSDVLINFPDISMEMVEDIMERLLKDGLLSRASKDSYSVNKISDPRTPHIKKEVIMQNVSPTEGAKNSNGDLMYMKALYHALPMDYVSVSKLHGKLDGEASQNVVRKLIEKMVQDGYVKNSANRRLGKAVIHSEATNRKLLEIKKVLEVDIGEQMAIDTNAQPDEPECKDHLRSGHEVTDGSTMGCLHSVGSDLTRTRELPEQQQNVSMQSGQGASTVDEDPTRTPTSVREQVSVCSLESGVLGQKIRKSLAGAGETECTQDKRFRKASTVKEPILQHVKRQKAQVQVQ >OB09G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11344833:11347820:1 gene:OB09G22300 transcript:OB09G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRGTPPAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLSDLRRALRRPPSSVARSRLWCPFCSADLVDLDSRFACSNVIYHLASQDHLNGVKDFLRKHGGGMDQVDSFRISEDELAKWEKCCESSSTKPEPLTEGLIGPSLGPLEVKDIRNKSTSKHLDNFVDIPSSSNTVSNVVMPLQSPTNGAHYPNSTACHGSSSFGSTLCSSPFETFGVPITPCGLVVSHEQQSMLGSNLFHNASTKMKGAQPTILGNGPNSSISFSVHVQQRNSGGNSGLKANVHTGAPPPWLEASERDQENDSLGCYARTSSRKGKSGKLNPNRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGSVWQSGTRKESRKEFEKNHKSNDEKSSKLSIEIKPYISKRMRSDCNKDEQSDIVVEQ >OB09G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11358962:11359384:-1 gene:OB09G22310 transcript:OB09G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAAVAAAAAAAVIAGTALCSRSARSQETGIFRSQSMRDPGSSWSHHFLATYLCLSLSLSFTVSPPPPPPEEEEWPVCRLRPSLSSYGHAARKVATSGNESLHRDTNKSSSRTGQMQQISSSSSKAYPEFLIGRIEKH >OB09G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11363649:11364749:1 gene:OB09G22320 transcript:OB09G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPHFVGGSPSSSPTAAAFSSPKEGRRFPFLHEGDQISFGGAAAASLEISVCQPLLKTATAAAVAPPPPPPESSSSNKMFSDGLTTRHHHHHHQVLDSDCALSLLSSPANSSSVDVSRMVQHPSPAAAAEHHHHIPVAQPLVPNLHQQFGSSSPWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSSSPWFGSCSQATAAATGDGGAAGGGFTCPSMESEQQQLNTVLVPGSNDNEMNFHGIFHVAGEGSSDGTSPPLPFSWQ >OB09G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11369804:11377227:1 gene:OB09G22330 transcript:OB09G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKCSYGGPDTTIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKLEESNQLHGQVWEQGATLVGYERQSPHAVQQVPPHGGNGFFHPLDAAAEPTLQIGFTAEQMNNSCVTTFMPAWLP >OB09G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11379248:11381278:1 gene:OB09G22340 transcript:OB09G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWLAVAACVCACLCAAAEAKATTHHHTWNVTYQHRSPDCVTKLAVTINGESPGPTIRAAQGDTLVVTVHNMLETENTAIHWHGIRQIGSPWADGTAGVTQCPILPGETFTYRFVVDRPGTYMYHAHYGMQRVAGLDGMLVVSEPDGAAEPFAYDEERTVLLMDWWHKSVYEQAVGLASIPFAFVGEPQSLLINGRGVYNCSLSAASGGAGAGGGACNASGPECVWPTLFTAVPGKSPGKTSRLRIGSLTSLSSLSFGIEGHTMTVVEADGYYVKPVVVKNLFVYSGETYSVLVTADQDPSRSYWATSHIVSRDPTRRPPRAPPTPPPAGPAWNDTRSRVAQSNSFVALPGHVEPPPARPDRVLLLLNTQDKIDGHTKWAINGVSLQFPATPYLVAMKHGLRSEFDQRPPPDSYDHKNVSISSPPQAAATVRRAAYRLALGSVVDMVLQNTVIPANNRSETHPWHLHGHDFWVLGYGDGKFDPEADGWRLNARDPVMKNTVALHPMGWTAVRFRANNPGVWLFHCHLESHVYMGMGVVFEEGVDMLPRLPAAIMGCGRTKGHHY >OB09G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11386306:11388253:1 gene:OB09G22350 transcript:OB09G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAAAAAAEDDQSSRVMYELCALLLTVLRSSPEEGGAGRAVAAAGASMLLGASVALMLCGSVTFMLGFFLMPWVVALACVFLFVGFVTNLSGIGRAILWPAGASSSSSSSPKVASTWYIFSKPPFMQM >OB09G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11389803:11390614:-1 gene:OB09G22360 transcript:OB09G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3MZ07] MIASRGNDAEKDSPDNLSLAGDGFDTVVRAKAAVEKKCPGVVSCADILAIAARDVVAMSSGPHWTVELGRLDGLVSKSGSVAGRLPGPDMRVRELAAMFAKSNLTVLDMVALSGAHTVGFAHCTRFAGRLYGRGGVDASYDPAYARQLMAACPHDVAATIAVNMDPITPTAFDNTYYANLAGGLGLFTSDQELYADAASRPAVTSFAKNQTLFFEAFKEAMVKLGRVGVKAGKHGEIRRDCTAFNT >OB09G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11397892:11399573:-1 gene:OB09G22370 transcript:OB09G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSLRLGYLLVLCILTFLRPAVAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHFTKDGVDLVVKDPNGNQIHDSRDKITDKFEFIVHKRGVHRFCFTNKSPYHETVDFDVLVGHFSYFDQHAKDEHFGTLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMGRRAVHKALFESAALIAASVVQVYLLRRLFERKLGTSRV >OB09G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11400957:11403190:-1 gene:OB09G22380 transcript:OB09G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPARKKPEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >OB09G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11405229:11405447:-1 gene:OB09G22390 transcript:OB09G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDRHEGLPVFSNQHLTEPAPILSFSPALAGHFVKKLQSQFMLSCCNLDKLIHSTILDCFRRRVLSNRKA >OB09G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11407461:11408733:-1 gene:OB09G22400 transcript:OB09G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVAMVERGRMGLIMKTLDRCRPPAWRRPAEGCFSVYVGARRQRFVVRTESVNHPLFRALLEEAEEAFGM >OB09G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11406978:11408380:1 gene:OB09G22410 transcript:OB09G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDPTRPGYTRLLVHKILIKYRVTGKYVRGVGAAEGRRGILTRGKSTTSSDNSMEKITRTPRCVVLRSAGRGRAAGRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGTSSR >OB09G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11410359:11412364:-1 gene:OB09G22420 transcript:OB09G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSGAAIAVFLALLLVLSGTEAKFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCNFKVNSSMAEELSLEAERTTDQHGVYKLDVPAADGFECREGHDLRSACRATLVRSSSAACNVPGLRGSTQHIALRSRATNACFLNLNALNFRPANRDGALCHGAGAGAGGAFGSSLFFWPVLPLFWPPFRLPFPASPGGAGGTVSFPWPFPVPDWLGPFLRPPFLPFPLYQPAPVTSAPPPFYRFPPSQEAASSQP >OB09G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11413388:11414994:-1 gene:OB09G22430 transcript:OB09G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3MZ14] MDHLSASVFGILGNIVSFLVFLAPTPTFVRVYRKKSTEGFSSVPYVVALFSCTLWILYALVKTNSSPLLTINAFGCVVESAYILLYLLYAPRPARLRTLASFLLLNVATFSLVLALTVAAVAPPHRVRVLGSVCLAFSMAVFVAPMSVIMVVIKTKSAEFMPFSLSFFLTLSAVAWFFYGLFTHDLYVTLPNVGGFFFGCVQMALYFIYRKPKTAAGALILPTTXXXXXXXXXXXXXXXASALPVLAELHKMEQEIGTPRKGAIKTV >OB09G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11418222:11422377:-1 gene:OB09G22440 transcript:OB09G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MZ15] MYGPPSRGVGAAGEQPRVYQVWKGSNEFFLQGRFIFGPDVRSLFLTIFLIVAPVLVFCIFVARHLINDFPDHWGVSVMVIVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAEHSSIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDQRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQIRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSRGHLPAELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSMSSGHGTTSSTH >OB09G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11427215:11428464:1 gene:OB09G22450 transcript:OB09G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFRATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQMPEARREPGGGEEQEHVVVARVLAALKPRDGCGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRPRRVPRAAGGERAVSDPGLRRATVAAAAGAQGRRATKRQESLAY >OB09G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11432372:11432889:-1 gene:OB09G22460 transcript:OB09G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWNLCSCHGRMLLLLLLQLNSHSHKGVTDSSSRITTCTRFRRENSKFRDGVPVPLKKYLLLPTSNRILFSQNKFIFQI >OB09G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11432897:11433699:-1 gene:OB09G22470 transcript:OB09G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAGDLLLRREGTMQVQMRSPPMQTEPLNISLKILVSSCKKFHLHSIQWENRCGLGVNRYHSAEILKLV >OB09G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11434454:11439513:-1 gene:OB09G22480 transcript:OB09G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKAGGAAATNTSTLFQMLQLIQAEGWGGLYSGLKPSLIGSAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVSRANSTEVSILKDRLYKIDSEKPRPYGTILAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTMDAIIKMIRYEGFHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVLLIARSRTLLGPSSKKR >OB09G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11442961:11446190:-1 gene:OB09G22490 transcript:OB09G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPGDPSNPEPTRDEIIDSYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEEVSYKIKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANDRNRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVPPRDAPPMHNAQGNVPPPPPNAGAPPSYHPHAPNPQAGYANYQQGGAPGYQGGAPGYQGGNQGYQGPPPPSGYQGNSPGYRGGGPGYQGGNPPPPPYQGGNHGYGGGGGSPGYQGQGGNPSYQQGGNNYNAGPPGYERDGPGRNYQ >OB09G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11449115:11450541:-1 gene:OB09G22500 transcript:OB09G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTGARRALRILREASAMTRGRRARMLLVALVVFAINFALVMLLARMARPAVSLGATVRPFYEIEENSTKSASGGAEGKELSLPPLWKLHITGLLFWDVSTVVAIFFFCKCCLPGTGAGQHSLWSQCTSVAMAIIVWEVTSSFASGALEANGFQDLSHKFDDIFGSGYLLTAVVIAREDVLWFRAVERAWELAALRIKDVTAIGVMVLLVEAALDLLYRLAWNNRLREREVIFSLVAALLHVVMQSFLCCMILALYNE >OB09G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11453309:11454667:1 gene:OB09G22510 transcript:OB09G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAWIAANADPSRVYSIARQLRNFDSCYACLCSSLVLLKWRNWPPTGRTSKIVCSGSEGDVTMVMPATTAQYLKQKLSSESYLTLSSKRTLLCDEMRDSVKEYFV >OB09G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11463552:11463857:1 gene:OB09G22520 transcript:OB09G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRQLPPGFRFHPTDEELVVQYLRRRALSRPLPAAVIPDVHDAAVLDPWDLPGAVSCSVSRRQDRRAARAEIDRSSFSGFSLCAGAGEGEAYFFSFRQL >OB09G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11464754:11465107:1 gene:OB09G22530 transcript:OB09G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPDFPEEQQIIKKATHGRRRPTAGHRRSGRSPSAAVAIAVVVELCPGRCFRRRGGGGGRRSQQWQHQWCSGCLSKRGLGMLKISLLAVIFSFFLSFLLFSFVPPLLFLYFSSVP >OB09G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11468867:11475011:1 gene:OB09G22540 transcript:OB09G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:J3MZ25] MLGAVRRQLGSGPMLGQVLRRLRPATAAAAGGARGCSASAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFSLPIGKAKVEREGKDVTITAYSKMVGYALKAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEESFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERKAVPQIDDIVRAAKRACYRAVPMAATA >OB09G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11477225:11481912:1 gene:OB09G22550 transcript:OB09G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRHRGGVQSPAAAAANELLAAAQDMSDMKSCYDNLLAVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSLSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKDTGASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDDENDDDYNDSHEELSFDYGENKEGTEAGHTSRSPTEELLDRSKAEHLSFPGERQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLNTYVLPTPNDVRDTSQTVTGHPTTGSPLGNKGAFHSSPLQPSANVGDLRDNKLPSPARLSNAHSVLKESNTNTADTKTMLVVPLGDLTLPSYLDLKTSDNKKVKRESFSVPIAPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPSTSPPLLSSPKIKELHELPRPPANASKHTTFSSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSSLPSAQTSLEDRPLSGATESVSKT >OB09G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11485801:11490965:1 gene:OB09G22560 transcript:OB09G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPSIKEEVPPTISASLIVRPRFADNGICPLGKLPAESSSNRASPCSSIGDFRRNDVLDSLSGFDGHFRASHAAYGPTGFQGLKPDTGDASSRSCTKLGSNVQVPAMRIVGFESGFASSTGGPDKMADDNMDSPLIMDNCHSLIEQHRSQARKRVLSPLNNALPGHFHGDALHIGSDGAKIQHSDCPKRLYPYGFQDCKKANTAILDSFKAPRWPVMRNSNWSTELVVDKFSGSTLTDGPLLESSESLYCSDHLEAESVMSIENTAISLAKLVHPPLLNLSPLGPKWMHATKPEAAHGDLMGEIETKGCEEYSERHARLRIREALEKTNILHDDFNVKIPKKILCNKLQNWGPDSAPVSPRVGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGKFSPPTQKLPFAAASIDEDSSLLYYSSIDLAGRLSVSSSKSPKLKRSLSNHDSRSVKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLTDMPAGTKTFVRQKVTLFSVSPSNQMKEGSKASELKVESVKYGSELRECGTLFSECWGPGQNCNLTDDSEKGHRENLTCCSMECDIRESNEFSSLESSENGISTNICCCQSDTFPLGEKKYCCRSSKINDTAGGALRYALHLRFLSPFAKKSSRSMQRCKSDVSSEPYSNNTGPEEHRRFYLYNDIRVVFPQRHSDADEGELRVEHDFPADPKYFNISN >OB09G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11490193:11496594:-1 gene:OB09G22570 transcript:OB09G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDRTSFEGLVEYVERAVKSEGQRAREKPVYLVGESVGACIALAVAARNPDIDLVLILVNPGTSFQKSQLQSLSAFLDLVPEPFHLTTPQMLNFLTGNFMKMPSTIVGRGFSFQEAGQALSEITTSLLPSLTSLPDVFPKESIVWKLKMLRTASSFANSRLHAVKAQTLVLASWNDELLPSREEAERLRDTLEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLQTDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGKIVRGLSGLPKQGPAVLVGYHMLMGFELGPLVTGVLSSTGIHIRGLAHPFMFDKNKENMMPDPSYYDMHRIMGAVPVTAANFYKLLAEENFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDNMLNGDGVKLRTNSTGELKDQAIHPVVVAPKIPGRFYFIFGKPIETRGREKELRDKENAQQLYLNVKSEVESCMKYLQDKREKDPYRSIVPRLLYQMVHGVDAEVPTFEP >OB09G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11505220:11507576:-1 gene:OB09G22580 transcript:OB09G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVESAPPRPAQAHSRSTSPPARRSSAVPSSHLPQNAAVAAPPQRATLPYMSMPVMNPAACADLGNGEHFTDDNELVWQRTAPSDPPCQIWDFNLGKSRDHDEHSALEIQFGSKDGGFTIKSYNDMIEEVSSSSRKALEYIYDSTYSYAAEDVVSANIYQLTPKQLSTDTSSNKRQKNDAHAVATDGPLSSSPEAAAALARENPSSDQAAAGAERPSLKTTDSQTIAMNRDNAMQRYEKHIRYESRKMRADTRARVKGRFVKSTDILVGDGGGDGG >OB09G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11507588:11508577:-1 gene:OB09G22590 transcript:OB09G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLCGSHKWKYILYMAIFSFLLSFFLSFFFFERRLFSLHWLVCVGLEVIVEPTSPALSAGLCLGSPKLIWASIYPAQLIRGAHRQSQSKSARYFAPRPGRRGRVAPAGARHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRREGRDGLRRRGSLLL >OB09G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11512732:11518027:1 gene:OB09G22600 transcript:OB09G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKVPPPQDGYPPPGYSQPYPPPPSAGGPYPPPQYYPPPSQPPPGYQGYFSEGRQPPYYYPPSHDPHHHGHHHHHHHEDHHHHEDHHHHHGHHDDGDCCLGFLRGWLAILCCCCVLEECCCCCC >OB09G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11518556:11519002:1 gene:OB09G22610 transcript:OB09G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRILDIQRKITNKDTNKKFYSVLIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELSS >OB09G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11521370:11524763:1 gene:OB09G22620 transcript:OB09G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTSFELHKEGSLISVLISCSLVGLGRFGKMFKNTFQSGFLSILYSLGTKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPAATLGIKLPFLALIVKNLKKYFTFEIQVLDDKNVRRRFRASNYQSVTRVKPYICTMPLKLEDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRIYFADRLYSEEELPPEFKLYLPIQKA >OB09G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11538362:11540616:-1 gene:OB09G22630 transcript:OB09G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNGFLFCHGHGASTTASAAIHEDASMETSSVVLDHTSPQGTASVDKKRKPREEDSASLNSAHSKEAKESSRKRGGKKHNRDQMEDDAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKIEGMFHEAAAIPASVLNRASPAQSQSIMDTSNTSRPTPYSLQVQGGNSQSQDNGSYIMQTVGEPRQELFNQVVLNNYMCSFQ >OB09G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11545684:11553147:-1 gene:OB09G22640 transcript:OB09G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGAELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLVQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLSYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINNSPNGDGIQAIGRSSHKMIEAVMQSGSKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYTNQRSSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHNRTASESSSFSSSTELDHSISEDCMMERNPGSGYFEHSGRTTQHHRTNMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLPSSNSVDHGDISRADGLENTSRNLIFSKPKHSDPIAVKEKFIHAKYAERDFVQKHNMDDSLIAQQMWDNVSSNNKKGVYSLIVGSNADVNLTYGQTSFNSALTLGKALLLQEQPASPSDGSSRCFDRSSLERISPRDSLSPASTSARIDELDDCVDGLSLLHLACRVADVGMVELLLQYGANVNSTDSRGRTPLHHSILKGRHVFAKLLLSRGAESQAMDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >OB09G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11562943:11566408:-1 gene:OB09G22650 transcript:OB09G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLPLMRLMAMKRERRRLRRKRRRQHALAPDESIASMKKRKSSSYQQVENTHSDKRLRYSVPYLPEGIWQHIHSLMPMGDAGRAACLSSAFLYSWRNRPKLTFSTETIGIVERRPDFIRKIDRIMKKHSGIGVEALTIEFMDLYTTKARSYLERWLQIVVTPRIEELSLMVSPLKRKSYYDFPCSLLSNGNGSSIRLLDLHCCTFHPTPELGCFQSLTRLHLERVIITGDELGCLLSSSSVLERLELRACYHIEHLKLPSTLQQLSYMEVCDCCRLRRIENEAPNLYSLHLSGWYFSTQLCFGESSLVKNLRTGHFFSLYRAFAELPSIFPNLETFAIRWLPRMGDAPMVPNTFCHLKYLSILQTTRSASFDYLSLVSFLDACPSLDTFILNSHARHSEDDSIIVNPSDLRQLPGQYHGNLRDVKITRFCSAKSLVELTCHILKNTSVERLTLDTTECTLRCSPGETGRCSYIMDKNDLLIASRALLAIRTYIEGRVPSTVKLNVVEPCGRCYVIPPFRI >OB09G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11566654:11566866:-1 gene:OB09G22660 transcript:OB09G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAAATVAGMGGAGPVDTQLLARGDGGALVLMAPAVRSSEVSMEPSPAGSSVRLPWRLKLGACGAVPR >OB09G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11570174:11570750:-1 gene:OB09G22670 transcript:OB09G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEQKNSITQIDAQVDGSEELRATEGEHLGFEERFDITGGRIGGGAQLDNNVIRANGAEHPLDVNDGPLGDVRRHEDQMSSGLTMYGGRHAGTARTGAEACAPARRTRPASSLWQSRGRLRVAQHGLPVVEARRWQRERRPERRRGGWAPYHGWLNW >OB09G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11571829:11575338:1 gene:OB09G22680 transcript:OB09G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMAGGSLRAPAMKLGNQLRKLSVLLRREHRTVQWVAVKLHRALLHVDHVAGSPPALGSSKSPSTALSCGDERGSPRFGLFLTYPLWDGETLASAAAQYGFSSPELVNLIRTYNPGMERASGKGIVFIPVKDPNGSYDPLKSGVGTDSLLRAFVYRMLRISRRNSLSGGAIAGIVIVCIAVFIVGIWLIAIFCRWQKFRKATLPPSPEEAIHLGNHLAKNMILTELLVHLVTCLQNNGKKVEMAELVYGARLRFWP >OB09G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11575484:11576987:1 gene:OB09G22690 transcript:OB09G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLIKTQLWYIKKYRGNTYFISGGGWAVTLQPRRLMLSLLVLLVLLVGGCAAAASSGDGCRAGCPLALAAYYFSEWSNLTFIASIFGIGDYQELLPYNPAITNPDYVVTGDRVYVPFPCSCLALPAVPSSTFLAGAIPYPLSRGGGDTYDAVAAIYANLTNAAWLNATSSYPPNRIPPGAGKFKVAVNCSCGDERVSRRYGLFLTYPLWDGETLASAAARYGFSSPEQVELIRSYNPRMEGASGKGIVFIPVKDPSGSYHPLKSGVGIDSLLRAFVYRMLRISRSKLHGTLIFNSCSSMWNRE >OB09G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11584893:11585630:1 gene:OB09G22700 transcript:OB09G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNHYRYLAAVLDRAISSSSSSSSSSLPSTSKMKIGKAPGLLRKAATMFRSKASTVRARLLLVASLRRRMAMVGAISHRIHDALMMVEKEKGGSSHNHHHQEDDGIKALAAPRRAKAAGREKPAAAVHDEMAVVIADHHRLSELALFDQEDRHGYPNWTHELFDDDNGYSYQRNGGDDHDVVHDTLFGAAFDDDNEDDDEPSVIDIIRSSREDEGLEFNLDDEIDHAADMFIRRIRSRMSRSI >OB09G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11588382:11592518:1 gene:OB09G22710 transcript:OB09G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPEALELEATXXXXXXXPPPTVLCSICLDPVACGEGARSTARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGNWLYGNERQPCNHSDTDGWLTGETFEYPFEFGWCPFDSLTPLTSVFGESESQPTSFLDYLRVLHGFHHPMYAPSSSTASTESIPFHQRLTGTEGHATTDLRNIQVFHEIEPRSHEREQQYLGNLQMPGAVNHSTAPLGIPIPRYDGSNQQRSRPHMHPHSLFHRPTARRVSSPVAHLRSTAAVSETRGHGHGMASHIAQQTVPSSMASSPQPPTRRVRPRALSITSFIAASSSAETRGTNDFPLTETASITNSNLRNRVGAPRHANQSYSWSSETFWPPNGEPHWWSAMAPVHNQSYDNFGGRSATELLSIYGAQNGLPTPRFM >OB09G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11592855:11599043:-1 gene:OB09G22720 transcript:OB09G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVICCAVLLAAVSTTAAAAAITKADFPPGFVFGAGSSAYQVEGAFAEDGRKPSIWDTFAHAGYSVDGATADVTADQYHKYKEDVKLLHEMGVDAYRMSISWPRLVPDGRGAINPKGLEYYNNLIDELVSHGIQPHVTIYHFDFPQALQDEYNGMLSPRFIEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGISCDNGNSTTEPYIVAHHLLLAHSSAASLYRDKYQATQGGQIGLTLLGWWYQPGTQAPEDIAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTDEESKRVLGSFDFVGFNHYVAIYVKADLSKLDQSLRDYMGDAAVKYDQPYLKSNDKQFPLGLRSNFMTSTPWALKKMLKHLQVKYKNPAVMIHENGAAGQPDPSGGNTDDDDFRAQYLQDYIEATLQSIRNGSNVRGYFVWSFLDVFEYLFGYRLRFGLYGVDFASPERTRYQRHSARWYAGFLRGGELRPVALPAGGGGAYSQ >OB09G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11603394:11607893:-1 gene:OB09G22730 transcript:OB09G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELAGMRVPVPSVVFFLLLLAAAARGGSALTRRDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSTDGSTADVSADQYHHYKEDVKLMYDMSLDAYRFSISWPRLVPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQVLQDEYGGILGPRFIEDYTDYAEVCFKNFGDRVKHWVTLNEPNIEPIGGCDCGYQPPQRCSYPFGTNCTGGNSSTEPYIAAHHLLLAHASAVSLYRQKYQASQGGQIGLTLMGWWYEPKTDTPEDAAAAMRMNEFQIGWFMHPLVHGNYPPLMRSRVGARLPSIAASDLEKIRGSFDFIGINHYFVVRVQSSDANDQRLRDYFVDADPFEEGFDEVHFEYHPWALGKVLHHLKLKYGNPTVMIHENGDPDWPEAPGKIDYDDGFRSEFLQSYLEALYLSIRNGSDARGYFVWSLLDGFEFLFGYGARFGLCGVDFAAAARTRYARSSARWYSGFLRGGELRPEKPYVSL >OB09G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11610729:11613953:-1 gene:OB09G22740 transcript:OB09G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELAGLRVLAPSSSLSFVAVFLLLLAAAARGGSALTRRDFPEGFVFGAGTSAFQVEGAASEDGRKPSIWDTFTHQGYSADGSTADVSADQYHHYKEDVKLMYDMSLDAYRFSISWPRLVPDGRGEINPKGLEYYNNLIDELILHGIQPHVTIYHFDLPQILQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGNQPPRRCSYPFGTNCTGGNSSTEPYIVAHHLLLAHASAVSLYRQKYQAIQGGQIGLTLLGWWHEPKTDTPEDAAAATRMNEFHIGWFMHPLVHGDYPPLMRSRVGARLPSITASDSEKIRGSFDFIGINHYLVVRVQPSDANDQRLRDYYIDAGAQNPFKEGFDKAHFEYHPWALGKMLDHLKLEYGNPPVMIHENGVGDSPEAAGKIDYDDGFRSEFLQSYLEALYLSIRNGSDARGYFVWSLLDGIEFLFGYGARFGLCGVDFTAAARTRYVRSSAHWYSGFLRGGELRPEKPYVSS >OB09G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11619464:11623632:1 gene:OB09G22750 transcript:OB09G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51980) TAIR;Acc:AT3G51980] MAMARARCSRQSDTRRRLVAVAVLAGVLLLPVAVAEGEGENRSAARQWATGKDEGELVSGEESGGGGGSVVEDEFAGGFGSLDSMLQWAIGNSDPEKLKEQAEGVQKLSADDLLKRRMEIKELMEKLKMPSDADLMKIAIADLNNSSISVQDRQRALQELLVLVEPIDNANDLDKLGGLVALIQDLNNANEEIRTTSAWVLGKASQNNALVQNQIHGYGALARLVKMGYATSAEEAAKALYAISALIRDNVNGQEAFQLENGSAMLQHILVSNGVDLRLQKKAVFLVTDLADFQLNSGTSGLPFLSDRVFLKSVVDMLSKFDLDLQEKVLLAIKSLLKLSSTEATDFQSCDLDSVLYRLGVQLEELPSEEQKEYAGEVDDLRREVQMLFKNKLKEGTKIAL >OB09G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11633120:11636235:1 gene:OB09G22760 transcript:OB09G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPETNTVPWYHANRMLSFYAPGWCGEVRDVTYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >OB09G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11637187:11639503:1 gene:OB09G22770 transcript:OB09G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, plasma membrane; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: WW-domain-binding protein (In /.../:IPR018826); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G11680) TAIR;Acc:AT5G11680] MAENPQLFGNGMPVPFYGEVFVLARDGVEFHVDKIPSAPGGNAKTKGTIYLSNIRMVFVASKPVGNYFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPESQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAANVAPRVDPLQAVQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNA >OB09G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11642602:11645661:1 gene:OB09G22780 transcript:OB09G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKNPKPEGSSGSQRGAPLAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIANDPVFTQMAEQLQKSAQTTGEQGGPSLDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTSPSHKELLEERMARIKEDPSLKPILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDGGDDDDESIVHHTASVGDAEGLKKALDEGADMDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRNECVDLLLKHGAAVTIQNLDGKTPIEVAKLNNQDEVLKVLEKDAFL >OB09G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11643296:11643519:-1 gene:OB09G22790 transcript:OB09G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYIYTYTRIIQLNLSDAKERESMYHAFSCAKNYIEQVAKLRKIKRIGEASIRCQRSSPLRTRRPLWFRIFLLV >OB09G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11649703:11653675:1 gene:OB09G22800 transcript:OB09G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lecithin:cholesterol acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G03310) TAIR;Acc:AT3G03310] MVYHFHDMIDMLVDCGYKKGTTLFGFGYDFRQSNRIDKAMVGLRAKLETAYKASGGKKVNIISHSMGGLLVSCFMSMNHDIFEKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPHFKWKQAPIVQVWRKNPEKDGIAELVLYEATDCISLFEEALRNNELKYNGKTIALPFNTSVFKWATETRRILDKAELPDTVSFYNIYGTSYDTPYDVCYGSESSPVGDLSEVCHTMPVYTYVDGDGTVPKESTMADGFAAKERVGVKADHRGLLCDENVFELLKKWLGVKEESTRRRRPSKSKVMDSVP >OB09G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11654213:11654560:-1 gene:OB09G22810 transcript:OB09G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQSAEYLRRWWIWISVGLRAATAEQMSHQKLAWSREEEATASAPVASSGARAGRPADRLEAERSRMEASGGVASERQWRWGSPVAGTAASSYRLRSIRREAFPRRVLHCSPM >OB09G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11655036:11655767:1 gene:OB09G22820 transcript:OB09G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichol-phosphate mannosyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G48140) TAIR;Acc:AT1G48140] MKHIFKIIAMLVAIAAIWIALIETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDIVEAKEFLSKKGVDVGSE >OB09G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11655707:11659012:-1 gene:OB09G22830 transcript:OB09G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDCWAIPCVIVLTWVFLKTKYGLRKFIGVVVCVAGIIMVVFSDVHASDRAKGPNPLKGDLFVFAGSMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISGIQISILERQELRSTQWNAGAILPFIGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTTAGLIIYSYKGSKEAAEETAQVAGATDEEAATGVAGAGDDEADSDKGV >OB09G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11665266:11671530:-1 gene:OB09G22840 transcript:OB09G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQIIGDVLDPFIKSAAMRINYGEKEITNGTGLRSSAVFSAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEALDARFGNEIVPYEAPRPPAGIHRIVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRRFQGPS >OB09G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11672524:11673120:1 gene:OB09G22850 transcript:OB09G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWDLSCLFLLYTFIMYSCADMRVLLSLSTKYSCRGSFRPSRNRKSISLLELQHHCLDIMRMRPHSIFFAAFQIQLHAEVSPLMLRTGIGLFEGTEDGHHHCTMGLHSRTPHVAALALHSKIQHATASALHININVGRAMKNPCRFYIFQMGITRTTGSICSPFYFCISFRISSPYCGSLLFCFFWSTYSGLFVIHE >OB09G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11677263:11681802:1 gene:OB09G22860 transcript:OB09G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPDEKEGNGFAPKSDDPTKAPPPIKVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGNHVAVKKLDASTEPELDNEFLTQVSIVSRLKHENFVEMLGYCLEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLIFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPVVAPES >OB09G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11682857:11685585:1 gene:OB09G22870 transcript:OB09G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G43370) TAIR;Acc:AT2G43370] MASVSSPVRATYSCLLDDDPFGDPKATGDPYCTVFVGRLSRHTDDETLRKMYRIEHRHPYDLQQCKLYIVLQCIVTGASRGYAFVEYETDKEMRHAYEVDAHHSIIDGSEVLVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKRLGIPPPPEGRFPHHRDEKAAILTGRSHLPGEDPKTGLIVVTTEGKEAQPETTTAPTGGKGATTDKKQPRGEDHLPVERLAATGSIEAQPKNMAIVVRGEEAESLENFLQTGMIVAAEEEDLQWNQVSALVGLFITDMTWSVMTAAAILVTANVGITATVEVTPGTGDLKAEITATRSV >OB09G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11686756:11692295:1 gene:OB09G22880 transcript:OB09G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDGLNLTVVFNTSQNKLTSKFLISSQNKSTSPVLLALTGNTFTKNIQIVQSNSLHFQKQAKPHELPPLFGVSSPAAELCPNRRPPISTADRPRPSGMCSDKDELLDAAAADDDDAEYYYCSDGEWGAAAAAAGATRAATSSFPGATSDFDVDRFWEQKPCEPCRDCFEGFERTGAMQCDGAMRYVVLTEKDIHERQEEMISRVSAIFSVPRESACVLLCCYKWSISKLSDDWFADEENVRHSVGLPTNVVCVPDCPKLTCGICFEGYAANAMSCAGCPHFYCHECWEGYISAAINDGPGCLVLQCPEPSCDAIVLEDMINSLTKNEDKVKYARFVLWSYIEGNKKIKWCPAPDCTYAVEFLGDRNYDISCKCKFSFCWNCGEDAHRPVSCDTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCSPPCKFEFCWLCLGEWSGHGQSTGGFYTCNRYKSAKKEGLYDEAEARGERAKNSPERYMHYYERWASNQTSRQKAQADLQKVENGDLSKLSYVLGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESELERLHNCAENEMRAFLPKKGKKENNENESSRSLQDFIEFRVKLCGLTSVTRNYFENLVQALEAGLEDVEATGQPASVSTSSSAKPPAKGKLGQNKVARTSSEDPDGR >OB09G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11693101:11699304:1 gene:OB09G22890 transcript:OB09G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G48440) TAIR;Acc:AT5G48440] MDAVAFAASPNPSHGPFYSSALLSPRPRAVCFSVRDPWRRRLRSLPALRSQPPEPAPASSASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVAEAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDSLGGGGARERLGGVKTGSLLVGRTSEEMATLEKRTKVLSQAGIHAEYLSSASLHALEPELYVGQDGGAMFLPEDCQIDAFQAVSMIEKTNGSYSSEGRYLELYNDPAVSLVRSETTGTVEAVQTSKHILYGRKAIVIASGAWTRTLLHSFLEPNTTLDIPVMPRKGHLLVLEKFDKLKLNHGLMELGYVGHQVAKSNSTPISSESSEDKHGALSISMTATINTKGNLILGSSREFKGFSREVDKSILKCIWDRAAEFFPTLKNVHLDINENTEIRIGHRPYMPDGKPVIGSVPDLPNVLLVTGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKGRFSG >OB09G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11697022:11700527:-1 gene:OB09G22900 transcript:OB09G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREEGRLHPRRRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVVRLRDACEDPDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVVQLCHENGVMHRDLKPENFLFANTSEDSPLKAIDFGLSVFFKPGDRFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDERIVTAILQGGINFNREPWPKVSPHAKDLVRKMLDPNPSTRLTAKEVLEHPWLKNADKAPNVSLGEVVRSRLKQFSAMSKFKKKALGVVAMNLPVEEMDKYTQMFHMMDKDNSGSLTLEDLKLGLQINGHPVPEAEIKMLLDAGDIDGDGTLDCEEFVTVLLHIKKMSNEEYLPKVFKFFDKDGNGFIEMEELMEALGDGELGLTEQVIKDIIQDIDTDKDGRISYQEFESMMKSGSDWRNASRRYSKANFSTLSRKLCKGNS >OB09G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11699559:11700077:1 gene:OB09G22910 transcript:OB09G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIRSITLCCHLKKKRSNREASFFFHFTSPERRDSAQQDVEDDAGAPDVGFWPVASVENLWCHVVGAANHLGESISCSQVIEMIKYFFFAVHACPVLDQITSILDKLTGCGVAEYLPGLKNTERPKSMALRGESSDVLANRKFSGFRSLCITPFSWHSCMQHATSPSGAA >OB09G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11701069:11704661:1 gene:OB09G22920 transcript:OB09G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSILSQCLAGFLSHEKAAAHCVNVVPERETHLPSPAVEIVPSKNVHPYKYAGDNIEMHGMNIFKGKVSVVDIVGLSGSEVVTPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANIEQAQDKHGHQQGSPLTPSRQQLTRDIHFYAGEWEELHTVLSVIHEDEVDASSGVGLEFCEDDILDGYSSQDASNICQETSSRRSRKLSGSRAWERGNETTTGDGGYDIVLVNEIPYSANSLQNLYLLIKKCLRPPYGVMYLAARKNYIGSSSAVRQLRALVDEEGAFGAHLVSEPPEREIWKFFFK >OB09G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11705311:11708298:1 gene:OB09G22930 transcript:OB09G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesyltransferase A [Source:Projected from Arabidopsis thaliana (AT3G59380) TAIR;Acc:AT3G59380] MAPSSTSSEGAADGWVPPSRRPELADVVPLPQNDGPHPVVAIAYRDEFREVMDYFRALYFAGERSIRALHLTAEVIDLNPGNYTVWHFRRLILEALDADLQEEMNFVDRIAECNSKNYQIWHHKRWLAEKLGPDIANKEHEFTKSILAMDAKNYHAWSHRQWVLQALGGWETELEYCNQLLEEDVFNNSAWNQRYLVITRSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKGENNLLVADERISDVCLEVLKNDSTCVFALSLLLDLLHTGLQPSDELRGTIEAMKNSDPEIADADLATAVCSVLQKCDPLRINYWSWYRTTISSET >OB09G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11711197:11716991:1 gene:OB09G22940 transcript:OB09G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSPAMTHGCGRRCAVGVTVGMDFPDKAKQFPQLAFADAKRFFRCATLTLGESLLAYSTMVHQHEKSSSSSGEAVNLSVTDKAVVDACGIAEALDASQESPDMTMWPISKVAVILLDSTRKRCLLECGSVRKNVRSFLEKEIDTSSSSEHNGNHIERQESENEEAHEPLDGPYVLQKLAFSEVELRTGIERSSLRLLEEHLAYSLTKKGTTTKLFILHYEQTAKGNFVEVPIEELIKRMIGPVVEKRPYPTTTVVAESYHILPYKDILFGCLHRKWHFDPSLSMTKEETRRNGKSSSHCEIDENVKEQESNGRGSAQKKIRREIKADVSIDKNYCSTSKNKRNSNVISKRKSEIFRATAADSAEGRDSEIPRVKNVLPSVLDVKTMKFMNGSVNAKETATTSTEIVDVEAGVQMDKKIRAKHSLNRNISEDTAVEKVIQILDDVDVSEFWKAEGSHAPSEQRHSVSQAPGVAQLEWKSAEMSKKSGGDTKDNKDQKYAYFKSYLKKRDDLHRKQRIIEDETVQFDMDIQTVFAGGDWTPEAMSLLEKHGVVADSLDMVEVDDGSSRSGDRYETLTLERKKLTMDSLQRNKCQELDEVCRENNWILPRYKVIPSLKDGMYLANVDVVCLEFSQMTFGDPKTTPREARESAAAKLLNELLKKADANKTGSAPDRRLLK >OB09G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11718669:11719145:-1 gene:OB09G22950 transcript:OB09G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07480) TAIR;Acc:AT3G07480] MAIAGRALRRIPLHLAPSLARPFCALSPAASAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXANAGLIEPESHRLEEIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLTPELQGMVVAVPEPKPWDIP >OB09G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11722712:11726421:1 gene:OB09G22960 transcript:OB09G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDESQKHLLGLSYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINASPEKKTPASLVVSPSLLLNAKEVSTRCQGSHKRGPQSERDDVDRPILQVKHHKGPQTSMDETLKKSDSGTRVSSTPVSTNNGKIDCFSHSPSAQGIISNVHSQIADGIGKNSGTQEHPIDLDSTVKADDEISPESSFLAPNVGENVTDTGSGLSDKIPATTKSSFEFFVMSDEGLDLFVDLNSTPSMLLDSLKKEVFIPSNTYRSEPGNFSHFASSLATKDDSNKSMSSSGNITVDIQTKGDGSIALCTNSSLGSTGADNSSSEPYLPDATAVNSMPSASTLPDTSLEISVSQEGVPVVSSSCLTSMTANALNNEVLPQESVVFSRCPEINHAPLADDSTHPTSNKETVNPVKIGCIQNVVVADTDRDRAFSSGGVVRSDSNANCSPTSEEKHKIINVTDGAHLTHNGNAHEVILENEPVETVPADEDIGCHDRLSISCQLARQTSAKPPATDARSEASSAEHCIAGSFKPTSPTPSPAASAQHYGQENNPFKSCVLSCDKSLKVHV >OB09G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11726744:11726989:-1 gene:OB09G22970 transcript:OB09G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVMKRLPTEFGTTLALHGLTLRTTDAYTVERGMQAQMEQLAYSMENSKDAPNIILAIKVLGITASTLSQSMSKSCQLVP >OB09G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11733871:11742592:1 gene:OB09G22980 transcript:OB09G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Basic helix-loop-helix, Nulp1-type (InterPro:IPR006994); Has 2929 Blast hits to 2464 proteins in 333 species: Archae - 2; Bacteria - 151; Metazoa - 913; Fungi - 372; Plants - 141; Viruses - 47; Other Eukaryotes - 1303 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46900) TAIR;Acc:AT2G46900] MSARLLRRVLQERETAPLDPAAAEVEQEEEEEASPPRVAARNPFDLLDEEEEEEKEDEVYSEEPVSYTEQKHSVNKKPSNVVSETNNKKSKKKKKKSKADTQASTKSRDEKSLDSILEDLSIEKKPMQQRMNRNERASGKEIETNETTPGTSSILSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSRPVRGARRVAHNPRKTLLVTPSSYWPPWDKSMSMDLVETKSDFNYFRYIYDPSASHVQELFAVAKSANDLNSIAAILGKYPYHPESLLTFADLFKYSGEHQSSADAVEKCLFALECGWHPLFSPLQGNCQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAISRFYVERDEANGEASDHSDKSTSVDLMKQALMLHPLVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIIWRFPELQNLLKDAALLVIESLKQDNREAQDWACIRKAAFSSDKNEYSHLLVSDFSDTTPSLPPEELRPFMVAPGMVHEMPPGEQEVGAEIRARAPREVAGRNAALVFLESLLPWVDYGDNHQDANGQNNDD >OB09G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11737028:11737273:-1 gene:OB09G22990 transcript:OB09G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGSLLSATVLIIRFRKNLDFFSKKKKLSRTFFFNEIEYFYAKRSALKSTFFPSFQNYYKYQLIECLDSWSYHVISLGFTL >OB09G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11743915:11750954:1 gene:OB09G23000 transcript:OB09G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle protein 48-related / CDC48-related [Source:Projected from Arabidopsis thaliana (AT1G05910) TAIR;Acc:AT1G05910] MVGMEGKGDASVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAARAPPADSIAANLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDEVSARPKRKKLSNSSSIPRREGLRPRRSIRGQRLHPYQESEDDQESSEEQPAQDRRENGNDIEEDGNEEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPHKEGKHRTQSPRRVLVHGIGQKNSKYLKKGGSRIHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWFLGGLDMHSPGAWGLNVGASGWGHQGDSAVGTSSLMPGIQTAGPSSKGGADIQPLQVDESVSFNDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARTEILDIHTRKWKDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHLEKIMERIADIFPFLSSVDVSKFATLSYGSSIPLVYRPRLLMCGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEPLKAVLLTLLNELPSNLPVLLLGTSSVAFSDLEEECASIFSSRNIYEVDQPSDDDRMRYFHVLFDSLLSFQTEESRNKSKDQKSSVDLPKVPKEVEGPKLSELKAKAESEQHAVRRMRMCLRDICNRVLYNKRFNVFHFPVSEEEVPDYRSVVHNPMDMATVLQQVDSGQYLTRASFMKDIDLIVMNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVMDDGDSSILQAVPVAQLVSGTRMSARLRNVQPEVNLSQSYEVLKRQKKSTENEQGMIKESATRDEKSPGDVDLSKPMFPEEAPKEPDSNGDLKETDNPPTEVQELPDVAPEPMITDNGEDVAMPASDDIPEQLDVVKRRFMELTAGYGVPQLERLYTRVMKGMIELSGKESNEDHRRLVVRYLLTFVENSDNF >OB09G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11751236:11753540:-1 gene:OB09G23010 transcript:OB09G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:J3MZ72] MDGSHASGSKAAAAAAEGPGTQRTQYPYVTGTSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGELSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRAEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFSAFENPAQGAVGSW >OB09G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11754539:11757720:-1 gene:OB09G23020 transcript:OB09G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVEACAARIRGTTSRNKLKCHAELLADIMFLCTRLRPVVLMDYGGTMPELQENLCSLLHHARQETSILSPLRVMVIKDMLYLIHAKGLAEHASPNARSQHQLAFVDLGKSCTKLLPDTEENDNVHEFVSIQDLFSAKFPVDAALEIPEGTTDTDCSGSCTADRISLVIDLTASIESALALPSLNGWLLGYPVIYLFCNGSAEIATQNLSKHSLHIYRIYAVRHRPSAAKQSEQELMSFSVPIDMSTKRDEEPWAKSFLCYMNEKIKQCNHVWASIWMEIEVFQSQSGLVVL >OB09G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11758020:11760515:1 gene:OB09G23030 transcript:OB09G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein folding, protein transport; LOCATED IN: chloroplast stroma, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Trigger factor /.../some-binding, bacterial (InterPro:IPR008881); Has 253 Blast hits to 253 proteins in 72 species: Archae - 0; Bacteria - 138; Metazoa - 0; Fungi - 0; Plants - 40; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G30695) TAIR;Acc:AT2G30695] MELSSFTASSLAAPAAAMGLLAKSPKMINHRYAWDMRPQHRSSERLLSPACLTMFNKHCSKRINHKSFSVLRAVSPVQCTVNNTQSSISFKDFLVSVQTEEDGLIKIRVTVDDTMTDSFFEKVFLKKLVAAQPLPGFRRMKGGKTRDIPKDIALHIIGPSKVKKESIKNIISFTIAEYVQKEGLSASKNLKVLQSYEELEAAFEPGKEFCFDASLRLQ >OB09G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11762121:11766890:1 gene:OB09G23040 transcript:OB09G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT4G11160) TAIR;Acc:AT4G11160] MAWRMLRRKDFHTGLVNLAFRADHGAAKHFSSGAFGNLAGFFLSETPKGANNCTAYRHCTIRNFHAGLYMLAWSKKREEVAGLKAPKKEKRVRRENRTQPPVEAPYVAPKPKIVIKSSLKTVDIFDGMTLLDLSKRTGASIGALQDILADLGEKVESEFNAISVDLAELVAMELGVNIRRMHTGEGTVEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALFLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKTGTLVSGQHIVVGAEWGRIRSLRDTAGKITEFAKPATPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLQKIDEDMTEEAEVGEETPERVEMPVIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFSIRPPPSAITLAATQANIKILLHNVIYHLLEEMGREIVEKAPGTAETQISGEAEILNIFERKGRTKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAEMVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >OB09G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11769221:11769816:-1 gene:OB09G23050 transcript:OB09G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRSGKQVSGTIVLVKFCTGRGRIRFLGSALRDCLLFVNDGSSSPSIGRGSSSATSYAPGRSWCFQSSSKQPSVQQDHAASRNATRFCILKLSSLNSQLIKVSHHVPPFMPPNNFYDKNAIALTSLFDCLICLFQY >OB09G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11772190:11775189:-1 gene:OB09G23060 transcript:OB09G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30710) TAIR;Acc:AT2G30710] MLKGRSFPGKVLLTRRSEPLSPPEYSPRFENDHDEYEQNEGLQEGKGQASGNTTDNISAKKSNPPSTSSTNSLPDAQGLVSGARATDSTRMAKFTNELSRPAVILDKLRELSWSGVPPYMRPNVWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILYTWAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLIFRLKELVHRIDEPVSKHIEEQGLDFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLVS >OB09G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11777770:11778207:-1 gene:OB09G23070 transcript:OB09G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASSRYVPLAPPEQRHGGGEEEETEDECCYAAARARYVPLRRREQEEYAARRALFLQSYRFTTASTPLSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASAATATAASCATTCTTSRDRSIDPSNDRECATYVRVMR >OB09G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11779563:11783322:-1 gene:OB09G23080 transcript:OB09G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAQSPIPGDGGAGAGDGLPPQSSRRAGAPPSSSTPPPPEFDISRMPDFPTRNPGHRRAHSEILSLPEDLDLCAPGGGDGPSLSDENDEELFSMFLDVEKLNNSCGASSEAEAESSSAGAAAAAGRGEGAHVPRPKHQHSQSMDESMSIKAEELVGAPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTSGLTTENSELKLRLQTMEQQVHLQDALNDTLKAEVQRLKVATGQMANGGGGMMMNFGAMSHQFGGGNQQMFQNSQAMQSMLAAHQLQQLQIHPQAQQQLQQAARDLKMKGPMGGQSQWGDGKSGSAGN >OB09G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11787372:11793920:-1 gene:OB09G23090 transcript:OB09G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT2G43410) TAIR;Acc:AT2G43410] MSSEPPPPQDASSLSPAKESAAGXXPARDPAAGGGGGGASGAPETNTLWVGNLPAQAAEDDVMAVFSPHGALDCALARAGPRSYAFVLFRSVAEARAALDALQGSKVKGSAVRPEFARPARAVKNLWVGGISPSISKDELEKEFNKFGKVDGVAFSRDRTSAYIDFEKLEDAISAHRALNGTVLGGQELCVDFQRSRGRTEWLETGSFNGRVGSAKAYSAVRNREAHPTNVLWVGFPNTAKINEEALRQAMVAHGVVTNTKVFPTRQYAFVEFATIGEAANAKKNLDGRLFNDQRIQILFSNSELAPNKFDNPTAVSGLPRSEMYYDDGQFGASDYFDPRRGRSRYVDYGGVPVSGGILPSPESGRPLLTARSAQNTFDPRDAKRMRLDAAADPYDSRAGSEGLYPGGYSHRESVRSERSSSPAIRIQGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGIEIPLPDVVNCAARTGLDMLAKHYADASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTFFLVPPSDFLRNVLQVDGPERLYGVVLHTPQMSAAAAAVHRPQLTAPEPQPYYDERESGFPSHRNYSTNSTSNHHHRDAGYRGSLREDSLHQLGQVAARPRVDEGQVVQPTLAGFPMNQAAGQQVQPSINPDIMATLAKLLPSGQSSALVTGQVPLSSIDRPALSLMNDASTFSKVWRPENQAMASTSSFEQMGNFQHSGQQFSKQAGAAHLPNYGTLLGAQEHPTQHSAYNPEMTLNLPPPPPALPPSSAILSTQVGHSLPMQMSQQQYQPDQYYMSQSNYGPLAAAGRSNLQQQIVGLASQAPPAAQAPAAAQAPASAQAPVAVQVSGVQAPAVAQAPVDEAERNRKYQATLELAQRLLGQIQQKSGNQPSG >OB09G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11795027:11799498:-1 gene:OB09G23100 transcript:OB09G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G43420) TAIR;Acc:AT2G43420] MAPAEPGPGPGPHKPACAVTFGRSTLLGRHLATALSACGRWSNVDPTSATGDGAFLSLHRLAVEGTRRLLDACCGSGVGRVVYTGSADVVVAGARDVVDADEDALPYPDKFGNAAIELRAQVEMMVLSADGKSGMRTCVLRPSNMFGPGDSSLVRFIAGYARSPLGKFAVGSGANMCDFTYVENVAHANICAEQALCKNPSSVAGKAFFVTNDEPIETWEFLSGLMEAMGFQRPRFNLPTKILSSAALFSNMMYHKLGLQILSSPLLHPDTVCFLSRTRTLRISKARRLLGYYPIVSLEDGIMRTVGSLSELPNNLDLSRKQGPYGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLRNPLMCLASLWNGGIHKLRVLAEGDDWITFLKAVALLYCVKVMLNLQFRMLMGLVLAFLFVVFIVYEQCEEEIDSLVTFASVKIKSLTGRLVRNLPDALKAYIS >OB09G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11800963:11812478:-1 gene:OB09G23110 transcript:OB09G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDDQHDLILSDKIQLGQFIHADRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSNFLGSKKAGTTNGFKTTNGLKTTNGLKDTSSLSLEKEKGKLGKINASHKTIGAENKKPLLTKSNSSLSKQALNGLGDKKEGIKSKAKPASSRSTPSSPTSVYSLPASFERFSSGLKQRNTIKGPEKASSSRVSLLEKAASVLKVTTAGRKSSAANSISSSMLSIGSGPKALRRSWEGKVDTKGKNNLDSKTSKPDKKPENRGSMTPRRKPPVDERVQHKDDSKLQNVARKSTTNAPSDDVDKAMKKHPPTVKRTSGTISNPNATNLVKVPPNIKKLTDASNAWTSLPPSLATLGKELLKYRESAQMAAIEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEQFLALHTALSRATVITDTLAKSSAASVSSPDRSAASDAGTVVSTTDEETAALAAERRRRATSWVSAALAMDLSAFGLYNLKPVPATISSPLAGLAVGGIRGQPSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVPCLQDNYAYILHDVDTGTVGVVDPSEAMPIIDALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDRDRIPGIDIALSEGDTWMFAGHQVLVMETPGHTSGHVCYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQSNSKFALSIEPGNEDLKEYAANAADLRKRNTPTVL >OB09G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11821491:11822779:1 gene:OB09G23120 transcript:OB09G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEAGVFWSGGPVRRAANEEQWPSPTEWGSLRGPWIPRRRRRPGNPPPAGAGGAPPPPPRRKPDCIKCFDALWFCYSPFYQMQYYYRYGEFDNCFGKWGDLVDCLVLRTKRAAEVDEILAAREKARPHIWTYRTVDEASDHWLRMYRHLVRPGEESDHHHDLVTVVRPRPPPAAPTRPAPFPGLADAVAAAPRPPPPKSGGAS >OB09G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11823575:11829296:-1 gene:OB09G23130 transcript:OB09G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIIWKSTVLGSVSVPVESEGQSGPVWHTLDSTSGQVCLHIKAIKVHESSSRALNSSAEADARRRISLDKQGPTVVHQKPGHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVMLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTYRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKNSRKEINVPEDIADLTGQLQPFVKEEVLVTVFNGSFPCTAEEFFNTLLSDYSSYITEYRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTTSPNSCSLNISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEMLEFADLYMRKVRSSNQDNGALTEQDNGAVTEQENLAPDTTPSDE >OB09G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11832595:11835119:-1 gene:OB09G23140 transcript:OB09G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLTGLPFSLILATNSFMATFLFLLAITFACTPLTLGSLLSSAAAGAGAGARLLEAAEAMPPSPSPPSSSSDPAPAAIMPRSAWGQPLSTTDRDQTQGELGSSTVEGVRRKKSDAVLGRNRSRSRRRLRDHGGRGLHEGSHGFDAPVTIAVGDVVSTLYNCRIKA >OB09G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11833167:11833469:1 gene:OB09G23150 transcript:OB09G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVWGTSIFLPLVASAVSPRGGKPSHARARRANGCTGTHSHRSVEAMAPLVQAAAAMIAKPPPAPAPVPPKNSVALLPPGAKRGGRRFRDFVVLLCCC >OB09G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11834878:11835114:1 gene:OB09G23160 transcript:OB09G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAGSLLLLGGDGDGGIASAASKRRAPAPAPAAAEERRDPSVSGVQAKVMASKKRKVAMKEFVAKMREKGKPVNN >OB09G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11837098:11841010:-1 gene:OB09G23170 transcript:OB09G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARTVLDGVLGGAGSAVADEAALLLGVRREVDFIRDELEMMRSFLMATSGCAGDTARTWVKQVRDLAYDVEDCLLDFMLLQALSRPIWRMPETLAARYRVAARIRELKASVEELNQRNQRYNVVAAAGGVDSGPAPPPPHDEHLRFRSSGAFQESQDIGRGDEKRELIDLIDSGDAAGRRVVSVWAMGGMGKSSVARSVYNDPDLIDHFDCRAWVTVPHPLELDGVDEFKRRLGKQLGTANEQKDGGGEQKRHLIVVDDLRSLEEWGHIEPCLFEGVAGGLVIVTTRQRDVARHCAGGVEKNAYELKPLAHPHGMKLLCQKVYKNAEHTLPPHMLEEANKILGRCHGLPLAIATIGGLLGNRPKTSVEWMNLRIHLGSELEFNRDINSVITSSYDGLPYHLKSCFLYLSIFPELLGNRPKTSVEWMNLRIHLGSELEFNRDINSVITSSYDGLPYHLKSCFLYLSIFPENHEIRCTRLLRRWIAEGYITKRRDMTVEEVGQMHLNELMNRSMIRPMKKKIGASMAVERCQVHGVVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWNGSEEKMTNINLSRVRSLTVFGECPVSLISPKLRLLRVLDLEDAVDLKNDDLMHIGDLYHLRYLGLRGTKISRLPSSLQNLKYLETLDVQDTKVTHLPDGTAKLEKLRYLLAGINFAEDLAEKMQENNAENNAAKSNANLLETFADLLCRC >OB09G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11842243:11848861:-1 gene:OB09G23180 transcript:OB09G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSRAGSAVADEAALLLGVRREVDFIRDELEMMRSFLKVAAANPDADDTVRTWVKQVRDLAYDVEDCLLDFALFADTSSSSWLRPRRLAERHRVAARIRELRAMVEELNQRFQRYHVVADHPRVLLPRGGGSDDPQLADQDAGYSAELAFQESDIIGRAREKAEVTALVLSGAGAGALGVVSVWGMGGMGKSSLVRMVHNDPVLLDAFDCGAWVTVPHPLDSADEFVRRLRRHLGVAKEQPDVHAYLREKRYVIIVDDLHSKEEWEHIWPVLHADAGQGSRVVVTTRREDVARHCAGHVREEHGHVYELRPLGREESKDLFCQKVYKSTEYTLEKEMEDQASPILKRCRGLPLAISTIGGLLANRPKTSIEWMKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFLVKKHCNEVPQSKIRHLVVSRWKRRDEKLESINFSYIRSLTVFGDCPASLISPKLRLLRVMDLEDSLNLKNEDLRHVGELHHLRYLCLRGTDISKLPSLQNLRYLETLDIQDTKVTQLPDGIAKLEKLRYLLAGINFSKELLHKVEQPETDNRKASLLGNMVSCLYCNGGDFCGISSLDRFSVRAPEGVEKLRDLHMLGAINVGHGNGVVGKLKKLTNLRRLGVSGIIDEEGQDLCKSIEKLNRLQRLEVRSNSLQFLVESDFSAPKHLLSLRLYGDLVKLPKWIGSLNDLAKLKLLGTQLKQGEIMLLGKLRNLASLGLWENSYIGNSLNFGAGTFPKIKFLDIDGLKKIEKVTIEYGAMLELEQLWVKDCKELLDSEEGLSGVPHLPNLNELLVKKCGEKEELMEILQTQVSEHSKRPKFLIGKSIVTTSPKRRRTQ >OB09G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11858224:11861200:1 gene:OB09G23190 transcript:OB09G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3MZ90] MLARLLLVLLLLRAACCYQDRHGGRHYRRWSSRRELHEPLFPLENTPALPPPPPAPFFPFLPDSAPPVTTPAPAGARAGDGGGGGGGGARDAGAAGDASSSSPHPTAPANISYMAMPIYHSAPLRSFLVNEEMIETLFVSNSTRRASKNGVRDANAACPNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSTDEEIKLKEFREDAVSKLGPAESFLKAVLAIPFAFKRIEAMLYIANFDPEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVVEEIIKSEGASILATGQTSNQGSAIADGFQCKKVGLRIVASLGGELSNVKKAAGMDSDTLASCVAKLSAGVCKISEALQLNQQLGSDDRCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSAKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTAIGSSRRLENAPVLARFNAVQPSSSEEESSSS >OB09G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11861818:11864802:-1 gene:OB09G23200 transcript:OB09G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATATALVLSRSLLRRSATSSSRSPLGLELSARRATRPFSLSQSRAASTTTGDPPPQTPTNSSMDSPIKVVSHHFGGSGGEGGGAIDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERMIDAGAGGQRQEQQQPPQTELLTKTPTQSRTSIVYKFATDDILREQYRDPWNQVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMELKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQGSDMHSDLIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLFGEREARDKLRKRKREEQKGGLENGIHKLHVESERLNSLLAEGRVFSDLPALADRDSILLKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQKPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >OB09G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11867791:11871717:1 gene:OB09G23210 transcript:OB09G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MZ92] MGSHGDNGGGAHVLLLPYPSQGHVHPMLQFAKRLAFHGMRPTLAITXXXXXXXXXXXXXXXXXXAGCDAAGFAECDGVTSYLSRLEKAGAETLGELLRGEAAGGRPVRVLXXXXXXRHGASAAAFFTQPCAVNVVYGHAWCGRVGVPVEDGATVALPGLPALEPEGLPWFLMVGPGPYPAYFDLVMKQFDGLEIADDVLVNSFYELEPEEAAYMASAWRAKTIGPTVPAAYLGDGRMPDDTKYGFHLFDLTTAPCMAWLDAHPPRSVVFVSFGSLSNLDPAEMREVALGLLDAGRPFLWVVRSSESHKLPAGYDAACAAAHGLVVSWCPQLEVLAHAAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAKYVESVWRAGVRVRPAAAEGLARREEVAGGIEEVMRGERSGEYRRNAAGWMEKARAASREGGSSDRNIAEFVAKYAAKCK >OB09G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11874726:11874881:1 gene:OB09G23220 transcript:OB09G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYKVLLKMGKTVEILKSAGIKLSTILQNLRFGIDIQKEKQNKSNQISNE >OB09G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11877131:11879782:1 gene:OB09G23230 transcript:OB09G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3MZ94] MRKVGKNLYNLSVQLLRMTERGTRAPGATCSSNDCVMSFFYIKHQELLVVFLILQLVSQEERERDREASHGGALRRAKRPHCPRALPLPGPHQPGAPVRQAAPAAARCPVAVTRFVAGSTTPSRLGSVHVAVFSDGCDEGGPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPTFLAAHDTHHPSMRALLMNQFAGLESVDHVFVNSFYELEPQEAAYMAATWGAKTVGPTVPSAYLDNRLPDDASYGFHLHTPMTAECREWLDAQPAGSVVYASFGSIAAPGPEQMAEVAEGLYSSGSPFLWVVRATETGKLPEGFAAKVKKTAKRGLIVPWCPQLEVLAHPAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNAKYISDVWRVGVRARPDGGEGVVRKEEVERRVREVMEGERCKEYMRNAASWSGKARSAMGEGGSSDRNIAEFLSSICWVQARRAKGEGGSSDNNIVEFLAKIGPKI >OB09G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11884486:11886556:1 gene:OB09G23240 transcript:OB09G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWGAKTVGPTVPSAYLDKRLPDDVSYGFNVHAPMTAESKAWLDARPASSVVYVSFGSLATPGAAQMAELADGLRDSGRLFLWVVRSSETHKMPEDFAGKVAAEAGRGLIVTWSPQLEVLAHPAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQPTNAKYVEDVWRVGVRGWPDGEGVMRKEEVERCVREVMEGERSKEYRKNAAGWSAKARSAMSEGGSSDTNISEFLSKILVASART >OB09G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11887359:11898107:-1 gene:OB09G23250 transcript:OB09G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT5G06970) TAIR;Acc:AT5G06970] MDEENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEHSGPAPAREPPPTPAIAPSPVVIPPPVMDPPPVAVHSPVSTANLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKKNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGEQGLLKVVIEHLRKIPLREQRGPQERLHLKSLRSSVDAEDSYQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSLMADIVTVAMVIRRILGEENNKGMESPDRDQIDRYITSSVKSAFAKMAHLVEVKADTTHEHVLASLAEETKKLLKKDTAVFSPILSKWHPQSAVVSASLLHKLYGIKLKPFLEHAEHLTEDVVSVFPAADDLEQYIMSIMASVVGDDGLDSICRQKLAPYQIESKSGTLVLRWVNGQLERIETWVKRAAEQEAWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQIYTQLVTEPIVDREDLIPPVPVLTRYKKEIGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSISERWAKRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDQICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIRQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >OB09G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11903469:11907414:1 gene:OB09G23260 transcript:OB09G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSIRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFADSDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGIKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNADILLELLKPDLSVIHLEDNKGNRPLHVATRKGNTIMVQTLISVEGIVINAVNRAGETAFAIAEKLGNEELSNLLREVGGETAKEKVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDLKQAPDPDMTLGQALVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWLACLFISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATIGSMCYCIIAHRMEEKNMKKLRRSSTSQSWSISVESDTELLNNEYKKIYAL >OB09G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11907791:11909968:-1 gene:OB09G23270 transcript:OB09G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKRITGMAKGLSTAPVRKDEDESLVLFGELYKHEKEKDVNLLEPMYSVEFEAIQGSSRMLKLPSGKRDYLQPDGEKHDYDWLKTPPATPLFPSLEMEANSSQMVFQRELPILQSVKTSRFSIKPEPTSTSTTSESPTSSSSKSTPTARPSSSSSKKNLTNGVPALSGATSAYRIDKRSSCTPLKNRQHIAAPATKNTGTKAAKKTTTISKPEVPMNTNAAKKIVKPGIPDKPLKKTAAIAPIARCKDLAIGLKDLKVDLGTTRRMAYPPAAKLGSNNELDTVAAKGRSTTGGEPAPGNATEATTKGRRRACAEKEHGQSLGSHAKK >OB09G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11910254:11915490:-1 gene:OB09G23280 transcript:OB09G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) TAIR;Acc:AT2G43980] MRQLSCSFICSKTWDRTSLVFCKISCFFMLSYVLASVARCQPCELAADTWPRRAAQEPPLLLRPSRESAAAVAHPFPIPAAMAMGRPVRVVLDSSVLLDPSARPDCAGEEVAPLRPGAEALLRRLRYSNLGVAICHQEGLPTNESGFLEKTAKLYSFGYIPLTSPSGSNSLNEAMIEWSRTNSCFYVTSGVHEGLLSELQNHNWAVIAMDNDDVIKNSGVLRIGMLQELLITLATSIKKVIGSSSTLVVGYVMKQSREEDFAKRGAFPIYPSKNNLIFVPLSFELPLASQLQEVDLVLHKITDEIINIDPNSSISFPKGISFSPGMSEIIRFVEEHCNFCVIDPFKNIYPLLDRLQIQEILVRLEGLNTEGRPKLRAPCSLKVENFCGSELQKQLTEANLSFPLIVKPRVACGVADAHNMALIFQIEEFSNLSIPLPAILQEYIDHGSKIYKFYAIGDNIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQQLHNRVQDNKSLDINLVEGAASLLKELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNEAMPAFWDAITQSYKLRKRMMQT >OB09G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11918903:11921345:1 gene:OB09G23290 transcript:OB09G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; CONTAINS InterPro DOMAIN/s: Cytochrome c oxidase assembly protein PET191, N-terminal (InterPro:IPR018793); Has 241 Blast hits /.../ proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 100; Fungi - 94; Plants - 38; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G10865) TAIR;Acc:AT1G10865] MAKSCKGLAMELVKCLSETHCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQARLQLQLFFLNHCKNQLC >OB09G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11925786:11931646:-1 gene:OB09G23300 transcript:OB09G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01180) TAIR;Acc:AT1G01180] MKPRPSPAPATSGMLARLRPHLTRLTVFLIVFSVGYSVGIMSSSSIRPSPKPSQTVIRPHAAHLTASTAVPATNGSAAAAANYPRSPPHDLFRFREECAEAIPSDAVVRTLLDKLFDGESPYDSFPPPHTAALLHPAKERPRGWGSTGAVFAELIEEVRPDVIVELGAFLGASALHMAAWGIYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFARVKGLTVRPHSQHWVLSPKPLHRDGR >OB09G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11946111:11947004:-1 gene:OB09G23310 transcript:OB09G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLSPCSSFSPRSPSSMFSSGAAAAAAHAVLEFTSCEVPDEWLMGDVVVAKNEEDVGGGGLWGVVGGSLSPGSELSELPRSFVEAPAQRPAKRRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRSPLPRAARAARLCHALARRDHRPRSPRRRARRPPGRRHPPHGASPEAAGQLARSLLRRRPRRRSSVAASTSSSSSHHRGRQS >OB09G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11964296:11965212:-1 gene:OB09G23320 transcript:OB09G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGMKEFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGTVDLKDDFSEQEEQLRLFDMDPKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNASASIRNNNGPSLECLWEGKV >OB09G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11967753:11972232:1 gene:OB09G23330 transcript:OB09G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24160) TAIR;Acc:AT4G24160] MVHGYGASQGFFFRNFDTLASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIESFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRVVRGLGPWGPDLVRRYTSARFGSHSTGELLTEQESTLLTDYIYHTLAAKASGELCLKYIFSFGAFARKPLLQSASDWKVPTTFIYGQQDWMNYQGAQQARKEMKVPCEIIRVPQGGHFVFIDNPSGFHSAVFHACRKFISGDGEEGLSLPEGLISA >OB09G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11974258:11975781:1 gene:OB09G23340 transcript:OB09G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCARAADASRAFREMTERNALSWCSLLHAFVVSGHMELAHELFDEMPTRSNVAWNTLLMGHSRSGNAKQCLALFNEMRMSGLTCDDATLCILIDACAELPNPSNGFAIHKTVVQSGWNDIPEVNNSLISFYAKFNLLDYAVQIFECMKNRTTASWNSLIDAHARLGYIEQAAALFESAPETNVISWTAMIGGFARNGSTSEALALFVKMTQEHIHPDDFAFGAVLHACASAPCLASGRMVHTCAFRSGFASYLYVANSLMDMYAKCGDVEGATNVFDAIHQKDLVSWNTMLFGFAINGLSKEALAVYESMSSHNISPDEVTFTGLLTACSHSGLLEQGRAFFESMMSVHEVQPKPVHFSCVLDMYARSGNIAKAIEMMEHYPEIVKSPGSDLSEALLSFCSSENLDAWIGRKVGDSVVATAPARDTGYVMLSNLLCASGQWDEAERMRRAMAEQGVKKSPGCSWIEVKGKVKVFVSGEEAVDRIDSVYGVIYLLDYEMRNSVPL >OB09G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11976697:11981040:1 gene:OB09G23350 transcript:OB09G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPMCRLSLSGSRSGELQPPMVPPCIPSIVDLLSDHDLPSSVSLSPFHPMPVCFNSLRGPGFFHYHVPSPPNPSAGGIDDESHHIRTFSDAPFCSMNSIYLPGSIDIPFTPSLVGFNQGMYGQPPVLAGHKKSQSDSTVGFSQENLQLSLAAPVKMEVTMIQEHQSRGMMVTTPDNLVDSNKGLILRGSPSNGSREQIEQENTPIGRTVNGSMPRHRRTSSMNSSFMTRNLDLRLGAMGNELLDSRPPPSAGAGAGGSLTQGGRRSIGGSDTALVAANVSSGKFSEAETKTIMESEHLSELMLTDPKKVKRILNNRKSAARSKERKLKHKIALERKVQLLQMELTKFYEQLAVLQRECTELLAENNELEIRLQAVRRQAQISQAIYDATAAEGIRFAVLAGLINDPRQVPDVSHLQMSTQMIQQLLQPQPSQTQQNQPQESWKFWGDSL >OB09G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11984339:11984770:-1 gene:OB09G23360 transcript:OB09G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMLIGNTCSGEPGSWTGKPVSMSKFAAAMTCAGRSGIGMKPPQWVGMDCMSGRRKRDDVSRIGTAGTASGIGWSSSLKGKHNTSAADHLEVQSISICAICVSSCLLLCVSNECNFWPRLGGSGPECLLCSKFSLKKILSFP >OB09G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11985371:11986390:-1 gene:OB09G23370 transcript:OB09G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVWDYGERTGIKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAFRIFKASQESSKSS >OB09G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11987627:11990801:-1 gene:OB09G23380 transcript:OB09G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKQAEQGSVVAARGRVWASASGSFEKDHTGGDDDVLASPQIVEESNVDLLKILKSANTIIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVNDFIEAIQRPDAIAAGYVGQFIIKPFFGFLFGTLAVTVFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYIPWLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTTCFVAGYHLAGTWFRKSADVKALQRTVSFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLMGFALVMVWSKRTKA >OB09G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11994222:11998867:1 gene:OB09G23390 transcript:OB09G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (PRH75) [Source:Projected from Arabidopsis thaliana (AT5G62190) TAIR;Acc:AT5G62190] MPSLPVVTVPEPMAVDESASKKSKRKLKAAEAEAGKKKEKKEKKRKAKEPASSDEERSSTSSEEPAPAAKKAKKEKKKGKEEVAAVGEDDDGELTASGDEDPADPNALANFRISEPLREKLKSKGIKALFPIQATTFDLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGKHKASGADYGRPPSVLVLLPTRELAKQVHTDFEFYGATFGLSSCCVYGGSEYRPQEMKIRKGVDIVVGTPGRVKDFVQKGTLNFKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPDWVGKLSLRFLKPGKKTVDLVGDEKLKASASVRHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASELSGLIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFDPRHKFNVNRIERESGVKFEHISAPQPTDVAQSAGSEAAEAIASVSDSVIPVFREQAEQLLSSSGMSAADLLAKALAKAVGYTDIKKRSLLSSMENHTTLLLQTGRSVYAPGFVLSTLKRFMPEERLADVKGITLTADGTGAVFDVPSAEVEDYIQGSENAAMVTIEEVKQLPPLQERDQSRGSNGGGRFGNRRFSGGGGGGRGGGGNRFGGGNRFGGRGGGGNRFNKR >OB09G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:11998791:12000721:-1 gene:OB09G23400 transcript:OB09G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASPRTYGSVSEIWFDGAKGKNAKNMTYHFQEWFQTVRQLQSSINIFSDDGPDVRWVGDENGSAGSTCWSTVNRSMITIGEAGIEKYLNTGDPRGKDWVPPECDVSIRPGWFWHNNETAKPLSKLLEIYYSSG >OB09G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12008506:12010333:1 gene:OB09G23410 transcript:OB09G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3MZB2] MVMDIAHRDHLLAAAHGVLAVATLAACVLAEVAVFSSRRHAGLYLVPVSAMVLLYRFRRRAAASDVGLVDFSCLKPPRRLRIPVAGLLEHYKLIGCFDDSSVEFMTKVIEASGMGNETYFPPSLHYIPPADTHAEAIREAHMLFFPTLDDLFAKTGVPPGFCAPPSLSAIIANHYRMPSDVKTYNLSGMGCAAGVIGVDVARNLLRTHAMSYAVVVSAEIVTVGWDSGKDQSKLLLNCYFRTGCSAALVTSKRGGGGGAASSPPVKYRLVSLTRTNQIANDRSYRSGYRDEDDEGITGFTLGQGVGRMVSELLRAHLLTLSISILPWREKLRYVAAVLVSAHLRRHDKLSSSSSPPPPAMPMPDFRTAAEHFCLPSSGRPMIWRLGQGLGLGEKEMEAALMTFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLGIGSGLKANSLVWERVAADDHFASERRGRSTLGPWRECIHNYPVAQP >OB09G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12016427:12016648:-1 gene:OB09G23420 transcript:OB09G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYWAFQTNPDHHSFAAGAQPIDGSSFQPQPFYSVVHPINGADPQGQNVNADDQPAGGEEDDYEEATDSSSEE >OB09G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12020231:12023989:1 gene:OB09G23430 transcript:OB09G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3MZB4] MDGRDNVGILAMDIYFPPTCVLQEALEAHDGASRGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPLSFESKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKLAGKQFSISDADYFVFHSPYNKLVQKSFARLYYNDFMRDCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVVYDKHGSLDGQRIVMFSYGSGLTSTMFSLKLNNGESPFSLSNIASVLNVTEKLESRHVTLPEKFVETLKLMEHRYGAKDFETNKDTSLLPPGTFYLTRVDSMYRRFYDKKAEEEIAAGKAKYSNGHANGYANGH >OB09G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12024295:12028002:-1 gene:OB09G23440 transcript:OB09G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01910) TAIR;Acc:AT1G01910] MAVAEADGAPDPTVRNLLEQESLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFNNLYAMEIDPKVENDDFANEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMRDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYVDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKSALKRGTVEELEQRVSILKSALQEAESELDRLRKGKQVA >OB09G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12026136:12026634:1 gene:OB09G23450 transcript:OB09G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSESLVRLTGSLNCLFTCSITSLIPSSLPSIASSFSSSPRPNNRVACQSSTSINCHQPTSSIILNN >OB09G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12028959:12032743:-1 gene:OB09G23460 transcript:OB09G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSLMLGTIILSVLFQTKHWQGRKMVFSKKLTKDQIPGWEEYYFNYKRLKGRVNEYTEHTKEGIQDRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKRRARLQDIPLLQEITELREDYRAVRMDLVTLLKFVELNANAVRKILKKFYERLGHRFTDYYVRSRSNHPYSQLQQVFRHVGIGAVLGALSRSLSDLEERQGSYLNIYDQHHPLSIPKDPIIDLITSTADNLTNSTNFLRFLGQHALIDQADDGAAGAERRRRRVPFHLPRAEPRQHLPLHGEHLHRRPDGRRLRHQPRRRRDGVRRHHRLHGRRPGVLQRVVQPVVLQAVAVQQRGAAPWQCDLHSLTILLVGSVLCGESHLITSCSCRMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPAIAGLLQTNFSLCGLTVNQITLPGWIMAFGWLAYLIWLWISFREPDLGHGVKDFYEGSSTSTSTRYSEQEKMEQGFGEHLLPSQATLDQDDDDGNGGDNECDETLSSTAALRPASSVASAYTLLTPSVKVQLLIYFMLKYAREIVLSESSVVTGYYFGWSTSDVSVFLATLGLSVLPVNAVVGAYLSNMFEDRQLLVASEVALLAGVAAGFAVAGTAYTAAQYVCSAALTFVAAEVLEGVSLSLLSRAMSSRLSRGTYNGGLLSTEAGTVARVAADAPIRAAGCLGEGWLLNATQLPALLVCVAPSAATLYNYNSLFY >OB09G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12033561:12033713:1 gene:OB09G23470 transcript:OB09G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQSNSGYFRIIHFEKNWYLQRASPASITWQNTVEYFDSSFARTQVME >OB09G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12034602:12038807:1 gene:OB09G23480 transcript:OB09G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G01880) TAIR;Acc:AT1G01880] MGVGGSFWDLLKPYARHEGAGYLRDRRVAVDLSFWVVSHSTAIRARSPHARVPHLRTLFFRTLSLFSKMGAYPVFVVDGEPSPLKSQARAARFFRGSGMDLATLPSTEGEANADSPVQPRNAKFTRYVKECVELLEYLGMPVLRAKGEGEALCAQLNNEGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMTDIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDTVLDKLYEIGKGVYPFIEGVTAPNIDDLPSPSTKSLPRVPHCSHCGHPGNKKNHIKSGCNFCLVDSLENCVEKPTGFICECPSCDKARDLKERRRNENWQIKVCKRIAAETNFPNEEIIKLYLSGNNLDDENGVLSLKWNKPDVEVLVDFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSPSKSFLYDQYEFHSIQRIKIRYGHPYYLVKWKRFTRSRISNDSPSKQTELEGKNDKMVVLDGDDEVVFSDEDEEVTMSSPSTELLDEPDVPQVLDDYKNYFLLTDEDIQLVNAAFPDEAQRFQEEQRMKEAKSRAQKSKLSLAGFETPKGPRPSAGVQLNIKEFYRSKKGPGSDSGKDGSRKSSDVDLSKNLPKNVRRCLLFD >OB09G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12040590:12041312:-1 gene:OB09G23490 transcript:OB09G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEAHLEYRTVWSEPPKKPAGRTKFRETRHPVYRGVRRRGRAGRWVCEVRVPGRRGTRLWLGTFAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPCPSPPRRPRLPPRRSPTTTTTTMTNTSCRCRRRPSFPTPRSSCSTTGSGSAGWTPGRTTRAWRMGCSWSRRPPERGGRTASSPAPTCRSGATDLAGQQQKFCTNFGRICFPGNRVVGNFEQSRQWD >OB09G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12044823:12045122:-1 gene:OB09G23500 transcript:OB09G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATSSASAPPLANSDVSPSTPPRPPVDATTELPLPATLMDTFDLDFFGEMDYDMYYASLAQGLLMEPPPPPATAAAEAASAHWDIGDEAADIALWSF >OB09G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12050606:12051227:-1 gene:OB09G23510 transcript:OB09G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIKQEVSGESPCSSPERQQTVWTAPPKRPAGRTKFRETRHPVYRGVRRRGNAGSLADVRHAVAEAVEDFLHRGRPAALLSDDADAMSATSSSSPSSPHTDDDESVATDGDESSPATDLAFELDVLSDMGWDLYYASLAQGMLMEPPSAAAAAAFGDIDTDANLADVALWSY >OB09G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12058718:12058927:1 gene:OB09G23520 transcript:OB09G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESGKVVVAGGAAAAKACRGRRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAA >OB09G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12074079:12075955:-1 gene:OB09G23530 transcript:OB09G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICDKEEAAQCLHQLLIVPESYPKLHDRPRLAAAGAGVLGEVASIFRLTGPMVGAGILLYLRSLVSMIFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLAASVPISALWVAMHRVLVATGQDPDIAATAYAYILCSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLFHVPINFVLVDRLGLGIRGVALGAVCTNLNCLLFLAAYVCLSGISIWPECAGL >OB09G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12095245:12096367:-1 gene:OB09G23540 transcript:OB09G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRIGLDVDKIAAKVTALEGIVAKGGKVVDADVVTLTEALMNELVKLDYIAADGEVKEQRRVQEKRVQKYVEALDAIAEGEVKVQRRMQEKRVQKYVESLDAIRAKNAAAHKANGNHRLSGAGAAHGELGVVRPAVVDAVHVVVHRDDHHGRRHHHLADPTVRLGALLASFPDRYTTLHYTLHLSCPFISGAHRSVAGLLHSLIISARHCFFDFFCSFFLFFFFMFLFLSSVYLQKKKKRNALQIECVQSVSQFSSS >OB09G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12106434:12109007:1 gene:OB09G23550 transcript:OB09G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTKVRPPRLREIMQVPKKVVRDPRFEPIYGEVDKEGFRKRYNFLFDDELPAEKEKLQKSIKKSKDPNAIEEMKSRLTWIDKQLRSHPRKNVESEILREHIKKEREAAKTGKQPYYLKKSEIRERKLMNKYNELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDAGA >OB09G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12109277:12111817:-1 gene:OB09G23560 transcript:OB09G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:UniProtKB/TrEMBL;Acc:A6MD03] MGAVSSSARGGGGDGATALGDLPESCVAEVLLRLDPPEICRMARLSRTFRGAASGDGVWEAKLPTNYARLLAAAAADGNEEATAVEAETIPKKEVYARLCRRNRLNGGTKEFWLDKGGGGVCMSISSRALSITGIDDRRYWNFIPNDESRFHVVAYLSQIWWFEVRGEVEFCFPEGTYSLFFRLHLGRPFKRLGRRVYSSEHIHGWDIKPVRFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKSSDEPVKIQFAMVQIDCTHTKGGLCVDSVAVKPQYLAKKKAPRIYV >OB09G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12116211:12116390:1 gene:OB09G23570 transcript:OB09G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLYSLISLKYVYSWLIACLLYLLLNKGEDIMTRTSISTRIYTRWSGSESALDHAPLIN >OB09G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12116833:12119851:-1 gene:OB09G23580 transcript:OB09G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNTYSVHLSTETHRIEAWLASDEALARQLQEEENAHDAIATREFAGNVSLEPSLPAVEYRPSNNAAQVTREDDVDPDNMSYEQLQALGEAVGNQSRGLPDDLISYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVFG >OB09G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12123075:12128169:-1 gene:OB09G23590 transcript:OB09G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEAAAVRKLEEHGGDLNMAINAHFNEGDNAVNRISQNNIPASHDDMMDLDGPLDNAFRRSLFPETLRDPFALMDPNFQQNYFDRVGSTDSFSHGPQVSHPREVREIPIEVKDSNPQTGTSGQAPIIEDVTGHESSYGPEVRGAIVIDDDDEQPSAPSLHANIDSSIQLNPSIPTAPPLVHVTDYDNDIEEEMIRAAIEASKKDAEAMTITTEQGIAQQPEGVNIREHSFDEDEIGDASGIAGRQEFATEKAGSSRQPIDEDSLEEETEDVEEQPLVRRRSRRIPFGNTESAQPVYTVDSPPSSSQPQDNLNDRQNNGDDFPSEWGGISSEEHDEAVMLEAAMFGGIPEGPRYPFSMPSHRSSSLYPRVEHAPSPALTEQRLLREQQDDEYLASLQADQEKELKAQQEAELRRLEETAAREAALEKLKQEEEERRKKQLEEEELESSLASKQASLPSEPAVDEDGAVTLVVRMPDGSRQGRRFLKSDKLRYLFDFLDIGRTFKPGTYRLVRSYPRRAFTTGEGDMSFSDLGLTSKQEALFLEQITE >OB09G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12129002:12136766:-1 gene:OB09G23600 transcript:OB09G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRRAPRSSPPLLLFLLLLLIAALANLAPAAAAADVLAKSRLESCVRDTDDGGRRLTCDSKLVLDVAVPSDSSGGEASLVAKVADVEENDTEATPMRIRDPPVITINKSEVFALYALTYLRDVSYKPEEKFVKTRKCEPDAGSEVVKFCERLRDEKGQIIDHTEPVCCPCGPHRRAPSSCGNIFNKIAKGKANTAHCLRFPDDWFHVFEIGRRSLGFSISVQVKKASSVSKVIVGPDNRTVVSKDNFLRVKLVGDFVGYTSIPSFEDFYLVTPRKGVGGGEPQVGDDFSRWMLLERVRFTLDGLECNKIGVGYEAYSSQPNFCSSPLQSCLGDQLWNFWESDKIRVNNSQPPQYLVQGRFERINQHPNAGVQTFSVGLTEVLNTNLLIELNADDIEYIYQRSPGKIISINVSTFEALSQVGTAQVKTKNIGKLEASYSLTFGCSSGINPVEEQSFIMKPDEEIIRSFDLHSSTVQASNYTCKAILKGSNFSELDRKECQFSTTATVLNNGTQIGSPVNHAKGGIWGFFEDIKAWLSSMWGGLINFFTGMPCSTRCSSFFKLVMYGMLFLAVMWFLHQKGLFDPIYDWWDDVFGLSEARSHQRHKRSHSLRNYHHHHKRHKSEPVSGHRHHSHRILHKDHDDDHHRLAAEHVLYRHDRHEAALSVQHRDKLHIHKHRHAGKVVPPREFIWDVASVSKLKDQGHNE >OB09G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12138446:12141509:-1 gene:OB09G23610 transcript:OB09G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3MZD2] MYVNNCPKHGSALGGCVAGFLRRFSFQPLRENPLLGPSSPTLQKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPPKYKAYQYVLWVVAFVLLLVGFVVSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCDT >OB09G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12141786:12141977:-1 gene:OB09G23620 transcript:OB09G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTNHSALSLSLSLVLSRGPEEETATAHRPVAKGPAAPARRALHPPRAPPVAVLLSPLPPPAPQH >OB09G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12144704:12146065:1 gene:OB09G23630 transcript:OB09G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G33495) TAIR;Acc:AT4G33495] MLRRVAALRPAPPPWLAAAAGYASKSTSLPQKQQRVRDHAFDGIMEVQKRVRRFVALHSLILYAASPTALAGGGAGAVSVPFSRLGALARRQLRLAPLDAGRFLLRHPHAFHLFLHPVHRVLHARLTPRAASALRLEADAIAASLPGSVLRLRKLLLLAPPDHRLRLEHIRLLRRDLGLPDDFAESIIQSHPDLFRLTPDHFVEFVPSPSDPPDLSVAAVERAREQHYREHRNPGAGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEARHRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVDPNEIYVARRRLEELLMLNPQKANLDRMFTSMGRGWDELGGGRRGGAELREEFLGDAGGRRGNAKTDGDYGGDSGDDSGVESLYIE >OB09G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12147262:12147558:1 gene:OB09G23640 transcript:OB09G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAKDSDENSENKYYRRSPFILEQNDEGSCSTAACSLLVCFPEGMLVCPVVLYCGCCVLFHFLSICTEMMNTMNWQLILFEILLCQVNTIFKPLVCK >OB09G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12148121:12148402:1 gene:OB09G23650 transcript:OB09G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNKLRCPQSYRHIKQVALARFKSLDSKIFSVFCYSVIFRYLFCSLCSFAPCLLLLRMISMIVSVRRFHISYYLIQSCRVPLFVLYSLVGPE >OB09G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12156649:12162382:-1 gene:OB09G23660 transcript:OB09G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGGGGGGGGGVHNSRLLPAPPVPKPGGGYAAPGLSLGLQTNMDGGHLGDMNRSLAMMGNGGSGSGGDGDSLGRGREDENDSRSGSDNLDGASGDDLDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKQTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGAAVLGEVSLEEQHLRIENARLKDELARVCALAGKFLGRPISSISSPSPPSLQACSGLELGVGSNGFGLGALGASGLQSIPDLMGGSAGLPVGSAAMRLPAGIGGLDGAMHAAAADGGAIDRAVLLELALAAMDELVKVAQMDEPLWLPSLDGGFEALNYDEYHRAFARVLGQSPGSYVSEATRESGIAIISSVDLVDSLMDAPRWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDQNGGGGGGVSSSSSYMGCRLLPTGCIVQDMNNGYSKVTWVVHAAYDETAVHQLYRPLLRSGQAPGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGGEGGGGAGDGEDKVRMMARHSVGAPGEPPGVVLSATTSVRLPGTLPQRVFDYLRDEQRRGDWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHNSASPSPVDVGSASPSSTAGAGATGGGRQQQHRLAGDGGVPDPRQQSAHREAHRRVGRHRQQPPLLHHPEDQVRSAGQHHLAIDSGHLTWIPSRPVTKGSIRMPPQMSNLRG >OB09G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12170466:12172115:-1 gene:OB09G23670 transcript:OB09G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVTVVSAEEVVLPTGRALGGGAYAVVRTASAAACTHVDEDSYGDCNGYIRLLGKDQDISSTADSKQTLHLQHSRVQTNAFTSHNAVPGVQYSDSNAFRMKVMKPVGFALCAGTDAEIDLSADAHDIFVLWEQENAFVRCYVPEINSTS >OB09G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12175402:12175989:-1 gene:OB09G23680 transcript:OB09G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCTRKSIIFYFLIVFSVCKNFMFSLSLYMNFVQVLGQRNCHVAQPKSIIHSLPSHIHRIVNHGASILDALLANPELVKQHTLIKEQLIQSPYLLRKPVKLSDPGRGCPGYTYGVWVIAHLAGDLRRSSSSSRMGATCSPASPRWFGPPAMTRTLYSIFCKTWPRLACVSSEACRRQRRNARQRHGAPTPGGSS >OB09G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12178200:12178837:-1 gene:OB09G23690 transcript:OB09G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENERLRRENARLTRELGHMKKLCNNILLLMSKYAATQHQDGSAGISSVVNCSGESSEADWPEHGADVKPEAADPHPGGGSSSKEASPDQNTWPIYRPTPVYHSVRPACDRAGSDQDGSSSR >OB09G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12183308:12186083:-1 gene:OB09G23700 transcript:OB09G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPTVFVEGYSTGVDTGQNKKTARLHMTRELAAIPAVLFSNKHAIDPATHTHTRKRTPPPHRTARRAARDPPPSPPYKISANPRVRRTGSPPAAAPPPPPAMANGGGGAGNGEARKAGAGASVLVTGGAGYIGTHTVLRLLEKGYAVAVVDNFHNSVPEALDRVRLIAGPALSARLVFIPGDLKSKDDMEKVFAAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYAAMTKYGCNKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPELRVILLRYFNPIGAHRSGDIGEDPRGIPNNLLPYIQQVAVGRRPELNVYGDDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTEKAKKELGWSARFGIEDMCRDQWNWARKNPYGYSGDADEKN >OB09G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12188406:12191805:-1 gene:OB09G23710 transcript:OB09G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein [Source:UniProtKB/TrEMBL;Acc:A6MD14] MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAESGSDSSNSTSSLLLESSSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAQSDPPEVVVHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLPAEEPGIGTSGQASSAIGVPLAALLSTPLKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKIEVENLSSHLGRHLIEDEGSVNIRSEKPKTLLPMKRKRER >OB09G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12196129:12197542:1 gene:OB09G23720 transcript:OB09G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVTNKLHTNNMPSGQENGAFLEAMSPICHHFSNKVSYRLQMPYVGANQSAMLLGGMVEYPIHNNYMMDATRLGSRNIGTTWTNATSPRDASSTSYVEGLPFKLHKEGGFVYPFI >OB09G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12199691:12202843:1 gene:OB09G23730 transcript:OB09G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQGYQPAVAPAAFPVAAPTPSGPVPSFKRQRPEYFGMPSGQENGACLEKMSQSSSPIYGHHLNNKIPYAGANQSAMPLGGMVGHPMHNNHMMGTTGLDNRNIGATRTNATSPRDASSTLYVEGLPSNCTKREVSHIFRPFSGFREVRLVNKESRHAGRYNLLCFVDFATPSEARSALETLQGYKFDEHDHQSSNLRIELSLSRTRPIGGPRGRK >OB09G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12206725:12210361:1 gene:OB09G23740 transcript:OB09G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRGGEAAAAEEEAAGWEGEKEAEIDYVFKVVVVGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITRRRTFENVARWVEELRAHADGSTVVALIGNKADMPASRREVAADEAARFAEEQGLFFSEASALSGDNVERAFLTLLEEIFAVVSRRALELDEARRMRNGGAGGGGGGGGGNQWGGVQQEMSRCPLRRLERLSSMPSPLAHTVMTRDNDRPYQSYSHSEPPSSSAPHHDRQLSFADQHDDDATSNKCLWTRSSRAFLDETPSPEQQMLGKSRTYACVQLHVSQVVASKA >OB09G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12211727:12214249:-1 gene:OB09G23750 transcript:OB09G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27700) TAIR;Acc:AT4G27700] MTTMLAASSVVNSNLPCSSRISSCSDFTSGYSWRPIEAARLRQTRTSRSLQITCTATKPAKSPGTVNRLFIFLGSSVELLQVQDIVFFLFFSYTAEEEWKIKRQVLVEKRVRSVDVKEALRLQKENNFAILDVRPEAEFKEAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIQSVDEKLGKDAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYKNVFHLDGGLYTWFKEGLPAVEGEE >OB09G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12214787:12215389:-1 gene:OB09G23760 transcript:OB09G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVESPAAAAAAVSHCRYPPRGVRGAAHPIVRASVYGLDDSYLSRCEDDTLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRARLREAEKKVLDARKKKVTASDGNVAYLGGFAMPNDPAEQLKLRGYHMVAGAADIGMFRKAALEDVKRFKEAVMEIGEEGDEYGDEKKDKEDDGYWSE >OB09G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12216160:12218869:1 gene:OB09G23770 transcript:OB09G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein [Source:Projected from Arabidopsis thaliana (AT4G27040) TAIR;Acc:AT4G27040] MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEDFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRSTNGGLIDLLDLRKLLCQKRKVDLGSLTADDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTIEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADSKS >OB09G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12219077:12220216:1 gene:OB09G23780 transcript:OB09G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYLGGEMTTTDGKRRRHRSPPASTSSGGGGGSSSSSTPSDSSSPSLDLIPDIARRLTSLEDFFSLRASCHAYRALLPASRPLLASQAPLLLVALYPSLAEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLVTATTAASNYPPRLLLLHLFTGEQLRLPKVPAPFSRVILTADLLVVIFLPGRATVQHCHPGDALWRVASAAAPHVFDDLIFVNRTLYALVGLRLATLALSENSLELSFLGGEYDDANRPAGDRFMLGECGGEVLLISVESEDRIVYRVFRWVSEETKWEMITKLGGRSLFVGLDGFAACIDQGYPGIRGDCLYAAGLRLGEWHEYSLVDGTCDVCYADYPGAPPLNNSSLIRPSVWIFPNLC >OB09G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12223667:12226030:1 gene:OB09G23790 transcript:OB09G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLALLRPLTLPAAGPLAARCRGGRGRGVRWRCATGGGAGSVGEEEEKSAAAAWLSSAVGEKVDELLQREENRALLEGVEAAERRGERARAALADIERQEAAARLAREEVRRLERRRDEIAESQRELLQAREMIDEAERSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEFHDLPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGVAAAFAFVRGLAMVESGSPFEMSTDALISLILDGAVSVAENILTFLPAAIALDYCFKMGFFSPFPTRRK >OB09G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12228461:12229831:1 gene:OB09G23800 transcript:OB09G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSFYKTMPCIRGSELLSGYLIWFQFASREMALKVFNWLNRKKHSNVSYCTIDENRAMEEKEDSVRASVTDQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMSDEKVEEEECKEEKIEAKEDMPVIAPSEPVSTLEPAKMHSSSMKEDNFTCFVKEEIPMHGMEMEDVANIQEQPLLMLEKVEKVRTTLADLFAAEAFSSSDTEKCCQNIITVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMKKMLGKKIHPEQLNGRSNAEGTVTA >OB09G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12235330:12237876:1 gene:OB09G23810 transcript:OB09G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase [Source:UniProtKB/TrEMBL;Acc:A6MD23] MATDGAAPEGEAGSKRKLLVFDSKEDLAVSLAKYTADLSEKFAAERGAFTVVLSGGSLVNSLRKLTEPPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGLLAKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENQRWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNK >OB09G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12241943:12247507:1 gene:OB09G23820 transcript:OB09G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSSPTAASSPPSSSWIHLRSLLVAAASSSSASASSSSAAGAGGALGPVPACAGAASWSSSPAPAPSSPHSDRGGIKSPWSRRKRKRVLSRQQWEGLFSANGKLRDGGKKFLKKVRSGGIEPGIRAEVWPFLLGVYELNSSEEERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNEECSGIGCSAEGSESPCCEDVNVARASVSLEELKPENREPEQPDNSMCAAIECMEEDTVELNCLDPCIAESESSDSESSDDDDPGRISMSGEENCDPDPKFTRITSFKADFFKSSKTSEDFSMWQRIIRLDAIRANTEWILFSRNQAEISKERALQCAESVGLRDYDHLDPCMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRGQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >OB09G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12255264:12256982:-1 gene:OB09G23830 transcript:OB09G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEDTGSWLLYLSLAAKCGGDGQPHRFVGFLVVCAVAGLLTCLLHWSFPGGPAWGRWWWTRRRGCWAAAASVPGPRGLPVIGSMWLMTGLAHRKLAAAAGRLGARRLMAFSLGETPAVVAGHPDVAREILHSPAFTDRPVKESAYGLLFHRAIGFAPHGGYWRALRRVASTHLFSPWQVAASAPQRAVIARQMVTAMKQLQQSGASSAAGAAVRRVLRRASLHNVMWSVFGRRYELQLDPVKESDETRELGALVDEGYDLLGLLNWADHLPWLARFDLQGTRARCSRPVPRVTRFVGRIIDEHRSAPPAAAAAVDFTDVLLSLQGSDKLADSDMVAVLWEMVFRGTDTVAVLIEWVLARLVLHQDVQARVHDELNRVVGLDRVVTESDSASLVYLHAVIKEVLRLHPPGPLLSWARLATSDIHVDGFLVPAGTIAMVNMWAITHDPDVWPEPMEFRPERFLDDTSFQVMGSDLRLAPFGSGRRSCPGKSLAMATVAFWLPTPLHEFTLLPSPDPARGVNLSEVLRLSCEMAVPLAVTAQARRVA >OB09G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12266185:12266340:1 gene:OB09G23840 transcript:OB09G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQNQISSLDLFEPCDFSRSFILVKYNIMSAFFNDDKGSMKRYFNHKFNT >OB09G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12266593:12268850:1 gene:OB09G23850 transcript:OB09G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAALNASGVAAAAAAGNGVQAGAGGERAEDASKQNLAQVTASIQKTLGLLHQLNLNVSSFSSASQLPLLQRLNALVAELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVINSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATSAAESKRLAQSQSTLPNGDVKVKPEH >OB09G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12270500:12270766:-1 gene:OB09G23860 transcript:OB09G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKYVCVSSMDRPWPWPWLQSRISQALVVLSWGWLALLPSNRYGFHSNVTTHEPTYYKQIAILSRTKTPSVKGKGKGKAKCVLMD >OB09G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12272247:12273077:-1 gene:OB09G23870 transcript:OB09G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEDSEWMMDLVVGGGKGGGKGGGADRKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLSSYESLKKEKHALIKQLEKLAEMLHEPPGKYGDNAGAGAGDGARSGGMKEEFVDARATLYSSTEGGGTAPKLVSHFGSDDVDAGLFLRPSSQHTPHSAAAAAGGFTSSDPADHHSFNFHSTWPSSTEQTCSSSQWWEFESE >OB09G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12278148:12278372:1 gene:OB09G23880 transcript:OB09G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIEGVRVVKSETIGSTCICREGRQEQKWNGHGFSDQDRPRNEQKASTPAFLSSSSAGSMTTIDPMFDPSALIRS >OB09G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12282012:12282543:-1 gene:OB09G23890 transcript:OB09G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAAFVRRXXXXXXXXXXXXXXXXXXXXGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >OB09G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12282700:12282891:-1 gene:OB09G23900 transcript:OB09G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSIRLEGKINLFQIIISFLTVIIPATHTPSDSWAGSGLSPTCVGRNELGWNGTPSYVTWACGL >OB09G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12284658:12288933:-1 gene:OB09G23910 transcript:OB09G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACRNPPFLFFFLSLFVVVHGWKATTANAQIRERRARRRRPRQRLARRCPMTRGRRNLRRWMEARKAARGQHRPRAGRRPAPVAFFFGHACHAHAPAQLRGFAVLPPRDMNATPCCRVQEEEEEMAGEERPERILVSVRLRPVNAREAERGDGSDWECSGPTTLMFRGTVPERTMFPAAYSYDRVFSHECSTRQVYDEGARQVALSVLSGINASIFAYGQTSSGKTYTMVGITEYSMSDIYSYIDKHPERDYILKFSAMEIYNEAVRDLLSPDATPLRLLDDPEKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETALNEASSRSHQILRLTVESSAKQFLGRGNSSTLLACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNAKTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKHLQREIARLENELKFPGSASCASHAEILREKDELIKKLEEQLKELMEQKDTVQSQLDNFRKVASDEDINNQLARRWSRSSESIPRNVSEGAFSYSDIHDVDYQDQTMDEPSVPHWCPPSRHVSDSIDEHEADRVAPRDASEVPEEHCKEVQCIETNELRSRRNQELFQTPEKKTYTGDQKHDESMSNSAENAIKLYACDFEPSLDLEKLETDESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDYSVSTTPPPGEIFRYSTKSQEKVMKSPSSDEVADKSNSDSNAEEERVVSDISCVTGVDEQKTDKNHAPQSSEQNQPKASTTDVGTDSSLRTADSLSWLIDFEKKQQEIIELWHECNVSIVHRTYFFLLFKGDRTDSIYMEVEHRRLSFIKNTLAADGELHATAASSLRSLRHERDMLYRQMLRKLHLAERESLFIKWGIDMGSKQRRLQLSRRIWTQTGMEHVGESAALVAKLVEHLEKGQAIKEMFGLSFSLKPRIDRRSFSWVGVVYS >OB09G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12293234:12297083:1 gene:OB09G23920 transcript:OB09G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPSVLFCAADEAALCRACDEKVHMCNKLASRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGSTDDVAMQQNDPEIQIDQKKSPHSVTKEQMANHHNVSDDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTHGVDLSVNNHDSPGVVPTCNFERNADK >OB09G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12300965:12301722:1 gene:OB09G23930 transcript:OB09G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3MZG4] MASYGDDGVELTELTLGPPGANARRARRARRSGRSSPSSSSMVQAYYVKVSMDGTPYLRKVDVAAYGDYLELVEALNDMFYCSSIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRACEARGLSSNA >OB09G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12306959:12307177:-1 gene:OB09G23940 transcript:OB09G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYIFILDFLLFSSRIYLFAGLALLQLQSSYLVLSTAEEAINMLLDGGISHNPIRFSKTDIDSNTDCMINN >OB09G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12309032:12311453:1 gene:OB09G23950 transcript:OB09G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:J3MZG6] MAFTATMLAWGVVEFGDAMPPAERSHAADAVRWATDYLLKTISHPGVIFMQASEHHCHTHIAQIAPVAVVAGDHVGDPWKDHGCWERPEDMDTARTVYNISAARPGSEVAGETAAALAAPSMVFRDADPGYADRLLAGARKAFKFADTYRGAYSDDPELRAGGCPFYCDFDGYQDELLWGAAWLRRASQDNAYLYYIQNNGKTLGAEDSTNEFGWDNKHAGLNVLVSKEFIEGEELSLQSYKEFADGFICTLIPESSSPHITYTPGGMIYKPGGSNMQHVTSISLLLLTYAKYLSKSSHTVNCGNVSVGPATLQLLAKKQVDYLLGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKNHPQRIACNEGTPYYSSSSSNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYFVGNPDPAHVRH >OB09G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12312108:12323061:1 gene:OB09G23960 transcript:OB09G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLVVMVAALLLVVVIAIKNRGRGKLPPSPPALPFVGHLHLVGEPHRSLDALRRYGSDGGLLFLRLGPAGELVVSTAAAAADLFKNHDLAFASRAPSYSADKLFYAGRNMSFPRRHLAPHQEAGRRPPPLPSPRRVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFQWVDPRPAAVAWVVRKLTGLNKNLDDMAEESDRFLNEILAAHGEEKAEGEEEDFVDVLMRLRRQGAGGGLELAEDNIKAIIKVLANRPKNHIADLIDPMQLGFIKGRFITENFIMTSEMVQYALKKKQPLLVLKLDFHKAFDTDIMGAATDTSFVTLEWIMTELIRNTHVISKLQDEIRQVTGAKPTVGEDDLAKMDYLKAVVRETLRLHPPAPLLIPHHSTAPATVQGFRVPAGTTAFINAMNHHVFLAAVVLLAVVGYAVKNRRRKGRKLPPSPPSLPFLGHLHLVGPLLHRSLRELHLRYGSEEGLLLLQLGRRRTLVVSTAAAAADLYRNHDLAFASRPLSAAAHKLSFGSRNITFAPFGDNWRRAKKMAAVHVLSPRRVESFAPVRAAEAAALVTQVRRAAAAANGGAVELRDLLYSYSNAVVTRAATGAAGTTADTLKQLLGNATSLVAGVQADDLLPDMAAKVLRWATGLEKKYDDSMEEWEKFMSPIIAEHVEDGGAGEEDFVDVLLRLKREGTDGFELTDTRVKSIVVDLIAAATETTSVTLEWSMVELVANPPVMAKLREEITRVADRKPAITEAEVSGMAYLKAVVKEALRLHPPAPILVPHQSTAAAVVQGYEIPAGTSLFINAWAIGRDTAAWDSPEEFRPERFLNCQVDFRGNDYQLVPFGAGRRICPGINFALPVLEMALVGLLHHFDWELPADVDMSEAPGLTTPLLVPLRLVPKSRGFNPVCVGIPRSIPQVPNYVVDEPKEEAAAQGHDLRQFKYACAGYSMFVVDNKDGQSGEKEGKTLLPYCQGLELLVDSRLVERKSTTDEQATASFRKEAANSSRQQGQRPGQLTGQDFYARKAGFQISLLIPFL >OB09G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12328727:12332426:1 gene:OB09G23970 transcript:OB09G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSLLAVVFVLLLLFEPGSSSRSNVYIVYMGERHPELPPELVQEAHHGMLAAVLGSEQAARDAILYSYRHSFSGFAAVLTDGQAARLSDWPGVVRVVRNHVLDLQTTRSWDFMGVNPSPSGSGVLLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGRCIAGEKFNISNCNRKIIGAKWYVKGYEAEYGKMNTSDIFEFMSARDAVGHGTHTASTAAGALVANASFRGLANGVARGGAQKARLAVYKVCWATGDCSAADILAAFDDAIHDGVDVISVSLGQAPPLPAYVNDVLSIGSFHAAAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKISLGNNSTYVGQTLYSGKHPSKSRRIVYAEDIASDNADNTDARSCTAGSLNATLVKGNVVLCFQTRAQRSPSVAVETVKKARGVGVIFAQFLTKDIASSLDIPCIQVDYQVGTAVLAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSAIGSVNFKIDSGTSMSCPHISGIVALLKAMHPNWSPAAVKSALVTTANVRDAYGFEMVSEAAPYKEANPFDHGGGHVDPNRAAHPGLVYDMGQSDYMHFLCSMGYNNSAISSMTQQQTTCQHTPKSQLNLNVPSITIPELRGKLTVSRTVTNVGPALSNYRARVEAPPGVDVTVSPSLLTFNSTIRKLPFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRIIISRFYVNA >OB09G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12332150:12332707:-1 gene:OB09G23980 transcript:OB09G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAALRVPAPIPSSLAAPARPLLRRHLPPAASTRLPRPRSRSRPRSRSPATAAAAAASAAYGTSTTTSSTPTTRLSRPTPRRRRPPPPTPAATPPRRPATAPNPEAAHHEFIRTCSMRPFLLIFSPSPNWEVLVWLIIMKHYVVSLCMLQFVPELNCCCSNEKFYDYIQRSSSISIQLFTLRSC >OB09G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12333937:12337773:-1 gene:OB09G23990 transcript:OB09G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSQSVCFAWFNLGGFRAVPDRKTLLYATDKGPEESLKKTIEVDRLIDMLRDANPREKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMQEGEGATWPPRDLEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKDYAMPHAAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKPLPFSCLIQEPVHTEEIVDSSCSQSEQGKIEGTRCRLSVQQTVREVGVENSIRNPKWSLVCGHGGMKFDTRTSGKMLLRY >OB09G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12347198:12348503:-1 gene:OB09G24000 transcript:OB09G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALPSSFSGLDPSAAPFQPQSQSRCLCLPPTLPLLAPPPPPPLETGGYFWVPQPLILATLASGCICGCVHAVPVAVPQPHPGWTLPPPPPPVSYHPAPPMPMVVYLCPPAPLPAAPPTTRCSIMEIVEGGAADEGCKVEPCDKASRRARAAWRKAAIPLRKAFRAALSVAPPPPLPSSSPSPSPFVFGTNTTSLMIRNIPNKFLKARLMAILDQHCADENGGLVSPGSGVRSEYDFLYVPIDFRTRFNKGYAFVNMTTAAAAGRLRAFLQDHRWDAAMSGKVCDVVPAAIQGRDALVAHFSASCFPCRTKTFLPVWFEPPRDGVQETKAHVVGRLVSHP >OB09G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12362422:12363454:-1 gene:OB09G24010 transcript:OB09G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGSHSRDNPAPPVPPVHPTDAASFLYATRGGSFQLWQQQEQQPPCAGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTAVSIGGHVFKGILHDVGPEALAVAGGSGAGAGEYQFRLTGDGSSPSTAAAGEAGSGSGNIIVSSAGGMDPYPTPGPYGAYPAGTPFFHGHPRP >OB09G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12376519:12378105:-1 gene:OB09G24020 transcript:OB09G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRCFCCVAGESEPEPPAATSSRIDRLARRTNPARASKNRPSMEYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGAPSSQNNHVPLEWPRRVAIAVGAAEGLAYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELASARRPLEKLPGGVKREIVQWAAPLAERRKWDRLADPRLAGRFDAVQLRAIVETAMLCTQNNAESRPTMAAVVEMLRFAGGGGERRTKEIVPVATVAGSSGEITATDQDDVTAGSSEPLDRRNWKLTKLR >OB09G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12380565:12390966:-1 gene:OB09G24030 transcript:OB09G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGKKITTSLPWYHVLIFRLPTTCRMDVFAIRDERSFFGTVIYILLDPTIRNDLVSRGTDILRYKRKDENKTRNKLKPTLFLKTTPDFLVNVLPVRLLDRVFMQNLTVKVRARLFGPAIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDVTARLTLAVSHTINRAQLQGWYNKWQRDEVVAEWSKVQGRMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATMNDRLPHELMDGIGQLPSPTPLPPTPLSPEQARRRASCRLRQGPDPAEVNRILLSPSLEMPSAKRLPYSTAGGGGGGGRRGGGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDRESNQETDWRKQLPTNNLKSILSKEIINALAASQQEAGSLSVDFFRKRASPSWKTDDLINELTNSSLDVDDKVKSENSSAEHDLSLTDKTPKDDTDEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELLTRIKESQRAVGEATSDSDLHHSAPEKIRVMGQLLSKAREDVYDCKAVTQRLRVMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAE >OB09G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12397451:12401969:-1 gene:OB09G24040 transcript:OB09G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVEGRGVVDLEEGDGEEGEDAVAVAAAAGESSRETRMLPKMPVRVLLAEGDDSTRHIICALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSNGGLDMQHTQQEDNITERHEQKTGITKADHVTENDDPKNMECSEQESDAQSSCTRSELEADSRHTNNLLEYKQPMGRFSKPDQRNTEKNGGTKLQAINDGNLVPRREEDASPMRMTYSNDINCEKPSRDMELVHIIDNQQKNNTHMEMDVARTNSRGNDDKCFSIPAHQLELSLRRSDYSRLENQEKNERRTLNHSTSSPFSLYNCRTALSTVNAGDAQACSTSATHKDLENKHGDSTTPIQDKRETNQPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQATSLQRPKDIDPKLVENAEEQTARSHQSANLKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHMNAPTESSNTVKISPENPSTEGLRHLSQREVALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSVPGS >OB09G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12411716:12413092:1 gene:OB09G24050 transcript:OB09G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPELEISWATAQDKWPNTRSIVTTRLQLWPGLCFAGPKPYTIVSSCGFSLHPRSQFEPAPMAPSAPAPPAISPARLHKLVTSQKDPLLALELVNVTSPTTAPHPATLHALVLRLCRRREHLPHALALLRRLPSPPSPRILLPLLLSALRLRRPPHLFLSTFNSLFVSGPSSLPLHPQLLLRLLSVLSSTGSHFPSALQLLRVVSTSLPLPEPLVLAAHNLLIDAAARSGHIAVSVSLFRRLRSLHVSPNAETYRMLTQLFCRRGQVRTAATLLDEMLHRGISADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGISPDIVHYNTVIIGMCREGRPLDACKVIGDMMESGCTPNAVTYTTVVNGLCVSGLYGKAEAYLDDMMGKGFVPHFSVFHSVIKRCCAVGKVNEAAQMMIRMLALGMVPHAETWSSVIRSVCNDEDNVEVVLLQIMKGREHC >OB09G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12415111:12420806:1 gene:OB09G24060 transcript:OB09G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MALLAFRLGHHHHLGLSAPRDARRRRRRSLVVASGAVKAPRPRDAAVVWFKHDLRVDDHPGLAAAAEPRRPVVPLYVFDRRILAGYSDTMLELLLFALEDLKKVLKSQQSDLLIGLGNAEDVVIKLVNEVQAGLIFTEDEVEYRVRNVLASVESSLSKASFKWGTPPEIVVWSDSLYDYKNPRELSTSYNNFLKEKLPMTTPLAAPSLPALNVETETGSIPTLEELKVFLKESRTSEDNWVPLKSTSARSILKKTLIQKKIKSGAASSNSNGGEDITAYYGTSGRKIQNSMFASESSIEVRGGTEITLDALAAYLKYLEGTGKANWQELHDKVRLTETRDGASFYTLFGPALQLGVISKRKVYHEAIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYRLLALKSQVCIEGNNPIRIWRWKGHLIQYTSVGHSGPPVLLVHGFGASLQHYRDNTGGIADRGCRVWALTLLGFGKSEKPNINYSELLWSELLRDFIVDVVGEPVHLVGNSIGGYICAITAALWPSLSSSLILLNTAGSVVPSYSFIPLSEEGRSSWLSRLQARLLLLFLRSRAGGILKEYYPTRTERVDKTLVDEIIRASYDPGAATVIESIFGFNLSIPLNFLFDSFGGKVLIVQGMRDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLYEWIKDTAA >OB09G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12428970:12432605:-1 gene:OB09G24070 transcript:OB09G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDKKLMSFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQLVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDLKQASPTTTSQSTVTESGEATRQQSPQLDDVVLRDMSAGGDSPPESSTNTASTAGGSSSSSSSHYQDPLVKWLLEEDLPTGDEPWLNFTAGNDVDEFSSIAPAAPSLPWDVGVTDWLLDYQDFGMGDSSLMVDASLVSSSNGSNF >OB09G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12433950:12435309:-1 gene:OB09G24080 transcript:OB09G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGCMALVGLPISGCQLVQQYAETTVGRLSCLLITASQSVSNYRPEEVDSLTDRIGMADTRPCLAAVARRGFPPPGRRRTKHVPRLPSGHTAERACFAPASTVYVDRPPLVLQGHWLHVQLRVAGLHLPLLSLSLGRRAGLAWFVADGMVDGIIA >OB09G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12440910:12450335:1 gene:OB09G24090 transcript:OB09G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSEAVLGEGEGRGVKHEAGXGKPAAAAAQAPAAMLPRSGSRPQLDLSGAAIHGTSEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSNEDKRKMSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLSECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQITAPVPVGFPATTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKKYEPNTIDLDLNEYKYWFKVLSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLVNLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLTDKKGQPYKRALLFVDNSGADVVLGMIPLARELLRHGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVLVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRALHTNLNAHFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVP >OB09G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12451003:12451899:-1 gene:OB09G24100 transcript:OB09G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMATRGAALSWRHVAVFVAAVLAVVAEAAGDPSKIGICHGRVGSNLPPPVAAAALLRKNGITKARLFLPDPAVLPAFAAAGLDLMVGVPNENLTFLSAAGPDGALRWLKSAVLAYAPAERVRYLAVGNEVLYNNQFYAPHLVPAMQNLHAALVTLGLDGKIKVSSAHASSVLTASYPPSAGAFDAASLAVLRPMLRFLADTGAPFMVNTYPFISYVNDPVNVQLGYALLGAGAPPVSDGALVYTNLFDATVDALVAALEREGFGAVPVACTTRTASPAPVREALRHLQGGREQGL >OB09G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12454049:12457041:1 gene:OB09G24110 transcript:OB09G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYADGRRTGCLDVLPSEVAGCSDLNTTAFLYRLFRAEDPDLVVFTGDNIYGADATDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPKGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYTKEQPKQKEAAPGLVYFHIPLPEFSSFTASNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLSGIQLCYAGGFGYHAYGQAGWSRRARVVSVQLEKTDSGEWRGVKSIKTWKRLDDPHLTTIDSQILWNRGSNGARRIQMEDKI >OB09G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12457012:12465381:-1 gene:OB09G24120 transcript:OB09G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:UniProtKB/TrEMBL;Acc:J3MZI3] MADGPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREEKGLIGVLPVHDSEAAGSLLSPGVGSDSGEGGSKAPGGTGGESTKQDTKNGKETIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEHAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRSYLELLADLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALHRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAVKHLIPRVLEQHGLSSTYLQIPEAMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDRETADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCPLRPHSKL >OB09G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12469958:12476800:-1 gene:OB09G24130 transcript:OB09G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLGWLKKRRSKSKGSGKRATTTTTASAVSTSRSDDSGGVRPASKSTGSTSSHRSISSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRPPDGKGDRLAVAVKCLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEYMPNKSLEDHLFVRAYTPLSWNRRLQIILGAAEGLAYLHEGLEVQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRTLDRHRPPGEQKLLEWVSQFAPDSRNFRMIMDPRLRGEYSVKAAREIAKLAESCLLKNAKERPTMSEVVDVLRRAVQAQPDTPTAAASAKGKRVMRLIDLLKLNISEAHVGKLGVDGPMLGLFEPQVRNGGEVSGLPVQLCCRGKRLMNQDKAMMRLISPANQPRKALPP >OB09G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12471935:12472375:1 gene:OB09G24140 transcript:OB09G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKIVYSPPPPPPPPRRRWPRHVDPLPLGGGGGGGRVGLRLHGPPEHVDDLGHGGALLGVLEEAALGELGDLPGGLDGVLAAEPGVHDHAEVAAVGGELADPLQQLLLPGRPVPVQRPPPRQDLVQHHPEAPHVALHRQVPRLYV >OB09G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12481316:12487005:-1 gene:OB09G24150 transcript:OB09G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3MZI6] MAVSFVTGLLTLILGYAYPAYDCYKTLELNKPQIDQLRFWCQYWILLAFLTTLERITDFTVSWLPIYGEAKLALVLYLWYPKTRGAKHVYESYLQPLLARHEADIDRGLLELRGRAKDATASHLRAAASFGRACFADVTGRVSSQLQAASSGGRPRQGQAEQVEKRPEINPEEEEEEEDEDATVSKTR >OB09G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12476753:12492429:-1 gene:OB09G24160 transcript:OB09G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKRKPGGGGRKLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSATDDGKQAGLDLSKGMYDAGDHMKFTFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLINAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINTKSPGSDVAAEAAAAMAAASMVFKSKDTTYSDSLLQHAQKLFTFADTYRGLASDSYPKLQNYYNSTGYTDELLWAASWLYHATGDQTYLSYVTVQNGQAFADWGRPTWFSWDDKLAGTQVLLSRINFFGSKQTSNAENMGIKSYRDTAEAVICGLLPDSPSATASRTGGGLVWISPWNSLQHATNAAFLAVVYSDYMLTSQTAAVQCSGKYYSPTDIRNFAISQVNYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSSSPNPNVAMGALVGGPFQNDTFVDSRDNALQTESSTYNSGTLVGVLSGLVTTSSVAQSFT >OB09G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12495132:12498725:-1 gene:OB09G24170 transcript:OB09G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADESAAASTSLSSDDAFFDALDSLPSPPPPHTASSSTLRRRLPRRGRSLKQREGSTAPSPTLSDSSTITFVDEALKHELSEETSSPRPPPSLEEEDEEDVAAAAEEVEERDTKLAPAATPEPTPTPSILESLAVLVIKAVVFQVSALISCLTFPIRLLQWWFLFVTDPLGLARRARAWALEVAGQATGAVAARLGGGEGVGRMVARLAWGSLWAVYVCVVLCSILVMAFLAGGLLVGKVVEEPIQVTETLNFDYTKPSPVAFVPVQRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSNQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGVRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFELLLALVCCRPCIFPRSGHNAAAS >OB09G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12502093:12505651:1 gene:OB09G24180 transcript:OB09G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRPLGAVMAWVRRQPPKVKAFLAVVAGLAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >OB09G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12507972:12511373:1 gene:OB09G24190 transcript:OB09G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >OB09G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12513094:12516274:-1 gene:OB09G24200 transcript:OB09G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGKFFVGGNWKCNGTKDSVSKLVTELNAATLEPDVDVVVAPPFIYIDQVKSSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGEDDEFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVVAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPDVASSIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >OB09G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12518820:12522169:1 gene:OB09G24210 transcript:OB09G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRMGGGVGEGSDYGSSDAGSYEYDDEAEEDYEEELDHHLRVHHHEHPVGEGAVEGQREGDGGDGSEYDEEGFDEEYEEEVGPELDPAEYEDDEAYARALQDAEEREVASRLMALAGISDWRGVEPDEEHVNDPQDAWQEVDPDEYSYEELVALGEVVGTQNRGLSADILASLPSLTFKAKGAQDGNSEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKVCPMCSSEVSTSDNKQA >OB09G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12524096:12524902:-1 gene:OB09G24220 transcript:OB09G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTLQEVALMALFGVFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVLPPTATATLCVPFWKLVTFFATSTTTPALFGGGLLGYVMYDCTHCYLQHHGQPSKDPAKNLKRYHLNRHFRIQNKGFAISSSLWDYVFGTLPPPKTTWKNN >OB09G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12529017:12530944:1 gene:OB09G24230 transcript:OB09G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADADFSVHFSSPQTQVLHEVRPPTGPARARLAATAPEDAFEEYLVERGVIEAPVDAVPWRGVGGSEEAGIVVMAGPVRDRAKLEAAEARERRKNRMEKRKAAAAARAQQPPPPSDAPGSSGGQNKKRGCDGKKKEQA >OB09G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12532034:12535548:1 gene:OB09G24240 transcript:OB09G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 family protein [Source:Projected from Arabidopsis thaliana (AT2G21150) TAIR;Acc:AT2G21150] MSGFGDGYVGTGQDAVKIRRLQKQRDAELRKIEELKNKSSDGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDQRLSFCDDIENGSDEDDFENQEPQKKHGPIKLGKDPTVETSFLPDREREAEEQAERERLKKQWLREQELIKNEPLTITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >OB09G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12536952:12551386:1 gene:OB09G24250 transcript:OB09G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAILLLLMRLSGAIAAVSPNYISRSTEQQIIATVAPAADVGQSAQPFLTSPSGLYAAYLRRAVDSSAGGPGADACYVQVQHGDVGGGGGSVWESECTLVGGADACDLAFSPVGLELFAGGHSLWDTGIDAEPGTLSLDDGGDMRIVSKEGVTMRKNIAFGHVMGK >OB09G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12552705:12553911:1 gene:OB09G24260 transcript:OB09G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHAVRHRRNESHPPLNAANPSNYYRPGHARRAGSRGAVDARLGDAGAGVDEVAVDEVGGEDLELVAEALGEDLGDEEAGVAVDPAGDRLDAEHRVVGLRRHRVLHPVVPPPEEDPPVGHRRLLAEELDVALELGLRQVGVDPVVLEVAGAPQRLAGLGRRRLARLPHADEPPREQLGDGLVEHRLVLRDQVLAELLHQVLVELRRVLVAELGAHLEDHHLRLRLAEEPLHVGEHDVDGVGGEHAVADAALLLDPHVDDARPRGELLVHRQRLALRERPRHERDADLVRRRVVRPGPHHLVHPHLLRPELRHPLLPVGRPVLAARERLAAGRQPRRQRLLLAGHELPAVHLRREEGAHGVQRVAAFLAGVVAIGGRRHDRSNPINKPRILIRLALTWRSL >OB09G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12552811:12553842:-1 gene:OB09G24270 transcript:OB09G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSNDSGKKGSNPLDAMGAFFSSQVNRRKLVTSEKQALATRLSAGGETFPGSEHRPADRKKWMAELGPEKVRVHQVVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLADVKRFLGETESEVVILEVRTEFGHEDPPEFDKYLVEQLGEHLVPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLKDNWIDTDLPETKFESNIKFLGEQPPVADRRVFFRGGDYRVENTVTPQADNPVLCVKPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >OB09G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12555924:12560995:-1 gene:OB09G24280 transcript:OB09G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease/exonuclease/phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G36050) TAIR;Acc:AT4G36050] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQEPAKNSEAIGDFVLVTPVEEEDLGEVTTEDLLKLDNEGRCVITDHGHFVLFNIYGPAVQEDDKERLRFKLLFYKILQRRWEHMLALGKRVFVVGDLNIAPASIDRCDAPPGFEKQMFRKWLRSMLREDGGPFFDAFRSKHPERLGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVEDHSIFSCHVEECEIMDHFRRGNSENMSMWKGGKSSKLEGSDHIPVYIVLNEIPQLPVHSTPSSAARYLPEIRGRQQSIVSFLRKGIVYEHKDTTDLNMSMHRTDGSYCGDGLGSKVICKEGKPTVIAEISEGSKLHSVIKRKNLDQLLNEGSSGNSHDNTATLLATQSRKTSFSCSNAVPNKKNKHNLSSQPTIKSFFQQSKSKPGDGNSNNLVTPPDTILGMDVLHDPKNLPESIQCTTAATEDQGNSNVAYSLSTDKCNDATLEWQRIQQRMKMTLPLCKGHREPCIPRSVKKGSNIGRLFYVCARAQGPASNPESNCGHFQWATVKSKEKRR >OB09G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12565766:12567673:1 gene:OB09G24290 transcript:OB09G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMAYQLDNSIGDLSWLLRVSSSASDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRFLVGHLAFETVQEHSKYAVTSSKMSLHSVVMDKKNSTRRAFVPDLMDAGHPNGHLSQSKNEMQHLVQSTMVAKPNGSSVKGIGSNGGVVSSKQHNSSLSGTTTKGREFEDPETKAYMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAALSLTKFACTENYLHVDHSKAIISAGGAKHLVQLVYFSEQVVQLAALTLVCYIAHNVPDSEELAQAEILTVLEWASKQAFMIQDQLIENLLPEAKIRLELYQSRGAKGYH >OB09G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12568457:12568639:1 gene:OB09G24300 transcript:OB09G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAFQVINIHHRNSSLFHNFDVDVTWLGPYLVLFRVPFRQSIPRVQTNHILSFMLCNRM >OB09G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12571222:12572529:1 gene:OB09G24310 transcript:OB09G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLLLLLLRVTFLLTSLSRAALCATFTLTNSCGYTVWPGLLSSAGSPPLATTGFALAPGESRAVAGADGAGIACKSACEAFGTPEYCCSGAFGRPATCQPSAYSQFFKNACPRAYSYAYDDATSTFTCASGTVDYLVVFCPSISSLKSSVGGGANPSTSGAGLPLINDTVSFLNHGGGGGGGYYDASCSSSPAAPSCTVLLLLLLAHAATAVLAACGHR >OB09G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12583026:12585546:1 gene:OB09G24320 transcript:OB09G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMMTISSVCFVVVSFFQGLVGGVTFTFTNRCGGTVWPGVLSNSGSSALETTGFALGAGERRSLAAPAGWSGRFWARTGCAFDGESGKGACATGDCGSGEVECRGAGAAPPATLVEFTLDGSGGKDYYDVSLVDGYNLPVVVEAASAAGCPAPGCVVDLNERCPAELKAGQGQACRSACEAFGTPEYCCSGQYGNPDTCHPSVYSQVFKAACPRSYSYAYDDATSTFTCAATDYSITFCPRLANPNSQKSSNDPSPRPKDANLEDDSWLASLATGEVDGAAAAPSTSSLLQATLAVAVMALLALH >OB09G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12591399:12594935:-1 gene:OB09G24330 transcript:OB09G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWLVVSRTVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFANNPASFLIMLAVVPAAVCAVAMVFLREGEVAGGAAYGREEEEDGRCFTAINTLAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPAVVPAYVAWKSWTKARKLANADVEEAESASAPLLVAKATAERKEAAAEARGPGEKPLLGEEHTIAQALMSLDFWVMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYIVMAFGMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACVVGFGLDVLLCIRTKRVYAKIHESKKRSRLTVVQRVS >OB09G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12595167:12595541:-1 gene:OB09G24340 transcript:OB09G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLKFKRFSKEISSIVQLLLYYKIQKYSTCTIAHTRKRCIFVPRERVKQLFNHLKYSLSIHHQNDRQNLPQRQNAHSRIQIAINVLIARAFNLIKLSQTFIHRAHRRGLSVSIKPPPPCPHFAQYV >OB09G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12607738:12610148:1 gene:OB09G24350 transcript:OB09G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3MZK6] MLRKVAVAFLASAALYVAFSAYSRRESLAEVQLPVVTNRVYLDVEIDGQHIGRIVIGLYGDDVPKTVENFRALCTGEKGIGSNGKSLHYKGTTFHRIIPGFMIQGGDIVRGDGKGSESIYGGTFPDENFIVKHTHPGVIAMANSGPDSNGSQFYITTIKTSWLDREHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEI >OB09G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12612714:12615568:-1 gene:OB09G24360 transcript:OB09G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFSLCLILCLFVASGPAKTANADSAFDFYYLILMWPGAYCSDSEYGCCVPKYGYPSEDFFVQSFMTFDSSENKAVVRCNSDKPFDINKLDSIENNINHYWSNIKCPRTDGTNTWKSAWNSYGVCSGLKELDYFKAALQLRKNADILSALSEQGIKPDYQLYSTEFIKWAVSQKLGVTPGVQCRDGPFGKQQLYQIYLCVDKDANGFVECPVLPSLHCPAQVLFHPFHTWMLNATSSAASSNIVMPTETLLA >OB09G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12620968:12623200:-1 gene:OB09G24370 transcript:OB09G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRIALLCLVGLLVVAASPVAIADEDDKIFYQITFMWPGAYCSQSNAGCCMPKGDVVPASDFYVAGFTVYNARTNSPMTRCDNTPFNMNQLGDTTELKKYWNNIRCPSSNSERSWKKAWETSGVCSNLTESAYFDTALAIRDKINPLARLASNDIKPDFGLYSVEKIKEALEAGTGAPPLIQCSRGPQRTYQLYQIYVCVAQDGETFVECPAPKKPYTCSDRILFHTFKEWMLKNSTNTNSAYAAEAIDQLLQAAMEI >OB09G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12630759:12633169:1 gene:OB09G24380 transcript:OB09G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:J3MZK9] DRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTKKDGLRQLEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >OB09G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12633571:12633960:1 gene:OB09G24390 transcript:OB09G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVQSFLCLLLLLFMPLFVIPSYGKMDVCEEWTRDIYRMLFLCSSEKCNQYCVTEGATRGRCGFLIVRSFCYCSKECD >OB09G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12649862:12651746:-1 gene:OB09G24400 transcript:OB09G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINDNASNITISFEAAAAAAVAAAARDDKAAVFRREDQPKVTQEQAADWGQGKPLKCPDLNLDLCISLPSLQEETMMKPVKREAGVCFSCSLGLPKSTDCKCSSFLGLRTAMLDFRSLEMK >OB09G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12656008:12656172:1 gene:OB09G24410 transcript:OB09G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTNLTLNMHLAVGFHFHLASYVTFHLYHMGHVNVQLQEKTINATWLVTGTST >OB09G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12657100:12658966:1 gene:OB09G24420 transcript:OB09G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51280) TAIR;Acc:AT3G51280] MLRANSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKVRQVKPPSSLFSSRQYAQQLIRVDESEQRCGRLDDQISLLKHKLQLIHQGLAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKDNYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEAKLVGRPRADLLDKSWLFDALMLGSSSSIWQPQPCIDHMLPPAPMPRDQFADENAGAGANKKVAAAAAHALQPNILRVDAQPFYSLRMPPLATKPQNVQQPQQKPPTPQPQVHDPMGNLKRTRSGNAMDKATASAGPGGKEPSNDENNGRRKSLTAEERWPELPDHSAFDEALVAAVLAPVLDDSAATAELNGNCKPALAPASCCDTSPAMKEKIGKRLRIFQDITQTLNTF >OB09G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12664838:12665261:-1 gene:OB09G24430 transcript:OB09G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSIHTVRKHVAYHIEMDIPVGIPSQQVLGEQPCSFRPGQIAFPTFLQDILLNSWRWCITKGSKGATQICKQLNCLLGSECHQSTTSY >OB09G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12665582:12665863:-1 gene:OB09G24440 transcript:OB09G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGPDSSGPINGLAQLARTAHHVVYSLKSSTVQLLGDHALLALSPVSSARVAGGGVCRAAGHVAPAVDAEYLSQVGDLPALIFPKGFALSRP >OB09G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12667643:12674079:1 gene:OB09G24450 transcript:OB09G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHALYLRALRATGAALLHELTVVAVPRGGAGKKDLAEIATELDEYFLKAADAGARVAALLEAPNCEPPETNHSFPGKTIPKSLARFISILLTFHLFLINYLLHATESTNAAGKVLNYSKNLRPMGWSWGGTGYSKGSSGFSRFGRGDEGMGNGGSSGILSHSSTVEKLYAWEKKLFLEVKSYEGFKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEVESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHSKGHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKTEELRSLESKYGPYSGAEGGFGDMSRKSPVSDKRAKVEALRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKSSDRILDAKRLLT >OB09G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12670637:12675845:-1 gene:OB09G24460 transcript:OB09G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSTVLGFSGFGFGFSAGIVIGYYLFIYFQPTDVKDVKVRPLVEYESTSMDAILPEIPLWVKNPDYDRVDWLNRFLEMMWPYLNKAICRTAQDIAKPIIEENRQKYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPVFPCFAKILVSLMEKPHVDFGLKLLGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPILDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNGILLKELPPDETKVITLNLLKTMDPNDVQNEKSRGQLTLEVTYKPFKEDDMEKDGVDSADAVEKAPDGTPAGGGLLFVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQVEMQWRTS >OB09G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12682285:12682731:1 gene:OB09G24470 transcript:OB09G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMESAFRSMNSSAKSPSFMASKQYYSIKDQPTKTLPSLQPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGVDVGATDELRRLLRL >OB09G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12686601:12690320:-1 gene:OB09G24480 transcript:OB09G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHPPTPAPSQPSKLSIQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHDNPNVSVESVILPDGEKYKDMDTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMSALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGIGYGAWLHGEAVAAGTVMAADMSYRLGWIDDSIKKRTIDILDQAKLPITPPEAMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRNALDETLRAFCKS >OB09G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12691228:12694576:1 gene:OB09G24490 transcript:OB09G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) TAIR;Acc:AT2G20980] MAAAGDDLDLLLSLDADGSEAVLETPPSSPRRAAAPPPXAAFTPPRPVRPGGTDMSVFRDAVKDYLDAAPASVPKGKKPPKSTETIVDASSGLRIRNLTASPLEITNRFADIRFVRISAIKNLAGGDSFSGCWATAGVVLDKGAPRVSAQGKEYSIWKMGALDDSEVSVFLFGDAHAHHSGAAVGAVFALFNGNVRMDNGGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCTMAINKRKGSYCKFHSSKTSQKYSTGRVELKGGNFKFASKLRSEGIYMVNPPPERSNSRNPLQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVTGNVESNLSSNGSTNPQTSSSISNKRPASCSTKVIPKPGLHKQEQDTKKMKMACSPKKTIELDVVSSDDEISIVLRR >OB09G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12695063:12698543:-1 gene:OB09G24500 transcript:OB09G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVSKAKSITLRSCEGEVFEVAEIVAMESQTIRHMIEDKCTDNGIPLPNVSANILCKVIEYCNKHVEARRGVAADGRASGTAVEADTGLSKAIKEELKTFDAEFVKVDQTTLFDLILAANYLNIKGLLDLICQAVSDMIKGKTPEQIRETFNIENDFTPEEEEEIRRENQWVFE >OB09G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12702317:12702884:-1 gene:OB09G24510 transcript:OB09G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKVRREEELVEAALAAAAAALFVSGVKNLVPAVLVARWWPASLLATAPSPVLFLLLHXXXXXXXXXRPGRRRAVRPGEGAKKVKRRRSKRREGAEGTGATTLAVEPALAPIADADCCMALAVVKSGAETPPPEPQQEEEADGNAAEEVNKRAEEFISAFRHHLRVDSFSSASRRGGARAEAFCF >OB09G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12705991:12708848:-1 gene:OB09G24520 transcript:OB09G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3MZM3] MAAITTGSAVSFARPVKAINVGSVSFAGLRKDNVAFRMQPVTQRLAVICAAKKETVEKVCDIVKKQLVLPEGTQVTGASKFTDLGADSLDTVEIVMGLEEAFHISVEESSAQSIATVEDAANLIDKLVEAEAGK >OB09G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12712862:12714301:1 gene:OB09G24530 transcript:OB09G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDELLGRMEQGIADLWASPAVRGGDGDDEAFTIVRLPSHVHALNKTLYEPRVVSVGPYHLGSDSTRGMQGHKWRFLRDFLLRDSPAGAGDRLDACVLEARAMEERARRCYGEPLEMGSDEFVQMLVLDGCFVLEFLLKWSESDAAAELDAYMQWTWIYVYYDLLLVENQIPFFVVAKLFSLAMGKDLGGGGGAAGMGDDAVDQRLLDLIYNFFSLHEPLCQVPAPSQLTVHHLLHLQYQRMVIAPERKGTLRRRPTSSRLTSRLSASLYNIRTGISASVRARMIGSTTTTPLAIPCVTELQEFGVAFREKASPVSQFDVMFRGGTMEIPRLALNAGARILLANLLALEQTTRDWKEGIVTSYLVLMNALVNTAADVAVLQRRGVLDNMLSNEDAAAAFFNRLGGCALFDPRGHHYARLFADANEYCNHRWNRYIAVLKRDHLRTPCSIISLLAAATLLCISVMSAGFLICRYRHACS >OB09G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12714783:12716237:-1 gene:OB09G24540 transcript:OB09G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKLQLLLVAALCVSPWQVAATTVSGTVGAGRGRPRVPAVLVFGDSIVDTGNNNAVLTLTKSNFRPYGKDLDGGVPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDDDLLTGVSFASAGTGYDPLTSTLVAVLPMQEELNMFVEYKERLAGITGDAARIVSESLFLVCAGTDDIANNYYLAPVRSLQFDVAAYVDFLNQLASDFIKQLHREGARRIAVLGMPPIGCVPSQRGIAGGGACDAARNRAARLFNARLEQEIVSLQRTLQCRSIGYVDIYGVLEDMITDPGKYGFDVSARGCCGAGDLVVALPCTELTATTCADDRKYVFWDSYHPTERAYGMMVDYLYPRYVEKLISY >OB09G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12718401:12719706:-1 gene:OB09G24550 transcript:OB09G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFDEALSLLNTLQTQLFEAVAELQETLDLQDARARLAREARAKDTSILAFTKKLREAHQVLDRLVDDYADYRRDPKRPRGAAAADGPEPVSDGDFGSSLHSKLDLDDVLTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQFADLDVGVPKKSLEAKERTASEGEATPLFEPTPPREEARPHMMPHMLPPNVLPGWPNGPLDIPLPPPGWKPGDPITLPPDGILAGVKGEEPRASVPQMSVAVPAMVPKAQEPIQVRHVDLDINISSSSDEYSSDVGSSEEDDED >OB09G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12719926:12724219:1 gene:OB09G24560 transcript:OB09G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSPARPPRAGSSATEMEEYQNWKKNAPVLYDLVISQPLEWPSLTVQCFPSSQSPESTRSHRLVLGTHTSDGSLNHLMLADAVLPLPPRLAAAAAAAGGAVPAPSVSISRSVPHKGEVNRARCMPQRPYTVATKSCVDEVHVYHLGDGGEKGGADVVLKGHEAEGYGLAWSPMKEGLLLSGSYDKKICLWDLAAGSGAPSLDARHVFEGHDDVVEDVAWHLKDDNLFGSVGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDATIKLFDLRKLSRSLHVFDSHEGEVFQVEWNPNLETVLASSASDKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVIASVAEDNILQIWEMAESIYCDDNYLHDNDDSCQAT >OB09G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12731048:12731848:1 gene:OB09G24570 transcript:OB09G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDNEDMWANTSSPSASPPGPTAGEGGFISTALSLNSTHLHGLLSSSFVAADPPSHAGSNNDDSSGYRNAAPTSSFFPPASYHYHGHPLAPPPAPLDAARRAFELNMCAAGAGAPAAGDRRKKRMIKNRESAARSRARKQARVNNLEAEVEQLKQENKMLRVKYEQLRKTVEVPVPVRRTLQRVLSAPF >OB09G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12741011:12744415:-1 gene:OB09G24580 transcript:OB09G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPAANPISGVSNPTSSSPPPNATAVVTSQQNGNADQPNLARHAGGGGNSAGSISSRDGNTSDGADGKSNDGGLVGGGSGTNSRSGHGNSTNGGGVANLNNIFNSSGASSSAHDSSRRASVNNSWNNNGRSGGSNHYAGGSGDGGNRNGAVGGSFWNNNGRNGSSSNNGFGGRGGNRNRRDHERGGSFSPRNYPRQPMLPQPPQQQQPGIYQSGPFPRPPPPPPPAHFMVPQPFVPYVPHFAYTADMQGYPIYLPPVEQFQNMHLVRPPMQPIWVPQDDQNLQKDIRTQIEFYFSTNNLCHDTYLRRHMNDQGWVPIELITQFNRMRRFTYFVDTNYILDAVRGSELVEVQGNTVRRRNDWPEWLLH >OB09G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12749094:12749669:-1 gene:OB09G24590 transcript:OB09G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVAPNDHATPRYPTPVHVSTVAFWFLYPMTVAMLTYRKMSVAMNSAISALYSDHLPSSLVSSSGAGGGAQYGAFAGLRRRSPLRRLHRHLLLRRHYCLLLLLP >OB09G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12749118:12750178:1 gene:OB09G24600 transcript:OB09G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVAVETAEGGAPAPAKAPYWAPPPAPLLDTSELGKWSLYRALIAEFMATLIFLYVSIATVIGYKNQKATVDTCTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTLGLFFGRKLSLVRTVLYVVAQCLGAICGAGIVKGIMKHPYNSPGGGANTVSDGYTAAGALGAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVLYNQPKAWNDHWIFWVGPVIGAFVAAVYHKLVLRGEAAKALSSFRSTSVTA >OB09G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12752994:12753699:-1 gene:OB09G24610 transcript:OB09G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHTHQTQIPLFSSKPDRSTMVARDASSSAAVLALVVAAAAAAGLAGATEYTVGDSEGWTIGPSYLAWSQKYNFTAGDTLVFSYVQRQHDVLRVNLDAFRTCDPGANQTMQRWASGRDVVELAAPGSYYFICNVSGHCLGGMKFSVAVGEPLPPPPPPPPPPRAFMAPPPPVGSGRRLRALTTPASCLALVIAMWISW >OB09G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12758245:12758628:1 gene:OB09G24620 transcript:OB09G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAAPQLACGLFGGVFTAAELAAADQLVQLSCSSGGDEADASPSFSSTPSSPRSVNTCAATAAADEEFEGRLRAGETMELDMRARKRYRLLSELYAATATKRGTASCRKRKRDGSPEAEAEIAMR >OB09G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12762727:12763164:1 gene:OB09G24630 transcript:OB09G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRREAEKPEPEPAKKKKEEEVVVAPAPAWAGGSFTARELDAAQQLVLLSGSSTSTGTAPASDTTPSAAGPPAPGXXXXXGRRRAHRRAAGGGLGAAEGASLPPDRRDLRGDGGNRVVQREGVDEVAATARGQGRGGRRGTFL >OB09G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12769504:12769970:1 gene:OB09G24640 transcript:OB09G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKPTAPAPVAGAFTRERPAAPAPVAGAFTVRELAAAKRLILLSGSSTSSSLGARSGAVAAASSGSSVNAPQAVPPRPPAEDYLSDEELEDESQEVPGIQRRTRLYRLVSEIYQVTEPMEEEPADDESGRKETATKTKK >OB09G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12773591:12775766:-1 gene:OB09G24650 transcript:OB09G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20930) TAIR;Acc:AT2G20930] MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTA >OB09G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12775372:12776500:1 gene:OB09G24660 transcript:OB09G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVPNLVADDGDADDHVSRRWGRAGWLETVAAGRWRGAAPGYFPRISGETTRMPVWGWDRHLNKMFTSQIKSISD >OB09G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12777533:12777958:-1 gene:OB09G24670 transcript:OB09G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G20940) TAIR;Acc:AT2G20940] MAFAARMKELMKKYGKVAVGVHLSVSCASITGLYVAINNNVDVDAILRRVGISPGSVGEEDRTAGAAGGGEVTRDAYYSGPVPEEVPPPRNRTRELVASSGGALALALMCNKALLPVRIPVTVALTPPVARFLARWKLVKT >OB09G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12779452:12781206:1 gene:OB09G24680 transcript:OB09G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVSPPGLSSPLSPRRVPYFLELASAVEMPERAGALPLVPGNVDARAGDTYYGFDFRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEEFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >OB09G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12781933:12785658:-1 gene:OB09G24690 transcript:OB09G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEINDSQPQPQPAAAAAAPVAAAPAVSTLQRKWPGAVSTRPPKHLKEIASVVEAGSLTKEVRRISRAVRLTVALRRRLAASDVAAFLAFALPHSSEAFTRLSSLLPKEDGSEMDVDSATSVAQVSIKHGLPEIEIYCYFLVLIFLIDNKRYDEAKTCASASIARLKNLNRRTIDVLASRIYTYYSYVHELTHSLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKAALAPYFELTNAVRVGDLELFRAVAEKFVSTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDNPVADAESIVAKAIRDGAIDATIDHANGWMASKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >OB09G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12787750:12795260:-1 gene:OB09G24700 transcript:OB09G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGLVVQLALRGGGAGDAERDVLGGARHRQRPLLGALRLPQNLKFDVYSPAESTTSRKVPCSSSLCDLQNACRSKSNSCPYSIQYLSENTSSSGVLVEDVLYLTSDSVQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGTSDQKETPLNIYKQNPYYNITITGITVGSKSVNTEFSAIVDSGTSFTALSDPMYTQITSSFDAQVRSRRNQLDSSMPFEFCYSVSANGDVHPNVSLTAKGGSIFPVNDPIITITNNAFTPIGFCLAVMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNTSPSAVPPKPGLGPSSYTPEAAKAEERMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKVKPLVEYDNEEDVPSPAGRGRGRGGRGRGRGRGRSARGNGYTDYADGGWEDDHAPAYAGNGYTRGRGRGFRGRGRRGGGYGVQPDYQQDEGYFDEAPVHAPPRAGRGRGRGRGRGPVRGRGRGGNVNGVMHATAVGA >OB09G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12800337:12803595:-1 gene:OB09G24710 transcript:OB09G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPPPRAPLLRRMLLLCALAASCSYYLLVLHAQASVPSPRYDGFAYGGAATAAWKETVLVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKSQGKFYNAATSSLSSTVISGEMSKLAAQVVGSSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWISTLDPLVGQHGDRLEMFTSM >OB09G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12810716:12815081:1 gene:OB09G24720 transcript:OB09G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVREREGIPELEEAEEEDDEGAKAASAKTPKAAGASLGVAKQEEGKDVGEVAASNNGGGLEVAVSQPGRELLAALKEIEELFARAAEAGQEVTGMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDKIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSSAPPLVVICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKITAYKRAENKVIETKLLEHRPEQDAKQRMEQLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLTHAEPKDSENATEKRPCVEGPYSHISVDAT >OB09G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12813486:12816654:-1 gene:OB09G24730 transcript:OB09G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVSLVGGNITRCIVTCVFAAAGAIIGAIVGVLAGFANEDGMVQGTLIGAISGAFIAMEVVDSLAKIWCCDEYSVATRARLMLLVFWNLLIDRLAVRTSVFPTLTTVLDSQLNAMPSRHGRAEMNGGDLFDRSHPVAGMRRGVIKLTPSQTDATSCPICLHDFKAGESARRLPACCHIFHLACIDNWLLWHAQCPMCRRAVY >OB09G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12822361:12824201:-1 gene:OB09G24740 transcript:OB09G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVKMVAANLFRAMPPSAYPSSLPPLPDVLDDEVPVMVLLPSWPHLSLVYDVLLAAVTAADARTLRNHIDRGFLAGLVALFASEDPRERDRLKTVYHMLYSKLTAERASMRRSMAATLLRFVYEASPAGERHCGVGELLEICGSIINGFAVPLKEEHRAFLARVLLPLHRTRWVHAYHRQLAYCVLQFVHKEPSLADAVVRDILRHWPATNCQKEVLLIDELEEIVEILDQQQFDKLAVPVCSRIARCVSSCSSQVAERALYVWNNERFVAMASAAGAEAMERRILPAFVASMESNLEVHWSRCVQQVTASVRALLDRVAPGAYDRCAAALAARRSEAETEAAVRRARWRRLELAAADADAGAKK >OB09G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12827721:12828905:-1 gene:OB09G24750 transcript:OB09G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20300) TAIR;Acc:AT1G20300] MIDLLAKHHHFPLARHLLDEMRERSVPISPQLILTLIRRYVRAEMPSEASDLFRRMEGYGAGAPDPATLASLLGALSKKRLASEAQALFDSYKSVFTPDVVLYTTLVHAWCRGGRLDEAERVFAEMQQSGITPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLMETHCGKGQCNLDAAMKLLTRMIAKGCIPDCHTFNPMLKLVFVLGNVDTARKLYERMQDLQCKPNVITYNLLMRLFNREKSMDMVLRIKKDMDAQGVEPNGNTYAALIEAFCGRGNWKRAYTTLREMVELKSLKPTKPVYDMVLALLRKAGQLRKHEELVEMMVDRGFISRPANDALWKAISA >OB09G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12832601:12833273:1 gene:OB09G24760 transcript:OB09G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKPKLHRHARSRSFSGGKIVSFLKNTAASFSTTTSSSSAPPHGRWSFNHRNAFSGPIVSIVPPEARGGTRRGDHRSGYRTPEPSSPKVSCIGQIKKAKASKGAKAALCKNGACPLPPRPPADGCRKQKSSLVRRMLFRRSRSRSSSLGQMKRFTSGRAAFEDFDWRDAERRASDDDDDDVLVAHSAPLVLGGGLVASEPRKEVNLWSRRPMAPPTPLQLP >OB09G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12839244:12845777:1 gene:OB09G24770 transcript:OB09G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3MZP8] MESSAGGGGGGGGESPAKPVLLHGDLDLWVLEARLLPNMDMFSEQVRRCFAACKPPTSCATKQPPHQRGGSQGGGGGRGQQHHRKIITSDPYVTLSIAGAVVARTRVIPNNQDPVWDEQFAVPLAHYATALEFHVKDNDTFGAQLIGTVTIPADKAVSCQEVDDWFPIIGTNGKPYKPDTALRLRFRFNPAAANPLYRHGIPGDPDKQGIKDSYFPLRHGGRLTLYQDAHVREGDLPEIELEKGKRFEHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVICVLSPRYASSKLSIFKQQVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFDNDYHNPTFSSSAKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRERFRRVSHWKDDALIKLERISWILSPSPTVPNDHISLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLICRKDLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLVPIELALKIASKIRAGERFAVYVVIPMWPEGVPTTASVQEILFFQAQTMEMMYRIIAQELKTMNIEDAHPQDYLNFYCLGNREELSSNESPESNDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSTKGSHPRGQVYGYRTSLWAEHLGMVDDLFKDPSSLDCVNFVNQIAEENWNRFTAEELIMLQGHLLKYPVKVEADGKVGPLPDQETFPDVGGKILGAPTSLPDTLTM >OB09G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12853871:12855063:-1 gene:OB09G24780 transcript:OB09G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLMAPGVKDRKVMAFRRDALKDKDAVAALIRDMVAAAGGPRSAFHVLDLARVVDLHRGWRRSLPAVRPFYAVKCNPDGAMLAALAALGAGFDCASRAEIEAVLRLRVIGEPGRYFAETAFTLAARVIGKRVRGELREYWIDDGLYGSLNCILMDHYVPRPRPLAGARHGEETHASTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTAAGSNFNGFATSDIKIYLAYSS >OB09G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12872370:12873668:1 gene:OB09G24790 transcript:OB09G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGVAVETEYIPLSVFDKVTYRMQMAIIYAFPPPAPSPAAIEKGLAAVLAEYRAFAGQLGESPDGEPAVVLNDRGARLVEATVDADLVDMAPAKPTPELLRLHPDLEEELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPTGLQPVHHHAGLFKPRSSPRVEYDHRNREYYLPAAGDKHGHSDGGADNIVIHKAHFTKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMACARGLGPDETSTIRLSVDGRHRLGVPAEYFGNMVLWAFPRATASDLLTRPLKHAAQAIHDEVARVDGAYFRSFGAFARSGAAAKEGLAPSAVLKDVLCPDVEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFTHNLDAFKECCYSME >OB09G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12873985:12874329:1 gene:OB09G24800 transcript:OB09G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFRFGEGWLLGLQLEHVNDQASSLCYKKKRIQYEECNFYFILFFYFGFWHSFSFERDILICWSRLNQGTLPCFHQVLDTICVYHTACKKNGMFICEVNGNVAWDSAANNLQE >OB09G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12884184:12885496:1 gene:OB09G24810 transcript:OB09G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKVLSSKLVRPSYPEGAPAPDTTEVVPSSMFDEVTYDMQMAIIYAFSPPGPSTADIERGLAEAIHDAVARVDAAYFRSFVDFVGSGAVESEALAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGSPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQCCYSMD >OB09G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12900381:12907647:1 gene:OB09G24820 transcript:OB09G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAAAGGGGGGGAPSSSSASAGGGAQARKQQQQQRHKLEVYTEVLRRLQDSGVAEARREGFDDGLWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASKLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLIESVQKEIGKIDETQGWSTSHSWSSPVENMQIGENSAADHVEIPRDGASEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINSDMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVHDYLHKHKGVFKLPALLGVSVDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRITEEVGDDHDGKHKEKILGGLFSALRARGH >OB09G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12908188:12908379:1 gene:OB09G24830 transcript:OB09G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGCYITLSRPNSQISVQISNRFSYASLASNKPNIFLSITSSSLCLQPCKYHCQVIMHVYQC >OB09G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12908823:12921686:1 gene:OB09G24840 transcript:OB09G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSLILPDVSRVLACLYEKDVKFETHTASYKSLLRLQASTHAPVPFYDGPTFLEESREICRYVAETYEHHGYPFLLGKDALERASIEQWLHHEEHTFNPASRALFCHLAFPLDREDDDIEMQKAKLEEILEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYITASSKFVYLYDSRKNVQRWWNDISTRASWKQVLSYMTRVEQQHKLEEFEKQKQQEWQREHRTAAGGRTRLYSQKHTITGTRSQTILVPPPPGTVSPSPIAPQADQPPPADSLSEKASVSSSQSTTTHKSLTSPNKQTTIFNTHQETPPDLDQSTQITNTSSTPSLGTFHTAPDKPPRIHADMSSIRDVSVPSDATETDLPTRPYIEPTPQKPPALLDTHSQTSLAATKEDSDQLNASDLYKSDRKSTGVYSEEKESISYTAHTFEGTYGKSTTQGATDSFPSNLHSTEHQMLQSKQWHAATSGLRNLQGDIDSMPSRQVKPSKDVQQYPPQDSEQASIRPVAQEPKSRKDKLVRGPEIIAQTPHTYQTRDASLQPRDAADARISENETSFDRRKKGADWPKHSTEEDAQATPFQTTYDDSQGATQRARETSFVPRRTMDQDVHDTSQVIRTYGSAPSRLQPKDASQDVPLSSKKAPSKVPHTTTHVSTGYQGAQEFIQGSETPKQRRMEVQDSLEETKAGEYAMPREQPSKDLQEATPPLRQAEAKDIRHTVPSFQKQETADSHSTTTPFQRRYPEVEDTSKELTHKPKETGAGVAQHDFEETKALDSATFGGRAQPQDTQRAAITPPKQATANNVLSATPLSPTRYPTAEDSGRQPRRTASTSSGKAVQDGRDKEPKSVDFTSSREQPSDVRRTAASFQKQESADSRSTTTPFQRKYPDVEDTTKKPRDKPKETVGEDSRGISEEIQALDSTLFGGRARPKDTHQTAITPSHQAAAKDAPDVTPFSPTRYPAAEVINRQLRRTASTPTEKEVQDGRDAFIEPISVDSTSSKEQPSDVRGVFSSLPKDEAGDSRRKTMPFQQGYPDIDDTTKEPRDKPKETGVEVAQYGFKEAKALDSTLFRGRAQPEDTQQAAITPSQQAAITPSQQAAANDALSVTPLYPTRYPAAEDSSRQPRRTTSTPTEKTVQDGRDAMRDPRSVDSTSSREQPSDFRQTGASFQKQEAADSHSTIMPFQRRYPDVEDTTKEPRDKPKKTVGEDSEETQALDSTLFIGRAKPKDTQETAITPSQQAATKDALSVTPLSPTRYPAAEDTSMQPRRIASTPTEKVVQDGRDASIESTSVDSTSSQEQPSDVRRASASLSNQEAADSRRTSMPFQRRYPDIDNTTKKLRDKPKETMDDDAQDVSEETKVIDSTLFRGSAQPQDTQQVATTPSQQAAATDSLSVTPLSPTRYPNAEDNSRQPRRTTSTPTEKAVQDGRYTFRELMSVDSTSSREQPSDVRQADASFQKQEAANSRSTTMPFLRRYRDIDDTKEPRDKPKETVGQDVEDTIEESNTADSLLFATQPSDMPQAALTPSKKVTPEDAPTVSPPLPTRYPSVEDTRKQSMGTTTLEAPQDIREPKAVDSTSSPGPSDPLRASASLPKQEVDDAHRTTTPFQKIDPELKNTTKPVKDNISTSWEMTAQDAKDAFKETKIPDSAAPSMSEMDSSRTDAEAQAEAQDTWDGGRQSRGTWDKGMQRRETISARKEMVAKDAEDMSAETKTGDFSSIRQSPELLQASSQSRQVVPDNARGATKGPKVSFTNETIQSPDVKDTTRESRVASEEIIGPASTLDRVESFGSHDTQHTNEGSRIPSADEREDVSTQFQSDAQDVLKELKSSFTDQRGMDSSHSQAESGKDTLATKGGKTFSTEKLREMPIESEASGPTGQRTDSGYPSVEGSQQARTLPAGGKVDYSTPKHQPPPGSPSASHEKPASAPPRERFRDDYSTEYPMKKDTIDDQKEVPPLSSQGPTSQVQHASQTSQKEAPDNGVSTIDQWRLAPRTMKDVTPISGDDVTGLSSDDQIPPPMGQETIPSIQDANEPTDVLDKTKKTKPTSTDQEGMAPTAGLGSTLESQLGGTLAAEVVHTDQKSTLADRESARATQPLSSVEPIKDHAADQPVVPQPIFYQQARTSPSITRRAQTLDNLGAISKIQEVSLHSQPVDYSAVSHVSTEEQVPRAPPPSASLKSVPAEDIHADANGKVQTMKPSATPDAPHVTTPAEVALSEQKLALAGEDSSQSTQLHLPDEPRSQENDAELTQAQPTPESPPDLSSQYGVPTDVLDKTEKTKLTPTDQEGVAPTAGPGSTLESQLGGTLAAEVVHTDQKSTLPDHESARATQPLPSIELTKEDTNVSTADQPVVPQTFFYQQARTSPPITRQAQTSDNLGAISKIQEVSPDSQPGDYSTVSPISTEEQVPRAPPPSASLISTPAEDISTDTNGKVQATKPSATPDGPHVTTPGEVALSKQKLTPAGQDSSQSTQLPSPDEPRSQENDAEFTQVQPTPQSPPDLSSQYGVPTNVLDKTEKIKPTTTDQEGVSPTAGPGSTLETQLGGTLAAEVVHTDQKSTLPDRESAHATQALSFVEPTKEDTNVSAVDQPVVPQTIFYQQARTSPSITRQAHTSDNLDAIGKIEEVSPDSQPADYSAVSPILTEEQVPRAPPPSASVKSVHTEDIRADANGKVQTTKPSATPDAPHVTTPAEVALSEQKLALAGEDSSQSTQLHLPDEPRSQENDAELTQAQPTPESPPDLSSQYGVPADVLDKIEKTKPTSTDQEGMTPTAGPRSTLESQLGGMLAAEVVHTDQKSTLPDRESARATQPLSSVEPTKDDAADQPVVPQPIFYQQARTSPSITRQAQTSDNLGAITKIQEVYPDSQPANYSAVSPVLTEEQVPRAPPPSASVKSVPAEDVSTDTNGKVETLKPSATPDAPHVMTPGEVALSKQKLAPGGQDSSQSTQLPSPNEPRSQENDAELTQTQPTPESPPDLSSQYGVPTDVLDKTEKTKPASIDQEGVAPTVPDPTQINSDILPTSGYGPTSSPNNQETQPLAGAQAPPTMDSSFSTFVQNRPANSLQNFDSSMPSATDEAVKAPMVNPTPTTDAPQGKDLAQSTQLPPSTESSQKVSKVVKDDQTIVSEPYTIQDTISSSVGSRGPSSSDSTYPTVKKQEFDPDAVKPSLPMTATPGDIQPSSPKEYMEVTEEISKQQQQTDQSSTESSKDENKPNGVSTSISTTTGDMHPSPPKESMESTEEARNQQKAQDSKEQVKDTEEQNTGTGEPEKSNLPQKHESDEQRNISRRSFRSIRKTSFRGSATRQRCFQINKGYIKWKHNDP >OB09G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12925191:12926633:-1 gene:OB09G24850 transcript:OB09G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G76110) TAIR;Acc:AT1G76110] MAEKLVYPPALLSHEEVAMDRAAFMDTLRRFHSFMGTKFMIPVIGGKELDLHALYVEVTSRGGLAKVMEERKWREVMARFRFSPTTTSASYVLRRYYLSLLHHYEQVYFFRAHGALLPPAASALTKTPRRMVRGRSSDQPAAAAVAPETGKRAALPERLGGEPCSFSVTGSIDGKFEHGYFVTVKIAGETLRGVLYRVVEPPPPPPPPPARSGYNLFFKEKHPELKAIHPHRERDYSKMIGDAWNHLAADDKMVYYRCSAKDKERYKMEMQEYNDRLKLAPSTMAGSAE >OB09G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12930953:12931519:-1 gene:OB09G24860 transcript:OB09G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAPPPPPPPPQQKRYYRCNAYTLPCRSNPLNVTDVPGLACPGGRQPMMVEMKWAPGAGEAPGKAAQAEEQPSTGGYVKEVVTYLVMDDLTVEPMSTISAVMLLKKFDVKDCSALDEMTVELGPKECVKLLKASLDSTTALTDVFSGGVSIDRLE >OB09G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12931140:12935820:1 gene:OB09G24870 transcript:OB09G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFGPQFDGHLVEGGAVLDVELLEQHDGGDGGHGLHGEVVHHQVGHHLLHVPAGGRLLLRLRRLAGSLPGPGRPLHLHHHRLPAPRARQPRHVRHVQRVRAARQRVRVAPVVPLLLRRRRRRGRGRERHAEQRERGGGEGGTNRRGRRRAWRRGRRRRRRRGGEEGGGGGGEAAGGRGGGEATGGGGGGG >OB09G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12932359:12935590:-1 gene:OB09G24880 transcript:OB09G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rho guanyl-nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) TAIR;Acc:AT4G38430] MMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLGPLGGGGEAVWARGMXXXXXXXXLAPTSQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVDDSGGPFSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQDRDCANQILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDSEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSVASESVSIFNRGIGVPVQKRISPSPFSIHNTPYASPFATPTFCSSTPVTGSPGRVQPPLNKNNLPAKQEVKVEKLFPGDIEKVWTYAGNLSARKDAGDAPERD >OB09G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12943151:12943363:1 gene:OB09G24890 transcript:OB09G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCSSVIVYSFSYAGSVVAVQTTLLHGVSHMSSAHIFPSSAGSGFTGISLLYTVASSSALRPSIILSFS >OB09G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12945871:12958285:-1 gene:OB09G24900 transcript:OB09G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPAKALVAANAINPGLVLSHNFPEVAFSYDERDVALYALGVGACAADAVDERELQLVYHRDEISSIKVLPTFFSLFTLRNGTGYALDVPGLQYDPNLILHSQQYIEVYRVIPSRANVIKKTRVAGLHDRGKTAVLELESLICLEGSGEHLCMSRSTFYLRGSGGFSDSSQPFSYATYPANRAHASFPDTTPTAVYEDHTQKSQALLFGSSTVFYPLHSDPCVAQASGDVALYALGVGACGRDAVDDKELHLVYHRDGQPHIKALPTFASLFPNKNSNGLGIVDVPGLNFDASLLLHGQQYIEIYKPIPSCASVVNNVKVAGLHDKGKATILEIETKTHVKDSGEVLCMNRSTIYLRGAGGFSDSSRPYSYTSYPTNQVSRISIPNSAPSALYEDQTQQSQALLYRLSGDYNPLHSDPTVAQVAGFTRPILHGLCSLGFATRAVIKSFCNGDPAAVKSIFGRFLLHVYPGETLVTEMWLEGQRVLYQTKVKERNRAVLSGYVLLKHTPSSL >OB09G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12960852:12962549:-1 gene:OB09G24910 transcript:OB09G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSVDCAVQNVSLDTLFLIVIQGAAVVVLGKFIHLSLRRHNLPSAISQIIAGVVVGSLGLHEMIVHVDVENVEDTYGWYVSEARIFYIFYVGLEADLAALWNDMHRCTIVTYASVATCLLLAAFVSGGIYGSMMHTPVRSPELLAAVLMLTLANTAPVDVAGVGAEPRITPTGGGRLAVATAIATNIICIVGEGVFSCMKLASSRTPGYSASERLGMGVLALVKVGVAMAVLRPVVAFINRRNAGRHRIGNWELVLLLVAVSFIGNYPEHAGFDGVPASLLLGLAFPRQGPAARSVMDAIAYPLHALALPFYFGAMGMRINFGAMSGAIVVPAVLLTLLGLVGKCLGTMGAARYLKMPLADALRLGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSIISTVIAGPVFAVLFRKEKEAYACSDQALEHLPPDKELRMLACVHSARGAPAMLSLLELLATTPRAQPTIHVLHLFDAGRKQVGPKRYHQRVQDDDKHVDRR >OB09G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12964496:12966414:-1 gene:OB09G24920 transcript:OB09G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPKRLVQLAKKWQHMAALGRRRLTAMGATKDGNLRCSSSIADKGHCIIYTTDGERFEVPLAYLRTTVFGELLRLSEDEFGFTAVHHGSFQLTYFGIQKDSLNTTMKVQITAKSLTRDKTATRDESAVYRVMLQATKYSDCDHIGMH >OB09G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12968170:12968583:-1 gene:OB09G24930 transcript:OB09G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKRLAQLARRLQRVKTTTREDDNCGTSSPVADKGHCTVYTAEGRRFEVPLAYLGTTVFSELLRMSQEEFGFTSDGRITLPCDAAIMEYVMCLLRRNASEEVERAFLSSVVMSCQNSSCTVPPVTLHQQLAVCSS >OB09G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12968306:12968560:1 gene:OB09G24940 transcript:OB09G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSMMAASQGSVILPSLVNPNSSWDILRSSLKTVVPRYANGTSNRLPSAVYTVQWPLSATGELVPQLSSSLVVVLTLCNLLAS >OB09G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12970662:12971264:1 gene:OB09G24950 transcript:OB09G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPLHAPTHVATFSFVSVLSVVHVGQVAAAAAPGEPSLGVDHAGHGALHEHHAREWPPWPTEVGLHAGGAEEVVVGAHVAVLEREAGGGLCRRAAGLRRDGVLLAREREPGEDGAALEDGGGVAEDEVDGAGDAALAVELPAALGVQRVLVPPHLAEVHDGAVRGGPERHRLVVLRPGRVLDRQLTSHEAIAFNTCMHV >OB09G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12970560:12971616:-1 gene:OB09G24960 transcript:OB09G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3MZR7] MGVQDSPPVAVDAVVAADGSGNYTTIAAVVAAVPLKSTKRYVIHIKKGIYNEAVTIGKGVRNLTLLGDGMGATIISAHQSVGGGGGGLTTFKTATLSNGFMARELTIENTAGPENHQAVALRSTSDSSVVYLCEMRWYQDTLYAKSGRQLYRECRISGTVDFIFGDAAAVFQRCTVLARLPLPGQQNTITAQSRGSAAETTTGFSFQHCDVRADDDLLRATGVETYLGRPWGPFSRVVFMECTMSSVIHPKGWLPWSGGGGDLSNVYYGEYRNEGEGGNVSGRVKWPGFHVIEDASEAAKFTVDSFIQGNLWIPKGVEHDPGL >OB09G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12973298:12973717:1 gene:OB09G24970 transcript:OB09G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSRKLAQLARKLQHRMAAAGTTDGCSSSSSMASSTSCLAGRGHCAVYTADGARSEVPMPYLGAAVLGELLTMSREEFGFAGGDDGRITLPCDASVMEYAMCLLRRDASEDVERAFLSSIARPSCYNAGSQQSLAVCM >OB09G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12973321:12973659:-1 gene:OB09G24980 transcript:OB09G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALSTSSEASLLSRHMAYSITDASHGSVILPSSPPAKPNSSRDMVRSSPKTAAPRYGIGTSDRAPSAVYTAQWPLPARHDVDDAMLLLLLHPSVVPAAAILCCSFLAN >OB09G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12975093:12975518:-1 gene:OB09G24990 transcript:OB09G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRIAQLAKKWRRMAALGRKRLTVIATQEAEGCSTTAASKGHCIIYTADGMRFEVPLQYLGTIVFSELLRMSQEEFGFTGDGKITLPCDAIVMEYVMCLLRRNASVDVEKAFLSSMAISCHYANSVAAPMELAMRVAV >OB09G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12975990:12977251:-1 gene:OB09G25000 transcript:OB09G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQIRVKTTTAGGRGPRPGVHGRWEAVRGATGVPRLDGVRGAPEDVAGGVRGSSEKLTRMDATCNATTTSQVGHESEWRWEMGTVRVMHCKVQEQAPVIIAK >OB09G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12978561:12978992:1 gene:OB09G25010 transcript:OB09G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKLQQRMSASSGGRHRAGTAAGDCCSTASAASLAGKGRCAVYTADGARFEVPLPYLGTAVFGELLTMSREEFGFAGGDDGRITPCDASVMEYILCLLRRDASEEVERAFLSSMARPSCHNVSVNHRLAVCT >OB09G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12980893:12981315:1 gene:OB09G25020 transcript:OB09G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAKKLVQLARKLQKRMVSPSGSGRQTAGTAGDCSSTAALAGKGHCVVYAADGARFEVPLPYLGTAVFGELLAMSREEFGFAGGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMPRPCRNLEVLNNQLAVCT >OB09G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12983154:12984894:1 gene:OB09G25030 transcript:OB09G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTLPGALDFQINAPAIDWILGKKNTEYADLVVYDPWLLLSIAYDTSNRKLYVLQQVAWINHPYDQDVAMAPSLREQPVPLKSHQSHTVSYFNNCVATFMGLSPVALLTTWAAPALASLHSPIRPLYSQHNLFICKQHFNLSICASHLQRESAFSQEHIRTMISSRKLAQLAKKWQRMVASSGRQTASIDGCCSTATAYVADKGHCVLYTTDGARFEVPLMYLSTAVFCELLRMSQEEFGFTSDSKITLPCDASVMEYVMCLIRREASEEVERALLSSIVRPCHNTSCVVPPIRLNQHFAVCS >OB09G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12986010:12986444:1 gene:OB09G25040 transcript:OB09G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRMAALGRKRLTWTMAKGTDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFVELLRMSQEEFGFTSDGGITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGNGFAPSLGSVQQVAASSF >OB09G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12987958:12988386:1 gene:OB09G25050 transcript:OB09G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRLAQPAKKWQRMASLGRKRLTMKAKENDEECCTSVAGKGHCAMYTADGSRFEVPLAYLGTAVFTELLRMSQEEFGFTSDGRIMLPCDAAVMEYAMFLLKRDASVEVEEALLSSMVATCHSSGCVAPTVGVNRRISCL >OB09G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12989926:12990357:-1 gene:OB09G25060 transcript:OB09G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQIRVKTTAAAKEDACSTTSPSPAVADKGHCAVYTADGRRFEVPLAYLGTTVFVELLRMSQEEFGFASDGRITLPCDASVMEYVMCLLRRSASEEVERAFLSSVATMMHCQNSGCTMPPVALHRQFAVCS >OB09G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12998737:12999159:1 gene:OB09G25070 transcript:OB09G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAKKLVQLARKLQKRMVSPSGSGRQTAGTAGDCSSTASLAGKGHCVVYAADGARFEVPLPYLGTAVFGELLAMSREEFGFAGGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMPRACHNVGVINHRFAVCI >OB09G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:12998760:12999101:-1 gene:OB09G25080 transcript:OB09G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALSTSSEASLRSRHKTYSITDASHGNVILPSSPPAKPNSSRDMARSSPKTAVPRYGNGTSNRAPSAAYTTQWPLPARDAVLLQSPAVPAVCRPLPDGDTILFCSFLAN >OB09G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13000511:13003269:1 gene:OB09G25090 transcript:OB09G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKLQQRMVSAGGSRQNAVTGDDCCSTASLAVAGKGHCVVYAADGARFEVPLPYLSTAVFGELLTMSREEFGFAGDDGRITLPMGIMEKFCQKSNAYGLSGVDMQIFVDCDLLMAGCCSSLLSKNAFSQEYSRTMISSRKLPQLAKKWQRMVASSGRQTASIDGCSTATACVADKGHCVLYTTDGARFEVPLMYLNTAVFCELLRMSEEEFGFTCDGKIALPCDAQ >OB09G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13004718:13005152:1 gene:OB09G25100 transcript:OB09G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRMAALGRKRLTWTMAKGADECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFVELLRMSQEEFGFTSDGGITLPCDAEVMEYVMCLLRRNASEEVVRAFLSTIVKPCHYGNGFAPSLGSVQQVAASSF >OB09G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13006759:13008260:1 gene:OB09G25110 transcript:OB09G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLSQLAKWQRMAALGRKRLTMKAKENEECCTSVAGKGHCVMYTTEGSRFEVPLAYLGTAVFTELLRMSQEEFGFTSDGRIMLPCDAAVMEYAMCLLKRNASVEVEKALLSFMVAPCHYSGSGCRPIGKPREDAKNLMAHAMLQISRSCVAFQSTHRGSPDQFEILVSSNKHEQIIFHSHKICLTYWNPSTKIWTEVCYYLHA >OB09G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13008249:13008473:-1 gene:OB09G25120 transcript:OB09G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHAMLSVTKLHQILMGTSACCMHVLAFEFCTRCFALDAIVTCLEDERHEEPMLIVSDARRREGQFCQLSCM >OB09G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13008675:13009100:1 gene:OB09G25130 transcript:OB09G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKSQRMAVLGRKRIMGKAQETEECSTSVAVKGHCIVYTADGKRFEVPLAYLGTAVFSELLRMSQEEFGFISDGRIVLPCDAAEMEYAVCLLKRNAAVEVVDALLSSMLMPCHCTGSMVPTVGVNQQISCL >OB09G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13007952:13011148:-1 gene:OB09G25140 transcript:OB09G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSAANHGSYRQEICWLTPTVGTILPVQWQGISIELSNASTTSTAAFLLSKHTAYSISAASHGSTILPSLMKPNSSWDILRSSLKTAVPRYANGTSNRFPSAVYTMQWPLTATEVEHSSVSCAFPMILFLPRTAILCDFFAN >OB09G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13010489:13010929:1 gene:OB09G25150 transcript:OB09G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSERLVQLAKKWQKMAILGRKRIMAKVQDIEECSSSVAAKGHCVVYTADGRRVDGRRFKVPLVYLGMVVFSELLRMSQEEFGFTSNGRIVLPCDAAEMEYIMWLLKRNPSVEVVNALVSSMLMPCHCTGSMVPTVGVNQQISCL >OB09G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13013006:13013969:-1 gene:OB09G25160 transcript:OB09G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGAAVLGELLTMSREEFGFAGGDDGRITLPCDASVMEYAMCLLRRDASEDVERAFLSSIARPSCYNAGSQQSLAVCGKCSFPDVSRKKLESFLPIHS >OB09G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13013785:13014138:1 gene:OB09G25170 transcript:OB09G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALSTSSEASLLSRHMAYSITDASHGSVILPSSPPAKPNSSRDMVRSSPKTAAPRDGIGPSXXXXXXXXXXXXXXXXXXXXXXXXXXXWSRLPPSCAAASWPTERAFLNGSWL >OB09G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13015567:13017415:-1 gene:OB09G25180 transcript:OB09G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFREESRSGWSGCHVTCATGGIVQPEFWQCIIVATELRNALSTSSDALLLSKHITYSTTDASHGSVILPSLANPNSSCDILRSSTNTFVPRYASGTSNRLPSAVYTAQWPLSATAGDGEVVLQAASSSLAAAVVLMAG >OB09G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13019225:13019653:-1 gene:OB09G25190 transcript:OB09G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRLAQPAKKWQRMVTLGRKRLAMKAKENEEECCTSVAGKGHCVMYTTEGSRFEVPLAYLGTVVFTELLRMSQEEFGFTSDGRIMLPCDAAVMEYAMFLLKRDASVEVEEALLSSMVATCHSSGCVASTVGVNRQISCL >OB09G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13021329:13021769:1 gene:OB09G25200 transcript:OB09G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQIRVKTTAAAREDDDAACSTTSPSPAVADKGHCAVYTADGRRFEVPLAYLGTTVFVELLRMSQEEFGFASDGRITLPCDASVMEYVMCLLRRSAKEEVERAFLSSVATMMHCQNSGCTMPPVALHHQFAVCS >OB09G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13024051:13024623:1 gene:OB09G25210 transcript:OB09G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQLAKKWRRMAALGRKRLTASSSSSSTATQEAHGCSTAAAAGGGAGGPAPPSPSSSSSTATQEAHGCSTAAAAVAGKGHCAIYTADGARFEVPLAYLGTAVFGELLRVSQEEYGFSGDGRIMLPCDAMVMEYVMCLLGRNASVEVEKAFLSSMVMPCHNASCVASSSSSLGAYQQLAVCSN >OB09G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13024441:13027704:-1 gene:OB09G25220 transcript:OB09G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT4G35730) TAIR;Acc:AT4G35730] MKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQSKLKILKEIAKEHQIDWDTTETEQELLKPSEELIQGPSTFVEATNLPVKTTLSAAHVMQINPSNYSSGYADECDDEYTMQFKDAASAARAAAESAERAASAAKAAADLVNKKTHSSDEAEDWTTSHESTHSSKRQSMSNSSRSSRKEDVVAFDELNPQGRRASHTGSFIESNHKEEDKEEIEPVDMSARRMRKRNSRATRKVHSEIKFDDSEGLNSETEDESDTEIQSIERQAPRSEPYPGNRHSEDEEKENHEFPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >OB09G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13043124:13048786:1 gene:OB09G25230 transcript:OB09G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCLLPLLTPPPTTTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRMGGGYPPAYGGMGVGGDSGGGGGVYEPGYGGMGGYGQSFFNISYARSQPPPPSVSYEHRMQATDARVHYYAGEGNPQAPPRGYGGYPYPPPQSSSSYNQYAYGGYYGGASPPPPVDIPSTSRGEATPPPPPSPPRVSTWDFLNPFETYESYYEQPTAAQASYTPSRSSKDVREEEGIPDLEDEDIMEVVKEAYDEKHAPNGYTGKGKTAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRHHVAGLPPIGLEKTYVDDDEVMLEIKTQFERASKSAIEVSKMLEVGKMPYYPKNSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEEKMRALYDRQREELKILDEKGAEADKLEATERSIRKLSTKISIAIQVVNTLSDKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISQAKNIDSMIDGAKFGEAHMDLIKRLELQHLDWIACFASWVNAQKSYVSTLNDWLRKGVIYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAVGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDRDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQALHDGVSHGGTGSLQLSLRNIFEAMENFTANSANTYKDLHLRAEEEKARVAQESGRVS >OB09G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13056813:13058055:1 gene:OB09G25240 transcript:OB09G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT4G35190) TAIR;Acc:AT4G35190] MEAEAAAGAMDGTSSPGSGGKLIHYALNWQVARKVDLVYGGGSLGLMGKVAEAVHNGGGHVIGIIPTTLMGKEITGETVGEVRAVASMHERKAEMARRSDAFVALPGGYGTLDELLEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFIDKAVDDGFIRPAQRHLFVSAPDAPSLVRKLEEYVPVEEDDAETPKLRWEMEQVVVGYSSSLHVAPQIAL >OB09G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13063786:13067207:1 gene:OB09G25250 transcript:OB09G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPGIGGFPGIPRNERVAPIDLWGSKLASNFYGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQRSFWKDSSNFSEIFDIDWFISFLAKDINIIKEPPEKGGKAVKPYKMRVPRKCTPRCYLNRVLPALLKKHLFVWLKFRKMPSHIAHVTFSLLIQVIRMTKYDYRLSNKLGKDLQKLRCRVNYHALRFTDPIQGLGEKLIKRMREKSRHFIALHLRFEPDMLAFSGCYYGGGEKERRELGSIRKRWKTLHINDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYVASGEIYGGKETLAPLKLLFPNFHTKETLSTKEELTQFLTHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPSAKQLYPLFMNRANISWDAFSSQVQTIQKGFIGEPMEIMPGRGEFHANPAACICEKTDIKSVIDSNSRNNREANTNTGISKPIGGPAYPIYTDEEADRSDTEDDPAGRGETIDMEAEDDTLASREDSELEEILSD >OB09G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13072010:13075552:1 gene:OB09G25260 transcript:OB09G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPRRGPATPRSTPCNWKWTAVIPRRGLSSLTDRQWRRPPHREVAGGGGGLCAAVPHAPTSPLPPRRAIAPPLHLNPEQIQTPHSNTHTRSHSLSSHTLLGASNGAAAAGVRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPSPLRVRLPCLCSGGAGATFQRPTYRIRAGDTLDAIARGVFAGLVTFQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVGGQPVVHYTYVVPPGSSVASIAQDFATTEATVLTLNKMPDAKSLLAGQVLDVPLRACSSAISNTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPAAKCGDMFLGNTTTSPTSSCESTTCSYAGYTNSTSFSILANLTASSTCNAASMSPMSQPAHSSAFGLAPTWLRWTELIVCLHIILQCAGFLNHV >OB09G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13078001:13081520:1 gene:OB09G25270 transcript:OB09G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSHASTMHNPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVALLPFFVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPRIEELDFVGYIKSGGMLLGRTLSVLITMTLATAMAARQGTVAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKTGVFVGAVLALLLFASFSRLAELFSKDPAVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLAGVWAGLALFMGLRMTAGFWRLSSSAGPWWFLHQKEPTYKLHSSTC >OB09G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13081421:13084641:-1 gene:OB09G25280 transcript:OB09G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MZU9] MERRKVGIIGAGVSGLAACKHTLDKGFNPVVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPASVTEKYPGHRKVMEYLRSYASKFDLLKCIRFNSQVLGVEYLGQTEEEIMKWEHWSGNGEAFGTGKDGVWRLTVKDLKIGHVEVFQVDFLIVCIGRHSGSPNIPEFPANNGLESFKGKILHSIDYSYMDNAAEFIKGKNVTIVGSGKSAFDIAAEVAKVNGATHPCTMIYRTRHWLVHKSSIWGVDLSYFYLNRISQLLVHKPGEGFLYYVLATALSPLRWTISKVIEAYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPERFYDKVDEGSIILKKSKRFSFSNDGIILEDGNEHIKSDIIILATGFKGDQKLRDIFTANWCKNIVAGSSDTEVPLYRECIHPRIPQLAIVGYSESLTNIYAFERMANWVAHLLAGRFKLPSIRCMEESVLEWAKYKDLYNGKYFRRSCISTINIWFNDLLCQDIGCDPKRKKGILAEWFQPYGPADYADLY >OB09G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13086154:13087251:1 gene:OB09G25290 transcript:OB09G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGQESSHGESCEGQANVGPKKRRGGVRRWLPLLVDMLMLLVGEAMAPLLSRVYYNSGGKSLWMATLAQSAGAPLLAIPLILTPRAAAGEPRPALWKMVAICVGLGVLIGCDNFMYSYAMLCLPVSTFSLVAATQLAFNAVTSRLINAQRFTALILNSVVVLTFSAALLGVDSDSSSSDDGGGSVVPRGKHAAGVVLTLSASAVYALIMSLFEVTFEKVIRATTPRWVLKMQIFTSAVATAVSAAALLASGEWRTIHGEAAAFKNGEASYVATVVGIAVGWQAAELGAVRLVARVSSLFANVTGTLALPLVPVLAVAVFGDKMTGTKAVAMLMAVWGFLSYVYHHYLDARRATARPCCSVCTERMAN >OB09G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13087753:13090986:-1 gene:OB09G25300 transcript:OB09G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MZV1] MEYAGAGEEEVMAWEHWAGNGEAFGTGRGEWRLTVQHGDSVELHVTDFVVLCIGRFSGASNMPRFPPDKGPEAFAGTAIHSMEYANMGAASATQLVKAKLVTVVGYQKSAVDIAAEIADANGASHPCTIVLRKKRWIVPDLYAWGVPIAVFFITRFSQLLLHKPGNGLILSLVATLLSPLRWFFSKFVESYYKWALPMEKHDMVPDEGFLEGMCSCSVTKLPHKFYDKVEEGSIVLKKAKRFSFCKEGLIIEGDSSSETIKSDVVIFATGFNGDQKIREMFKSPLFRDIVAGSPSGIVPHFRQCIHPRIPQLAIIGYAESWSNLCLSELLSKWLAHLLHGSFRLPSIREMEEDIAEWDKYMKRYAPDRFRRSCVGPVSVLCTDRICQDIAGVQRRRKRWLLADWFVPYGPADYADLNLNSCKL >OB09G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13098022:13101391:-1 gene:OB09G25310 transcript:OB09G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVSAWLNNSMYTINDNDKKTGKYWGDVAKEYKKTTEQNRWGSSKQAKELWHKIESSCNSKPMDAAAWQGRYGRMSCSAVPVLVLRQVRVRIDSDEPESLQSRGLFHAPTLSGCRLPKSSLSHCESGMMATTATTTSNADQQDHRRSSRTRVDPIPTTFERWLFFFLTAMLAGLSVYWVFVATTNPKDRALTGCVAMLVSCVTLGLICYGICGPVFRSNNSTGVHEEAPQQIDMC >OB09G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13107983:13108363:1 gene:OB09G25320 transcript:OB09G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKLMFSIPKSSNNEGKISHVFYDNYTHTDTHTHIYAHMFTCINNLCRSRGLTPLPFSALLANSHPSLAAPTLVLPLLHSTGSSHFFTQWSNGDGVNLLHLTMTQWLCSPNGAMEWCAMRTRCAN >OB09G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13117739:13118051:-1 gene:OB09G25330 transcript:OB09G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGYSEGATNIHTCEMMAKWVARLLDGAFRLPGVRRMEESVAEWGRYMRRSGGAEHFRRSCLASVGIWYNDQLCRDMGCNPRRKKGLLAEWFHPYVAVDY >OB09G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13121168:13121383:-1 gene:OB09G25340 transcript:OB09G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWLGFADKRSRRLNNGGYKQEIDIFTFNTKVGGTLVFLCGKQGKLNIIKMNLKILSQQQKSVWYFVKTN >OB09G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13123180:13124720:1 gene:OB09G25350 transcript:OB09G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGENPHSISEKKAALRESPKESKNVGNQQPRVSPISKDKAAETVGIKRPQPNGPPGANGHLVYVRRRLETDHCKVSPSASAESISSLSSKKTVVDKPEEQSLKRPNSSLQTLLAPVFASPAAAAATSPTPSGGLLAQNSLRKQSPVKVVVQPSIVVTASPPCNMVSTAPIPQNSVATNLTSSHVTATSTASHGAVATTTACYPADQQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKHAIELENRAVKLLVEEGKELQKMKVLNVLNKLSPTDSLSLPTQPASVRHLAFPPR >OB09G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13128858:13133751:1 gene:OB09G25360 transcript:OB09G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGAPAVDLDLLEQLLSADNAWLEVAANTSRSNNFFASPSNLLTDAVTTTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDADVLVQLWVPIKGDGGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRVSHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSEINTICSALQAVNLTSTEVSSIPRTKLSSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACYVNESQMQSFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRNVCQTLRVVTDKEMEDETMREMNELNSFSPRGKNKVEELSFGGNTTEHREEASWTGLVGTSQNGSDLAELHTHGMLSHGGQGSSQAGDQTSKESSKIKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSITVPLANQSNLTDFEKQQHHMPSNNIPSTSLSHSSCSQSSDSSPSCSGVATKHSPQVGANLVKSGCLPQHSPVQTQQTEAASVNEHFSGQEATIDLLQDVAEKAIDGHHTSQSPSSPKQTADVGMRVKATFGSEKVRFRLKSECGFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKQADIQTIKISVYLAPSPTTRVTIGHTGLS >OB09G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13133614:13133784:-1 gene:OB09G25370 transcript:OB09G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDAFRHPNGICCSSENSIFLKKTFFCVHCGNSLHKEHIIILYEVTIHFSFLIDQKN >OB09G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13135498:13136301:-1 gene:OB09G25380 transcript:OB09G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein [Source:Projected from Arabidopsis thaliana (AT3G10400) TAIR;Acc:AT3G10400] MSRRRQDGSDSDGEDDSFLYRYPLPSATASAASGPSSHGGKPGRGGSGGSGGLAPSKSTVYVSNLDYALTNSDLHTLFSRFGRVARVTVVKDRESRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAEDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPPPSKKSRRGGGVEAEAAAGGGAGGGGGGGASWQSDDEDSATAFEDDRWASVVDTRGEEEKAAGKDQGKALKKEKRKGYFSDESDEDED >OB09G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13137196:13138384:1 gene:OB09G25390 transcript:OB09G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDGGVKQFRLQIDMQCRCMGCIKKIEQAMVSIGSVSGVGSSVANVDTGTVAVMGNVNPTKLCHWLKRRIRKDVKIVYPDQPVQNSNQKLVMVLGSSSNANGAHTTPSAPPIQDIMSWDSVPSGVQSNNQSLYLIEEKIRDLEKVRDMLKIQNLETELVSVRCELKQSREAIDGSKKTLLDSALNQLEAYHKLEALSHSPYQ >OB09G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13138855:13139223:-1 gene:OB09G25400 transcript:OB09G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G45020) TAIR;Acc:AT3G45020] MPLAKRYVLRLFISLKYVTANGVDRQIGRVVATASSVEKPLRDGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREPIHANAAKEVEKKGFKNRTKVWAILNALRDHGVNLHLDDDGDHRPHV >OB09G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13139766:13140102:1 gene:OB09G25410 transcript:OB09G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEGEEDEIEAAFAAGAIVRTHPILRSRRLVRALCFLAGPLACLIAVSSGEAEGFVVSLLALGLVAVVTTPVIAVADECERRQAKKTREAARIVLNNFLLPPV >OB09G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13141761:13143548:1 gene:OB09G25420 transcript:OB09G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3MZW3] MEHRTKRVAIVGAGTSGLAACKHLLARGFRPVVFEAGESIGGPWARTPPPPRFSDFPWPDSADWYPRHDQVVDYLAAYARRFGVEERVRFRSKVLAAEYVGAADDTAASGWELWNGNGDAFGDGSGAWRLTVRHEHDDSGITKTYEFDFLILCIGRFSGVPNIPAFPPGGGPDVFRGRVIHSMELSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANGIEQPCTMICRSPRWLLHHTDVWGKVNIGYLYMNRFAELMVRKPGAGSTSNLLATLLAPLGWLISKLTEAYYKKEIPMEEHGMAPEYGLARSFSSCLIGMLPERFYDMVKEGSIVIKKLRGSVTFCDDGLLLDGGVSGERVPAELVILATGFRGDEKVRDMFASRRVREIVAGTPDTTVPLYRECVHPRIPQMAVIGYAESLTNIYACEMMAKWVARLLDGAFRLPGVRRMEESVAEWGRYMRRSGGAEHFRWSCLGAVNIWYNDQLCRDMGCNSRRKKGLLAEWFHPYGAVDYADIL >OB09G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13146295:13151652:-1 gene:OB09G25430 transcript:OB09G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDGSAKGEKTRKATDGREEPSDSGPTTPLPDKKLLLFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEPKPDPEPKPQARRGRPPNKNNIKQKVGRPPAERATADFSGATLASVGNSGHRSHPVFDLQRQVMDRSLLADVLRASFASQNNDYNWSNERKLERIEDYSGSMGKWSTKSGKKTVLIEESSRSTYCQPQPSSSIYELPVSSSYNETRKLLIPVGVQLQQSYARSLARFAAQLGPVAWEIASKRIERALPPGTKFGHGWVGDGEAPNSFQPPVTSSTALIPPSSTETSGEQPTHNDPTSASHSAGPQPSSAPCASSTITAQRVDCQTLPSQQHGSITQISNERGEHGVEVKGSHNLHERPAIQHTVNGFGAVPGSTVFPSAAQMVVNRMQTHTAD >OB09G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13153917:13157481:-1 gene:OB09G25440 transcript:OB09G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVTYRTHGGVRLISWKGATDPSPGNFSFGGDPDRPLQVVIWKGPHVYWRTNPWKGYMVDSNYQKGGTSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLHSWSNASSSWITFAKYPTRECSVFGSCGPFGYCGDITATVSTCYCLEGFEPASAADWSRGNFTLGCRRSQPVQCSDGFLAVPNLKLPDWYLHVGNRSYDECAAECRRNCSCVAYAYANLTGSSNRDSTRCLVWGGDLVDMEKVVGTWGDFGETLYLRLAGAGRKPRASALRFALPIVLASILIPTCILIYITKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKVLVATGNFSEASLIGKGGFGNVYKGMLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSVEGDEKLLIYEYMPNKSLDASLFKDKRKSVLDWSTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDVEMNPKISDFGMARIFGDNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEILSSSRISSTDLIEDSPNLLVYAWNLWNEGKAEIMIDSTISANCLLDEVMLCIHVALLCVQEDFNDRPLMSDVVLILEKGSKSLPAPNRPAYFAQENNEVEQRNANHGAQNSNNTVTLTDLEGR >OB09G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13162006:13163463:-1 gene:OB09G25450 transcript:OB09G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKYDGKNNKKRALRVLSVPDELGKELPAQDLDFPFVEYDEIVAATDNFSEASMIGKGGFGKVYKGVIGGREVAIKRLSRCSEQGIVEFRNEVLLIAKLQHRNLVRLVGCSIEEDEKLLIYEYMANKSLDASLFNSKRKSALDWSTRFKIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDTEMNPKISDFGMARIFGDNQQNEITRRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSRIISTDLIEDFPNLSIYAWNLWNEGKAKNMIDSSIVANCLPDEVMLCIHVGLLCVQENLNDRPLMSSVVLILENGSNSLPVPNRPAYFAQRDIEIEQPREDTQNSNNTITLTVVEGR >OB09G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13167543:13172381:-1 gene:OB09G25460 transcript:OB09G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVGCSQAITVLFFFLLSLPLCASDDRLAIGKTLSPGATLISDGGAFAMGFFSPTNSSSSGLYLGIWYNNVPKLTVVWVADQVAPIVDHPSSCKLAMANDSNLVLSDATGRVLWRTNVTAGVNSSSGAGAVAVLVNSGNLVLRLPDDTALWQTFDHPSNVFMAGMKLGVDYRTHSGMRIVSWKSAGDPSPGTFSFGVDPERPLQAKIWNGSRVHWRSSMWTGYMVDSNYQKGGTSAIYTAVVYTDDEIYASFTLSVGAPPMHYLMSYSGDLHLQSWSNESSAWVTNAKFPRRDCSLFGHCGAFGYCGNDTGGVSTCHCLGGLFKIMIHKSTVSSGGGGMGAGGFSPHYRRRSHEDLFKPPLKTPYKFSLWFYEMEFFNSWLEIENEVS >OB09G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13175587:13179289:1 gene:OB09G25470 transcript:OB09G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MZW8] MNWLAFVTAILLFLPACVADDKLIPRKPLSIGSTVVSNAGAFALGFFSPANSTPPNLYLGIWYNDVSPLTVVWVANRAAPAQGAGASSAPSLTLANTSALVLSDGDGRVLWSTTTNDVVATTAGAPVAVLANTGNLVVQSPNGTALWQSFDHPTDTYLPGMKLGMSYRTRAGERLVSWSSPGDPSPGSFSYGGDPDTSLQIFIWNQSRPYCRSAVWNGNPISSQLMVNGSSLMYLSVVDDDDEIYLSFVDSDDRQARTRVRYVLSSSGKLQLLAWDGGGASEWKKLGEMPSHECERYGYCGPYGQCYYSDSASAAAPTCRCIDGFEPRSKEEWSSGRFSQGCRRTEELPGCAAGEFLALQGMQLPDKFLRVGNKTFHECAAECAGNCSCTAYAYANLSSSSSKRDATRCLVWTGELIDAQRVGTAGGERLYLRATTGKRKQRNAVKIAVPVLLGSMLIVTCVTLLWFCVFRGKKRSVKKHKKTQVQGVLTATALELKEANTTHDHELPFVKFDDIVTATNNFSKSLMIGQGGFGKVYKGMLQGGQEVAVKRLSRDSDQGIVEFRNEVILIAKLQHRNLVQLLSCCVEGQEKLLIYEYLPNKSLDAAIFKSERDLALDWPTRFRIIKGVARGLVYLHHDSRLTIIHRDLKASNVLLDSEMRPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGIFSVKTDVYSFGVLLLEVVSGVKISTIDRIMDFPNLIVYAWSLWMGGKAKDLTDLNITESCIMDEALLCIHVGLLCVQENPDDRPLMSSVVSILENGCTTLPTPNHPAYFAPRNNGADQRRDNVFNSGNEMTITVMEGR >OB09G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13179999:13180340:1 gene:OB09G25480 transcript:OB09G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFQLRPLRSDATGTVPTSKWLDGFEPASAEERISRRLSQGFRREDALPGMRVPDAAGEPKRRRVRGAGGVGERRRCQRRDVETALVAKCFEVGPCPTQPLHEMARLGPIKY >OB09G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13181897:13185568:1 gene:OB09G25490 transcript:OB09G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3MZX0] MYIIAALFLLLPALCATDDRLVPGKSLSPGATLVSDGGDFALGFFSPSSSAPEKLYLGIWYNAIPLRTVVWVANREEAATLSSSPSLAVANNSNLVLSHADGRVVWTAGVASAAAGSSPSNATAVLMNTGNLIVRSSNGTMLWQSFDDPTDTYLPGMKLRSNYKTNSSQGLVCWISPEDPSPGSFHTALQYFIWNGSRRQWRSRMWTGYSISSQYFEGKGNTGVTVYVAYLYTEEEVSMVFTVADGAGPTRKVLSHSGTVELLTWNTGSSEWVLLASSPACECSRYGYCGPSGYCDYTEVAPTCKCLDGFEPASADWSSGRFLRGCRRRETPRCGDARFVALTDMQAPDKFVRIRSKSMEHDCSCSAYAYATLNSSMSAGGDTTRCLLWFGDQLIDAQKIGPSLDTAGASSRETLYLRISGQRTKSNAVKTVVSIVACSMVLTCILLVWICKFKGENTRHDLVSPFLKFEDIVTATNNFADTFMIGQGGFGKVYKGVLQDGHEVAIKRLSSDSQQGTEEFRNEVTLIAKLQHKNLVRLLGYCVEGYEKLLIYEYLPNKSLDAAMFNAARNSALYWPQRFNIIKGVARGLHYLHHDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFDDNKKNANTRRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLILEVVSGEKMRSTDRILDFPNLVFYAWNLWKEGKAENLVDPSIESCLLDEALLCIQMGLLCVQENPDDRPSMYSVVFNLENGCTTLPTPNHPAYFAEIRDDL >OB09G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13187572:13199411:-1 gene:OB09G25500 transcript:OB09G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNFSNLVLSDSDGRVRWTTNITGSAAGAGSAAVLLDTGNLVIDHRTAPTCGRASSTRPTRSSLGWISRTRKVWTPGPWTGYTVWSQYHANTSDLIYFSIANNGKQRCITFSVSEGSPHTSPNDTFDYFSHGTRGRKGIPRIWQTRQLLDEVLLCIHVALLCVQENPDDRPVMSSFVCTLENGITALPAPSCPGYFAQRSSEIELFKDRTFLLSLKKVLFKDGKKALKDRESFRSNKKYFELLVSRAVLMDLSAAFTYIVIGVILLLPPPCAPDDRLVAGKPLSPGVTVVSDGGAFALGFFSPSNSTPEKMYLGIWYNDVPRRTVVWVANRETPVTKGTSSAPTMSLTNSSNLVLSDADGRVRWTTNVTGADSPTAAGRPAAVLLSTGNLVIRSPNGTTLWQSFEHPTDSFLPGMKLAVAYRTRVSDRLVSWRGPDDPSPGSFSYGGDPDTLLQVFLWNGTRPVTRDGPWTGYMVSSQYQANTSDIIYFSVVDVDEKRYITFSVSEGSPHTRTFDACAGECSRNCSCVAYAYANLSSSRSKGDMTRCLVWSGELIDSEKVGEAFGSDTIYLRIVSLDAGGRTENNAVKIVLPVLSSIVVVLSISFVWFKIKDKKRNRGKHRKLVLDGASKSDDIGEGNPAHDLEFPFVRFEDIALATHDFSESYKIGQGGFGKVYKAVLGDQEVAVKRLSRDSQQGTEEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLTNKSLDSTLFDVSRKLKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAANILLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYVIKFKPFSVTLVIGMGNSGYMAPEYAMEEVVTGIRRSSTSNIMGFPNLIVYSWNMWKEGKTKDLVDSSIMDSCLMDEALLCVHVALLCVQENPDDRPVMSSVMYILENGCSTELPSPSCPGYFAQRSYVIEQLRENVQSSTNNFTLTDIEGSASSTIFIVLLLPGLCASAGNKIELGEQLLPGQTRASEGGAFVLGFFSPSNSTPARQYVGIWYSNIPDRTVVWVANRATPEITDPSSAGSSFAPRLALTNDSNLVLSDAGGRVLWTTNVTGVAAGASSPPAAELLNSGNLVIRLNGVIVWQSFDHPTDTFIPEMKVTLNKRTRRGARIVSWQDAGDPSPGSFSYGLDPDTSLQLVMWNGSRPYWRTTVWTGYLTSAQFLSGGTTIYLDVVDTEEEFYMKLRASDGASPTRYVVTSSGKFQLLSWSSKSSEWITFDSFPTHQCSVYGYCGPYGYCDFTGAVSTCKCLDGFEPASGDEWSAGRFSGGCRRKEALPCDGGGGHGFLELPRVKVPDRFVMFVENMTFDECAERCRRNCSCEAYAHANLLGVDSRLEAGRRKGGIARCLVWTGELVDMSIIGNTTWGPAAETLYLRVPANSTGSRARRNVVKIVMSVLASALMLSCIFFCFYKFRENRRRKESQKRLIPGSGNTSHELLEDNPTQDLEFPSIRFSDIVAATDNFSKSFFIGRGGFGKVYKVTLENGQEVAIKRLSEDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGLEKLLIYEYLPNKGLDAILFDSARKSLLDWPTRFGIIKGIARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSIKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLIDSTIVESCLLDEVLLCIHVGLLCVEDNPNSRPLMSSVVSILENGSGVFLAMPNQPAYFTQTTSEMDKMTDENSRNTMTITAFQGR >OB09G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13199278:13199691:1 gene:OB09G25510 transcript:OB09G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPVSRSTAADPAPAALPVMLVVQRTRPSESDKTRLEKLVSDIVGAEEVPFVTGVSRFATHITVRRGTSLYHMPRYTFSGVEFEGGEEAEGEGAAVRDDGGTRRERLAGDKPVVGRTWRRQEEENGTDDEVGESS >OB09G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13207544:13212283:1 gene:OB09G25520 transcript:OB09G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKGAPRRQQPQPTREVAAAKKGKASPKMAKRAPKKKQELLESSSDDSELEQQLQEEESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEEEEDGDDEPLADDFLAGSSDDESEGHDSGVESDESDDFEAKSRAIDEAKGKAEEDAEEELKLNIRSEYDEFRLPTKEELEEEALRPPNLQNLKRRISEVVRVLSNFSKLRQQDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPSVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISSGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIDDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIVETDPSSDGPQKQPVQSKKHKDVKKTDEETSTLNGVTKDKQQTHDKPEKTSKNHKKGEKKHNAPESAKMKGDKKEIHKEQEEPASEKRQPVSAKIKPVPKRVSGTKEKKMDTDQGGKRKRNWMVRREWDAYKKSRSKKV >OB09G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13213370:13215373:1 gene:OB09G25530 transcript:OB09G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNADSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMSDADKAPFVAKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSVRTSVRT >OB09G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13216238:13234738:-1 gene:OB09G25540 transcript:OB09G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKMPSRRRGGGRPLQSPLSKTIKRLPKSVHSSLRSSIILFDLSRVVEELVYNSIDANASKIDISVNVRACYVKVEDDGCGITRDELVHVGEKYATSKFHNFMVDEGPNSTNFGLNGEALASLSDISVVEVRTKARGLPNSYCKIIKGSKCSHLGIDEQREAVGTTVTVSELFYNQPVRRKQMQSSHKRELHHVKKCALRVALIHPQVLLRLFDIESEDELLYTIPSQSPLPLISNIFGNNISSYLHEIATSDRHLVLSGFQSSVRNEEIDVRSRKRQKNEVYPAYLLNLCCPRSSYDLHFEPSQTIVEFKDWQTVMYFFEQTITSYWKKHALQLPEESNQDILRNHDVQKKEDVADLYHTDMNFDTGAPAVPKDNYLSLDMEPSTWSDCYDQITDSSHRGDFAKNGRKFGHKQICPPQSYSYQWLEDGSLLEDSDLSGANPTICKKQKTEDIFHRHAYSGNFGLLQDAEIKIGPEIKLHPNKPDRMTCDFVQKQTNIEARVSGRDGFYVDFDRLNEPCLLDEISETITGISFPEVSHFNDGFYPEDVSTSRGFCSVMKKSSKRQMNDNAVAQMNFRDMQAVCGSDYIDRPIEDTCLHSFHPFSLVDTPHSHSHARVGLELHGRSNKSINNRNYESIGSGSRFTSDRFNMDPSIVFEDQTHLQSKKDAKMSYDISPEKSSTGCHLNIPFSKLANGSKCIEDQYGCSQMPRLSKDRYRSHSAPPFYKGKSKLPRLTELLTKPTIEGGKDITINDSEDTAPAQECTSHMNATQPIPETCSNEFSDLNFSLKGNVKICEEKFSGELDVSTASDEITKWRNNSDQHTVEHVLELQHGPFERYDDVLSISSGPLHLSCSVLVPECIDKNCFEEARVLLQLDKKFIPVISGEVLLLVDQHAADERIRLEELRRKVLSDDGRGITYLDSEEDLFLPETGFQLFQKYMQQIQKWGWIINNSSDCSESFKKNMNVLRRQSRLVTLAAVPCILGADLTGKDLMDFIQQVHISQIVWKCNAFIDSVLARCRCSVLENGTGWEGKLNCRKMLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQVDWCYNLQILFLLLPYDLLMEAPLCAHGRPTTVPIVSLASLRDGLARLGTGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPPPPAADPFLHGGGFVPDDTQKQATPGVAEKAPKKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELCKSLLDDSAQGCPEYKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDVSGSIIVLDEAHNIEDIARDAGSFDADEESLLSLQQELESLCTDEAVAMIYEPLLGVIAGLNNWIDERANGLQNNEFEHPASFWTGEEAMKELQCASITPVYFPILQECATKAVKAASDAESDGAHLSGGSAMTLESLFSSLSYFFAENGCHSNDYQLALQRFAKREGNSVIGWKCLMSLWCLNPAVVFRGIADLSLSVILTSGTLSPMGSFASELGVLFDACMEAPHVINVDSQVFSTVLSSGPTGRRLNASYRTANEHSFQDELGATLEEICRIVPGGALVFFPSYNLLDKLQRRWSQTEPRGSTEELEPVLKGYYNAILGKAPPKKGRCAKQILKNRVTKGSSQDSAKGAALLAVCRGKVSEGIDFSDDKARVVVSFFSSCFYVPERINDVQVKLKKRFNDSYKSPKNLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIVLIDERYQEERNLVYISKWLRNSIRNCSTFQETLDGLARFFQNAEEHIKIKGQDVSPKDTLDACVLPSYGDKRKLLWAESNFSNQIELQDVKSECHSGKASKIDGAAGVDHMKLPDTSSEAWHISSKDSGSVRKQISPVPENLPIACQLRPSYKVQNNLEDKADDGTNYEVKINVIDLEECDAKPRYAKLIIFNPSEHITQQSTVLEETYASPIASPSNHSEANTATVKEGDPTLDLPISLSAADRNISCVSTSAATPERSSSRSQLEHESWTNRSVNSHFQKRRKLSSPMSCCTYTEHSPSKPFFQGSCDVSMLPGDLRTDGVCCRSTEMSRCENIKVERNKKLVEFPSRVSTQEKLFLCCARCKTALGLQEDGFLVSCSSSLSSKFFLTHLWRHGTPADILTGKDFSAPSPLKIKVMICNVSSLNKMILGNLRNESSAHNSGIWSEKDGCVYKAVTCHTCCKNGCVTTLGAQVVATDSSNQQFCDKVLLFDDLLYVKHRPSKDQPASAPINTVKPILPPPVIDLESFAYKPLKKDPVAVNTRRSKLRLPGTAKSRNGA >OB09G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13235580:13238936:-1 gene:OB09G25550 transcript:OB09G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGNGYCSSGSEGEDEDEGVEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLSRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVVQLLDHFKHAGPNGQHVCLVTEFLGDSLLRLIRYNRNKGIGLNRVKEICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERAVSNQYSGSVISFSEKMLKMRARRAVAKISQRRVSLGGVAAEMEKERSLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGASYSYSADMWSFACMAFELATGEVLFAPNICQGCSEDEDHLAQMMETLGKMPKKIASSGNRSKDYFDRHGDLKRIRRLKFWPLERVLVQRYNFTEPDAHSFADFLRSILDFTPENRPTAADCLKHQWLN >OB09G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13241517:13242664:-1 gene:OB09G25560 transcript:OB09G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDVFVKAGKPLVENEAGSYLAWSGKDQPAVAGEKLGCGLLVLKPLGFVAAPPAAPGKFGYVLGGSAVIGVLPVGVDARERVVRLEAGDVIAVRAGEGDTAGAVSPGDISYFILAGGMGVLGGLDAGLLATASGLTPEQAATAFRSQPAALLTKLSRKLDGVRPREHDRHGLVVNAARVPADSAGTKTAGGGALRRERLGARRPDAGDRAGGAQCVAGVGGAARDQVSKLKASAG >OB09G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13245345:13247511:1 gene:OB09G25570 transcript:OB09G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVQASCEGEGICKRGAKVGHPIDRNLVGGMTMSTVPTTVPSTPAAAMKNLLIRGLAAAAAGKGRSSSAEEARCLCAKPPAPEKTRKLQRPCRQSPTSSLMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVHQHGGRSIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTMPIQDTYALCRVFKKNAICSEVEELQGQCSMALLEGACQQLLASGGQEQYETPSPDVPVGSTSGADAEDDPDKDDSWMQFISDDAWCSSTADGAEESTSCVALAG >OB09G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13247762:13247974:-1 gene:OB09G25580 transcript:OB09G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLRQSYQGQTLHLEWINTPSAIIWYNRYTFVQFSLIMYTSTKQLCNGIASLFSFKEEKKHQNADCSYI >OB09G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13249930:13252588:1 gene:OB09G25590 transcript:OB09G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIDLDIIPEVDLYKCEPWELAEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRINYQSRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGNQDSYALCRIFKKNVILGEFGKQGECSSSQAKGNQEQVKDLGDAGQSSGATENDKDNSWMQFIAEDLWCTNKLK >OB09G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13254306:13254617:-1 gene:OB09G25600 transcript:OB09G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3MZY1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLFYEETRGALKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB09G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13258255:13263585:1 gene:OB09G25610 transcript:OB09G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADVKLENLRAAVDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSPAPEDLSETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFSPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDNLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPTNPSIELGPEFKKVANFLARFKSIPSIVDLDSLKVSGDVWFGAGITLKGKVTITAKSGVKLEIPDGVVLENKDINGPEDL >OB09G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13262385:13262825:-1 gene:OB09G25620 transcript:OB09G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIDLNRARKLATFLNSGPSSIEGFVGFVLAGLRMTKPSTKDFIYTCTSNKSDVAFTGRNLERGTLMPIAFSKN >OB09G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13264441:13266691:-1 gene:OB09G25630 transcript:OB09G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAICSSCGRVHYENPKMVVGCLVEHDNKVLLCKRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPSFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRLGTGASDLRSFDIDNHLSV >OB09G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13270286:13273408:1 gene:OB09G25640 transcript:OB09G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinolinate phoshoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G01350) TAIR;Acc:AT2G01350] MIFNQVDPTLKVEWLENDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLIDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMKSVDQFLAKENLTLPVEVETRTLQEVKDLLEYAAGNKTSLTRIMLDNMVVPLENGDVDVSMLKDAVQLINGRFETEASGNVTIDTVKRIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >OB09G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13277419:13277931:-1 gene:OB09G25650 transcript:OB09G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKWGVDGYGSMKGLIRLRSQATDAGVLPGEGEESGSGESDVEEHIEVERRLDHDLSRFEMVQLPAAAGDGEDEDDDEEEAEEARAARLEEENLTLRERLFLMERDMDDLRRRLLAVESLCRDRHRDGCVVDAAATGAEETVLSESVAGVDLAGFGDDADTAADAMKA >OB09G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13277429:13278292:1 gene:OB09G25660 transcript:OB09G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVSASSPKPARSTPATLSESTVSSAPVAAASTTQPSRWRSRQRDSTARRRRRRSSMSRSIRKRRSRSVRFSSSSRAARASSASSSSSSSSSPSPAAAGSCTISKRLRSWSRRRSTSMCSSTSLSPDPDSSPSPGRTPASVAWERRRMRPFMEPYPSTPHFSLAISTSTSRAGDSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLLPRHPLPRRPRRLPPDEHHRQHLHHRRRQRRRRRGRRRPHLVLLRRRPHHRVIHGRIWGRDYSSRSETLTLD >OB09G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13282254:13284038:1 gene:OB09G25670 transcript:OB09G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKPDAQLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQTPKQLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERRGFVGTSGESSKEEQEKSEQ >OB09G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13284888:13287509:1 gene:OB09G25680 transcript:OB09G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSSNQILQELDALSHTMYQAHTNRRTASLALPRSATEVNGGGADVVRAESRPRSRRLSLSPFRSRPKQGKNANDEGDDDDDDGDAGARRVGAAPSKSQSFAAVTTPAGGGAATAGEKKGIWSWKPIRALSHIGMNRLGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAVQTMPSRVQQGAADFEETLFVRCHLYCSGGAGTGKPLKFEPRPFLLSAVAVDAPELDFGRSAVNLSLLVKESTDKSHQGERVRQWDMALPLAGKAKGGELVVKLSFQIMDDGGVGLYKQPEAARKTTSSSSSLFARKQSKLSFSITSPKVSRPEPTLTATKGSPSPDLKGIDDFKLDEPNPPLLEAKKEPEPPETEEKGDDSEFPEFDVVDKGVEGQEENVEAKGEAEDGKEGAKVEGEDKATSAAGDEVVKEVVHDSAHAWRINELEAITNQIKALESMMLGDAPPTAKTEEPQDGDDTGGLDTDEEEVTREFLQLLEQGDGRGALAKSVSSLKSGVKRGSAAAASSYISDLGKGLGPIVQTRDGGYLTATNPFDIPVERKELPKLAMQLSKPFILQDQRLPGGGAELFQRLCAGGCETLFAKLAALIATDEVVGKTAEQIAFEGMASAIISARSAALGASSSAAQSVSLLRTMSTAMSDGRKERIATGIWNAQETPVTVDEILAFSLQKIETMAIDALKVQADMADEQAPFDVSPVSEKRSGGPLLDTAVPPEEWALACIGADTVTMLLVAQLRDPLRRYEAVGAPSIVIIQAIRAAGSDDDEPRFKVANLHVGGLRLKSADRRNVWDGEKQRLTAMHWLVAYGLGKAGRKGRTSAAAAAKAGHDVLWSMSSRVMADMWLKPMRNPDVKIPLK >OB09G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13287181:13290899:-1 gene:OB09G25690 transcript:OB09G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;3 [Source:Projected from Arabidopsis thaliana (AT2G17270) TAIR;Acc:AT2G17270] MAVALTSQRHEEGEGPGPHYVFHFRSSLQQRRRRKESNLPILSLLLVPARRGALCGARFISTTEMGARGCEAEAEAARVAKGGGGGGRGGGAGGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYTSIYSGLNILVKEEGASSLWRGWAGKFFGYGVQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLVDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHSVDILYKNVIQKKKEDCSTLQELGATCLAGYISGAVGTIVSNPADNIVSSLYNKKARNIVDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEIDI >OB09G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13294904:13295524:-1 gene:OB09G25700 transcript:OB09G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLQSADNLAAATATATASGGGHGGVHTDTLLILAAVFCFLLCVVGLALVARCSRLCNPSSFAVEAEPDAGAEGAMPPAPASKGLKKKALQSLPTVSFGAGEEEEEERPECAICLAEFARGDEVRVLPPCGHGFHAACVDVWLLSSSTCPSCRRALVVVAPPSPATAEPPSPPCCAADAAQASSQQEPTTTSERGRGRCRTSVP >OB09G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13303243:13308076:1 gene:OB09G25710 transcript:OB09G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPNIQVLLIGVIGAYLASGYSKILTSSALRDMNKVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIACQILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHPAQCDDQVKLGGETSYGDEEAPLLVPAKLTEHNEENQMVRTSSEKTDLSEMQEAPLLSCESEIANKGFWTNMKETIHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGDGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITILCIRYVMLPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWSYLIAAIALTTWSTIFMSILS >OB09G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13314565:13317938:1 gene:OB09G25720 transcript:OB09G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVVQVLLIGVVGAFLASGYSKILTSSALSDMNKIVFTVFTPSLMFVSLAKTVTLSDVISWWFMPINIGITFMAGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCDEDGNPFGKDSSRCRSLGLSYSSLSMALGGLYIWTHTYSLMKKRHQPDSIQCPADSDEECQAQKVKANGEAADADEETPLPLSAKIDEQNEEKQMRKTTDLSEMQEAPLLTCKGEVAKKGSWTNLKETIHHVVEELTAPATLSAILGFVVGLVPWLKSLVIGDNAPLKVIQDSIQLMGNGTIPCVTLILGGNLTKGLRKSELKRTVIITIVCIRYVILPLVGIAVVRAAHGVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVASIALTTWSTVFMSILS >OB09G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13320371:13336376:1 gene:OB09G25730 transcript:OB09G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3MZZ4] MGPSLTVTKLDCPLVYIIRIIPRFVAQPPRLLVKARYRITETMGADDGDLQAAAKVATPACGSTVLAPHDAVDDDVGEIIVPAPAASLPLETRRPPFPLRQLGGFWMPESLLPTVEALRAGFVPRPNDVLLASFPKDPKDTLVSFWFFSKKMAATMGVDPGPSFTFEEAFELFCGGNCTGGPQWRHVFEYWEASRRSPGKVLFLRYEEMLRSPASNLRRMAEFMGCPFTAEEEESGVADAIVRLCSLDELRNLEVNRSGTDALGLKNEAYFRKGVAGDWRNHMTPEMAARLDKIVDDATRGSGLSLTNSVMEELSLEVKMPEAKLNAIKFSLMTSSDMEKFSSVSIIEMRDVTNAKLGLPNGAPQCATCGFRNIRDCDGHFGVIKLAATVHNPYFIEEVVQLLNQICPGCLTLKQNGETKLKKGDGTTIQATCKYCSKDGAKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVASRVTHKSKNKVPHEILPQDFWDFLPDDNQPPQSNVTKKILSPYQVFHMLKKIDPELINQVTPRRELLFLSCLPVTPNCHRVVEMPYGHSDGPRLAFDDRTKAYKRMVDVSRRIDDYRQHPQFGVYASSAVTSRVMECLKSSKLYSRKSDGESSTSTDTYGTKWLKDIILSKRSDNAFRSVMVGDPKINLNEIGMPMDLALNLVVSEQVSSYNFETINLKCNLHLLTKEVLLVRRNGRLIFIRKANKLEIGDIAYRPLQDGDLILVNRPPSVHQHSLIALSAKILSSHSAVSINPLCCGPFQGDFDGDCLHGYVPQSLQSRVELDELVGLSGQLLNAQDGRSLVSLTHDSLTAAHQLTSSDVFLQKAEFQQLQMLCSSVSSTPMPSILKSSKSQGPLWTGKQLFGMLIPSGMNINFDEKLHIKDSEVLAFSSGSFWLQNNTSSLFSVMFEEYGCKALEFLSSSQDVLCEFLTMRGLSVSLSDFYLLSDHYSRRKLIEEVHLALDEAEEAFQIKQILLNPVSIPYLKYYDGADDLLNSYEQSDYTQVSLPIIQSSITSFKSVFNDLLKMVHQHASKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASIFPFRIPSELSCVSWNRHKSLNCEMTDGTSERMGGQNIYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGQQIVQFSYNTADGMFRDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCRKSTKSVDHTGLLFLSKHLRKYRYGFEYASLQVKDHLERVDFSDLVDAVMILYGGSDMQKTQGNPWITHFHLSQETMKIKRLRLGFIVRELIEQYNALRKQSNNTIPSVCISNSNCSVGNECVKNCTCCITMVAQVESNSTSQLNIIKERVIPSILETLLKGFLEFKNVKVEYQQDSELVVKVGMSEHCKSGKFWAALQNACIPIMELIDWERSQPERVNDIFCSYGIDSAWKFFVESLRSTTDAIGRNIRRQHLLVVADCLSVSGQFHGLTSQGLKHQRTSLSISSPFSEACFSRPAHSFINAAKQDSVDNLSGTLDAIAWGKEPCVGTSGPFKILYSGKSLETKQNENIYDFLHNPDVQAIEKSFMDTYRQRTEKTSKQRSSFKSEDNGTITGGAISVIQEFLDAKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLKEALKFHPRGYDKIGVGIREIKIGVNPGHPNLRCFIVLRNDDTTADFSYNKCVLGAANSLKPELGSHMEKILFNKAIWLHHL >OB09G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13338765:13340804:1 gene:OB09G25740 transcript:OB09G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAWYTNTSTEPLALFPPPLLHLLFPKRTIGTSAKWQSRAEQSIIHEDITSPGESPSPVRHPPPPPHILLYSLSPTPPPPQLSAAQPRLRRGAPCSPSRRSPGSSSPSSAASSSTSPPPPPPTTSSSPPSSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRQRGEPAGEGGAQAVRARPRHAPVGRGVVAPGRAHGPQRGVRRRRGHHLLRGDVQDLRQGA >OB09G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13343462:13345315:-1 gene:OB09G25750 transcript:OB09G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSAGGASRHVRELLRRCGSVQGLNQLHAHLVVHGSFPVDAVVASYCALPGGLCYARSLFDRMPDPDRFTYNHLIRAYCSSDGDRPREALRLHRGMIGRGVLPNEFTLPFVLKACARTQAWEHVVATHGVVVKLGFLRQVFVGNALLHSYASAGLLWDSRQFFDEMADRNVVSWNSMINGYAQAGKAREACSLFAGMRRQGLLADEFTLVSLLLACSVEENLAFGRLVHCHLLVSGCPVDLILSNALVDMYGKCGDLSMAGTCFDMMPLKNSVSWTSMLCALAKHGSVYAARDWFEQMPEKSVVSWNAMISCYVQGGRCREALDLYNRMKLLGLTPDEYTLAAVLSACGQHGDLASGKMIHDYIRDSFNNPGVALFNSLLDMYARCGQVDTAISLFSDMPSKNVISWNTIIGALAMHGRAQDALMFFRSMVSDAFSPDEITFVALLSACNHGGLLEAGQYYFQAMSHVYNVRPGVEHYACMVDLLGRGGQLAKAVELIKDMPTRPDVVVWGALLGACRIHGHIQIGRQVIKQLLELEGMSGGLFVLISNLLYETHQWEDMTRLRKLMRDWGTKKNMGISSIDTNDNIHEFGVEDSRHESLNEVYAAFDQLPHHLV >OB09G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13346492:13348554:-1 gene:OB09G25760 transcript:OB09G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPERRRAIWAIYVWCRRTDELVDGPNASHMSALALDRWESRLEDAFAGRPYDMLDAALAHTVAAFPVDIQPFRDMIQGMRLDLTKPRYGTFDELYLYCYYVAGTVGLMTVPVMGISPDSTAATETVYRGALALGLANQLTNILRDVGEDARRGRIYLPLDELEMAGLSEDDIFSGRVTDRWRGFMRGQITRARAFFRQAEEGAAELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSQRHFSSLT >OB09G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13347507:13347956:1 gene:OB09G25770 transcript:OB09G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFADVSEYVGELVGEAERQRAPVDRLGGRRGVRRDAHDRHRHEADGAGDVVAVEVELVEGAVAGLGEVEAHPLDHVPERLDVDGEGGDGVGERGVEHVVGAPGEGVLQPRLPPVERQRRHVRRVRPVHQLVRSPTPHCIKSIVSPK >OB09G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13348951:13350690:-1 gene:OB09G25780 transcript:OB09G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVHVCKMLDFHEQFAVNLPVMYKIPHYIRAASEHERVENDLIWRLSGSCS >OB09G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13354151:13362100:-1 gene:OB09G25790 transcript:OB09G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT1G75010) TAIR;Acc:AT1G75010] MAASLRGLPLPLSPPPLAPPCASCRRLAAPPPRTRSSVSGVRAGADGAPRAPDPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNFSVQLIQRSHGEDVVVRDLEPPLSLQPCPPAVILVASAGQDADHITAMELLSKVKSAGKLAASIFLKPFCFEGQRRQLEAADFIGKLQMCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISVMMSGLNQMFRSSINAQIMEVHPEELGKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRTFSESDMISTLHIFRRVTGFKEDIIFSRNCEPDLEPKLVVVSLLTVRNSIDKNVPSVKEGFLSGLALHFPFVPSLMGGDIPDEKQATLKQLLGSGLSLAEQEFSQLSSAFSNATVNNLFPEETEIMKSEREYKEKMHTEFQEAKCKPDGEINKDSERENLGSEQEHKFWSNSPGFGIAQLWAKVRMTSDRGTQNNDINIIILPVGVKSPEVQCGADKRPEAHSSASSPSVASGYAAFGVSFSDIGLEKVTEMYSSAVTFLKGRMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRVTFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSVFFGNFQNGWRHGECLLIDANGSRWIEVWDDGVLIGQTKLEK >OB09G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13370151:13373893:-1 gene:OB09G25800 transcript:OB09G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLGDTQMPPPGQNPNPALQPPPAAAPAPKKKRNQPGNPNPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENVRVPPIGAGVYGCSGNISIGFSGAMTAPQLPPAGFSEQVGQPSASAGDVLRLGGGNAGVGVGGGSQFDHLMASSSGASMFRSHGSSSSSYYLANAPHHAPAQEYPEDGQAQAGHGGSLLQGKPAAFHDLMQLPVQHQQSSNGNILNLGFLSGSNGVDQFNGSGGQGGIVTSGALAGSHLGGGGGGFPPLYNSSEQAGGLPQMSATALLQKAAQIGATTSSYTGGGGASSLLRGASAGGEGPTANERSYQNLILGSMASGSGGAGYAAGSFAGASAFGGAVDDGKLSTRDFLGVGVVQGMSGSAAMGPPRHGAAGLHVGSLDPENMN >OB09G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13387303:13389097:-1 gene:OB09G25810 transcript:OB09G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPVTFLTRAANVYADRISVVYGATSFTWRQTHRRCRRLAAALQALAVSKNDVVSVLAPNTPALYELHFAVPMAGAVINAINTRLDAANVASIIKHAEPKVLFVDYQYIRVATDAVKAVMGEVRALPLLVVIDDIDRPTGARVGELEYEQLVARGDPARYPPRPVEDEWDAVALNYTSGTTSAPKGVVYSHRGAYLNTMGLLLQWGVGHEPIYLWSLPMFHCNGWTFTWGVAARGGTNATGVVMVCEWREQWDALPPSERARLKARQGVSALTLADADVKDLKTMKSVPRDGATMGEVVLRGSNVMKGYLRNPRATADAFRDGWFLTGDVGVIHPDGYVEIKDRSKDVIISGGENISSVEVEAALYQHPAGRGGGVVAMPHPHWGETPCAFVSLKREFSGAGEVSEQEVVSFCRNRMAHFMVPRKVVFVEELPKNSTGKVQKLALRDMARGLRLRAADKATPPAAPGPARHGASTPAARL >OB09G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13394579:13399647:-1 gene:OB09G25820 transcript:OB09G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNKLPLIFALLFSVPLISFAARVPSPVAGRGAGHSLDDIGRGIFHWIKERRNHSYEGQFNGGHWGYLSSSSGGAAVQEDHIAPHLLLGIGTPAVNLPLVFDTTSDLLWTQCHPCLDCVPQAGHIYDPSKSKTFANLTKHYNYSYSEEAFTSGYLATETFAMGDATVPNITFGCGTRNQGYYDNVAGVVGLGRGGAVSLLSQLGFDRFSYCFSSSDAPGSSYVSLGGSGELANNNTLAASTPMVADPVLKSGYFVRLVGVTVGATRVAAGGAAAGGGLSLVIDSTSPATVLDEATYAQVRRELGAQLKPLKEANANANASANVGLNLCFQLAAGGGTAPPTPPPNVTLHFDGGADLVLLPANYLAEDSASGLLCLTMMAWKGVPVLGSLALMDTHVLYDLAKNVVSFQPLDCAARGDAKSAGPLSPTCGPDPSRDFSSSSPIAKNKQIFREKEKGGEREVNSSRRRRRGEENPGDLRDARRGSSGGGSGERMHSFGHRANAVATFAVTILAAMCFAASFSDQFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTVIIAGRRHGRRFGSINIAYAKLFLLILPKHKLGKITWVEDATVTV >OB09G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13401745:13404140:1 gene:OB09G25830 transcript:OB09G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGKSGDHGGGKPTMERSSSKVLDGDETIFTDMAQELKEEGNKLFQRREYERALLNYEKAIKLLPRPHPDVAYLHSNLAACYMQMSPPDHYRAINECNLALDASPRYSKALLKRARCFEALGRLDLAYRDVAKVLAVEPNNLTALDVADRVKKAMDEKGIVLGDKEVMPTPEEVVAAAPKQKPRKKKGRKASAKAAAAAVEEEEEAKAVEPVKEVEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDSNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSSQELVLEQVKEAYEWAKEEYNKAGKKYEEAVKAKPNFFEGFLALAHQQFEQAKLSWYYAIGSNADLDTWPSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENAVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDVKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >OB09G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13409557:13415885:-1 gene:OB09G25840 transcript:OB09G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MGALGEEEDKGAKVVDGEGEVVAAVGLEMKWPPWEGLAERYKLISATSLAFVICNMDKVNLSVAIIPMSHKYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEVGVVVWSLATAIIPAVAGFMPGLVLSRILVGIGEGVSPSAATDLIARSIPVRERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQLSGSEDILNLGQSPAGSSDLISSKSSESSSEELINSVKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAATFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGLPPWEIVLFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIIFYLTGTIVWLAFASSEPQEFSSSKSESES >OB09G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13416818:13423202:-1 gene:OB09G25850 transcript:OB09G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein [Source:Projected from Arabidopsis thaliana (AT2G31170) TAIR;Acc:AT2G31170] MAAAAAARRAASLLPLLLSSPSRARLPHRQTLTLTPLLRPHGLYSHSGPKPTSSAAFSASAGAAASNGSAPAERTRELQLYNTKSRRKELFQPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLLYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMADLHCLPPSVEPRVSDHIDQIINMIKQILDNGCAYIIGGDVYFSVENFPEYGELSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPGWDSPWGLGRPGWHIECSAMSAHYLGHSFDIHGGGEDLVFPHHENEIAQSRAACCDSSISYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRIFLLGTHYRSPINYSIEQLNVASDRLYYTYQTLQDCEENCRQHQSNAGDPLPVNTTNFIQKLHDEFEASMSDDLHTSVALAAVSEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRAVLSVLGLLPSSYYDALQQLREKALRRASMTEDQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPEGTTWRPSVPLSEQGLVAST >OB09G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13426066:13427108:1 gene:OB09G25860 transcript:OB09G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAWPARWPPPPPPAPAPAAPNQIDTANLRYLLRPFAAQQEAAGGAAPAPEQLAANHPGSQYGQPARAAAAPSNSLLAQVSGNHPHASHMPDLKALFGMPNGNNAASVIDLTRASPPRGVEPLLKHPRHGLAASSSVEQTTSLGALFQNTSANAPVSFAGKCSVNNGISQGASQFQNSSTCTMQKSPTKSTPCHQPALLGDQIRVSCLNVGGFNLKQLSAT >OB09G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13427929:13438468:1 gene:OB09G25870 transcript:OB09G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N008] MAGEACGGEFFVGGAGIFGVCCFCHRLRMSVAKFCEHSGGPAEKAGEIVVMDNGMTIGQWLKYCMGVGSSISDAKWDWPEWAHMRYSPEELWTKNLLIANSNMEKTGLFSGHGNNTGPIDNPVYSSDMHNEVGGLTSVEKLVNKPDETYYRKSVGLHEAFTKKPALQQSSKLNLANHTIHDMNLNSIRTPKVRTCSTANMGITYSRNHLAHDYANLLEKNLNNLSRSSGPSSTRVSRNDNRACMPDIPRKIFQDGSGRASNTELKLGQSSYHQSMTTLFPSVQSTIIEFQKPQEHLQFTTPNLEDRDVVQNDKNYQTGGSKKLLMVTILKICQELVMLGAEYIKRKKTPQQAASAITMNRKTRAFPCNVHIMSESLGADAYPKQTTNANKTIESIDPSFSTGNRKRPLEVANGTSHSELNEVTVDTAKNSFISLFLSHLERNSTSESIDDVLNSNEHYLLKAPDVAYSSDHLKAASTQVETRANDNQLKLTPAIIHTKRISNGRSLPVASKGYVHQDVLHGNSQEPSLNGDCLPQLLPSQPNAGISKICTEVSSPVNCRCCNHVADKSHLAHAETRAPCLYDRTARRYMSFDCADDLCTHKSLRATKISCQCGKAFCYTPSEFLPSFGQNDQSSLGKPIHRCCCKAQEDSSNLGFRAGNFCRSHFCNDGAPVPDHRSIVEGLDGARTCSTFIPRSSLCSRELMLQSCCQACPIDGFHYRSSMGHTANSLTKNPLVEAPNTTEHSPCQDGKCSCSLVPKCLVGYGFRKQCVARIDQTDHIVQKSKDGDVQAAARCCTLGESEKLICQCSSEIIARRNDSFQNEISNKVLNRPYVPISEQLKNVTEASAVGDHWPYETAREKESARRDSGIFKELKSGFSSGFSSDVVTKFSASPDGNNISSCTAKYGFEHKNYVFDEGSRIEKCSSSSYVPISTGCEEAQNSFSRFHLKPSLVKNKNNKISEGSKRKEHENEGQCSETPKKTRTLECCAKQSESDDYIMKINLSSLEGDSQPRHEAVPFSRRVSKTKRKHPPMRLNKHVKWLHKNYKVLDVDDERSDNKGILVGESNSSDREKQEDDVTTSARTKCQQQGSRLFARKLPKYVSLNGIVNEPNSEDACSGSASIDSSLIATGITNDNRKSPKIVPLSLILKKAKRCRTVKSLGKTEHAHFSEEKSSDCSVDKSSSSNRSFSSQDELWSPKNNRYSCNASRPHVKSDHQNPCHVLEEDELLSLADIGTSQLSASRSRGIKTRRACISLNRMERCEEFTNESACSSCGDKHSAVQVCEAKFERYAQRPSLDASCCVCGISNLEPCNQLIECSKCFIKVHQACYGVLKVPRGQWFCRPCKINIHDTVCVICGYGGGAMTRALKAKNILKSLLWGIATTRRSDKYAYSSGNGNSECTSSFHGEYVRHDSFHGPRSRSFNTISSIDMEEASIGSALGNITSQSWTSNPNSSLFGPRTRQWVHVVCGLWTPGTECPNAITMSAFDISGASPAKRNTECSICNRTGGSFMGCRDVHCSVLFHPWCAHQKGLLQSEPEGEHNENVGFYGRCLDHAMLGSNHVKLKECLKINDWTCARTEGFGGRKGDWFDANRSKKPEEKFGECSVSQEQINAWVRINGSKSCMRGQKEYVHYKQLKGWKHLVVYKSGIHGLGLYTSEFIPRGSMVVEYVGEIVGQYVADKREIEYQSGKRQQYKSACYFFKIDKEHIIDATRKGGIARFINHSCQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNREDEGHRIPCFCRSLGCRRYLN >OB09G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13437467:13437733:-1 gene:OB09G25880 transcript:OB09G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDTDEHYCYYLARVIDESCDPTLAGGIDDMFLVNLEEVTCTLVLLPFPRLVFNLSFVSNILPNNFTNILYNLKYFHAELKNFFIC >OB09G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13438980:13440974:-1 gene:OB09G25890 transcript:OB09G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTLAAPAAHPDPPAILRDKLLFLQELLYAKCISPAEYNSTKRPLVQRLAAFGVVVECPDADVGDGGSAAPAPSTSLEEWSEIDLRDPPPAAPVSDKTKHKSFVPPWKTRGKKEHDASGAPRPPLAQVDQNNSKNAASVLMAESSPSEAMPSGKAEKGKRRHLTAIFQNGGGNHGSENKEPAASMEGTEEKDAVKGKKKSSWGFDGLKKWKKAGNDEAMAGGERPECAAPRSSYSECRLEASPAVAKDAKRAKKTLRTATDADDSASNLVNNDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTKLPVDKSDLKTFFPKAWCDEHGDNVIDAAKKEFKEHVEEMEKQRDIAGGDGWVAFGDNHDENFNPRAAVKEGKVHDSLSGSQHFTNPFYDQKNPFLNPTYD >OB09G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13442633:13446163:-1 gene:OB09G25900 transcript:OB09G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPATPSFSTAVVPLRSPLDDRLLRLLRSEISYISDRRPPHAPPKTFRSFGVEDRPGEQWVRLRATRGGEEVKVDATMCDGAAVPPADAPLFRRVESLEHGPRLHLSLIVEVSRADRVLGFICSAWPDELVVRHVLTLRDADGSSTGNGGRDFAKLEAKERESVRKFLQEREVDDELAEFLHEYMANKEKMELLRWLHIVESFVEK >OB09G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13447097:13449690:-1 gene:OB09G25910 transcript:OB09G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MGKDHAGDGRHHHVIQVPASASEVAAVEETETTDPADCSLHQKAAEMVASSMEAYRSKPLSFWLLLLLSAGAMLTAFPASSLLSRLYYNNGGNSKWILSWSAVAGWPLPALFLLPYYLLGKASPTPLSLKLCAWYVLLGFLSAADNLMYAWAYAYLPASTASLVAASSLVFSALFGYAIVKNRLRLSSLNAIVVITAGVVIIALDSQSDRYPGITDKQYTLGLVWDVLGSALHGLIFALSELVFVKILGRRSFHVVLEQQAMVSFCAFAFTSVGVAVNGDFPAMRREAAGFKHGEVSYVMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVIWFHDPMSGFKILSLVITVWGFGSYMVGHSSTKKASTN >OB09G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13451921:13456963:-1 gene:OB09G25920 transcript:OB09G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLTIKMEVHSKEQGVQRQAARSKEQGASSRERPQGAGSSPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRFALLAASSSARFWAAEGASLADLAAGRPFSPHALNATFRNATLLLPFSSPLPPLLALYDPDTSSDLGHVFLPVSGSNDSGAPATVPTMFDNCLPLADNKYRLRWTLNASAATVEIGLEAAVGSEYYMAFGWADPKANSPAMIHSDVVVAGFTEEGMPFAEDYYITDYSECTLGTDGSPVSGVCPDNVYDEGKNDSRLVYGHRRDGVSFVRYQRKLDTEDAKYDVPVSATEEMAVVWATGKLRPPDSLRAHYLPQNHGGPRDSTYGFARVNLSETVDSCNGPLDADNKEDQERIIADAKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGRDSHGVPATPTELLWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSHDSISIAARGEKKSGYLAIGFGTGMLNSYAYVGWVGNDGVGRVNTYWIDGKSATGIHPTSENLTYVRCKSENGIITFEFTRPLKPPCSGRVECKNIIDPTTPLKVIWAMGASWSGNSLTGNNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKSLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVAALLLAGLQPLNAYFRPKRPANGEVSSRNRVLWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVVALYLEYKEVKRGSGDRSFRGHWVLGNTEEDDSVDLLHPDSTNRNSESSTSGLMEVQLEPLAR >OB09G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13457539:13459225:1 gene:OB09G25930 transcript:OB09G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MVFWRYFGNLPHSLASSCTAAAVRSHMTSLAPRLLRRRSLRSAAATVVSPRFALPPPHPHGLISLSALVLIRPAFLESSGFWARLRGAGSPCPGASSFEPTRGVSERWAGARGWWFQPVRHGSTAVTLETDGGFARFSVGSSAAKKQTAGQGQRPARAQKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTDEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPTVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETSEKISSEDTIVDDLTTSSDTE >OB09G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13462486:13466344:1 gene:OB09G25940 transcript:OB09G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3N015] MRAPEMLRWLAAAAALVALLAASPAAGFYLPGVAPTDFAKGDSLPVKVNKLTSVKTQLPYAYYSLPFCKPEIVVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKPPVGEKEAKELKEKIEDEYRVNMILDNLPLVVPVIRQDRNIIAYQGGYHIGVKGQYAGSKEEKHFIHNHLSFLVKFHKDDDSELSRIVGFEVKPYSVKHQLDDKWDGVNTRLSTCDPHANKLVTSSDSPQEVVAGQEIIFTYDVRFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGIQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKKITMRTAVLFPGIAFVIFFILNALIWGEKSSGAVPFTTMSALVLLWFGISVPLVFVGSYLGFKKPALEHPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >OB09G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13466642:13467312:1 gene:OB09G25950 transcript:OB09G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCLPLLVAVLAGFAVSLAGATDHIVGANHGWNPNIDYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNQTGYDNCTMAGVAGNWTSGKDFIPLNEPRRYYFICGNGLCQAGMKVAITVHPLKHNATGDGAKSHGGSGARGRRRSGRHCAVIDLLRLFLEELKNIVCV >OB09G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13467492:13471608:-1 gene:OB09G25960 transcript:OB09G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKHSGDGGDDRLRYGLASMQGWRTTMEDAHTALPSLDDCTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFAGMLEGIIWSPKPGDSDKPEDSWTEEGPHSTFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGQAYDLSKDHKPDLDAEKERILSAGGFIVAGRVNGSLNLARAIGDMELKQNELLPAERQIVTAEPELNTIKLSEDDEFIILACDGIWDCMTSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVILVQFKKPSKSAATSSTDQSVSSEMRPNELDDGPSDPSK >OB09G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13475352:13476519:1 gene:OB09G25970 transcript:OB09G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAVYVLVALDSDQHQREYYTLA >OB09G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13477262:13477591:1 gene:OB09G25980 transcript:OB09G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTCCNLQENLLYSVIYYSDNKLGIPRLRDHILLLVVVIIFLSDGSYIAADCSNQLQLSLDSVTCCILLSVLSERHLHTDVESVMEIIKCMWRMLVSGVECSCPCDVQ >OB09G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13478470:13479468:1 gene:OB09G25990 transcript:OB09G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDLQFMPNHASYAVPTIQDSEIGLNGKRVVNMEQTAEWSAGWQDDEVSLCCCYCLLIKRSLTWTSPPY >OB09G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13480922:13482085:1 gene:OB09G26000 transcript:OB09G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRCRGRLESWRGRACGARAKRWLLPRMPCHAPHQGTNRGETIDESDRVVVGFASSWLAPIEAVTNPARPGWAAAPPVSCSCRGKRGYPTRVRGSRPPAPRGRSRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGPPPPRRAAGLGLGLSPRRPRISSSASRRARAAAKPTTTRSAGSGHRWRHPPLRPSLPPLPCDLMRSDARLPPPPLRSSCLPAPGPPPASLTRGPPRRLLLLALAHAATAARRRLPLPPPSDLSLSLPHFLARIL >OB09G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13482633:13485542:1 gene:OB09G26010 transcript:OB09G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT3G54390) TAIR;Acc:AT3G54390] MDALHPDADAAPLAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAQEVSAHCAMENNAAAAGKPGSNSAKTPNQCKNKIESMKKRYRAESESAAAARAGPAAAGGPSWRFFSRMDGLLKGPAGSGQVLGELSNSVDLRAHPPAKPEVDVEADFVNQLADAGPGALSDLVNAYANGSIQEKSEKVENSGQIEGRAAESDVNVSSPRIKEANDDAEEVDKVWDMSKKRKNTEFDIAKSIELLASSFLKIERARMDLYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSGKTGGSSSVTAEVDNLAKKGENGMHGTKLQFAKVQGDWRRQLVVQKSGL >OB09G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13486219:13490830:1 gene:OB09G26020 transcript:OB09G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRVTNRIFPDERQDQFKLPFQATRADRFGANRIDAKTTEKIKVLNEGNKPWHRRILDPGSSMVLMWNRLFLGSCLFALFIDPFFYYLPLVDRLDDTKSSCIAKDQRLSITITILRTFADLFYMLNIMVKFRTAYVDPKSRVLGKGELVLDLKKIQQRYLRRAFFIDLLATIPLPQVTVGIIMPSMKNSDYNIRNTVFAVVIMIQYILRMVLIVPLSNRIIKAAGVVAKSAWLGAAYNLLYYMLASHITGAIYYLLSIERQITCWNQQCLNESPSCRFDFISCDNTDSSSYAVWRNNTSIFENCDPNRDASANPPPFSYGMFGTALGKGAVSAPFLEKYFFCLWWGLLQLSSSGNPLQTSAFIAENTFAIAIGALSLILFAQLIGNMQTYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQSLPADIRRDVQRHLCLDLVRRVPFFSEMDAQLLDAICERLVSFLCPERTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESSYSWWSEEHPAADKPQQEGPSSSSTKTIAESAIAQMHKLASASRRFRADDTAIRRLQKPDEPDFSADHFD >OB09G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13498222:13506385:-1 gene:OB09G26030 transcript:OB09G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:J3N024] MENCAIKIQSFPVNPLIRSRPRLLARRAVMSRDGEDSYYATDDYDYYTDDEVDDDDDCMLEVGDDDDDCMLEVGDDNYDGEPEDDAGDDDECGLQEAQEKEDVASAMEKAYVILTQDGVRARQEEDVAMVCEVLSVPPGFAAVLLRHFKWSPDLLQEAWFLDERRVRDAVGLAPGAAAPMLENSRRLTCAICCDQFSAGQMRSAGCSHFYCVECWRGYVRAAVVDGPRCLSLRCPDPSCSVAVVRELVDAVAGSKDREWYATFALRSYVEESTRLKWCPGPGCSRAVEFVGPGGDSRSNDVFCTFGHEFCGQCGEQAHRPVSCETVSMWMAKSSSGSATARWLLFHTKHCPECRRPIEKNHGCMHMTCREPCSHEFCWLCLEPWKGHRNCGRYWADGPVVGSKAAENTDEERQRQARASRNRYIYHYERWDANLRSLRVVLDDMEALERSELEEMASAADVPATELGFVTEAYKQEDANASLERLHVCAEQERKVVFTPGDEEVTVDFDKYRAYREKLAGLTMALAEEAKARYDKAVFKVLDLDEYAAEDEDFEHKLKKENIALFFLATYGDGEPTDNAARFYKWFAEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDNTSPAPTHYTAAIPEYRVVLVEPEEAMHMNKTFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTSLIYGTGDHVGVYAENCTETVEEAENLLGYSPDTLFSIYSDQEDGTPLFGGSLPSPFPSPCTVRTALGRYADLLSSPKKSALIALAAHASDPKEAERLRHLASPAGKKEYSQWIVSSQRSLLEVLSEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPTRIHVTCALVYGPTPTGRIHKGVCSTWMKNSTPLEESQECSSAPIFVRQSNFKLPTDPTVPIVMIGPGTGLAPFRGFLQERLALKETGVELGHAILFFGCRNRKMDFIYEDELNNFVDAGALSKLSIAFSREGPTKEYVQHKMTEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVW >OB09G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13514508:13516280:-1 gene:OB09G26040 transcript:OB09G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDVDDYYSDDLDDYYSHGLEDYYGDGVLEDGDDNCGWEAAHEPVDGTGDDDDCGLHEDQEMENVGSAMEKAYVVLTEDGVRARQEEDVAMVCEVLSVPPGFAAVLLRHFKWSPDLLQEAWFLDEWRVRDAVGLPPGGAAPMLDNSRRPLTCAICCDQFFAGWMRSAGCSHFYCVECWRGYVRAAVVDGPRCLSLRRKDKEWYATFALRSYVEESTRLKWCPGPECTVAVEFIGGGGGEDMQDDVECGHEFCGQCGEQAHRPVSCETVRAWTEKNALESKTASWVLENVKHCPRCRLPIEKNQGCMHMTCRPPCRHEFCWLCLRPWDQHKTGESVFGCNVYNQAKAAGEVSLEEEQRKQAMASQDRYLHFYERWAAHGKARQRAVDDMADLESRLEELFALTGVPVTDLGFLPEAYQQIAECRRLLRWTYAYGYYHLGTGRDADEERRTMVECAQGEAERQLERLHECAEHEREELVATVMKMNAPSKQVRTAELEPEEELRKKQQHKGAEMVMAYRQKLAGLTGVTKTFFRNLVRAFQDGLSEAGPAAADANPALSSGDPC >OB09G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13515274:13516049:1 gene:OB09G26050 transcript:OB09G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMQPWFFSIGSRQRGQCFTFSSTQLAVLDSRAFFSVHAHTVSHDTGRCACSPHCPQNSCPNSTSSCMSSPPPPPMNSTATVHSGPGHHLSRVLSSTYDRSANVAYHSLSFLLATASTSSRTTATEQDGSGHRSDRHRGPSRRADVPTPALDAVEVGAPGRPHPAGEELVAADGAGERPPAVIQHWRGAARRQPDGVAYPPLVQEPRLLEQIGAPLEVAEEDGGEARRDREHLADHGYVLLLSRAYTVLG >OB09G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13519853:13520697:-1 gene:OB09G26060 transcript:OB09G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEDGGYLHEINRVVGTPWARRRLALQVSQPVVAENAATASEVLDKMTETSAEDMCRFLAKTMPIKDIASRKHTGDVVRRSARLNSGDDFLEALLFKAMDKMEVLVQQGLKIQMTWTADSAASTSTGDGDRSPQATAATKDCMVCVVVIQVRDPTEGYAAIGDPMIGLMEATLEKRDGKVKLEMQGMHVAGILLVGASRKRTGNGRAMIWSTFLRQCVGSPNGGGGGCRCSYVRNPDRVFRR >OB09G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13522640:13522846:1 gene:OB09G26070 transcript:OB09G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTALLSRLISPSSVRLLVAGYRWRARSSTICAIVDGCTLDFFFFWGKGIYPGNPIVAVEYTASQHMLLP >OB09G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13524344:13526578:-1 gene:OB09G26080 transcript:OB09G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEEVWSAVQWWEEWQLRILVLGSLGIQWFLLLAAPMRKYTIPHFLRTFIWLAYISSDALAIYALATLFNRHAAGAGRRRHCASGSVLEVLWAPVLLIHLGGQREMSAYTIEDNELWRRQTVTLVSQVTVAIYAFYKSWPADGGDRRLLLSAVLLFVIGVLSFTEKPWALRRASINRLAAVSSTVQAGKPVSKWRYCFTQLDLGTDKSAVLRRRCCAKKEDEQQPPSPVVDGVVKQRIVMTDRDKVLLILSDMSLLAADSDLKQEQRRRRQRRSLVGEEHESTEEVDGDLLTLSPRAEMGSKRWLRRAFGLIYTRANVVLTPAYLAYHLLLVPFLHVTAIALFATSGKRHYSAVDVKTTYVLLCLTAALDILAESIRQLLFKLMSMAGISALCETVPQYDNLIRSALRRTQPAGALLKCAANVGYTEGFFVCRRRNLYRMLAGLIFSDLVGANARGLDFTSYRSFSPGRRNWVLNDALRAACGREVRDSLRGSFDRGVILWHIATDLCCIRRTDDVDAIDEKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQSLKKLTPRVLAEKIMEKAARAEVDAAIQVEKVSRQGREIRVDEPAPPRYPLVHDACRLAEEVMGMEVKTRCQVMYRVWVGMLFYSASMCRGYLHAKSLGEGGEFLSVVWLILSLKGAKTLADKLQMPEPETEPKPGPCFPGQGERTAAVATADEDGEDLSFLLLPRRS >OB09G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13527425:13527679:1 gene:OB09G26090 transcript:OB09G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCLQNRRDFCSSYHLARPKHFPLACFTFLCCRPVFFFLSVFSRSVFSLLLLLISQIIKFLTSNLKFILCFFIVIFYLALVCR >OB09G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13530688:13530981:1 gene:OB09G26100 transcript:OB09G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSEPWKLMRPVVETMARQLRSSASGEVCALSVDRFNTLGRLLRVEALPTFVLVKKRRAVGRVVGVNREELQASVNKHLAPASSAQSIVDIAAAT >OB09G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13535784:13539687:1 gene:OB09G26110 transcript:OB09G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALVSMGFGKFQAFVLAYAGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYSWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIMLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPGFGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAVQVLETMARLNRVALPSGHLMSGHRMELHEITDSSETSQLLSAKKTNIAAHASKSEIGGRNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGAVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSTMLYISCLCIAPLMVPQTESLTTIFLFGARVCISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >OB09G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13540519:13544476:1 gene:OB09G26120 transcript:OB09G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRVERDRMQRFICHRDSSNLAGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLAKNHLSGQLTDMFSQLPKLSTLDLSFNRFSGSLPPSFQYLKNLKKLDLESNQFSGHIDVLAKLSLEDLNLKNNKFTGWIPSKVKNINSLETSGNPWSSGSAPPGMEKESSGGSNGGDDSGINGFAIGAMVIAVLLAALILLSVLKRNHSSHASSHYYMDESGRRNSSAIDMKSLEHSPSTGCKTPPAVPRKSMSDNEFENKLNYSRRSTDPISLTNHSSSDLQAATGSFNSSRQIGHGTTCRVFKAKYADGRVLAVKKFDPLSFSGSSDFMDIVNGISKLRHANISELVGYCSEPGHYMLVYDYQMNGSLYEFLHLSDDYSRPLTWDTRVRIAVGTARALEYLHEVRSPPVLHKNIKSSNVLLDADLNPHISDCGLSFFYEDTSENLGPGYSAPESTRPSAYTPKSDVYSFGVIMLELLTGRKPYDSSKPRTEQSLVNYVSPQLHDIDALGTLADPALRGLYPPKALSRFADCIALCVQSDPEFRPSMSEVVQALLRCVERTVSNRRTAGYPSNSQRSDNSDW >OB09G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13544979:13549361:-1 gene:OB09G26130 transcript:OB09G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07170) TAIR;Acc:AT2G07170] MKSSAVTSKGKAAFELKHRLVQAINKIADRDTYQIGLDELEKAADTLAPDMVGPFLSCVVDTDAEQKSAVRKECVKVIGTLARSHGGLVAPHMAKMVSSIVKRLKDADSVVRDACVDTCGTLAVCARDYGDGGAALVALVRPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLASALTSIMDALKSSDWTTRKAASQALSSIAVSSGFLVASFRTSCLRSLERCKFDKVKPVRDAITQAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDTSSIYDGGSRDTSFRRVDHLSSVSAISGNSITSSKKRSPLSINKIALNNAANQQRSKLSDWHVEISVPKQNMIPLVHFEEKASGNISMLKDSKRSPYDIVDDDSKFDYDPMDDKQDCSSVSEVASRSCETKHVTSAQECIEDCDSARLNEQFPRAQKSKSIDSTVTDSTSHGVHTCCLSAMKELAHIRTQLQEMERKQANIFDMLQEFMSNAVENMSVLSMKVHNLENAVDKTVYTITQSESRYHLPGSKFVKNQSATSSPRVSSSTPRSSVDANYKPPSIAHLKQEKKWMHDQPLKGLSMSVKEGEFLKSHTHDKTRKPGVVRSESTLGRYAPSSARTRASVVKGNFPVSLTDSCEQPELQNALCASKEVDEFHGADDMESAYVEALNSGDCDYLIDLMDRTGPVLEKLSRETTNELLRVIVGQFLNKKFFDLALPWLQQVTDLIMIYKPSQLFVSLRAQKEFLALIEEAATSGSTEPAIRIAIAKLAFKLTKVCEVAPCRKVSTRVCRGNETIMATAM >OB09G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13552321:13556471:1 gene:OB09G26140 transcript:OB09G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MLADGVRELDELGLWESWSSGAGVDREVEEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESIGVRLSSAKFIAPYVSAAGLPVLIDRIKFLKGVLFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVTFPYLIESFPMLLLCSEDNHLKSLIDFLQYIGIPKARIASVLLSFPPVILSDVENDIKPRINAWEKVGIEQEYIGRMLLKYPWILSTCVIENYGQILLFFHRRKISSTVLSVAVRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRKPNEFMQVVLFFKDMGLDKKTVAKILCRSPEIFASSVDNTLKKKINFLIDLGVSTHHLPRIIKKYPELLLLDMNRTLLARINYLLDMGLSKKNVCSMIYRFSPLLGYSTELIMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGKIKPRFYVLQSRNIDCSLTDMLAKNDEHFAEEYLGIGKLLETDT >OB09G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13557539:13558881:1 gene:OB09G26150 transcript:OB09G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACRRPAAVQQFDVGGDIKQPISAVEQEPISMLDLDLDQDVDLEEGEEDDELLEQLLLLEGKLDLVDQQQEDARLQICDLHRDLRRAEENLRRLRVTPLVAGQLVEFVDEHRAVVTLSGPHCEQRCVRVLSAFDRELLKPSENVTLHGESLALVGVLPPAAADVTAASSYLVADADRPDVTYDDIGGCEAQKQELREAVELPLTHPELFDAVGVDPPRGVLLHGPPGTGKTMLAKAVAHHTSAAFFRVNGAELAWYNGPAMVRAAMVRALFRLARHRAPAIIFIDEVDAIATARSEGGDAANRLVQRVLMELLIQMDGFDDSTNVRVIMATNRADDLDPALLRPGRVDRKIEFTAPKKKQERRLVLQACVAGMNLDGDVDLDAMAARRDELSPAEIAAVCREAGMQAVRDRRGTGTSEDFDKGYRTVVDTKPGDVASEFHFYN >OB09G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13559041:13561609:-1 gene:OB09G26160 transcript:OB09G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGSTPSTLASRSDYPSSTSGGGGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPLGGPGPGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >OB09G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13563476:13566205:-1 gene:OB09G26170 transcript:OB09G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAATTASGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKSDEKYCCSETGYRLPLKCLEVHNSTKEGNNSKQRKMLDDASASGGAKHYTTYRSCVPLEDEEKLSVLGFEVMMAGMLLISGPFVYFRKRRTNIMQGASRIPTSPPRF >OB09G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13566677:13570622:1 gene:OB09G26180 transcript:OB09G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] PPPRRCLSTSATSNATPTLYAAGVTPVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLADPVPVLSQTPGRLPDPKAATAGGVEVGISCGLFHSAVIVDGGAWVWGKGDGGRLGLGDESSTFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPKQVNGPWGGKISHIATGGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNVLPVPVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNSSDWRPQLVPSLKNSNVIQVACGGYHSLALTDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIASGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDGDEDLGPHRVISVAIGASHAMCLVSRQQHEK >OB09G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13571483:13573495:1 gene:OB09G26190 transcript:OB09G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:J3N040] MMETELINKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSTAGETDSISDTVSYTDIYRIAKDVVEGPSRNLLEAVAHQIASATLLKFPQISAIRVEVRKPHVAVQGIVDYLGVEILRHRKDIGGNHQDSGSSLS >OB09G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13573851:13576554:1 gene:OB09G26200 transcript:OB09G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPANGDRAGPSSGKDDSVDTVKKSNRPQPRPFTQQAIPACKPILTPQVVIPVLVFVGLIFIPIGLACIAASNKIVELVDRYDKKCVPRNMLHNKVAYIQNSSIDKTCTRIFKVPKDMKRPIYIYYQLDKFYQNHRRYVKSLNDLQLSNRKNVNDTQYCSPEATANGRPIVPCGLIAWSLFNDTYSFTRGNETLRVNKNSISWKSERDHRFGKNVYPKNFQNGTLIGGGQLNPSKPLSEQEDLIVWTRIAALPTFRKLYGKIDMDLQAGDHVEVTMQNNYNSYSFDGKKSLVISTAGWLGGKNAFLGRAYVIVGLACFLLALLLTLLCVVFPMKEEHLSLHYLPARLVR >OB09G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13576882:13579566:1 gene:OB09G26210 transcript:OB09G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLIGGGEELPEPKATVRSILIYPIKSCRGISVPQAPIASTGFRWDRQWVVVNSKGRACTQRVEPKLALVEVEMPPEAFSEEWQPTPDSYLVVRAPGMDPLKIPLSAERATVDDVSVWEWSGSAYDEGSEAAEWFSSYFGSPSRLVRFKEASEIRPTNPDFAKGYKIMFTDCFPFLMASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINKLTFQGVKLCNRCKVPTVNQETGILGSEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSARGKGKIIKAGDPVYVLEEFPSSDEAPA >OB09G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13580729:13583613:1 gene:OB09G26220 transcript:OB09G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGGSISKPRAMDKGAAGEALPEPAATVKSIVVYPVKSCRGISVPQAAITSAGFRWDRQWLVTSSSGRAFTQRVEPKLALVEVEMPPAAFTGDWQPTPDSHMVIRAPGLDPLKIPLGAKRATVDDVSVWEWSGSAFDEGDEAAEWFSSYFGKPSRLVRFNEALEIRQTNPDYAQGYKVLFADAFPFLLASQGSVDALNSVLKEPVPMNRFRPNIIVDGCHPYSEDLWKTIKIDKLTFLGVKLCDRCKVPTINQDNGIPGVEPTEALQALRSDEVLRPSHKNKRRVYFGQNLVCKESLSAKDEGRIIKVGDPIYVLETFPSSDQVPA >OB09G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13582551:13585662:-1 gene:OB09G26230 transcript:OB09G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERNSYHLRPLHLLSIVFLGFASFSQCGARVLTPDELLLDRYHYYQSSDPYYSTPVLPPYGDAFSLPNPPPSPPPPPPMSNCLLPPTAPSPPSIIPAPTFTYSSPPPPPLYYPPPYLSPYPPDISPTPPPTVTPLPPIVYPSPPEITPSPPEIAPYPSPPEVTPSPPEITPYPSPPEVVPSPPEITPYPSPPEVVPTPPEITPYPSPPTVIPLPPIIYPSPPEVTPNPPEITPYPSPPEVTPSPPEITPYPSPPEVTPSPPEISPYPSPPEITPSPSPPEISPSPPSYEPSPPSIVPSPPEYAPEPPTYVPSPPAYVVPGPPEYAPEPPSSYVPSPPGYAPEPPVYAPYPPGITPSPPEYGPGPPGGGGDPPPPGSPPXXXXXXXXXXXXXXXXXGYLPPMVFPPPFASRTPPRRRAVWCVAKPSVPGPIIQQAMDYACGSGADCDSIQPSGPCFRPNSMIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPSYGSCHYSMM >OB09G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13586153:13590247:-1 gene:OB09G26240 transcript:OB09G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEVPSIRPPVMPNPAGMLYPPRPAYGVAPPMYNPALNPLMARPPIWPAPPPQPWFTQQTAVSLPQMAAGLAPQQPLFPIQNMAAPMTSAPANLLQTSFPMAPVGVPSPVAQVSQPLFPVSTAGNGAVSSPYVASVAPGSIPATSPSVAPAGIGYASNNHGGQATVPSPASNNKASATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQMNSIDAAIDRRISESRLAGRMAL >OB09G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13590764:13593253:1 gene:OB09G26250 transcript:OB09G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLVMMQLMWSAEAQVAVGAGPPTGCPDRCGNVSVPFPFGIRDGCHLEGFRLTCDATRSPPRLMLGNGTLQVVEISLANSTVRAVDVAGAANMTFGTIDGNGTWAGVGYDSDGPYVVSETRNQLLVTGCNVLVTLQGSSSNDIVGCSSFCSNNDRWTGTVLSIPGDKCSGLGCCKTPIPIGRPSYHVQLKNLDFSREQDGKVPNAVRIAELEWFDRFADKLLNSSESSLQLPVPVVLDWAVASTAVITPGVPQFDNSSCPTAGRGACLSSNSFCRNVTGNYRTGYVCRCEEGYDGNPYVAGGCRDIDECKLPGKCYGQCTNTPGSFVCRCPRGARGNASIQNDCVPTLGLTIGIGIGSGAGLFLLALGVVFLTRKIKHRRARLLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLVELEKATNNFDESRELGGGGHGVVYKGILSDLHVVAIKKSKVAIQREINEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGSISLVWKDRLRIATETARALAYLHSAVSFPIIHRDVKSHNILLDGSLTTKVSDFGASRCIPVEQTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKPYSYRSFEDDSSLVAHFSALLSQDNLGDILDPQVMEEGGQEVKEVAKLAVVCVKLRAEERPIMRQVEMTLESTLSSFSPQNVLHSESRNISNENQVLRSPLAIDGIGMESTRQYSLEEEYLLSSRYPR >OB09G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13594838:13597614:1 gene:OB09G26260 transcript:OB09G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGTLQVVGISLADATVRAMDLAGAANMTLGTIDGNGTWAGVGYDSDGPYVVSETRNQLLVTGCNVLVTLLGSSSNGIVGCSSFCSNNDRWTGTVLSIPGDKCSGLGCCKTPIPIGRPSYHVQLKNLDSSREQDSKVPNAVRIAELGWFDGFADELLSPASTNTSLRRPVPVVLDWAVASTAVITPGVPQFDNSSCPTAGRGACLSSNSFCRNVTGNYRTGYVCRCEEGYDGNPYVAGGCRDIDECKLPGKCYGQCTNTPGSFVCRCPRGARGNASIPNDCVKSNLGLSVGIGVGSGAGLLVMALGATFLTRKIKSRRARILRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETKVPLLAYEFISNGTLYHHLHVDGPISLPWEDRLRIATETAKALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLIEKSDVFSFGVVLIELLTRKEPYSYKSPENDGLVAHFTTLLTHGNLDDILDPQVKEEGGKEVEEVAVLAMACVNLKSEERPTMRQVEMTLESVRSSCLEQEVLHSEGTKKSNDNHVSWRYPVISEGTSMQSTRQYSLEEEYLLSSRQPR >OB09G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13599632:13603003:1 gene:OB09G26270 transcript:OB09G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLLVLRLICEMFTSVESTLVPGGGGSGSAAFSCSGTSCCETPIAVGRPSYLVKLSYLDPNQELNGRLPISVRIAERGWFAGVAAEVLNRTEKEMAQMPAPVVLEWALSSIREVVLRGASGGAPANDGNWSCPPANKSACLSGNSKCLNVTGNYRSGYVCRCEEGYDGNPYVAGGCQDIDECERAEEHGCFGECTNTPGAFMCRCPRGARGNARIPNGCVKSNLGINIGIGIGSGAGLLVVAFAAGFLTRKIKKRRARMLRQKFFEQNRGHLLQQLVSQNADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVVIQREIDEFINEVAILSQINHRNVVKLFGCCLETKVPLLVYEFISNGTLYHHLHLEGPTSLPWTDRLRIATETAIALAYLHSAVSLPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVILIELLTRKKPYSYRSPKDDGLVAHFTTLLAEGNLDGILDPQVMEEGGEEVREVALLAVTCAKLKAEERPTMRQVEMTLESIRSSSLQQEVPHSVGENKSKKNGVSWSYPTSDGTHMESTRQYSLEEEYLLSSRHPR >OB09G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13603314:13606661:1 gene:OB09G26280 transcript:OB09G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDFSEFVTPTSLNLVPGQSSSSSSSIVYLAVAVLKRAHGQDRLPVDNHRPRLLFRTKSGPLIRQEGDVSEGTMSGFDFDKLPEDILCHIHSLVPLQEAARMACVSHRFLRSWRCFPNLTFNLHTLSLDVFEGETYKNKMVDRIDKIIQNHSGLGVKTLKLDVSTCFQLITVDQINNWLHAIIKPGMLEIALMFPLRSKRVFDLSCSLLSCAASSLQSISFFYVGFHPTLSSGCFSSLRSVCFRYVDITTEELGCLLSSTFSLQKLEINSCDQLTFLNIPSHLQHLTILNVSWCTNLQRIEIYAPKLTTFDFRGPPMKIITGDSSHLKDVTLHGALFSGMIQYARTELHSFACNLQTLTLASVKEDFNTPMLPEKFLHLRNLHIYFESIGFQSYDYFSLASFIEACPALENFYVWAGEYDHVWKDPALEVSDADSLQIRRIPGFHHSNLKIASVNRFFPSKSLIELIYLIIENASSLQWLKLDTAYRFDFSGMCKRMCKEDVSQALKAVEVAKRYIGCKVPSTVEFNILEPCKRCHIPKLYEL >OB09G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13609240:13610547:1 gene:OB09G26290 transcript:OB09G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIPNRGRFTDDPEDDGRPAGRGGDHAGEVRVPIHGADGIRYIEVTRFADIMSVLNSPDLYANVDRICVIGFQFVDAPHLLETILKVGRQGEGKTWLVGNLDRLEEISVFRGAFLRISSSLERLIKHRKNHGVLEIDWDSIDAVEESVEQLPQLSDQQPQNLRDAVSELLGRLKGTLPVQPDGANHPVAAPAELIQASAELMSNLERLAMDMSALGLMKINYPPPVGSTAFVPVSAEVPEPPYVRINGFNEILLMMDSHLHPANVCVASFKFSDASKMLNKLNKALEKGWWVGNMDSLQKTSALRVIFSRLAESLSYVQMAMEDNYLDWFEVKRIKDMGALIAPLCDGQPMMVRDASISFLGKTDDVLAEFPPMPDDLADCTPEYPAELLKVLSDKLQSLLSESKTVQGLKVEYADVDPPPARSPSPLPALLH >OB09G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13610640:13610792:-1 gene:OB09G26300 transcript:OB09G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAVLCWKIENIDSSYVKATATHTICTAKSKTAGVLEEIAKLFQSFQVD >OB09G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13613242:13616227:1 gene:OB09G26310 transcript:OB09G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSIPRRGLFAAALVVMAMQHAVAGAGVVRPECPATCGDVAVPFPFGIGTGCHHSAGFNLTCDRSSDPPRLLLGDAGAFQVLNVSIANATVRAARVGGINITYGGNTSSADEGRGAWRGLGNGWPFTLSEDRNELVIVWGCDVVGLLTDGANSSSNVSISGCASFCPGTGAGGEAISGLGTLSLTEDRRCTGVGCCQMPISIGRDSYNVRLRRLNPSQPQPPPTQDSTAVLICEQGWLAGASKSTRASPLPVSFDGTAVPVLLGWTIASTPVGADGAAPESSTCPADAARSACKSSHSSCRNVSMSARSGYVCGCDAGYQGNPYLAGGCQDVNECEKAEEHGCFGECINTPGSFRCRCPAGSQGNPTQRLGCFVPPLPPARRSTGLSIGIGVSSAAGLILLVIMATLITRKHKHRRAKKLRQKYFKQNRGQLLEQLVAQRADIAERMIIPLVELEKATNGFDRARELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYSHLHVNGPTSLSWSNRLRIATETAKAIAYLHSSVSIPIIHRDIKSTNILLDDTLTSKVSDFGASRCIPIDQTGVTTKVQGTLGYMDPAYYYTQRLTEKSDVYSFGVILVELLTRKKPFSHLTPDGEGLVAHFVTSFTEGKLVHILDLQVMEEADIKVVEEVVALAVTCVKLRGEDRPTMRQVEMALEGIQASKESASGHLSSEKFGDSNSVATGFLSAQGGRSMQEGTRQYSLEEEFLLSSRYPR >OB09G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13616877:13618812:-1 gene:OB09G26320 transcript:OB09G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAFFSKPCLLTLILTLFLLACSPATARRIAGDGDTEADTDGEQLAAMEARFQRWKAEYNRTYATAEEERRRLRVYARNVRYIEATNAAAGLSYELGETAYTDLTNDEFVAMYTAPPLPAADDDDDTAMTIITTRAGPVAVDGGQPPQVYFNVSDGAPASVDWRASGAVTEVKDQGQCGSCWAFSTVAVVEGIQKIRKGELHYRSGVYDGPCGTRLNHGVTVVGYGQEATADGAGAGDKYWIIKNSWGESWGDQGYIKMKKDVAGKPEGLCGIAIRPSFPIM >OB09G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13620277:13626187:-1 gene:OB09G26330 transcript:OB09G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:J3N054] MPAVCKILLLICLHSEPMEMGSRNEHSGKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILMLRLAFQSLGVVFGDLGTSPLYVFCNIFPHDTIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDEELTTYSRRRYEEKSLAAKIQRWLEGNQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEETRMRNDVVVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGVLGAVNICKYDHSVLKAFNPIYVYRYFKRGKDSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTMVVFPCLLLQYTGQAAFIASNKSQVNHAFYYSLPACILWPAFVVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVIFTVLSLLVEIPYFSAVVRKIDQGGWVPLVFAAAFLIIMYVWHYGTLKRYEFEMHSKVSIAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPLDERFLVKRIGPKNFHMFRCVARYGYKDIHRKDDDFEKMLFDSLLLFVRLESMMEEYSDSEEYSTRELSNGVTTTSSIVNNNNIEQMNYTSSHDSIVPAQSPSNNTGSSSQVAPAPASGQAAFQSVGDEISFLNACRDAGVVHILGNTVIRARRDSGFIKKLAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYVTCFNDTRYDVRQNKQVPDPSNSWHSFIACTHGDNVPAMEDADDSRAAEAEKVILRDRNPAGSVESLAWRPRWLGVTKTMEQRCQSGDGNGEFRGYL >OB09G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13629805:13635587:1 gene:OB09G26340 transcript:OB09G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N055] MARKHGWQLPAHTLQIVAIVVFFLLVVAFYAFFAPFLGKQVLEYVAIGVYTPVALSVFILYIRCTTINPADPGIMSKFEDGFIDVPANSDGLQGIKLPQKGNNTIGTQSPTSTCRSSLDGHSNQRGSSMREPHVNLSSQLPRKRSSCYLLGGLLCALFVKEDCRKPDESEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFISLMAISLFWLAIEFGVGIAVIVLCFVDKNALRNIQDKLGNGVTRAPFAVIVGIFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAGPEDDEEAHITYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLEPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARRGHDADLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYEINTQTASSLSSPVHIHKLASHSQFHAPPPHQPPPPERPAPGIVRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRPSAGVDLPARAPRFLANPTGESSSHGRNLAPANASSSAISSGQPSERLTYTGQSIFFGGPILSTSGVNAQSEAGTRARPDGSRDHPPSAYQRDTRDERARMGSLPVFAPGTFQKNPPFDK >OB09G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13636767:13640685:1 gene:OB09G26350 transcript:OB09G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT5G18820) TAIR;Acc:AT5G18820] MSLTTVSFTAAFSHSSRLLPKWSCTLPSPARLRCRFAVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNAIEHAGAMLLQEIASKTNSAVGDGTTTAIILAREMINLGLLAVATGANPVALRKGIDKAVHELIEILKTKGIPVSTKAEIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDSTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGVAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGLTYIQLSKYIPSIMDLVVDSEEKIGVDIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTDKFEDLVEAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >OB09G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13642821:13646927:1 gene:OB09G26360 transcript:OB09G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELVKRSFRDDAAMAGAIFISNTATRELCFRTNIFGLPIEYQRFVENIREGMPLFLFDHAERKLYGVFEAASDGGLNIDRSAFSSIRCSYPAQVCFKIVWKCRPLTEDEFSRAIQGNYYIPYKFYFDLSHQQVIQLYQLFDRKRVEHPIYNRSKSAILEKEHFREETQEKMRLSPNIPHSSADRAGFLMAASTPRFSPVEASYLASTSMHQAGPQLNVLMPLRTNPYGLQIEPVHSRLQDQEELPYNNNMFYPDASAVDATAIQVGPPYSQTTKYHQDQFTSSQLYPLPRMYPDNCLPSGCVARDPTEELMISANHSYRPSLGYACSCLPPTGYKTPDRIRGDINYVGSTVTKHGPSYPQFPPPNAQGNATDWRDYYDCDVHCKQCQFGPYEDIHEPEHHHFSKAKALTPPVLNQQDIPVYPVVPESTFDQRKESFTEEDSQNTHQKHSFNLTDRVSPGLGNSIGAYMPDHLNINHDVRSEKDTIAACQHAQSSVFSRLSRIPPPLPQEIPGPSLNQLVHSLSQRAEQWCNQDKIINNDVCEQSISEEVMDIPCPLSELKGPSLTEEESTVLPFMNFKRRSETRSSSANLGKEISGKVKRRKLVRPSFGEDNNADAGSSGEELQVNGLEDKKHSHAEHDETKFSLDLNKPASADDAAKEDDTCAFYPSVVTKIHTDKPCEGNINKLKVSNATEAINKQDQSFDSATHTENISLDLNVGDLNTMDQSKLRAILGSSLLQAFDKIRSGELNNSEEAVPKICAKGTNITVSINSEGDAKTTSTCS >OB09G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13648825:13652905:1 gene:OB09G26370 transcript:OB09G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRVSCPDVVFMGIPLPVDNQRSAAHAHDVLDEMPFPGFPRCSKIGCDVFKKRLRMEYERCVPFKSSSTPAGCQCRSLEGFPELLCEAGSRTQPPFFHRPAKVTWQLEWDRNPASPSSSYHVRVAAGDEQTAIRAFGGWKMKILIPCSSQHIWRLEEPRRAAVMDTVQWICSTGTNIHEAIQLSEELSRLRAALPKARLLINRGEWGRFKNKELGVLSQLKDTTYDAEDLLREFDDQVLRQKIEDAGRSWAGQLFSSSLNLAKSLICGSKKRIMEVQDKLDRAVADLEREVNPLRLHFENVQHMPETSSVIGASQVFGRDEERDLVIEKLGVMIGRDDERDLVIEKLGVPLTRYGAARPKGKRVTVVTGAKSAPALKRLKGESSRAGPGLSEATKCIGNVSVLPIFGIGGVGKTTLAQYIYNDERVQAHFDKRIWVCVSDLFDKKRITEEIFRSITKKDSSQHNFNDI >OB09G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13652927:13656841:1 gene:OB09G26380 transcript:OB09G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKFLLVLDDIWPIANRKWEELNALLRHGLEGSVILVTTRFKNVSDLVTSNNCKPIKLEGLDEEIFWDFFVHCAFGQECPESYPQLQGIGKNIASRLCRSPLAAKTLGRLLNMELTVRHWETIEKSELWELPHQESEILPALQLSYLYLPQELKRCFAFCSMFLKDYSFEDVIIGIWVSEGFVALAPGEKMRPEDMGIRYLNDLRSRFLFQTDPKLPDQNRYVMHDLIHDMAQSVSADECLLLQDLSSQNNGGMLHTVRHMSVEVADESLEREIRGVRDLNKLHSLRFGAKLKVEITWFSKLSNILFLSLKGCRLVKLPESIGDLHSLRYLDISHSRVQELPEKFWQLYNLQVVDATRSSLKAISNDVTKLINLPHLALPKGCSPKLTDICGLGNLSHLRKLEHFTVGKGNGRKIGELKGMNQLSGKLTIRNIQNVESKEEAAAARLVDKKHLQELVLLWRWRPVSRAVTSENGVVEGLCPPPRIQRLKIANFEGDSFSPSWFKPESLPTLRSLELDGCHGLTSLSIPSFRSLKQLKLTDLGIETLSTFAHSIGGDRTMQHESSSISSSNIGIAFLRGLTSIRLSHCQKLRNVDQLLSPEYVPSIKSIWITHCPSLVSIPTESFGGFDHLQDLKIMWCNNLACERAIVLPPSLRRLHMGRSGDLDKSFPACLQNRNLTSLIALRLESCSNMESIPIGTNLQLKYLHLGFCSELSSIRGSEALSSMQYAYVLNCSKLLEVEQPFTRDLLTKEEKDEYNRFSCPANTRPRRRDIVEELIKRDFYGV >OB09G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13660599:13661760:-1 gene:OB09G26390 transcript:OB09G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIVVLLVVLVATMVMAQDIPFSDQNLASEESMWSLYERWRSAYTTSLDLTDKNRKFKTFIENARYINDFNKKKDMTYTLGLNKFVDMTIDEFTTMYTGAKVDTTAATVLGPLAPEAEKELLDDVPASWDWRQHGAVASVRNQSPKSMSCWAFSAVGAIEGANAIATSELLTLSEQQVLDCSGAGNCSGGWPDKGVHTGPCETNLNHAVLAVGYGTTAPGRTLTTGSSRTHGV >OB09G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13667884:13668123:1 gene:OB09G26400 transcript:OB09G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVFGRALALILPDLRSAVSSASSLLIECIVIGSYRPKLPRLSEKPTKWNAIEMPSEKRYTNGTCKTEVRLVQFLPVL >OB09G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13672096:13674813:-1 gene:OB09G26410 transcript:OB09G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKALVGLLVVLVATMAMAQDVPFSDKDLESEDSMWSLYERWRSAYTVSLDLADKGKKFETFKKNARYINDFNKKENTTYELGLNKFADMTMEEFTSMYTGFKVDAATIAAAEGGGGFETFKKNARYINDFNKKENTTYELGLNKFADMTMEEFTSMYTGFKVDAATIAAAEAAAALAPEPEEELLDVVPASWDWRQHGAVTAVRNQRPCGCCWAFTTVGAVEGAHAIATGQLLTLSEQQVLDCSGAGDCIGGYPDRAIDFVAKQGITQAVNYPAYLGIQQTCRTNPNNPVVKVDGVGTVPYSSEAALKNRVSKQPVSVGVSASESYVLYKGGVYAGPCNTSLNHAVLAVGYGTTAEGVDYWIVKNSWGASWGEGGYMRMKRGVGKEGLCGIAMFGSYPFKRGVSVSTHTHSSSFQEEADTSTSTSRDDDATTVASI >OB09G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13682219:13682479:1 gene:OB09G26420 transcript:OB09G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIFGNNLQAYIMTKCWSEMDPRRLAENVWQTVLLRRSHEAFSFDCVQALPLDHHSEVEAIGPDIVKMLHNDILGESVAEFLSR >OB09G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13682741:13685251:-1 gene:OB09G26430 transcript:OB09G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVDGPKPASSVQCSPQRAERAASGLQPLARLLDIALPASRGTRVLLLRRLPLSSSSSSAAAAPTSSSYVAASAPASSSFVGVSSHPATEHHPSLPPNPAFSSAAGMVFGMVVHVGLGEHLDAFRGLAAADFCNLVIASACSGQIGK >OB09G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13685985:13686188:1 gene:OB09G26440 transcript:OB09G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRWYFILVTLDVPKTISNFHYMNDGFIHCLFLRKYHLASETLIPHRSVKRDQTVEQSERTARAFITLI >OB09G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13685983:13688041:-1 gene:OB09G26450 transcript:OB09G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLAIGLLAVVVAAMAVAAIHDVDMNDVPFTDEDLESEESMWSLYQRWRNMYASSPFLDATENERRFEAFKENAKYVNEFNKKEGMTYELGLNKFADLTLEEFLAKYTGSKVDAATLASFPEPVEEEEPVGVPTAWDWRQRGAVTPVKDQGSCGSCWAFSSVGAVESTYAIATKKKPVTLSEQQVLDCSGGGDCNGGYVSTVLSSFAVKKGIALSQSYPRYSAAKMACRTTPRTPVVKMDGAASVPSSNEAALKLAVYTRPVSVLIEADKNFQLYKAGVYSGPCGTKVNHAVLAVGYGVAPNNIKYWIVKNSWNTNWGESGYIRMKREITDKRGLCGIAMYGMYPTKKSAAISMVVDSY >OB09G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13695380:13696978:-1 gene:OB09G26460 transcript:OB09G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVAVLVIAVAAMAVADDVPFTDKDLESEESIWKLYERWGHVHGLTSRDLAEKGSRFEAFKVNAKHVNEFNKKEGMTYELGLNKFADMTLEEFLAKYAGAKVDAVILQASVRELEEEVIGDVPTTWDWRQHGAVTAVKDQGQCGSCWAFSAVGAVESANAIATGNLLKLSEQQVLDCSGDGDCNGGWPDMVLKGYAIEQGIALDSSGNPPYYPPYVAAKMSCRTVAGKPVVKMDGTTRVASGETALKQSVSLQPVSVLIEADTNFQLYKSGVYSGPCGTKVNHAVLAVGYGVTSNNINYWIVKNSWNTTWGESGYIRMKRDVGGNKGLCGIAMYGIYPTKIKQNPFSSVAASVADAAFY >OB09G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13703388:13706015:-1 gene:OB09G26470 transcript:OB09G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLVGLLAVAMVVVAAVQADDDVPFTDEDLESEESMWKLYERWYGVYHGSSSSDGLADMVSRFEVFKENARYVNEANKKKGMTYKLGLNKFSDMTMEEFTAKYTGGRPGPVAGAKTWTTCTASERPAAANDWCVPSSWDWREHGVVTHVKDQRNCGSCWAFGAVGAVESINAIRTGKLLNLSEQQVLDCSGGGNCSGGYPYTALQYARDTGITTDANYPYTARKQPCTFDPRKMRPIVKISGIYRVPYGDEETLKQAVYFYGPVAGALIEASKDFVHYREGVFRGSCGTSINHEVLVVGYGKIPHGPRYWIVKNSWNTTWGEKGYIRMVRDIDFEEGICGIATYALFPAGIFTSTATAAAY >OB09G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13714140:13717015:-1 gene:OB09G26480 transcript:OB09G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLVGVLAVAMVVVAAVQADDVPFTDKDLESEESMWKLYERWHNVYHGSSSDGLAEKVSRFEVFKKNARYVSEANKKKGMTYKLGLNKFSDMTMEEFTAKYTGGRPGPVIGTKNRTSSGSQATKRRAAANNCYVPSSWDWREYGVVAPVKDQGSCGSCWAFGAVGAVESKNAIWTGNLLSLSEQQVLDCSGGGDCNWGYPYTALQYAMNTGITIDANYPYKTQQQPCTFDPNNPPIVKISGISFVNSGDEDELKQAVYNYGPVVVIIEASNDFHSYSTGVFQGYCGTSTNHVVLVVGYGKIPDGPRYWIVKNSWGEGWGEKGYIRMIRDIDSDKGICGITTYPLYPDGIAVSSSAPAY >OB09G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13722998:13724257:-1 gene:OB09G26490 transcript:OB09G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKKVLLLAAVVVGMAIAAAQGSPIAFTEKDLASEASMWKLYEKWRGVHTVSADLAEKKAKFEVFKKNARYVHDFNSQKGKPYKLGLNSPAAAKKPAARIAMPRVSDADLPAAYDWRDYGAVAAIKDQGQCGSCWDFAGTAAVESINAIVTGNLLTLSEQQVLDCSGAGDCESGGTTPGVFEYAMENGITLQCNYPPYEAMDDQCRLDPSMTPLVKIDGYAAVPANNEKALKRQVYVQPVTVYIEASYDFQLYTEGVFTGDDCGTYLDHAVVVVGYGVTQSGVRYWIVRNSWGEGWGEGGYIRMIRDVDAKEGVCGIAMYPYYPIKNCPCAAVTGAGKRAAKDEL >OB09G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13730000:13734824:1 gene:OB09G26500 transcript:OB09G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNPNCCPSHPRAAAQTVDYSREKVRKSKKMRGMEELSMKYGVEVAGEEQLLVSPAVSVAVRKLGSAIRDDISVRWKLTDALEKMKTMLETIEIDLAEAERLSTREAAVRQLLKALKDLAYSPSDTLDEYCANKKPAPAPGTLTWMVLCLRTVSKITMARKINMLLKKLIDISESFRHFQFINANLSMETSSFQPVNIVGRGGAERYIVKLLSASATQQGPIILPIVGKGGVGKTTLAQMIFNNPRFSNYSRAWVCVSEEFDLRKIGLSLLSLISPVTANESLLSIDTFELMIHYLRAIPRGNIFIVLDDIWVDDHFQLNLLKRLISSVGEEGSEVIVIITTRNEVTAGILCTLQPYWLSPLTDYMCWQIIQQSSGFQYIENQQELEHVGQMIAIKCGGLPLAAKVFGQMLRSEDASGWSALMNRDAWNIKNDEGLDDLKLKFLSMPLHLRSCFAYLAIFPSGHHIVKHELIHQWIAAGLVEQPVGSTLSNTDLAEHYINELLRILFLQNPTSALTSEKNEKNVTFFNTHVLLHDLAISAMGSDFINRDGRGGASSRENNCRYALLTNFEGTPKLSSILPSRLRVLRFQGCNGIELSEDAFSFAKYLLVLDLSECSVKKLPASIGQLKCLRYLNAPRIQDAVFPAVITRLSRLIYLGLHGTSKISKLRESIDRLEDLVHVDLSGCSGLETLPESFGNIKCLVHLDLSGCSKLKTLPESFKSLKNLVHLDLSECSCLQGVIDALHDLSRLRYLNLSHPCGYFVEDRFHLSGLNEVLPKLEELQYLNLSMCLNPLCFTLEPEDGLAYVAKCITGLGKLEHLDLSHNKFLSELPSESLAGLTKMHTLVLSGCFRLKSLPKCIANLSELSKIDLSGCPHLESLPNSIEELRFALLQSHENNHSHEEEIKEVKEPHCSSQSTSRG >OB09G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13735677:13736589:-1 gene:OB09G26510 transcript:OB09G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLSALKVVLLLDGEALAWWLCAEGAPTKSVCDPIKIIGTKSTIFWRQMVRRLGFVQE >OB09G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13736265:13736594:1 gene:OB09G26520 transcript:OB09G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRTSYMSLFLLRTIVSIQKPLRVNIRMLSCSGILLYPQVKSCPSNAKAYPPLLEHLQHIATTLAPRHQAGARLSTHSTTSTQLGWKGRGRERRVDPPPCTSSPMSPS >OB09G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13738721:13742108:-1 gene:OB09G26530 transcript:OB09G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASESTVKSLLGKLGGLLAQEYSLIRGVEGDLHFINDELTSMQAFLRQLGETGGGRVDDHRVRDWMKQIRDITYDIEDCVDDSANRIHGLSRDVCCYFLSNSVYEVLTWWPRRDVAAKIASLKMRAQQIGERRQRYGVENPKTPDPADGSDKPPASSRKAGFDAASNQDPSLELVVTKEPVGLNKYMDDALKNWIADKDKAGVLAIVGYGGVGKTSLANSLYREFGDQFDQRAMITVSQTSDVDAILKNIKDQVTSHNNQEQQGGHSDNRLLKGLRGVMASQTHAQCMGEATWTKIRNSLPEKGSKVIVTTRFQAVATTCARDPNSDHSREVKPLDLNESKQLFTQAFDESKGTKEVENILENVWKMCGGLPLAIVTVAGLVACNPDKLPLNICSSLFPESTRDAKKEVNQEEVARIISHCYNDMPAEIKTCSLYLSIFPKGHRIGRKRLTRRWIAEGFVFEKDGMSVEEVAETYFNHLIRRKIVRAVKHSSSGKVKHCVVHDMILEHIVAKAAEENFITVVGGHWFMQPASSKVRRLSLQGSDAKRSKDTEKMNLTHVRSLTMFDNLNQLPSHSFRFGIVQVLDLEGCKGFRKQHTKEICEMVLLKYLSLRGTDAKKLHNTIKNLLKLETLDVRETKIVELPKAICNLERLVNIFGGNKITRQALKLPDELMKRTKMRAMRVLSGVEIAGEAGVLHHLTELRKLAIYKLNIKSDMALKDLLSSIWYLGGYSLHTLLIEDESPELLQLMSSLPSPPKFLTALELSAKIVKLPDWIAQLDVLNKLTLSVTALRTDNLEDLSKLKALFSLTFSLAPSKQDPETVTMIQGNRAYPKADIIIPAGGFEKLKLLRFSAPFLPLLTFSRKAMEHLQRLELRFSMLEGLHGVEFLESLKEVHLSLRMKKQDHPEINEKAAEHIKKWIEDDITGSNGSSKAKIIFDQYYEGL >OB09G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13742729:13748040:-1 gene:OB09G26540 transcript:OB09G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCTFLLMFWTIFSWTVRVKGDEVGDPRSSNYWDPQSCDTLDQVKVALEKVGLESSNLIIGVDFTKSNEWTGKTCFNGRSLHHISEETLNPYEQAISIIGNTFSAFDEDNMIPCFGFGDTSTHDRNVFSFYSDRRHCCNGVPEVLRRYREITPHVLLSAPIIETAMRITQDSGYQYHILLIIADGQVPRCSCTNSASNRDENYLEERTLQALVRARDMPEAEKEERFALEALKKIPSQYAAIISKRISRLPPQALPRLPIPPPPPRPSRRPPFDSPAAALDFADPAAAPDEDPDVPEEAYTPEAEAEAEAEEEDEEQPPVESSAEVAEDVEEGGEDVEPPEEAKVYVGNLPYDMDSERLAQLFDQAGVVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVNGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRESGRSRGFGFVTMATQEELDDAIAALDGQSLDGRALRVNVAEERPPRRGF >OB09G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13744688:13745324:1 gene:OB09G26550 transcript:OB09G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPNPRLRSVSLLLRPRKPRRRRPGRRAGRGARCPCRRVGSRRRPWPPRAARRPRPPPPRPPRLPRRTRRGAAPRPPPQPQPQPRPPACRPPPERRGPRRGRRQGRQSRVRRRGNRTGGGGRGEEEEEEWGGGGGLGEEGGGAEGHGDGGARRSHGHGHG >OB09G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13750929:13754553:-1 gene:OB09G26560 transcript:OB09G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDAALSDVDEDPLPPPPSTSSSSLKAPPQPPSQSQPQSHARDLAAELEEERRLRRKAEESLADSETRLSRLKAFAQDVLRKRDDLTNEAAAASRAHAALQAEASTASSMLSSGFERISAKASPSAAPAPLPTSHKYSSGLPALAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMDHRNYQIAIEVSELEASVAARSAECESLSSSLSQKEAEISDLRDKLAFLEGKLDAQRPVLAEQIGCASKVYDEIREIVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKMAYDLAATALHKVGAWVDNRENKVTSLEARVDELMREKEHIGVLLRSALQSNTSEALKVAEDGLREAGIEVGLKERREHRPGSMEKDEVYTLAGALENSMKESQVKIVELQHLVEALRAESGLLRTRLEGQEKEIYQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGKAIEQEFETQLSSLRKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSTRLRERLEEINKQLEESDNRTDSSNRNGHRYMCWPWQWLGLNYVRLPPTETEQSSNEMELSEPLI >OB09G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13755517:13777855:1 gene:OB09G26570 transcript:OB09G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3012 [Source:Projected from Arabidopsis thaliana (AT5G40480) TAIR;Acc:AT5G40480] MASPSAAAAVAAAVLLAAAAAAASPAGGPHMADLSVLLPPRMTKPVEHRLIGGDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYTGRKETSVYATDVISGITIHCKVFVDKISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRLHDTNNHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGIEIGQEVVNSQLFEPQFEHVVDTITLTVAEAMSLEPPSPVLVTVGAMVKFKLKIFRQKIPQVVNLPSQHHHWHVTNSSVAQVDSSLGVLHALSLGFTDIVVEDTRVSGHTQVSSLHVVIPQALFLYLVPVMDNSAHFHEITSIPSSEVWYVFPGQKYMVLSKAFAEGFDFKEMLITEENDLKLASSTMEFWNLSQVPDSSARSYEVQTSSLLTPVSQGKGYLDASLTYRTETSGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRIIHLPWVPGPYQEVQLKAVGGCGKMLEDYKLSSSDETVASVSDSLIVRAKKPGRAVIKVVSVFDALNFDEVTVEVSTPSALSILPNFPVEVPVGTELQAAVTLKTSNGHTFVRCDCLNAFIRWSLISENEPFEVVGTDVALSIESLKHRSGSWAQYGNPCAWVSLNASSAGQATVVASFSFDSEMFSGPIFLKATSKISAYYPLVVLQAGNGNRFGGYWVDLSRIQSGIQNTPKELYLVPGSTMDVFLSGGPEQWDQLVDFVETVDIIGESKKYIDSSTAVQKLSSRLYRISCQSKRNFKLLFSRGNMIGKDHPVPAVAQSELAIVCDFPFAITLIANENESRLDILEAASRAERRHNRLQASPVVISNGRNMRLAAAGVHENGRFFANSSSLCLSWEVTECEGLAYLDEYKDDNMLDDSSWERFLVLQNSTGMCTVRATVIGFSSKVDGRTREGEHMFIQSARDALTDAIQLQIVSSLRVTPHYVLMVFHPEAQETLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGTRGLGTATVTIQDVGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSIEDFHISAGTQDGQIFRDSQYKYMGIEVHLGDETLELINSDELLDGPKFSVKAAKIGTSSLYVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVKTVKVQNSTGKLSAKNVGNSTVRAVALSNEGTFICEAFGRVEVDIPVAMILSTQSDRICVGCSMPIYPSLPKGDLFSFYETCQSYTWIIEDDKVTMFQSAISWQYGLDQGLYSEGKNYPWFSNGSTNAFINHVIGRSAGKTKVSVSVTCDFLMAGSSVSIVYNASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPISVDPDSDDLEITIGYSLLRNSGKSDPVLQNANTIDGSKIRTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQAQIATAGSSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGNHERFVLQARSHGTALVRLHISHIPKKADFIMVSVGAQMYPRDVILRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLYTTVTVLKVNQIIVDAPAETLTNAAGPPGGYKFSVKLRSDSTGHSADSFFNHINVPFDCKVEPSFVGFVEPWSDHAAKKSYCLFHPYSPAQLLPVKLNPKEGFLHVVVHANLKEDPKVIGSAHAPFVKGFYIKEPRKLNLTPSCNHSTITIGGNTDVELFWSAKDLLSASRVDTNGRGVPSQISYKIDALKRQSFYDKITIILPATGQTEEVEVMYDTGERREPSSSVLTTLAAIVTCIVVPIATIALFMKLLEKKPIRQGPVRHATPGPGPAPAPPPAGSPAAMADPASPATGEFSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >OB09G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13778747:13783223:1 gene:OB09G26580 transcript:OB09G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT3G52940) TAIR;Acc:AT3G52940] MEHVAAALVPSWRAVVVLFSYLAYLAAAGAILPGKLVPGAVLPDSSRLHYRCNGLLSLFLLLGLSSLGVYMGWMSPTVIADRGIELLSATFIFSVIVTFLLYYSGLRSHHKSSSLKPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCVWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSHLAAIVNCTIFVIGYLVFRGANKQKHVFKKNPKALIWGKPPKLVGGKLLASGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVY >OB09G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13785632:13794916:-1 gene:OB09G26590 transcript:OB09G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSAPMEPSSAPRRSAAPDPKKPRLAQHXXXXXXXXXXXXAAANGAVDQAQVDELVVQYRAALAELTFNSKPIITNLTIIAGENLHAAKPIASLICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYRQVDSSIHNSMRHLFGTWKGVFSPASLQLIEKELGFQSSTNGSSGAAPSKPDSQSNRPSHSIHVNPKYLEARQQLQPNKGILGAGAKTTTVSDSGDDIERTSRTTIDRGAGRRLDALNSRPRAQRDPFSNPIHEKQDRDMRAVGFSNISQQPVVVTAQVRSKPKGQDGSGGPYYTAGVGSSEEQFDRRSSFYANKDVKPSGSARLDTALLPTPVSNSDRIGRQSSNKSWKNSEEEEYMWDDVRFQGADYGGTSSTRKREWMPDDGNVGNFQRVKWAEAGGPLDPDLHKLDNFQRFGSAMGQDRRTAPYMDHEEDLHGKREVEPRIDRDMLPEGQLLSSSRGSSLWLSQEKPVPGIGSDPRISTFSNQPADRSTIYPATLSTSITSSVPVGLSGAYAGRSSLEHANIVPTRSTETIAQQKNRYWSASSPPIQSTPASFVRQNSPSPAEVDYPSRPFSQLGQNPPEDYNQRAHALAQNAALSQGRPNLLGGPSQASQQIEKHPALQSKQHIKPPDQLQASFSLENSSSLFKPSIQLPISVGMGHQQPEEVSLPSASTLMSSDHLSASNLLAGLIKSGFKPNEQPPLPSGPPPHVSTSLPASSSSIHLPVSDTLKPQSSNSQRPPLPPGLPLSLPFVCPTTQTSEKAAPLTSLLSSLVAKGLISSPSTDSTVAVSQQPSKSDLNTPDLTTSAPSLPFVQPSVKKETAHQNSSAPTKAQVPQPAEVKVKPAEIKMLDLIGFEFKPEMLRKYHEHVISTLFDDQSHQCNTCGLRFRLEEELSVHTACHESKQTEAKNIGIAPEKWYPSKNSWIDRSNEVQNSVLESASSDADLTSEDEACELMVPADESQIICVLCGERFDDIYSAEKDSWMYKDAVYFDSPKIEGSSGDSAESKGRVPIVHARCMSNDGMEVD >OB09G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13797657:13798940:-1 gene:OB09G26600 transcript:OB09G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICVRTLMGKTITLKVESSDTIDNVKAKIQVLSSAAPQVCQLPREVSRVATATSISSMFFAGAAKD >OB09G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13799645:13802055:-1 gene:OB09G26610 transcript:OB09G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDSKSLSYMTTIFLSSALSFLNSSDHLPDGGAAMAGGESDDSSGSYTGWPTIMEESLNPPAHATAATATPTPWVLLDLQAFMANHPNATTAKSQTRSGQPIEVSFWTAPPPSVSYMCVHCPGLDPTKFAAEPTIMATEADLVLLRLALGPWDTRYDGSCYDYFVYHSAATKLRLLPHPAIDRFIDNEVGLLRCGSATPSRRLDPRRLGLHPHPVPDDGTFVVAALCNTHTSGFFEYALHVYHSGADAWSCHPLSLHGLVDPSFTHVNTKAITVGGKAGTMGWVDLYRGIIFCDILSLHTDTSSPALRYFPLPPPLKPTMPFSGSARPLRDIAVVQGRIRYTQMQVFTRRTCSNGTFSRGWTATIWSAPATNPWKQGWRQDYKLNSSDLSVDGNTLNFELLPKILDDQATPQQTLERLYVGHPTLSLHSDDTVCFMAKVDQWNEITWVLAVDVKNMRLKDVALYGSKRIICFGYGYMSSKISDYLPMAPGLKGSLKRQGVVLTVPSQKKQTHMVHLSPPSWKGGDQQNFGTSMGGTEDIMDLDIFFG >OB09G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13800784:13801158:1 gene:OB09G26620 transcript:OB09G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKSLQGLLGCGLVIQNLRQQLKIQRVAINREIRRIEFVVLAPTLLPRIGGRCTPDGSSPTSREGAVAAGSPRKNLHLSVPDSTLDNSNVTKRPCRAAERHGGFERRRQREVAERRAAGVSV >OB09G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13804647:13806117:-1 gene:OB09G26630 transcript:OB09G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICVRTLMGKTIMLKVESSNTIDNVKAKIQDKEGIPPDQQRSSLASSWGMTAESTIHLVLCLRGGIIEPLLQTLALKYNQDKMICHYTYAWKIGRNYESIIYAKSPYELNF >OB09G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13806131:13808923:-1 gene:OB09G26640 transcript:OB09G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGPTILEESLDPPVHSAAATATPWVLLDFQAFMANHRNATTAKSQTRSGHPIEVSFWTAPPPSVSYMCVHSPGLDPADFAAEPTIMATEADLVLLRLAIGPWDHRDDSSSYDYFVYNSTATKLSLLPRLAIEGFIDNEVGLLRCGSATPRRRLDPRRLGLHPHTGPDDGTFIVAALRNTYASGFFEYALHVYHSGADAWTCHPISLHGLVDPSFIHVNTKVITVGGKAGTMGWVDLYHGIIFCDILSLNTDTSSPVLHYFPLPPPLNPNIPLRGCPRHVRDIAVVQGRIRYTQMQAFTRPGPRINGAFIPQGWSTAIWSAPATNPWKQGWRQDCKFTSSDLSVDGSTMNFELLPKILDDQATPQQTLEGLHVGCPTLSLHSDDIVCFMAKVNRKDTNTWVLAVDVKNMRLKDVALYGSKRLICIDYYVYMSSKISDYLPMAPGIKGSLKRQGVVLTVPSQKKQTHMVHLSPPSWKGGDQQNSGTSMGGTEDMMDLDIFFG >OB09G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13815222:13818012:1 gene:OB09G26650 transcript:OB09G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDEVEFYISNISSMKERRNFTLEVHFKHMKMHNREWAFSCCNRLEYLKPLFIQATENFLIEQNVSDNEDLIRMRTKLNFIGMPNSIETIDDYIKGKELVKYKRLFAEDADEKNKTDVVILTAVGRMIFLRLLSLLLDLHERNMTLAGGFSLEHIVVIDGVCSILLNPKLATPDDRAKDLRCLEKILTPYYQCKVEEKGSKVMLPLYFKDLQKNLKTADDAMVKEKWFRDYLRFHAAIMPSRGRRNLILGITKVIRKFEQAVAGSSFWTALQHPPPVCLNWRRDAELSKCKIISGVYHHKSESKYEGKQGEEKSVDQSGEQSDYKPNGTALELLIFTHEHGDEYVEISDHDEIELYQAHQLCHFLPWLLRRMLSNCNMMKHFGSTWSNYRSSRYDDWDGY >OB09G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13821418:13822853:1 gene:OB09G26660 transcript:OB09G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFRELAIMPMFSLSPRGSSGLRELKLEAFALKVPSQGIMTLLAMDEASPRSLSVKDAEKRHAASDG >OB09G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13821517:13821711:-1 gene:OB09G26670 transcript:OB09G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQPAGSPLKKKTISSVCIQQNHEHSTYSAVIYFVNEIVKPLETSKQCTEADKPFFCEPERLEC >OB09G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13822324:13830528:-1 gene:OB09G26680 transcript:OB09G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPASGRRSTYSLLSQFPDDAALLQRQSSGSXXYGAGSSLSASSDFPFHLPSAAAAGAGGSPCKSWAQQAEETYQLQLALALRLCADAARAADPGFLDPGDSGSALPPPAPSADSLSHRFWVNGSLSYNNTIPDGFYLIHGMDPFVWSLCTDVLEENRIPSMESLKSVRPDDSSIQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEDSFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLMISSNFSSVAKQYFSDCHSLNLLFNEASTGATSGAAIAIDQPYSRKHDTRDDTMSSWVPVKGQAAVSSDAILPEAPREVLPLITSSNLKADKKKEFKLIEGNQHLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWANEPWRRPSFANIMESLRSLIKVPLPQLLRSDS >OB09G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13839450:13844037:1 gene:OB09G26690 transcript:OB09G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYAILGGGVAAGYAAREFAKQGVKPGELVIISKEAVCSLPPFLSHSLVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGEKLLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILLIATGSSVIKLSDFGTPGADYNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEGYYANKGVKIVKGTVAVGFDADANGDVTAVKLKSGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVPEYDYLPYFYSRSFDLGWQFYGDNVGDAILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANVEELKKEGLQFASKI >OB09G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13843747:13844085:1 gene:OB09G26700 transcript:OB09G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLSPKRVLVTPVRRSHVRRMAVRCAVVQGERRTTVRSKEGDALEVCRVVNGMWQVSGPSWGRYEPAAAVDAMLRYADGGLSTFDMADICKEARTICFSSPLPRISGR >OB09G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13844369:13847141:1 gene:OB09G26710 transcript:OB09G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27680) TAIR;Acc:AT2G27680] MLAYADAGLSTFDMADHYGPAEDLYGIFINKVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVAALDMLQFHWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPIVSNQVQHSVVDMRPQRRMAELCQLSGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSVKHGVSIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEASSKGRNLMDIIGDCGDEYRA >OB09G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13850568:13854024:1 gene:OB09G26720 transcript:OB09G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRDQLTALLSSMFTQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIASLLEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSREGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >OB09G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13858413:13862846:1 gene:OB09G26730 transcript:OB09G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:J3N094] MSNMVGTMDEGRIIEYFKNKTVLITGSTGFLGKILVEKILRVQPDVRKIYLPVRAVDSATAKQRMQTEVIGKELFGLLKEQHGKGFESFIDAKVVPLAADMMHHNLGLEDSTLREITQDLNIIVNGAATTNFYERYDVSLDVNVMGVKYLCQLAKKCAKLEVFLHVSTAYVCGEKQGVVKERAFRVGETLREGTQLDIEEEVRLVAEQKQRLEEAGDVKAERKAMKELGLERARHFGWPNTYVFSKAMGEMMLQEQLVGRGSGIAVVIARPSIITSVHRDPLPGWIEGTRTIDAIIIGYAKQSLSCFLADLDLIMDVVPGDLVVNAMMAAMAAAAEXXXXXXXXGVNAVMAAMAAAAEPELTVYHVTSSVRNPAAYAVLYRTGFRYFTENPRVGKDGRVVRTREMHFFRSIASFRAFMAVAYALPLQLLRLLSLLCFGLLFARRYAELSRKYAFVMHLVDLYGPFALFKACFDDLNMDTLRSSFSMASSSRLFHMDPKAIDWDDYFYRIHIPGVIKYVLKK >OB09G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13864338:13869630:1 gene:OB09G26740 transcript:OB09G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MITEKPSWIRHEGMQIFSIDIQPGGVRFATGGGDQKIRIWSMKSVAKDNDSEDSSQRLLATIRDHFGSVNCVRWAKHGRYLASGSDDQVIQIHERKAGTGASEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKSVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLSTGQDIKVAPAGWANGASKASSKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFETKELGYRLSDAELDELKKNRYGDVRGRQSNLAESPAQLLLEEASAKQSASKKVSSVQQFQSPPKISADVPNPSASVPNQKASEALPEEEKKTAGSTADDINKAPRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDISSRSQNQVVDFSSLDQRMVIGENGARPSYGTSGNCNNCGVRERSGITARTNISESLVIQKASAGAGNDGRLSIEQTGSVAPGSSASCSSLSIHVFDKKDTEDSLPVCLEAKPVERSAGDMIGLGGALSTKETEVTCTRGTETLWSDRISAKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSSAVFIDCDECWKLLLVTRRGLMYIWDLYTRTCILHDSLASLVTSPDEAAGKDTGTVKVISAKLSRCGSPLVVLASRHAFLYDTGLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVDAASSADLKNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYEAAETNVEQMDVTPAATEANDAS >OB09G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13869189:13869951:-1 gene:OB09G26750 transcript:OB09G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAVGGSGVLDTGYFQRNISSQIDLFQKQLQGSAPAGVALVVVSGNDYSAVVDKNNGTSEAAIAYIPTVVAGLKEQLRRLRDDVGMRKVVVTNLHPMGCTPYFTRPLNYSGCDPLANAGSDQHNAALRSVLDALDPTNSTFLLLDLHTPFLTFIDSARFPVRLRPCCETLKADGHCGQLDDAGNKQYTVCDDPDRHFYWDDVHPTQAAWAAVADAFTPAIHRFLST >OB09G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13872186:13872872:-1 gene:OB09G26760 transcript:OB09G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVQLLLCLWCVVVVAVAAESSSPVAGERQRQQQLWVFGDSYADTGNLGNLGRELTHAWYDPYGRTFPRHPTGRFSDGRVLTDFVECPYTPLAN >OB09G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13875783:13878734:-1 gene:OB09G26770 transcript:OB09G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSMEATNGHLIPPEKVIIDTDPGIDDSVAIMMAFEAPGVHVVGLTTIFGNCTTSYATRNALILCERAGRSDVPVAEGSAEPLKGGKPHVADFVHGSDGLGNTSLPDPITNKVEQSAAEFLVNKVSESPGEVSVLALGPLTNVALAMKRDSSFASKVKRIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTCGADIYVVGLNITTQVYFTDKDMLELKNSKGKHAQFLCDICKFYRDWHVHSYGVDALFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTSMDLGLKKWNSDNPWTGYSPISVAWTVDVPKVLAYVKELLFTAQ >OB09G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13880497:13880691:-1 gene:OB09G26780 transcript:OB09G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREIWKWSRLGFGLDWILQDVEGGRGGDKGTREGRSSYRFLRRRLKSSFLCFAGLGFWVRKRI >OB09G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13880497:13884003:1 gene:OB09G26790 transcript:OB09G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLSSTRRVNSSPDLADDDPPHDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPRQTQFEFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSSECRLPAVRLSDIPVNLPQDESNSVAKVAIKQRPQKHYHGNSSAGAHSSRANLQKSVEERKEEYNKARARIFNNSTRSNAADGRSGDEVALPNTLHRSSSLELSSGNRLGEGPEITLERSLTNTSSSSRSNRNKAEKDPAINRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQPIAQHIPGPWSPAQSPNAIGYRPPDGAMPPPYSPGHAGAPIRSSIFMHTPQQYALPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >OB09G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13885017:13885262:1 gene:OB09G26800 transcript:OB09G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENSELVLQNCYIMEQNQRLKKAAELLHKERLRLLSELQAQLLSSSNQQSHAAVHVHGHGDDDDNDKLTTGGCASSNSN >OB09G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13885862:13887450:1 gene:OB09G26810 transcript:OB09G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLRPLRRDAPVFFAAGQPSRATSRCVAHGRSLISASASASASYGGVARGYCIYSGGGGRSKQAGIGLLNPLSKDEARKAVQSNLGQKKPQFEKWGVQTESRRQTVCLAAGGGGWSGGGGGWFRWFSSGGFWDAAKQTLLTVVGIIAVFFLIANFNVLVAAIVNSLLAVLRQIRRALSFIAHCVAQGLPSSAPERPPASLDSGNQAAVVVKDRVGNSAKERVLRKWGMD >OB09G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13887708:13889225:-1 gene:OB09G26820 transcript:OB09G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGSQPPEGAKPVLASMAEAEVEELPKAIVRRLVKDKLTQVAAGGAEVIVNRDAMAAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSETTQKKAEKRRKIDKDSVPQEQNDAADDGNADED >OB09G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13889876:13892113:1 gene:OB09G26830 transcript:OB09G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAAAVSDPCTPPLTAAAANRKQGRRRLPPKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >OB09G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13892397:13893158:-1 gene:OB09G26840 transcript:OB09G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTHPSSSSCSSRLSLSLCVLLLLSAILSAGAADPDILTDFVVPSDTDPSGIDGAFFTYKNLVTGNSADPAKLTVTKATQAEFPALLGQSVSYAALVYGAGAANPPHIHPRASELLLVVQGPLVVGLVDAAARNGTVYTQTLETGDMFVFPKGMVHFQFNNGSDVARAFSAFGSASPGTISLPAALFASGIDDAVLEKSLHANQTIVDDLKQDNAPPPAPGPSPSTNTATAALLPSRWALTLLCFAASYFYSL >OB09G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13894489:13894866:-1 gene:OB09G26850 transcript:OB09G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPALNGQSVSYATLVFPPGSVNPPHTHPRSAELLLVVNGALSIRRVRRHDQQALHPGPGHQRHVRLPQGAGWCTSSSTVGRSPPWRCRCSGARPPVSYVSVPVSVFGTGIDDPEAEGGPKQA >OB09G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13897232:13897885:-1 gene:OB09G26860 transcript:OB09G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHSSMLLLALLALAAPLALVMAGDPDILTDYVIPANSNADNITGDFFTFTGFRNATSMNMSMPMPNANFTVIKASLKEFPALNGQSVSYAMLMYPPGTVNPTHTHPRSAELLLVVNGALSVGFVDTANKLYTQDLATGDMFVFPKGLVHFQFNSGNQPAMALSAFGSAAAGLVSVPVTVFGSNIDDTVLAKSFKTDVPTIQKLKAGLTPPANKS >OB09G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13898877:13900279:-1 gene:OB09G26870 transcript:OB09G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQAPKKDKAPLPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVSVHCSQQIYTRATNT >OB09G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13900571:13902816:1 gene:OB09G26880 transcript:OB09G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARAPARAAARFAQRRLFSSGGKVLGEEEKAAENVYIKLFSLLGLLFCSFFLDGEGKQGRAIPLVYTKKMEHEKLEKLARKGPNPGEPASSAPGVAANHVKTGGGPTESTSAGVSTDKHRNYAVLAGTVAALSGLGWYFLSKPKKSEEIVD >OB09G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13903896:13905017:1 gene:OB09G26890 transcript:OB09G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDTVAATTSSIIAHHLFDQRLHVVSCRPLATGFAGRRLVARVPRHHHPRLADWTVKALAMGVTKEASPSREYRGIPGDGADMADNGMTNPKTSWPPRNRADDPKLHNPLLRLERMSCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPPAFVLKRIEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIHCNLRGSAFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIDAIGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEEDSSPSTSVGVDDLFW >OB09G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13909322:13912582:1 gene:OB09G26900 transcript:OB09G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3N0B1] MISSPPPLLXXPPPPPAAAAEPGPSSPAPPLRLRGISPVAARPLRSSSLLSPPHAVPDPLLSLPPMDPQQVPDLLPPRPPERDFAGTPYVPVYVMLPLGVVDGNGEVVDADVLVGQLRVLKASGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPNWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTAVEVYFDYMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTTIWARAPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQVLVDHADRVLMLARLAFEGTHIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFSDPEGLVWQVLNAAWDAGIVVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLSKVLFERANFLEFERFVKRMHGEAVLDLQV >OB09G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13912697:13916414:-1 gene:OB09G26910 transcript:OB09G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLACARWAWKRCLHSGDRDSATWGLASADDFAPVPRLCRLIMANYDHHPLDDRCFVRRRTYADTLGRVTPYLLYLDHAHSDIVLALRGLNLARETDYALLLDNRLGKRRFDGGYVHNGLLRAAAWVLDAECNLLRDLLTDYPDYTLTFTGHSLGAGVAAMLTVVVVLNLDKLGTNLHRSRIRCYAIAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCFRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEHPERCETFPSASTLARQRMSWNDLIDRVFDRDESGDIVLRSSPSP >OB09G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13919097:13926330:-1 gene:OB09G26920 transcript:OB09G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 100 [Source:Projected from Arabidopsis thaliana (AT5G23880) TAIR;Acc:AT5G23880] MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLARVAPTIDAVLLSHADIMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYYRSKWQVSDFDLFTLDDIDAAFQNVVRLKYSQNHILNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTVLGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLAGGGSVLLPVDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKNVTLIINKDEIEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKVSLNKEEERKASLGSNAKVSDPMVIDASTSRKPSNAGSQFGGNVDILIDGFVPPSTSVAPMFPFFENTSEWDDFGEVINPEDYVMKQEEMDNILMAGAGDGMDSKLDEGSARLLLDSTPSKVISNEKTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLSKKLGEHEIAWVDAELGKADDKLTLLAPSSTPAAHKSVLVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITLRKIGDASQKGSTGCQQIVIEGPLCEDYYKIRELLYSQFYLL >OB09G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13926697:13928326:1 gene:OB09G26930 transcript:OB09G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHQSLRMDGAGGSGEPKANGDKKAEEQHFDPSRMIGIIKRKALIKELAAAYHAECVACCKELLQLQRKWEEEQYVEFKMGDEAPRTVTMKSSKRRKR >OB09G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13930591:13935899:1 gene:OB09G26940 transcript:OB09G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSPLLPQSLPGLERMLVHGGSTGSRSLREIDEEEEDDGGGGYGGGQIYVAVGKDLKDGKANIQWAASKLQLQLQPQQSDVKKLVLLHVHQPADRIMSGLCKVPAKQLEEKELKAYRTIEKDDMNKLLDQYLSYCKVFPKVQAETLVIEKNNVANGIVEFIDKHHITKLVMGTSSFSVKRQVPKSKVAGTVHQLAKPYCQILYICKESLACTREANQFAEKGDSPRSSSGSSLSDQPEFPSRSVSLPSSYPGFQKSLPPRCNSVSYPSSRYLENDVENISPAGRHPVDVAPKGSSLNPRHQSDGVSSPTLKDLDIMDDGSSGPVSISSSEEHQHSMVEANRQNEMFEKWQQDRNELERSRKEALEGRQKAERDLFEASKMFRARENSLCKGKDEVEERLTREKARLEKEHLQICNELQKANEQRVELERKLMHANSLMEELQHVQREMQHEKDNAVKEAEKMRLINCNNVFCSTGAVALTEFSYTEITEATNDFDESKKIGHGGCGSVYKGFLRHTTVAIKKFNREGVTGEKEFNDEVETLGRMRHPNLVTLIGVCRDAKALVYEFLPNGSLEDRLRCKNQTDPLPWRMRIRIAAEICTALIFLHSNKPKGIAHGDLKPDNVLLGDNFTAKLGDFGISRSLNLTDTTITPYHRTSQIKGTLGYMDPGYIASGELTAQYDVYSFGIVLLRLLTGKSPLGLPSEVETALNNEMLQEIIDASAGEWPPEYTKRLAILALRCCRYDRKERPDLAKEAWSVLQAMVNCPDNTNKCDTPSFFICPMTQETMRDPHIAADGFTYEGEAIRDWLQRGHKISPMTYLTLTHHELIPNNALRFAIQEWQLKQKL >OB09G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13934702:13934992:-1 gene:OB09G26950 transcript:OB09G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDGVSHLFVLSGQFTMACRTLQASLARSGRSFLSYRQHLSARIANLLVYSGGHSPAEASIISCSISLFRAVSTSLGRPRGLLPVSNLSSTIPNE >OB09G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13939750:13944874:1 gene:OB09G26960 transcript:OB09G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSPYTGDSPEAPLSGEKVYVAVGAESRDTLLWALHKFGPQGTAFVLLHVYSPPKFLHIRGAKIPPSQLPEQELIAHKKMHLQSISDNLDQYQLTCAQQKVQAERLVVEADDVAQGLVDLISARNVSMLVMGDADDKHYAKKMKVLKSKTAQAVEQHADPFCKIWFICKGTLVYCRKAAPFSHDMMQECRQSSTCAQSSVERSSSLSEMWRASNTWLQKLNLDQHAETTSSYRYSGKEKEGTKECNESDNELHNILMELERVKQEAYEEKCRCEKAEQKLFEALQKAQASENLYFGELKQRNEIEVKLATTMEEVERLARTADELAAKLKEECKKILVLEKRSAHSDRIIKDVMLQRDKAVREAESIRVKNGESTATVDRTVHITELSISEIKEATNNFDQSLKVGESVYGSVYKGFLRHTNVAVKKLNPENTQSLSQFNQEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSRPLNWQLRIRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGSFVYIDPEYAISGDLTPLSDVYSFGIVLLRLLTGRSGFGLLKDMQRAVQKGCLQAILDSSAGNWPLMHSEQLARVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDYGNVPSYFICPIQQDVMRDPLIAADGFTYEADAIREWLDSGHCTSPMTNLDLPHRDLLPNHALRSAIQEWLQTNTD >OB09G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13945563:13945937:1 gene:OB09G26970 transcript:OB09G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERMMLVYAVHIALMTCLDLYYCIDSLGSKNSSTATSKEREGSFKNSANANQNSKQASNPMTTGQSLLQLESNPLTSCLAAGRPGRYNFTANLAKSIVDRGQTCTSASRDRNFLENSELSGLS >OB09G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13946794:13950644:1 gene:OB09G26980 transcript:OB09G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIHIAVGKNFRKEKANILWAAARFARATIVLVHVHWPSKWMPFMGSKVLYKFADEKEKEMHRTKETDAMVKMLSRYKNLCGTRKVRVHYLSHDDVLAGVVNLIKKLKIKRIVIGSRSMSKEAMLLKCCQVWVVINGKHMSTSNDHLEHTGSIGYGGSPESLASIHELSDDSNGYITPPSDFADEIIYDDGITQMDGTDELAMCGVQEAEAEEEEIIETGEQISYEEVEQFPQEIAHQTDEIQSFRSVSERAEELMEEIDKLQRKLKELQEEDHGILSPRQKLGAASSLKKEKSLSTPPRYPELQLPEHIARLSMAKISEATENFNSRNLIGEGGYGPVYRGKLGGVAVAIKLLRPHPHGGKQGFPEYKQEVMVLSRVKHPHIVKLMGVCPETCCLVYEHLPNGSLLDSLAKRPKPQPLSWKDRVRILAEQRSALAYLHSCRPHAIIHADLKLTNILLDAANGSRLGDFGTARTVHVKPLQDEEDTICRRTNPMGTTGYMDPLFFITGELTAESDVYAFGVVILQVLTGLPHVNIAEQVREAIRMDAVHCVLDASAGSWPEVQADKLLRLALRCCSLERKRRPAITCDAEWRSLDILQRMAKAQTKSWKRTPHGCGS >OB09G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13952087:13955513:1 gene:OB09G26990 transcript:OB09G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G25610) TAIR;Acc:AT4G25610] MPHVRSSPVGDLPGWPLFSPPKLQLQKCTKCSREFCSSITYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIESVTGPSVLTALSSWMCKPGYASLPLAYARAGNQLLDLIETMASRLPVSSNELFSLLDDASENTFLCTNPTACIQKFIFNGEADKVATELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDFKDEDVTDHLPGPVDGTTDSCGIPILKNTSDPGQLEDPQYLCLPTPVASEDNSNFVDLSVQYGVHDPGHEVNSGVVSGQQAFSRHRPGRTENLAHNNSATGSAIGSKLPGLARHSHYRGPNVGTASNRNKTWAWKVRTEIEENILKDELNIDDRQEIVLNKKSRVLIGSISVDIEEGRDDIQCSKEYPTPVSQLSIDNHPVMKVMQPVSHGEDGNGYTAQNAQNDVDGNITPEAENHSSSGVMLDGSSCSSCVNPGLMEGGGLLGAIFSSKEAAAFLSQRWKEAITADHVKVVLCPEG >OB09G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13955500:13958892:1 gene:OB09G27000 transcript:OB09G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNLAAAQAVRDAILADAPAATTLDLMELDLSSMDSVRAFASDFAAKGLPLNILINNAGVMATPFSLSKDGIELQFATNHVGHFLLTHLLLEKMKKTSRESNVEGRIVNVSSEGHRFAYREGIRFAKINDESEYNTIGAYGQSKLANILHANELTRRFKDEGVNITANSLHPGSIITNLLRHHSILDVLHRTLGKLVLKNAQQGAATTCYAALHPQVKGVSGKYFCDSNVYEASEKGKDMELAKRLWEYSIELIT >OB09G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13959640:13965549:1 gene:OB09G27010 transcript:OB09G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00370) TAIR;Acc:AT4G00370] MAMGAVLSSRTFASPPSSASASASGKQHEMKYRTSPQNKRTCSSAPGDKFSRLIARITLFQVRNISKSSALERLQLSGQFHQPVLDPSRDYLTRRFYNASLKRRRVECFVSSDPINTGWLKPRRWENFTSLETACAHPEYKIPGRTRADCKAEQYEITGSPLSPSDAPAEAVLIGDTNEISPWWQQFPKRWTVVLLCFFAFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKIVLGFGVVWWSIATILTPIAAKIGLPCLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSIWFALWQRKAHSSPSEDPELTKAEKRYILGGSTLREPVTSIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVERGFSITNVRKIMQSIGFLGPAIFLTLLSKVQTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGMSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIVGTVVWNVFSTGEKVIE >OB09G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13967684:13971296:1 gene:OB09G27020 transcript:OB09G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDRDDDPPPPDHARLRIRGRQARLELVMRLAADRHAELQRLSQHRAVSDFAHRNRIHVSLSSIPSLLPTSSRCPCPCLLYALLRGRFLRNAGLPEEERRPPSTAATELGQLRQRHPVSGLREEFRFRLENVVRGQAVSHSDDSSAQNVEPSTNGYSESSPSSSEYNLERHQRTRVNVSLQQIEGTVAVSESGSNTPSTAEDLYGPLSQTESWQDDLEQERRDWEQFSHAITGEESDRNWHENIYNGSSHEGTEVGDGQDAHLPEALDELASDNPPPESHGEQHDNNHLHEETEELHDSDLQQSHGEWNEGNNPFRSTEVHSEWPINDHFHGVNEEWHDDDESNDTADNWHDDNSDQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGHAPLSWDLEGAPPAPDSPEQSQEQHRDDEEQELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSDIEWEAINELRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGENKETIDDGSKWIHVRKGTCCICCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >OB09G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13973620:13978035:1 gene:OB09G27030 transcript:OB09G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVCVRRSGSESEVSAWDPQVLREHAQCLESEELAAMSLNHTLLPILDDLLLHVYTLLRPKPIDYDQRTALVHVFNKIATQIFGNGIFCGVLPVVSARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTMSSMSIVSLVAFHLQTRNPPILPAFSALLKDGSDFASVERNILAFKEFGITNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGVGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLEISKLKVLLFGCLKPEELVSKPRLKHGKRKRKTQTRPDNRNGLRKGKHAVQHNNVGSDQHANSTTAAAPQIVHQSPTQATTQCMQEPKPWLVIIPSGFGYGLSLQLQVAPRLSRGLLGRRPLVHFNNGSQLLQQGPLIPLPPNQQAVGSSNSVVTSAGAQQLQHSKN >OB09G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13982438:13983722:1 gene:OB09G27040 transcript:OB09G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKSTGGRAEAAKMSSSSSESADEYEPPRLSLSMGVRHLCDSGITSLPARYVLPPADRPGGNPTPPAVLPVIDLAALRAGATCQLAALHAACRDYGFFQVVNHGVPAHVGRAMLDAARGFFFDLPLADRARYMSADIRAAVRYGTSFNQLNDGVLSWRDFLKLLIRDTRRLDDVVPSWPDAPAELRPPAASYARACQRLFRELMEAALEALGIVRCRDELLEECDGGCQMMMVNCFPACPEPELTLGMPAHSDYGLLTILMQDEVSGLEVSYGGGWAVVEPLAGAVVVNVGDHLEILSNGRYRSVLHRVRVNGRRARVSVASLHSLAAERLIGPAAELVDERDRPRRYMDTDMAAFLAYLSSAEGKHKSFLHSRMISSPSTTH >OB09G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13984221:13984757:-1 gene:OB09G27050 transcript:OB09G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQRKKVQVVASVVKTKTKVVEETVQVTTAEPVSGSTVQVEVETDAKKTTAVQSQEREEPNAQGRRKGGGGGGGRRRRSRRGGAGAGGGYKRYVWRVLKQVHPDMGASGRTMDVLDTMMSDMFERLAEEASRLSKVSGRATLTSREVQSAVRLVLPGDLANHAISEGTKAVSNYLS >OB09G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13985027:13988375:-1 gene:OB09G27060 transcript:OB09G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MGIPNPSDEVVQVRHADVAGDPTVITISCPDKTGLGCDLCRVVLLFGLNILKGDMSTDGRWCYIVLWVVASPGRRAITLRWDLLKDRLLHLCPVTAPFAFDAAAPPAPSRIYLLKFCCYDRLGLLHDVTRVLCELELTIRRVKVSTTPDGRVIDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSACLQASALLPQSVVEQMFNVDVVEEQSRSCGNLSVAMDNSLSPVHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGNCEVDLFAVQSDGKKILEQHKQRALCSRLRMELHRPLRVALVDRGPDTELLVANPVEACGKGRPLVLYDITLALKKLHRRIFLAEIGRHVVADREWEVYRVHLGEGDHELSSSLRNKIVDGVTNMLMGWDSPPPS >OB09G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13991934:13993894:-1 gene:OB09G27070 transcript:OB09G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQAGGRSRMRIGGDEKKRRRVAVVYYLWRSRQGGLEHPHLMEVEVSSEQQELRLRDVTRRLDALRGKGMAAMYSWSCKRSYRGGYVWHDLAHPDDLLLPTHAGDYVLKASLLVHHPSTTTAPVASSTANTLLVADQCTSCRTPPRSSSSSSSVSSLQGSSSSPKSNTNNNSSSKEEEEEAVVGTASARVGGSRSLESLIMADDSSFRGMLEDDEEDETGAGEEMSMSSIYRVKPANLLMRLIACGCGCSTSIPLPVPAAATCRNKKQLQLQLDGPGPATADGFGYVQQLESLPLSPVLSPLPDLVNSKHKHPPPAAAIPHEQHHFSGGTSITAAAAAAHEVAARGKLSSVADDLVQRTECSNGTGDLVNNLAAANIKAELAHSRPVVVAFRLDKHDDNVIKIEERLASGARVTISSTN >OB09G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13996377:13997584:1 gene:OB09G27080 transcript:OB09G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3N0C9] MKKKKRTTNSISIGRGRGRGILLLLTIIASTTSLLFLLHAPPPPKRCADHLRWAAALASQHNATLLLTVDHNQATGCANFTTLQKAIDAAPNYSLARTLIAVDTGLYREKVVLWPNKTAVTLHGRGNLNTTVAWNATSNSTGGSTIYSATFTVLAPGFVAYNITFQNAAPPPQPGDAGGQAVALRVVADEAAFHWCGVYSAQDTLLDESGRHLFRGCYIEGSIDFIFGNARSLYVGCTISSVATATFSAGTVTGSVTAQGRASATERTGFAFVRCSVVGTGQVWLGRAWGPYAAVLFAETYLGDVVAAEGWNDWGDPGRRQQVWFAEYACWGPGSGTTGRVAYARQLEERQAAPFMDVSYIDGNQWALPPPPLPLPPTPPVLLI >OB09G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:9:13997813:13998556:-1 gene:OB09G27090 transcript:OB09G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRSPRRATSRTSTGATATSSPTPSSGSTPAPSAPPALTSTTARAPHGTTRSPSRSLPPAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGDGASGWRGGRSAGRPGAGGRGQLPGEQVRGARGGAGGGAQLRLLRR >OB09G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14000495:14002409:1 gene:OB09G27100 transcript:OB09G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3N0D1] MVISTNTRLAEMAAKNPKVFFDILIGRSKAGRVVMELFADTVPRTAENFRCLCTGEKGLGSSGKPLHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTKPTPWLDGKHVVFGKVVDGYTVVEKMEQVGSESGTTVERVLIEDCGQLAADESH >OB09G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14001216:14004595:-1 gene:OB09G27110 transcript:OB09G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42450) TAIR;Acc:AT2G42450] MARSLLNRGRRLISHHRVPFLPPALSRCLSPTGTGAPSQPSPDHHHNKSDSTSSSSTASEEEDDEGAALPWRRWRPDVAWLSKALEPALQLYNQYKPLLTATATDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFQGVHISSSDKVQELVYHLELARGCYKGNATGLARHSMLRKRNVLKFIKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGIIRKCLENHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGYGTPPCVSKEIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILRTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLGDYAKIVTVSTSSDAVKDPDRALSSREVLLPNSKEDVFVPEDLFLPGTLYYIKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPLRDDKY >OB09G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14007042:14007437:-1 gene:OB09G27120 transcript:OB09G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQEGELVGKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHLYARQQQHHNNNQNNNASSSSSSANSTTRPPPIEFEYLDDQVLQEMLRDDTTTNNNK >OB09G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14016607:14017323:1 gene:OB09G27130 transcript:OB09G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHSHSHYNNSLSPPEMSDRDVDMHILTSLMADMEPDSSSSSSSSSSDDSDVMHLQLRHAGPAAQAEPPRQQQATLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGASAVLNFPVHRVQESLQALALGAAGGSPVLALKRRHSIRKRRKPTKQMLLQQQQQQQQEQQRPTGPGVVELEDLGADYLEELLRLSESSSSSSSSSTYFFTPPQPTSSNRHC >OB09G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14020161:14021544:-1 gene:OB09G27140 transcript:OB09G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSGSDALPPVSSVASRRSSIATTSSSSSSSHRGRDPPSSSISASTASAASSTSLAAARASLPDPPVLYPFHELAAATNNFLAKRAPASAYWACTLRGRHAALFQLRAPRLHTPDPTRLTRYHHTSLAPLLGSCLAGNHVYLAYELPPAAATLAACLRSPRNPSFTVLRTWLSRVQVAADVAQGLEYIHHHAGAVHGRVSTSTVLVSDPGLRARLTHMGAAQLAEMEEDEEASREADVRAFGLLLLELLSGEQAATYRLERSREAVLRVSVVETAAAARASGRSDQHGIKTAMPLTVPGCI >OB09G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14022334:14026029:1 gene:OB09G27150 transcript:OB09G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQAQAQAKLLYIVVVDDDASTFRYTRSLLHSTLQLMGCKPRHAFEISARVFDEIRAHMAGDMPMAAGLQRYELAADADAASPRQFQFELYKRRTTVLVPRPLFLRLVCQALALYKYVAPDQRADLHRACRIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKQNPLLWASTYHAGECLDPVAVAEAKARRKAKKGSSSSSIDYDKTRALNDKADGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISDEGKHTERFAIRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRAAGDQLYDPATNTVAVVNEEYKNQCMANSMSSKGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSSGDGKCNSENGSKKSVGDPIYGPLNIGRAETINLQFGTFGISAWPTDAGCTSQAGNANESRENANEGTGSHVTSSSGSPKRLDGHCKEIKESAAASGSDDDDEEEEEEEADVRPNSGSEDLSEEDTREIHEMEGSVDEDCNRSDEEYDDLAMRDSMEDGFLTDDGVVHTILRQSSSNRLFDGNEQKHSTLRKRQVNLRTLSKIDLDFPDTARSSSAIGASSKRNGTRRWKRSLSDSFRSRPRSAPSLVELASKHKGSAVPVAPDK >OB09G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14037802:14042307:-1 gene:OB09G27160 transcript:OB09G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHGFTMVDGRKSKWEPSLLLSGMGWDGSIESMSLQQTPFLLYTRLGYLVLVFALLSPSPSPSSFQPVPSLGFPSPLLSNSTPFACAAMVSDASKKKAAQKKAAAAAKRGGKASSSSSVKAADDLATISLSKRTCTAVLTSHPLSRDIHIESLSLTFHGHDLLVDTDLELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPHHMDIYHLTHEIEASDMSALEAVISCDEERLQLEKEAEILAAQDDGGGEALDRVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNYDQYVQTRAELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLTFRFTDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMGFKEHLRSKAGLED >OB09G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14045916:14049520:1 gene:OB09G27170 transcript:OB09G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEVAAGAWQAVRCRVLVPTLQLAVYICVAMSLMLFVERLYMALVVAGLSLLRRRRRHDDDDLEANHRPMVLVQIPMFNEKQVYRLSIGAACGMTWPSDKLMIQVLDDSTDSTIREMVEVECSRWAGKGVSIRYENRRNRSGYKAGAMREGLRKPYARDCEFVAIFDADFQPDADFLLRTVPLLLADPAVALVQARWRFVNADECLLTRIQEMSLDYHFRVEQEVGSACHSFFGFNGTAGVWRLRALEDAGGWKERTTVEDMDLAVRATLRGWRFVYAGHVAVRNELPSTLRAYRYQQPRWSCGPANLFRKMFREVLASGRVSPWKKLHLLYGFFFVRKVVAHLVTFCFYCVVIPACVLAGGDVRLPKYVALYVPAAITLLNAACTPRSWHLLIFWILFENVMSMQRSKATVIGLLEASRANEWVVTEKLGAKTTTTARKKTSGVHVHVAEILLGACLLYCAVYDIAYGRDSFYIYLLLQSAAAFIVGFGYVGTTSSPP >OB09G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14050138:14051687:-1 gene:OB09G27180 transcript:OB09G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRTVVVVVVVILLWLLQQLLGTRGPLGGGTGHGEVLERRDHVADGGTHGGVLLEAHGRDGQRLVEALGWVGAAEQRVGHLSEPLLVLEPRGGPLDQVLLVRRPPHVDGTAAGDDLQEHHAEAVDIGAGGELAGERVLRGAVAVGAHDAGGHVGLVADGADLGEAEVREASLEGAVQQDVGGLEVAVDHRGTRRVVQVLEATGGALGDAHPRGPVQGGGARREVQQVVLEGAARHVLVDEDAVVGVGAVAEQRHQVGVLQKAEHKHLHQELAGALHAVPVQLLHRRLRHAASLPLETPPVHRPEAALAQQRLRPESAGGLRQLGVAEGPSRHLALADLEDLVGHALVPVHEVVVVGPAAPAAVAPLRRRRTCSCCISITTLLLVAAAGGRRYGRCWADXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPVPGRPPSAHSKEGRIDGRGGEGIELQYVQSIQSDNGGLANQVR >OB09G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14050860:14051684:1 gene:OB09G27190 transcript:OB09G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTREFLVEVLMLSLLEHPNLVTLLGYCTDADHRILVYEYMPRGSLEDHLLDLPPGSASLDWTTRMRVAQGAARGLEHLHDAARPPVIYRDFKASNILLDRSFQARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTCSDVYSFGVVFLEIITGRRAIDMGRPADEQNLVQWAAPRFKDKKRFAEMADPLLRGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGASSEGAPRPQKLLQQPQEDDDDDDDDRP >OB09G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14052577:14053218:1 gene:OB09G27200 transcript:OB09G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein [Source:Projected from Arabidopsis thaliana (AT5G46720) TAIR;Acc:AT5G46720] MESEEATMVFVYGTLKRGFPNHPLLAGAAASFAGNASTSAPASLVIGPYSVPFLLPSPRIASSGPGSHRVSGELYAVSPHALVDLDTLEGTHLGVYERRRITVLVEGGGGGREVEAEAYLANTSYAEALWLRCGGEAAEIGDYTMDHAARYVAPTHRASGLLHAIHIFIANPPTSPSP >OB09G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14057018:14058650:1 gene:OB09G27210 transcript:OB09G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGRVVVVAMTMMVMLGMASAATYNVGEPGGAWDLNTNYANWVAQKKFHPGDQIVFKYSPQQHDVVEVNKAGYDSCSSSSSSSSAIATHTTGNDAIALNSTGTRYFICGFPGHCTTTGTGSMKIQIDVVQADSSTPAPAPPTPSGSGPNPTPPAPVRSPPSSAATSLKATAAAAVAVLLAALMA >OB09G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14058620:14059078:1 gene:OB09G27220 transcript:OB09G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVQGTRDPDFFVEGDVYCDTCRAGFVTNATTAIQGARVRLECRHYMSASGAVERSAEGTTDAAGPYRVELVDNRGAEEVCSVALVSSPVPGCRETEAGRDRAPVTLVQDAGLATMVRRANPLGFLKDQPLPICGDLLKSYALGTAPSY >OB09G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14060035:14067563:-1 gene:OB09G27230 transcript:OB09G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMEGLIGLMNRIQRACTALGDHGGGSDLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHEYAEFLHLPKTKFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIAAREKETEYFENSPDYAHLASRMGSVYLAKLLSQHLEAVIKARIPSITSLVNKTIDELESELDTIGKAVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLIEAGLAYFKGPAEATVDAVHVVLRELVRRSIGETEPLRRFPTLQAEIATAANEALERFREDGRSTTLRLVDMEAYLTVDFFRKLPQDPDIISKVGNPSAAEATPGKGSGTVDRYGDGHYRNIAANVSQYIRMVGDELLHKIPKAVVHCQVREANRSLLNHFYVQIGKKEASQFGHLLDEDPAMLERRKQCWQRLELYKSARNEIDSVARSK >OB09G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14067979:14069672:1 gene:OB09G27240 transcript:OB09G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04840) TAIR;Acc:AT1G04840] MPPAASTCSNPKPLHARLLRSGALFAARSAAAPLAAAASLASLPYALSLLRAHPSTFSYNSAIRAISRGPRPHLAISLYRSMLSHNNYNYPPLLAACARIAASSSSAAGAAVHASLFRRGLESPERFIRASLLSFYAAAGDLPAARQVFDLSPAKHRDLPLWNSLLHAYLSNGLYVQVLRLFRRMLDADEVTLLALLSACAHLGALHTGRWLHAYLARTCCIPITKYLGTALLNMYMRCGDVQSAWSVFHATRHKDVRTWTVMIAGLAVNGFSTDALTLFREMKDRGIHLDSITLTAVLSACAHAGMVDEGRRILHRMSVDHHLQPTIEHYGCAVHLLGRAGRLEEALALIRAVPLKADVALWGALLVACRCHKNVEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKEHGIHKPPGSSVVELDGVVYEFFSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKGVTFDIDEEDKEVCISEHSEKLAVAFGLLNTRRGDVIRIVKNLRICEDCHYVMKVISEVYDRVIVVRDRNRFHHFKNGSCSCLDYW >OB09G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14071037:14071285:1 gene:OB09G27250 transcript:OB09G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 1 [Source:UniProtKB/TrEMBL;Acc:J3N0E6] MLLRTNSYEISPTRDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILICSPDMAFPRLNNISFWLLPPSLLLM >OB09G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14071302:14074991:-1 gene:OB09G27260 transcript:OB09G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT2G35360) TAIR;Acc:AT2G35360] MAMAAAGDRPETVEVTLRAVGPSRPTTLCLPPLLSVADLRRHIAHDRHLPEDRLRLVLRGRNLPCQDDAHVDLRDGDSLIVAVAPKSPANHLPDDADDDDEEEELKFKIPETTTWWKRRIFIFLRDKLRLPDILLMALFSLGMKAWVLIAMWFLFAPIAQTYDVGPLYILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >OB09G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14077593:14083328:1 gene:OB09G27270 transcript:OB09G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MASSPHQGQAGGGGGWPAEQFWSLLDKADRRFARVRDLPIFGRREPEEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRASDTALLSEAFVFYHAVLDRGYFIVDAADHLAPTKHLRFLARFLLVALLLARRSDTVPRLAAHIRTLLDDSKKTLQEAEYKEWKHVVQEIARFLRADSLFMNMRPLRYSYAFDPAPDTLPTVPPTVKKRGLVLSDAMLCSYYHNEVKFTDITIDVFRMLQCLEWEPCGSFALSNGYSAHDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGPSGFCADTNETVVSSFNKFDISNVSTINVKEDDASRLWLGYSEGEEHVGSNCIYPCDLIPFTRRPLFLVIDSNTSYSFKSIHGFEKGETTAMLLSPSCRSSSLGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDAYKKAEELLSLSLNEWAATLVASSSLHPVWVEVLGDLLLRRLLLRFIFCRAAHSLFKLTYHKVDFLPTCAPPLPQSVDAESMLSQSCLLRVASFLGAANQFSFAEVTTWPEVDAEEATVANPSI >OB09G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14084791:14091469:-1 gene:OB09G27280 transcript:OB09G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIRATLEERLKEKGLYDLTPLKPEKVSNTKRTPNRNMQTLDDFDDEVLRNSHVMTPSKVLVAAAKSNKNRFVSSDDELPKQDDIGERRRKHELRVLARVGATLEDDDLPDEDDHTEGKLNQLGEDDIDDGIGPSESEDEFYKDVKRQRTEKILIKEQKYPQNPNVQQLEEETGDGKRKISYQWKKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGEPTNDVSCRKQADVVQTSMKLICAHDGCQCMLMFIIDDEQIFDGKDL >OB09G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14094046:14096256:-1 gene:OB09G27290 transcript:OB09G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRPPRKTNQRSSKRTDKLPLMPASSDDDEIDAFHKQRDMIPLDLDNARESEDDDLEHPVFDLEGISENETDDSTEDEDGNMGKAAYDE >OB09G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14097870:14103850:1 gene:OB09G27300 transcript:OB09G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAITSLVSSPAPACCLQLHRVLRPALLPSPASLRLRLRLRLRLRLRLRLRPLRADSSSSSPSPDAFAGWSDGDEQDEQDKAPGPFRGLLGPGLAGLFFVAGVTFAAISLRSNGANGPRRQMETLSTKIEKAPYSSDNDNTCKEDCLKDAQVLLPSDEQSLDDEAYSCTYSLPAQVNGISKGSTKHETQHPLQSTEQVPHDRYVPNEGAGQEDDLVASDGAESLVSPSSNSAEPTGVAYDSSDKLYGVDPSEGTLYVEDTLDYEITLPENQHLDETFTSDTMMLDSGDATPIQGISDTVVGGACDAKEKDSEQNPVLHRKNDISSSRLPDYTEYGNADEMLSFGSNDVSIESNKLGNHVGTIASYQNEGINALENQNILNESTTPGKSFSSSGIPAPMLLSAALRVRTGQIMVPAAVDPAQANALAALQVLKVIEPDAQAGDLCSRREYARWLVIASNCLSRNTSSKVYPAMYIENVTELAFDDITPEDSDFPFIQGLAEAGLISSKLLSSDMNVPQDVDNRHNMFSPECPVSRQDLVSWKMALEKRQLPDVDKNSMYKASGYIDVDKINAAAWPALVADLGAGDQSITALAFGFTRLFQPDKPVTKGQAALALSTGDSAEVVMEELARIEAEKIAEAAVNAHGELVAQVEKDLNASFERELIKEREKIEALEKLAEEASAELDKLREERVEENNSLIRGRASVESELEVLSKLRSEVEEQLQTVLSKKVEISFEKNMIDKLQKEIENDRQAVVQLQYELEVERKALSMARVWAEDEAKKARENARALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEMKVRSHHALERVVQHVRSFISSLKQRAAEARQRCTDLGAATALKAKQLSSEAQCSVYAFGSSVGDKSKRVAEDCKEGLEKFAHRFKTD >OB09G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:9:14105846:14106004:1 gene:OB09G27310 transcript:OB09G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGWCWLSCNSTFERSFPGFTIMKSQKEGTCSCWHMDGLTKSSGYSWLENNS >OB10G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:586:6965:-1 gene:OB10G10010 transcript:OB10G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18890) TAIR;Acc:AT3G18890] MEQAAKVTISLSAAAPARCCMAAACPYRSMPRPRRGCFARSSSSLRHAPSSVRVYAAATTTPEPKTKDKDLAFVAGATGKVGSRAVRELIKLGFRVRAGVRSAQRASSLVQSVEQLKLDDDANSPAERLELVECDLEKQAQANIESAIGNASVVVCSIGASEKDILDVTGPYRIDFMATNNLVQAATAAKVEHFILVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEVLIGSGLAYTIVRPGGMERPTDAFKDTHNLVVAGEDTYVGGLVSNLQVAELIACIATNRRAAYCKVVEAVAETTAPLLPMEDQLATIPSNREPPPELDGGKPAPPKLRSNGTETAKQRLLSPYTAFVDLKPPSSPSPRPAAAAAAPDSAPTAATTLSAVLDYSALGSGNQLKQQQRPLSPYTRYEELKPPTSPSPRAPSAASSAALDSSANGPPSSGDQLNQQKRPLSPYTRYEELKPPTSPSPRAPSAASAPPDAPAAAASSAALHSSSGDQLNQQKRPLSPYTRYEELKPPSSPTPAPEL >OB10G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7767:10412:-1 gene:OB10G10020 transcript:OB10G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVHGAGTAETKLSKSFAMTVPCTGTRPMCWIVLEHGESVQPLQCIKSIRIAALSDMSTGTWHTLGLTLKTQKMNMDGGNESVMAELRWTAGWEDIQVDHPGGSDELLCKLMRAASPLLPPKIEVEGSVEVEPMHTDVSQLGSSETAPICLEDDSTEEDPEPRIYYGTDAETKSTFQLLQVLANNQGGRSNSAYGEFMRAKPPTFAGYEEPMKAEDWLRAIEKKLALVRAHEKDKVIFTTNQPEGTATDWWDTYK >OB10G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:18118:22224:1 gene:OB10G10030 transcript:OB10G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGHASSSAMDSFLHSGWHLHRRDNVDSRVHVAVGRSPEKTLGLLRWAFRRFACAHLVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSDKDEMTKILHTYLTFCHRAQVQATLLVTENEQIHDGIVTLVKHHGITRLVMGSTPDSCFKLKASYGKTSFMAKNAPSFCEIWFVWRGRHIWTREATAAIGNNNAVYNEDDVMIRKRIRFSSTSNSAEPILNEGYIVCEASIPADRYESSISDNGQPNDYEPLVGGNHFYNTSVPNLQHEQSAFNSTFQPGSSVHMESLVLYPQEILDKNFKQIIVEAERSRKDAFVELLKRKDTESRVASVIARAKDSEFAQKQEMKMREELEALLTATRKQHEDLVKDKEKATAGLDSSMRKLAILDARAKSITIQMNEAEAELELIQSSIETLNQGNPKTKKLEHLLAERVEGCTNCSSTVCADELYNFRELTLSNIQAATCKFSESFKIQPRCLGCVYKGEILNRSVMIYKFHSRIIQSSMQFKQEVHILSKVRHPHLMTLIGACPEALCLVYENVPNGSLHDHLLSKCGIPQLPWKIRARIVAEISSALLFLHTCKPQMIVHGDLKLENILLDADLHCKIAYSGISQLFMEDAKDSDPEYQQRSKLLTPRSDIYSFGIVILQLLTGLQAAGLPSQVRRAMSSGKLWSLLDPTAGEWPLEVSERLAEFGLKCSEAGGPELLSPEAVRDLEQLHLMRDNRQVPSFFLCPILKEVMHDPQVGADGLTYEARAISKLSEIGPPIIPNHALRFAIHDWLSQSSTPF >OB10G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:22979:27408:1 gene:OB10G10040 transcript:OB10G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin thioredoxin reductase catalytic beta chain family protein [Source:Projected from Arabidopsis thaliana (AT2G04700) TAIR;Acc:AT2G04700] MRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGEDQAITLEEIKDATSKI >OB10G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:36574:37275:-1 gene:OB10G10050 transcript:OB10G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKNNFRVHFVCGCRDLAEALRRIREGAAMIRTKGEAGTCNVVEAVRHVRSVMGDLRALCNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGILKSGDPARRARAIVQAVTHYNDPKILAEVSSGLGEAMVGINLSDPKVERFAARSD >OB10G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:49169:49489:1 gene:OB10G10060 transcript:OB10G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding APIPAKSLVSTTQVSFDFPPPTRATARPCRRPASRGVDWPKHPTPRSLRLTLPRRPPPSLPNLQQQNRLLWSNPWTGLPFGKVDAIFFLFATVCIILRIDFVLAIN >OB10G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:68253:70676:1 gene:OB10G10070 transcript:OB10G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3N0F9] MAMAMAAALFLLLLLLPALAAAQNQTFSANDGPWRPTETNRTLVSKSGSFGAGFLPSPSSPGKFQFAVWVNANPDRTVIWYAHKNNYAAVEADGSSTLAIDAAGKLSWTAGSSVVWSPSPAMNASASTTSLNDSGSLVHGAWSSFGEPTNTLMPLQAIPGAGTNHSITLQSNNSLFQLLNSYTLQHGTFMYANISSSVTPLLNFTPDGTLLLSGGSSLIASDKDSPRRLRRLTLDYDGNLRLYSLQPNTRQWRVVWQLVQELCTIRGSCPGQASICVPQGADAITCVCPPGYHSLGLGAGCAPKMNYSGRGNDDKFVRMDFVAFSGGAVTAVLDPGNYMTKLSPQNLADCESKCRANAGCVAFGYKFGGDRTCLHYTSLVDGYWSPATEMSTYLRVVASNNDTNNFTGMTTMIDTVCPVRLALPVPPKQGRSTIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKDFSDVVGRGAYGTVYRGELPDRRAVAVKQLQGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFAHGHGGDGDGDGGRKQPVLDLHTRYRIAPGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIDDIIDPRIVQAEAYDDDAASVATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD >OB10G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:73201:86313:-1 gene:OB10G10080 transcript:OB10G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYPLLISFCPKKENRAWVVLTNTVLMTNINRESGLLQEQSRKTKTRMRWSAMAMSTSAAQGGIILLFLFLLLQLICTPCSCSSPGGAASSSRVVRHLPGFDGELPFELETGYVEVDHTTGVRLFYYFIRSESNPEEDPFLLWLTGGPGCSAFSGLVYEIGPLSFDLHGHGHGDGGVPKLVYKAESWTRLSNVIFLDSPVGTGFSYAATDAGFRSSDTIAVHQILVFLNKWFGELHPEFLSNPLYIAGDSYSGMIVPAVTLGIATTSPSSVQQLHLVGTKTEQPYSLNLKLHTHTLCMPNRLQGYILGNPVTDHDFDTASKIPFAHGMGLISDQLYEAYKKSCSAKDNTQQQSVQCTNTLDAIDECVKDIYANHILEPYCTFASPHHPHKLINKPAFTSGVRQMLQLQDLHLSEISSECRTAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDVLYTSDIKSSVRYHLDLTTRGYRSLIYSGDHDMVIPFIGTQAWIKSLNFPVVDEWRPWFVNGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMLGRRTRSLWYAIGAIGTNNDNNAMGFGLRLIVLVALVGHDDVLLMERVVRVYYGGKIVETYAGANVEFEDMNVRTIMFGSKPSLEQLRSRVRQILGWTDENIGVDICGRYDVGKGHKYVVDVLGEMEWDCYIQLVKASEFRSLEVFVSRKSLDLAICNCPVEDNVLPSSIGEHVIRIEECSSRQDDLDRVMIDCEVLNDDEKVADVMVEDVPIVGVNADGIDRSVHADPSYVPDYENMEDEPISPRENAHQEELDIEDILNEPHSAELYENECADNSEDDRPLEPLSKEERKAFKKVPFWTVVYKWKESQNPKEYAIVHHRPYRVVHSAASRRYSVKCQDPQCKWKVNTRRRKSGSWKITRVVNTHRCASAKSSAKHRQLTSKFIANRLCNAIRLQPTLSASTLAAYIFEVFHYKVKYGKAWRARQEAMKLIYEEWDEAYSRLPTLMQDIKQRNPSMVYNIDVYPDQWINTNRVEKKIFMRAFWSFGRCVNAFKHCRHVIPIDATFLTGKYKGALMTALSFDGDGQLIPIAFALVEKENSRDWAWFIDRVRRVVIGPEREVCIISDRHPGILNTMRNDIGDLPLIHHRRCIRHFAANFHKSGADNEHMQDLMRICQIEEPWIFDRDIEKLRQLVSDRPRKWLEDSLSEKVRWSRAYDEGWRWGFMTSNMAEQFNNVLKGIRRLPVTAIVSFTFIKCNTYFVDRHEKAVKHVQGGHKWAKKVSCLHKREEKRASKHTVTCFDKQSKTYEVTERGGITGGALSLVQELSRWREKPTGAVVRYRGCTTDLARTSFIMADEEGNADYPALHESWEVSHRGRAIDRGRVLRPIRIRCKRPMQLDERYYRYLRTVRFLGTVVVLDSRLPQFNAAAMTALVDRWWPETHNFHLPCTTKGVREPAHQPPYRSIKRVTRYDRVHTKKVSDWAAKHRAHIDAWRTASGNDQYIAAIHQDRHFHEYLSWYQRTYRVFLRPTWTEDDIVEAPSSGEDNVYDKTTRTGSQMEQAPSRELMRNVNEIGHALGSPSGGPDTESTLHNVLEVFAFSQGVSMLQKNGGEAWMQSRGPGRVRPTSYPAPCERGDAPARRSPQLDPPGLILRRRHHVLAKARRCPPPLPSEDEEEEEDEDEESENDDEEYTGTDAEEIGMSQLPDAPHASQQNQARTRRARQGTQSNRYRGLKVPNGVDLRGGGEVGVGRAKTYRIETCKANALQFAK >OB10G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:81695:83839:1 gene:OB10G10090 transcript:OB10G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPTFIVLCDAFAAIVTKEATKFIATIPIRHWCMRAKGGGNRQPLLDDSAPPPPSAGQESRSNKLGAAGDNQFDQAVDVDTFTIKEDRQKPTFQA >OB10G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:93936:96073:1 gene:OB10G10100 transcript:OB10G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWWATSRDGRSRDHGREWGWIRRWRHQRYVAAAADLATGRWRGHDGKWRGSSGGRRQEEARAQAEDEWMTIAFARAHALGGVRVCARAASLHALSLATERRSYLAFLFSQARRSIWHLLNEDLKPVQATKGCTRGCKIGLIPFCPFGSLGEN >OB10G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:96454:99665:-1 gene:OB10G10110 transcript:OB10G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLGLLLLLLLGTTWAAAASARRNVVREVKGFEGRLPFHLETGYVEVDEQHGVELFYYFIQSERRPADDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFQDSWTKVSNVIFLDAPVGTGFSYARHEQGYNVSLTQTGHHLLVFLTKWLADHPEFSSNPLYIGGDSYSGYTVPVTALQIANDGHLNLKGYLVGNAATDIKYDDGGKVPFMHGMGLISDEMYEAARKSCRGDYVSTPTNAECASALQAINSATFAINPVHILEPICGFALGMGRRAMAEERLRLGLPVECRDNGYRLSYLWADDPEFRHDVESAIPYHEEMTQRGYRALVYNGDHDLDMTFVGTQAWIRTLGYPVVTAWRPWYSNRQVAGFTTVYAHNLTFATVKGAGHTAPEYRPKECLDMLDRWTTSPDGHL >OB10G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:123444:124905:-1 gene:OB10G10120 transcript:OB10G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQVVQAISSIDFACTDDHTTLMGDESGRDLVDMLQHTETEVIVVLVKGLDNFEALQKAVRESLYGQMKGKTTCCICVNGTVYRNKTFIGKFVFELTKKSKMVFEKAKKKLEKHEKSTTETMQQDEPNVSFKKHSIFYKYLLYSKDLDNRLAIDVMHMEKCVRWYNQAIIGHTKCKFF >OB10G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:126999:127423:1 gene:OB10G10130 transcript:OB10G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQAPPPRPHWRRRDPLDAEVYVVHPTQFRSVVQQLTGAPPGAAHDGAXXXXXINTSHQDSKNINGSGGRGTGTTLGQMYEDCIAWAAQDDHHDQRT >OB10G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:133014:137333:1 gene:OB10G10140 transcript:OB10G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDIEGMFNQLSLSCGHTALNRRPDAKIKNFFEVILAKGYGSLKSYDHNNRDYCISRDVCQAPKPERPFGAFRVAMCACRRLEMKMIFRKFVFDFIDFVFVSVFKYRSRKRLRCFPTVFIPTGGTTLAEDVSEEPGKVVQVGLVTTPPGSPEAKRCASVDADCSPAHLPGEDDRYVGTELVGFSEPGTTSPVASSSTSNSVDCTVPAPALHWPLPCHFLPKNNIVTYSDLPVTRIKQPMSLASLFHTKASNGVRSPIAFAGTRLSKYTVWRTASPENLPSTDIPFRACLDAREKKPRK >OB10G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:148204:149148:-1 gene:OB10G10150 transcript:OB10G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRRLLLAAAASAAGYGLYRLYLHQRSRVVAVLSLADAVSLVASDLADFLRSDSDHLPRSLLQLSKLAASQPISSAASSLSESLASGLLRALSQSQSQSHSHSHSQSQTDRILDRLLSPAGTGFASALVATFARNVVLSYHTTHRARAHDHPDWLCSDRGKDAAADLVRVFVSTAVAAYLDRTATVRGKSEQTVDPKLKDLVVSACNGAVETFVRTRRQASAPPNSNRCRCSGDGVMETLAVPSNRRFVLDVTGRVTAETVRSFLEFLSDGARKGIATARSDMGAKSMAIFTICLALCMHISVGTRFLLLPA >OB10G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:154291:157571:-1 gene:OB10G10160 transcript:OB10G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEMTAERQARQRHDGPWLGLQCVIGSDIDEVLAHERLRGVVGDDTELDVGKGQAMKKQSAASPVMGLWACNFVWDPGPRGAQVGNKVDLYRQDQQPKERCIDR >OB10G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:161001:161192:1 gene:OB10G10170 transcript:OB10G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVQISQIKKVQGDEYSDLKVVSITQNKDWWSHHTYIRQPKVSAKHMHSSLTLPISQKHEPHN >OB10G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:167316:172466:-1 gene:OB10G10180 transcript:OB10G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASGFTKAQEGTARLGVKEGTWLYSLLRNFPPSEVEYCSDGGDFEVDASHEIQGEVHAVVWQLEEQDITFRRIQKKIIVVVRALSCHGDNVVPRHSGGSAAGGRGRSSAQDGRLEVRLPYRFIPDAWREKIDGQGNEEGATVDNMDPSCPFLVLDKYDWDKIDEWVDL >OB10G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:202553:203688:-1 gene:OB10G10190 transcript:OB10G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGAFSFPCPAPLLLRPARRRAEPPPDLEKLDRNTQNGTPNSAAAAVSSSDTAGANPNPVVAKIVLSLAPGKPFPLPTLPPTERPASSCSATIACCKVLTSTAISVQSLLGFVNFHLHYSIKAQVPCISKTNMESRSGGNLQPHGLAEGKEDKQTVFKFRVAQLGHQNPATKPTVQVPVRLLNFTIKSFGGTVEVGGHLHLMKMKTSLIRLLTGK >OB10G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:206540:212304:1 gene:OB10G10200 transcript:OB10G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPVQPQPTRGEPAVFGLALDHMMIFLFDATYPKLVSQIQTDEAKGRWEVGTGNQAG >OB10G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:220112:221616:1 gene:OB10G10210 transcript:OB10G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNRDLFLLLRSLRVHFQSLVTVWKPRELLSLTDSFIRETDGHVVLLRDIAKKNLEKWSDFLSGHTCFRLSSTKVVTDLEKKFEKKSNEQTRPPGVSTYWVMVDNDESKIKKLSEILDKFRSDFVMLYIDCLEEKAESFQRVIADIYHDKGSRKWQTGSCSIFLCNQNEFGTRLSGRNRLDIVLDATVPVDLFYPLEHGRSSTRVVFAWADQLHRLIKAGVDELNAEAYIDFHNIKLTPVKKHGNEGKKVTLMKEGKEGEGQERQKEGEEEQGSEMKVDKMGHVRKINFFAPC >OB10G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:225654:231187:1 gene:OB10G10220 transcript:OB10G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRANQVFAYLCLLICLVPFLRRAGRSKFGSLLSLGHDHTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVLVRRAASAASKLAKHAYEAGSTNKRSDDELLPLKYCLISVSLPWDYIAHDLLHKVKSISTCK >OB10G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:248226:248438:1 gene:OB10G10230 transcript:OB10G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISHRRGGGLTLLLLLSLMTMVATFAVAGNEGRRMLGGRWSTPGSPMPNGYHQTGCPNPNCTPPSRW >OB10G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:256684:260011:-1 gene:OB10G10240 transcript:OB10G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLWRAVLSSSSCCSLLVLLLLLVASGTESQNKKPAGYACVSEKSQCVNSTNGPGYYCSCLPGYRGNPYEYDDENHGKGCLDINECTDPERYPCKGGICNNIPGNYTCHCHAGYQSPDPKTQDCTPKFPVASRIALGISLGFSLLIVVVFIALMMFQKRKMNEYFKRNGGSVLQKVDNVKIFSKDQLKKITKNNSEVLGQGGFGKVYKGTLEDNTIVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLDLRLDIAIESAEGLRYMHSSTNRTIRHGDVKPANILLTDKFVPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKAYDQDNSGRRMFDKDIEIEEDILIVEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRYRKGGRGSYNLSPRNFEETSIEGTPNSFGAEISESSSAAVSAPPTPAN >OB10G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:286146:287530:-1 gene:OB10G10250 transcript:OB10G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVGLALGLSLGSGAHDVLLREPPQATCTLEPSLTLSLPADSGLTTPVIMPAAAVKRELREEEEEEEEALYNYSVASSSAVAAEEEEGCNSRKKLRLTKEQSALLEDRFKEHSTLNPKQKVCLAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALTHPFFIPAATLSICPSCDRLAAGPSPTTAVDRPNNNKAAGFFNPFAKSAAC >OB10G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:307911:314413:-1 gene:OB10G10260 transcript:OB10G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:J3N0H8] MRVHDDEAEAEAPDLMAPPPPPSLVVGYALTSKKVKSFLQPNLLALARKKSINFVSIDETRPLSEQGPFDIILHKLTNKEWQQILEDYLEEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLCNGYGEVCTPRQLVIMKDPLSIPSAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNNAEDAEIDPCIAELPPRPLLEKLGRELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVPNKYKRRLSGN >OB10G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:346925:353233:-1 gene:OB10G10270 transcript:OB10G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGMGMERSSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRILYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGSPLTGHRKWITAVSWEPVHLQSPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGFIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGGYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OB10G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:355056:358168:-1 gene:OB10G10280 transcript:OB10G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSLVVAICQYGGEFTSGPNGNLIYKGGEAHAVDVTREMSLENFKDEVSKVFHVDVADVSLKYFLPNNNRTLITISCDRDLQRMVDFTASSAQVDVFLISRVENRSIVSHTGASTTKPGSNARVDKRKRTPSKNKASKNNKKPPSSTGTAVQANSNNVKQPRQVVTENDDNRAFQLEFGSDIAFATTAGAGSTAPDILNQQKLALVDNAPREPVGLFDDSVNPYVSSEIATEPQGLNNPIVFWDDIIKGVGQEFDNVKDFRAQLCKYAIGKGFVYRFIKNETTRVTVKCVGEGCTWRLHASESSRNKKFVIKKMTDEHTCGGGSGEGQRRATRQWLTTVIKEKLHENPLFKPKDLVKEIYEEYGVMLTYSQVWRGREVAQKELYHAIRETYSHLPWYCERLLETNPGSIALLSPMVDTKFRRFFVAFHASLHGFTNGCRPLIFLDKVPLKATNEYKLLVAAGVDADDGVFPVAFNVVEDENYESWVWFLMQLRFALQNHNYHYDAITFLSSGQKGLDAAVPQVFEESHHAFCLHHIMEEFKGELRKGPWSQQIRDGMVEDFTRAAQACSIEDFNASIESIRNISTEAADWIIASKPEHWSDAIFRGCRYDHFSSNIVDAFNNWIPTKKEGSIVLMIDSLRMKVMEVTEARREACKSWTGPLTPSMEFKAQDEISKAGKLTVLCSSETVFEVRGSAIYVVNLANWECTCRRWQLSGLPCMHAVAVFNRIGRTFYDYCSKYFRIESYHLAYSGTIFPIPDMDTIDFSAGANMIPPPKPRTSDKPRRKRLNPNKIPTVIRLCSRCKQAGHNKATCEAIL >OB10G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:364297:365559:-1 gene:OB10G10290 transcript:OB10G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFTDEKGNVYYPNGGLPPMWQQHGSNSSIPPPPQGWHMHGGGNSLSHHPAAPLSGDMSGPYSSGPYGPPLPPPSPNVALGFSKSSFSYEELAAATSGFSSANLLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVANNTLEHHLYGHGGLDWATRHKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANFEAMVADFGLAKLTTDTNTHMSQIVRALEGDASLEDLHPHEKPGQSGIFSSGSVDISRHRQLAFDTDDYTDDDYFTHTSHR >OB10G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:368895:377245:-1 gene:OB10G10300 transcript:OB10G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRASTRKRAASASADPSSAKRTRRPKVKEEEEQLPPPQPVELLEDDACKEEPDEEEMALEEDDEQLLLDDKDAPAPXXXXXPSRVRHSRADGDHEPEFIGEPFPAHEARAKWPHRYQRNGNAAARRPDEEEELKARCHYRSAKVDGIVYCLHDDVYVKAEEDKADYIGRITEFFEGTDHCRYFTCCWFFRAEDTVISSMMMENVHDHNHDLKRVFLSEEKNDNVLDCIISKVKIVHIDPNMDHEAKSQRVADCDLYYDMSYTVAYSTFANIPPENGASGSDTASGISSDDMDSSRGKVASDSEASSSMGKATLLDLYSGCGGMSTGLCLGAALAGLNLETRWAVDFNSFACESLKYNHPKTEARNEKADEFLALLKEWAKLCDEHVKNNICSDPAGSSENEDDDDEPLEKDEFVVEKLSGICYGGSDREDGIYFKVQWKGYGREEDTWEPIENLSACPLKIKEFVQDGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRNEPLKDEKNKQMVTFMDIVKYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHDVVVRGGAPNAFSQSIVAYDETQKPTLKKALLLGDAISDLPEVNNNQPNEVMEYGSSPKTEFQRYIRLSRREMLDNSFEGNAGPDVGMLLDHQPLKLNNDDHERVQQIPVKKGANFRDLKGVKVGANNIVEWDPDIDRVYLSSGKPLVPDYAMSFIRGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPTQARVLSVRENARLQGFPDYYKMFGPIKEKYIQVGNAVAVPVARALGYSLGLAYQGDSEGSSPLFILPDSFTAVGRQLAPARASSVGIPAGEVVEQ >OB10G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:383443:392295:-1 gene:OB10G10310 transcript:OB10G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMLAEAPPPSSSSAIWSRRRDDITFDRLDKFWSALSPQARHELLRIDKQTLIEHARKNLYCSRCNGLLLECFTQIVMRGKSLHQEGSCEIDNVQDLSVHNWGGLSTTREGILTLLDCFINAKSLHVLQNVFDNARAREREREMLYPDACGGGGRGWISPVIANYGRGHGIRDTCALHTARLSCDALVGFWFDLGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRLRREPRCTSWFCVADTAFQCEVFEDAVLVDWRQSFLDHDRSYNRFEWAIGTDEGKSDILGFENVGMNRQVHRKGLDLDQFEDYFVTLKASSPDGSSRQFCVKAHALKGQSCVHRRLIVGDGFVTITEGESIESFFEHAEEAEEEDEDDAMDRDGNDPDVDGAHPQKHAKSPELAREFLLDAATVIFKEQVEKALREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKLRRKERLKEKEKERMPVQSKPSNDTLSSPLSYSAIPVNDQSPDISHSEYPASDDEDSVVVTESFSPDTSVDQSLTSKPDGLNEFRCSTTPELIPSDCNGTFMCEQSTSSRRKLRFRRDSLQEQSTGFWYEDCQDDQGAIGDIHWQSRERARNAGRGCNSAFGANNRTRERYEYNACSCGQQEDYRYFSSTARSSREMKMSRKTIVEKPRLQYRRCYPLDSFIVSKGSRVGSTSNKNVAPKQVWEPMDARKKASLGSSNDASETVDGADGSNQMVSSKDIVNSNQNHNLECEVLAEACSDRAGEACRSKTDQPRENGENNRDACNDEPLVVNKPDCCLAKDGQMPTMTSSDSSSCLSEGDRDSSMSSTTSLSAQNPESSSTSDSEGSSERNNSSPGNLPTKSGSRSLLEMCAGNGFREYQPQNIHPPDANQFGFVATPFQEQPLHHQKVHAAPYPSTLVGFHNHHMPVATNGYLPYHPQPGHFYTNPVGYGMAGNQCVDFSMQYSNVHPYAGPEFGFVPAQPVHKTPVSFNTMPPTALFRNGAPAVMNPVIVKPERQHQIALTPESKQVGPQNGCSEDKKPQDASAPFSLFHFNLPISSPAQVSQASSKDDEASGGSMASRTSTPAGQAQPCSREETNIKEYNLFSARTGVKFSFF >OB10G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:410406:425605:1 gene:OB10G10320 transcript:OB10G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNTEEVCCNDHQVDVVAAACDMLGEKQLVRQVILEGLEIEEPPVDETEVAEKKAGIARLMAGYVQHLQHRSAFHLGYPLNLDYDFSPLAPFLNFSLNNAGDPFCKVNSSVHSRQFEVAVLHWFADFWEVQRDEYWGYITSGGTEGNLYGLLLGRELFPDGILYASYDSHYSVFKAAKMYRVKCIRIATTVSGEMNYADLKSRLLQNTNSPAIINANIGTTFKGAVDDIDQIISTLEKCGFQNRYYIHCDGALSGMMTPFMKQAPKVSFKKTIGSISVSGHKFMGCPMPCGVVITRLEHAKVLSTDVEYIASRDSTITGSRNGHAPIFLWYTLNKKGYKGILKEVQMCLGNARYLEVLLKQVGISASCATTSNIVVFERPKDEKFVCRWQLACEGNLAHIVVMPNVTFEKIIVFVEEFAKKRRDWYQDKGFNIPCLAVDIGKENCYCNLHAKKLRIHKM >OB10G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:416391:417854:-1 gene:OB10G10330 transcript:OB10G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKAKRSDPELVPPALPTPRETKALSHIDTQPALRFYAAGVEFFRRRPIVDDVHDPPKVVKDAVAKALTYYYPVAGRIHELPGGEGELVVECTGEGVVFVEANADVTLDELGDPLVPPYPCVDEFFCDPGDTSVVIGKPLVFMQVTKLKCGGFVIGTYSCHNIVDAFGHTQFLKAIADIARGDDHPTVLPVWGRELMAARNPPNIDVTCLQHYSICTNIISPLATEDDMVGEYFVFGPREITALQHHAAQLSSSTTAFEVITAALWRCRTVALGHHHQTETTPTSLLMTMNARGKWKHDPPLPQGFYGNGFVYLVVEADADELRNNSLGYAVELVQKAKLNMTEEFARSMVDFIALHGGPPYVPGWTFVVSDITRIGEDALDFGWAQRVGGGVPMIGDVKCKQVSYQMRCINGSGEDCVVASMFLPKPAMEVFAKEILMLSKEID >OB10G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:427253:429450:-1 gene:OB10G10340 transcript:OB10G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAMVTFTARRSKPEMVTPARPTPRETKTLSDMDDHHAHLVYTPLVEFFRCRAGARAPEDPAKAVKAALAEALVWYYPIAGRLREVTGGKLVVECTAEGVAFVEADADVRLEEFGEPLLPPFPGVPMDELLCDAGDIAVVVAKPIIFLQVTRFKCGGFVMGFHICHCIADGFGMIQFIKAMVDIARGSDEQAPMVLPVWERAPLPAPPSTVVDYPISKSEIKNSTSSIDDDIMLSTPQESMVGNYFLFGPREISVLRSHIIKDDHDLGRTTSRFELLTAVIWRCRTVALGYKPDHRVHFIFIANARSHRGEDCLRIPEGYYGNALTYHIVGATVDELLRNSLAHTVGLIREAKLKTTEEDVRSTVEFMASQRGQRFLSLGFDRAYVVSDFTRLGEDRLDFGWAERIGGGVAKPSFVSYHGRCKLRGSDGIDEEAIAVSMLLPKEAMDRFEKELAVWLKLNKGDGAESAKVF >OB10G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:432908:434038:1 gene:OB10G10350 transcript:OB10G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWSNIAFPKFLGGLGFTETRAMNTSLLAKWILKLESNDAGGGGLSFFWQGLHKVKPWVYRGVSWIRVEETFRGGRGGDLRALDISSAGGCKAFQKFKVRDWLK >OB10G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:449760:453230:1 gene:OB10G10360 transcript:OB10G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPSSERQIQPTAKPASPTPESAAPFPPEPASLTPPAASSLSLLASSPSPSPTSPHPDPDRAALHRRLPESKLTITVVDLNGNPTQPPKIATRECIGILHKSFKEVPDEEKELAWERLKDKFDYPPEAVDPPLDLTGKYPQITAEVWTEFLTLKKSSEFINISNEHKRLQARNVHPHRLGTGGYIGKADTWAEEDEAARRSGAPVPFADLEEERARNWARARAKQNPDGTVMFPNEADADVYRQMQYFVVSDQSSQSEIESVKREDDILTRALGNPEHGGRTRGIGSTVPWNIGMPKYLAQYKKWKISRAEKEARLKEELRFQLSQELTKEFNARLTHEVTKIRQELHSSQAGTTVVIHAGPQVYVSPTGLPSSCASTGMDAQDRVVPSAVDHINEDTAPCVLQVRVTAKFSSDADEGLVFKPSKTIRVHALEVEDVPPNLPPIKTIIWASSSPSMMYQKKDTKGRMCWRESRKKGLRVGFLDPSLINETTLKSNLDSTIEYIGMSLWAHQDKEAIFLAHNQQRHWILICICPKWNMVYYFNSAILSIYTWVPITEALDRAWEPYVTKGGKHDAKRTGHTHKLDFPIAQQTGLMCGFHVCHHMSNLS >OB10G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:464658:467425:-1 gene:OB10G10370 transcript:OB10G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N0I9] MAAASSWCLVVVMVVALCVGGGAAQLCEDYYDCTCPDAYDIVRRVLIEAHKSDTRIFASLIRLHFHDCFVQGCDASLLLDTVPGMPSEKTSPPPAPNNNSARGFPVVDDVKAALEDACPGVVSCADILALAAEISVELSGGPGWGVLLGRLDGMTSDFNGSLDLPAPTDNLTVLRQKFDKLNLNDVDLVALSGGHTFGRVRCQFVTDRLYNFSGTGRPDPTMDFAYRSFLSQRCPPNGPPAALNDLDPTTPDTFDNHYYTNIEASRGFLQSDQELKSSPEAGGTTAPIVDRFASSQGAFFASFAQSMINMGNLGPVTDPSMGEVRTNCRKVNGS >OB10G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:476356:478590:-1 gene:OB10G10380 transcript:OB10G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N0J0] MEMEYSYSCSFMLVCSVLVLCASTRCVRCQLSDDFYDYTCPHVYNIVQHHVYSAMRTEMRMGASLLRLHFHDCFVNGCDGSILLDGDDGEKFAIPNKNSVRGYEVIDAIKEDLENICPEVVSCADIVALAAGYGVLFSGGPYYDVLLGRRDGLLANQSGANNGLPSPFENITSIIGKFGDVGLDTTDVVVLSGAHTIGRARCKLFSNRLSTTSSSADPTLDATMAANLQSLCNGGDGNQTTALDITSADVFDNRYYQNLLNQRGLLSSDQGLFSSVDGVANTKDLVQTYSANGHRFFWDFARSMVKMGNISPLTGDDGQIRKNCRAVN >OB10G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:481161:486072:-1 gene:OB10G10390 transcript:OB10G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIAETKLHLLPLLHGTPSQEHGPNTGNGSVDVKGNPASKTHTGKWKACYSILGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIASWQGNCYLTTILGAFLADSYWGRHRTIVVSLTIFTFGMVSLTLSAVIPPNIHASMVISPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDSDSSEKAQKELFYNWYYFAVNGGFFVASTAIVWVQDNCGWALGFGIPTLFSVMGVVGFLASMRFYRYQKPAGSALTRICQVVVAAFRKLHIDVPSDSSLLYEIPGKESAIVGSRKLMHTDGLRFFDRAATVIASEEASASPWKLCTVTQVEELKILARMLPVFLTAIIFNTAEACFPLFVEQGGAMDNHITDGDAFALPPASLMTFTCVCILVLAPSYDRVLMPAVSRLTGVKRGLSELHRIGVGMVFTVLALAAAASVETARLAAVQERGSGVAPVSIMWQAPQYLFVGVAKVFSVVGYIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSAMLSIISIISSVSGGGGWIPENLNEGHLDRFFWLMAALHLINFLVFVCCATSYKRKLAT >OB10G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:496369:498982:-1 gene:OB10G10400 transcript:OB10G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDEERPLIHYLPPQEQCSEYTCDGTVDIDRRPAPKQSTGNWRACFFILGAEFTQCLCFSAVLKNLVRYLTNVLQESNVDAARSVSTWIGTCFFAPLIGAFMADTFWGRYRTVVTCLSVYTVGMLVLTISASLPLTLHDPYINSGDGIRRVVAYLGLYLIALGAGGIKPCISALGADQFDGGDPVERVTKGSFFNYYYFTNNVGTLLSTTVLVWVQDNVGWGVGFAAPMLLMGFSLAMFVAGRRVYRYRKLGGSPLTRVSQVVVAAARNHRMKLPDDSSLLHELPRLNDGDHYRVQHTTQFRFLDKAAIPSDDNSPWRLCTVSQVEELKMLLRIFPLWASLLLFFVVTAQMSSTLIEQSAAMDGRVGPFTVQPASLATFNVVSVLIWVPVYDAVLVPLARRVTGNARGLSHLQRIAVGLALSAVAMAYSAQVEARRRASSAPMSIMWQAPSYFVLGMAEVFTSIGMLEFFYEQSPESMKSLGTSLAHLAVATANYLNSGVLGVVAAATARGGAGGWIPEKLDEGHLDYFFWMMAALSVVNLLQFMYRSCHVHS >OB10G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:501748:504761:-1 gene:OB10G10410 transcript:OB10G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAADEERPLIHHLPPQEQCSQYTCDGTVNIDKEPALKQSSGNWRACFFILGAEFTGSLCFFGISKNLVTYLTSVLQESNIHAAQSVSIWIGTCFFTPLIGAFLADTYWGRYRTILISLFVVVLGMLILTVSASSPLFLNASHYYGDISHVTVYLGLYLFALGHGCLQPCTPAFGADQFDSADPVERVIKGSFFNWYYFSMHMGSLLSTTVLVWVQDNIGWSVSFAIPTLLLGFGLAMFVSGRRVYRYRKLGGSPLTRVSQVVVAAVRNHRLMLPDDSSLLHEVPRLNEHGYKTQHTAQFRFFDKAAILPNKNNAAQSSPWRLCTVSQVEELKMLLRMLPVWASLFMFFVVTAQVTSTLIEQGTAMDGRIGPFTVPPASLASFDVIGVLICVPLYDAVVVPVARRVTGKDRGISHLQRIGAGLMLSTAAMAYSAAVEAQRLAAAAPVGIMWQAPCYFVLGMAEVFTVIGAMEFFYEQSPESMKSLGTALTHLAIAVANYLNSALLSVVAMATTSGGGGGWIPEKLDEGHLDYFFWMMAALSLLNLLQFLLCSVRYRGNNTTLSS >OB10G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:509557:510114:-1 gene:OB10G10420 transcript:OB10G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVHMATARTESRMMAMRTTPTETILPQTAAEGHSQGFITVETVVEVPSEDKKARRWFSKQFNRQP >OB10G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:510895:511071:1 gene:OB10G10430 transcript:OB10G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPWSLINCFGLFCELSLKWICDNFYFWYGLQTLNLQNLRNKFHSTLELTCNCYEFS >OB10G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:513345:515739:-1 gene:OB10G10440 transcript:OB10G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEERAPLLLPQPQPPPQDADSEYTGDGSVDINNQPALKRSTGNWRACFMILGVEFSENLAFYGISKNLVTYLTKVLRESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPLYILGLVALMVSTSLPASMTSSDAGHQLHSAAVYVGLYLVAFGNGGVKPCTSAFGADQFDGGDAAELRRKGSFFNWYTFMINSGSLLASTVLVWLQDNVGWGVSFVIVVVVMAFFFAVFFAGSKVYRYRPVAGSPLTGLSRVVVAAGRKWRLELPEDSSLLHEDQAAAAHRIKHTDQFRFLDKAAIVVTPSSGEKAASPWRQCTVTQVEELKMVLRLCPIWVSLVLFFSVSSQMSSTLVEQGMAMDNHVGSFSVPPASLSTFHSIGVLLWIPVYDAVLVPLARRVTGKPKGITQLQRIGVGLALAALIMAYSALVEERRLAAAHTGAAPISIMWQVPAQLLHGAAVVFTSIGKSEFFYDQAPRGMRSLCTALGQLAIAAGNYLSAFLLAVVASATASGGAPGWIPDDLNKGHLDYFFWLMAALLLLDLAFFIYCAMRYKGNVAAS >OB10G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:524938:533200:1 gene:OB10G10450 transcript:OB10G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLWWSVISSSSCSLLLVLLLLLVVSGTESVSVNDRPADCQARCGDVGIQYPFGIGPECSRSKGFEIACLNSSGVPTLAGTNYSPPIQVKNLSVAPTPVVQVMLPVAYRCYNSSGGTTDLFRGQIELNKDGVYRISDTQNMLVVLGCNTLAYNSNGDTQGKGPYSGLYYTGCVSYCNDSQIAQDGMCSGVGCCHVDISPGLTDNVVTFNSWDRGFQVDFSPCDYSFLVDKKEYQFRRSDLDKDLNRTMPVWLDWAIRDGDNVCPPPDVLKKKKPAGYACVSEKSQCVNSTNGPGYYCSCLPGYHGNPYEYDDENHGKGCLDINECDPSNKYPCYGVCENIMGDYKCTCRTGYQPSGDGPKKHECSPNFPVAARLALGITLGFSFLIVVVLVTLMMLQKKKMNEYFKKNGGSVLQKVENIVIFSKHEIKEILKNNSEVLGEGGYGKVYKGRLKDNTPVAVKTSIEVNEDRREDFTNEVIIQSQMIHNNIIKLLGCCLELDVPMLVYEFAANGSLKDILHGDANRLVPLTLDLRLDIAIGSAEGLRYMHSSLSNTIRHGDVKPANILLTDKFIAKISDFGTSKLLTVDKEFTGVVAGSMGYIDPVFYSTGHLTQKGDVYSFGVVLLELISRRPTIYGKNCSLIIDFQKAYDQTNSGRSLFDKDIATMKEDVLILEEIGRLAMECMKGKIEERPDMKEVVERLVILQRSMRLRLENFHVSPQLYLEKNNIEELHKSFGDSTTSSAASPYDAFQSSSKESLITCTEYSYIWS >OB10G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:533657:534052:-1 gene:OB10G10460 transcript:OB10G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASLEMKRLAIVGDDDAAAPAAMSILWQTPGYFLHGVAEVFTCVGMSEFFYDRALDGMKSLCSALGQLAIAGGAYLHAFILGVVSVATTSGGAAPRWIPDNLNEGHLDYFFWMMATLSLINLAVFVTI >OB10G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:534517:535262:-1 gene:OB10G10470 transcript:OB10G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNSTPVLRPKRFVQKPARYVSPVVVGKPSVSKHEVSIQLRDYLLTKWPTLVYFIELDSHVAVGYDVEESFTNEKLTEGFYIDAFGTILFKDYSCYRPDTFGKRIFIPTSI >OB10G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:536071:537281:-1 gene:OB10G10480 transcript:OB10G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSFTPRIHMYTKDIAEELVTADCKSGGKGAPMYGNLPLHAIDTTCYVIKRSGSGAAFEVIRAPSYTFPNMASIIKPHLQLLPKEQRVGLLQSIEEYDKQAKECVVEIERQFMIVVDKHHMICQRVIDAIQNGRMTQKPPGSQHEAASTQSRRATSSSMRSTIEQQLQQVEHEQHGEQRQNEEEENEQQDEEEHEKQQRHDEHVQEVVQEQREDVPEGEHEQNVQDNEQGLVSVEDPTQQLSGQRQKDDATGSDHCQQLVGIEQTIDKRQFGITNIELIVNI >OB10G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:551985:556695:1 gene:OB10G10490 transcript:OB10G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLWWAVISSSSCCLLLLLLLLVASGTESVSVNDRPADCQARCGDVDIHYPFGIGLKCSRSKGFEIACNTSLNSSGVPTLAATNYSLPIQVKNLSVAPTPVVQVMLPVAYRCYDSQNTITKEFNGTTDLNKDGVYRISDTQNMLVVLGCNTLAYNKNGDTQGKGPYSGLYYTGCVSYCNDSQIAQDGMCSGVGCCHVDIPPGLTDNVVTFNSWNRSFQVGFSPCDYSFLVAKDEYQFRRSDLNKDLNRVKPVWLDWAIRDGGNACPPPDVLEKKKPAGYACVSEKSQCVNSTNGPGYYCSCLPGYHGNPYEYDDENHGKGCLDINECDLSNKDEYHCYGICKNTMGGYDCTCRTGYQPSGDGPKKQQCSPSFPVAAQLGLGIPLGFSFLMVVVLVTLMMLQKKKMNEYFKKNGGSVLQKVENIVIFSKDEIKKILKNNFEVLGEGGYGKVYKGRLKDNTLVAVKTSIEVNEDRREDFTNEVIIQSQMIHNNIIKLLGCCLEVDVPMLVYEFAANGSLKDILHGDSNCPVPLTLDLRLDIAIGSAEGLRYMHLSLSNTIRHGDVKPANILLSDKFIAKISDFGSSKLLTIDKEFTVVVAGSMGYIDPVFYLTGHLTQKSDVYSFGVVLLELISRRPTIYGKNCSLVIDFQKAYDQENSGRSLFDKDIATMEEDVLIVEEIGRLAMECMKGKIEERPDMKEVVERLVILRRSRRLRQENYHVSRPQLYLEKNNIEELHKSFGDDSTTSSAASPYDAIQSSCKESLITSTERSYI >OB10G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:557141:557464:-1 gene:OB10G10500 transcript:OB10G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWQTPGYFLHGVAEVFTCVGMSEFFYDRAPDGGMKSLCSALGQLAIAAGAYLNAFILGVVSVATTSGGAAPRWIPDNLNEGHLDYFFWMMATLSLINLAVFVTT >OB10G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:563528:571123:1 gene:OB10G10510 transcript:OB10G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFWLLAGVLPLVQASVVAGDRPADCPTRCGDVRIPYPFGIGAGCFRSDGFEVICNTSKNNGNSSVVVPTIAATRQRAIQVRKLSVYPRPEVKVMLPVAFRCYNSSGGVTRKFNGEVEFNKMGVYRISDERNMLVVLGCNTVAWTQHGKSEGIGLYINLYYAGCVTYCSDSGSAKDGKCAGIGCCHVDIPPELTDNNVTFQLWPRGEQVEFSPCDYAFLVAKNEYRFQRADLKMKLKRTMPVWLDWAIRDTNASSCPPPDVQKTTAGYACVSANSECVNSTNGPGYYCRCSNGYHGNPYHNDGCQDINECDLSNKDKYPCHGVCNNIMGDYECRCRTGYQPSGRGPKNDECSPKFPVAARLALGITLALSFLIVVVLVTLMMLQKKRMNEYFKKNGGSVLQKVENIVIFSKKEIKKILKNNAEVLGEGGYGKVYKGRLKDNTPVAVKTSIEVNDDRREDFTNEVIIQSQMIHNNIIKLLGCCLEVDVPMLVYEFAANGSLTDILHGDANCIVPLTLDVRLDIAIGSAEGLRYMHSSLSNTIRHGDIKPANILLTDKFIAKISDFGTSKLLTVDKEFTVVVAGSMGYIDPVFYLTGHLTQKSDVYSFGVVLLELISRRPTIYGKNCSLIIDFQKAYDQTNSGRSLFDKDIASIEEDVLILEEIGRLAMECMKEKIEERPDMKEVVERLVILQRSRRRQENYHVSPERYLEKNNIEELYKSFGDDSTTSSA >OB10G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:572333:574838:-1 gene:OB10G10520 transcript:OB10G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADEDMPLLHLHSQDVGSEYTRDGSVDINKQPALKHRTGNWSACFLILGVDFCENMAYYVIARNLVTFLTTVLHESKIDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLILTVSASLPMFSASSEHGNAHRSVVYLGLYLAALGSGGMKPCTSSFGADQFDATDPGELAKKASFFSWSLFMLNASSLLSSTVLVWLQDNVGWGVGCAIPTVLMIISFPVFVAGSRVYRFRKPGCSPLKSLCQVLVAAVRKCHLELPENTSHLYEPSTSSSAAEGNHEIQHTNQFRFLDKAAIVLPPSDKTSTEAMSSWWLCRVTQVEELKMLLRMLPTWASYVIFNAVSGQMSSTYIEQGMAMDNLVGSFAIPPASLTVVAVVSVLVLVPIYEAMAVPLAKHLAGREDGGGLSQPQRIGIGLALSTATMAYAASLEMKRLAIVGDDDAAAPAAMSILWQTPGYFLHGVAEVFTGIGMSEFFYDQAPDGMKSLCSALRQPASAAGAYLNACIVGVVSVATTSGGAAGWIPDNLNEGHLDYFFWMMAALSLINLVMFVSSSTRYTRRW >OB10G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:578996:579955:1 gene:OB10G10530 transcript:OB10G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDANMGMRGWGSFFDSPARNLGLQLMSSVPADRDTKQLLSATPFLQHHHHHHHHPRDSVPNAAVPADPPPINFVRGEMWMHPQHHPREPKVLHTLAVGHGGHVPHHDPVAYGMIPGTHAAHTLQMMQQPDPQPQQPPPPPVPKEECISSPMIEENVPVVNEQPPPPKKRQQGRQPKVPRPKKPKKPAAPREDGAPNPPPAPRRRGPKKAIGMVINGIDLDLSRIPTPVCSCTGSPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >OB10G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:583661:586537:-1 gene:OB10G10540 transcript:OB10G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMYPPASKLEGESSSAAAAAAEGEPVTGIPVGMFYPAPPMERVVSCRVGPAGGAWTTGLCDCSDDCNTCCMACWCPCIPVGQIAEIVDKGSSSCPLNGVLYCLVFHVSGGMCQWLYPCAYRAKLRAAYGLPETPCSDCVVNFCCQTCSIAQMHRELQNRGYDPSLGWEVNSRRMMVTPPQHQAMEGMTR >OB10G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:592744:594840:1 gene:OB10G10550 transcript:OB10G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAMAKEEEEEERRPLLGGGKGGGWRACVLILGTELSDCLAFAGVARNLVSYLTGVLGESNLAAARDVSAWTGTCNLTPLLGAFMADSYLGRRATIALFLSVYTIGMITLTLSASFTTSSSATGDGVLHTTVYLGLYLVALGVGGIRPCASPLGADQFDDAAPERASFFNWYYFCVNVGSLLSATVLVWVQDRTGWSLGFGIPAAVMAVSLAVFLLCARMCGLRNAQTPTGSPLTRLCQVAVAAVRKRAVELSGDSSRLHQLPDGDHRIIEHTDQFAFLDKAAVLVDDDASPEATSPWALCTVTQVEELKMLLRLSTVWPPVVFFFAVTAQMPSTFVEQGKAMDTRVGPVDVPPATMSTFEVAPDTMKSLSTALSFVAVAAGSYLNSAVVAVVAWATEPEEGGGGGWIPDDLDHGRLDCFFWLMAGLSCLNLLAFVFSSINYTYKDSSNY >OB10G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:597360:601353:-1 gene:OB10G10560 transcript:OB10G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3N0K8] MAAAKLLLGAGLALALLVGCATADSHRFRPGDAVPLYANKVGPFHNPSETYRYFDLPFCAPDKVKDKIEALGEVLNGDRLVDAPYKLDFRVDYDAKFVCSKKLTKDDVIKFRHAVSKDYYFQMYYDDLPLWGFIGTKPEKADGGDKYYLYRHLIFDILYNNDHVIEINVHTDQNTVVDLTEDKEVDVEFLYTAKWKETQTPFEKRMEKYSSSSVMPHHLEVHWFSIINSCVTVLLLLGFLATILMRVLKNDFVKYSHDEEEPDEQEETGWKYIHGDVFRFPNNKSLFSAAIGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGNNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIMLIWTLVTFPLLVLGGIAGKNSKNEFQAPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTSEDHEWWWRSFLCGGSTGFFVFGYCLYYYHERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRASLLFVRHIYKSIKCE >OB10G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:603703:603930:-1 gene:OB10G10570 transcript:OB10G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFYAAYTAMRAVLAPAWFVRMVRFYCAVDNAGEALPACAQASWTVVVLWVSNVWVAFFIEQKKIPVCQSSKS >OB10G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:609497:611076:1 gene:OB10G10580 transcript:OB10G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSFPKVPASALLPTMPRIGMGTAAFPFTSSEETTAALLRAIELGYRHFDTARLYATEGCVGAAVTEAVRRGLIASRADVFVASKLWCSDAHAGRVVPAARETLRNLGMEYVDLFLVHWPVSLTPPGKYEYPFAKEEISPSFDMEGVWRGMEECHRLGLARAIGVSNFSAEKLEKLLSVAVVRPAVEQVEVNPMWQQRKLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSDVLHQIAGSKGKTLAQICLRWLYEHGDVLLVKTYNEKRMKENLEIFEWELSEQEREKISQLPQQRGLPGFQFISDFGPYKCVEDLWDGDV >OB10G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:615439:619592:1 gene:OB10G10590 transcript:OB10G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHAADPLVFLQMKPHTPRGPTITLSRGSSTLQMGSIPEVPTSALLPTMPRIGMGTAAFPFTSSEETTAALLRAIELGYRHFDTARIYATEGCVGAAVAEAVRRGLIASRADVFVTSKLWCSDAHAGRVVPAARETLRNLGMEYVDLFLVHWPASVMPGKYEFPFPKEEMAPSFDMEGVWRGMEECHRLGLARAIGVSNFSAEKLEQLLSLAAVRPAVNQVEMNPMWQQRKLREVCRREGVQLCGYSPLGAKGTPWGSPAVMDSDVLHQIAGSKGKTLAQICLRWMYEQGDVLLVKTYNEKRMKENLEIFEWELSEQEREKISQLPQQRGLPGLQFISDYGPYKCVEDLWDGDV >OB10G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:622822:623674:1 gene:OB10G10600 transcript:OB10G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGAPARRMPPVPEAALRSGKAMPRVGMGTATFPLGEPEPAVVREAVLRGIEAGYRHFDTAALYGTEGAVGEAVWEAVRAGTVASRDELYITSKLWISDAHPGRVVPALRRTLRNLKME >OB10G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:628914:629180:1 gene:OB10G10610 transcript:OB10G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAMGTASFPFDAPQLPAAVRDAVLRAIEAGCGGGVRHRGAALGGRLGAVRSGMVASRDELYITSKLSPAHAHPGHVVPALRATLR >OB10G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:629267:630817:1 gene:OB10G10620 transcript:OB10G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIDMYLIHWPLSLDVPPAPPSPVYSKGDIVMMDMEGVWKEMEECHRLGLAKAIGVSNFTCKKLGTLLSFATIPPAANQVEINPNCRQNKLREFCKERNVQLCAYSPLGASGTIWGSNAVLNCPLLRQIALERGKTVAQVCLRWVYEQGDCVIVKSFNERRLRENLEIFDWELTDGDRQAISGLPEWRGCRDFYVHESGPYKTVEEFWDGEITGQQLKI >OB10G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:633122:634187:-1 gene:OB10G10630 transcript:OB10G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLVGCGVMSPGRPGGTPHGGGDGGGGASLSWEQRKKVARGAARGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVKGDVYSFGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVLDPVLVKAADDGGETNSEMARFLEMALQCVDDFPSKRPNMLQVVAMLRELDAPPPPTAAAASNAGDATAV >OB10G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:635209:636453:-1 gene:OB10G10640 transcript:OB10G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLIALLFSLICVSSSVIVPPVVAETDAEALLRFKVFVRKDPSGVLSSWKVPSINGPCSWRGVTCNGEGRVTELDLAGGGLAGRVEFGAFSGIDTLCRLNLSGNGELRADAGDLVKLPRALLQLDLSDGGLAGRLPDGFLACCPNLTDVNLARNNLTGELPGTMLASSPSIRSFDVSGNNMSGDISGVSFPGTLTLLDLSGNHFTGVIPPSLSGCAGLKTLNLSYNGFAGAIPESVGAIAGLEVFDVSSNHLTGAIPAGLGNACASLRVLRVSSNNISGSIPESLSSCHALRLLDVANNNVSGAIPAAVLGNLTAVESLLLSNNFISGSLPATIAYCKNLRVADLSSNKISGALPAELCSPGAALEELRLPDNLVTGTIPPGGCPTARGCGWSTSASTTCAARSRRSLAGSAS >OB10G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:643669:644637:-1 gene:OB10G10650 transcript:OB10G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDANMSMRGWGSFFNSPARNLGLQLMSSVPADRDTKQLLSATPFLQHHHHHHHHHQPRDSVPNAAVPTEPPSINFVRGDMWMHPQQHHPREPKVLHTLAVGHGGHVPHHDPVAYGMIPGTHAAQTLQMMQQPDPQPQQPPPPPVPKEECISSPMIEENVPIVNEQPPPPKKRQQGRQPKVARPKKPKKPAAAREDGAPNPPPAPRRRGPKKAIGMVINGIDLDLSRIPTPVCSCTGSPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >OB10G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:649659:650713:1 gene:OB10G10660 transcript:OB10G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:Projected from Arabidopsis thaliana (AT2G30810) TAIR;Acc:AT2G30810] MAPASSSLPIVFFLVAMSFVVVVSGQKNGAIYHLFGGEGSVTIEECPDKCNYRCSATSHTKACLTYCNYCCERCLCVPSGTYGNKEECPCYNNLKTQEGKPKCP >OB10G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:650966:656275:-1 gene:OB10G10670 transcript:OB10G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein-70K [Source:Projected from Arabidopsis thaliana (AT3G50670) TAIR;Acc:AT3G50670] MMRGNPDGGMQSRSNKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLEYKPPVEKRKLPAYTGMAQFVSQFAESGDPEYAPPVPTCETKAEKKDRIRNSKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGQNAEQKLSAREQPHAGRPRSEEPRRDDRHADRDREKSRERPRERERDEKTRETRERSHDRTRERDSREDRHHHRDRDRTRDRERGKDRERDHGRDRERDRDRRDRDRDRDRGRDYERERERGHDRHRERGRDRERDYEHASHERDRGHLHERDADYANGEPKHDRNLAGYDQEYAYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTEPEGPEEGEAYEEGDYQYHQADEHNN >OB10G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:657649:664665:-1 gene:OB10G10680 transcript:OB10G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSASMSTEAMDIFVLWMDNPSPLPISEFGLLIPGLCSEGAVDKARFLFDAMLGSELTPPVRVYRSLAFAYCKARRSLDASEMCQLMLSKGMYLDRELGTALIRVFCQQGRLEPALDVFHRMKGDEHVELDAYAYTTMIGGLFEHGYVDHGLELYHEMMDRGIQPDAVTYSVMIKWYCKSKWVATAMDIYKVMIRTGVAPDLRCYTILMASLCKDGKLGEAEYLFDNMLESGLLPDHVMFISIAKFFPKGSVVVFVQKALKAVTKLDCSGKLLELSSLAGGCSDMSLQKEADHLLDEIVRSNVLPVNTVFNLMIVAMCSEGRLDASYYLLEKLVAYGCEPSVLTYNIVIKCLCEQKRMDDARRLITLMQSRGVRPDISTNSIMVTAYCKIGDIESALRLFDEMAKDGIEPSIAVYDSIIACLCRMKHFKEAEVTLRQMIGEGLPPDEVIYTSLLNGYSTTKQTRNACRIFDEMLECGLQPGSHAYGSLINGLVKENKFRTALYYLERMLEEGIAPQTVIYTMLINQFFRKGDVRLGLDLVVLMMKSHVEPDLITYGALITGICRNVDRRDMRPSLPKKLKEARYMLFRLLPQIIDTRKGKQKDKYISTEEKIQAAQSIIQDLTESGMMPDLHIYNGMLNGLCRANKMDDAYNLLSAMEQAGVLPNHVTYTILMNNQIKSGDSNRAIQLFNSLNSNGCIFDDITYNSFIKGLSLAGRTKEALSFLLMMQKRGFVPSKASYDKLIELLLTENEIDLVIQLFENMFVQGYTPRYFNYTSLLLVLAKDGRWSEADKIFRMMLKKGRYLDTETKKCLEEQCYKQGELDLAFEMEEIIKYCSNYQNYMKFLKVSEGPGDHVALNAFHCLIVEDGSYAGFLPFILSLKLPNQFCLIGGMLIEMKTLSQSMDDLLAQPGAMHLLVHPRPEHLPKYEEQPPAMPDYFDPNFADHLHHSSNGPQLSVIHWATMLTSNGVSMRTIVSSQGSVGLDPDVSSPRDSRFSWTGSRGALWVHEYISFPHSKYTLNLLDYPEFAFRRMLPMLDGVMKGESKAIYSAANVMSGLATPCSPPIAVEEREDGVSGQRRGHTSSARAWSEGTPEVRTVEVGTPPPRALACPTVNDDRRRERKCCVAVEIACRPKLVPLGCAATSFACGHRQRSPSAA >OB10G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:667325:669235:1 gene:OB10G10690 transcript:OB10G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3N0M1] MATTGSASSVTAIAVALTLLAAMASAELTRLEHPVVGGSPLRLLVVGDWGRNGGSNQSRVAEQMGKFAEETEIDFVVSTGDNFLEDGLAGVDDVEFHESFMDVYTAQSLQKPWYLVLGNHDYRGDVLAQIDPALRKIDSRFICMRSFIVSAGIVDFFLIDTTPFPLQYWTDPGEEHYDWRGVAPRDAYIANLLKDVDDAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENDVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFRQNEDKLEFFYDGQGFLSLELDENQARFAFYDVFGQALYHWSRSKANLQLVQLPSVGATEE >OB10G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:670077:674508:-1 gene:OB10G10700 transcript:OB10G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIAVAVMLILAAANNIAVHGEQAPPAITLPGCPDKCGDVSIPYPFGMAPGCFLDGFEVSCNRTFDPPRAFLARGSQQNDEGYYLSYEAAVTSTNYWSLPVELVDVTLSRGEARAYGAVVTDCTPTNESYHVYRRQLTMLDSSPFVLSSSRNVLTGVGWNVQAQLTKSLYSSGYMLSCASLLQFPETAASGSCSGMGCCEANVTAGLRYSSVTFAHKNNSFWSPNPCSYGMVVEKNWYNFSKDDIYGNQTLPRKFPRGVPFVLDFAVGNASCPAQGQPPLDSYACRSGNSSCVNATSSAGYICKCWDHYDGNPYIPGGCQDIDECDLRTKFPELRDVYPCSDDGICKNTPGDYECPCKPGMKGDGKAGTCTEKFPLVARVIVGTVGGLLVLATLVFVFLLRKEKQKMKEFFIRNGGPILENAKSIKIFKKEELKRMTRTYSHVLGNGAFGMVYKGFLDEQHPVAVKKSMKVDKTQKDQFANEVIIQSQVIHKNIVRLIGCCLEVDVPILVYEFVSNGSLQDILHGENKVPLTLDKRLAIATESAEGLAYMHSKTSTSIQHGDVKPANILLDDQFNPKISDFGISRLIARDVAEHTNDVIGDNNYMDPVYRETGLLTNKSDVYSFGLVLFEIITGKKVVYGGASSFVKSYLDTYLTEIRANKVLFDKETGEKDIEHLHCLIEISKECLDNNVDKRPEMTDIAERLQGIIRARRFLN >OB10G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:705823:709792:-1 gene:OB10G10710 transcript:OB10G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCRGRSNEKPPTWDVKEGKTLAEQTQNSQLHSHISRTTEAQPQKGLLVNSNVTTVPTGFVVNEVIDGRTVNVLEGLKLYKGFIDVGEIEKILSFANEAKTKRHEAVLEAGQTVVVAKRPMKGHGREIIQLGLPITGGPPEDAQLRDVKVDPIPGVLQDLFDRLVHQKVVPSNPDYCVIDFFGEGDYSHPHHPPPWYGRPVCTLCLTDCDMVFGHVIAADSRGDHVGPLKLSLTTGSVLVFEGKSADIAKRALPATCKQRVLLSFGKSVSRKHVQPESSLLITPPLTPPPMPWGAPLRPGNIAIHPLSPTHLVYDPTNRAPTDSTPALHQIPSNSMHTVFLAPAPVIPKAVPFGSAVTLTNTGATWMAEAAPRPASPRLPFQGTGVFLPPGAGNPSPAQKLGVKHADAKPFFPQEPSASSGVSARVHKTNDSVCSKPTRDDDMIKPKPVSNGSSGGSSSVMHDKATGNTEEQNAVAK >OB10G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:713697:717289:-1 gene:OB10G10720 transcript:OB10G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3N0M4] MRRRRSSSKQATAMAPGCLLLLLPLLLASAAASWEEEEDAVLAVAARLPRPAAVSFRDGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLQGFFSASIKLPADYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERYGLWFDPTEDFHRYAILWSHDRIVFYIDETPIREVQRTKSMGAQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAVDASTRAPTCSPDISSIHDAVAMSGRQRSAMERFRTKHMTYGYCYDRLRYPTPPSECNVGTEAELFLPSGEARAMDRRGRARRHRRGPADSAF >OB10G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:737201:742837:1 gene:OB10G10730 transcript:OB10G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERGCAANQVWPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRDLKEDTSLYSSRFVPEYLEQKQVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGIINQKSGGELKVVQNKSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSVLEFTIDDRGLLPDSYADKAGNPAIKTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQMWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTPCVLLLNKYDAFEEKISRVPLTVCDWFADFSPVRPHHTSQTSLASHAYHYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGFGSADESSYSVDMTSSS >OB10G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:745751:755797:-1 gene:OB10G10740 transcript:OB10G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHASSGSGFQPFVRSKDSQITKEPIKAEGSLTASVATTSMVTNDVGKLIEPSNQISQAAEREEGEWSDADVASDTAGSSLSNKEESSGTATTQVKRDFQVSEPTAVKSGDMNKDEAAAEPSDTEMVDAPKDQVVRGPTGLESTKNLENKGNQPGDDSDPSNKSKDVRGVEANYALRFASNPAKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRNAGGIAERAGEKQSQSAIRDQRQSETGSERSNSADPTDQISESNGDAEMGSQGRPKKMNAEEALADGYQQSMPRQLKGRQISSQRSAVTGQNNADQKPVNKRSLVSKKQTTANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESVESVSRDSHVMVRVKTVDRRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNAGSNEDSESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSNSETNVLRKLLPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSEAVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKLREQQLSQEIAFLQRDLTVVAATGRSQGSVGVDPDVLAQRDRNRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDTLMAPYIFYDISHGRESHRGGSSSFQNVHEAQFVLRLYEHLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRSLWVVGNANALMQSEDWASLISDAKARKCFMDLDSIPKDFLAMKVSNTPGRNSSNNMRNMRTGGPRPRHLEMLPDSRVNMRPDEDERSNSVPRNTSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREVLGGPWIGQGDIFSEDVHLLCRGLDGDEVLAWCIFGFLKFGGCCTPASYNPSGRCKLRRPCALYATSLLSVAVVTSVVPAAHPLFMVIGLIICTGEAIMAYRIILGPRGAKKAVHLLLHLVALAFAAVGLYAAFKFHHDYRLPDIRSLHAWLGITTVALYALQWLVAFVYFVFPGAVMTMRADYAPWHIFFGIVVFLMAVCTAETGLARFVFAVSRYPNEAFVVNFAGLAIVMFGVAVVLAAILPSRY >OB10G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:774236:786461:1 gene:OB10G10750 transcript:OB10G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3N0M7] MLEAKSPQGSEALAALAAAAVAAVLQVQTHPRGEGSGVLWIRFFYKRPPDGLLEFIDRVYVFDSCFCTEVLPHGMYPVYLTGILTELHEEHSQSSFLGINFRDGNKRSQFADVLREYNVPVIDYPRHFEGCPVLPLSLIHHFLRVCEHWLSTGNNQNIVLLHCERGGWPSLAFMLSCLLIFKKLHSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNITGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDHIDIVWGSKDRYPRTFRAEMLFCELGGISPPRPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQMPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSESINPDLTTEDTASMGIAFSISTESPPCGSLSTLSTEENQLPPEVQHGSPSDRKLPPPSPIAAVPPVDMRRANNCEIMLTKIKMPLPDMINAILALDMSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKMPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARIAELEKKKLEKDKEKATCINSSLYKVVIWIATFGSYVVLPIGGIIHDMAEQVQAPQGGRKQTSLVLYNQGQSCRPSHRGSSRAVLRRSTTTTNTVKHLKTGDASTKQFKILKKPGCFVIDQAVNQTWYDSDWTEVAFVPVGIFF >OB10G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:786816:789317:1 gene:OB10G10760 transcript:OB10G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAMVVLLIGASFSFFLTTHAVNTPSCTPQERDALLSFKQGITNDSLGLLSSWRRGHGDCCSWTGVTCSSKTGHVVKLDVNNFITDSPLVGQISPSLLSLEHLQYLDLSTNLLAGPKGSVPEFLGSMKNLMHLDLSYIPFSGTVPPLLSNLTNLEYLDLSFTSFSGRLPPQLGNLSNLRHLDVSEMNNVYSTDISWLSRLHLLEYVAMSSTNLSKITDLPVVLNEIPALKQAILSNCSLPSANQSITHLNLTKLEELDLSLNYFGHPIASCWFWEVTSIKSLRLDETHLHGPFPDALGEMVLLQHLDFTSNGNAATITVDLKNLCDLESIYLDKSLSSGNITDLFDKLQCSSKLHSLSSSSNNMTGMLPTNMVHFTSLNHIDLTNNSVSGVLPRGIRKMTSLEYLHLSSNRLSGQMPLLPASLKIFHAQMNFLSGHLPLEFRGPNLENLIISSNHIAGQVPGSICESENMKHLDLSNNLFEGELPHCSRMRNLRFLLLGNNSFSGNFPHWIQSFSSLVFLDLSWNKFHGSLPRWIGDLVTLRILHLGHNMFNGDIPFNITDLRDLQYLNLADNSMSGLIPLSLSNFNEMTLKAVGDSMSTLAFDESLDTFSLAMKHEILKYGSHGVVDMVGIDLSLNRLTGGIPDEITSLDRLSNLNLSWNLLSGIIPENIGSMKSIESLDLSRNYLCGEVPSSLTDLTYLSYLDLSYNNLTGKVPSGRQLDTLYIDNPSVYNGNIGLCGPPLQKNCSSSSHEQEHGDHKGQEKDSNSMFFYYGLGSGFIVGYWVVFCALLFNKLWRVHYFCLVDKVYDKLCVSMVITWTKWIAKRNTTN >OB10G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:795951:800343:1 gene:OB10G10770 transcript:OB10G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSEVREFKCEDSEESLDASMAATEQLIKNGEYAKTTKFVKQMEKKRRRAIESIAAFCYEAGIPFNALCIESFHLMVEAIGKFGPGLRGPSVDELRDHLLKEQVSATTNRIRWLKDSLEFGGCSIILDTWVDDNGRRLMVLGGHSIIGLSFIRSIHLSSKASDDTYIFRLVDSCIEEIGEKNVVQVVSNIESNNMVAKMLTAKRPNIFWTQCAARCIDSMLEDIGNIALIKNTVVDARSITVFMYSHAHLLDMMRKFTNQKDLVQAGVTHSITTYLNLKSLYDKRIELKTMFVSRDWEDSKWSKEAVGEKFYNLVLSKKFWHRMLYAINSLEPIVDILRRSDSGILSMASIYGDLANAKREIALRFLNMEEHYLPIWNIIDKRCNASLKTPLHLAGRYLNPFYYFQNKNEIDNLGIYRAALVDCMHSMCKDPSTQNMILNQLELYKNCSITEGVDYSKFENIKNLDLDADFWWMLHRELGELKEMAVRILSLTCGSLACERSWIEMIHKKKPSRVTWKQFYDSAFVMVNKRLKRKGEETYSSDPVVPYLPDEDEPYEWLADMHENDHAVLDVHKDEIRAMLTVRNRSWEEGPNHAGDASCLKEGNEPWRDDQSILLEEEEHEGNDEDGLTNCSKRKRPSDVSCPKQENNSSRVVDYKSPKQEREGSDEEEQRHSSKESNSSAVPCCKEAKSSSRVAGYRLRNEEHEGSDEEERRHSSKKIRPSWEAIVFQRS >OB10G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:805021:806289:1 gene:OB10G10780 transcript:OB10G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVAKLPPEVIRPSSEPVTTSAGGKIILPPLDRPLANAPVVVLQVFEHPIHEPAATIRRALSQALVHYYPIAGRLAMDDDNFHIDCTGEGVTFVAASANRTVMELMRDIDDRAPDAATPVLRELLVDDPDMGFGHADPFVLMQVTTFSCGGFVVGVTWNHGVADGFGIAQFLQAVGELARGLPTPLIVPVRSDKVTQAISPSFVLSAKQFMFGVAVPSKLALHNITISSKLISSIRGSSCTVFEAVAAALWRCRTRVVMSDPEAPTVLAITVNSRKYMGVKDGYYGNCTSVHMAMAKSGEVAKGDIMDVVRAIRRAKEQIPEQLKKGDMLPQAAIGDLSKHPVARYETALFVTCWRNIGLEDVDFGSGKTTRVMTMYEPSQVRPMCVVCLPCKEEEGAKVFSGCVTTDHSDAFLQEIGRL >OB10G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:811574:815950:1 gene:OB10G10790 transcript:OB10G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSPSEVVRPSEPVKMDTRKINLSSFDKPLVIAPTVVLQVFEHPIHDPVETIRRALSQALVHYYPIAGRFAVDDDDDVHIDCTGEGVTFVAASANCTILELMRDIDDRAPDAATTVLRKLVVDYPAMGFGRGDPFVLMQVTAFSCGGFVVGVTWNHGVADGFGIAQFLQAVGELARGLPTPLIVPVRSDKVTQAISPSFVESAKQFMFGVTVPSKLALHNITISSKLINSIRGPSCTVFEAVAAALWRCRTRVVMSDPEAPTVLAFTVNSRKYMGVKAGYYGNCATVHVATAKSGEVAQGGGGAPRRHHGRGEDDKACQAAALKSRLRALGSMPIYDYFFWCLLKHGADLDYFRLHRRLEKSLSLMSSSSNVFSTIKDMDEALVSTEVSRAATPEKEAIDEASAPSIKEAAHCALTTRGGAHLA >OB10G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:816979:818244:1 gene:OB10G10800 transcript:OB10G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSPSEVVRPSEPVTTGTRKINLSSFDKPLAIAPMVVLQVFEHPIQNPVETIRRALSQALVDYYPIAGRLAVDDDDVHIDCTGEGVTFVAASANCTIKELMRDIDEQTPDPATAVLRKLVVDYPAMGFAHGDPVVLMQVTAFSCGGFVVGVTWNHGVADGFGIAQFLQAVGEVARGLPAPTIIPARWDEATQAVSPSSLMSAKHIIPGVIHPLSLTLHNITIPSRLINSIRGSSCTVFEAVAAALWQCRTRVVMSDPEAPTMLFMVVNSRKYMGVRDGYYGNCTTVHMAMAKSSEVANSNIVNVVRIIRRAKEQIAEKMKKGEMLPGVIGELSENMNMVARYESALVLTSWRNIGFEDVDFGGGGTTRVMTVYQPTVLWPMCVVCLPCKWESGASVLSSCVTDHHADAFLREIATL >OB10G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:823391:832618:1 gene:OB10G10810 transcript:OB10G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAPDDALPVPAAPPNGGRRYRPVGSSDRAVVQMTSMEPGSSSSSSTTAVGGITPQPPRNLTVDPSMQEGSTGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDIAITIGRPKETGPKFGTLMGVFVPCLQNILGIIYYIRFTWIVGMAGAWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGFFKESVTVVNSTLGNGTATSGAATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIFLGVFIAPRHNAPKGITGLSITTFKDNWASEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTAMYLFSVLLFAALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSIALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACHQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMETSSSGAQQDDSQEAYRSAQRRISTYLSEMKETTQREGRPLTEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLEPPPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >OB10G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:830779:831417:-1 gene:OB10G10820 transcript:OB10G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSHDFIVTTMTSACIRRSYKNFFTSAFSSSASVSSSAMQNTWILQLSKLSFFAKSASFG >OB10G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:844165:844500:1 gene:OB10G10830 transcript:OB10G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLLSRRLPPPRTPELELPVPPEFRCPISLELMRDPVVGPTGITYDRAGIEAWLLAAGAGTTTTCPVTKGDLRADDLVPNHALRRVIQAWCVNREWTSDNIYETENH >OB10G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:879524:880786:1 gene:OB10G10840 transcript:OB10G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVTKSPSVVVRPSAPVTMDTSKIVLSPFDKPVAIMPTAVLQVFEHPIHEPAETIRRALSQALVHYYPIAGRLTVDNNDVHIDCTGEGVTFVSASANCTIKEFMRDIDERTPDPATAVLRKLLVDYPAMGFRHPDPFVMMQVTAFSCGGFAVGVTWNHGMADGFGIAQFLQAVGELARGLPAPTIIPARWDEATQAVSPSSLLSAKHIMPSVTHPLNLTLHNLAISSRLISSVRGSSCTVFEAVAAALWKCRTRVVMSDPEAATMLFMVVNSRKYMGVRDGYYGNCTTLHMAMAKSGEVANSSILNVVKIIRRAKEQIPEQLKKGEMVPQAIRELSENMNMVARYESALVLTSWRNIGFEDVDFGGGKTTRVMTVYQPTVLWPMCVVCPPCWESGARVLSSCVMDHHADAFLREIAMV >OB10G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:893531:894125:1 gene:OB10G10850 transcript:OB10G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKPRKKERKRQKKDAQFGETADPHSKHGHKKRKHESSGIVRQERRKEYKVTVEHLEKSSLSEEHEAPSCFFLRVKLAPTNQRRGQEATAGMSVTPRVTGQLRAKEIGMDVSMTNRKREFQPHVKMVLVLKQVVTQ >OB10G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:895432:896211:1 gene:OB10G10860 transcript:OB10G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVLIRPVTAYNLTVEMNAIRSLISYFPYITIRAEYVDVVSALGRRKNLPPPANRYKLAKAMVDGLDVFQLGITLCDHNGRLPVTVAPDGSDAESAWQVAFSDFDPGGHMESPAGVPAAAFARALLEHGIVSAENRGRLTWVAFGGLYDFGFLLKLLSSGAPLPETGEGFARMLRRYLGNVYDAKYVASRLPVAVGVDLSGGLVSVARLLGAPAVAVEEPRQAGEKSLVACEVFMRMTGLFFAYHDVAVHLGMIDGVH >OB10G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:898969:901476:-1 gene:OB10G10870 transcript:OB10G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPPPPSSSCRFFFPSSDRRASPVCFRNAXXXXXXXXXXXXXXGGRRPRRPPPSLQVMLLPPPPPSSSCRFFFPSSDRRASPACLRTAILKSCRAADPRSSRALYSIHAHHLFDETPQRSPQLMEPEQAPGPSRGSRRLTLTDLPDDLIRRIMSFLGARHAVRTCVLSRRWRGADLWRSLLCINADFFEFKRGTGERSEHTARFEKFVNTLLLRREPVRLVDGFRLRCPGCSFGFYPGDGDAYQWIRHALQLQAPVLEVLTLGIGIIKHEVFASQYLRRLSLSSAILPSGFFNQLEVGCPELEHLFVQDCRLLDHNISSQTLKILTIITSGTGFVDRYTCCISTPSVTALTLFHPDGPVPLLKDIASLESASVYLDDSFRHYVTSVDVHLLLTSLSGVRNLSLDIDEIKNENNMQWCPEFKDLVSLTLGSWCLESNFYGLTIFLQNSPKLEKLTVKLNKVHTQRIAGKLKEKSFTCEHLKVVEVVCIGDDSLVNCVKEFFFNSGMTSLQISIRHLDGYKLTN >OB10G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:905348:906185:-1 gene:OB10G10880 transcript:OB10G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSILPSAAAFGSIHDYERLDVIGGAFGVVYEARDRRTGEKVALKWIRRHGVDMREVRCQAACSGHPSIVEILDVVQDAELGYMHVPRHGARRRRQPLLISGPSSEDATRGMMRQLLAAAKAVHAAGIIHRDIKPDNVVVGSAGELKLCDFGAATQVKPSGQPYEQSLVGTLTYASPEQLAGNRHYGPAVDMWALGCVMGELLTGAPLFEDFDTDVEDLLAEVLDRLSTSTTGACKLFDSMPELSPAGREVLAGLLALNPDERLTAEALEHRWFT >OB10G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:911647:915642:1 gene:OB10G10890 transcript:OB10G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSKLAEDADNVLDKVDYYRIRDDIYHIQEAGDADGLFRRFTINARHALGKCRRSFTSSLSCRGAAAIATAAEPRPVEAEEVFFNRSMESERIKSLMAQMQPLCAKISNFLRLELMGGPTHSSSSTAALDTAAAFSERVITTTSTSLEANLYGRQELFYTATKEITGVREGLTVLPVLGPGGIGKTTFAQHLFNAVRVKKHFHVRIWVHVSLDFDMLRLTKEIFNSIVSGEQSRRSINVMEPQNLEQLHRQLEQMLQFKRFLLILDDVWSCGSEYKWNRFLAPFKKTMVKGSTVIVTTRSEETASMVKSGTVVDIRLDGLGPEAFWAFFLACAFGDQKPADNHKELLDIGREIVQKLKFSPLAAKTVGRLLKKDLSRQHWSRVLDSKAWENGISVNEIMPALKLSYDCLPFHLQKCFTFCALFPDDYQYQESELTHLWSALGVINCSGQNDRIRDIGMQYINGLLNSGIFQKVDGVKFSHEKGREVKHTYYVMHGLFHELARIISSRECLSIDCSNPSHEHTTPPSIRHLSIRTRCKSETADTAGSLKDEIINLKEQVCIANLHTLMFIGEFDERFSGAFKEVLQEIKHVRVLHLFQTMVEFLSPKLIHLRYLKIQASQNPMYTQLQTQTPAANDPQTSLPSSLPKYYHLRFLDLQDWTGMSTVPEHMHISHLIHLRQFLASKELQSSVAEVGKIKPLQELKKFQVQRENRDGFGLQQLGQLRDLGGALTISNLHKVKTRAEAEKAKLKLKRNLVRLKLVWDEAGTEQTEEEANSIEGLQPPENIRELCIKNHKGKTCPVWCHSAMSFKVLEVLHLHGVSWNPLPPFEQIPYLRKLKLENIAIENFETRGNCLENLKSIEFIGMLSMKTWVSTNLFTQLEQLKVSNCPQLIELPFSQNLQLLQTLRREGSRPDLQILRMTVWRQFQAFPLEQKSSTMPNLRELVVQDCPELYLPPLPYTSNLSPLPYTSNLQLVEVTRKQYKLLYNRYTLEVVSLDLDSNLCALGKLDNGLAFHHMQQLVRVTIKECSSVPLTTLQKLGSLEELAIEDCSSLSSGIGVNGVVQISIKHLVLSNCNITGKELSEILVCCPCLSLLEMEHCTGVTGLCMQKSSHGMDGDSGTGMLRFPSKFASTLRRLFIFSKNKLDLTVKGEVLSELVSLQWLQLGQCVLSSKDRCPANDELPLENNLKVLRAYGYDMHNLDGLMTTIATVMAGSKQLEELDICSISGVLDDPICRRLSDSLRRLTFRSDILVKDFTEAQDMALRRLTSVEELVFYGCNSLQSLPPSLRFLPSLKKLEVSFCHCEGDTRDLQKQCSDLRQDIKEVVLTFTP >OB10G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:919684:919917:1 gene:OB10G10900 transcript:OB10G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding THHFSLPLLLQFVIQSPVDPKQKLCCSSLCSSSRGRARARTPLPPLTMFILFCVRPVGRHKVNVGHRDPSFVFMKPHR >OB10G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:927008:927373:-1 gene:OB10G10910 transcript:OB10G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTMWLMTSSRSIGPPTRMCRWESSWYLQAATTPIPAYLHLAPVWRSPLAARRTLDEYLLLTPVMMFPHRASPSTEPTLTCWLPEWKYLLHASTTRQPALISRYCASKIQRRVICTSIVG >OB10G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:930128:931947:1 gene:OB10G10920 transcript:OB10G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAFAHPHQRRLLRVRSGKPTHGKAEGDEARLTVFLQNSPKQEKLTLEIDEACRAGLMRWQPDTLSQYNSISSQEKATQNSGTPRKRCGNTISIGTALDATAYVRRGCGCFRNQDRWLGNAASALRSDTEIGLGDSGNAIAVAGVIEAGQDATKVQRALFADSVGQAATALYLVLFS >OB10G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:938605:951258:-1 gene:OB10G10930 transcript:OB10G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAHGAVKLSDLPDHIIRRIMSFMPVRQAGQACVLSRRWLNLWRPVPCINVDFEEFSEKYEQEAEDEVVFKRFVNRMLENTDEPVDYVVAFCLRYSMSRGQDAEADSAADANRWIGHVLQNQAMLVEVVARNPWLELDHSAFTSSFLRNIRFSNVVLDKGFFKQIRTGCPALEDLFLHDCIIMDDEITCHHGLKVFTINASGFPVDDRISISTRSVTSLTVCRPWGRLPILKDVGSVVTASVVLSDEPAFPIGTVDFRHYLWSLSGVKHLEFDYLAVKVTMTTNYM >OB10G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:956595:957113:-1 gene:OB10G10940 transcript:OB10G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKGQVQLSVNDDDNGAQKVQEAGIAASVGVILSGSVAAAVDGGEDDGEQGRRRLHEQALEVLLGGHDNLERIGLVGLATMSSGIGGFFAREAPALFFIYYGDQNRAARFAYYKCLPFFIFLGLAEALYAIWLSRRRRAIRGNTRVPKFVLFVSIPALVLSWAFSGATAI >OB10G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:960257:961739:-1 gene:OB10G10950 transcript:OB10G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPQCVFGSEYLRRPTYEDVERLLQVNVSRGFSGMLGSIDCMHWSWEKCPIAWRGQFTRGDYGVPTIIFEAVASHDLRIWHVFFGVAGSNNDINVLNQSPLFLDVLKGEAPKIQFSVNGIEYNTGYYIADGIYPEWTTFVKAIAAAQTEKHKLYAQYQEGVRKDVEDMENFGASLLVLSAMVLGGGEDAQFGRLAACFGTASARKSTYKVIFSNPLVSSKNSCVVTFYC >OB10G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:966146:968064:-1 gene:OB10G10960 transcript:OB10G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRICNKSGIEKRYIHLDEKLIRAHPEIIDKNLPSLEARIDIVTAEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSHTILSLHGCSGGGRALQLAKEIAENNHNARILVALSEMTLVCFSTPDESKIVGHGLFGDGAGAVIVGSSPLADSERPLFEMIAATQTTIPGSEHVLGMQAKSSGIDFHLSIEVPTLIKDNIQECLLETFRSIGNMDPNWNDLFWAVHPGGRAILDNIEGKLHLQPNKLAASRHVLSEYGNMSGATVAFVLDELRRRWEKKGDEPQHEWGVMLAFGPGITIEAMVMRNPLSHGIMEN >OB10G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:975463:976305:1 gene:OB10G10970 transcript:OB10G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPLFYRHPRPPGVRISKIMAGNLHNAVSLILSHMMGGFSFVAVDTQFPGEVHRHPRGDALTADERYGVVKANADELRLLQMGITLCDAQGRLPVIPWGADGGLHEMMWEVDFCDFDARLHRHVPESVEFLRSQGFDFLAARHFGVPADVFAAELHRAGILRLPGITWVTFGGMYDAAFMFKLATFGAPLPESRQGLVAQVGAHFGPLVFDGKYMALAVNAHGSLAAVGEKLGLPALEPNIHMAGPNSLMAIHVFMELRRRFVPLRGGHRSCSLQFYGL >OB10G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:986111:988543:-1 gene:OB10G10980 transcript:OB10G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPTREAVRTCVVSRRGRDLWRSVPCRRARLCGRARRDTDEEQYDERGELAFMMFMNKVMELRYPATIRTFRLRCILDLIEFYDDSSYYAKFEDINRWISHAVQKQIQVLDIVLFCDRLELDHSAFACSYLKTIEFTNVILMKGFFEQLEMGCPAVESLFLDECSIEDARISSKTLKVFTIVSSLFSSGGRITISTPNVTSLELWRPDNGIYVFDDMPFLMSSVLELNDVEDSRDFCQNLRSLSAAKALDVDYFGRELRMESNLQMVTRFNNLVSLTLCQWCLDANFYGLILFLQNSPKLEKLTLKLRMGTPKRIIAELENRSFTSEHLTSVKVKCSRNHPQVNDVVDFFVNNGLTYAQIRIKYWKYWR >OB10G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:995182:995800:-1 gene:OB10G10990 transcript:OB10G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSWLGSPAKVRKVTAPAQGDGQGLFSGVADDVVRHIMSFLPTREAVRTCVVSRRGRDLWRSVPCIDADIIDYKRRDTDEEQYDERGELAFMMFMNKVMELRYPATIRTFRLRCILDLSDCFDFSSCYAKFEDINRWISHAVQKQIRVLDIVLFCDRLELDHSAFDCSYLTTIEFTSVILMEGFFEQLEMGCPAVESLFLDE >OB10G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:998734:1000353:-1 gene:OB10G11000 transcript:OB10G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding STVGPTCQPHALNTRLDPGPEKFTTLIHHQPKQLKRARVAFPMAAAIIGGGGGWRRLRGIGKGASGALISLAVDDASGELFVVKSAAGEDAAARQQLRREWSVMAGLSSQHVLKCLGFTSGGEHRLFLEFAPGGSLSDVVARNGGCLDEGAVRAYLADVLRGLDYLHGKLVVHGDVKGSNVVVGANGRAKLADFGCASVVIPGGSKQPVIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMFTGRAPWSDMDNVLAALRKIGYTDAVPEVPQWLGGGGGGFLHRCLQRRAGDRPTAAQVLQHPFVSSSCGPPNKEVVKGTWVSPTSALDAALWESVSSSSTDDDEEDDTSSSPTSRIGALACSGQTLPDWDTEHNGWIEVRSTAAADIESPECPAKRVIGSLACSPSAVPNWDSDDDGWIEVLSNISINVANKTTAAATDNESSECPAKRVSSMACSPSSVPDWDSDQGWIDVLSAKAVGSIINIVGVGSEQSVVAENQDDKFTSLSSCSQRVLLVAVRATDSAASRVAGIKKCSRFS >OB10G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1005464:1006573:1 gene:OB10G11010 transcript:OB10G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSPLHRVIGAARWDAERPLGRLVVLAHAAFLDAGFVPTGAADDDDELLFPIFCGNGESAVQLPRKVGRTASSLPLRYVVPQLRRRSPDMAAVQLRLCAPGRYLVFYVSVARAGMFGFGRPWFDTYWICLDALAAAPLLSGGLDDAARALRRDARLDALWSALTDTLCRRVLVDLCARNGVTLEPTLMSLPGDAVAAILARLPDDEDLAMVECTCAGLRRLVAEHDATLWKPRYEKVPPFLQLLGVGDEEPTKVRWKKRCMAVRRWPFLAHFVSTRERRRRPSLVLWLDPWPRRMYSFIRFRPPFRSPEPPEEKDTVVPRRRRRRSRAMAREASHHGHALVPGGQKKMRHGAGAVHSPSSRFRWKHR >OB10G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1008841:1012726:1 gene:OB10G11020 transcript:OB10G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSPLHRVIDAARWAAELLLGRLVVLAHAAFLDAGFMPADVGRTASGLTLRYTAPQLLHRPDAATVALRLCAQGRSLVLYVCVESGKPWLDTYWVNLDALAAARLLSGGLDDTARALTRDARLAALWGALTEKLFRRVLVDLCANSGVPLVPDPSFMSLPCDLKAAILERLAGGDDLARVECTCTGLRRLVAERDAALWKPRPEHLVAQRK >OB10G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1021668:1026169:-1 gene:OB10G11030 transcript:OB10G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLVRPLLSTVQNASSYLAGQYRVMEGMEEQRKALERMLPLILTVIHDAQNRTKQSQVGAWLQELKKVSYEATDVFDEFRYEALRREARRKGHGAVSLFSSRNPIVFRYRMGKKLRKIVQRIKELVEEMNSFGLVHRQETPRQSRQTDSVMLDFEKDIVSRSRDEEKRKVVKILVDEASDRELTVLPVVGMGGLGKTTFAQLIYNDPEILKHFQLRRWCCVSDEFDVVSIANNICVSTERNRERALQDLQKEVSGKKFLIVLDDVWNRDSDKWGKLMTCLKQGSRGSVVLTTTRDVKVATIMATSEVEVYNLGKLGEVYLKEIIQSKAIGLPGSDEHLEVLNKIVQRCDGSPLAAKSFGSVLSSRSTVQEWKDILAKSNICNEGEDTIFPILRLSYDDLPFDMKQCFAFCAIFPKDYVIDVETLIKLWLAHDFIPLQEDDNLESAAEDIFKELVWRSFFQDVKKSSIWTTCKIHDLMHDIAQSVMGKECVSIAGRSNFISLLSEHPRYHFHSSYKETVLLDDFMRKQSPTLRSLLFERWFNYFSTSHLSKCSSLRALKLLRCSEFLPIGHLQHLRYLNISSNSCIKKLPKDICILYNLQTLVLSYCKNLVELPKDMKYMKNLRHLYTDGCPKLKYMPPDLGQLTSLQILTSFVVGARSGCSNLRELRTLNLCGRLQLCGLENEKEENAKAANLRNKEKLTHLSLEWNSNCHLEGTNSPYKVLDALKPHHRLQMLKVISYTGSSFPAWITDLGVLQNLIELHLEGCTMCGEFPQFIRFKFLQVLYLSRLDNLQTLCREEGRQGTEQAFHQLEKVVINICPKFQTLCSGVASTAFPELKEVKLMDLESFETWVAMEGRQGYMPTFPLLEEVEINKCPKLTTLPEAPKLKILNLNENKAQLSLSLLQSSYISSLSKLRLEIDDKETTLQLLDQIHESSLSEMELTHCNILFPLSPSQSKMRIWEWLGQLVELKIDSCDSLIYWPEEEFLCLVSLKKLTIKECYNLIGRPTQVTGNPTLLPHLTSLYVSKCVRLRELFVLPPSIKYITINDSICLESFSFPSYHLPCLERLSFWNCRSVVTLQNLPPCLMLSIDACWELQSLSGQLDELKHLGIVRCNKLESLNCLGELPSLEHLDLKMCKRLASAPCGPRSYSSLLSITIQDCPRMNMKKVYEWLRPRLDSLEERDLSHAHTRVIYEESKCPTLKSWKYAITRRW >OB10G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1034813:1035622:-1 gene:OB10G11040 transcript:OB10G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHPPGGFLSYFQDPSILQNHQPYVPPNYYSVQQVAPCSEQFYSPTKTNLPCSTSGPGAQHLVNIDSGGEEAPAVRTEKRLTWSQEEDIRLVSAWLKNSNDLISGNFKKNDRYWGVTAAYNSTTPKNRTRQEKQIKDRFHKIKKNVGHFCCAWKEVKSIYVSGQNDMQLREKAEAAYEADYK >OB10G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1036560:1039957:1 gene:OB10G11050 transcript:OB10G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVSHGALGPLLGKLNTLLSDECARLGGVRRDIRSLTSELSNMQAALHKYASLDDPDIQVKAWIAELRELAYDIEDCIDKFIHQLGANDEQLGTSGIKDFFRKSTRGLKTLGSRHKIAGEIEELKARVISVRDQKNSYKLDDTFCSSSRNKSTVDPRLAALFAEKNHLVGIEGPRDELVNWLDVESSSLKRRKVLSIVGFGGLGKTTLANEVYHRVKVHFDYTAFTSVSQKPDIKKILKDIIHQMPIKDGFLKDIDTWDEKKFIHKLRELLVDKRYLVIIDDVWSVLAWNDIKLAFPENDCSSRIIVTTRISEVGQSCCSNNGIDRNYEMEPLSEVHSRRLFCKRIFCTDEDGCPDSLQEVSRDILKKCGGLPLAIISISGLLANKPVIKEEWVKVKESIGFALDKNSNLEGMKSILSLSYHDLPNYLKTCMLYLSIFPEDHIIERNMLLWRWIAEGFISEDYGHKMEDVAESYFYELINKSLVQPVDIGFDGKARACRVHDIMLELISSKAIEENFITVLAEQTGQTNSCGCVRRLSIHGTVNYLSTVLANKDLRHVRSLTCFGGDKEFLPRLARFEALRVLDYGLVDFEGYDLENIGKLFQLKYLRLCDWNLSRVPTQIAKLQNLLTLDLSETNVEELPTEFCRLTKLLHLHGNSSKLKVPKGIGNMRNLQVLRGINISNSSASAVAELGALPVLRDLSIRLSYEPRECKPIEEMFLTSLCKLSSYKLQSLHIFGGTSYEFLDRWFPLPRFLRLFYMNTNYCIPHFPKWIKPDLTNIAYLNINIGEMREEDMKTLGDLPGLLCLEVYMDRDPSEQLTVKSMGFPCLKKFVLVCGLFVYGGAYFTFEKGAMPKLEKLRLPFHVLMAKSHGFYLGIKNLSCLKEAVVRMYRGGANHSDTEAATAAIRSEASANPNHPRLTIIEEYAEEDRGDEGAKDEQGGVTEN >OB10G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1040953:1041841:1 gene:OB10G11060 transcript:OB10G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEFCRLTKLQHLLGNFLRLKLPNGIGNMRNLRLLSGINISNSSASAVVELGELAGLRELQICLSDEPSECKPTEETLLASLCKLGSHKLQSLHILCGSSYEFLDRWFPLPCSLRLFRMSTSYYVQQLPKWVKPALTNIAYLDINLREIKEEDLKSLGELVALLSLHIWLMPDPKEQLTVQSMGFQCLKEFVLVCKAYLKFENGAMPKLEKLEVPFHVLMAKSNGFYFGINNLLCLKEAEVRIYGVNAEDSDTEAAIAAIRSEANANPNHPRIAITKALVKQSNNENSDYNEDT >OB10G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1044353:1045780:1 gene:OB10G11070 transcript:OB10G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVISAAASDIISRFMSFLISKYGNRPCLKTNLERLQHLLVRVHMVVEEAEGRYITNSVMLMQLKMLTEAMFEGYHVLDTYGPLELIRASGEVSDSYAVDFHYVRRFRLAGSTIVGKEVKSSIENLETVIDNIKEFVSLLNGCERMFRNPYSTYLYIDNFMFGHQVERQKIMSILMLDGHPRSPAVIPIIGGCRVGKKTLVWSVCSDERIRSKFSAILHLNGDDVKKFDQCKVMPVRTIFTVEFISDITDIEWSNFHSLLASSGNGSKVIIISRLEKLARFGTVNPIEIRKFSHEEYSYLFKMLAFGSSDPLDHPKLAVIGTEIARTLQGSLVAINIYANVLRNNMSVPFWIRVLKLYKGMMESNLSLYGQHPKSLLEKDRTIVDITAFSPALATNSYRIALLTGEKFRNDSKRELPVMGFGDIIAGSMTLPKKFQLVWESRLAPHTVISATCGAEKLLSTNSIRKKRKIVYSF >OB10G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1043817:1046636:-1 gene:OB10G11080 transcript:OB10G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGFWRNGWTGLMQHRIVEVLAPAPQMGKQIRSLQRFHTAAISESWSPLLCLQIP >OB10G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1052104:1053546:-1 gene:OB10G11090 transcript:OB10G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAISAIAGDLINRLMSFLMKKYTESICTHDKTKRLQELLIRVRVVVEEADGRCITNPTMLTQLNMLAKSMYRGYYMLDMIKYESSDDEEVRRLSTVSVTLKRSCTVLGNTGGPAADNELEIVLKNLESAISNMNEFLVLLLGCERVCRRPYDSYLYADNFMFGRHAEKQQVINILLQNHGHQSCPLVLPIIGGCRVGKKALVSHVCGDERIRSYFSSVLYINGDSIQGIEDAMFCKVRTLIVVEFFTDMDEEDWVKFYSTASQMTAAGSKVIIISRIEKLARFGTVKAIHLNSLSQEEYSYLFKMLAFGSIDERHHPKMAAVANDLAVVLGGSLITANVIADLLRSNLNLQFWLRILRRFGGMVKNNLSKYGEHPKDIIEKEQPIDITRFASSCPSRLRLMPPRVERRNDADPNKNRPSMLFRDLIAGCKAIPDGDFELVTWESRIPPYTKYVQSVAAFADDTKNGPATFTRKRPFSC >OB10G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1063350:1065703:1 gene:OB10G11100 transcript:OB10G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVSAITGDLTCRLISFLMNKFSNHTCSDEKMKRLEQLLLRVHMVVEEADGRYITNYCMLAHLKTIVEAMYSGYHVLDSIKHMRNKEDASELVSGSSNLSLANPLKLSRSNSVFATRTKMFSKELERVLENVETVIGNINEFIILLAGCDRMPHKPYDTYLYKDNFMFGRHVEKQHLMNLLLQNNMPGPPDVIPIIGGHKVGKKTLVAHVCNDERIQSHFSLIFHINGANLSRISENENRSARTLVIVEFDSDVDDNDWRAFYSSVTRLNRGNKVIILTRIQKLERFGTVQPITVDRMMYEEYRYLFKTLAFGSVNPMDHPQLVPMVEEFAMLLGGRLIPINILADVLRKNMNVHFWLFMVKQIQITVKKNLSINGTHPHDLFDRGHPAHFRDYLLYPANTRPNAPNNDLPKLVFGDLLTGHIIPPKDNFNLVSWESRIPPYTSFVHVACFSPSLAQDEPESCSSGRKRRREN >OB10G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1067304:1067516:1 gene:OB10G11110 transcript:OB10G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFIPMENFVVKTDLSMLFKGYRKAPLIPSLSWADNKASSKYSVLWPGLSLPRTKWNKDGRLSGGKQSG >OB10G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1070117:1071553:1 gene:OB10G11120 transcript:OB10G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVSAITSDLTTRLITFVMNKFSDHICSQEKVKRLEQLLLRAHMVVEEADGRYIANSWMLLHLKTIATAMYHGYHVLDTVKFKKNKEEDNDLVNDSSASCFANPLKRSRTSTVCTERKKKFSMELQDALENLEVIIGDMNEFVIFLTGCDRMTHKPYDTYLYIDNFMFGRHVEKQHLINLLLENNICGPPAVIPIVGRRGVGKRTLIMHACNDERVRSHFNRIFHINGEKLGEITTKNGDLSTRTLVIVEFASDVDDNDWRKFYSSLTSLNRGNKVIILTRVKKLVRFGTVKPITLDIMVYEEYRYLLKTLSFGSANPADHPQLVPIVEELAMLLGGRLIPANIIGYVLRKDLNVQFWLSKLKGVRFSIENNMSVSGSHPNELFDQGHPAHLKDYILYPAASTSNNAPKNDLPYLIFGDLLASKSFLPKGDFNLVSWESRIPPYTSFVHMARFRPSFAQDELESPLLGRKHPRPLS >OB10G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1072823:1074280:1 gene:OB10G11130 transcript:OB10G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIISALTGDLTGRLISSLMNKFSDRVCSDDKVKRLEQLLLRVEMVIEEADGRYITNSRMLMQLKELADAMYHGRHMLDMFRCRTLIQDSAIREVSDPFPSLKRFRTIADASGSDKVRYLDLDKTLGTLESCVDHMAEFVVLLSGCERMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLLEYNPPGLQPAVLPIIGGLAVGKKTLVAHVCADERVQSQFSSVLRLNEDDLLRLAQSDTLLSGKLLVVLEFLSDVNEKNWDDFFAFLAKLKKGSKLITISRFRRSEKLGTVKPILLDSHSYEEFSYLFKTLAFRSSNPNDHARLVELAGEFAMQFQSRGSLVTANTIADVLSSNLNVSFWLCILNRCRTVAQRNLSLYGDYPKILSEHGRRVDLTNFALSPVAPLHVIPYVGICSVNTVEIKDLPRMTFRELLLGSSVRPKGEFSLVIWESRLSPYTSFVHFVPNCAQDMPEDAPLSGRKRRGVPS >OB10G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1077609:1079051:-1 gene:OB10G11140 transcript:OB10G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVSAIAGDIVSRFISFLLKKYESQENLERKTESLQHLLLKVHMIVEEAECRLITNSKMLLQLKKIVEAMYQGYHVLDIINHRTLCSSTPEDEVSSSCTLSTNRCYQNPFGVAQTAAIRHDQLQRTMETLEKIVSSMTEFLFLLGGCERLPHKPYDTYLYFDHFMFGRLVEKQQLINILLQDNIPPFAPTVLPIIGPSRVGKRTLVAHVCNNEIVRSHFSSIIHLNGESIAKMERTTLTARRNLVVVEFLIDTDDENWKKFYTSCTHMGRGSKIIIISRIERISRFGTVRPIQLNSLPLEEYSYLFKVLAFGSTNPEEHPQLVSIANELSVLLAGSFITGNVCAHMFRDNQNVHFWHQVLKKYRNVVQNNFSVFREHPKVLMDKEHQIDITKFLPSSPPLRLMPPCKGNESKRDLSKVMFGDLIAGTVVLPNEDFELIAWESRIPPYRRFAGVAAYCDDKKNFQHKTKPQKKRQRLDK >OB10G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1081508:1082948:-1 gene:OB10G11150 transcript:OB10G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVSAVTGELLSRFISFLLSKYSSHEISQEQQLDKLQQLLLRVCTVVEEADSRYITNSGMLMQLKLLADAMYRGHHVMDMFRCRTHTQDNLIDQVSNQFPPLKRFRAIVDASGNDKARYLELHRTLGILETAVDHMAEFVVLLGGCERMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLLEYNPPGLQPPIIGGLSVGKKTLVAHVCADERVQSQFSTILHLNEDDLLRFANSDALLSGKVLAVVEFVSDVNESSWEEFYTSLAQMNGSKVITISRFRKSEELGTVKPILLENHSYEELSYLFKTLAFGSANPQDYPHLLQIAEEFAMEMQLRGSLVAANIAADVLRRNLDVKFWLCILNKFITVAEKNLSLYGKNVQLLVQQGHRIDITNLASSPATPLHVIPCIGATTVAMNDLPKVTYRELLLDSTVRPKGEFNLVSWESRLPPYTSFVHFVPDCAQDMPEDKPLSGRKRRGVPS >OB10G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1082574:1082822:1 gene:OB10G11160 transcript:OB10G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKVPRLVVTRSINNCAKTFEWRKLVANLIDEIILSMCPAPEHVHDMVASVHGICKQLQLHQHPGVGDVSAVCLLDDRTDS >OB10G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1088579:1090019:1 gene:OB10G11170 transcript:OB10G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVSAVTGELLGRFISFLLSKYSSHEIPQEKQLEKLQQLLLRVCTVVEEADSRYITNSGMLMQLKLLADAMYRGHHVMDMFRCRTHTQQNLIDQVSNQFPPLKRFRAIVDASGNDKARYLELHRTLGILETAVDHMEEFVVLLGGCERMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLLEYNPPGLQPPIIGGLSVGKKTLVAHVCADERVQSQFSTILHLNEDDLLRFANSDALLSSKVLAVVEFVSDVNESSWEEFYTSLAQMNGSKVITISRFRKSEELGTVKPILLENHSYEELSYLFKTLAFGSANPQDYPHLLQIAEEFAMQSQLRGSIIAANIFADVLRRKLDVKFWRCTLNRCITVAEKNFSLYGEDLKLLFQQGQRIDITNFASSPAAPLHIIPCIGATKVPRNDLPMVTFRELLLDPTVRPKGEFNLVSWESRLPPYTSFVHFVPDCAQDIPEDKPLSGRKRREVPS >OB10G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1088705:1088953:-1 gene:OB10G11180 transcript:OB10G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKVPRLVVTRSINNCAKTFEWRKLVANLIDEILLSMCPAPEHVHDMVASVHGICKQLQLHQHPRVGDISAVRLLDDRTDS >OB10G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1093655:1095037:1 gene:OB10G11190 transcript:OB10G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVSAIAGDIANRFISFLIKKYEDRYNLERKIERLQDLLLKVHMIVEEAEGRCITNSKILLQLKKIVGAMYHGYHVLDIVKHGKEEVRGSQSAVIRHDQLQRALNRLETIVSSMTEFVFFLGGCERLSRRPYDSYIYFDNFMFGRHVEHQQVINILLQDNLPPFAPTVLPIIGPSRVGKKTLVAHVINSEKVRSHFSSILHLNGENISKIDSDRFTQRRDLVVIEFTTDVDDENWKTFFASCTHMGRGSKIIIISRIERISRFGTVRPIHLNSLSLEEYSYLFKVLAFGSTNPEEHPDQLVSIANELSVSIGGSFITANVCADVMRRKQNVHLWLHVLKKYRSVVKKNFSVFREHPKLLMEREHRVDITELASSSTPLRLMPPHCDGDESKRELSKVRFGDLVAGSVVLPKEDFELVAWESRIPPYKRFVNIAVYCCHDEKNSQCIESPRKKRQRSDN >OB10G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1101325:1102770:1 gene:OB10G11200 transcript:OB10G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVSAIAGDLVKRFISYLIKKYERQDNLQRNIERLQHLLLNVHMIVEEAEGRCITNSKMILQFKKILDIMYQGYHVLDIINHRTTLGSSIPEEEVSSPNTLSTTTSYVSPFHAAWSTTITHDQLQITLDSLETVVSSMTEFVFLLGGCKRLYRKPYDTYLYFDNFMFGRHVENQQVISILLQENIPPFAPSVLPIIGPSRVGKRTLVAHICKNEIVQSHFTSILYLNAEDITKMEFTTFTHRRVLFVIEFTADTDDEHWKKFYISSMHMGRGSKIIIISRIERISRFGTVRPVHLSSLSLGEYSYLFKVLAFGSTNPEEHPQLVSIANELSMLLGGSFMSANVCASTFRKNQNVHFWLHVLKKHRNMVQKNFSVFRQHPKLLFEREHQIDISMFASSSSPLRLMPRTSEEIQTKTDLSVVMLEDLVTNSVVLPKEDFELVWESRIPPYRRLVFIAAYQDVEKNFQHESSPCKKRRRLDK >OB10G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1104683:1109491:-1 gene:OB10G11210 transcript:OB10G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDGGGGGGRDLNGGGPPCGQVRVLVVGDSGVGKSSLVHLILKGSAIARPPQTIGCAVDVKHITYGSPGSSSSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSLWSITPREDTVLPVETTNDDDLFQRKSYAGQSYKYNGVTPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDATGSRTNRADINI >OB10G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1112168:1117873:-1 gene:OB10G11220 transcript:OB10G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGVWRSEEHNVFPGNPRQPSQEFRAVVSHALGRDGGRRDSDQRGAAPKTLIKCFKCGKEEHHQANCANPPLCYACHNTGHIALNCSLHVQKRGIKLVGFGIPGQGFFSLHIDIPAAESEKIPVRGIMAIIDSFGEVDKIEKELNHMFSDVSWEWKVKQLNEREFLITFPSKMIRRQLSRTKSFDFECYPIKASVVETAMTEEAVDELMAVWVKIFGIPNFVRDEVHVKEIAELVGEFEVLDEKSIKGDGPVRVRVACKDPNELFVSMLIYINKVGYKVCWEPEGFKRKAISHPPFPPKDQKDDDDVDDLGGDDDNESFLSEKTLQDKLRKGAASAPAKTRKSLGPSHSKKVALDDRSCFSPIPMLKLEMDNISRSKESNPLAMVVWEEDEMGICTQEPQEDKMNVNVVTEERMRAEEALDEIDSFEVVSSKRTKKKKGKIPILVKRKSDRNKGQVVPVQNSLEDIASKAGLCLGTNRKEIDRNIATIKAKELAQVALAECEWKKKQCKKEVVRDIESEEVINSVIEVEKGGYINSVSRPLVVGGDFNMYKFAHEKSNGWILSENFSDLVLEKMPIRDNDYILNFWNTKLSSARKFLKGWGANKNSEWKRAKQELVSKLESFDLEANLHDLCPEQWEERYKIEKELDQQDLYEMLIMLYHGELDLKRLNYGVITLIPKCNEANSIRQFRLICVLNDCFKIISKVITNRISLIASEIISHTQTAFIPERFILEGGIVLHEVLHELKTKNLSGVIFKIDFEKAYDKVSWEFLFEVLKRKGFSDNWIGWIKSCVTGGKVCVNINGNRSQFFGTSRGLRQGDPLSPLLFNLVGDALARMFELAKSNGILSGLVPNLVNGGLTHLQYTDDTIIFIPCIDSEIMATKFLLYCFEEISGMKINYHKSEVFSVGISPEGEQRVANTLNCNSGSFPLRYLGLPMSPNRLRADAKFLLYCFEEISGMKINYHKSEVFSVGISPEGEQRVANTLNCNSVEEAVEGREGKFAGRMDSETSTRDKKSQGSKKRIWLKQEI >OB10G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1118985:1120178:1 gene:OB10G11230 transcript:OB10G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLAALPQELMVDILLRLDDMADLARASSACKALRRLVVSGPFLRRLHALHPRPVLGLLDLSGDVSRSGFIPAEPPHPSAATAAAVARGSDFAFSFLPGGPAGWRFRDFRHGLALFSSYSVVADCGCFHRLVVCDPLRRRYVLIPPIDEDLAAPIRDLRRAAVRDSDYLVSPAGREGLSFRVICRPKVPEECDVTVFIFFSGAVVWRAATLAASRATTQLSSPQFVHCYIYWRTPLRDRLLMLDTREMDFFFLRFEPRDVHLQAIGETDEIGRIAVFNMDYHQHGRVELLSKEIRGGADARWRHDRTAQLLPGYKWRIVRLAEGYLLLQGVLCGGPSRFTLGTQLHYFTLEIKTFKLERLCTPQFQGCIHHPKFYADRLCLYRSFPPPLSLSSI >OB10G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1126838:1127215:1 gene:OB10G11240 transcript:OB10G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFFFTNFITYRWFKDMYYTYSRTIQFAISPTLLDEFSTCAWVHAVVERDRASQVPWKLYPNPLLLKQIKIETKSRRFACFLLLLLLLPNSPPLLLRRRSLPRRDGELLSHSRGERGAEIRPGL >OB10G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1127352:1131984:1 gene:OB10G11250 transcript:OB10G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16120) TAIR;Acc:AT5G16120] MVLRACLDQAPDRRRVREAFKDVQLSIDHCLFKGQYSDIGTKESYEKNSRGVEIFSKCWYPEKHRMKAIVCLCHGYGDTCTFFLDGIARKIASAGYRVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFTKVKENPEHRALPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKIADDVIPPWPVQQVLIFMARLLPKEKLVPQKDLAELAFKEKNKQEQCSYNVIAYKDKPRLRTALEMLNTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKELYDKAKSSDKTLRLYKEAYHAILEGEPDETIFQVLDDIISWLDQRSTKKAPLS >OB10G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1136752:1138129:-1 gene:OB10G11260 transcript:OB10G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQIDVEMYDPLPPPADVHGGGGFPDLRGCGDGLLLVLDHDVRQFVYLLLSVRGHHILEFNLETSSLAVINADWPQSNFATYCCVMRGEDGNVGLAVPSFRGFQMWEMKSSVGGDAKWVLRKTVNLHHILGLSSVVQWQRIDINIMGYSEDLINAFVLVVDTSFFMVQADSQCGPRNSLIAIPSPGVIPTQISILQQWKALKQTSDNSKLDWIAVKLRTRSLVSRALNP >OB10G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1142848:1145815:-1 gene:OB10G11270 transcript:OB10G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVPLFLALVPLAAGELLAQFCGNGINFTANGTYQSNLASLAATLPSNVSSSPNLFATATAGGAPDTVYALALCRGDVTSATACGDCVAASFQNASRTCPMDRSATIFYDDCLLRFSGDGGFLAPPNITENATLFQYWNQENILGDAGVVAGNVRELLNETARAAATTARRFATGFMDASSVSIPTLYSLTQCTPDLTSGDCLACLRRLIDMMNSTTSVRVGGRILVLRCNLRFEKFVFYSGEPTLRVTPPGSSPAPDPITPIKRNKSKSVVIAAIVSPVAAVALCLIVCYCFRSRRFREGKRLQKRRSRRFQGEDELVWEMEGEISEFSVFDFHQVLVATDNFSEEKKLGEGGFGPVYKGLLSEGLEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFYIFDAKRTALLDWNKRLAIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNSNEGTTRRVAGTYGYMAPEYASEGHFSPKSDVFSFGVIILEIISGKRNASVHQCEDFINLLGYAWKLFAEERWLELVDASVVPNCQSSCMMRCINIALLCVQENAIDRPTMSDVVAMLTSESMVLDEPKHPAYFHVRVTNHDESSTYGTSSAKM >OB10G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1155584:1159388:1 gene:OB10G11280 transcript:OB10G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVIGILFMLGLMPFEVTTAAGDGGVFCDNLRLVSATLPNKTSSSSQHYATDAAGQDPDVAYVLALCRGDVNGSACGECVADTLRTLNDQCGVYNGGTYFNGSCTVAYSFQNLLEPSNAEEPFERWNVNNITGDAENVRFIAGLIGELLSETVRTAAGEARRFATGVLDTGRTFPLVRSMAQCTPDLSAGDCLTCLRRLTGMINSTMAVRMGGQMHVIRCYFRYEAYLFYEGQPMVAHPMVRLPGVAAPPLAPVSPTNTKRHKSKLWIIPIVLIPVVVFFCFIIYFGCQRKHRKGKLGLQESRTENLQGEEDLVLGLEGKNPEFSVFDFDRVLEATSNFSEENKLGQGGFGAVYKGQFSDGMDIAVKRLATHSGQGLIEFRNEVKLIAKLQHRNLVRLLGCCSHGEEKILVYEFLPNKSLDFFIFDENKRALLDWYKRLEIIEGIGHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNSTEGNKTRRVVGTYGYMAPEYASLGLFSIKSDVFSFGVLFLEILSGKRNSGFNHSGDFINLIGFAWSLWGEGRWVELMDETLVSENHSAEMMRCINIALLCVQENAADRPTMSDVVAMLSSKTMVLSEPKHPAYFNVRVANQEQSGVTSVNDLTISVISAR >OB10G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1160778:1163860:-1 gene:OB10G11290 transcript:OB10G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYVHPFGDFTLPSLETLHITHCAHLRHIFPREAAAQHTVKEFKMLKHIYLDELPSLEGICEGCSMSAPKLQCVNLRGCSSLRHLPAVDCHPRPIVNCEKDCWDKLEWDGLEVAHHPSLYEMCQSSSYYKNPLPRGTLLRFGNAKIYDVFSAAVIAAVRRIKNTFMLDAVCASWYQVVNRYGGDAMVIDVEVDILDLVREVLSGVKVDLVEELIGSVAQIRLLEMGDDRVGPGGTSSRQRELLILQTSSWGSQEG >OB10G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1164203:1167368:-1 gene:OB10G11300 transcript:OB10G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITELMQEHIWAESIEGAIYDIIPYLDDREKARAEDRGGVYKSIYFNGWFSGVGASAVLRAIAEDPPQSLTKKFDKIIHIDCSRWKSRRALQRTIADELKLSQHAMALFDRQDEEDDFSMVGEGSRAEIGEITRVIFLSLLQHTCLVLFHSGGNDIVDLYDYGLPPVGAFGNKVLWTFGGRLRRVSETTNKLNKSHLFISAVKMYTGCSILLWEEATEISLYTHKLGLGVSPEVTRECLLYLLSLDHNRGSNIIDYNWTIHAANYWVCDGIIVQGGQDNKKVWEVARALHQEIRLEDYSSNSRPNDWGDQLYTPLDRWIFTTKSNIHITADTTSIFLASKSGSTSHQPLVSLPIDMFHQADQLRVLKLCHCTFNFLSPPFHCCHNLRFLGLDSCMDSPQGREDSQGALEIFQRLWVLDICNTDWELDFPQETEQTMHAIIREIHIKNGRIWHKNLAWRRLQNLHKLRVIEPTSSWGTGEMDEFIDMVKLEHLDLSGNSKIQVLPTLARVAGLKNLVLDGCVRLKKISPEGLPPLLETFRFDAGSNPMVAAQLVKISLAGCVRLKNFLLCGALPELEELNLSGTSIRKVDLSDEVVRVQGLKKVFLIDCKQLRAILWWKHPTRRLEVLCIDNHERNSSDQGRAPSSDPSSIRIQQKNHDGYVITSDARIIQSLLVDFDDLITRSLYLYLYIPPSTSSRRYKGKSISSTGEAVITTKTSCYNDVLLHLDQGIVGTAYDQHDITSWPAPSDFHVEVGEGISLTNVE >OB10G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1173144:1173392:-1 gene:OB10G11310 transcript:OB10G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPRVGPDKAGRRTTDCRWRGSDDVAVAAWGALVPRRRPPGTRQPPPPPPPARRQPPSSPARGRLLRHRHCRTEREGGSE >OB10G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1179015:1181921:-1 gene:OB10G11320 transcript:OB10G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPPSSLTNKFDKIIHIDCSRWKSRRGLQRRIADELKLPEHVMALFDTQDEEDDFSKIDECSRAEIAEVTRVILGSLLQHRCLVLFLNGSNDSVDLYGCGLPPLEVSGTTVLWTFGGRLRCPTNEITDKIDTSNIVLSMNTVMSKYSNFLVWEEAKEILLYTHKYGFGITPEIVTQCLLYLLTLDSNRGSEIMDYNWATHASNYWVCDGIIVGDQYKSKAWKVARALRQEIQLEDYSSTRERVFSGEKFDSPPNRWIFTTNHDMQIETPPGQGTTSLFLAWQHGSESDQPVAASLLSDMFHQADQLRVLKLCQCSFSFSTPPFHCCRNLRFLGLDRCMDSTQGGEVKEKDRQAALEIFQRLWVLDACHTNWELDFPDETDEQIGPNMREIHINKGRIWQKNLSWRRLQNLHKLRVIEPTRSWVTGEMDEFTDMVKLEHLDLSGNSTIQVLPTLSRATSLKNLVLDGCVGLKQVGPEGLPSLLETFSLDVGSDPKVEAKLVKISLAGCVHLKIFLLRGALPKLEKLNLSGTSIRKVDLSDEVVQVPGLEKVFLMGCKQLRAVMWWKLERRLKVLCIDCHERNSDDLGRTHCSDSSSINIQQKNYDGYVIASDVRIIQSLLLNPNCSITTSLYLYLHVPPSTTSNASKGQSTSTKPCCYSDVLLQLQGVLANVSDDEIVTTWPAPLDFHMEVGEGICFSDMQSRMAIEAMDFIMRLYVDSLHVHDNSRTVLGVTPKLGRLDGVKLYRRIFLKWCRVERCPKLQAVFVSYDSRVNYIFNSLTTIWASDLLAARCIWHKGTICNSEKNFEALKSIHLHNCPRLKYVLPLGSLTLPTLKTLHITHCSDLRHVFPRDVDVPAEVEAQHTVREFKMLEHIYLDDLPSLERICEGCSMSAPVLQCVKLRGCWSLRRLPNVGHDCHPRPVVNCEKDCWDKLEWDGLEVGHHPSLYDLCQSSSHYKKPLPTGTLLR >OB10G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1199610:1199858:-1 gene:OB10G11330 transcript:OB10G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRAVGVVLLGEEETTVGEKQPSTPLHRSSPETRCLGTVAACREQQPPRMGALSATVAAVQGSSNRRRHRWLNRGAAGRA >OB10G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1201875:1202126:-1 gene:OB10G11340 transcript:OB10G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGSDARQGARRCQRGGGGHVRVEVRAVAGAEVRARGEVDIQGRGVPDRPRRAAAGEQPAPEPAVFHHQMDRAWVRQAHPRGRQK >OB10G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1201893:1202177:1 gene:OB10G11350 transcript:OB10G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHPGSIHLVMKDGRFRRGLLSSSSSSWTIWYAASLDIDFSPSSYLGTGYCTYLDANMAAAASLASPSSLTCIRTIPVAPLDVFLKRTHDEI >OB10G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1208907:1209325:-1 gene:OB10G11360 transcript:OB10G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDPNAPNARKTSSTRRFPDTASTELLARNAELTYETAFPNGREAARNVSKAMPSSEPSGIEMLMTKVLESHGGCFRNSSRVRHTLLEATCWMVTVDPAARRTVAMSDGNDNLRAMTMLPPMVAVFLTNGVATLLR >OB10G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1208971:1212819:1 gene:OB10G11370 transcript:OB10G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSFPSDIATVLLAAGSTVTIQQVASKSVCLTLEEFLKQPPCDSRTLVISISIPWGSDDGIAFETFRAASRPFGNAVSYVNSAFLARSSVDAVSGNRLVEDVFLAFGAFGSKHAIRARKVEEYLKGKLVSAPVILEAVHLLRGVVSLADGTTHPKYRVSLAISFLFRFLSSLANGLDEPENADSVPNNSCTTNGATSGKTESKVDSSDLPIKSREEMVFSDEYKPVGKPIEKAGPLLQASGEAVYVDDIPAPKDCLYGAFIYSTHPRAHIKGVNFRSSLASQKVITVITAKDIPTGGENVGSCFLPMLGDEALFADPVSEFAGQNFGVVIAETQKYAYMAAKQAVIEYSTENLQPPILTVEDAVQNNSYFEVPSFLTPMPIGGFNQAMSEADHKIIGGEVKLVSQYYFYIETQAALAIPDEDNCITIYCSTQMPELTQHIVASCLGIAYHNVRIITRRVGGFGGKAMKAIHVATACAVAVFKLRRPVRMYLDRKTDMIMTGGQHPMKVKYSVGFKSDGRITALHLDLKINAGISMDFSPVMPSAIVGALKKYNWGALVFDIKVCKTNVSSRSAMRAPGDAQGSFIAEAIVEHVASALSLDTNDIRRKNLHDFESLKLFFGDSTGEASTYSLVTIFDKLASSPEYQRRVAMVEQFNGSNRWKKRGISCVPITYQVSLRPTPGKVSILNDGSIAVEVGGVEIGQDLWTKVRQMTAFALGQLCQDDGGGEGLLDKVRVIQADTLSMIQGGWTAGSTTSEASCEAVRKSCAALVERLVPIKERAGNVPWKSLIAQASMASVKLTEHAYWTPDPTSTSYLNYGAAISEVEVDV >OB10G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1229186:1231181:-1 gene:OB10G11380 transcript:OB10G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVVCVDVDGGEQEQQQQEKELQLSDLPNDALQSILLRLPSPPRYLAVAAAVARNWRRQILGNSGGDGSFLRAFRGAHGGVPPLLGFFCNRQGFSCPFFTSTAAGGVAGMSPPAGTGKRRPFVHDARHGRVLLDDGEDGQLLVWDPLTRSQDVIPTPRCYFTSNDSCGASLICGCEVHAGAGDDDCHWAAFHVVVAFTDLPCFCPDEWNLDRICVRVWSSATKEWSEVYSMRGTCDFDFMPSAFVAGAVHWLVGESSAVLQFNLNTKQLALIRTPVDLSEFMLFPTKDGKLGFTGVLGSHIIFFHMDISGDALVGERVWSIQNVISIDHFFPSNVNVLATCGLADDSEEDDDDDDDDDTISDDDDDDDDDDENRGIQRTMRHDNEASGSQCLGSSDPLSHEDFDKENNSLISMISPNVDVIGFIAEANAVLLHAAGRGVYTIDVETKRTRRVAASANYSHVFPYTSFYTSAGKTVFGEPTFPDRKNKGTSGSGSSIEINWYHAFEMFLDLLFCPDLVHPPTGGRTDASGDAGQ >OB10G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1243512:1244714:-1 gene:OB10G11390 transcript:OB10G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSRFGPCWPRRRRSPQDEPYLTDDVLEEVFLRLPPHPDCLRRVSLVSRRFRRVVTGRRFLARVRGIRGVAPQLVGLFHNHNHGDDRFVPVGVDGSGYFRRRAGPVGRPPRGPLSPGDAQWNILGCRGGRVLLLSPDRLRLLVLEPMRGRRQYFPAPPAPVYKPSCFSNAAVVTADSGHDELSSHLFRVVFVSCNAASKRSTVFIYNSAAFRWTKVATAEMSSVVDGRPSVLIGQVLYWHLVSHGIISFNLETHELHEILVPADAFDDVHEASLNIVVPKGGGVGLAAVSGYILQMWTLRNFTHGASTWDLRKIVRLDALLPLRNARFAAPPPTPTAKHPLVWMMALDEDKNVGYIWTMVGVFAVQLDSMKYHKVIGAVCRGMDLVYPYKTFFLPAGT >OB10G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1259723:1261339:-1 gene:OB10G11400 transcript:OB10G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLLLLATLILSTALIFFFHGKIGGSAAGGKKSHCLPPGPAAVPVIGNWLWVTNSGMDIMRAVRRLHARHGPLLGLRMGSRLEVIVADRRLAHAALVESGAAMADRPEFATRGLLGLETATISNTSYGPLWRLFRRNFVAEVAHPARLRQFAPARAAVLAELTEKLRRRHEDGGGGGGTIMETFQHSMFFLLVAMCFGELLDERAVRDIAATQRELLIYSSKKLRVFAFLPAVTTRLFAGRMKAMLAMRLRLKAMFMPLIDARRQRKNNTPPRQEKATTLPHSYVDTLLGLSINDGGKGGERALTDDEMVALCSEFLNGGTDTTSTALQWIMAELVKNPGIQDKLHDEIKAVTAADSGDGGSRRRQVSEDDVHRMPYLKAVVLEGLRRHPPGHFVLPHAPAEDMELGGYTIPKGTLVNFTVADISMDEKTWERPREFVPERFMPGGDGEGVDITGTREIKMMPFGAGRRICPGLGVATLHLEYFVANMVAAFEWLPEGEGEAVDVDGEKLEFTVVMEKPLRARIVPRAPALSLSE >OB10G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1277835:1279782:-1 gene:OB10G11410 transcript:OB10G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTRKELRVFRQEHQAGVPHDAAVAGRGRVLGARQPDPSTAYAAVWREIGSREEVKMEEEDGTDMWVPCLELTTGRHDQQGEGEEYLLRCPSLPSSISQCSPPSLPRDRVHNPLLIELSCGSDLVRDGAAGGQEKGDSNRMRNMHNTAAPNALVGDDVARGATTKQAARSPLACEGAEIFMQLNPRTLVLDPPLATSKCGLGTGSMAHGGCQRACKKEEQKCKGIFVWDNIPTCRT >OB10G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1281390:1282118:-1 gene:OB10G11420 transcript:OB10G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLVDQFTGGIDDGRRLTVVPPRRSIYGSCFTYAPARTPFQYTPYEAALYSSLSLPHMPYTYHAAAAAPCPAPGALFPHLPIPAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIIIIIIIIIIIIIIIIIIIRL >OB10G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1286480:1289152:-1 gene:OB10G11430 transcript:OB10G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSVSVILFLSALAGGGAVEALLGAPPSPGAAAKAKVAAVLAFGDSVVDTGNNNYIRTIIRSNFPPYGKDFPGGKATGRFSDGKISVDFLASALGVKEMLPPYLDKKLTMDDLRTGVSFASAGSGYDNATCRTMMTGLTVERQLQLFAEYKAKLGGAMPERALYLLCWGTNDVVQHFTLGDGKTEPQDADFMAQRAVGAVQTLVGHGARLILVVGAPPVGCVPAQRIIAGGVRRQCATDRNQVALLYNRKLSQEINRLNGQLAGVKIIYVDLYSILTDVMHGYQALGFKNGKDACCGYIGLAASVLCNFASPLCDVPSDYVFWDSYHPTERAYKLMVDQVVHRYLRFL >OB10G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1292484:1292714:1 gene:OB10G11440 transcript:OB10G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQDLLSYSEGHDIYNVKWSSTYHQLFITTPVLLLLLLLISQNLNFNLKFRAEFRGFFIVIYFSVPSSFRSLITCI >OB10G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1292901:1306626:-1 gene:OB10G11450 transcript:OB10G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MAAVAVAVAVFGVLFMGAEAYVGYNTSGGTVAGKLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVMNTLDSVVDALVRDPGRKFVFVEQAFFQRWWAEKSPTIQAIVRKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSAQIFTNAFPVHYSPPNGFGFEVLDDFVPVQDDMLLFDYNVEQRVNDFVFAAIKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLIHYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLALGASQVEKGVNTALTCLTSSKGTCMSPAMKFSQCQLLNISYCPSTEEEISAAKSLVVVVYNPLGWERSDFIRVPVNDAHLVVKTSDGTSLESQLVEVDNVTANLRKLYVKAYLGITSDKAPKYWLVFQASVPPMGWNTYFISKSTGTGHVSTMVSPSNETIQVGQGPLKMSFSSTSGQLKRMFNSISGVDLPIQQSFLWYGSSTGDSADSQASGAYIFRPNGATPTIVSRTVPLKVIHGPLVDEVHQQFSSWIYQVTRLYKDKEHAEVEYTIGPIPVDDDIGKEVVTRLTANMVTNSTFYTDSNGKDFIKRVRNHRDDWDLQVTQPVAGNYYPVNLGIYVADGKYELSVLVDHAVGASSIQDGQIEIMLHRRILNDDSRGVGEPLDEVVCVDSECNGLVARATYYINVNKQGHGAHWRRTYGQQVYSPFLVAFAHEDEQSWKSYSIAKSSMIEANYSLPDNVAIITLQNLDDGTTLLRLAHLFQAGEDTQYSVMAKVELKKVFAKRTIKELTETSLSANQKKSEMKKLNWRVVGDTKNDPVPVKGGPVNSQTLVVELGPMEIRTFLLKF >OB10G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1317538:1320216:-1 gene:OB10G11460 transcript:OB10G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATVICVDDSEWMRNGDYPPTRLRAQAAAANLVFHAKMEKNEVKQTWLLLSGFAQLVLKNRPNKSLCQRIVVLVGSPVKDEKNVLEAIGKRLKKDNVSLDVVDFGESDDQKPEKLEALVAAVNIGDNSHIVHIPPGEDALHDVLANTIPFTGDEGGGAAEGGASRFEYGVDPNVDPELAEGLRFFAEEDRARQEAAASGASRFEYSVDPNVDPVLAEALPLFEEEFSKTENKCTSSISNTGIVPSFDCQNTYVPFLE >OB10G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1321666:1323861:1 gene:OB10G11470 transcript:OB10G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPATPYRGRRSKRQRGGEPSEEPSPASLLPDYILAEILLRLPPDPSSLPRVSLVCKRWLRLVTGPLFHGRFHNPGGRRAPLLGFFHNSFTLPCFIPTGSAPDRVPAEAFSLRRHPGRWLFLGCRRGRALLASPFSAWRHLMVWDPLSGDRHDIPVPIAFDQRYFRGAALLCDAAVCHRPTPFRVIFAFVDQLRLPCACSYSSQSGTWGCVFYGKAVIPQGLKSAMESDPVRLGGGISGEKISAIDMRPPVPVGNVLYWLLLRNYILAFNMDAKNLATNVIAGPDPVYFLNDWSVQIMPAEGGKKLGFLALKCLCLNLWVRQKHSGSSTASWAISRTMKLDMFLPPELLSLRGGVLAQLALLGSTEDGDVVFLQTTIGVFTVQLDGMKFKLVLPDEKLAVVYPYSSFYLTGKLTYPTLYRTRLRGMAQPVGAMRLKESGGTVEGLVVGVRKDN >OB10G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1327323:1334166:1 gene:OB10G11480 transcript:OB10G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase accessory subunit 2 [Source:UniProtKB/TrEMBL;Acc:J3N0V0] MRFAVTKVCGGGAKARAGMIQIGGSSIETPALLLSTRKGLPAFMSRDLLASLPLPDSLLLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMDLISCMKPNLWASLADEVPAWANEKRNKVSVERTLRWLDACISLDADAGANTLGVVVGGSSIEQRKLCATEVSKRNVSGFWIGGFGLGDSAEERCSILNATVDCLPPEKPRLVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTVGGFALIFPVDMVGKTMHNGVLNHSSGEFTKINLRATIYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNAHHYLCFFRLIRDAIKTGEFDDFRQQFVQNRRAHLAEAVL >OB10G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1337730:1341561:1 gene:OB10G11490 transcript:OB10G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSSTRSASVGAPVYIYHQQNPATAIVAVDKNLDTSTPDTYRAPPAPLPYDVGLILADNPDLEKSGIKRKINDHQQPLMMDENESLKKCLSEDKPDEEDVCPICLEEYDEENPSSITKCQHHFHLCCILDWMERSETCPVCDQITSIDSMYE >OB10G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1344710:1344940:1 gene:OB10G11500 transcript:OB10G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDTMLSLEPAERVDPTKVLGFSQDDNALFVASCSRLFVVHLDSMACRQICGMDEFSVAPDSRQIYAVYPFAGFL >OB10G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1349314:1349508:-1 gene:OB10G11510 transcript:OB10G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEVKLLEKLELLMSRIMSWTRKWNTMEASWVKFPRRCIRVRSRYCCRTSCRRYCETARSRR >OB10G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1356080:1361354:-1 gene:OB10G11520 transcript:OB10G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQGVGPERLLLSRTPEGSAILDPLGQGAACCGAHPTLAPAMMPNHRVYGEARPPGALRRPYGGCRHAPSRIKGDCRRTPPYTLPPEKRRGRWDIPDSIVTSLTPQGYDSSPFGPLSYTGANVVEKLLENSDASHGGPGDQNALHAAVRNDNPDIAKRIMEARPWLAREEIGEKKTTPVYIAGIFLAKKATLFFLLPQDNWTCLHVAAHSGHMEFVQFVLGSPELRHLVNIQNHNGKTALHIAAQNNNSRIMSALLLHQGMDVTLLNNIGQTASMVLAAAKTDDSTNSIWQTSMSHFIVLHLTYMEIPDSKAGAGSAEATGMDRRLLQAARSGDTTSISMILQEAQHEPSLLIGTTPEGNTCLHIASAHGHLGFCTHILEMNKSLLDAVNADGETPLLAAVRNGQVSLASFLLSYCRNLHMSATITKQDKHGCNALHHAIRSGHEELALALIQEEPALAKAVNKYDESPMFIAVMRNFTTIFEKLLEIPDSADGGTYGYNALYAAFRNNNADIAKKIVQARPKLARQETNGQCTAILCGVLDNKIGVLRVLLEYDFSLGYQVSTDGAPLLNCAAYRGHVEVAREILKHCPDAPFLDQRDGCTCLHKAVNAGQTKFVEFVVQSNKLRKIINIRDKDGDTALHYAIRKCYPKIVAILLKHQYRDVTMLSNSGCPPIWVPDDAVDHAKTLNWNEVSMLMLEADPEDKGEIYNLNKSIKHQVIEKSRKDIRSLTQTYANNTSLVAILIATITFAAAFTLPGGYSNDVGSKGLPIMGRKLAFQAFLIFDTLAMCTSLTVAFICIIARWEDLEFLLYYRSFTKKLMWFAYMATTAAFATGLYTVLAPHLPWLAIAICVVSFLLPFITKLVGEWPILKLRIRLRGTFESELLDLV >OB10G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1372704:1374856:-1 gene:OB10G11530 transcript:OB10G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYLTYEGKGERDGVWTGNRGVTKTSAASGFTKAQEGTARLCVKQGVQLNREASLQKAPFEALYGRKCRTALFWDQTEERQVFGTEVLSQAEEKVRIIHERLKLLKPGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQAEELCRQKSYAGRRVMQITDKWI >OB10G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1389805:1395276:1 gene:OB10G11540 transcript:OB10G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNHVLISVNNQDNLPVSGYVIATPWGCSRLYNTQASTRQSETFLHMVSEPKNLHPDPSMASSSSLSSPSLNNQSVSEKLTKANHVLWKAQVLAALRGAQMAGFLDGTAAAPSSTIVVTKDDGKTTEKIPNPALPQWKAQEQQVLSYLLSSLSREVLTQVATLTSATEVWTAIQELFAGQSRARVINTRMALSSTVKGNQTVAQYFGKMKSLADEMASAGKPLDDEDLVSYVLAGLDFDYNPVVSSVAGRSEPITPSELYALLVGFENRLELLQNTSQSSANSVSRGGGRGGTGGGRDGRGRGRALRNFADVVEKLLEISDAAHGGPGDQNAMHAAVRNGNPDVAKRIMEGRPWLAREEIGDKKMTPVYIAASAGKIDVLTVMLEHDRSLGYLLSKEGHPLLSIAAGKGHVTVARAILNHCPDAPYCNQDNWTCLHVAAQNGHMEFVQFVLESQELRHLGNVQNNYGETALHTAAKKESSRIMSALLLHQGIDVTLLDNKGQTASMVLAAAKKEDSSNSIWIPDRKAGAGSAEATGMDRRLLQAAISGDTTSMVLQEGQHEPGLLIGTTPEGNTCLHIASAHGHLGFCTHILEMNKSLLDAVNADGETPLLAAVRNGQVSLASFLLSYCRNLHMSATITKQDKHGCNALHHAIRSGHEELALALIQEEPALTKAVNKYDESPMFIAVMRNFKNIFEKLLEIPDSADGGTYGYNALHAAFRNNNADIAIKIVQARPKLARQESNGQSTAMHFGVLENKIGVLRVLLEYDFSLGYLVCTDGVPLLSSAAFRGHVEVAREILRHCPDAPFLDQTDGTTCLHTAVQEGQTKFVDFVVQSNELRKIINIRDKDGDTALHYAIRKCYPKIVAILLKHQDRDVTMLSNSGCPPIWVPDDAVDHAKTLNWNEVSMLMLEADPEDKGEIYNLNKSIKHQVIENSRKDIRSLTQTYASNTSLVAILIATITFAAAFTLPGGYSNDVGSEGLPIMGRKLAFQAFLIFDTLAICTSLTVAFICIIARWEDLEFLLYYRSFTKKLMWFAYMATTAAFATGLYTVLAPHLPWLAIAICVVSFLLPFITKLVGEWPILKLRIRLRGTYESELLDLV >OB10G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1397219:1397588:1 gene:OB10G11550 transcript:OB10G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSWCALQTVEIERLKKHNAELEAQCSHLSTSKKVLHAKCQGLKKGKDTIIAELLAEVLQNTWATLADLEARLKVVEAIRDLFSLIALEVFSRCRR >OB10G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1411247:1414590:1 gene:OB10G11560 transcript:OB10G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGGRRRRASAAMYQRHGDSGSGMDARMRGDIDGLDGSGRRGKGGEGRKHPPATSKAARRLVHVPDEVDAEQAELAAAIEDEAHPNFELPPHIEGLFVGRRGARTLLKRASMNVIINVITLSQLKRIEPLDGANYVEWKNNMLLNLGPLENDLALREDPPVKPKLANYMDENDE >OB10G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1422519:1424518:-1 gene:OB10G11570 transcript:OB10G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRPLATLPEELLQEIMVRLPALPQPLARASAVCPQWRRMVRDPGFLRRHREHHGGVPLTAGFFHNAFHLTPPGRFVSAGDGPLVLPVPPKVAPQEGTACCHSNPGDHHWTFLDCRGGRVLLGCCRFTCFFLVWNPITGRRRLIKAGIHQGLHFYHSVLCNATLICSSPGGIITDDDDEDGGGGAGPFCVAVVYSTVFQGDRLFGAVFSSRTGSWSTTEAPLALPVPSDLRGEPSAVVGSTVYTSVYNFLVVAFDTERWEMSTFERPRFGNVRLMKTTDGVLGLVGALRFTVQLWAREAGNWVLRTTFDVSHMTLLRDLPSAMSPSSDPRFVLLPPVKIIGVAEQGDTLFLFTMLGIFKLCLRSMELKKVCEARQNAEIVHAYASFYVP >OB10G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1431586:1436311:1 gene:OB10G11580 transcript:OB10G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREVLMLDRNGRRDEAIARAHELVDRQPASALVARLAAGLHYDSTTRAIAAKDKEGITKNCNAARDLYLHAQRLAPNCVEITARLAGGRAIPFPCDPAENNVAYDLMPENTPKARVENAKKWATERHQRILSCVRNKTIPEYVRSLIEYAGSHGVANAIQPVREFAERYSYSVRAPLTNAYINLEFARGLAPGIDKRQFLSRILRELNSVANQFETSLVLAMVRTKLLFVLGLYTAMASEYVRAMDIESPPDPQFEDIPPGSVRGDKHEDRISSIRTELVSLQQKLLLIARDYWSSLTRGQQRGVLSAGFNSMHQYYVRVYDDSHEAAKTISDALSFVKKNRSWRYWICPYCVGKKIPDTDALLKHMHKKHSEGSVWPKLLSVLDPKLIPDTSQGERFSDDATLCQDPDEHYVFHFKRVRPSSINQPMPFSEIRGNKCTEGVEILEKIKLKLKNAPADVLSTKERFLQCIGDDKKVASKSVDAADIDAVFPYVGDTPEIDEMFLHVDDALDENAADNDAIRPQVPDAPDSNVANIDTILPNVVDTSNSDAASDSVCPNPGDIPDNSAANIDGICLSTLNTAGVPNRNAADNDVIHPNVPDASDSNVANIDAILPNVVDTSNRNAADDDAIRPNVPDASDCNVANIDGILPNIVDASNSNAASDAVYPNTDRNAADNDAICPNIPDASDSKGAHIDAILPNVVDAFNSNAANDAVCPDSGDVPDNNAANIDEICLNTLNTAGINDRNTSDNDAVCPNVGDALDSKAADIDAKFPNVANAPDRNFGVKDCSNFSHENEAQKYEVNEKPENIMFL >OB10G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1444839:1448817:-1 gene:OB10G11590 transcript:OB10G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITGGQSSPAAVAAAATEAMPDDVLAEILLRLPSHPSSLSHASFVCKRWSRLVQNPSFLCRFRAFHQTPPVLGFFHNSSLGSVFVPTGGPPGRIDVDAASISTGGDNGGWWLVDCRHGRVLLRSKDWGEALVWDPMTGASTRITVPGQIHAGGNDHSATVFCAAPAAGADCRSSPFHVAIVFVRDDGVFGCVYSSLDAAWGELISAPLPSLLCMIYDEPPALVGGALYWLINGNRMLKFELATQSLATVSRLAPAEMPATHRWNVRAINLGDDVLGIAFFKDFSLQLWAREVADDGAAKWVLRRAIEMNKLLRLPMVPSGELHRMVHIWICGFSGDGNVVVVGTPAGIFQVWLDTLEFKKAPDGTLLVKTVHPYESFYVPNGRGEHKAAELEGQAA >OB10G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1458479:1459807:-1 gene:OB10G11600 transcript:OB10G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHSAAPPPPPPAASAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVSAPDALLAEILLRLPPHPSSLSRASLVCKRWRRLARDPGLLRRLRAFHRTPPVLGYFHNSPDLPRFVPTEASPGRITVEASSLRRNGEDGMWWFVDCRHGRALLRSRDWTDLLVWEPMTGERRCIPVPSQMLSGASGRSAAVLCTAAGVDGGCHSSPFHVVVVFASRGRVSACTYSSLTGAWGDLISTVVPSPCEVYEESPALVVGESLYWLLNRGSILEFGFSNQSLALIDHPVEMNAVRMSSIRAVRVEDDVLALGFLEDFSLHLWARKAAEDGAFKWMPHMSIELDKHSPLPLPMAVEQNWPVEHNRSGVLRLWISGFSEDGNLVFIRTVAGIFLVWLETLKFRKVSGSLLMKTIYPYASFYVPEGMSNYPLVLRYEV >OB10G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1461839:1462830:-1 gene:OB10G11610 transcript:OB10G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRREGSFRVAFVFTGEGRASACVYSSETAAWGRLITADARCGDVENKPSAMVGDALYWVLDDGDILELHLGKESLAVVEPPPGVLALYEGNIQLMDAADGALGLAGMDVFSLQLWARQAGRDGGSVASCWVLRKTISLDAFAPQPHAGMRVIPVPPVELLAVDEDGSTAFIWTMDGIFMVHLEDEMLKKVSANVLVNSVYPYSSFYVADMDIGNGCHQYQVDSLLFTSLKYLIVFLAIHAFKRMYIKQ >OB10G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1463909:1465577:-1 gene:OB10G11620 transcript:OB10G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKIPLALIPTGTRSFNLPQTASITDSVGTVGPDGHSDADMMADGHSDPDTMADGQSHGAGDPSPEATWHSCSMEAGTQQHVTGGANQSTRGEDSQAAAQQQTDGDITIFVLVYVDDIIVASSSERAIAALLQDLKGEFALKGLGELHYFLGIEVNKVGMLDCKPSSTRMSVSEKLSLHEGSLLGDNDATQYRRIVGALQYLTLTRPDIAFSVNKVCQFLHAPTTVQPPTTVHWTVVKRILSYLKQCICLGLKIHKPGSTLVSGFSDIDWTGSLDDRKSTCGFAVFLGSNLVSWSARKQAIVLRSSTESKYKAIANATSEIM >OB10G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1475831:1476885:-1 gene:OB10G11630 transcript:OB10G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTVCASSSQRSHCIALSRERHRHPRPGNQPSLSSPEGGHERKEKKRHKEEKKEIGPRQQCNAWATREDPIGKPM >OB10G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1492582:1493064:-1 gene:OB10G11640 transcript:OB10G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATIPGTATATPTTTMPGTTFTDATPDNGMPMPMMGGGEQGDGDGGVVLSGSETWMANMATGDLTAAAGFRDFLG >OB10G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1493589:1494712:-1 gene:OB10G11650 transcript:OB10G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMGLPRSVAALVLFLILWREGEAATFTFVNRCTDTVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRRRGVPERVRRVRAAGVLLQRRVREPDDVPADGLLAGVQVGVPALLQLRLRRPHLHLHLLRWPRLHRHLLPRRHPKVPSSPLPPAIATKHLLASRHCSD >OB10G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1523553:1523921:1 gene:OB10G11660 transcript:OB10G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPTCAWRPPRRPRRWRAGARARRSAPPSTAAAAPTATPTPAGRRPTPSSSNRRAREPTATPTTTRPPPSPAPPAPPITPSPSAPPLRRGKKKCNKSLNYTSKKRLASKKTIYSIINNH >OB10G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1530236:1531074:-1 gene:OB10G11670 transcript:OB10G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRPSQQSLRINTSIPCGNNCEPNYAAFPDLNVLKPLLCLHHPAFIAKIIYQYVTSDNSMITTCCKHFVEHLEDTLSMPIHAVPPHQDVIAARIGNDMEPPPVRSWAPRSHFVEHLLGSPDLPTTEEQAQQCSQCIRAGRIPGSAYPRENVERLAGHASVTAGGDRKGEVAAVVGVPVRVQGEEQLDGVVGEHLAN >OB10G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1548360:1552875:1 gene:OB10G11680 transcript:OB10G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSGLAEEKAAAGDEQLVESSSEGEGRKEGSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGILFQLFYGLLGSWTAYLISILYLEYRTRRERDKFDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLVFGSVIQLIGCASNIYYVNDRLDKRTWTYVFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIALASLIHGQVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATVYVLTLTLPSAAAAYWAFGDELLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGLHGCASLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPSLAHMVTFRSPQSRQNAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVNTFGLFAKCSQCPPHPALSPPAVAPAAPSLLNATAIFGGAPVPSPAPAPAPSPLHFVLGHHHHHRHHRHGL >OB10G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1555419:1556206:1 gene:OB10G11690 transcript:OB10G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSSASLGALLILLLVVLTTSATEAAAPAPAAAGSDCQNDIGVLKTTCYNFVKKDGPMLEPSPDCCTTMKGVNVPCVCSYLGSPGVKDNISLDKVFYVAKQCGIPIPGNCGGSMKV >OB10G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1557200:1558408:-1 gene:OB10G11700 transcript:OB10G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQAAVADAIATLAGQSTTCQELFAQNNAVRYLVGHLASGTIQEHSRYAVGSNSSKNSAAAPQQMTSLHSVVLAKTLSMRHGGDRSTSSTEDPPRVYNEQDPKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKARLKAMAAKALWKLARGHLDVCKSITDSRALLCFAVLLEKGDGDMGTHVQYYSAMAIMEISRVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVEKGVFDDLLLPCITALGCLARTFTASENRVIRPLVELLDEREPPVIKEAVVALTKFACNENHLHVNHCKAIVDGAGARHLVQLVYLGDEVQIEALILLCFIALHVPESEELAQAGVLAVLLWASKQAHMIQDMRVDSLLSDAKGRLELFQSRASR >OB10G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1558377:1558820:1 gene:OB10G11710 transcript:OB10G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAACTRIGGSLSAAAKTEHTPACTSFSTLSSSRPSRPSARAAASWPSSVPSLSSLSSGGTPSSSMMSLAKWWLSRAREARLAAESARAARPPVCRRATWSQMRNSMGSFCAMLGSPRRSASPSQSSPSAPPPACGEEMRSSQAT >OB10G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1568406:1569741:-1 gene:OB10G11720 transcript:OB10G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALLLGVCAAAVLLANVTAGAAEGGAMVVGLAKCADCTRKNMKAEAVFKGLRVAVKCKNSNGEYETKATGEVGKSGAFAVPLAAGLLREDGELSQRCFAQLHSASSNRPCPGQEPSWIATDAERNTLVAVAGKTTTTATERPPAECASAFLCDPFHKKDFFFHFKNPSPPAPAYTHPHPAPPVYGYPTPVPTYHKPPTPAYSHPAPVYSQPKRPSTPIYHPPAEEKKVVTVQDAETEPELFKMLLPLIKKNPLILPKVPKIPPVEKEAKP >OB10G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1574295:1576355:-1 gene:OB10G11730 transcript:OB10G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWPLHDAALMLAVVAVVTTAAAAAAAGSAGETTAVVVGAAKCGDCGRKNMDAEAAFKGLKVAIKCKNGSSDEYESKAVGDLDGTGAFAVPLDAGVLRGGGGCVAQLHSAASNGPCPGQEPSRDNMYGYG >OB10G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1578484:1589883:-1 gene:OB10G11740 transcript:OB10G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPQTPPRPRRTEVGHWEITSLPEAEGWDSENPRSDEHNQCSAPSVDMQEDDSDDFHPTSLTDAGLIHLIEGCKRLEKLTLNWFSHISERGLLGIANGCWNLQSLALTGGYVPNHVLIALAEGCNLKELKLCAVEELTDEGLVEFVKIRGKSLVSLDISICNDCVTDRSLDAIGTYCHKLEVLSVESKDVKENKGLMSVAKGCQSLKSLKMLGLGVGDAALEAIGSFCSALETLFLDRLDKCSDRSLFSIANGCKQLKSLIIKSSDKFTDKSIELVSRNCKLLQHMEINGCHKVQTAALEHIGQLCTNLLGLTLSRLLIESNAFLGFGQRCFLLKSIYLDMCYEISDEAICHIAQGCKNLRELSIIRCYEIGDQAIISVGENCKELRELALHCLVRLTDAGLAAVSQCSFLQKLVIFACEQITDDGLTSIIRECHDLVHLGISDTKKMGDTTLAKVGEGFPKLKHLVMLRCHAISDIGLAHIARGCLQLKVCVVFQCSQVTPAGLATLAEGSSRLQRLVVEKGKVPEEAIEKCRMINGRLVILNGRYEIDVFGEHCEENLLLV >OB10G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1593384:1594104:-1 gene:OB10G11750 transcript:OB10G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFGAIACAALMAVASAADGEAAVVVVGQAKCGDCTRKNMQAEDAFKGLQVAIKCKNGDGEYERKAVGDLDGDGAFSVPLAADDLHGADCFAQLHNAASSTACPGQEPSKIVPVTTDNGGRAANTFVAVAGEMRYTTYSSAAECTSVSLCDDFFRKHPFFDYFHKKPEPKPEPKPEPSPANNGGGAGAGNGGGAAPPSPASPIYH >OB10G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1597286:1597474:1 gene:OB10G11760 transcript:OB10G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRHATFSCNSVSQCFCSDSKKQISQVTTFILQEQTAAFGHHARTNHESKCRFIGRDLMIQ >OB10G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1597380:1598519:-1 gene:OB10G11770 transcript:OB10G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAARALLFCAAVSAVASAAYGEAAAAAVVVGQAKCGDCTRKNMQAEDAFKGLQVAIKCKNGDGEYESKAVGDLDGDGAFSFVPAE >OB10G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1601711:1603919:1 gene:OB10G11780 transcript:OB10G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRASHLRLLAGAGAPPARSFHSHPYQAKVGVVEFLNGVGKGVEAHASKVEEAVGGDLQRLLEARTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPQAEPKKVQGS >OB10G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1604568:1605442:1 gene:OB10G11790 transcript:OB10G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGRLDDGEMVHGLGREASVQRRHRGGAPAEQERPLVYLFHLDSDASSIGPGSVQHLSRGLYEGWPTLKSYSNICGAVVAPFAAASNASSFQNYSLYTAIASSNGVRLVAPGIEFSAAHG >OB10G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1607511:1608221:-1 gene:OB10G11800 transcript:OB10G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRVVALLAVLVAAAVHGEAAPSVVVGLAKCAACTRKNMKAEDAFKSLRVAIKCRNGAGGEYETRAAGGLDGAGAFSVPLAGDLRGADCVAQLHSAATDAPCPGQEPSRVMPLSEGTFVAVAGKTHHASPECASATICEPIKKHFLDHFHHKAPAAPAIVKPAPKPHPDPHPEPQPHPTPTPTYGSTPSPYHPPAKN >OB10G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1632454:1633229:-1 gene:OB10G11810 transcript:OB10G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRGLVLLGVCAAVLMAFAVHGEAASVVVGTAKCADCTRKNMKAEDAFKGLQVAIKCKNSNGEYESKAAGKLDGTGAFSVPLDADLHSSDCVAQLHSAANEPCPGQEPSKIVPQSEGTFVAVAGKTHYPSALCSSAAICGPIKKKIMDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKTKHFFDHFHKKPVPPKPEPNPETKPQPKPQPAPEYHNPTPPAN >OB10G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1641740:1647268:1 gene:OB10G11820 transcript:OB10G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPRGLVLLGVCAAVLMAFAFHGEAASVVVGTAKCADCTRKNMKAEDAFKGLQVAIKCKNSNGEYESKAAGKLDGTGAFSVPLDADLHSSDCVAQLHTAANEPCPGQEPSKIVPQSEGTFVAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHFHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPTPPAN >OB10G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1655521:1656471:1 gene:OB10G11830 transcript:OB10G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAGARKRAAAAQDPTACGSGSGSSPASKRRRYALGSADDYEQLDVVGEGSFGVVIMARDRRTGGKVALKRIHGAQGFDAVRIEAACQHACRGHPSIVEIKNVVADAKSGEVFLVLEFVGSSLRDELPWSRPEDLVREMMRTLIDAAKKIHSSRVIHRDIKPENILVSAFGVLKICDFGSATFMKPAGKPYDECRVGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGPPLFGGDMTEAELLADLSAQLGALLQELRLEVLPELSPAAHEVLSGLLAFDPEKRLTAAEALEHRWFTETASKASSYCN >OB10G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1658806:1659881:1 gene:OB10G11840 transcript:OB10G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGNGKASKGDIAGHDSLRHLGCHRPIGHPVAAAVEGAFHLVVAYRHYKHIVRIWTCTPRLDCDCRTAEILSYRTYPRRPSGSTIDGSPGNYLPVLVQDPEINQ >OB10G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1658445:1662695:-1 gene:OB10G11850 transcript:OB10G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQSEEPEEQVDLEGDDDMIDDRREDSDDPEEEDPDERQGGGGGPKEAAEGREADGGEPAAGGEGGGDEMDKAGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCESFGEIYEVRLMKDKETKENKGFAFVTFADKDMAQRAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLGEEELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYARQKLSAPNFKVDGSQLTVSWAEPKGSSSDSSSAAAQVKTIYVKNLPENASKENIKEIFEKHGEITKVVLPPAKPGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSVRPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGDRWDGGSRGGEGSHGRRYRPY >OB10G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1666889:1669372:1 gene:OB10G11860 transcript:OB10G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLSSFAVSILGKIVSFVSEHSLNENKSDISVHKELRKLQKSLQSINGVLLDAERKQSTSDALKEWLRNLKDVVYDIDDILDDVSTEALKRRVDKGMVTQTKCLHIYRFKLRRKLLRKKILSSRIREVCEKLNEVAIYRKDFGLTDWAMAGQCSEEPQRESYSFVYQADIIGRDDARDEIIREILRASECHDLFVLPLLGLGGIGKTALAKMVYHNQQIRERFCKILWACVSNKFNLKRILQDIIESATGESCKHLNLEQIQKKLRGILQNGNYFLVLDDMWTHNINEWRELRNLLSSGARASVIIVTTRKYVVASMVGTSNPYKVGALPFHECMQIFTRVAFKQGEENKYPSLLKIGESIVKKCAGVPLAIKSLGSLLFTMRDESQWLRVKEDHLCKIIQGDGDIMPVLKLSYNALPPALKPCLSYLSIFPKDFEYYRRCIIMLWMAHGLLRSNNLSDEIDVGNQYIVELIGSSFFQDALITFDGSMPHCKMHDIVHDLGRYVLDTDLAIVNCDGQEVSETVRHLVWDYKDFSHEQEFPGHLIKARKARTFVSSCNPGSLSKKFLQVLLSKFLLLRVLIISGAWIDELPDSIGNLKHLRYVDLTWNKSIKFLPNSICKLINLQTLDLYRCDHLIELPRDVNKLISLRYLSLTCKQKHFPEGGLCGWASLTYLQLHSCSELTSLTEQIGCLTALQMLWISDCPKLPSLPASMKHLSALQELFIENCPELDLMNTEEAMDGLWSLRTLQIIGLPKLERLPVTLCSASRSLQYLLIEKCPKLREILNYMQDLAKDTNHRRVFIKDCPGISRRGMKETDEDLHHPVK >OB10G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1669947:1670111:-1 gene:OB10G11870 transcript:OB10G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDVSKINQKRTLTVPTSSKNNIDLHFLIGQLGGSYLFILPPKVSMIYESIKWL >OB10G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1677447:1678396:-1 gene:OB10G11880 transcript:OB10G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGCVMAELLTGRLLFTLSETAEEHYLDLLDLRDCDVASKDSPAFGGLREGADGGGGAGAPGEEKGWSRVRGREEEAWEPRGREEKKRGREEEKHGVEGRIRAEGREAAPGGRK >OB10G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1678412:1682709:-1 gene:OB10G11890 transcript:OB10G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPATAAHLRRGLSSKLHVSTDHDQQQQAIRLTVGLLPGAVATHGPDIARVSEEPRWVHWRQSHRRVRQVHAQQRADEEGSLEALKCSACGCRNNFHHKDVDDFDGDSCAAHAHGHSHGYGDALRGSVPAAAAIPGVLWRQGPRRVRQVHAQQRADEEGSLEALKCSACGCRNNFHHKDVDDFDGDSCAAHAHGHSHGYGDALRGSVPAAAGISPGPPCRCTTTRMTAAKLPSSLPPTRRPHENSSRLGALGRGACAVVYEARDRCTGETVAVKCFCTPGGGGGPQEDALAFARERHCLEVCRGHPSVVQLRDVAVDPTCSWDRYLVMEFVGRCTLRDLIFCGRPFSNAETRALMRQLLAGARAIHGAGLIHRHIKPANVLVGPGCTLKFCDFGDATPAIPPHEEFLVGTLRYTSPEQLVGDRY >OB10G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1684632:1684859:-1 gene:OB10G11900 transcript:OB10G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTGVPSLAGGAKWWRYDLGSADDYERLDVVGQGTFGVVFRARDHRNSKNIALKCLLERLRQGLSLGCEIRD >OB10G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1688426:1689361:-1 gene:OB10G11910 transcript:OB10G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMACKRPAPDGDACSAAAPCKKPRLLFTSIFNYERMETLGAGTYGVVYRARDRRTGETVAVKWLRDVAANPSNWDMFLVMEFVGTRSLRDLIVGRARRPFSEAETRALMRQLLAGVRTMHAAGMAHRDIKPGNILVGPGCALKICDFGMATTAAPPYERFMVGTLHYNAPEQLTGKGQYNAQAVDTWALGCVMAELLTGGPAFTSKTVEEHLLELSELRDYDVGSKDSLAFGGLPGLSPAGREVLAGLLAFCDDERMTAEAALEHRWFTED >OB10G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1692810:1693298:1 gene:OB10G11920 transcript:OB10G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRDIKPGNILVGPGCALKICDFGMATTAAPPYERFMVGTLHYNAPEQLTGKGQYNAQAVDMWALGCVMAELLTGGPAFTSKTVEEHLLELSELRDYEVGSKDSLAFGGLPGLSPAGREVLAGLLAFYEDERMTAEAALEHRWFTDEDDSPAVLEGLAGLA >OB10G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1706029:1707691:1 gene:OB10G11930 transcript:OB10G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIRSNHTEQWFTWQLTKKEAELENLIEKQPELAQNDQGRVAWEGDALYQRIQCDAPEQADLQSERRITSMVGSTVILTTVKYRKKECVTYATFLTSNPREKAGGVEIGNEFTKVVVNHPIQENEELVRPLKHCKTIGDAHVAGMSIAWPSICIQKIDG >OB10G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1709462:1710309:1 gene:OB10G11940 transcript:OB10G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGAFGVVVRAQDRRTGKNVALKGLLGVDDGARFAPDFDALRVDAACQHAYRGHPSIVEVKNVVSDGKTGEIFLVMEFVGSSLREEMPRARPEDLVRAMMRQLDVAAKKMHDSRSSSPATSAMARRGHVSAGMHNGRAPHRRATVRRRHDKEELLIDLSDNLGDLLRELFEDVLPELSPAVREVVSGLLAFDPEKRLTTAEAMEHRWFAQVQGKQSSLAFCHAQKFTPNF >OB10G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1716924:1718836:1 gene:OB10G11950 transcript:OB10G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPAIGDAAACTTASRPLTSIYRYERLGALGRGACAVVYKARDRCTGEAVAVKCFCPPGGGGGRQEDALAFARERHCLEACRGHPSVVQLRDVAVDPNCSWDK >OB10G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1721329:1733507:1 gene:OB10G11960 transcript:OB10G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMEQGDKLDLLLKRVDEAKQKRLDSEAQNRAEIQSIKRAIESRLPEIEKRVEGLNYVVIGLQAKVEGLEKHPTDFAKNPLEWLWQSGMTSTGSSNPYTYPSSKFMPAGIPVNVSRTLHTAYSSVRTGSGTATSASAGVGSDEGGVGGVGGDVGGGSGLGWWQAGWGLRRGVASNPRWRCHRQGDGGRDGVSELGVVEASRRQGWAASRGNGVGGVGAADECWERGRGQGCRALVPQQPVLMPDLKQSRLLRTGLLETMVTSSSTAPTNEILSPWADLARGERSVVYPVRYDIDLMIKKSAFKQYTLKEPYDMRGDGGYIGEVMGSPLGDEVDASGVVTLDAFGGDKVEEPTTFYWKLEVPDQIKELLDQYADIFAKPTGLPPVSYSGQEKDGTWRMVVDYRKLNEQTVKRLPAAFLAAMNDTLHPVLRKCALVLYGHSPGHFGISSRDCSIPDLDEWLKYRNLMQQLIQQHLHRAQQQIKYYADKKRSFREFAMGDWVYLKIQPYVQTSVANRANHKLAFKYFGPYQILDRGAKGFPVNAISPLPAAEVVPPYPLQILDSRLSQKDNRVTSQIQVQWSNNNSVDATWEDEVELRSHFPGAPAWGQASFQEKGIVRDEQAVAGDELQDNATTSQRLCRIRISCYWCDQGSHYCGKSGNRILRRGVVCWFTFMYADDLEVNIGNRVMTRPREKLRVKRWRYNLGSTDDYERLDVVGQGAFGVVVRVQVLQDHGKNIALKRLLGTDDGAHFTPDFDALRVKAACQHACRSHPSIVEIKNIVADGKTGEIFVVMEFVGSSLREEIPRARLEDLIREMMWQLVTPAKKVHDSQVIHRDIKSENILISVVGHMISILKPIPMKVSFLLNEVPHKQI >OB10G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1739153:1741575:-1 gene:OB10G11970 transcript:OB10G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDADYRQFEVADTEAGHEALALFKRFVDRLLELRDLSSADRLCLRYSISDDDDDDDTGSQDAVANRWISYALQKQVQAVEVYVDLVYADLYTLVLDHSVFTSRYLRRVLFSNVILGDGFFKQLEMGCPTLEDLSLHDCTISADEISSLTLKVLTINDSKFSMEHETSISTPIVTSLTLWNPVDGIAVLKDMASAVTASVQLSVSSEDFDARGLCQYLWTLSGVKSLEFYYLGKKLTIERNLQLCPKFHNLVNLTLGRWCLDANFYALIIFMQNSPRLEKLTLKLNPFRFQQISQRIVGELNDRSFTCEHLGIVEVICSENDPLANHVVDFFVSSGMTPAQIHIKH >OB10G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1741011:1741256:1 gene:OB10G11980 transcript:OB10G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGFHNVRDVTIGVEIEVSCSMENLESLMVKTFSVREEISSALMVQSCKERSSSVGQPISSCLKKPSPRITLENKTLLR >OB10G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1741582:1741830:-1 gene:OB10G11990 transcript:OB10G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAWFLSPSCGFARTPAVRRKASAPPPPPPTMAGYTAPTSAPDADLLTGLPEGVLHRIMSFLALRQAVQTCVLSRRWRNL >OB10G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1763006:1785029:1 gene:OB10G12000 transcript:OB10G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRSVLHMVEKQYPFQISELIRFLSAVCNGSWPAQCVYSYLERMNGVTTLYAVPSGIKDNVNYYDQIETHRPISIPSIEGITVPVGSHGYILKVLEDDVALVRWEFPHSGVFFVLVILAQDIHACNYEEACDIMDLLYKMVLSNKDLCFALLHADKSLAVQMSQNLGYTEKHVRIDIVKIFCTSIFKYMEDPNNACIMSKTLGMLAEMLNCVSYHVFDVVLECGFFTTQSGGISSDWLLSGALAKMLFATSEDNGDCSPLTTAVLDFALQVLRKGAAADDIISSFIVFSVQYIMVNHMNWKYKKHSRWKITLKVLDLVMSCIQVKSFSPRLGGIIWEILLYDSSIHNVLLHILSMSTQLLEHSQGSYCGDLKDTEDIHLVLCRGLDIVFYMLSNLPEELVPCPPFVTMILSSSSKPLPFITAAISLMSIQNSAIQVASARVFSILCFTAYKAQPQLMENANFVVNGSEISRLQTSISCILDEVEKIDDCLVVAIFNLLTSAARYQPALLISLVEQSTGVQADSDSSVHKQNSKFSVLNPSGSTPILVEQILGYIGRSTELMDRSPSILSGVLDLLKALWESGVQFIYILEKLRSSSTFWENLSRCIRATFDGYPIDSVEPVDEKFSFRYYCLGTIFEIMSYELFLQDKLRTESKTSEPTPDGSKQPKEPSVASCPSDTVLKWLDGATLEDLIKNLSCNGYQNGLLHRAKVASCLCIIRLSTKLSSGETGSLSFSLVKKIQTVSGKLLQHRAFLALVSQYALHGYSGEQDLTNLIISDLYYHIHGELEGRQITPGPFQELLCFLLEFKVFEHNPFEQIQKTFPAGNGISLFDVLQIHDELGVELWNHSDWKTYKDVAEKMLDIMHKANLMKCQVDAKLCALRSLVAFLSVCTGTSSYEKFDLLGGGISITTTQSAVRYACKSLQSAVDSLTPEFDNSEVLFPPLSGQVDLLLTITRILLNHARQSKSSRHLYPVIILVIKTSGASASFLFNLMPSNPALKQPVKSLLVLFLSLFEFIYSKDDMKDRSEDVNTFGELSLLSMSFLPVLCKLAESREYSDLAIASMDIILKAFLPSNVWVPILQKHLRLQVILQKCQNGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPFMSSYLSAQSVNTHQSKKRTLVQKSQTSLSALSLTENILTLLCILAKYHFPRDTSMKEVDSELREIIIHLLAFISRGSARTGDSPNWNSSFICPPIIKEEMSLNEEPPLIRSKYGWFRFAASCTLSAPSVPGPPSAALSLAIRDKSPGGSDSTKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVLEANLTTALNAETQTVCQLLLVILETSLYMELCVSQSCGIRPVQGRLEDFSKGIKAMVYASEKHSGFKPWVRSLAQIITLLYPGMVQSNNLV >OB10G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1771879:1772903:-1 gene:OB10G12010 transcript:OB10G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLTIARDKVVVEREGRGELCFQPSKALPRLTPQGSVRSPYRLNNHGFEMLAVMKGNGFDEDDKIIVTKGGHGTNS >OB10G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1800990:1801718:1 gene:OB10G12020 transcript:OB10G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:J3N104] MASWSSSKTNPRVFLDIDIGGERAGRVVIELFADKVPRTAENFRRLCTGECGPGRSGKNKLHYKGSTFHRVVPGFMCQGGDITAGNGTGGKSALDGAGRYFEDEGGFQVRHDGPGVVSMANAGPDTNGSQFFITVGAAAGRPPRRVRPRRRRDGRRARRRPDRHLERQDGEARRHRRLRRTGLENTYVSVRFYVYIPVSIRTVHTYVCYTYS >OB10G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1804040:1805132:1 gene:OB10G12030 transcript:OB10G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCIAIAVLLSSSLAAAVTGPPGTIERITKQQILASIPPGGHGAGAGDVHPPVLFLTSPAALAPVPGDMSGDAALAPLPGALGGVDPALAPLPGDISDPTVAGAAAGVVGAAGTGAGAVAATTGAFGSQPLVDNSPYDSGAWKIDGHLAAIVVALFVGAI >OB10G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1812561:1813685:1 gene:OB10G12040 transcript:OB10G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETVRLRPRLPATAPPPSPLDDDDLLQEILLRLPPHPSSLPTASAVCKRWHRLVLDPGFRPRFCDHHREPPLLGFFLHYDFDPVFSFNAGFRSTHRLPAAIPADRFLPAKEAGLRWEIINCCKGLVLFRIFRGDRKCKEFLVVDPISGDRRRVHFPLVDGKFLCATVVPVADDRRLFCLVAVFAERGTCTSVFASVYSSEAGVWGDYVSTLSVPWIVWVMRPAVLASDAVHWFLDGYRVLMFHLEMQRLEFSELPLDAKDDEDFHHRCRCQIVPAGDSRVGLAVIVESRMQLWEREIGDGSDATWLLTRTFQLDFLPFEPQGRTLIVGVAEENNSVLVWTRVGLFMVHLKFMHCRKVFGEISIDNYYPYSSF >OB10G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1818027:1821160:1 gene:OB10G12050 transcript:OB10G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGTASLSEWCNFRAPGIPAGWSPRGGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSAVGIKPTVGLTSRAGVIIISPRMDTVGPICRTVSDAVQVLEAIVGYDPQDAEATRVATQYIPEGGYRKFLNIDGLRGKRLGILRKDFFQFPSGSVQQKVFDDHFNTLSKMGAILVDNLEMPSMNIINDAIRSGERALMLAEFKLSLNSYLSELATSHVRSLSDIIDFNNKHPVEEKMAEYGQSYLLQSEATNGIGPTEKKAIAELNKLCGGMEKIMRVNQLDAIVAPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTFQHFVI >OB10G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1822278:1825107:-1 gene:OB10G12060 transcript:OB10G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:J3N108] MAAKLAKEPEILELSNGSITAKIATWGATITSLLVPDAHGNLADVVLGFDTLEPYMKGISPYFGCIVGRVANRIKDGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKGGECPSITFQYHSKDGEEGYPGDVTIRATYSLRHSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGHTLDHSIQIWGKHITPVDENTIPTGEIVPVKDTPFDFTTEHKIGARINDVPGGYDHNYVLDCGDEKNGLKHAVKLKDPSNSRTLDLWTDAPGMQFYTANYVDSISGKSGAVYVKHSGVCLETQGFPNAINQPNFPSVVVQPGDKYKHSMLFEFSA >OB10G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1830857:1836930:1 gene:OB10G12070 transcript:OB10G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVAKSLWVLLLRWLLLSATRTSQLPPAGVAEAAEVQGGGPITLPGCPDKCGGVSIPYPFGTKAGCFLPGFQIVCNDTFRPPRPFLANPKLDKTSPVLWIQDSCGSYGSTKNCGYSGSYMPVEFIDAWAPLGQARVYAAFSFDCSANDVGGSWLRTQLIDTATSPFILSRTGNLLVGIGRRVQANLLGAWPGTTYGLDDGYLALCASVVNPPAVPTDGAPCVGIGCCQFDMPPDLRCSRTTVKVLKRSGPSPTPTNSCSFAMLVEKSWYNFSASDLHDDGFLRRNVERGVPVVLDFAIRDVDAACPAAGTPLPTACRSGNSTCANATNGPGYVCKCKDGYDGNPYIPDGCQDIDECAHRDVYPCSSDGICINRQGSYDCPCKPGWKGDGKAGTCSEKIPLPAKVAVGAISSLLVMGIVVFIVLLRKEKGKMRDFFIKNGGPTLEQVKNIKIYKMEDLKPILKKGNIVGKGFFGEVYKGHLENQLVAVKKPNNTDVQKKEQFADFANEVIIQSRIIHKNIVKLIGCCLEVDMPMLVYEFVSNGSLHDLLHGSKKDPLDLNMRLSIAAGSAEGLAYMHSKTSNTILHGDVKPANILLDDNFLPKISDFGISKLIAKDQEQHATIVVGDPIYMDPVYLQTGLLTKQSDVYSFGIVLLELISRKKATYSDNNTLVTSFLDAHKNNQGVTELFDEEIALTGDLEDIDNIVGIAVECLNLDVHQRPEMTNIAERLLVLKRKRNG >OB10G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1837624:1840368:1 gene:OB10G12080 transcript:OB10G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRRPGSGGGGGIRVCSEELQTAVVRFKKRFSWSILHPFLYVDLVSTAHIADKESKWQTSFDWITSLIALTMEIKNGNMLTLTLRYPSSSRFIRHVCMDDGARSGTYMPYKVKMSYQTNGPLNLIRSIIDQTYNYLPQEYELIDQDFITQMTLKITRYTCNMFELKINSCSLACIRASRGKPRKLRFLLAGTTHENGGYRALPHDFFSRNRGYREKTAVTARRPRV >OB10G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1845568:1845902:1 gene:OB10G12090 transcript:OB10G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHQTESTPSYLTGSARAAGNQVPTPFPTFLGGGSTIRGQADYIKVLLTAIY >OB10G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1858848:1861802:1 gene:OB10G12100 transcript:OB10G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFPPHCRLEYLGLYSNQIAGELPKSLGNCGNLTFLYLSYNNISGKVPDFFASMPNLQKIFLDDNHFVGELPASIGELVSLEKLVVTANGFTGAVPETIGKCQSLIMLYLNSNKFTGSIPLFIGNLSRLQMFSIGANGFTGSIPPEIGRCQQLVDLQIHNNNLSGTIPPEIGELSRLQKLYLYNNLLHGSVPPALWQLADMVELFLNDNQLSGEIHADITQMRNLREITLYNNNFTGELPQALGLNTTPGLLRVDLTRNRFRGAIPPGLCTGGQLGILDLGFNQFDGPFPNWIAECQSLYRVNLNNNQLRGSLPADLSTNRGLTHIDMSSNLLEGRIPSSLGSWCNLTRLDISGNNLSGPIPRELGALSILRTLRMSSNKLTGAIPHELGNCKRLVHLDIGNNLLNGSIPAEITTLSGLEHLLLGGNNLTGTIPDSFTTAQSLLELQLGNNNLEGAIPESLGNLQYISQSLNVSNNRLSGQIPHNLGNLQKLEVLDLSNNSLSGPIPSQLSNMISLSVVNISFNELSGQLPNGWDKLAERLPDGFLGNCQLCIPSANASCSKYQSEKNRRRNTQIIVALLVSTLVLMVASLVVIHYIVKRSQRLSANRVSMRNMDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTELAVGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVKMAGYCIRNNIGLILYEYMPEGTLFELLHERTPQVALDWEIRHQISLGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIIDDEDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPAFGDGIDIVTWMRSNLNQADHSKTMSCLDEEIMYWPEHEKVKVLDLLDLAMSCTQVSCQLRPSMREVVSILMRIDK >OB10G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1863856:1867989:1 gene:OB10G12110 transcript:OB10G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSTTHRSPLHSTVPTQLPLMSHALLLLLVQLHLAVASLAVARSPDDRAGSGAVLRSFLASLPPASRRVLRPSWRDAPATHCAFLGVTCDAAGAVPAALAACSRLATLVLSFNMLAGAVPPELLSSRRLRKVDLNSNALTGEIPAGLIDSSVLEYLDLSVNSLSGTIPPELAAALPELTYLDLNSNNLSGPMLEFPARCGLVYLSLYSNQLTGELPRSLANCGSLTVLYLPYNKISGKVPDFFASMPNLQKLYLDDNSFTGELPASIGQLVKLEELAVSTNAFTGSVPAAIGQCQSLTVLYLNGNMFTGPIPKFIGNLSQLQVLSIADNGFTGEILPEIGKCRGLVELQLQNNSLSGMIPPEIGELRQLQKLYLFNNILHGLVPPALWQLSDMVELLLNNNSLSGEIHSDITQMKNLRNITLYDNNFTGVLPQALGLNTTPGLLHIDLTRNHLCGAIPPGLCTGGQLAVLDLGYNHFDGGFPSEIAKCQSLNRVNLNDNLLNGSLPAELATNIGLSYIDMSRNLLEGTIPSALGSWSNLTMLDLSSNRFSGPIPRELSNLSNLATLRMSSNRLTGPIPHELGNCKELAILDLGNNLLSGSIPAEITALGSLQNLLLGGNNLSGTVPDSFTATQALLELQLGDNSLEGAIPRSLGSLQYISKGLNISNNRLSNQIPSSLGNLQDLEVLDLSNNSLSGTIPSQLSNMISLSIVNVSFNNLSGQLPAGWAKLVARSPEGFMGNPQLCVNSSDIPCFKSQSAKNGTWKIRIVVGFLLSSLSAMVASLFAIRYILKRSQHLSTNRVSVRSMESTEELPEELTYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKLPIEMKILNTVKHRNIVRMAGYCIRGSVGIILYEYMPEGTLFELLHRRQPYVALDWMVRHQIAFGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTDLVPKLTDFGMGKIVADEDADATVSVIVGTLGYIAPEHGYSTRLTEKSDVYSYGVVLLELLCRKMAVDPAFGDGVDIVTWMRSNLGQAADGRSIMNCLDEEIMYWPEDEQSKALDLLDLAMSCAQLACQSRPSMRDVVNNLMRIDRFVCKNKGRKGKESTHFMNEGRESVLQKGGTNWRPPSLTAAMAHG >OB10G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1874563:1874937:-1 gene:OB10G12120 transcript:OB10G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAPPYERFMVGTLHYNAPEQLTGKGQYNAQAVDTWALGCVMAELLTGGPAFTSKTVEEHLLELSELRDYDVGSKDSLAFGGLPGLSPAGREVLAGLLAFYDDKRMTAEAALEHRWFTGEDD >OB10G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1885875:1886096:-1 gene:OB10G12130 transcript:OB10G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAQELVLFLFMFAAVLSPAARREVAAEAAQEANIRRRRSSGRPDGKTIDQGIGYILMALALVLTYVLH >OB10G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1885918:1886103:1 gene:OB10G12140 transcript:OB10G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMPWSIVFPSGRPELRRRRMFASCAASAATSLRAAGERTAANMNKNSTNSCAPPADAICI >OB10G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1890222:1890446:1 gene:OB10G12150 transcript:OB10G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGDMKKPGINTSQSQTAEEAKRNLSEVFNKPLSASHTRALAELLGVEFAPPTPPSLEDGDAHQDLHLVVASA >OB10G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1894884:1895866:-1 gene:OB10G12160 transcript:OB10G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAVSSKRAASDQESASRESSPDSGGGKRRRYVLDSADAEQPARAGAEGSFGVVIKARDRRIRGRKVALKRLHGAGQGFDTVRVEHACRGHPSIVEIKNVVADAKSGEVFLVMEFVGNSLRDQIPWSRPEDLVREMMRKLIDAAQKMHSSRVIHRDIKPENILVGAFGALKICDFSSATFMKPAGKPYDECRVGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLT >OB10G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1896334:1896552:-1 gene:OB10G12170 transcript:OB10G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAGARAMVLLLLMFAAALSPAARREVAAAEAMHTRRRRSSGRPDGKTIDQGIGYILMALALVLTYVLH >OB10G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1915519:1917135:-1 gene:OB10G12180 transcript:OB10G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASASATSTAAVMERERLTAEMAFPPPVAKRGRASKPGEEPPSVPSAVIKFRRRLPDFARSVNLKYVKLGLLSGGFPAPPALLLWGVFAVVVAAAACGRWGRVDVAALYAVDLVSSAAWLCSAALLLVVVVCLNRPRPLKFLRSLLLRRVLRARGARPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLGDGDMEPSKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRARQIALGXXXXXXXXXXXPASAAAAGDDDDDERRRASCNPWVDSVEIYPPKAYI >OB10G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1915527:1915814:1 gene:OB10G12190 transcript:OB10G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGRSPRCPPTGCSSRGGARRRRRRRRRRRTRXXXXXXXXXXXSRGRSAGRGGRGGRGPWPRRRRARTRASCCWCCQTGGACTCSAPCRRRRG >OB10G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1945809:1946333:-1 gene:OB10G12200 transcript:OB10G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATNPALLYPLPPADGKERWEMKDGEDSVQLWLQVPGLSKENLEITTTEDLLEIKWKGGGRAGAGPEDIHGIGPFHVRLLLTKEYVAGEVTAVLKAGMLEVTIPKNKAVQPQVVEIGKQPPPPQPPAPGGGRSPSSPPSLPQPQAPAQGGGRNPSPPSPPPEPRQPPSPPAP >OB10G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1952560:1953782:-1 gene:OB10G12210 transcript:OB10G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLSGRPAAVPASSAGGRLSKKAAAAVSVPSGGKPQRLSVCYLSPKGSGEYNPKIDLPPFNISAVALVNPMPAVGERWQVEEKSDAVTLWFDVPGLSKEDLAVEIDEDVLVIKKKDAAAAKTAATPPPSAAPVAGRNSSAVNAGTAAAAAAPGDGGRVYARLLLPAGDSREGGAAELSSGELKVTIARVKESARRRINVEITTK >OB10G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1959137:1966625:-1 gene:OB10G12220 transcript:OB10G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGDGLHTNGAAATTQGKPITCKAAVAHGPGEPLVMEEVEVAPPAAMEVRVKVLFTSICHTDLSSWKGENELQRKFPRILGHEAAGVVESVGEGVKDLAPGDHVVPIFSGECGACAYCESSKTNLCKTYRVDPFKSTMVSDGGTRFAIVDRSSGERRPVYHFLNTSTFAEYTVLDAACAVKINPKAPLEKMSLLSCGVSTGVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKGKEMGITDFINSKACGKPVHEVIMEMTDGGVDYSFECTGIADVLREAFVSTHDGWGLTVVLGIHATPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPEIVDKCMQGEININFDGFITHEMPFADINKAFQLLEEGKSLRCLLHV >OB10G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:1981672:1989724:-1 gene:OB10G12230 transcript:OB10G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLQAASCLPCTPEEERDLVVALTREADENVKDGDLRYLVSHAWWINWQRYVGLIKPEDDDADMLPQAPSRPGDIDNSKLLEESSSDGDEPELQRTLREGEDYDLVPQEVWRKLHEWYNGGPELSRKVICSSPISKSYIVDVYPLRLKLFNERDSLEKVIRISRKDKVHELYRLVCSLMSVEQSKIEIWDYYQKIKNKKLINLNETVEEAQLIMDQEIIAEVKPDDACCSDLDTRSNNELALIPLEPSTSSLSIAGGPTFSNGFSPGFGSSFSQDNSYPLLRDTEDGYSSFSNGSKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYSREINTENPLGLQGELAIAFGELLRKLWSAGRTSVAPRAFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPDCDKISVTFDPFMYLSLPLPSTVTRMMNVTIFSGTGDTLPMPYTVKVQKNGVCGDLIKSLSAMCCLQSSETLLLAEVYDHRIYRYWNPSEPLYHIKDEDKLVAYRLPAGSENLLRVEILHRVVDRYTSESMFNLTRKLIGSPLVTCIPNEATRKADIYATASALLAPFVRTKVHTLDESATKLNSNGPSLDGIVLTDNGVTHAEEVSTSNVDEEAADEDLLPFQLWLTDEKANKKDPIDADSNGAPGLTMKLLMDWSDREHEVYDIKYMDELPVVFRPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMWYCPRCKEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIHDLDMSRYANHSSRSDQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEEIRTSSAYLLFYRRVGSSSPFRNATVDTVMVDSLD >OB10G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2005950:2006732:-1 gene:OB10G12240 transcript:OB10G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITWVKEWRTDRITLDASEDQCDIARLEDFISRSVQIMKTIEARSVTKSEALYSLGPQLENLSAVTRDHDEVIERAKTMENKLQDEIAALRVEHVSYLERIKDKAELEAESSRLMASNSVLELECSKLKKGKDAATVELVGKPSQLRSLLTSPQLPSLTLGVLAEARTWAQAVVEATEVRTKAAEKA >OB10G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2021395:2022195:-1 gene:OB10G12250 transcript:OB10G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHKALEDRPADWLWLCDHFETEEFQGCGDIPLYKACYSNDKGWASVDARENHELMVRMQEELTQQDEIPLTYQQICEKVLGKAYGHIRGRLALGGSLKDTG >OB10G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2022958:2024164:-1 gene:OB10G12260 transcript:OB10G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRARPEGFIAESYVMKECSTFCSMYLHGIETRFNREERNFDGERPPLGRYSVFSTRSRVFGHKDSVILKQDQYDSLCRYVLNNCEELQQYLHEHEDVLVGNGTRNVLDRQRKEFANWFRERKVNHRHLFDQEIWSSINESLIDINISDDIAFQEEEGGDVVLDETFEAILLHRDDVRPEVVTNPKELATLKEHPLSRNEYEEEVE >OB10G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2024877:2026517:-1 gene:OB10G12270 transcript:OB10G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSWMNLPHRFSQGYIAGVNAFIEFSCQHNLDKDTILCPCQNCMNTSQKTVNEIRTHLVLYGIQISYKKWVFHGEQVDLYTDQVGEPIDDEDNDDFDGLDMIQDLLGDIHRGTVGIQQQEDQLSSGNIGAQSNEEVNRFDRLLTSAQHELYPGCKSHSRLSFLLKLVHLKDLNHWSNISFEMLLKLMEVLQAGDSLPGTYYEAKKMLRDLGLGYESIHACVNDCVLFWKELEGNDECPVCKESRYKEHNRENKRVPRKVLRYFPLIPRLQRLYMNKEIASDLRYHSDKRIVQDNVLRHPSDGEAWKDLDKRYPWLEQEPRHLRLGLATLATDGFNLFGIMSSSYSVWPIIIVVYNLPPWKSMKEPFMLMPLLIPGKKSPGRDIDVYLRPLIEELKLLFNIGVVTYDASKGDTFNMHAVVLWTINDLSALGALFGYATMGYKACPMDDTYSQPLRSKIGFLGHRRYLPIHHRWRKSKNFNGKNEEALKPKLLSGNQILKLLQQLDHLQGFKYGKHAGNKKRKASQKNMEIPGKNFSKQSILFELPY >OB10G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2026866:2027175:-1 gene:OB10G12280 transcript:OB10G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASIAVATAQVPGDGPSGHVTAPAAASSGGQTTAKAVAAAAASENGLTAAASLDEVHLQWAQQVEEENRASIICIN >OB10G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2033270:2035775:-1 gene:OB10G12290 transcript:OB10G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLVVVAAVLLLAAAAEATSSALLGINYGRVGNNLPPPTAVPQMLSSLGVGRVRLYDADPATLRAFANTGVELVVGVPDECLAAVSTPAGAMSWVRSVVQPALPATKIAVLTVGNEVLTGANSSSLSRSLLPAMQCLHDALAQLGLDRQVAVTTAHNLGVLATSYPPSSAYFRKDLLPLLCPILDFHARTGSPFLVNAYPYFAYAEDPTGVELDYALLDPAYAGVADPSSGLHYPNLLVAQVDAVYHAIAADNTAVTRAVEVRVSETGWPSAGDGNETGATPQNAARYNGNVMRLVADGKGTPLRPTVALRVYMFALFNENMKPGPTSERNYGLFKPDGTPVYELSYRLPKDNTNSGAGGMGLVDMVDTSSSSSMCGCPDDDDGIVILSRWMDGRMEGRKPLVSNGVRGGTLGALLLAVAAVDKGIDGSECHALV >OB10G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2043682:2044572:-1 gene:OB10G12300 transcript:OB10G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKSDRNVPKELGKLQYSLQPIRVVLLEAERKQSMTAFGGGALKRQVDKGLVTRAKCICIPRLKLSIRLLKKKLSRRLLKKCLSSRIREVREKLNEIAANKDFGLTEWEVADQSSEEEERESYSFVYQPNMIGRGSCQIARDEIVHDILRAAEGDDLSVLPLVGLGGMGKTALARLTKQGEVQQYDVGLYHQ >OB10G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2048890:2049951:1 gene:OB10G12310 transcript:OB10G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSALPGDLLRLFSRRLHDPLDFLRFRAVCRTWRSAAAASPPPFLPWLLARPVHPTARPSLSFYSLSSAALRSVAAPSATCCLLGHASSHLLFSDHGHPSNPLLLANPLTGADLPLPPSPFDAFAPITQGYYLPGPDSPVVIYDATRVFFHHPGGGGGESTSPGWTKVPVEDLVAENMYHAGKMFVCNDRGRLTIFDATTLAVLGDAAPPPPPPAATLHGDAFRCSSFVPSGDELLCVIRYFRSKNKAQGELLEDPCALEVHRLEISSEKGAKPRWVQMRSIGDRMLFVGLYQGFSLRAADFAGLEGNCIYFFRMDRASRSFIYRFSMNDGHIDELPGPWMHACTWFVPSLS >OB10G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2051882:2052286:1 gene:OB10G12320 transcript:OB10G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLKYLYFRMEEVYCCDVLCCTPYSVLLLNTIPSVPHVCSLLIAQKYNLLQIVSAAAVAHKGILNDRSSLVLLQGIVLEISPNVISTEAVLQLTYGGFWFQVVVKTKLNQFELLSLSTVSKTLLAVKCVSIS >OB10G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2078891:2079508:-1 gene:OB10G12330 transcript:OB10G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRPAAGRGGGGARKPPPPPSTKRRSSSFPCKVCGKRFPSQQAMAGHCRAHTRASAGAPTRTAAEARQLLLPSSPLPLPAVVTVQLALLWPLTSSVQYCLPPPPSPAAAQIGCRWLPGAFLLRTTRGLLSPCSVHVGDACSTTTSQPASAMVDAAPLQPGSGGHGGEHGRERKRVALMDGGDRGRKKGKERMDGLDLELRLHR >OB10G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2088844:2089830:-1 gene:OB10G12340 transcript:OB10G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGCFSCQFGGTFTGAALMSKMQKFSGKGEIEANFGNARTDLAGPTARNRDEIESTPQRKEFRREIDERNRITEPRNTRKEHKKSAPRNQDRCNRSAKPPKKPSKTISTECGDRHSREQQPSELERTTTTTSGGRGNRSGGRGRNRAGEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRCRKNLGVGGAAASPSLPLCFVHTPAPNLGRRNAHGSIDRSRLGWIPSLSSLSLSIHHLYSSSLFTLFVHLSPTLSSSSFSLSNLPFLMARINQPILVQLWQLAMHCNFPHLPSLQP >OB10G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2089444:2096760:1 gene:OB10G12350 transcript:OB10G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSSGDLLKNSNQAEQDEQVPKFVQISPERYASFTELEEQVKILSDKVNVLNEQLSAAQSDITNKDGLVKQHVRVAEEAVSGWEKAEAEALALKVQLETVTLSKLAAEERASHLDGALKECMKQVRTVKEESEQKLQDVVFGKTKQWEMMKAELEAKLASFEQELIRAGDENDVLSRSLQERENLLMKVGKEKAQAEAQMELLKSTIQSGEKEISSLKYEVHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKILKLEAECQRLRALVRKKLPGPAALAQMKLEVDSWGRDHADNKMRRSPSRSSNFHHPMSPSPDNSLGNLQHMQKENEFLTARLLSMEEETKMLKDALSKRNNELQASRNTCAKTAGKLNSMEVQMVSARQYKSPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDAASCAESWANALISELSHIKKDKGSKGGLTENSNQMVLMDDFLEMERLACLSPEGKGCGRFIDKKKAAKVDTTLTIVNKRESDRDSWPSLQFPDTPSSSEHQPENSSLSKLQSRISSLLGSQSPQNNVGKLLDGIRNILRDIEEEAESMNAKKNQAEDMNEVADNGSLTNQSRKRGVMDHVLRQAILDIRDFFQSFKRQLSEFQGKGPYRRICEKIEQFSTLADKVMFNETCLAEMVIALAEILSESSAIKLTMLRDSVNEAESNNLDCVDKVTLLENKVHRQPTKDSLADVCSLMPHSSYDPDFEGSSYAFDVKTTVRICSPEEYEQLKSDKRKLEMELAKCNEAIQCTKLEFSEMEKSMEELTSKLSACEKSNSLTETQLKCMAESYKSLESHKLKLESEIEVLHKQIDTLRTELAEERENHQEDLSKYRDLKEKIERYENEKNTGCPDEDAGVKTKQEKEIAAAAEKLAECQETILLLGRQLQTLRPPPTEPLGSVVNKQPVGVFPEDQARSTQGLHFKKLSGQFDTDHALFSSAPGTGNVSPLNGYRTHKSPSNLDGNPYINSPNSSKRPKHRSRSSSSSSFTNQFTEKQGRGFSRLFSKSKSEY >OB10G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2108206:2110792:-1 gene:OB10G12360 transcript:OB10G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVAVSASGGGGGGGGGGGGGSAGAGGGGGGGGGGPCGACKFLRRKCVQGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLQQIPAHRRLDAVVTICYEAQARLRDPVYGCVAHIFHLQHQVAGLQTELNYLQAHLSAMELXXXXXXXAASFNFSDLPSSSSNVPATADLSVLFDPLPMAQPLWPGVFQHQHHHHQHPYDRMGDGSSSSRGGDDDGSDGGGDLQALARELLDRHGRSASSSKLEPPPHTH >OB10G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2108257:2111312:1 gene:OB10G12370 transcript:OB10G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQELPRQRLQVAAAVAAVVVAASAAGRAIAHPVVGVLVVVVLMLEYPGPQGLRHRQRVEEDGKTTATRKKVSEYLVLEVEDVGDAAVDGVAEAGLGLVADGDDGVEAAVII >OB10G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2135483:2135782:-1 gene:OB10G12380 transcript:OB10G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCPMRTISAGVLVLLSYLLIRINNFPLMIMQTMIQDHSEVYFYMEHFKCTLQCASIQRICSIITLCCSFTKTYNQYANIVDVYGSQSDEYIGPVKL >OB10G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2144425:2148631:1 gene:OB10G12390 transcript:OB10G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGERSNDGFDQWESALTRLEEGFWAFGRGRQYDGEWDKYPDDKKQGNNALVAMTYLLQGVIEVKSSTSAQENKPPQEGQELVRCQQAGEELHTDPCIALRPYSIRELVRCQQAGKELHTDLCIALTRSMRELVRSNKQERSFTLTYALLLGRFRMPTFRDLRNCDGKYAIIVVTVLEHAHTGMLGYGITVWNGIALASWYHEQYHITGSESSSSSSSRLSQPPLLPQSSTLPSW >OB10G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2148641:2148932:1 gene:OB10G12400 transcript:OB10G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSPATSCSLSSEGGRGRLVQRQDEVVVGAEGAGGGAVVVGSPLGVDAAGELVEAAGVDAEVEDDADGEEHQHDERADSACLARVVAHILL >OB10G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2153496:2156288:1 gene:OB10G12410 transcript:OB10G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTGRVGRNCLCHEASALAGIFRQIRDMKEELESMQSFLQGAERFKDTDNTTANFIKKIRYLAFEIEDVVDEFTSKMKVKHGGFALKVKQRICHIKTWHRLAFKLQGVKLKLENVDRRKVRYDMTRLAKSAGLSDVNCRSTDQTSYFPTEEDLIGIDENKKLMMDWLRCDSQLQSVITTVCGMGGVGKTTLVAHVYNSVKVDFDSAAWITVSKAYQVEDLLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRDYLKYRRFLLVLDDVWGVDMWFKIREAFPANSIGRFIITSRVHDIALIATGNHKIDLKPLEEHHSWELFCKEAFWKNEDRICPELQYLAQRFVDKCNGLPIAIACIGRLLSCKSPCHTEWENLYKELELQLRNNSILDVNIVLKLSLDDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVASGFIAETEHKTMEEVAEGYLYELVNRSPLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCHVYDGSGTTKHSTRRLSIQCADIEKLTVSVSSEVHLRAIYAFSEGLTSDALKFFLKSFNLLSTLDLQGAQIRKLPKELFNLFNLHFLCLRDTLVDDLPEAVGRLQKLEVLDTFNARLVSLPQSVANLSKLRYLYVATDPRKGTKGFSPWIGIQVPNDIRNLKCLQALQLVEASSETLCHLGALTELRTFAITKVRREQCSELCNAVMNMNHLTSLAIMAINVNETLELDALHLPPTLSKLELGGKLDKESLPRIFSSFSGLDNLTLLTLALSKLDEDSFSCLFLLNGLRGIWLDKAYEGKKLHSGMSLPSLRLLAISDAPELSDVVIEQTALQNLTRLSLIDCPELKALPDGIEHLRTLEELYMRGASKELTEELRRKEDPNYASKYLTKISHIRRVTVFP >OB10G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2158824:2159126:1 gene:OB10G12420 transcript:OB10G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYVSFHIYHFSFEHASACQNMSCPCSRREYGAKYTSSCDYREVKNHTPDFYMLWSSEKCLHNSNKDVMLKSASQRWENMVISELVRLYPSPHVTSNMR >OB10G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2159543:2163363:-1 gene:OB10G12430 transcript:OB10G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYMSISRQGCLGRIRMGDIDECEFPDVYDCYGAVGGLFIVSLLLFITLLRREKRKTKEFFEKNGDPILEKINNIKLFKKDDLKPILRSSNVKGKGSFDEVYKGRIGDNNQLVAVKKPIYVNLPKKDQFANEVIIQSRVIHRNIVKIIGCCLEFDIPILVYEFISRGSLEDILHDFKRVPISLDQRLHIAAEAAEGLAYMHSKTSITILHGDVKPTNILLNDDLVPKVSDFGISRLIAVDNQHTMSVIGDTSYMDLVYFQTGLLTDKTDVYSFGVVLLELITRKKASRFDNSSLLRNFLDAHTNGKTVIEFVDEEISATNDLELLVSVADIIVQCVNLDVDKRPEMIDIAERLNYMAKRARSI >OB10G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2166395:2171408:-1 gene:OB10G12440 transcript:OB10G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRHPFGIGDGCYRDGFEIVCEDGGVPFLAAGADTKPIQVTGLSIEKAEARVMLPIAWQCFNSSDVVYSSSNGLVQFDERGVYRISSTRNHLVVVGCNTMAYTENQPNAGDDYGYDFFTGCLCYCNSSRHASSGACSGVGCCQVDIPPGLTDNSMVFDESYSHQSKLQFSPCDYAFLVEKESYSFSTGDLRMNVDRKMPVTLDWAIRDGSLSCSHAKANKSTYACVSDNSYCVDAKNGPGYICNCSRGYEGNPYVPNGCTDINECERKDKYTCYGNCRNKPGSYDCRCPKGRSHSADPYNEPCIPNFPLAAQIVVGVIGGFFIVALVVFITLLRREKRKTKEFFEKNGGPILEKVNNIKLFKKDDLRPILKSGNIIGKGGFGEVYKGHIGETNQPVAVKKPINVNLAKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSRGSLEDVLHGSNRLPLNLAQRLHIAAQSAEALAYMHSKTSTTILHGDVKPANILLSDDLLPKISDFGISRLLAIDNDHTMSVIGDMTYMDPVYFQTGLLTDKSDVYSFGVVLLELITRKKTSHSDKNNLLKNFLDAYTNGTTVRDFIDEEIAATNHLELLVGIAGLVVQCLSLDVNQRPEMTDIAERLHYMAKKARGI >OB10G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2174808:2175311:-1 gene:OB10G12450 transcript:OB10G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLLHGKDEEEDVEADEAFNNVEEEQQQLLPRCGTGGGASFWRCCLNLSNVISGIGTLSVPYALAQGGWLSLALFAVVGAVCLYTGGLIDRCMRADRSVRSYPDIGHLAFGAHGRTAIGAVMHVELYLIAISFLILEGDNLDKLLPGTAVEILGCQLRGKQLFVL >OB10G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2174815:2175098:1 gene:OB10G12460 transcript:OB10G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSCLPRSWPQDLHRGAGEELVEVVALEDEEADGDEVELDVHDGADRRPAVGAEREVADVRVAPDGAVGAHAAVDEPAGVEADGADDGEEREAEP >OB10G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2180015:2180788:-1 gene:OB10G12470 transcript:OB10G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSRQMAGWASPPRWHHLMIVTDPMQSHINSARRLTVRVTFSTGMHLQPLRHVPAPQVLQGVVSSPAAVTRVLYTVLVGWGSPTSRGVPAALHWAKPATVFAVYHHYFHGHAAELLASCKSDPSLVDVAVLLQALPPLKRNALPSFTSMVSPGKRHYLTLGMLNCCVTSFSALGEHDLMVPVDTLVHALEPAALCTVPCLCGRAVQARCVNLLLPGDTKVYRKW >OB10G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2193522:2194342:1 gene:OB10G12480 transcript:OB10G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTGRKILLLAAMAVMVMLSSPCHAADVANKCYIFQRCTLNDCNNFCVKLGVKNPQVTCKLTVPGGPYKDDTCCCYTRHDKTMAARRLLTSSPDLLLSSQ >OB10G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2196315:2198514:-1 gene:OB10G12490 transcript:OB10G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKPSITLFREKHWLLVHDFPASGCPHSTLWRRSRPLSRRGAPPWSCADILIYAARDTGSILGNGRVNFDVPVGRLDCLVSSADEAQAEIPDPTLTLQQLIDNFITKTFTVEEKYPRKIWTISLCLRPTRPHVLAPAEQQRHTPLPPLRADGAKGSAGVLDRRAGQVAAVVGECGDYFKGVCCNARGRVATVSLCGTGYKAILEKPTVPRPSEAARFRGLNNKFLRRREGRGMKGKDDRTISVTTTSANIIIASLLSSMCEDIWSAAEGKCMFQSGAKRYASVAMLIWKETQPKLAST >OB10G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2198787:2199437:1 gene:OB10G12500 transcript:OB10G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIVGVLIGKFGAALANEAVTFSSSLFMKEVHALKGLLYEINDVKEELESIQAYLHDAERFNDTDVNTNIFIKNICCLAFQIEDVVDEFTYKLEDKHGGFATRFKNRVCHVKTWRHLVQKLQDIKAKIHAAEQRKNHYAVTGMDLSFRCSDHQENFADSSLHFRKDDDLVGIKENKEMLIQWLTGDFQERMKITASGGWVVWVKQLWFLMSTTL >OB10G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2210879:2213650:1 gene:OB10G12510 transcript:OB10G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVGLLISKLGAVLATDAARLLGGSQLLKKASALRGLFSEIHDVKDELEGMQAFLQGAELFRDTDKTTGIFVKKIRDLAFEIEDVVDEFTYKLEDNHGGFAGRVKKRIKHVKTWHRLTQKLQEIRRRVEAADRRDVRYQMRGIQMRGGCSATYSKSVGQTSYFAREEDLVGIGENKEQLIQWLTGDMGPGSRITTVWGMGGVGKTTLVNHVYNTVKVEFDAAAWLAVSKGYDVGDLMKKMARDFRLGSDIVNMEIRSLTESMNRHLQGKKCIVVMDDVWGVDVWFKVRHVFPTNCIGRFIITSRIHEVSLLATTNHVIQLKPLHKHHSWELFCKEAFWNSDSKTCPPDLICLAQKFVEKCNGLPIAIACIGRLLSCKQLTYSEWENMYKQLELQLTNNAIFDVNSILKLSLEDLPYDLRNCLMYCTIFPEDYLIRRRNIMRQWITAGFIKEIENKTLEEVAEGYLNELVNRSLLQAVERNENGRLRRCQMHDIIHLLALKKSNEECFCQVYSGSRKVLVAGSRRLSIQSENLEQLDLSGATYLRALHVFKSHINTDLLRRILESSKMLSTLALENVRIKMLPKEVFDLFNLRYLGLQNTDIETLPESLGRLQNLEVLDAFETNIIYLPKHVVKLKKLRYLFAGKFFKDHAVMGAFRGVQMADGLRHLTGLHCLQCVKASSEILHDVGALTELRTLGVSNVKSKYCADLCDAISKLSHLVHLEITASGEMEVLRLQGLHLPMTLSWLGIEGELEKTSMPQVLSSWSHLNKLTRLRLAFCNLDEDSFSSLLVLHGLCWLDISSRAYVGKRLNFYDGSFPKLRTLTIGGAPELNQVDIEKGAMQSLVDLTFIDCPHLSDLPHGVEHLTVLEKLYLLEASEELIERLRQMKKSGECSEDRVRISHIRNVTIGQVQKQIWERIIM >OB10G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2217561:2217737:-1 gene:OB10G12520 transcript:OB10G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVGLLISKLGAVLATDAARLLGGSQLLKKASALRGLFSEIHDVKDELEGMQTFL >OB10G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2234917:2238013:-1 gene:OB10G12530 transcript:OB10G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAAAAAAVGGSRRCTQHAEGHAAVLAIGTANPANIVLQADFADHHFGLSNCDHLTELKDKMKRICHKSAIEKRYFHLDDELLRAHPEFIDKNLPSLDARVGIVATEVPKLAESAARKAITEWGRSANDITHLIFSTYSGCCAPSADLQLASLLGLHPSVSRTILNLHGCSGGGRALQLAKELAENNQSSRILVACSELTLICFSGPDQSNIVSHGLFGDGASAVIVGAGPLDSSERPLFEMVAASQTTIPGTGHAFGMQVASGGIDFHLSIQVPMLITQNIQQCLLDAFHSIGKVDPNWNDLFWAVHPGGRAILDNIEGVLKLQPWKLDASRHVLSEYGNMSGATIAFVLDELRRRLDKEENKKHDPEWGIMLAFGPGITIETMVLRAPVPCGLKEN >OB10G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2239844:2242028:-1 gene:OB10G12540 transcript:OB10G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKKTPTMAAAAVETSTSAVRGASARGAASPPCCRSSAPSSPTPTGTASPPSRPHLCSVVRFKKTPGIHHQSWSVLSTLCEKLSDELVVCKMEQIQKQELIDDDLEQIQRQESTNDLHTPRCRMMESVTSNITMSLGGQSYDDNMPLMHSFGCLGMYI >OB10G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2242721:2243591:-1 gene:OB10G12550 transcript:OB10G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGGECSFPLPLWSLYACEDGYRWRKYGQKAVKNSSYPRSYYRCTAPWCGVKKRVERSQQDASMVITTYEGQHTHPSPVSYHVHRHHAAGLMAAAGFATPPPPLLRFCMPRRRRPRLPRGDDEPTADELRRAQHTVRRPADDDDEPTADELRRAQHTVRRPADDDDEPTADELRRAQHTVRRPADDDDEPTADELRRAQHTVRRPADDDDEPTADELRRAQHTVRRPADDDDASSSICYEWRTRSKEHY >OB10G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2247647:2250418:1 gene:OB10G12560 transcript:OB10G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLILKLGDALGNESGQLGSSLLVYEATALKGLFGEIRMIKEELESMQAFFCTAERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDREGMFLLKAIRRIRQIKTWYRLANSLQEIKVNLKSAAERRCRYDLKGVRRDKKLMRLGSLNQRSTESVHFKRAADLVGIAEDTQLLMDWLKDEEQQHMIITVWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSHSYEADDLLKQIVVEFRKNDHKKEFPKDVDVTDYRSLVETIRRYLEKKRYVLVLDDVWSVNVWFDIKDAFSHGKQGRIMFTSRIYEVALLAPESQKINLQPLQNHYAWDLFCKEAFWKNENRSCPVELHPWAQKFVDKCKGLPIAIVCIGRLLSFKSANLLEWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYLMQRKWLVRLWIAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALNKAREENFCVVLDYSKTHLIGKARRLSMQRGDISQIADNVPHLRSLLVFHNSLSFNSLRSFSRSVKLLSVLNLQDSSIESLPNDVFDLFNLRFLGLRRTNITYISRSIGRLQNLLVLDAWKSKIMILPEEIIRLSKLTHLIVTVKPVITSMNFVPSVGIPAPTGLWSLACLQTLLLMEASSEMVFYLGILVNLRSFRISKVQGRHCSKLFFAITNMVHLIRLGIHANDKQEVLQLEALKPPPLLQKLILQGTLDKESLPQFFMSISKLKSLTILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLFFQATSFPKLRILKIWGAPHLSLIKIESGAMSSLADLKLLLCPELKLLPRGIEHVATLEEMTLDSTAEELVGRVRKKNEAKISHVKRVYVGFIRNGELAAERIQ >OB10G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2252012:2257026:-1 gene:OB10G12570 transcript:OB10G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:J3N159] MMSFLPKGRTTLSEFKWPWRGESQLSAHLIDIPAEIELSDYRRLPSTGNESPSGLLHGEDCNADVIPDLDIFFERIYEYFCAKGLRCIITIWIIEILNVVFMVFCIGFFFLFVDWAALGDLKCGVEALELGAKPCDLMKLIKDHPLVPFTFTKLITVGSMAILSTYGLMNFVKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKIVLLQNSQRLCVVKGLTEHDIIMRIMRKENYLIGMVNKSIIALPIPCWLPGVGPTISSRMHGKKSYLMLPKTLEWTLNWCIFQTMFDSKFCVRKDFLTSPSLLKKRLVFIGIVMLLLSPCLVIFPLVYLFLRYAEEFYNHPSTASARRWSNLSKWILREYNEVDHFFKHRLNNSTVDSLNYFKQFPTPVISIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRDLLWYTIVLGTIATVSRRVVVDELQVIDPEGAMSFVVQQTHYMPKRWRGKEGSEDVRREFESLFQYTITMLLEEMASIFITPYLLIFVVPKCVDDILRFISDFTIYVDGVGDVCSLSLFDFTRHGNTNYGSPHNAVKGMRSSQGKMEKSLLSFQSTYTSWEPNADGRKFICNLQKFKEKQIRQHTFQTTEASQLGLSSRGQTADVFQRLLPRNTYPSNGVFFNFNPLGLLDTDQRACPYILDWYYTHHQTHSEREAHSSPHLASHEQQEDIWPLLSKPLTEIEDEQTWDSNLYRRARSNLEASTSSAFFQQAPFRYQGREQNSTSHQWWAQTSRQRTDPQNSFAGHPQDSFLEPPDFRNHLEASHVSSHQSDWRLTSRRSIDPQDSFLEPPDFGNNYMSCHSSSHHSDDTSDGNPELDQSNNSWRSPHAAMSKTRYMGDDDDDLDIEQGVSYHFTDAPQKYGGSEGDGHGVADIYNSTPASLPVRVLPRSSDPV >OB10G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2260880:2264897:-1 gene:OB10G12580 transcript:OB10G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3N160] MAGEGKPDGGWAAAAGEGVMLPASMVLVQLFSVVLVLLSKLALGAGMRPFALLAYRNLVGAVAVAPLAFVFERKHRKIPGIVEWCWISLNATFGVILSMGLYYYGLQSTSATYSVIFLNMIPIVTSIVATIFRVEKLVLRNWPGKAKLLGIITCVGGTMVVSFYKGKLLHHPWPSHLLKFHSHDESGPHAYHRNMVAGTLFLCGSCLGYAFWFIIQVRLAKVFPSRYWATTLTCLSGSLQAFVIGILINPTKSVWTIKWNLQLLTVVYSGVFNTGVSFVLMSWAVKHRGPIYPSMFNSLSLIAMVIMDSVLLGTSIFLGCILGTSFIIVGLYAFLLGKGTELKQAAAVQNTDNKQDNRDGTEQRGDEILRSIA >OB10G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2277509:2278826:1 gene:OB10G12590 transcript:OB10G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATNSSVVDMRLCRQRAEGHAAVLAIGTANPANIVPQDEFADYYFGLTNSDHLTDLKDKMKRICHKSNIEKRYIHLDGKIINAHPEIINKDLPSLDARVDIVSTEVPKLAESAARKAIAEWGRPATDITHLIFTTYSGCGAPSADLKLASLLGLRSSVSRTILNLHGCSGGGRALQLAKEIAENNRDARVLVACSELTLICFSPPDESKVVGHGLFGDGAGVVIVGAGPFALGERPLFEMVAASQTTIPGTEHALGMQTKSNGIDFHLSIEVPKLIKENIHQSLLNAFQSVGNMDPNWNDIFWAVHPGGRAILDNIESKLELEPCKLDASRHVLSEYGNMSGATIAFVLDELRHRREKEQGGQPQPEWGVMLAFGPGITIEAMVLRNPVLRNLN >OB10G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2287782:2289618:-1 gene:OB10G12600 transcript:OB10G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3N162] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYISGVILFEETLYQKTKDGKLFVDVLREGGALPGIKVDRGTVEVAGTDRETTTQGHDDLGKQCARYYEAGARFAKWRAVLKIGAHEPSQLAIDLNAQGLARYAIVCQENGLVPIVEPEILVDGAHDIDRCAYVSEGGGGRLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPAAVPALVFLSGGQSEEEATVNLNAMNKLTAKKPWSLSFSFGRALQQSTLKAWAGKTENTEKAREAFLVRCKANSEATLGTYKGDAVLGEGAAESLHVKDYKY >OB10G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2299237:2300091:-1 gene:OB10G12610 transcript:OB10G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVRALEGVGYKEGVNLFGAPYDFRYAPAPPGKNATVFSSFVADLRRLLEHASRKNGGKPAILVTHSFGGVMAVEFLTRSTAHVKHLVMTSTGAGGVVVALGSLAASASAPPLSMARTARSYATAFLPVPSPAVFGDAPLVVTRRRNYSAHDMAEFLAAVGFSDEEIGLYRARALPVTLGLGAPRVPTTAINGVGVATPEQLVYWDGDFSKAPEVVYGDGDGVINLASALALDTMIGDNPEQSFFKSVKIANVTHGGMITEELALKRVISEILEANRANYRK >OB10G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2308959:2309807:-1 gene:OB10G12620 transcript:OB10G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALKGIGYNEEENLFGAPYDSRYVSAPPGMHAMAFSSFMADLRRLAEHASGKNGGRAVILVTHSMGGLMAVEFLTRSPVPWRKRYVKHLVMVSTGAGGIVAPLRSLAASAHAPPSSLAGAERSYGSAFAALPSPKVFGDDQPLVVTRRGNYSARDMPELLAAVGFSGSEIHLYRTRALPVTLGFRAPRVPVTAVYGAGVPTPEQLVYWDGDFSKDPEVMYGDGDGAVNLQSVLALTPVVGEDPEQGFFKAVKIANATHGAIIRDEFALNGVITEYFFHFY >OB10G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2314146:2314472:-1 gene:OB10G12630 transcript:OB10G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPIVLLPGNGCSQLDAELSDEYDEPSSPARCGARKGKGWFRLWENGTTLGDPDEAPCYADQLRVVYDRRRGDYGNVAGVRTRVVSFGTTRGFGPYGNDGDGDPSDPER >OB10G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2333801:2337349:1 gene:OB10G12640 transcript:OB10G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAWLPWLLLALYLLDVLAHSRRRLPPGPRPLPLIGSLHLLGNHPHSSLADLATTYGPLMSLRQGAVTTVVVSSPGMASELLKKHDAVFAARSVPDATGDHAKNSVPWLPGSPRWRALRRIMATELFAPLRLDALQHLRRGKVQELVDHIARQASEGAAVHIGLAAFTTILNLLSRTIFSRDLTSLGRVGDHGESPSSSSSREFHEVVVEIMEAAGLPNVSDFFPALAAADLQGLRRRMDGLFARLHRVFDALVDERLRDREAGEPRKGDFLDVLLDVMARENDSSGLDRDVLRSLFLDLFAAGSDTSSTTVEWAMAELMRNPLCMARACNELSQVIGLGRNIEESEIGQLPYLQAVVKETFRLHPPAPLLLPRKAESTTNIAGYTVPKGARVLVNVWAMGRDTHTWSAPEKFIPERFLEGKIDFRGVHFELIPFGAGRRICPGLPLANRMVHLILGSMLNQFKWSLSAEMERNGIDMSEKFGLALVKAVPLCALATPVSVKPSDHDVL >OB10G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2348056:2348337:1 gene:OB10G12650 transcript:OB10G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKPPRPTVPHLWRLHPMVKWKRCENHTKNKIPDIQYNVTAIDRCRKPVELPIVSVKFNNSCGSLVKNFMPINAELCDRHVFNGVIGGLRRA >OB10G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2349479:2350879:1 gene:OB10G12660 transcript:OB10G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDTNKQLLPTRASSSSSRFGGRVGSNVAVVLLLVSLGFVLGLTSSNAMFLKSFYPSSFMPSMPLLVLSSSTSSSYPPILPTQSPEQPWSSPPARHDQQQQWLRPPPMHDMADEELLWRASMAPKSRRRPPEDVVPKKVAFMFLVRGELPLRPLWEKFFAGQRDDHYTIYVHAHPSYNFTGSPDSVFYGRYVPSVAAKWGDATLVEAERRLIANALLDAGNERFVLLSEACIPVYDFPTVHAYLTGANTSFVDSYENGGRRVAVQALLRRPQHHARQVAQGRAVVRDGPRPGPRGRRRRRPLLPGVPRLLRRPPRVPHRRALPGDAGDPARLGPPQRQPHADLRRLDAAGEPAPAHVHGGGGDGEGHRRHQGGQAVLLQWRQERRDLQPVRAQVRTGDAAAAAAVGAKGHGLRLGLPNLADRSTCMLGSFLALIGRERYRFDFVEINMAPSKAGCSWRHGTQRK >OB10G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2351397:2351600:1 gene:OB10G12670 transcript:OB10G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVLIAFYLAQLDIRLQIRPSSFRHMEFGFLQARIPTLKIILIVVLMYMHHENRLSTFCTARKHH >OB10G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2359518:2362376:1 gene:OB10G12680 transcript:OB10G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLWLSWLLFSLLSVYLLDLLAHSRRRLPPGPRPLPFIGSLHLLGENPHRSLAGLAKKYGPLMSLRLGAVTTVVVSSPEVAREFVQKHDAVFADRSVPDSIGNDHTKNSVIWLNPGSRWRALRRIMATELFSAHQLDALQHLRQEKVSELVIESARKTRTKLAFSHTQERLEVEDEQWSCNDERRGADAGFITGLKNGTGLGKDLLAAGSDTSSNTMEWAMAELLKNPLSMAKACEEIAQVVIKKKN >OB10G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2361251:2362376:1 gene:OB10G12690 transcript:OB10G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGSSNLSDFFPALAAIDLQGWRRRLADRADLLAAGSDTSSNTMEWAMAELLKNPLSMAKACEEIAQVVIKKKN >OB10G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2382284:2383646:1 gene:OB10G12700 transcript:OB10G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLWLSWLLFSLLSVYLLDLLAHSRRRLPPGPRPLPFIGSLHLLGENPHRSLAGLAKKYGPLMSLRLGAVTTVVVSSPEVAREFLQKHDAVFATRSTPDAVRDHGRNSVPWLPPGPRWRELRKVMATELFATHQLDALHELRQEKVAELVNHVGRLASEGAAVDIGRVAFTTSINLLSRTIFSHDLTSVDDRGASKEFQRLITGIMEAAGSPNVSDFFPALAAVDLQGWRRRLAGLFGQLHRLFDAEVERRRLHGRDAGVHGMKDKDGDFLEVLLRLAARDDDTACLDRDTLRSLFTVYVCGS >OB10G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2387333:2389749:1 gene:OB10G12710 transcript:OB10G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLCPYTVLAGVAAAPYTSASKSTSVIKEAYTSRVTVHQNRKTFPYIWKWIVRQDLFAAGSDTSSSTVEWAMSELLQSPLSMAKVCDELQRVLGSRRRIEESEISQLPYLQAVIKETFRLHPPVPFLLPRQATKTIQILGYIIPKGARVLINVWAMGRDEDIWPEAMKFMPERFLHKTIEFKGGDLELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWKLPAEVEGNKIDMTEKFGVTLAKAIHLCAMATPT >OB10G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2395394:2399064:1 gene:OB10G12720 transcript:OB10G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLWLSWLLLSLLPVYLLDLLAHSRRRLPPGPRPLPLIGSLHLLGDQPHRALAGLAKTYGPLMSLRLGAVTTVVVSSPEAAREFMQRHDAVFATRSVPDATGDHARNSVPWLAPGRRWRELRKIMATELFATHRLDALRRLRQEKVAELIDHVSRLAHEGTPVDIGRVAFTTILNLLSRTIFSRDLTSLDDHGASKEFQQVITDMMQAAGSPNLSDFFPALAPVDLQGWRRRLAGLFVRLHRLFDAEIDHRGVRGGGAARHGQKEEEDFLEVLLRLAARDDDTAGLDGDTLRSLFTDLFAAGSDTSSSTVEWAMAELLQNPLSMAKACDEIGRVLGSRRKIEESDVLQLTYLQAVIKETLRLHPPAPFLLPRQATKTIQILGYTIPKDTKVLVNVWAMGRDRDVWRKPLTFMPERFIERSVDFKGGDFELIPFGAGRRICPGLPLAVRMVHVVLASLLLHFNWRLPDETQRNGIDTTEKFGVTLAKATPLCAIATPN >OB10G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2403217:2408141:-1 gene:OB10G12730 transcript:OB10G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSVIAPALIGKDPTAQAEIDNYMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTGEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >OB10G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2422331:2429726:1 gene:OB10G12740 transcript:OB10G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEISPPSPASDGQTTTDTAEAGVTAGEEMEMEDREEVASEEDPEEVPEAGPGDGAVAAAESGVVTKEAGGDGETAVEVSIRGVEPVGEPKDVMEADEEPIEPEDEDPEEPEEAEDGDEPEEAEEEDPEELAGEEPAELELEEEEPDHADAEEAAEEDDEGGGTFDDMNEIVDKDSEEAQDNDKHGDNDKDQAADRLSNDEDAGARENDDPPNDELDKSLSVLDSVSDGNDEIIELFVGGLPKDCVQEDIRVVFSQCGEIESITAKKKKGIAFVRYADTNAAKKALSEFKDGIEVKGKNVRVSIAEPHRKSSEKALVKVKTVYLEHFPSSWDEKNIEEFCKAYGSIQKVNILRSKKKVFSFVEFSSRKSALACVEGINNADICDEEVKLAASLARPQSKVRLANESTKHGLKVQTDATSKSPDKSKMIKDQRDEVLLKKSQRKLLKGNESKLPFQDDVEVPEISTRSKGKAKVGKRQNTSVVERPSKKARKSGDESKLPSQDEGKVGKSKNTSSSERPLKKASKYRDDGKLASQGGLEEAKPQTSNRSKHKRKVRMNKNTTVNERPAEKAWKNRNMKRPAGSRYANNNQAYPSAGATSRSKLHAHDLEPHAGFIAPSNRVQRTTHDHRRTAPYIIHQNSNFPYARERAAPQPAYSVHTSNAAGYEAGYAYTYLPPPPPPPSLSYHPPPPPSVSYHPGSGTYITRRYY >OB10G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2431137:2434593:-1 gene:OB10G12750 transcript:OB10G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase 1 [Source:Projected from Arabidopsis thaliana (AT1G12370) TAIR;Acc:AT1G12370] MTCGATRLARCDADGSCSSYRSLTGGPAEIPALVRRLGASTLVTDFSPLRPVREALDAVVGDLRQDAPGVAVHQVDAHNVVPVWAASGKLEYSAKTFRSKVSKVMEEYMVEFPELPAVAPWDREQPEAVDWDALIERVCSEAENVPEIDWCEPGEEAAMEALLGSKDGFLTMRIKSYETDRNDPTKPRALSDLSPYLHFGHISVQRCALEAKKRRHLSPKSVDAFLEELVVRRELADNFCYYQPQYDSLSGAWDWARKTLMDHAADKREHSYTREQLENAKTHDPLWNASQLEMVYHGKMHGFMRMYWAKKILEWTSGPEEALSIAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLSAQSKKRNAEASLNCVVKHSKSHN >OB10G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2444756:2447164:-1 gene:OB10G12760 transcript:OB10G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGMKNKSCCKVLLAAYSVASKCGQSIKSLFFITKHASRGTGNDQALEKARDLVMLLATLVASITYQAGLDPPGGLWQDDGKNGHKAGDSVLLATQPTRYKVFFYSNSAAFVVSLVVIIMVESRFLLQRHTLEAAMLLDLFGLICAYAAGSCRDVSTSIYVVSLAGVVLVYVVIHIVFFTLDHEESPGDIKDMDHKREVLLLLAILTATLTYQAGLTPPGGFWSADDGSGHYAGFPVLLDNYPLRYKAFFYCNAASFMASVVLILLLVNPNLYRPGIRCYALYVCSVVGMFSLVGAYVAGSSRHLRTSIYVLVLAIAVFAFVTIQVFIFLCKRSPKQQQSFQRNGTMDVKIPDKRSHGCAQKGVDIHDSGNKFTAQGGATNDVLSTPGVSETGGNSSGQGDIDKSTGSIGSSRNKELRLYLMLLGILAASVTYQAGLKPPGGLWPDERNGHDTGSSILHESNKHRYLAFFYSNSTSFMASIVVIVLLLPWTLHRHWLPLWPMHTAILLDMLGLLAAYAAGSNRDMGTTIKVLALIFPVLAYIAAYPVMSFFLNRRRQPSEDGAQCDNNNCKNYQTS >OB10G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2462833:2465023:-1 gene:OB10G12770 transcript:OB10G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGVLAAPEVLHAGEVHVGDLEGLGHGAAAAGDAVHLRLPLQLAQAPVVLHHAAAGLLDAAPQAVAEVGRLRPAVLHRLRAAAQGPSTGDQWPPPWYLSDTAADLKPQNEMTTKVNLGHQQ >OB10G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2481423:2486913:1 gene:OB10G12780 transcript:OB10G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12230) TAIR;Acc:AT4G12230] MKGGGAAGAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKAVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVISIDVPQVWNHLEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLSGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKSGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPELVQGFTHNGSAGSSKDKKDGGNNFDGHPGDNGDPRSGGHDHETQNSGSESHDSDESIPTSTMLSNTILGTVRSTMQASLCALLIHHYYAAALYISSRQVLDV >OB10G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2494882:2495202:1 gene:OB10G12790 transcript:OB10G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYQFGVAAKAVAMVMLLLPLIGHAGRLPVGIDRKGGNPAPFPPVPTEPFPPPPAEPSSLAPPCRRLPLPTEPSPLPPCQHKPPTAPPPEPNKNTSPSSATIVV >OB10G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2497885:2498964:-1 gene:OB10G12800 transcript:OB10G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPEPLAVTRVAGDGSSWSSSSTIVGMVTTRTFHVRVDNYSKTREMRNGAHMLSSSFVVGDQPWKIKYYPNGSNESATGQISIFLVRVGGVDLGLLADVQFDLIAQPAGTAAYALRFKHAFRPDTSYGYASFVSPEKLEELGCLKDDSFTILLDVELEGPPFVVEVPPSSLGWHLGDLLGETEGADVAFVVGGERFPAHRLVLAARSLVFKAQLLGPLKVEKGATISIDDMHADVFRAFLHFIYTDELPDDDDDDNDDSAAAIMAQHLLVAADKYDMPRLRLMCESRMSKRVCVSTAATTLALAEQHGCHGLKEVVLRFLKLPSHMKAVRCTEDYKHLLKSCPSIQQELTSSGLLP >OB10G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2506201:2506398:-1 gene:OB10G12810 transcript:OB10G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRCIFLPEFRYLYRPASNITAQHVYMTRTLADFFSELRQGYMKQHVHAEIHGATSTKQRTKYWTK >OB10G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2511085:2511678:1 gene:OB10G12820 transcript:OB10G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVPSNLRKQLETTEKCFSDGNIKGGKMHADMAAFLFASAPEAQCVQAAFKVHTAAASKDKLGNTNHYAVVGFKLDAAGKPEATTTGAVRNQHKALCAKLAHSKDTSAAVAVAYKLVDEALSALTDIKKTGVMAPPPASVSYRQHVARRKAAQKQQDEEFRARAARYQEEEEDVYYGARDREKAGRGGRHRRR >OB10G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2516483:2519668:-1 gene:OB10G12830 transcript:OB10G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENARERSKERRINERIEGQSKKSILTEYEILRNGLIQENNKKLEALNLPPMRSRRKYLLYGDWRDVNIKEKLQVWNDIQTHYDIDEAGMHYVLEKAHMIWKDYKADLKKKYFDATLTDEELMDRRDSRVNEIQWEWLIDHWKSPEAMGLKLGHPARSDEVWVETHKRKNGEVIPEAVETFELLKDIAETYPELKEKTIQEGDLYARVCGMKEPRGRVRVLGKGPTPQDLGTPGPCTRIPTRLQLEMEAHRQTKQELVRLNQRMDDMQQRFNAMEQMVMSQGVQNIETSFQHALNSRLAEVGRDVILYAALRTEIPVAKATIVSIDPNHLVGGQPLGVQFYEVVVNVVLKRDALLPRPYDSMEIMADAQYTSIAWPSNRVINWNR >OB10G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2526710:2527279:1 gene:OB10G12840 transcript:OB10G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNLRKQLETAEKCFADGNIKGGKMHADMAAFLFASAPEAQCVQAALMVHTAAASKDKLGNTDHFAVLGFTGKPEATTTDAVRNQHKELCAKLAHSKDTSAVVAAAYKLVDEALSALTDIKKTGVMAPPPASVSYQQHVARRKAAQKQQDEEFRARAARYQEEEDDVYYGNGDREKAGRGGRHRRR >OB10G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2535538:2536731:1 gene:OB10G12850 transcript:OB10G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVEQQSKNTPAKGGNGISLEKRQKKRRGKTIGRNYGFLATHAVSSRLYMIPYQILFDVITAFYYLM >OB10G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2552224:2554074:-1 gene:OB10G12860 transcript:OB10G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVGDGGRSWLPLGKMPAAASFVKQVAAGRWFMMFACMVIMSASGGTNIFSVYSGALKLSLGYDQRTLNTLSFFKELGANAGIISGLVSEVAPPSGVLATGACMSLAGYLVVYLAVVGRVGRPPLWFMCACIFAGADSQAFANTAALVTCVKSFPESRGVVVGLLKGLAGLSGAILPQLYLAIYGGGHSAKSLVLLIAWLPAAISLVFLRTVRVMPHRPNPTGRGTSAVTSNAPVFSFLYISIAVALYLLVMIILQKTINFSHAAYAATATVLFLLLLLLPLAVVIKQEYRIRKEHNVQGQETLRERPPPAVVETPAPATSTGAPQDVPTVPQRPSAKSSCGVGLCLKRMFNPPPYGEDYTILQAAASVDMLVLFVCVICGAGGSLTAIDNMGQISQSLGYSTRSVTTFASLINIWMFAGRAGVGSLSELVLARYNFPRPLMLTLVLVVSCVGYLLIALAVPHGLYAASAIVGFSFGGLYTLLFSIVSEVFGLKYYATLYNLGMVASPVGSYLFNVRVAGSLYDAEAARQRGGAGGDQACAGARCFRASFLTVTAATFFAALVSLVLVWRTKRFYKGDIYTRFKAAPVAEGRLGEVTAEEAPATINGTELHASA >OB10G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2565623:2570255:1 gene:OB10G12870 transcript:OB10G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYPFVDIAADGAPRLNAAAGEELVRVERATAVALGPRTPEPAGTLFITTRRVIWLSEVEKGKGYAVDFLAVSLHAVSRDPEAYPSPCIYTQIETEDGSDEESDESDSEANREIDLAKVTEMRIIPSNPCQLDGLFEAFSHCAELNPDPNAESDEENGWAHGNEGDEDMTDGSDAECEFSDVNPIGQTDAHDITHAVVELQINDQRFEDAEEAEHDTRGNGH >OB10G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2569852:2570292:1 gene:OB10G12880 transcript:OB10G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCARAKGLAIGQENWNPCYVGATVHWKICSTNTLNHEVNYYGKYYVTLKDGFADRGLW >OB10G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2573152:2575919:1 gene:OB10G12890 transcript:OB10G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARDLQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >OB10G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2593397:2593555:-1 gene:OB10G12900 transcript:OB10G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASMYRRRNRWPVNELLKNFVELFTPYCFFLDSSLIVDILIYLYRVRRA >OB10G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2604756:2606474:1 gene:OB10G12910 transcript:OB10G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAYSAALSTPASWQLKRNMSYLCPAATANGGAQPCSWPLHKRRETAPSLPVLIYDGLDRVIDRFLDPPELRPSVDPSRVLSGNFAPVPELPPTRCPVVRGSIPPCLAGGAYIRNGPNPQHRHLERTYHLFDGDGMLHSLLLPSPSSTLLPEPVLCSRYVRTNKYLLEREAGAALFPNYFAGLQGVAGLARAVVMTARSLTGQIDMSRGFGLANTSITFFTKRLYALWESDLPYAMGINPATGEVTTLGRSDFDNQLIIGMTAHPKKDPVTGELFAFRYSMLQPFITYFWFDMAGNKSSDVPIFSSQQPSVMHDFAITERYAIFPETQLVMKPMNMVLGGGSFVGLDHSKAPRIGVLPRYAKDESEMRWFDVPGFNLMHTTNAWDEADGEEIVLVAPNNLSIDHMLGNMELLHARVDMLRINLNTGDVSCTALSPESLEFGVVHQGYVGRQNRYGYFGVSGPMPRISGIRKLDFDCVGTGDCTVARRDFGPWCFAGEPFFVPDNINGDGNEDSGYVLCYTHDEVTAESRFVVMDARSPELDIVAEVQLPSRVPYGFHGLFVTQAELLALHQ >OB10G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2611159:2614608:-1 gene:OB10G12920 transcript:OB10G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLGGSSVPTTVEWAMAELLQSPQTMKKAQEELRTVLHNKPHMEESDIAQLPYLQAVVNETLRLHPPVPFASGLAEETLELHGYNVPKGASAFVNIWAICRNAEVWDEPDKFMPERFLQNEIDFSGTDFEFIPFSTGRRICPGLNLSSKLVPLMLASLLHEFDWTLPEDAGRNGIDMSEKFGLVLSMAAPLRAAPKKAL >OB10G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2615081:2615386:-1 gene:OB10G12930 transcript:OB10G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding DARRRLPPGPRPLPVVGNLLDVGDSPHRAFARLADSYGPLMSVRLGAVYAVVASSPETAREILQKRNGEMASRRSLDAWRVLDHPANSMIALPARGKWRALR >OB10G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2650611:2658673:-1 gene:OB10G12940 transcript:OB10G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGHKLDLLLCKMDEYKHKRPDMEERTRADFKELKSAIELRIPQVEKKVDELGVVVEELATKMDMMESNWDQFQASSIRHHTTQPNSKSQLRLCSVLYRRSVWKEEMEDGGSRSRKTGVEDDGVGTDAVDDHKPVLVYRRRMELRQKAAVGFNESPPAYLSLAANSNALVSAAVSGGINYASGAAGILDSTSAGRTIPLRKQVQYLESTKVQIETKLGSRATANLISTSFYLLEIGQNDLSVFSQSQSRDGDDVAAFYATVISNYSAVITDLYKMGARKFAVINVSPIGCLPVARLLNNTGGCIGSLNKLSLGLDHSIKTMLENLSCKLRSLAYSLGDIYSHTLATLQDPKAFGYVDIGSACCGNGRLNAESVCLPNSTLCTNRDGFFYWDRVHPSERAARLATAAFYDGPSRFTTPINFKQLAAAKKKEISARRFIDAGTDRVIYDGKRLHQPGITPVVTSFTTYEVQPKIGDPTSTALSDICKMTQGSVFNFFSPSAICGYINYHIYNDHDYYMIGYLDIHYNKSSLTTPVKNIRVIAVVHDTPAMTAGGNRGERQGTTQKEMWSPRWSTKMTRKEMWSPK >OB10G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2667671:2667901:1 gene:OB10G12950 transcript:OB10G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVKAATTACMPRLIRGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSKASVKRTDGDXXXXXXXXXXXXX >OB10G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2703152:2703568:1 gene:OB10G12960 transcript:OB10G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLDTAQACGWRKMTGLTCGPHLSVARRRRERRSRLGASEVASGPWPSASPRVGREGRKEGMGQRGSGPTTCLAERRGKRSGPKETRETSSAGWVTDREVANRTGLHLTELTRARIRSEIRNRELLAEQRQKLATR >OB10G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2704137:2704863:1 gene:OB10G12970 transcript:OB10G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVGLCGTAGRGGGDVRPTGGRERSHRGGGHDSIWAVRPFRQLRSDRDLMPRESIADDGSGVNLEIR >OB10G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2725127:2726558:-1 gene:OB10G12980 transcript:OB10G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLDARVNIVNTEVPKVAESAARKAITEWGRPATDITHLIFSTYSGCRAPSANLQLASLLGLHPCVSRTILSLYGCSGGGRALQLAKEIAENNHGARILVALSEMTLICFSPPDESKVVGHGLFGDGAGAIIVGTGTDHVLGMQAKSNGVDFHCSIQVPKLIKDNIHQSLLNIFQSVGHNDPNWNDFFWVVHPSGRAILDNIEGELQLQPWKLDASRHVLSEYGNMSGATIAFVLDELRHRWEKEEDEHQQLEWGVMLAFGPGITIETMVMRKPLSSDVKEN >OB10G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2730709:2733127:1 gene:OB10G12990 transcript:OB10G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAAVADSSRCTLRAEGPAAILAIGTANPAKVVPQDEFADYYFGLTKSDHLTELKDKMKRICQKSGIDKRYIHLDGDLIHANPEIIDKYQPSLEARVNIVAIEVPKLAELATRKAITEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVAMSELTLICFSTPDESKLVGHGIFGDGAGALIVGAGPLIDERPLVEMVFATQTTIPGTDHVLGMQAKSSGVDFHLSIQVPKLIKENIHQSLLNVFQSVGNKDPNWNDFFWAVHPGGRAILDNIEGELQLQPWKLAASRHVLSEYGNMSGATVAFVLDELRRRWEKEEEKQQLEWGVMLAFGPGITIETMVLRNPLFHGTKEN >OB10G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2740881:2746160:-1 gene:OB10G13000 transcript:OB10G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDQQRQVVGKKKERRNGEVVVDGSEIRELVENEEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADNRAXLRLRARGSSAQADHIYSEVLNEFTQGKKDSVTKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEMTAIFSQVESGNSTLRQCLLAALRQLTVDHGMPPASDSWVMENVIEPALQELHADNLEQPVSQEAFFQEFKKFLAVIMQQLQGHPVIVAHTGNTFDGSGIKKLLSNKFELDKLLDCVWRGVPKEKDRTTKQYIRVAFDRMADSINLPPYGAVEQVDAVVDEAFKMAKADNGKAVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVVHEPMSTPSTLLSPSPPSPMVSSPSE >OB10G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2751272:2753102:-1 gene:OB10G13010 transcript:OB10G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAAVVDSRRCTQRAEGPAAVLAIGTANPAKVVLQDEFADYYFGLTKSDHLTELKDKMKRICQKSGIEKRYIHLDGELICANPEIIDKYQPSLEARINIVATEVPKLAESAARKAITEWGRPTTDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGALIVGACPLANERPLVEMVFAAQTTIPGTDHVLGMQAKSNGVDFHLSIQVPKLIKDNIHQNLLNIFQSVGNKDPNWNNFFWAVHPGGRAILDNIEGELHLQPWKLAASRQVLSEYGNMSGATIAFVLDELRHRWEKEEEQQQLEWGVMLAFGPGITIETMVLRNPLFHGRNEN >OB10G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2754445:2756275:1 gene:OB10G13020 transcript:OB10G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRAWGLSPKAKTGAKTCPAPDLDQGLAIPYLILERGDSDEVSNMIPLRIKSDTVAVTGDTSMKHQFCRLDEKVVVVTGAASGIGKATAAEFVKSDTKVIITNVEDPWRMPSAMPSHRRSEASYTHCDVMDEAQVTAVDLAVARHSHHDIIINKAGVVGSLAQRPLSSLDLANFDAVMAINA >OB10G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2760622:2762839:-1 gene:OB10G13030 transcript:OB10G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAAAVDSRRGARHRHGPRCSPSARQTRQKWWVLQDEFTDSYYFGLTKSDHLTELKDKMKRIWRNILWKRLHLYDYPDILHSLTAFETKVNMIPQNTFLRKLYPHVLWCVRHCLHGCSGGGRALQLAKEIAENNRDARVLIALSELTLICFSSLDESKIVGHGLFGDGAGAIIVGAGPIDNGEHPLVEMVSASQTTIPGSDHILGMQAIKPRALV >OB10G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2766368:2770886:-1 gene:OB10G13040 transcript:OB10G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3N1A6] MMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFTISWLPLYSEAKLMFFIYLWCPRTKGTTYVYETFFKPYISQYENDIDCSILDFRARASDMLVVYWQKVAIVGQTTFFNILKYASAQSPAHSSRPRPSQPQSYPQKQQQSQPQEQHKQSLPQQQQQQMPHKQPTTLRRAASAAARTAVTLQQSEETKIAPSNPKARRLLPTKSAPTASTRSIVAAKKPVDDLKNSGTELAAEQAPSPASKADMPSPEPSAPPLPKAEDDDMSIDEVDIPIEDMEDPVATPEETPMEEAIRVTRGRLRQRVAAVSTADGRAAS >OB10G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2779138:2788293:-1 gene:OB10G13050 transcript:OB10G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASIIKDTIEYIQRLQAEEHQMVREVAALESAATATANAPAANPLGIGGGGAEEYEYGGYDALEQRNKKVQRALSVSSINDAVLTAAADASPSPPPPVEIQELRVSKVRDKVLVTSVMDSGR >OB10G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2792093:2792866:1 gene:OB10G13060 transcript:OB10G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPVDSSASIASGGLAAPPATNPPPPPAVPVSGAAQMVHSGPENLRPSPPPPAPEAPVAPPRRPKRKWYEVDEETILEILRDDFRRHDDLPRGVDLLMSVFGRLRKTDYSLGDVEELVADLRRRFQETDALLCSGSGGPAPGHELRLYTSLEVWGAARKPAPGPAKNAPGPKNRPRQMAAPLPAKKMRYEVMRVKYPRLAAKVDKMTRKALEGLSDMTAWSLELKLKNQKLAGVSSAARTKDRAKELTGFISTLI >OB10G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2801094:2801495:1 gene:OB10G13070 transcript:OB10G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSAAFLALVITMSFLSLEVVHGCGDTSCSNPSPPPPAVPSPSGGTCPINALDLAVCADVLQYVLRITTNAPSSQCCPLLARLVDLDAAVCLCTAIKANVLGVVAVDVPLDITLVLNYCNKTCPPGFTCPL >OB10G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2810007:2810965:-1 gene:OB10G13080 transcript:OB10G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALTWAKKAGQSSQSADGGAAGDCRRQGCRGPTQTGKILGEGTRRRGRSAIYGGQEVEAPNLGCKVSYKVREATESNQGRG >OB10G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2823786:2824448:1 gene:OB10G13090 transcript:OB10G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKNAPRTRFRADPMLFLPSRFEEHSNGGGGGGHLHGYEWKLPATLSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLAPPGELRSLAGDPSCDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLVVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVSTALAVYVGTVFYTFTH >OB10G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2831799:2837093:1 gene:OB10G13100 transcript:OB10G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEELHFLLVPLAAQGHIIPMVEVGGGRVTVVTTPVNAARNRAAVEGARREGVEIELTEVAFPGREFGLPDGVENMDQLVDLAMYQAFFKAFWSMEAALEEYVTILSHPAAGGFVTHCGWNATLEAISHGVPTLTWPIFSDQFSSERLLVDVLGVGVRSGVKVPTLFLPAEAEGVHVASADVVKVVTELMDGGAEGAARRARAKEFAAKATAAVEEGGSSHADLTDMIGYISELSTKKQLGEKSTNNTEADAALSVVPS >OB10G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2842176:2845264:-1 gene:OB10G13110 transcript:OB10G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAPALALALALLLAFSDDAAQVGAAEAIGRIQEDDPTGRLKVYVYDLPPKYNKNILAKDSRCLSHMFATEIFIHRFLLSSAVRTRDPEEADWFYAPVYTTCDLTPWGHPLTTRSPRIMRSAIRFVARSMPYWNRTEGADHFFVVPHDFAACFYFQEAKAIERGILPVLRRATLVQTFGQKNHACLKDGSITIPPYTPAHKIRARLLPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPFFDISTDHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIAVFVAEDDVPRLDTILTSIPLEAILRKQALLDSPAMKKTMLFPLHAEAGDAFHQILNALARKLPHGRGVFLEPGQKVLNWTEGTPEDLKPW >OB10G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2856414:2857115:-1 gene:OB10G13120 transcript:OB10G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTEMATTHTEEAATTIARTTPTETVLSQTRAEGHSHGKEMWDALEAKFGISDDGSELYVMEQFYN >OB10G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2874469:2888117:1 gene:OB10G13130 transcript:OB10G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFSNKPHIFNGSDFDYWKKKMESYITSQGYDIWLKVNQPYEIPERIDTPALKLEFENNCKARNIILNGISRSDFDRVSHLATANKIWKALNDFHTGTSNIKELRKDVFKKEYIKFEMKLGESLDDYLARFNKILSDLRSVDASYDVNYSQSEIARHFMNGLDMKVWDVKVTSIQKSIDMNVLTLDILYTKLKTYEMNILSKNTDLKSTVLISSSGSSSESISLAAFAAFTALSDDQLEEISEEDLVLAVNRISRDVSSIRIRRRGGPIRCFGCGQPNHIRSQCPKLGRVLVEFEDDGEEDKSMNIAGVCLMAKSFEDSDDDDETFLARKENVWIVDSGCSRHMTGDKSWFSSLVRASRKDSIIFGDASTSTITATGSVKFSKDVMFWHRRLGHIGFDHLTRISGSDLIRGLPKLKKDVDLVCSPCRHAKMVVCSHTPTFSVMTDAPGQLLHMDTVGPARVQSVGGKWYVLVIVDDFSRYSWVFFMVTKDEAFEHFKSLYLRLAIQLPGSLRTIRSDNGGEFKNASFERFCNEKGLEHQFSSPRVPQQNGVVERKNRSLVEMARTMLDEYSTPRKFWAEAVNTACYISNRVFLRSKLRKTSYELRFGHTPKISHLRVFGCKCFVLKSGFLDKFESRSRDGLMLGYAAHSRGYRVLVLETNKIVETCEVTFDEASPGLSEVKRSVAQSRILSNTPELTPSNTSLPSAATLANCPKRCGDVSFDYPFGIGDGCFRHPDFSLGCDSSTQPPKLFLQADDSVEISDNIDVSGQDVGDFLHFNSFLATFTHVIPVKTGIDVYNFSWKSPGVSFTIVETMVIGVASCDLDVFLIGQDGAAKLLCTVACPNKEIAEMVYMQDCAGPGCYFLSSETPVQTVQLQVIRHKTNNTLRYSNLSMLWDRINISIGGPVVWSIVDQTRCSRNMEDNSKGDYACVSNHSGCRTSVFRDTGYACQCNSGYIGNPYILDGCKHDSGYNPRPEKRNCSRHCGTVEVPFPFGLEEGCSARNLFRLTCSDETNSVVKFNDFFQVMYINVSEGLLGIKYNSTFQEQQFNMMVKMIISSDEPDLFVDPLESASVQWAVANLTCQEAQQNTSGYACVSTSSTCLNVLSSMEGFIGYRCTCSPGYHGNPYIQDGCEDINECQETPGICKGVCHNTVGNYSCTKCPDHTEYDMLRMQCTPKRKQSFYSGIVIGLSSGFGMLLLGLSGMVLIRRWQRHAQKRLQRKYFQKNQGLLFEQLISADENASEKTKIFSLEELKKATNNFDTTRILGCGGHGTVYKGILSNQHVVAIKKAKVIRECEINDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGLLHPDSSSTIYLSWSDRLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPIDQSHVITNVQGTFGYLDPEYYQTRQLNEKSDVYSFGVVLLELLLRKQPIFTTDSGMKQNLCSYFLSEIKTRPITDMVDAQVLEQASKEHIKEVASLAEMCLKLKGEERPRMKQVELTLQLLRTERMNSSQVDPAIDQEILPVLTEGAIDPEKQALATNLDVHRANVASQCLQISCHSLEREFLSSASLPR >OB10G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2887776:2888603:1 gene:OB10G13140 transcript:OB10G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKSITSGGAQFKIEVWHGLHACDFGALLPAPPLRWPSVPARLHCLLATVRPHGPRPNPLLLAPWPTVTPATCASGDRAPTAATTRRAPAPTASVPSRMRASGCTPIVKWNDGVLAPKRQPVGVGRVIAGSGGSGLRWGAGGGRLPVGVWG >OB10G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2892695:2900996:-1 gene:OB10G13150 transcript:OB10G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34830) TAIR;Acc:AT4G34830] MDAAAAASPSSSSASPAHALTLLTSSPRFLLHLPTCSSPARRRHAAAGGAALLLRPPRRRRGAEQWGHRARRAPSCLLTGGGGGSVEAEGALVGSVVAAAGDPPEVLQEHDKSIVNKVLGTPTDLVDDSNYSARRTHENVFSEPSVSGRMTVDRMGCQAGVFSGVDTVNNTTQMGSVISTYKSLDTFEGVYGPANTSSIEMVQHIPPRSCSVSEGQHQRRHSSNRRAQPGGLPYQFLSLSKQEEPQNGQGPDNKTDSKDANCHQSDQEEYVDFTSLSSFKRIKEHPLNFVPEAHATNLMEPRKASEFPGGSYLTAGRLVPVACLKDGPVSKQKKAVNGHDDAKLIGWSISNLLNKENPENSTSANRVGLSGTKDVSDYLRRYNSLLIDGRLKDAVDLLESVEQKGLLDMNKIHHASFLNACKKQRAVMEAVHFCRLINNPKMSTFNMLLSVCANSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIEVQPDEMFLSALVDVAGHAMRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKLIKLMPTVSMMNALITSLCDGDQVPKSVEFSHRNAEAQLGLDLFEQLKMDGIDLNTTIVGCLTGLCLQMYDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYRDAISTGQLPSSDVLSQVLGCLRFPHDSSLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDARILIDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVDLDEREKTLKLAGRVGQAVGALLRRLGIKYHGEESHGKMRINGLTLKRWFNPKFTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >OB10G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2904200:2907509:1 gene:OB10G13160 transcript:OB10G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASREVIIRTAEKSNIPWREMTKQVLESDVYELFDRIRDLNLVYPDYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAVEEHHLKYSGNCQINDILDVGCSVGVSTRYLAEKFPSAKAVGLDLSPYFLAVAAHKEEKLSRKNPIRWVHANGESTGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFIDEYYMLDLEETMKQAGFVNVHSILTDPRHRTVTGTVPF >OB10G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2906019:2920659:-1 gene:OB10G13170 transcript:OB10G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGTTGGGGGGSGRTLDCRSFWKAGAFEAASAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFVKVDKSVNLKDNSPMLFFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNITLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFEDIVGHGTKVAIYNLWMNDDGLLELDFEDDDEDILLRDQDKASGGVSKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPIEQIRIADELKFKKVVTYKPQVAHDSQVVAVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIIIDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQRASTNRTGGHTSNLLPETYDDIADVGLTANGAGSGVQSLRQAQGKSMEPAGLDEDSVDIGSDGTLDPNFMGKLSEENIALFTRREELRQRDTQLRQTISQLEQQLEDTKRKCTQLADDLKVRKSQQQQQPPYI >OB10G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2941043:2950248:1 gene:OB10G13180 transcript:OB10G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKSGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKTADAVKESGGTVLSGLDAFVLWDTYGYPVDLTEVMAVDYGLTVDREGFDIAMEEARQKARNARFKAGGNSIVMDANATSQLRNQGVASTDDSPKYDNKNHDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGSIKGSFGSFTVTNVQVFAGYVLHMGSFTEGSKALSVGDKVTCEVDYVRRTRIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKMEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAKAFALLSEEGIAKGVRRITAVTAEIASDAIQLASCIDSDINEASKLEGALLEKNIASIKSKLDTAPIPAARKADLKARVLKLEDELRKAKKKMGEENMQKAIKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKVKDQKDLPIMLFSTDEATNKAVIYAGVPPSATNSLKVLDWLTPSIVPLKGKGGGGKNGVAQGQGSDASQIKEAMELATQIASLKLV >OB10G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2952703:2953494:-1 gene:OB10G13190 transcript:OB10G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVEISEQWKELVRSLGTEQLVNAIYVAMDDFQARDGDTIPPKLWSQIGERCAAYKNPFVRDGSGSGSSAGEVVERIKSKLEVREEGGGRPGSDSSEDAVVELLRELQSVPMTFQTLEATNIGKTISGLRKHSSEQVRDLATALYKNWKALVNEHLSSSKPPVPNKTASAPAADHVNVNAAASTKPAREAVTKTPRNKRKEAPPEMEEAKLEAARKKPKGRYRDAKKGDKIQMVNAPGKAKQRPGVAVRQSRAPVRSSLRA >OB10G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2953789:2954583:1 gene:OB10G13200 transcript:OB10G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAEAMVREMVRSMGAEQLDEAIRFATFELAGRDISLEETFRLCDEQDLRRAKKPATMDETERIRSELASNSSEEAVVELLRALQDVPMTFQTLEATNIGKTISGLRKHSSEQVRDLAAALYKNWKALVNNHLSSSSSSKQPVPIKANVAASTPAADHVKANAANSAKPPAPNTKANTAAPDKPKPAAAPKSKIMQAFVAEARLAMSKRKIQEGYKDASSARKNIQVIDAPSKVNRRPVAVVKRRRITSASAMEHPLRTDM >OB10G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2958408:2959625:1 gene:OB10G13210 transcript:OB10G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSTPFLSYSHKQEPNAQHRKLAEIPEQEGDRRRSWPARCSPSATCCTCTATPAPPTTASSAWASTPGRRATRWRCWCGWTSATSRPSSTCRLVAAEANSVLDCLRWPEPVVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYSCLPVAVPEDCRSMFITFSRGAPIDREEIFEYFRQKWGDCVVRVLMEKTSGGSQAMYGRIIFRSEAFVQLVLNGERLVKITIRHRQIWLRKYVPRPAATHNQN >OB10G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2960822:2961543:-1 gene:OB10G13220 transcript:OB10G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWALFLPQFNFFCSTGWSGLLDCVAANNLVPDCQLEGQAAGKEEDKPFHFSYSRDICISHCYIFTHTS >OB10G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2961769:2961957:-1 gene:OB10G13230 transcript:OB10G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHLKTKTVQSFRGKPHTQPSITISTSSMQSQDVEVELRQNWCPDLKGRTSSLVPGAHDPG >OB10G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2962190:2964880:-1 gene:OB10G13240 transcript:OB10G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVVSSVLQRLGDFVIQEAAFLSDVPRQVSTMKAELSQMQCFLNVVDAKCLEGNNMMRNLASDIRDVAYRVEEVIDNARFICRRKSSLSKYTHIIGDSIDLREVGKKIEVIRKDINEIFERYQRYNTVNSNSLMEAQPIFREDDDFYAQRLVSPVVDQGMDIVGFDHEIEQIKNYLLDQNSMNLTVISIVGQAGAGKSTLAKLAYRSVIAEGYFHNYGWISISPKYSVVELLRELVRQIRGIGNESEKKTLFLNIYSETELLVVLFEILNEERYLVVLDDIWTTDTWDKIKSVFPNNVNGSRIILTTRDMEVGKHPKSNLRIHTPDLLDEDKSWELFQKKAFTVDTQVNSITELEVVGKKLAKKCNGLPLALVVLGCFLSRNHNIHTWEQMAASVDWEIMKKEGDVGRILALSYHNMPNNLKVCFLYTASFPEDYPITVHALKKMWIAEGFVPNIRGYTQEEVAYRYVEELARRCMIQIEGRSKNIGWIQTVKVHDVLREWGIGQARKEGFLKVCTCDTDVETSYADEQRCYRVAFHGYFADELGNSLCNLRSLLAFNPDGKGLFSFKGLHLLRVLHFCSSLQECTLPEDINKLVHLRYLGLEGTTVFMFPSYMKGLRNLQILEASKATVKSLPSSLWSIPVLKHVHVYRVLHWKAMEIRTKLSLQTLYVFSVMQCDTPTWKRTIRSLQKMSQQVSWCLGIASTKHVKGKETQENKYTWDIRVDALESEVVGLELCGCFKRLHVLNDVLPHQNLFPDFLLQLKISCPNVLNDDPMPILERLPRLEVLEIVNSSYTGKSITCSSEGFLALRSLILEDLDLEEWNLQQGSMALLAILTLNKCTKLRSISNVLDRLDDLVELRLICMPQLSADDHEASRERRCRVVISVDEEQTSSN >OB10G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2977305:2978713:-1 gene:OB10G13250 transcript:OB10G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSPCARIAKMPAAFPSACTLAADTSLVRSPSSLALFLLPCGYPLPSIVRIKGTVEVDISLCLSVNGTACVFSSTTGLGFICSSFSQHGIVGGLAIAVCRWPGDEPRGLKSKL >OB10G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2982425:2982774:-1 gene:OB10G13260 transcript:OB10G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEMRLKLMRSVNPVRSAHIAATDRECYNCEKVGHVSYNCPTPRSSGARGSMRGGYGGFDGNCGGFGENHGGRGGDRGGRRGRGRRRGAPQANVGTEDGRAITLTGEHVTQWE >OB10G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:2993652:2997645:-1 gene:OB10G13270 transcript:OB10G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEYDIAETLRLREKIFPPSFVDVMVYLCVHLPTEARLVGPVQYRNMYPVERKHLSILSMDKRLRKREVKRIHHETFHAWLLEHVNQLIIDGNDVSEELRILANRPYRMVKKYNSYALNGYKFQTKSYSEGKSTQNYGLAVIAQTASFSSASDQNPVLGDVTYYGVINDIIELNYSNKGSVLLFKCDWVDTVRGYRIQIDKFGITLVNFNHLLNSGKNISDEPNILARQATQVYYVQDLVDSEWHAVRSLKRRDIFDMQARDDMDYDDEVDIDYFNDTIQPFTNHPLVTDVCDNEICAGTDISGVLIDESLQKSKRIKDGRKGKKRKSAQQSQLNSGDEIEVQDDPDYIGENHEEVDDYDYLDDFVDDNNIVPFETDLVPVARKVRGPTKMTSNRKNHEGVKIFVKINGYGQPRGLRTCNFTNFIAALVKGGDITLKEKDWRLVKNKEMIWTTVNIYFDIDPICEAWVLKSAASPHHRSSRVLDRSEGCRLPSSPAPATGAVLGRPASRAEVYVVTHTKVDGQAVDEKYEEKIGEIRNKLTENPDLALKSIHDGDLYDEIFPSMKKRKQIAGIGLTAGAKTVSVAMATDAVRKMGEENAEVRARMEEISSEYKSYKAYAEERFTRNEQQFSELKEMLLMSQLFVPIIYSYLCQFY >OB10G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3004228:3010307:-1 gene:OB10G13280 transcript:OB10G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRIGWKNKLKAIRVQSIESESDKDWSPIGSKCSSIAHRTSALVAGVAHRKIAVAIGAIYKPKYNATDTLATARAGIYTYLSSSLPLSLRHATAATTAAHSLNPSHRCLLLHRPCGQPFDRCCLLIDNAGAVSVPEHVGCYRHARGTDYVDDTNNLPGHPDWKKIMAGLPVYLPEMDRMFQGVVVDGSTSYVASLTESVNYESSGDDDDFENKDEDLTPRSHSHKPANNTSIAGSSPSKRSKSPTVHSMYNSLDDYLEMARSQFQSLHTILENWVSKCDKHVSCRAEKHVRSNALEEDEDQDLVDDDTEKFMRVSGIRDKDFARCDQHPNYVPNEAGANQRDPEEVPNRYASMDEFHNSIAVAMING >OB10G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3015540:3016874:-1 gene:OB10G13290 transcript:OB10G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRNQPRAAPARLASSCHKGWLSLRRRRCRSTTNRRMKKDRNGAMMMGLDDDVLSSVFTHISEAGEVVRCAATCRQWNRAIADSAVVIGRSLRLPVLPRLALGFFHQEATSKRKRSTEDQLCRFVPTAAGVRLLGPSFRPFEDGELLEMSRPVTSRNGRVVLELQREGHADALKLCVWNPMTGDVAMLPPLGGDDKPGAFACALLTADDLDPLRCPSATFFRVLIVYNQRAFTVLRSYSSDTGCWSTESRRSGPKMSSYTLQQLRQSVVHDGVAYWPMAHTALAVRIDTPEPKEVDMPPAIRNAPRHNHLLGVTADGNLSFIVTSLYHDGSAGVSSSDCLAVGSNGSLTNEPVDECTWRVRSQELRVHHVDSINLRWFCERSGMLFFTVSAKGSSTPGCYVLNIAAKELEKVADDVDCSSWRNFVGYEMDTASYLASLACH >OB10G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3033829:3034514:1 gene:OB10G13300 transcript:OB10G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMARDGGVRRSAVEMRGGGDDQKKEGGGAARWIYGGGRRVREVVLAAGTGAEEAGRRHDGGGGGQPRRDEQLAARDAVRRRAGVGAGWKKGTGLTGGDHVSVSQEERGGGLDLGAPAGCGLG >OB10G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3086240:3086659:-1 gene:OB10G13310 transcript:OB10G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKWKKKKKMKDKRKKRKKEKKNKRTGHVPRDLRVWCAAMAGSRCSSPFANDNNDKIGDTGSYLGKCDLDHQVYVGEPCVSFIVAYVSLSPLEPSWATFVLLLLVIVVGVAMATRASPSQGPGAQATGTCYCNLSIPL >OB10G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3089083:3090428:-1 gene:OB10G13320 transcript:OB10G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFKEPLLDAATTNQQPTNKSKKNCHRGIASRKALATCVSGGERQRAMASAARPCLASGGGASASGASNDGAEGEDVSGAELGER >OB10G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3089700:3090098:1 gene:OB10G13330 transcript:OB10G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLWMNLRTELLERSGKTARGASAWLHQHVVQARNKLPGNVIWSPARNLFYFGSHVHGMSLNCICIIGRFHRGNNFFPTSKAMTIKHLTHLDIAKTMVFHPYMFISCQKKVTRLFLLLPFWLRHTKIIFL >OB10G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3091942:3092286:1 gene:OB10G13340 transcript:OB10G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSIAAPHQRATPTSPSKNTKEQVARCENEAGPTCHLKDFEEEYHLTERIAMVCILLWEIRFSMLCLYTLLVTGDLYLLELDKRKFYFIIWSNLAIYSQFTRDQGTQAQFL >OB10G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3095540:3097374:-1 gene:OB10G13350 transcript:OB10G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDGEDSLSKALRYREEVVKNGSQSGGIHGVSGLHDFGGFSEGFWGHGVSEDGHPGNLHGSPGLHGAGRSNGSPILHGTGAEHHGAVVFHAVADVSPPCRGRGRHGGHGGGPGRGKRPATKPSARGGQGNKAPNMPPRPSSSGIVLGDAEAEAGADNDYTEETMDNVPHGMTHSFRSFSVVTFLPLRTSKAAGLGWDHAKGTVAAPDEYWKKVTKLMVLLLAHLEKMLEGMMELVMYKTLVTHR >OB10G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3098179:3101479:1 gene:OB10G13360 transcript:OB10G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N1D8] MVLELNRRFQGDPLSSRLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKQWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDTEKGEKLSADDVALLSDMVDDLNLETGYENDDDDEMEMDDADMVAESRASFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGIHFS >OB10G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3108800:3109237:-1 gene:OB10G13370 transcript:OB10G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFHRAVNGTIICEMMPNQRAPPSSQQATQRDSGSEKIVLQPRLCTLRSYSAGSGLVARRRLVGEEVIGGGADGAGSSPFFVSLADYIDSTRKSQNFEIISGRLAMVSFASHHRLRRHALNLLETKLLMVHRLSPRSALRMWIN >OB10G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3112611:3113363:-1 gene:OB10G13380 transcript:OB10G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRAGGRAYVFELEEAEVAEVGDSEVRGLRRDDDLHQLHRLRPHQIHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGWGWRGEGDGFRGRGKEVEVGNSFSSPEAICVRGIFRWGAWHLSLTGGPGCSSRETGSSRQ >OB10G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3113222:3121651:1 gene:OB10G13390 transcript:OB10G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:J3N1E1] MDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNSDKSPFQRTYASQIKRCGEMARKLRFFREQMSKAAISTSSTQFSGTLEIDDLEVKLGELEVELTEVNANNEKLQRTYNELMEYNVVLQKAGEFFSSAQRSATEQQREMSADQSGDSSLESPLLQQEMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDETVTDPLSGEKVIKNAFVIFYSGERAKSKIVKICDAFGANRYPFPEDLGKQLQTIQEVSGKISELKATIELGLAHRDSILKSISSEFEHWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQESPPTFFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPAYPFGVDPVWHGSRSELPFLNSLKMKLSILLGVAQMNLGILMSYFNAKFFRNALNVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPLFLKRQHEQRHQGQQYTMLQATDESVTELEENHEDSHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFAFASIIEEED >OB10G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3161271:3164837:1 gene:OB10G13400 transcript:OB10G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLFRALGSFSCVFLFGFLCSSASCRVADAAGTPVLQALNCTSAAGNYTQDSAYAANLGQLLLALPNQTVSKNGGFFNGTAGNGTAGTVYGLAMCAADFSRADCMDCLTAASSSAGGVVKRCPGSTSVIAMFDQCLLRYSDRNFFGTAETDIVYGSKGDVLSTRGTVSSTLKQGLFYLSGQAARSPVRFAASETAEPFAVVQCTWDLPPDACKSCLDALATNASDLFEITTRGERKSFSCRVRYDVNTSFTLVPFNISTAGTPGPGSVISTKNNNGPVMIGSIIAAVVLVVLISVVVWLCVRHKSTKKVALAGPKSYSQEELYAATNGFSNDRKLGQGAFGAVYLGVLADHSQTHVAVKRIQRMSEAAWQEFVAEITIVSQLKHRNIVDLIGWYNIILDMANGLLYLHTARNECVLHRDIKPSNVMLDEKLSCAKLCDFGLVKQIDHAEGTPGRQTTITGTLSYLDPECIRTSIHGHSKKNTLVEWVEESFRHRKSVADMADQRLKGEFDTEQIERVIRVGLLCVLPEPKQRPDMATVV >OB10G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3171823:3172044:-1 gene:OB10G13410 transcript:OB10G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXTVAGGGSRQARWQVSNAKTWLSAAMTNEGTCSDGFEDAGAAGTASPAGGGGAATVTQHTSNALALVNGIPL >OB10G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3188237:3188840:-1 gene:OB10G13420 transcript:OB10G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPRAILLVFPLLFFVVASTTRVAAGESPAAAASSSSATTFLRSRCATTRYPDVCYHSLLPYASTFQTSHVKLAVAAADVAAAKLRAFSARIKDLLLRSAGGARVVAALKDCKSTVSAAEPAGSAAAGVSRRQSRWHVSKAKTWLSSAITNEGTCADGFEVAGEAATSSAAGKEVAAGVASVTQHTSIALALVNGIPL >OB10G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3208972:3210144:1 gene:OB10G13430 transcript:OB10G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEAECGAAYGADPRPGLHPPRRAAAGGGHVPAGVDGRRRVVPRGDGPGAADEEARCAEVQLRRRTSAAPPASSTANPPATAPEMDRTWIQGRQFTSAYMEGVKQFMKFDGQNLYLMVRHQELVQKLSPRFSLSGQLKYHIFEKCWAAGELQEISDTDRSSTSR >OB10G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3223537:3224058:1 gene:OB10G13440 transcript:OB10G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQQEMNTVLGSKTRVEESDIGQLPYLQAIIKETLRLHPIVPLRLYEAEETVEIQGYTIPRGSKVIVNAWAIHQSTEAWIQPEKFLPERFITKDIEFAGRHFEFIPFGSGRHICIGLTLTNRMLHVILGSLVHRFEWTVPEMVSKNGLDMGEKFGLAVSMATRPNIIARKKC >OB10G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3227213:3232395:-1 gene:OB10G13450 transcript:OB10G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLCGKVGKSAVPEAERPRPSYPFPELISSGRLKVHTLINPTVDEFLEAQRALQPRLMYLQGQQLENEEEIGTLVWGDADVSDPQMFSSLIRLPFPAIVYLEVPTGENLAQSLQSKGISYVIYWRNSFSSYAASHFRHALMSVVQSSCSHAWDAFQLAHASFRLYCARNNDVEGIARGPYLLGDAPNINTFPLESEMAEEEGSSEHFPDIKIYDEDVNMKLLICGPPSTLDACLLGSLEDGLSALLNIEVRWCILQDRVSATPPLHVGTLPRRVVTMRCDITTSSSSHISLLVSGNVRTLFDDQLLENRIKKEIIEKRQLVRAILVSADGKTSSAEPLTSMSVACGASTFEVWMTLPKWAAQVLKYLAQEISYKSLVALGIACINGTPVCSFDRQDVDRILFGANQHNDEPAVHGLYSHPPRWSATLAKDRLKGSQGPKPGLRVISLRRGNERKWELGENPNVTPPTHSANHQKYTHNGLRTELTQAL >OB10G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3268160:3269092:1 gene:OB10G13460 transcript:OB10G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTGSDWWWKQDNGGGSNGVKDYVMEWIRSEIKKERPKNDWISGAAITNPTADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDAKGRSKWSVVKSWSRRSNGSSGNGNGNGSINWWVNGARSSCDWASGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARVSRLIDLVDPALQDVNRDEVLLCITVALLCIQRSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKIR >OB10G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3270256:3270426:-1 gene:OB10G13470 transcript:OB10G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSAFAKPYTKGGSHKASFMLSCGIASSSWVTVYHKMVDSKILLVNWKINATSN >OB10G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3278285:3286708:-1 gene:OB10G13480 transcript:OB10G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDLVLKAACEGCGSPARLSDLYGTSCKHTTLCSSCGKSMALSRARCLVCAAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQLTENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWFNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDMKKLEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEDEAARKNRLGLNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDAEEDDEDDDQEDESSPVLAPKQKDQTKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGDDTKATGGAASKKAKVESDTKTSAAKDETPSSSKPASKATAASKTAANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >OB10G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3293957:3294391:-1 gene:OB10G13490 transcript:OB10G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTLDNVEERLAWLKMKHEAKLANETDGSDASLKSDSTDVDEDDEFAMEILRHHQAL >OB10G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3314960:3315958:-1 gene:OB10G13500 transcript:OB10G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDELNGANNVEWTYENGRVRLPPDLPGCLKFKLRGLQNKYLLQEIFEDIRNIGNDHWNPARGDLPEKEVNGVGDDDSGDEDFTPPAKRAKRARGKEVEKPKSSGGNWFYEQMTRFVDNQEKTSTTIESFVKR >OB10G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3344857:3350586:1 gene:OB10G13510 transcript:OB10G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNREQRREPIHQHPRGFGTTLEKDPPVVRSSVGVHESLGSVVPGVQHTMRITSGRDAASGTMFREQQQQQDHFLSRTPPDHFSSRTPPDGNGLGPFGRITRGEQHMHSTRGSRIHHGEQHVATVTSGPLPHRGQRQQDHSLSNMPQREQRWQGHRDEKGYASSKPSNANVHGMSSTMSMEKERQHSPMPTGSVTVDVSEDRGKTVAEANGFEDGVIGQVGFEQLADGAAAVEARKFKVSYKKNEFRSTGQDQDEGDGNMDDDATIDQLMETVVIDDNGETKSMVQINGSRSKNFRSDSRGHNVSSQSVRYDRRNRPCRYDIDLFTPRFMSIFESLVPSDEEISKQKQLLTTLSRLINKEWPNSKLYVYGSCANSFGFSNSDIDLCLSIDNTEMSKVDIILKLADILHAGNLQNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRVRPLAFLVKHWAKSRCVNETYQGTLSSYAYVIMCIHFLQSQRILPCLQGMEPTYYATVDNNVYAFFDQVDKLSGFGAQRKDTVSRLLWAFFHYWAYKHNYTKDVISIRTGRTISKNMKDWTRRVGNDRHLICIEDPFETSHDLGRVVDSRSIWTLREEFERAAEIMQYDPIPNVTLFEPHVPSLRGTN >OB10G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3352660:3356361:1 gene:OB10G13520 transcript:OB10G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPLPPSLEPEIGPDGLARENPVIAYTEKVILEEQLQLKKYIQENYSKIRDVEKELENLTLEMKLTAGPKKAALEHLRKKIEISTERIRLAKVKEEQAKKAWESAAQIVKEEEDAKQKLCDDLNRLVQESAASQFTRLEELKKRLESLNPSRVSVDVYGVNTPRHATTNSVPQQPVAQNPQNAPNAVNKADPASSGLAQQQRPADAEKKRRPSQTGRGRGGVMILPKGRGSSGSGWTGAGFDVDGGT >OB10G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3363386:3369980:-1 gene:OB10G13530 transcript:OB10G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucomutase [Source:Projected from Arabidopsis thaliana (AT5G51820) TAIR;Acc:AT5G51820] MALHHLRPYLFSTAARPIKSIPTRPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKNASQIITKIAAGNGVGKILVGRNGVLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKIADIPDVDLSSLGVVSYGEFTVEVIDPVLDYLELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPDCILNGIPLEDFGNGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRVADKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKVGERLVSVEDVAREHWATYGRNFFSRYDYEDCESESANNMMGHLRDVIAKNKPGEKYGDYTLQFADDFSYTDPVDGSAVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRVYIEQFEPDASKHDLDAQIALKPLIDLALSISKLKDFTGRDKPTVIT >OB10G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3377439:3378627:-1 gene:OB10G13540 transcript:OB10G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQSQHYVENTLSRSQTYSSRSPRSGRPSLKCPLSANWSGHKSSDLELRRELPKERCHWDNKQRRVKEQRQQLKVRNLILDIKNGVIITAFRKGLKDEPFITKFTRKEPTIVKDLFYMVNLYATSVYAVSTSSPVDTTTSPQQSSKGKEKENEHLGDSGDKNGNRDKRKWKPEELIAAADRQPIRNQKLNEYDKSILLLPNISRGWRAMTSI >OB10G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3390824:3391090:-1 gene:OB10G13550 transcript:OB10G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPYGIDMDVEPTVKFNASDSSSSTKIFIPTREIFVASQTAQDETKKIHARRNKQPDWPRKGAKRNNISNRRMTPDHNIRTKLVKN >OB10G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3397786:3400288:-1 gene:OB10G13560 transcript:OB10G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLDKLLSESELNISASSGAGGQYRVTSVVGGRTGLPDNSTAIIRFWALGENLESSDDEDEPTEPETDVECSEGRDSDVVFLERAMAEGFTGDEHQKKIPRSCSKNKGARKNGEQARRIVDAVASKNNSRCKPWRGPLPGARRSQCLTIGDKLAEAMRLKKQKPKATPCSDKKEIDREIVIEREPGGKKKGEEQVILGVRTQNLKRGFAEGIKEATLQDRKPLTLATGRVVVTTKKVEQTGGEEKERHRDPQRLRSAAEGMSHRGGGAWAHHGGGAWGRNEAVRWEQRKISYVSSPESASGKETGEEQDRLSVLGHGGQETEQEGVASLEELDNRGEVMVLGVEINKWAGMCSSVDGTTRFQWRRISIGLLVSL >OB10G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3401515:3403417:-1 gene:OB10G13570 transcript:OB10G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3N1F9] MSSEKMTLVSATAAGCQRGPVPFKDVAVVAGDAHRPSAEGDEDFDEAIAALPSKPPTPLMRRMRLYHGGWFPEKWLPYIMAFQRRFEARREEGDVIVASLPKCGTTWLKALAFATAARGTYPPPPPPPAVDVGGDDGGDRRRLHPLLRLNPHDCVPFLESIYSSKEDEAKLAATPSPRLLSTHLPYSVLPASITGNPNCKIIYVCRQPKDMLISFWHFVNRDKSRDVPLSYVWESVRECTYFGSPVWDHIIGYWNASKARPCNVLVLRYEDMKRDPARNVERIAEFIGQPFSEAEREAGVVSRIVELCSFETMKALGTRMAGSSQRVVSSEFPNDSFFRKGAIGDWVNHVTPDMAESLDKLLSERFDGSDFTFA >OB10G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3416910:3419191:1 gene:OB10G13580 transcript:OB10G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKAPWVEQQHPDCAVLLFPAGSEPKVDYGAMGAALRRFDGLEWVGKANALSKDHVVWDVIYRTAEAVKKHGPAPEESFSVSPWQRNASLSDGIYKELTVQEVVRRRRSAVDMDGVPKGMYFLVRNEEHFDTLQRAMKQDFDWERPDGCPDGLPLYRLMKGDCQRLSMQISCFQDIASHGCFSIGMIARFEPVLREKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >OB10G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3419776:3419949:1 gene:OB10G13590 transcript:OB10G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSYFFNKTNDQTLQAKKSNIFRYETEGSVLMGLLSVVFLLLIFVRADLLLTALTL >OB10G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3425889:3429331:1 gene:OB10G13600 transcript:OB10G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRKHRRGAEAGASSFGETSSEEVRGDSCSSGDGDVNELECAEDSSDAVGSDAASPKVGEDDVAFVAEALRKISRSPEALRDFVRRSTPAAIARHIDWDIVREPQSTEAFLRACIEERGNGTLDTTNPSSMTCRNLARKMKLTFGKNVTKQQCLNFWDACKKRHVLWKWLVTEASGLDRVPGTDAIVASPEWWALQGSKKKNVLQFRNDQLSHIKLDELVFGSSKRSRSSSAMESRAKEEQAHRGCMSGEAALELLENDGYHCPSQVWLAAANLFESEYYRSVFIDWCETPAARLDLIRSAYRWKYHFDIVLDADFAGDRSDAPGGSGSAGGAA >OB10G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3439190:3443755:1 gene:OB10G13610 transcript:OB10G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3N1G3] MEAAMKAAMEEPLLADTGDAGKNGGDEEEESLVVIEVKKQLCLAGPLIVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALASVPVAVVWAFTGDILVLVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQNVVLPVMLSSGVTAANHVLVCWLLVRRLGLGAKGAALANAVSFLTNVSVLAVYVRLSPSCRRTWTGFSGEAFRDVLGFLRLAVPSALMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNSFAFMVPLGLGAAISTRVSNELGAGRPQAARLATRVVMILAFLVGTSEGLVMVLVRNLWGYAYSNEKEVAEYIAKMMPILAVSILFDGIQCVLSGVVRGCGRQKIGAFINLGAYYLAGIPPAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEAMKAKDRVFKSSLPIDLAT >OB10G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3462402:3463952:-1 gene:OB10G13620 transcript:OB10G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAVLPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRPPPPAPSVPPTNLPCVAELAPCSEFYQNASVEPTEACCTPLKKAYESEVGCLCSVLKNPAMVGVDTKKGLGLFERCAVKVPADVCSSHAAPAPASSPPTPTPNSDSSSAPHAAQWMAYSGFLGVIVAIYALV >OB10G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3466917:3469267:1 gene:OB10G13630 transcript:OB10G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDGSVLLEASDGQAEKNASPNLSLRGFEVVDRIKARLEATCRQTVSCADILTYAARDSVRVMVSNREHAAAGHCHRIKL >OB10G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3472116:3472634:1 gene:OB10G13640 transcript:OB10G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKIALAIFAVAISMAAAATSAQNTPQDFVTLHSRARAADRVGPVTWDPKVARFAQSYAAKRAGDCRLQHSGGPYGENIFWGSAGRAWSAADAVASWVGEKKNYHYSTNTCDPGKVCGHYTQVVWRKSVRIGCARVVCAANRGVFITCNYDPPGNFNGERPFLTLDAAAK >OB10G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3474755:3478119:-1 gene:OB10G13650 transcript:OB10G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLIASPKAHVATLFKTIETIRHIDKGVRKNILKMSDITSKVWDCPAEAKLFNPNIGKLDPKKVSCHLIGHHEKSKEYRGYASEEAQIESDPTSFEEAMRSENSSKWLKAMKVEIKSVYVTGILGRYEKNPRIAHWRSKRTLWDMCKAQRFHANIEKIQLPRRYRRLVMRPWGRQIVKGICMRVEGGRQHSKATQKGELLRTAMVAVINNSAAVIAILAIARILTRTAGIVVVLAVAVVSSG >OB10G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3487722:3488648:-1 gene:OB10G13660 transcript:OB10G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPKEGVDPSQEDDTLSRLQLLAQQRRTMEKFWSWTHEQIEESSGGQELILPIARVKNVIHAEEGGMMLSADTPAFVTKLCEIFVQELILRAWLCADSHNREIILGTDIVEAITTTESYHFLGNVLRSHQALVSIIPDTDVSDRKRHKLNEMSSICPSPQEVQVPYLAMYLPQVLGCPIGQMGTHHTPPPSEFMMQREYLLKANNEKSPLVVTMNGSDVLASGGGASSDVSIDTKQGETAQPFSIQYTGHGHSSSVPCDENIKQLRQEEQNVDQDAVVGEDILPNKSLEGSHMDVDMVFPDKDIPQ >OB10G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3492348:3493364:1 gene:OB10G13670 transcript:OB10G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVLSMMRTLSLTTNQHEWHDFVTAFAVIVMFTYLDWKILMHIEFLRPIELGKLLVSAKSSSLIASMGLTIATECHRCLRIGHMQRNCPSQCAYIATDDGSYITVSAVEEEDLDDT >OB10G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3582293:3583582:-1 gene:OB10G13680 transcript:OB10G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKSSGNGESARRRPEEEEESRHGGGGYYDSGSESEDDDGRYVFRARLGEEEEELEEREHAACKRRRVEDVVADRREALVAALPSPTPSSGSERTVSDDGAGAAGAPVAAAREAFPCHFCGREFGSRNAVHGHMRVHQGEDKEKASTGKCRRGGHCRAVAVAFPVSNEELEGGERALRPELAQVAAAVATAYPVPVRDEPSLNQVFDDHHVRAAAPNLAAGAHHDAPAPPQLARPWAQLLPEAPHGGPYRCKYEGCYKEFETHQGLGGHVAGHIIREKLVATAAAAQGGNGDDAGAKPVVMHQCKQCPAAFPTGVALGGHMRKHFDRKLIVNRKKQKPPPPLPGQVAAPAPDPAVPAIVAAASPPAQSEQPKVEVAEHGAEPASEPTPTPAAAPAPVPVPAPTNRPRRVRIFGVEVETSADAEEPDV >OB10G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3599203:3599458:1 gene:OB10G13690 transcript:OB10G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTTREAVRTCAVSRRWRGLCRSVPCIDADIIVFKRRDTEVEQYDEEGELAFKMFMNKVMELRTPLPRYVRTFWFRCILNLDE >OB10G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3603811:3609192:-1 gene:OB10G13700 transcript:OB10G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITGAAGSLLPKLAILLISNEHSLQRGARSQARFLRSELESIQAALEEASGVPKRQLDKQFRLWVASVQEMSYDIEDVVDALHLQLSLTGSPRRRRSGKLGVVREAIDMCIGMMLPCKAHRRRSVFARRIEGINELVREVAERRDRYGVVAAAAVTKSSTETSHPWLMAMYRDVRKLVGLDGPVKEVASLLLQDQTGIMSQKQLKVVSIVGVGGLGKTTLANVTYQQVRDQFMCHAFVSVSSKLPDLKRILISIIRQFMRPDCVNTETWDEMDLINMIREFVIDKRYLVVLDDIWDTSTWAYISCALTENNCCSRIITTTRIIGVAEASCSDVDGTIYKLKPLSCDDSRKLFYKRIFNCEYGCPAELREVSGKILKKIGGLPLAIMTTASLLANKPRTIAEWYSVHTSIGTGLEKSPTVENMRWILSVSYYDLPLHLRACLLYMCIFPSGYIISTGQLILRWISEEFINKQQHEGNLYEIGEKYLYELINRSMIQPESIDAHGRVQTCRVHDMVLDLISSLSSEENFVTILDDHQETTIPNKVRRLCLQNCKEEHTRLQLESMSLSHVRSLLAFHCSSNLMPPFSRFNVLRVLDLEGCRDLENRHIKDIGKLFHLRHIGLKDTKVTNLPKEVGKLYCLQTLDLTRTSITELPSSIVGLKQLMCLYVEKTVRLPHGIGRMKSLRELSEIDISLSPSIAEELGNLKELRVLHFFVEGRWHTRYEKALINSLRNLNKVQLVSIFAPSCSFDFILQLGYMPSSLQYFFSSTYAVSKLPRWISSNMMSCLSTLDTVLETLQQEDMEMLGALPSLQILRLEVYGATKKTSLVSRGNAFCGLVVFWIAIPAMGLVFSEGAMPKLENLELVFSVRETKEAFGNFEFGLDNISDSLSHMTIRINCTCSNKTEVGEANDAVRYMASLSSNQTCKIEVIRHFEDDMALDEGERGKLHQLVHQNHGKRLKQKAALPTVELPKIGPWGGTGGRAREITVVPPCHLDSVTICSGAIVDALAFSYRDAYGQRHSTPSWGGVGGISRTIKFGESEVVTEVSGTIGPFHHLNNVITSLSIVTNLRSYGPFGSAKGIPFSTSTLVSNSSIVGFFGRSGSYLDAIGVYVCSQL >OB10G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3616801:3617905:-1 gene:OB10G13710 transcript:OB10G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSDDESWGDPRWRRRMGGQGRHTTKPRNMDGNGSGWVPIPVGKIAIPTGEERASTVAHVPVAVAERKKRHREEGECSPAMRRMAARSEVETKVVTAIGGVVQQ >OB10G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3652805:3656463:1 gene:OB10G13720 transcript:OB10G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cinnamyl-alcohol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G72680) TAIR;Acc:AT1G72680] MAAECGSGNCEAWAARDPSGILSLYKFNRRAVQSDDVSVRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKNFKVGDHVGVGTYVNSCRDCENCNSSLENYCSKGVFTFNGVDTDGTVTKGGYSSHIVVHDRYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVLSSDKNEMESLKSSLHFIIDTASGDHPFDPYLSLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDTQEMINFCAANKIFPDIEMIKIDYANEALQRLVDRDVRFRFVIDIENSFK >OB10G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3660086:3664597:-1 gene:OB10G13730 transcript:OB10G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIACPPEEGYGRQRKEGAALGKGTASRGLLAEEKKGRHGGRGRHRRTCSPEERDVAGEPARWRKDVGDDRCRVRARVLPALLSLWDPASHAFRLPAGAATFSLEDALLLAGLPPSGAPLDRPLTPEEEDLQIRLLVEKEKIRELHPCARDARHVSAEVWLEWFDSSIRPGEDDELRRLGFIAYWLAFFVTPRLQPRSGELPDCAFALAARLSLGERIALGPAMVANLYADMDRIVTSGVMEGFSGRVDTWGPLLLLQVWIWERFDRLRPPPLKAPPFPVSNARVHLWSRRKRTTTQEEAQRVFQDEACFLWRPYQHNLPNWRQPEWFNDTTTSVCSKSRNKPKWLKDYSVIISQAVLTGRYGDGIVNSVMYNPHLVSRQFGYDQDYPISTIHDSDSNGIEVLIPSIGRHGVASKDYVAWWNARFERHQETNQYDCRMMANKENDMSTWPRSADLITVVQMAVDKFRKGTKQENSKCITKGQLPQLGNVAPDNKSKTIVLGLSAFDFDCSQNTVKRKDDIKKVRFKSANVKRRKKNKKNKVSANECGEGPQFYDWVPLTVSDTENNSLQLEVQECSAPQEDSYFSSKRCDELSQLDNDECIVLEPPPKNCEVINLDDDEEHSVPDPKHHDRQLVLELEQFVRSGLLSQREESSDEDEEDGRNREILKDSKYDPFSEAARREYPLFFEFIPQKPHYRGLLNNDEALGDLACSGLWFLLVGLAKEVLDTSCDTDASEIVCLMKKAQELEQLGFNVKHFIARLKEPQSRLRMLQASIARLEDARKKEQEANRLQSLSSHLSKLKHNIRTMERHLDENNQASSSSIFSLEKEVEAAEKYCQAMKDEVIALKMSHSNL >OB10G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3669458:3670578:-1 gene:OB10G13740 transcript:OB10G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLDHEWKEGISQEEAKKFVVKVVSLAIARDGASGGVVRTVPINADGVSRKFYPGDKLPLWHEELEP >OB10G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3673064:3676006:-1 gene:OB10G13750 transcript:OB10G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLGSRVGAEGELYSKLVWLRVVDSNAMHERWLHWVKLLYEVEQDDDVGCSTDRGKAPPRWQQKRWQDNNAVRGSLVDQRVDVGVASHQVTKFSKCGTGTYTSAHIAITLWKNHDRTRLHARGRRWQRPAYWRKEGAARGKGTAPTGLLARVKWGSADEGDPAGEPARRRNKVRVFYANAIKKVNA >OB10G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3688333:3688422:1 gene:OB10G13760 transcript:OB10G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYIFILDFSLFSARISLFAGLAVLQLQ >OB10G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3701864:3702127:-1 gene:OB10G13770 transcript:OB10G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCITETMGADGFNNISAARPRRRRRRDHCPRCLAAAGDEVADVPAPPAESLLPAVETLRAGFIPRPNNVLRSSLPAKSSTSFKHIR >OB10G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3702048:3703977:-1 gene:OB10G13780 transcript:OB10G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRITDDEGMWLMRMLGCGVGGVADEYDFYILAEFLLAEFLLTVREFIGEFVTEFEMVISIFEDLGICVDCVNTSVKSVYH >OB10G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3708892:3709974:-1 gene:OB10G13790 transcript:OB10G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNIEEENVALDEYWNIIQMNFQFEDDGYNFYNTYAKKKGFSVRKDIVRREKRVGNVHYRRHVCSKEGIRETSKINTEDRQRGVWFVDNFVDEHNHSLTSHDKTPFLRSHRKIKEFQKSEIQTIESISIRKNVIMKVMKSKYGGYDKVGFVKKDLYNYSSRYKRDRILEGDANAALDIMKKRHHMDPYFFYEYQVDKEGCLKNLFWCDAQSRMDYQSFGDVVVFDSTQRMNKYNMPFIPFVGLNHHRQTTVFACGIVSDKCVQSYNWMLHVFLRAMCEQKPKSIITDSDNVMMKAIRQMLPETNHRVCSWHIEKGIIKHLHFSYINIFKFSIYDACS >OB10G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3712530:3713167:1 gene:OB10G13800 transcript:OB10G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVNFAQRTRTQFVPSSGVKRRMPSPPHVSVSSESSDGFPPEPPLAAANGKRQCVEEEMNGADSSNHTQALRELAEAIRRFGEVYERVESAKQEQELRMERDRLEAAHELEDQRVQFFLNMQMELSKANSATAATAVAADVNGTRRTAVATDVGTSSNHHVRYRFQLSRIAVCTATPQQPQYSENNVVDADRGTGNGSDTDNKEDEDES >OB10G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3776135:3777645:-1 gene:OB10G13810 transcript:OB10G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGVKPEVCGLGLSQRGLTLDRGLTLSLRGVTFDSGLGLLQRGPFSSKYARAWSAADAVASWVGEKKNYHYSTNTCDAGKVCGHYTQVVWRKSVRIGGARVVCAANRGVSITSNYDPPGNFNGQWPFLTLDVRCGEVVAITLKCM >OB10G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3786445:3786651:1 gene:OB10G13820 transcript:OB10G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIRQRRVGTGVASGTDGSTCVARSAKWRRRRRPREEVRETERWLGGWIDFISNKLHNVLETWEGYRW >OB10G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3795073:3796154:1 gene:OB10G13830 transcript:OB10G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPGEVSPGEIPRAIRELIESGEGMAQPFRLSWEVLAGQWESLTVDPQAEGDDLALMERHICELVSLLGVLARAKAINDSECVEIQVQKSAEALSQEVIVVEVCQNYQAVVAELEAHLRSVEASQDEFISTTQAVFGVLDIIETRPSSPSVEVMPQGLRKVPLCIHEEGRDPTKAAACQAFAIMKSLYPRVDFAVADKGFALDCDLELMNEAQEAVDGVCKTIDLQ >OB10G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3804469:3811210:-1 gene:OB10G13840 transcript:OB10G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3N1I6] MDIAGAGGATVASAGGWKAPASMVLVQLFITGMILLSKMSIGDGMFIFALLAYRSVFGAAFILPFALIFERGKWKNMDWSALAWIFFNAFIGYAVPMSLYYFGLKDTTPSYAVIFINIIPLFTFILSLIFRMEKFKIRSMVGVLKMTGVLLSVGGTMLVCLYKGKSLHLWNSILQHQTEQQRKSGNNQLRGTIFLVGSSFTFACWYLVQAKILKVYPYKYWSSMVTCILGGVQTAFVGVILRRDKSAWKLGWDLNLVTIVYSGAFATAGKYSLNSWAVAKRGPAYPPMFNPLSVVFTVVLGSLLLGDDLTVGSLIGTAMVILGLYIFLWAKA >OB10G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3820575:3824408:1 gene:OB10G13850 transcript:OB10G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVLELLEDMETRRSSALTKEGHFASKCPSKDEDGDKSYKKKSGGYKLMKKLKKEGRKIEAFIGQWDSNEESTTSFGSGEEEVDDDASSKIKKMVVVAIKDAPSLFAPLCLMEKGTSKVKSFSDSESDDDCDDDVSYDELVSMFEELHAYSEKEIVNFKALKKDHASLKVLYEELKTSDERLTISHEKLKEAHDNLLSTTQHGASIDVGISCDLLDDSTTCHIAHVASPSISTSCDNLMDVPSSGSSSCMSTHDTSLVIENNELKEQVAKLNKSLERCFMGKSTLDKILSEQRCILNKEGLGFIPKKGKKPSHHVTRFVKSNGKYCTKCHEVGHFVNDCHDGKPPKTCLFDSHDMLRKAHDRRIVAKYVGSPILGGKKNVIWVPKALISNL >OB10G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3879376:3881680:-1 gene:OB10G13860 transcript:OB10G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNVGLTPPPEIEAMQPRVSSRQTLPFPFPASDSWEIADATAFVCTTIFFIRGSAIGGHQKNTEKIRFEDSQNEYELVLPQTVASGGQSSSMASGDDAERKPKRRRRALSSGNGKADDDTFTLSTVKNLWKNTQT >OB10G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3893570:3898767:-1 gene:OB10G13870 transcript:OB10G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSNTRSSVAGDVGEGDGAAVVVYVTLADDDTPVVGSPRMRAKDRDEAAWLGEGEERIVQQRGEEEDVTNLPHLNRISSPRFGGAVKEVQMSSLELAETARFSSLPVAPVLLRSLSFLAFTIFRAEGENKGTGENQTKGFS >OB10G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3916007:3917479:1 gene:OB10G13880 transcript:OB10G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLACRFSRIRNPRLNPSSSANLVARLVLACSSSSSRLEPPELPGPGARGGGGFPATIREGKAEIFADDSNSVFYNKAQVNNRDLSIAVLRSFISRRREEHDAQLRKGISNDEPGTGSHAEILPKHHPEELDHIRGGFEDKALNEETGYKPPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEAAIEA >OB10G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3917555:3926598:1 gene:OB10G13890 transcript:OB10G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHPKEFDVVDIDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRVFVRIFTSASKVKSSPLRLAHVYQCTGCSSFHFQNIGRINSKDTRNIALPNFSPVVAQECSECGHNFVMGGPIWSDPMHDKEWAACILSSIQAMSCTYSAFAKISAIMTSVSEELPKAPLFVSLHNLCAILKCTNPTIAMFQSAIRNAGYQISGCHVDPLALKTDAPMSVIWDIMRCWVKIHAVKFRPGNHPGTRILSQEPKLQAKFSLVPGSLAARKTPRFVPNPEKYWGPKTKAGRQPKILPVDNL >OB10G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3926820:3930186:1 gene:OB10G13900 transcript:OB10G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSILFPAVLLLLLTTGGDGSDVPARVVPQFLPQDMVCEFAKLTPIQLLEETEKVVGDPNLPFQHRLLTDKSKELNNLEVDKWRNLSFSARPGSRDKLRVPKKGPSSSTSPSSQTPLLLPSNKVAEALPSADPEKISCRMMITSDL >OB10G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3933333:3935700:-1 gene:OB10G13910 transcript:OB10G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVNPDPTPFIPPAMAINEAWPHRLPRADLIITDETPKSHEGIAVAALEPPPPLNHYEHFVQFMVEYIQTTLGYHVLDTSRHASEFAYLHLASTMLRDALVMGGPYDVNGLFTLRFSYHDNTITCRESWVMFLDFPLDLQTDSVVDKVVGIRTVRPDYSATARKLAVGVLSDDIIGLAGQTGVKWPVRPTSAQSNRHQLGLTDCGSVGSVSAKPNGRKSVSKGPVRPLKYLRSDHRLNRPVRLASGLRSNRPITLGLIDLGLADSSECCKNENMFQSADKDLPPVNHIPLLEPAPEPQQANENVQADEDTGEYDGMHYAKLSLREKEDVTWDKGYTPSFE >OB10G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3936218:3936415:-1 gene:OB10G13920 transcript:OB10G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTAKSPALIAKSVKSPIVGGVAIDASSPDILHPPVLIGSAVRPALSWIIGLQIAGTKLWCLD >OB10G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3945937:3946275:1 gene:OB10G13930 transcript:OB10G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAGHQPARATTPRRRDPSHLDPPLRLDPPPARSEXXXASPRHRHTSISRRRASSSVRRPSASIRHRRESIRRQRASSSARRPHEPASSSLAPPPLWLTGREGVAGAIA >OB10G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3948803:3949108:1 gene:OB10G13940 transcript:OB10G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARHGFKILSVSATPCAGDYSAHCPQHGRRIVKWERRFACFLLAYRVSYLATEKGFCSHRNTSTTSPVGCSFFFFSFLFFKKTLFPVLTLVPWLNSCHP >OB10G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3950386:3950577:-1 gene:OB10G13950 transcript:OB10G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFYLTPVDLTPINKHQEFEREGTQTVDRNPLVEMSIPVTYPNQAQAERMQKKKRERRISVISDG >OB10G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3950174:3954304:1 gene:OB10G13960 transcript:OB10G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKQLNIVHSSCHHGNGEGSSNGQSLPQVEPPQPYEDEVIHVEQQDDEPLNMPVVKCNRLGQPIGEEGGLLGQFLGTLARNGAYCPLDKMTWRNIKANEGDLTILQFVQTKFLYPLSCVQWILKSIGRGWRRYKAALKNKYFNPKKKRSALYKLCLDDVEKDQWIPLIKYWKSKKGKALSEKNKRSRSMLQNPHSAGTKSYARWSEDMRQDDPNKKQPHRAKVYLATHKQKDKAKNQHVVTSQRTFDDGIEEGVVRTNRKNKRRCEEKRNMQHDNILDLYGKKHQEADNTKGSPYQDDSSSQPHLAHDLRREMNKKKHRNLEKIAKTTEKQDTQKKIAHHMVQNRVHSSSIKVGTTIILITAKYPNKETVAYATYLRSNPRDKVDGVEIGNEFTKVVVNHPLKEDEELVRPVKHCSKDQ >OB10G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3981964:3985653:-1 gene:OB10G13970 transcript:OB10G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPMHNLAPLVAVAAVFFSLTALADESDTSREALLCLKSHLSSPNGAAAFATWNSTSPDFCSWNGVTCSNQSQSQPRVVVALDIEAQGLAGEIPACIANLSSLARIHLPSNGLSGGITPELGRLAELRYLNLSFNGIGGEIPPGLGTLRRLLSLDLSSNNLHGEIPLLLANASSLRYLSLKNNSLSGSIPAALLNSFTVREIYLRKNNLSGSIPPVTRFNSQITYLDLTGNSLSGSIPPSLGNLSSLTALLAAQNQLKGSIPDFSKLSVLQFLDLSYNGLSGTVHPSVYNLSSISFLGLANNNLKGSLPPDLGNTLRNIQVLMMSTNHFVGEIPGSLANASSMQFLYLADNSLTGLIPSFSLMSDLQVLMLYSNQLEAGDWTFLSSLKNCSKLLKLNFGENNLRGDLPRSVADLPKTLNSLVLQSNYISGTIPLEIRNLSRISLLYLDKNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLSQLAELYLSDNQLSGSIPTSLARCQQLLALNLSCNALTGSINGDMFRKLSQLSWLLDLSHNQFTNSIPLELGSLINLASLNISHNKLTGKIPSSLGACVRLESLRVGGNLLEGSIPQSLANIRGTKVLDFSQNNLSGAIPDFFGTFTSLQYLNMSYNNFEGPVPVGGIFADRDKFFVQGNPHLCTNVPMEELNMCYDSSSKRKHKIIIPMLAVLSSFVALSSILGLYFLIINVLRRRWKSNKYTDPSYMELKKITYSDVSRATNNFSAANIVGSGHFGTVYSGRLDAKDTRVAFKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGNEFKALVFEYMANGSLQSRLHRKFDRCSDLTLGARINIAADIASALEYLHNQCIPPVVHCDLKPSNVLFNSDDVACVCDFGLAKSLRAYSSGAQSDSKSMVGPRGSIGYIAPEYGMGSKISAEGDVYSYGIILLEMLTGRNPTDEMFTDGFTLRMYVNASVSNIKDVLDPRLTPEIMEQSSNHTLGTHEHKTSIMDVCALQLLKLGLLCSEESPKDRPVIHDIYSEVMSIKEAFFAMSF >OB10G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:3989934:3990498:-1 gene:OB10G13980 transcript:OB10G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLILLNLYCKGHDYYRRHGGFVKDQKRKKLLGKERFPCHKQPSGTNLCGYYVCEMLRVWEIQNRVYGSPKYPIYCKPVRPENTSKLLQGLMPFDSSGHLQPSRGVL >OB10G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4003767:4004702:-1 gene:OB10G13990 transcript:OB10G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVWRGHGIGSGSSSNVTRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDYAAAVGALVAAFAVYCLLSAAAFAVLDIGRGGGGGGGGGGGGGEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRSALEAASWVFVAGDGVYLTGWALAEGAAASVAAYALVAGLVFLCIFGKVYKLLAWMETRQVQWKPNVSGCHNVV >OB10G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4050559:4054415:1 gene:OB10G14000 transcript:OB10G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQEELNNFKRNEVWSLVEKPKQNVIGTKWVFRNEQDEHGVVTRNKARLVVQDFTQVEGLDFGETFALVARLESNRILLAYTINHHFELYQMDVKSAFLNGPISELVYVEQPPGFEDPKHPYHVYKLHKALYRLKQAPRAWYECLRVVLAENGFEIRKADTTLFTKKLKNDLFVCQIYVDDIIFGSTNRIMTKRFEMSMMGELKFFLGLQYLKDVLKRFDMDNTKSIKTPMPINGHLDLDINGKEADVKIIMTRGSSSNVPEKRRKKRQDPVSTSVESDGDNSPPVQYPRRRIGKKKVDEVSPSRRRGKRTANRGRPSSGIRIEEPFSSLPPTRPACRPCDAQSPNHEAAIEAIRHFTKPVLTAAVGHETPRKAIDYLKNMARARTVRGRTPEQQLANNSDYRFYSQSSQVAKTAVNLFHQKNLDTLMTLEHNWSEELIGQFYASAYFEDSDDGSEEKPALSPETIRRLYVDDSSKVTLGTVKGLLPHFDLLLKMIKTTISPKSGDKSALTARHAALLWSMCTSASPFSVMKYIWNEIQVIVLDPSKGLAYAPFLHIMIPRVTGFYFGGECVHYPYHSQIPQAPKITGSKGRPVASSSQPPCASSPSLPVKKALSAIFGICKKNAVKIKSNERKINQILRESGHKIQP >OB10G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4067970:4074361:1 gene:OB10G14010 transcript:OB10G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAPSAPPPPFLLRQVSFPAAVPAIDLDILCCRLSRRRRLACLLQPPSDVLLVYQRCQACAAAEAASEIAAAFEGAAVGEEEEVVCSGSLVAKAVECGLRSLMLEHGWRCLGEGVYVHSTFAATEERTDLCAVNVEVKLGRNDDIEFSVSPDALRFMTHKFFDSISSDKVETFKNGNKVILGDCNFQTACTTLPTLQEGHVIGFSKILPTGQCLDKFMQLCSLKHGLEADYTYHEAVRFGYGSSLEMWFPCSFVLQGSGLQPAPKSSRASKAMSALQSFMGLLSAWNFFGQNQLVIKEQLLLNSTATLPSWDKAIINARTKNSEGPGHTNFVMNDLSLTLDFRTPKPDVLCSTSVKLWNTKTQVATLSFDIDGTDNGKCSINDCQTQPVVRTNLYKSQVTLLKPSFSRSKSEERHKTKSSSEHSDDDNSDRLRHISVTNSALVSGSHKRKHAESPVRNGQKVTLKVHLQDYSQKENLDANKKILKIVYHESQVKQEQCQVSRLMCLAQR >OB10G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4075791:4080791:-1 gene:OB10G14020 transcript:OB10G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPLPAPYDHHHRGGGPAPPPPPPAPQPIHAAGEFPPAMLQQHPQYHAWGGSFSYGPPAQAPAPPYVEHQKAVTIRNDVNLKKETLRIEPDDECPGRFLVAFTFDATVAGSMTVYFFAKEELNCNLTATKEDLLKPVTVTFKEGLGQKFRQPSGTGIDFSLFEDAELFKEGEMDVYPLAVKAETTLSIGQLSEGEEHKSQTPNSQITQAVFERKEHRDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDTEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQQTPQSPPPPPSTAPLQQQQQQQESQA >OB10G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4081533:4081808:-1 gene:OB10G14030 transcript:OB10G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILNILAKPLNPSCRKSGHFWEWSVTQPSTNQKRNRKKKAAAFPSCLLLPQSPDRNPFYFLLVSHRFRSPPEHPARDLPENSLPPHHPT >OB10G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4093523:4098948:-1 gene:OB10G14040 transcript:OB10G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNDSTTSLRANTVDELHSLQRKPVPVEKHHRLPRQFISASLASMTHGVGPKLVKGDPASKKENGNVVTHHQHSVTVPTISVGDSDLKFTCVMYNLSTSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTDDLWWGKGSPNIEMDEHTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDINLKRREMVILGTQYAGEMKKGFFSVMHYLMPKKQILSLHSGCNMGREGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSHEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMKKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLTTSYKRTEVFGLDIPIKVEGVPSELLDPINTWEDKNSYKSTLLKLADLFKKNFEVFANYKISVVSDLADEIAAAGPSF >OB10G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4120875:4124468:-1 gene:OB10G14050 transcript:OB10G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQRREAAARRRREHQQGREQRPGRRPLLLPPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADCSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVHKNYFHVLNYRELLFNDFGDRVAQLLHVESAMPFCQNRSSSCVQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKSRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLKADSPDDHISYSSFCQALCQLGMVHPDRLNSEEIKDLWSEADHDGDGVVDYKEFQRCIWSPTCCSQEEEEDTEIDISDGSLVTFEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >OB10G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4134867:4135346:1 gene:OB10G14060 transcript:OB10G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNSNVMKSKFPSSSIFKFDGIQLQTDQFGALSYTDSSSSKSDEEVYRFEPNKEAPDQTQIQSRSHDTEMPSPKSVDHSTASFVPSREIFATVNLTSDNIENRHQEEEAGQLAEEHRLQDERERQQRE >OB10G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4157585:4158594:1 gene:OB10G14070 transcript:OB10G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier-conjugating enzyme 1 [Source:UniProtKB/TrEMBL;Acc:J3N1K9] MEGWDRGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANLEGTRWEGSCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAASGSAAAS >OB10G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4162061:4162264:-1 gene:OB10G14080 transcript:OB10G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRWGQLTASESCGLRDTARRSQSGNVSGVQRVVQRELAAVGRLPRCRSAPSPSPELLPFSAGSF >OB10G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4163093:4165906:-1 gene:OB10G14090 transcript:OB10G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:J3N1L1] MYRHLSSPVPDAPQDPPPRRRGRRQERPIVDRRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFHHLGDIRSFGTWPVATLAPGKSKTILKGPVCDGNRVVGWHTRDGNKKRGRFHVDMSGFAFNSSMLWDAKNRGHQAWNYIRQLDTANEGFQETTFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKDAMYLNGWQTTQNLDVIIPLVKEA >OB10G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4167314:4167641:1 gene:OB10G14100 transcript:OB10G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRIIGQGVSAYEIENARGTEANLGVEADGPACPFGLVTYDSTPSLSPSNLDPSSAVVGSLRQIDQPGYTKRQC >OB10G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4199702:4212716:1 gene:OB10G14110 transcript:OB10G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFASSGIDHVAVDMEAGEEERRRAVAEEEADLLWAAIERLPSAKRWNHAVVLPDPDGLGGGGDGGGGGGQVVDVRRLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVSFENLTVSADVHVGRRALPTLVNYVHDIAERILINSRLRRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALAGKLDSQLKKSGKVAYNGMTLDEFCVQRTSAYISQTDNHIGELTVRETFDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFGREKHNLVTDYILRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEAAVLMSLLQPAPETFELFDDLILLSEGKIIYQGPISNVVVYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDQSKQHIFMSASGMAAAFKESQYGRYLESNLNSSCGNKDSQVLPRSKYAVPKFSLVRACFARELVLINRNRFLYTFRTCQVAFVGIITSTLFVRTRLHPVDEQNGNLYLSCLFFGLVHMLFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSFPNWVLRIPYSFVEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIASTFGSAVLLAIFLLGGFIVPKEAIKPWWDWAYWVSPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGNNVLISHSLPTEDHWFWIGVGVLLAYSVIFNIIFTLALAFLNPLQKAQAIVPSDAGDRRDMEISTLSNRSAIGEVNESNAELEGQTDGKSKKGMILPFQPLTMTFHNVNYYVNMPKEMEARGVPEKRLQLLSEVGGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQQTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRNAFVEEVMALVELDQLRHALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGANSVDMISYFQGIPRVVPLPEGYNPATWMLEVTTQASEERLGIDFATVYKNSHHFRKVEDLIEELSIPGFGTEPLKFSSEFSQNRLTQFIVCLRKQSLVYWRSPEYNVVRLFFTSAAALIFGSIFWNVGMKRESTEDIFLIMGALYAACLFLGVNNASSVQPVVSIERTVYYRERAAKMYSSFPYAAAQGLVEIPYIAAQALIFGLVTYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVVSSAFYSLWNLLSGFLIPQSRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVQEFLQQSLGFEQGMTGATIGVLVAFSLFFFSIYALSIKMVNFQRR >OB10G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4214590:4214763:1 gene:OB10G14120 transcript:OB10G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKAKSSVLWFFVLMFISSFLWQRRENAFHKNCITIFSYFTFLGFSDSFKFSTQFL >OB10G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4217837:4226449:1 gene:OB10G14130 transcript:OB10G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLMMKMTSNFEGGKEIWRQVLGWCNDDEDDKTGPAEMDDGNERECAHEAVEGSSTKMDRTWMYNMNRFSSEYRSGLGEFLNVVENEKHARNAQFMRCPCTDGYDRWIWHGEQVEAMSDAIEIDEDEHEEQDGIEHMFVPSPWGAGKRKAKSIDGKRLKKGGPAKFLWQFLRQNHSYRSMAKPFDGKKELREAPRSLSVDEVYNKVKDIEHVFGKRSKTNNSKERTVCKKKSVFSQLEYWKHLEVRHCIDLMHIEKNVTNSVIGLLLNIKGKTKDGFNARKDMWDMKIRPGLAGIFCHLKSGTRLCGCVFSLMQFFDRDMVIRVRDEAFSPLEMRDPLLVTSRCSLLKRYQHNTPVVSCAKFFILNAKDLKPAITTIERVICDGPKFLFVMDNGLVSDKWSLMRKTCGH >OB10G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4249811:4251607:-1 gene:OB10G14140 transcript:OB10G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPQRESKRRRTYMCSRCGFPKGHVCAAADADCGGPQQLLLTSPEAEKKRKADGAAASQDRPQTDNISALPDDVLRSIISFLPTVQAAQTQALSSRCRSLWRHAPLNLDEGELNLWEDFILGAISTILSAHDGPVWRFSVTKLARVNEFRGDIVATLDAMLRHQTLSSLSELRLHYRPSTTAPDPLPPAALRFSLLRVASFGHCSLPDTDGAIGPGGVVFPNLQELTLLDISNSEATLHAIVSACPAIRSLLLCDNDAFRRVQIRSRTLVSLGLCSRSSQLEEVELVIEDTPNLEKLLMFRSARKLPRVARVISAPKLEVLGCLSNGVSEQVFGMTYIMPQTLMVDSIAMLRTVKILAFRIDETSLTAAIHVLRCFPCLHKLDITLAEGLFIQIVHNGAIHNAATVECLDFHLKEMMLRNYRCKKSYAAFAKFFVMNARVLELLTIRTCVGLNKRWLSSHRKLLCLKNKASANTRIEFSADDYFVDYKHAERTHQLSVADPFDD >OB10G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4260855:4261773:-1 gene:OB10G14150 transcript:OB10G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTARMQAWQLVLLLLAVAFSCFHCRLAAHHGGQHVQPPSSVVVVGSVHSGSDTTTAAVSGAPVAVRCHDGNGRDVFRQQALTDGRGVFRVRLPPEAKSRLGSVTSCSVQLLMRPTGTNAAPCDDAATSASTAKGFRLTAAKHNGGGGTRVFSAGAFAVHPELCHEKGIFFPPIPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSILPPLTPQPPPSSLIPPILPLPLLHPPPPPPPPPSLLPPVPLLPPLVPGVPPASGSKTGTTP >OB10G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4267682:4268436:1 gene:OB10G14160 transcript:OB10G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARRTSKYEKALVHLLTDYNLSHYVVSMIKSTPKRRHDIIEEDAKLKMDEIKSFPLSESLDRLYKGKCAEGKHCFTYSNPNHGGLRGKGETPANTPARKRSRMDVLVRKLREVDGVA >OB10G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4290675:4290761:1 gene:OB10G14170 transcript:OB10G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSQHITHFSFVLYYSLFLFLVPKINIMLF >OB10G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4310016:4311634:1 gene:OB10G14180 transcript:OB10G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPLSTKTNMKITSNGRFNPYFKDCIGAIDGTHVLARVPAAMSAAFRSRKKETTQNVMAAVDFDLRFTYVLAGWEGSAHDDLILADALERDDGLSVAAGKYYLVDAGYAARLEFLPPYRGTRYHLKEFDSRNYPRNSIELFNLRRSSLRVTIERAFGALKNRFKILYSKPFHPYKTQVKLVLACCILHNWILQFGNDQHVPLEAEWRANVRDEDDPGDIEEDNRGMAQIRDDVATDMWNNRGMAEAGGGNGG >OB10G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4311671:4312626:1 gene:OB10G14190 transcript:OB10G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMLKKVELIAEGVRTDKGFKEVHLNQVARSLSDHYGLDISGTQVYNHLRKWRQRWVRVTRLKDISGALWDNQNSTIVLEDEHYMGHVKDHPKDVEFLNVPLDNYTQMATIFSNGQATGKYAMGSEALGKPIGMDESDLGPLDGTIGDGIAGGMAGPECGSATRGSGVGPIGEGFVPTNDLIGGGSSSDRKRKRTQVVDEGETALINNMTKSVREVAAAIRATAHMEVHPELSDAVLNLPGFTEDQLELVLTYLTNNKATSLVYIQKNEERRAHWVKKYPEQHHPDDVI >OB10G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4331166:4337346:-1 gene:OB10G14200 transcript:OB10G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51340) TAIR;Acc:AT1G51340] MNGESLLDRRSSADAAMDDGLHHPLSVFLRDARLAFRWDELGREVMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAMFNQVSRIAIFPLVSVTTSFVAEEDAMSDNIDKNEMNGENEPIVSDSEMEELFSHEETRTASSKSSFETDSSGVNTEHKRKNIPSVSTSLLLGGVLGLLQALLLIICAKPLLGYMGVKPGSAMLMPALKYLVIRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFIFHYGVSGAAIAHVISQYLIACILLWRLRLHVNLLPPSSKHLQFSRFLRNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARKDHSKAAATASRILQLGLVLGLLLSILLGIGLRIGSRLFTDDQDVLRHIYLGIPFVALTQPINTLAFVFDGINYGASDFGYAAYSMILVAIVSIVFIITLASYSGFIGIWIALTVYMSLRMLAGFLRIGTARGPWAFLRSSTRAFS >OB10G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4370793:4371873:1 gene:OB10G14210 transcript:OB10G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTRMRSCAAVAIVLLLLQASQAAVSGESSSSSATEAKTLDMRKLLNITDGARQLLRGERGAVPEQRGAPPQRHPGLQRGDHQRVRGVHGVRRPRLLRRVRVDGARRPEPVPARRLQRLPRQARGGPRLPRQARRAPGAQRRRLLPVLQLLRLPARGRQFRMRVVRSFVKEDAVLIVRVCVSRPSRDRATVES >OB10G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4376754:4377503:1 gene:OB10G14220 transcript:OB10G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWMVMISRQIWRWPGMPRQQSGTGLSETQEIFKKFTKREQNESDVKIKRVQSDNGGEFKNTQVEEFLEEEDIKHEFSAPYDPP >OB10G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4448402:4450897:1 gene:OB10G14230 transcript:OB10G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLAAVHSGRRDLTSDDDGAQPMKRRKCSGVIPIVTMTVPGISDPTAKGPAADGGFSDSDVGGDDSCCGGKDDNGIVAEFGGLMTEITVEFVAKFCGSDCACEADRNGHGAARVRQQGMCQRCSEAQRRVFGKCGKHGKRGFRQVSKFQHAVSTLFPIKHKVQEKKNIRETKATMPTFGMILDLSRHHRIRRLCHLLRRRTRMCHDHPHRHVAPPTVPPATEPPTTETPRRIQVEGIWYCAHHGWTAWLSPAAQECFISTEMESPPTPFIVNLPSLTPPSPPPGFGQGSTIAECTGELPIEVPSSSHRHAGQAAARRPGLRATFRAVPHGSPIRRLANGNGVHTNGVRTRPVSDSDEEQEQLA >OB10G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4523692:4524444:-1 gene:OB10G14240 transcript:OB10G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSSGCRGRVRFNTPSVGADEGITIIGLEGATPNMLRKFSEDITPSFSGATLSGTATGVDGKPALHTGDIWVPEELLFVGAAISFVEVAGVHVTESCGHVDFDEPIRISRTGHSPRVVRRNLGDVSLFSMLIGVVSVLIDMALVNPGGTKSEEVSSRMLMMACCEAATLALAGALLLLLLVDFNTTGMNLSCFIELTSCSWSAGFGDAAVVWRELSLWAAWKGPTGTSTHSEPKRSVTNGWTRSAIL >OB10G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4525179:4526307:1 gene:OB10G14250 transcript:OB10G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVTVPANSTGDESSTSTHFKRKKARKNVVPGHRPMARSFRNSHNEIHDSSYSGSRNPLYDATTSNEVAESNPREPHQAPVEQVLATNETRSLEERLAQLTAALQQKEDELAALRTQLANRTGRENEGHATSQAAHQASPSSISLEAIQQMINEGVKAQYMQTHYSMRLGYVKPYPPDVDLVPFPNNYRQPQFSKFNGTGSLHEHVAHFLAACQDTANNGELLLRQFVQTLSGPAFTWHSKLAPGSIKTWEQMQDSFLEHFYSTQRTVGITELTQTEQRGNEKATDFINRWRNLSLHCPQPITEQEAVRMCMNNLNPDMAVYLQGVPALTFEELASKVTDIENYMQFVIRRSKPYSKPIEKSNPPDKATFKP >OB10G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4526848:4527925:1 gene:OB10G14260 transcript:OB10G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYSSRRQSIKMMKEDFDVHAIEIFEEDGKPLYFPDHESLEVEQVQLRLGRQLVDVRPLAPKNPRSKDVASYEVADLPPNVSVKYDVISHLKKIPAMLSVYDALCLSYDLRKAFISALSFPEDYRVEVSQTEVESTEVLDVTFTDEDLLLGSKKHNRPLLMYGQIDDLSINRIMVDGGSTINILPLRTLKRLGYSTGNLSSSNVVIHGFNQAGQEAGTISLVLKLASLSTYETFHVIDAATSYNVLLGYPCLHENQVVPSTLHQCIKYKDKSGETIRIFADERLADAKFYIEPVEKIEKPKPGETLEPGIMKGNPSECSSNCKIYQYIPSKQRREGDPIFRIISKSSSNQ >OB10G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4532379:4532651:-1 gene:OB10G14270 transcript:OB10G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEKLQADTLAEITKLNMVLQDVEQKKDQLSQAWSAQDMKVKKLDDEVCDAEGKASKRVADLQAKTTDLTQEAERLFSCLDKCCSDSN >OB10G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4533427:4534997:-1 gene:OB10G14280 transcript:OB10G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIAGLPLDGEFYEEFIPPRHEQDPSMLLYPKCLSQLLKVWEELQVGGVVSLQEWRADLCIVELYHPDRVAQQFRLDQLIPYHPLKSLYTAEDFGIAYAYWAHLLHPTQQDLSFLPTETHIGSSLVYWIKWYRNFFETFSSVLDSLSHGNVHGMAPYEDRIQGAVDRVMVPRRLSSDDFFIVKVVSVPQQAQYVASIKAQEHNLYMHPMEGSSSQPIIVENLPMRDDLVDDRANMPLGSKRKLSSELISSSDQGEPSARKKLDFSE >OB10G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4561540:4562236:-1 gene:OB10G14290 transcript:OB10G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALTFWTQVSSLVITVDLSCCRSFKKIRKTLCKLQESEDIRAIVYDDKAGTVTVSGGFDPLVLPCKLHRRAGSVIKDIHLKEERKKQEAPPPPPPPPPPAPASAARAFFCSACGTPTALGSVCLCHAHCHGCGWRCCSPAPLCYGAPNTGGRSCIQCAYDEPSPICSIM >OB10G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4598742:4600575:1 gene:OB10G14300 transcript:OB10G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAEIALATALDIAELPFSDLLLLASPDLPDGDRRSRLLATVATSLGRRGSGLLAITNVPRAGALRRRLLPLARRLAVMDHPSRSQLLKEHGLGSDVPMKKLDRPVSSFARLLRHSGKFHLLESMNEMESSKNEPDYPEKALGDDVIGETKGDGTEKLGQLVEELGVCMMELGILVARACDIVTGGNQLEKSIVDFGTAKARLIHYHSELDNIVIQESSRKRKGSKSKIAATDCKSCSQRSESSQGSCIRSEGGTTTMSGKQKDSKDKSNQGQGSAVSLTNLWQEWHYDYGVLTVLTAPLFLCSTLGEGGSISEECSPPDGHTYLQLFNRRRIFSVRCSPESFIVQVGEAADILSGGNLRSTLHSVTRPFGSTNISRETFVVFLQPSWDKILPYTGHCFAGHDEPSEGDDSTFSDGSVMYSSKHKLMQDILKKIPPLSSRLKEGMTFAEFSRQTTKQYYGGGGIQQNN >OB10G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4602809:4603060:-1 gene:OB10G14310 transcript:OB10G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVFGITDENGNFTIELPSWLHATPNLEKACAVKVIQLPLDSVCRLRHGPSSSHGIHLSSSEDGFRTYTTGWIQLQQHDTK >OB10G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4609947:4611228:-1 gene:OB10G14320 transcript:OB10G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLPSSSPHWLLLLLVTAVMLSATEATAPVVPMELYFSPAELASIAGYGEEPVSTVVVSGQVVCLLSCRRHGADLLSFELPGSSRVSFVIGISSTDLFSFKDRKVTAVSVNKSRLL >OB10G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4685184:4685384:1 gene:OB10G14330 transcript:OB10G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRKNVAGLGAKYLNRRQTPIVLEVITDELIKDAYTISIHQLCFMNHLCSNNLRRASTLTGEK >OB10G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4694369:4697719:1 gene:OB10G14340 transcript:OB10G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMERKKGSAETYIPKAEMKYFSSTPTVRPEHTAIGESALAAYINPMLVKRGVKRRVEIEVLLAQNRASSPLLEQQMGEEQKEPVKEEQAEKKEAAEEKKPDEPQEIIFRVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRTTDPAKLCERVQRKTKRRVELISPLPPPPEEEKKEEAPPPPPEENKEEPPKTVTVILKVQMHCDACAQLLQTRISKIEGVESVEIDLPNDQVIVKGVMDQAVLIDSIQRKTRRPAVVIVEEEKQAEEDQKKPEEEAQKAAEVEKKADGINEVKRYDFWPPVQYHAEYVYPYLPPPPLQHGYPYPPPVLASEEFSDENPNACTIL >OB10G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4703383:4703680:1 gene:OB10G14350 transcript:OB10G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCRAVACSAIAVLLPCCSLFTAAVRRQFLHRISGFLAEQRGIAAIADLSTKSQIF >OB10G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4744763:4757480:1 gene:OB10G14360 transcript:OB10G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGHVLLSILLVLLALSIVTLVAHMPLPQSFFASRPPHLIKNTGIDLTGAGDCVYSNGRWVRDAAAMTAYREDCPFVDPGFQCITNGRSNSSFRYWRWQPDRCQLPRFNATEMLERSRNGRIVYAGDSIGRNQWESMVCMLAAAVPAGKSRIYEKSGKPLSKHKGYLSMVFADYNLSVEYYRAPMLVMIDRLPAGSGAIKGAVRLDVLPRHADRWAGADVLVFNTGHWWNEHKTTKSGNYFMTGTRLNMTMDIKEAFRLALGTVTDWGTTNARLPNSYLFFRSYSPSHYSNGTWNTNGSCADQRDPVTIIDQFNEEYPWINAMISNAVDGMRRQRGMRAQFLNITYMTELRRDGHPSRHREPGTPLDAPEDCSHWCLPGVPDTWNEILYAQLMSMGYDTRTK >OB10G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4782815:4783327:1 gene:OB10G14370 transcript:OB10G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLHHLFPATVPATSTISTPNLSGHSGVAVLRRRSKQLTTTCNAQQNSDSNSALELAAGAAGLGSGAIVAWSLFTLKTTGCGLPPGPSGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGQFGLLGAAEGVSYLAAAAIVVVFGLQFFEQGSLPGPLPSEQCFG >OB10G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4790445:4798029:1 gene:OB10G14380 transcript:OB10G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSRSPSSHRRRRSPSPRYGSRRSRRDRSRSPYTFSRRKSRSPSPRWEKSQSPTPRRRRSPPSPRRQRRQRSRSSTSSIVNDSCSPSHVSEQNNLIEKQKEEEEKKRRQKEAELKLLEEELARRVEEAIRKNVEDHLNSEDGKYEIKRRVEEGIKKLFDEVDAQLQKEKEAALREARQKAEQEKREREELDRMLEENRRKVEEAQRKEALQQQQKELERFLELERIQKQREEALRRKKIEEEEDRANQMKLLGKNKR >OB10G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4790472:4793865:-1 gene:OB10G14390 transcript:OB10G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLLRLLCLLCRLGDGGLLRLLGVGDWLFSHLGEGDRLFREGVWGAAPISSTPPAPVPRGRGSTSAV >OB10G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4805053:4808833:1 gene:OB10G14400 transcript:OB10G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:J3N1P2] MELTGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERKTRPKMTLSVFFKIMALGLLEPVLDQNFFYMGAKNTSASFSSALTNILPAVTFVNAIILRMERISIKERRSQAKIVGTLVTVGGAMLMILFKGPVINFPWTKNANYNVADNSGQNSGHWLMGIFMILLSCFCWSAFFILQSYTLRNYPAELSLTTLICAMGVAQSGAIALVMERDMKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILSEVVTLGRVIGAIIIVVGLYALIWGKNKDHANQVDQDDNFEKHKSFELPFSTTNVNKTSNLEHI >OB10G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4858675:4858980:-1 gene:OB10G14410 transcript:OB10G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLFPNFKYVHRFFVFALLLSGALTRWMSLAGKSCWLRWINYLRPDIKRGNFTADEEALIVSLHRYLGNRSKSNPLFPTPFFLGYFSVFALHFPLCFCVW >OB10G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4859843:4860811:-1 gene:OB10G14420 transcript:OB10G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQGCLEAGGCLHYPSSPSSPTSTFAATSSSSSILQSLPLTCAALRRRWPRCVVIVSMEDYRTTAGGDDGSDVDAIDFDALARNLQR >OB10G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4864179:4898988:-1 gene:OB10G14430 transcript:OB10G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRVLHLCRLPQFQPPAALSSSTSAATPHLSPPTSLLLTPSCPSASSTHCVKPPVRILPSGLARDGFFSRRCGLKLYARIGAVLVGQAAIFLGLCNDSALAQNDLVGQGATRNEQTEESATGLQRIEDGSVISNEHTVKWRIFTDNARDFLLKRNIDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKQYEKAELLYLEAIEILEESFGSHDIRVGTALHSLGICYHLQHKFALAQTCYEIEGRVMGVGHPEYASTMYLLGKVLSQQGKDAEALIEESISILEESGLGESPTCIQRMRYLSTELIKLNRLAEAENWQRKILHNLELSKGWDSLDTTNAAELLTLTLQTMGKLKESEELLERCLETRKKILSEDHFQVAVTLVHLARLTMLNFISDKEDGDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATTALLQALEVAGLLESGMMSMLPPGEKDLYPVELALNKCISLHKEPCTRKFTSKALKNEYIRCLRRLTGIVQSDFTVSEALTLQGLLAEAQQIIEELVEESN >OB10G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4921071:4923252:-1 gene:OB10G14440 transcript:OB10G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVVDPGIPYDVVVKTGYFKNRNYHAVVDSWHLVIFEGVYTLHPSIRKSLDLWIAVLRFYYSNLEKTISPVSYQDILKVVDATKVCSSVQNFTDVYLRLPGIPLSGQLTEGKCIRPIREGNFIIQPKVDFDISASTVAGLLKLGSRAFLQISSYIFIF >OB10G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4935415:4936137:1 gene:OB10G14450 transcript:OB10G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPQEPPLSKMLLASVDLRCSDEILTIIAMIQTGNIFYRPKEKQAQADRKKGNLFQPEGDHLMLLTVYEALKAKQFLGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLDVVSAGNNDLTKVRKAITAGFFFQAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEIVMTTKEYMRELTAIDPRWLVELAPTIYRFVDPTSISKWKRQERIETLYDRYHKPNSWRLSKRRG >OB10G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4937406:4937747:-1 gene:OB10G14460 transcript:OB10G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPGHAWPSRWMSLPGLLRCGKSCWLRWINYLRLDIKRGNFTADEEALIVSLHRSLGYRSKSNPLFPILFFWIFFSFCSSFSTLFLCVVIYNIVLISISLKILCSTIVIEF >OB10G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4954319:4954528:1 gene:OB10G14470 transcript:OB10G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVDTAGIGVPAASICCEICRSRYSSSEIKDVRKKVYPAIEEADMDPDDYVKTSKKPYIVRQAMKPSSIIL >OB10G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4965050:4965274:1 gene:OB10G14480 transcript:OB10G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVFLLELSRKIWDVCIAAYISMVTVKSTTTFCEPMICAIKLDKNQRNLNKISGLSAAYFYTTPDRYRTMVAA >OB10G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4968546:4970087:1 gene:OB10G14490 transcript:OB10G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWGHRRAGRNGELIYQLLVVFISSSSPNPRDLQFGPSPCRLDVIAAAAAAALEWVYLSVPTGPGSNKHLRLGGGGGDGGGGDDGGAVEDDGFRLHDEVLVLVFAACSLDTDDLVRCAATCRRWRRLIARDAEYICRPKPRSGIFVRDLAVGFFHQSHQDDSASSSRPPRFVPLPSFSFRFRDGELDGVFDDNTRLFKNSRLIASRNGRLVLELRRSSRAAALRLVVCNPMAGDMSILPILAGKDRPGYYTCALLTADDLQDAADPLQPSPAAFRLVLLYKRRNFTACRSYSSDTKAWGTEGKISGIKIGGKRLGDMAAGVAVRGRVFWLARNAVFGVDMGTLEATSETIPSEWKWNCKLCFCLGSQVENRRLTVSADGRLCAVQVGRSLTNHDVMISVFSRYHCDDGCTARKWRWEKVQEVVLNHLLPLTNVKRICLRGVCEKSGLVFLATGADVYAQQPDAGLYALDLRKEAARLVPAPPGRCSDRRSSWSFFGYEMDRVAYLTSLARS >OB10G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4969164:4970129:-1 gene:OB10G14500 transcript:OB10G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHELSSIGVTGAALAAGEGGEVGHTVHLVAEEAPRRAAVRAAARRRRHQPRRLLPQVQRVQPRVRLLRVHVGAGRQEDEPALLADAPQANPLHVRQRQQVVQHHLLHLLPPPLPRRAPVVAVVAGEDADHHVVVRQASPNLDGAETPVRRDGEPAVLHLAAEAEAELAVPLPLRWDGLGGRLQGAHVDAEHRIPCQPEHAAADGHTGGHVAQALAADLDAGYLSLGAPGLGVRRVGPARREVAALVEEHQAEGGGTRLERICRVLEIVGGEQRACVVPRPVLPGEDGEDGHVPGHGVAHDEPEGGGARGAAELEDEAAVP >OB10G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4974621:4978193:-1 gene:OB10G14510 transcript:OB10G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMELLLFVFVLVVMAATAESKTAGKPGCQLNCGSVDIPYPFGIGPGCSRSGFELSCLTSGSGVAGPKAVLAGTSIQVTKLSIETAESQVILPVGWQCFNASQPKDSNGNWSAAATEMNRHGVYRISNTNNMLVVVGCNTLGYTESLRTEGGSYGRTYYTGCMSYCNNSDSAQDGQCAGVGCCHVDIPPGLTDSSVNFRDYDHSAMLDYSPCGYAFLVGRSNYTFRRADLMMDRNQTMPVYLDWAIRDNGSMSCADAKDKPGYACVSVHSECVDSINGPGYTCKCSTGYEGNAYVDGGCTNINECAWPNTQKYPCYGICLDTDGSYECRCHRGYQNSGDPKEQACSPKFPLAAQLALGITLGISFLMVGLLVTLMMIQKRRLNEHFRKNGGSVLQKVENIKIFTKEELKKITKNNSEVLGQGGFGKVYKGILEDNTMVAVKSSIEVNDARKEDFTNEVIIQSQMIHTNIIKLLGCCLEVDVPMLVYEFAANGNLQEILHGDNSHRIPLPLDLRLDIAIESAEGLKYMHSSTNRTIRHGDVKPANILLNDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELITRKPTIYDGNCSLLIDFQKAYEQENSGKAMFDKEIATKENMFILEKIGRLAMECMKEKVEERPDMKEVAEQLVILRRSRKAKQGNHRMNPQPFEEISNEGTPFSLGTGLSASSYGSVSAPSTPANNESSNL >OB10G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4988835:4990291:-1 gene:OB10G14520 transcript:OB10G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEEYDASYAATVAAVAYAIAAIEEEKEGSQAAPVREKLPPQKMPVMSNEPSTPPTLKLPPNKHGILKRPRLAEGSRTSRRFSGKELITNVYDDGDETEANVSVRRPVKPVKEIPEVGNSGQNVVGKVLDPAPSVRKVPSFAKPLPEKKGSMKFEQEEAIPTAPPNFRPTASFPREKKESKKLDQDQAIPRVAPDVRATASFPREKKESKKLDQDKANQMPPLAAAPTSSYLSDAEAMADKWEKEKMAKIKKQYSMTMDTIAEWEAEKKAKAKRQMEQKQGDNSERKRAKALEEYSDEMTRINKVAAASRLTAEEKRGSAERKVREKADRIRVTGKLPRSCGCF >OB10G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:4992834:4997459:-1 gene:OB10G14530 transcript:OB10G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPEGEEEEEEEEEQGAAPMEVDEEGELEEQVEEEEEEEEEQGEGEEGEEGEEEDEEWEEAEGGEEESEQAAAAEEEDSALVAVEAAAAAPVVDGSPPKLAEGFYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRAGRKRKRKITTTAVTGPNPSHGKRGRPRLDAKSHTRAPAQEPKQLPCRTSSRRATNSSSKTVVGLDASGSMVKHQLAQKIVQEGSSSGISRTPCKELPLSVRLTDQQNEQHLLNGSSNSETLIKVPPSQGQVTGAKKRKSGNVRRFEQNKPTQGQGKCGALVVAEDVGSTEGETGDKNKTEGCPNRIHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVTVDDKELKANNPLLLISYYEQHLRYNPTS >OB10G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5000119:5006190:-1 gene:OB10G14540 transcript:OB10G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAAAASHAAAPPPPPPADPHHHPHYPHPYAAYPYPYAAYHPTAPASDPSSAASSSYYYPNAVSTAAAGSAPPYDHYAAYQYYGAPAAAGAGSGASGGLSGYYFGAGEAFQAQSSSASQGAPGGTAAAAKEAGKHFGFDPQRYAQAAASRVTNGMAPPVTVLGMHHAQWNAQFGHPVPKTVSRKHIKKKPKVVQPLTCEVCKIQCDTPDVLRIHKTGKKHKKNLERLQDSITPKAVKPPNTATPSAAPAAITTTPSVLPAVQTKKKKSSAATPEELEFKKRRVLEAGAAQGEVKICTVCNVVVNSQKVYEFHIAGQKHQAMAQKQQAQQQIA >OB10G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5017496:5018563:1 gene:OB10G14550 transcript:OB10G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHISYFKFLRVLIVMFSDVHHQISLDFTGICQLFQLRYLKIESDIHVQIQLPAQIGELKLLETIDIEWGSVCIPQDIVHLPHLIHLVIPEGTGLPDGIGNLKSLMTLHSFDLGENSLHNIRSIGELTNLRDLNLCCSSNKNVTNTETWIDVLRSSIEKLGNLKYLHLYWPDTCGNGLSSLTPPPRHLRELEIIYWWFFKVPKWIGELHELHVMKLAVQEVSDDDISLLARLPSFTNLGLRIRRAPKLKIIMHDKAFPVLRYFKFWCRTPCLVFEAGTMPELTRLKLRFSAQGWGKSAATAPSGIEHLLGLQEIFLEIGGLREERRAAELALREAIGKHPGHPSVKIVSCPHTQL >OB10G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5021182:5022291:1 gene:OB10G14560 transcript:OB10G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSPARRFGEEGTTACSPEMRRGAGESPGCSWATRPRAVASQGCSLATPPATQESTGCCQVMPPAVEESTACSPAKRPAAEESSECSQAMQPWAESMGCSTAKQHAMEASTGCNPATRRPAEDSTGRSPAKQPDGEDSTGCSPATPPGAKASTGNSPVKQHDGEDSTGCSPAMPPGAKASTGCSPAKKPDGEDSTGCSPAKQRAAEAAPSSSSPAGSTARTRPETKGRRSPPRRHALNRARRRRWRRHVPVPSRIRRRLPRRKNRQRSWTRRKTSAPSRRDRELTATTEEEEKKRRSRRERGVGVRGWNGWMRGWKGPCPPYVGEGAPCTPTRSRSSNGGDAEIFFFFFFFLGIRRQDSTNRQSLWWA >OB10G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5028711:5029356:1 gene:OB10G14570 transcript:OB10G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:J3N1Q9] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGAVWAQSAAFPQFKPEEMANIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >OB10G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5035725:5036322:-1 gene:OB10G14580 transcript:OB10G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:J3N1R0] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >OB10G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5037148:5044507:-1 gene:OB10G14590 transcript:OB10G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRCVVVVLLVLMAMSQGCDAQNTTGSGLTRGSFPKGFVFGTASSAYQYEGAVKEDGRGPAIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPNGTGQINQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYTGWLDRQIINDYAVYAETCFKAFGDRVKHWITFNEPHTVAVQAYDSGMHAPGRCSVLLHLYCKKGNSGTEPYIVAHNMILSHATVSDIYRKKYKASQNGSLGISFDVIWYEPMSNSTADVEAAKRAQEFQLGWFADPFFFGDYPATMRSRVGSRLPKFTTTEAALVTGSLDFMGINHYTTFYTKDDQSTVIEKLLNNTLADTATISVPFRNGQPIGDKANSIWLYIVPRSMRILMNYVKDRYNKPTVYITENGMDDSNSPFISLKDALKDNKRIKYHNDYLTSLADSIWEDGCDVRGYFAWSLLDNWEWSAGYTSRFGLYFVDYKDQKRHPKNSVKWFKNLLASSS >OB10G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5059781:5059957:1 gene:OB10G14600 transcript:OB10G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRTLSERKLLEQESMRPPFVSSSQVYELGPESLIKEEKMKAGKISGVGPQVNTAETS >OB10G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5061312:5062929:-1 gene:OB10G14610 transcript:OB10G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDGHVFSPGGSAVALSGGIRYHRDREKRMVFLHLTTSPPTHLEGPGDLRELLLMFSVCRVIIFLQEGFWFDTQILKKFRLLQSSKYAFARVAISVVALLLFFLDQAVQSSTNSLPSPFLAVAAMGYRWDDGDGCQWRAKGKQEAAELGGTCKIVLVGGSSHSRGRTGYVIKRSVYSCSAKYLIGANFNGSSDPYTVISCGEQKRFN >OB10G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5089453:5097555:1 gene:OB10G14620 transcript:OB10G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKFGNLVRQSAGSGSSLYQAVRCMSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIMDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYRGGGYGGQGAYGNGGDGGYSDGGRGYGSGSGGGYSNFSNYGGYNTSGGYNSVGGRGGYGVSEGGHGYGSGTGYAGDSGGYYTAPGNYSGDNFRHGGAAPGVYEGGNYGGSSNNSYTSNATSDESAGKLDDLLSDLNVGDGQGDGESKDEGVGLVDEDLKGDDQEELIQDDLKDADVADDYANKTS >OB10G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5117724:5120699:-1 gene:OB10G14630 transcript:OB10G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPPLLLSTLALSVLVWYVFFSRAGKSRAPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPLIRLRFGSSDVVVAGSASVAAQFLRTHDANFSSRPHNSGGEHMAYNGRDIVFGPYGPRWRTMRKVCAVNLFSARALDDLRAFREREAALMVRSLAKASATPESPAVVLGKEVNVCTTNALSRAAVGRRVFAAGAGDGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNAIIAERKAGVKPAGSEEGKDLLGLLLAMAEEQESVAGAEEEKITDTEIKALVLNLFVAGTDTTSTIAEWTVAELIRHPDILKQAQEELDAVVGRERLLSESDLPRLTFFHAIIKETFRLHPSTPLSLPRMASEECEVAGYRVPKGAELLVNVWGIARDPSIWPDPLEYKPARFLPGGTHANVDVKGNDFGLIPFGAGRRICAGLSWGLRMVTMTAATLVHAFDWKLPAGQTPDKLNMGEAFTLLLQRAEPLVVHPVPRLLPSAYNIA >OB10G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5137158:5143845:1 gene:OB10G14640 transcript:OB10G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKAVFRSLQELFPQVDPRILKAVAIEHDNDVDSAVVAVLDEVMPSVTSTGPPAALSARQSIAPCSIGTSSSFHDMYGTGDSSSIFPLRSYPNEWAFTSGHGMQVEVDENAHSTQSSTGIIADRQKNVVDEADAETHSSNTWMNEQHHLPILTVPELLDNPHVEHGAYLLREYLDAIHAGESENANATTEPDVAHVQEQDSDNTSPADGSTIKDNSFSSPHDYVDIDGANYAFLESFAGVSNKEVPFGIPGTHGFAPMLDILIPDTRKSSEGLGGEEDTNSTGKADVNLNHLASISSTHSVGIESLEDSIADVKRSKNDLLPSLELVSKMIQDVEILEEKAKLAKHESSIAGTSILTKVEELKEMLNHAKEANDMHASEVFGEKSILTTEARELQSRLRRLSDERSNYLVVIDEIHQSLEDRLVAAQQEINVAEKEKIQKEASAQALLDEQEKEMKSIVEESSKLQKETEENLKLKAFLVERGQIVDTLQGEMAVICEDLSQLKQIVDERVSFCKLQRSKMSSLSSLQSSLHKSGSFSNRAIETAETTDKHTVAEGASPVVGDPNGNERIVEVLDGSGITGKDNRKPTETNDDGWEFC >OB10G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5149138:5152763:1 gene:OB10G14650 transcript:OB10G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N1R7] MAPVPRARPHALVVPFPAQGHVIPLMEVAHALADRGVAVTFVNTEFNHARVVAAMSSSPVPSKTEFNTRNGSSGRMIRLVAVPDGMGPDEDRNNLVRLTMLMQEHMAPRVEELIRRSGRGGEEEGADGDGLGRITCVVADYNVGTWALDVARGADSSVLSLWSAFSPFQENTREKWEAETRVYVIVEISVPYPQSREVRVFHHDNYGHCGGFCGSRTNSFGKYDINYSSRVWIAALTQETFQLSPDMPVMNPAHLAWNCIGNDEGQELLFRYLLAGVRAVDECDYILCNSFRGAEAATFARFPKIVPVGPLLTGERPGKPVGHFWRPEEDACMDWLDAQPARSVVYVAFGSFTMFDRRQFQELALGLELTGRPFLWVVRPDIVHGDVHEYPEGFLDRTASGRGKLVSWAPQQRVLAHPAVACFVSHCGWNSTMEGIRNGVPLVAWPYFADQFVNRAYICDIWRIGVQAVADGKSGVITKEHIAGRVEEVMGDAGMRKRVEALMAAAHESVQEGGCSHGNFDMFVEAITA >OB10G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5192652:5193248:-1 gene:OB10G14660 transcript:OB10G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAASPLLWKMKRLLNIGSEREIKKAIRLINGLAAAMIQDSQKLGVGNSHDLLSRFMAPRRTSSFATSSSASSSPAGISALTTLFVLLSKPPAHSAGHRPRCWSRRLHRRLRLRSCRAFAAAVLPEVGTSPMEENGRKCQMDGRKRKEVSDGSDFKKLRWHSPEYANCSGIFINRQICSGMESINPCLKGDVVGGI >OB10G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5228980:5230325:1 gene:OB10G14670 transcript:OB10G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQLLQMMQFTDSTTTSHHSPRLPGGGVHGLPPPTPISSPFSSRKPRAQYSK >OB10G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5233006:5236111:1 gene:OB10G14680 transcript:OB10G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQEGHPTCVNLTPIPHTDGHLWRKYGEKKIKNSPFPRTLTHAKRVCISGSSRRKTSVEYQLEMGDGTITKPIIMALVLHIRLIIRRVVILFHLPLKVSWLTRLYYRCSYRDDRNCVATKVVQQENDADPPLYRVTYIHHHTCSPPPPAPPPGDVVFADPPWPGKADQPVFFRFSPTGADPPSSPAHHQQWQHAAAAGGAPGPSAVATRSSGGGGPGAAPRDGRRREVAGGHPLRPAAHAADVDVPRRRRWAQTDEVVGATAVGDDGRRVGHVLGVRFGLVAIRFG >OB10G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5304378:5305554:1 gene:OB10G14690 transcript:OB10G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKNSLLHMPPPTQQAQIAATPPRQYFSATYTIGHIKPHLHGKKTEITANTPGTAPSNMTVTMSTDGRYNITAGWTEFLSSTTLLSTLCSHCQSVVLFSCQRKTVDQQQPANLKF >OB10G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5325498:5326146:-1 gene:OB10G14700 transcript:OB10G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSFVRENLTGTKKEEQDAKKLQNAVSPDCKEAQQISAAIAQRLQRHSYGEAANKYAAHPWRIIVGGGTSDVSLAGRRLTVGRRWESRDGVAALIRIDATAVGSVCQ >OB10G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5332598:5333505:1 gene:OB10G14710 transcript:OB10G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERGAGACFVDGQCTCSQAWRPFSWALYEFDDGVGRSIASTHQRDLFFLSCFHAKSTEDDSGARHGEAGGAVGDGGARRGTEAAVSGGNMAGGMGMEVLAGGGRSSGARQVPGAQPV >OB10G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5344239:5346085:-1 gene:OB10G14720 transcript:OB10G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAANQARLGGLPRLRRLGTDLGGGSQYIQAMMTSARPVVNELRLVVRVRLFFSMLDGGGKDLPPGEGEEEMEAASPDYTPSSPLRGTASADYTPSTPPWRAASPDYAPSTHPQRAASPDYTRLTPPWRAASPDHTPATPLRRALSPNHTPSTPPHQAVLLYYTQETPPHGAPHRTAHRIAELHAVVPCSRSRLDGLHSIDASGPRHITRLHSGKSSTSCGVSALQPQHGDPVACAVQCRVWHVLAGAPPPPVHEERLRQRLLLESGGLGHRRPASPCLEFFGEILLF >OB10G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5364199:5364510:-1 gene:OB10G14730 transcript:OB10G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNLSSWRSKMALRLFLAECKMQPFCIISIDKSWKAGRLFLSSDCQLFYLQFAMTSNLQMHPFRPVLIVNYSPHVQNACMFVIPQFSEKPQSNCMNNVNHLH >OB10G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5365135:5365767:1 gene:OB10G14740 transcript:OB10G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGAEASPGCSWATGPRAVASLGCSTMAPPGREESTGCSQPTEPGAVASLGCSPVMPPDGEDSTGCGPVKRLAAESTGRSLAKQHAGEASTGCNPSTRRPAEDSTGCSPAKQPDGEDSTGCSPAMPPGSEASTGCSPAKQRAAEGVPSSSLAAGSTARTRLEKKGRSSPPRPQALSPARSRRRFSSNRQGSELSGGPDGPNVIRPNGSV >OB10G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5372551:5372910:-1 gene:OB10G14750 transcript:OB10G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVMLPLLVVALLTIAVAAASASAYARAAAVADGGVEVGTTMATMMRRALADLNATADAGNATAAANATTGYISYDALFADRVPCSLRGASYYNCHPGAEANPYTRGCSAITQCRS >OB10G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5392329:5393606:1 gene:OB10G14760 transcript:OB10G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPRPHRRSTTGGHGEFDGRMPEEPIEPELEGNGSVHYFTNLLVNDQESDDLPPPSHTSNDDVPGASKGSQKRTKNFRDDEDRLLVSPWLNIGMDPIQGSDQPLGAYWRRIHQYFHANKTFESDHTQGSLMNRWGVIQHDVNLFFSMSVKD >OB10G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5421299:5423677:1 gene:OB10G14770 transcript:OB10G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQWWPQVRPLDFSGARVSCSVDESLDHINILHSHDIESTGLDQILNLEDQGVQHGVVSCLIPRIL >OB10G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5425947:5426360:1 gene:OB10G14780 transcript:OB10G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKSGSGSGDLLSSGKVVAQATMSAFQQKSVEGVDKKEVAGAAADLLHSASTYGKLEDKPVGQYIEQAEGYLKSFSSGGAAKEGSKAPASEGFGLDDVMKGAESLMEKKGGGEESAGSGGGAGGLFKMAQGFMK >OB10G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5435210:5437256:1 gene:OB10G14790 transcript:OB10G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLNMSSYPTITCEEALRRELEYRQKIERSHSHLLVGINRDHPLLKDVGSGSSPDFLTRNSALDSSIPSAQTCFVGSTMQRPPANWYPSKKKLKILQPPSQALQTPRPNLVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTGRPGTINQCAGNKNPGPNGNAGSGCRNNEPNVCSSNTARPHSDISSGSQTNRIIDNTNKGTSSYCDGISNSKPDQNC >OB10G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5438360:5439712:-1 gene:OB10G14800 transcript:OB10G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSESSPVLSQPLVTTRPPESLPVSARPPKSSPVNARSLESPPVDDDKEEAKWRLKMATTAATVNGGNAGEEDGGEEGVRILPGYDDGKGADGGVGPKTSGPWVPVKPVSTEDIYSTRFATKNKQAMKRENYGTSQAKGLFALSLPTCKFSMAWHN >OB10G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5443342:5444312:1 gene:OB10G14810 transcript:OB10G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF179) [Source:Projected from Arabidopsis thaliana (AT3G29240) TAIR;Acc:AT3G29240] METSCFLTSKAGRVKSLAMPSPAGAAKARPQLLLGGGRRAASSSVTTCCSYDGAAPAIDPDWRSFRAQLYFSEQYAKSVNPAVAAARRTSTTPEPVKIGDKWAHPLVEPEKGCLLVATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >OB10G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5458149:5462230:1 gene:OB10G14820 transcript:OB10G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N1T4] MRRTKSGLGRSQAVVGAGPGALGGTAYMSWWPIYNGLGDDAKLVARAIGLHCGVPGYGFHTLTFAFEDDRFKGATLQAMGIVPGEDEDYSIVGGTGEFAMVNGVVNRVVLTTGEQMDIDRLTITGLIPVLNELQVFFFSGRAVPLIATRG >OB10G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5470783:5473115:1 gene:OB10G14830 transcript:OB10G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAISSVAMGVDVPVDKVPVQNQVIKIGAWGGNGGLEADVGVHPHRLQSVTIRSGQIIDSLSYSYTDQAGEQHVAGPWGGMGGNTATIELANMEFIREVSGTTGAFLGDNVVTSLKIITNLNMYGPFGVPFGTPFNVPLHGNNGIIGFFGRAGQFLNAIGVYTRA >OB10G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5477978:5479034:1 gene:OB10G14840 transcript:OB10G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRDNCVSQLAVCTGSVPDELSSHPIASLQQKYSSIFLTFGLYCCNTINSFNSINFCGPRRRLDARRERVGGLFARRELRVHREWDGDDGGHSPNRHATVMVGEPVRSWWTPKPEQILILESIFNSSMVNTPKDETVHIRKLLKLFSAVGDANVFYWFQNHRSRSRRR >OB10G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5487916:5489937:-1 gene:OB10G14850 transcript:OB10G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGKPLLGPDLLSSTVQPSPASLLAEAPSRCWQLRHVGIRRLRHSPEMGVWPGLLGYELEDECLDPLAADYYHEQLESDITGL >OB10G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5491952:5492251:1 gene:OB10G14860 transcript:OB10G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSQADQNDGGPTWQPQEAKHRQRHLHRNLNVEVPVVASTGCFTGCFRPSPTSSSRCASPSGVNPPHADRPASPSPSLIKSSSAWIRARGQSFASS >OB10G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5496073:5497422:-1 gene:OB10G14870 transcript:OB10G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITKSEVIRPSPASCGDAGGARSSPLTVFDRAAMDMYIPSVYAWRNAGGGAEAVAEAPPSNRAIKLGLAAVLPRFPHLAGRFDVDARGRRCFDLNDAGVFVFEAAASGTLADALAHDVAAHVNELYPKADTERADEPLVQVQLTRYTCGGLVIGATAHHHIADGHAERGGRNSRATLPLDRIQNLAVHFPDEFVARLKAQVGVRCSTFRCLLAHAWKKITAARGLPPEAFTQVRVAVDCRGRTTPPVPRDYFGNMVLWAFPRMQVGQLLSASYAAVAGVISDAVARVDERYIRSFVDFGEATGEEEELAATAAEPGTVLCPDLEVDSWLGFRFHELDFGGGPPCAFLTPDVPIEGIFIFVPSCAGKGGIEMFVALQDTHVEAFREICYSLD >OB10G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5508080:5509617:1 gene:OB10G14880 transcript:OB10G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSYGHAAAVVVSGGGVVQKNAAVSVVETAAAASTRLLDADEFRRQGHQVVEFIADYYDGMGEYPVHPSVSPGFLRRLLPAEAPWRPEEPDAFAAALRDHEKTANELNRTLLEEVNAVESGPYMSSANAGGVYMLRCAVGSTLTEERHVREAWKVVQDRATFLLRKMEITA >OB10G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5542691:5544772:-1 gene:OB10G14890 transcript:OB10G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPCGDMAWHMGRTWTHGRGQEGNVPGCLPKCGLSRENSKVKCAWPGAILGWVTDREVISRVRMNALSNRLGTGGYIGKADSWAEEDEAAHRSGALVPFTDLEVERAWKWSRARAKQNPDNTLMFPNNADADVYRQMQLFVDTAPCVLQVRVMAKFSSDAVEGLVFKPLETIRVHSAQLLDGHAKVQVDRVLDGWITFPLENPQKDEILTLCATKGTYIEWPKHNIIIRIKPMFPPIPQPKDSMPSPVEPNVEASIGQALTDPHFGCGPALEVDDFPPNLPPIKTVVRASSSPSITYQEKILREGNGERAPISQH >OB10G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5558360:5560347:-1 gene:OB10G14900 transcript:OB10G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARECIGILHKSFKEVLDEEKELAWDKLKEKFDYPPEAVLALKRQALIKISISLKKFKSMLVNEYILNRVDLPNDLTGELSRPEIPHTNF >OB10G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5574910:5576134:1 gene:OB10G14910 transcript:OB10G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N1U3] MRLPPWSAHSRETSSTCTCTCARLMLAQTVTTKPLILNPKVRPNDFGVTAAAATISESLDPNAKVVARAEGFHMQTSYSGTSWYASFNIVFEDDRFKGSMLQVMGTTPAEGQWAMCSGTGEFALAHGIIKQKVIQSSPGENVKELHIHAFYTPMNNTVVPGATDGKSWTLGA >OB10G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5583048:5584577:-1 gene:OB10G14920 transcript:OB10G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N1U4] MASHPRSSAFFILQLSLLPTILSLQANAAYYDISPVECGCPDQYEVTMRLYLHQFVAGPNHPNRNEEFVIASSYPNGFGTTLVNDWYLTTTPSPNGKVIARAQGIHVQAGTTDANSWYTSFNIVFQDDRFNGSTLQVMGIILAQNFGEWSIMGGTGEFTMAHGNIKFRVDTRDSTSEDAIRELEIRAVYTSITQLAFCHKHL >OB10G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5603470:5603688:-1 gene:OB10G14930 transcript:OB10G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGKDAAVARVAGSYCRYAIPDLAAADVLPARVYLQSKGKPRRLTSVACRLCGPLQATTRCCHGHRRPTQ >OB10G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5603488:5604411:1 gene:OB10G14940 transcript:OB10G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAGGGLQRSAQAACDGGQPPGLALALEVDTRWEDVGGGEVRDGVAAVAAGDARHGGVFPRDTHGAPRARGAMRQGEGARRALLLVLAIAGVLWFVTQWRKAGELAGGGIDDEVGYDELVDEEFFAVAESGPRQFQYSDLTAATKNFYDERREERNTRVLSPLSLVFLSSQKI >OB10G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5604740:5608858:1 gene:OB10G14950 transcript:OB10G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAEVLRREDPVGVLEEGGSAAGAAPGAGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGNVVCRRFWSCHGCLCYEYDHVVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEEAEMRGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRSVAEMLGKVKSKNHMAACELPYNDAS >OB10G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5613393:5616530:-1 gene:OB10G14960 transcript:OB10G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSMEGQIPPWIGNFTALEVLNFETNSFSGNIPQSLDKLTSLVSLSMQRNKLEGAIPPSLFNISSVEKFNLGSNQLTGSLPHDIGSTLPNLKQFGVFYNQFKGPIPPSFSNISQLEKLILHGNKFQGQIPPDIGIRGYLTVFEVGNNELQATEPRDWDFMTSLANCSNLQQLNFQLNNLSGILPYSVANLSGELEWILMGGNKITGTIPSGFGRFQKLTVLELADNLFTGTIPSDIGKLSNLHRLLLFQNTFEGAIPSSLANLTQLNELLLFSNNLGGNIPPNLANIHMLDSIDLSCNQLSGQIPREILSISSLTKLLNLSNNLLCGPIPTIGNLVSLGVVDLSSNRLSGKIPASLGSCNALQFLYMQGNLLEGNIPIELSALRGLQVMDISSNNLSGPVPEFLKNFRDLKQLNLSFNNLSGAVPDGGIFCNKTSVSLQNNSMLCGGPLCLQLPACATLAPHGHSTLILIFCIIGVLVLFLCITACYYINKGCKKPSESDQGSTMFHTNNYQKISYAELREATNSFSPENLIGHGRFGRVYKGTLHYGEDLLTVAVKVLNLQQYAANRTFLAECHALRRIKHRKLVKVVSVCDSLTHSGHEFKAILLEFISNGTLDEWLHLSRSNSTMLDGTLNLVQRLNIAVDVAEALEYLHHHIDPPIVHCDIKPSNILLDDDMNAYVSDFGLAKIGNIKPCEDHLGETSSIGIKGTIGYLAPENGMGTRVSVQGDVYSYGILLLEILTGRRPTDALFDGATCLPKYVEIAYPDKLLEIVDAAMLRHIDTISLSTQDMMDLFIAPVARIGLACCRESASQRMRMDEVVKELSAMKKAWVAHLPTDQ >OB10G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5624268:5625059:1 gene:OB10G14970 transcript:OB10G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCFLLQLLALPTIVIFLFLFLVSACHTTDAGALLSFRSHITKDPSGALSSWCAVSNGTTSNGFCRWAGVRCSHGGHVVSLNIRGLGLAGTISPLIGNLTSLRVLDLSDNKLEGEIPASLGKCQELQSLNLSVNFLSGVIPPAIGQLTNLADLCIRHNNISGYVPSAFANLTALTVINVADNYLHGEIPSWLGNLTSLKSFNVAGNLMRGNIPDAVSKLTNLKLLIYQQMDLEVMFLHHCLIYPPFRCCILGQTSFQVPCL >OB10G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5626983:5627504:1 gene:OB10G14980 transcript:OB10G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHISDFSIATILSAEAKGQCLGESSSVGIKGTIGYLAPEYGVGTEISIEGDIYSYGVLLLEMLTGRRLTDTMFHDDLSLPKYVEMAYPSHPEFYLKPKFVKEIRK >OB10G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5631018:5631233:1 gene:OB10G14990 transcript:OB10G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNKLLEIVDNTIPQDGNTKAIVDWLIAPISRLGLACYRKSASERMKMNEVLKELNYIKETCKIKFAEIIHT >OB10G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5637613:5639472:1 gene:OB10G15000 transcript:OB10G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELSIHGTSSMDALREYCSNIPCKLLLNLGGGDAHGTSTHNLFDEMSSSVELYEEDILLVMHMEKVTRDEAMHFLQEELREAKRRQDEKLDQLLKMLGTEEARSEVYEKREEEHSTGINSTSGNNQSVSCLPLTSPILCLQARTSTIDSSGDSKKAHAKWLTLCTDFKGGAKQVEVILMPTRCSVECLRHDNRVLATPNCIVVNPWPPPTSDKVLTSGWHMRPLPWPWLTFWCGVDMWLLACSWPPPLEDGTVHLLTIGWAEDEPLVVDLCELFSHAVDRIEQYMHHDFIKHHLQGLVDMWHLCVVQFPLLQIWDTMICFNFYCARSGSNICYIILKLLTDSGSKAGCLGPMAGSHFDCIELLEFTYDKLVQVTWFPGEDRNFLGVILVIGWYLLRLNQFSHDIAFANPEICSILFSLEIAKLKSNGMIYMPTSSREARTCSIHELGTETELHMLNMSIKNCFEVTRYAKQWCNSATTIFEKNKPILKFSACTQLHSQSEVTTDQSETNELLIPGLWFFCTA >OB10G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5642078:5646709:-1 gene:OB10G15010 transcript:OB10G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N1V3] MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRSELQYVLMGLYTPLITCVVMLYIWCAATNPGDPGIFKAKKHPRLDKDGKQTQENSEHELHHGGKSFSDGCSVVNNSERLSNMFEGNVPSSHPGLCGIPYVLCTPFSCLWKRCFHSDDQSSQQHSSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLLIMQWLVGILVLILCLMKRGEFSGQIVSKLGSSFSTAAFVIVVMTCTLLAMLATIPLAQLFCFHVLLIKKGITTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFAPLQRGSWCTPTRLFLEDQHVIPPEMPQNTWSKKAKQSDTAKRKPAGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLHPIMRNENPKHHAKTRTDVGEQFLSENSVDPTTTTRTPDSNCSDVEEDMETCGSLAPLKHEPRSVFQPSIASSSIRNLTGSPQSSLDSPDLHPFRVSMSGGGADELRSFMSLAASELSTAPKSSVALSRSTSGGYEASGGEDSDRIPSKIVHRSSNWSNAILNSGRRETGADLTLPTSERFFANTRFS >OB10G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5655275:5662254:-1 gene:OB10G15020 transcript:OB10G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases [Source:Projected from Arabidopsis thaliana (AT4G34260) TAIR;Acc:AT4G34260] MDGGWVWVRWPPVDEEEEERPLKVAFDSPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVIRKLVDGGKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSNQGYTSYKRELDLHTATVYVTYNIGEVQYSREHFCSNPHQVVATKISANKSGQVSLTLSLNSLLEHSVRITNKNEMIMEGTCPGQRPAFRHHKANDAIGIKFAAVVGLQIGGTSAKVTVIDNQKLRIDTADWVVLLVTAASSFDGPFVSPSESKLNPELSALRTLNISRNTTFAQLKAAHLEDYQGLFHRVTLELSQEPMLDKAILEEAGQDKKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDLAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFAFIASLAVNGTKTAKVNYQAGGWVTHHVSDIWAKSSAYYVDAMYALWPMGGAWLCTHLWEHYQYSLDREFLEKTAYPLLEGCAMFLIDWLIKGPGGYLETNPSTSPEHPFIAPGSGGHLASVSCSTTMDISIIQDVFQAVVSSAEVLGKCDTDLVERIKKALPMLPPIKIAQDHTIMEWAQDFDDPEVHHRHLSHLFGLYPGHTITMQKNPEICNAVANSLRKRGEDGPGWSTTWKMALWARLLNSENAYRMILKLITLVPAGGKVDFEGGLYTNLWTAHPPFQIDANFGFTAAICEMLLQSTYGDGELYLLPALPRAKWPRGNVKGLRARGNVTVDISWEKGELQEATLWSHNPSSVLRLHYGGQVATVTVSEGNVYSFNGALQCVETMPTW >OB10G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5665292:5672288:-1 gene:OB10G15030 transcript:OB10G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYCPGERPTEYGNASDHPIGIKFSAILYLQTSGANGTVEILNNKMLKLVGADSAVLLLAAATSFEGPFVNPSDSKLDPTTSALTALSVARNMPYSQLKAYHVDDYQNLFQRVSLQLSRDSNDALGRNSLVNLPENNSQETFVSDCAVQMIECSTFQRFNNSDKPTVDRILSFRDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWNDDTSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGTKTAKINYEASGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYSYTMDKNFLEKTAYPLLEGSASFLLDWLIEGDGEYLETNPSTSPEHYFIAPDGRKACVSYSTTMDMSIIREIFSAVLVSSDILGKSDTDVVQRIKKAVPRLPPIKVARDGTIMEWAQEFQDPEVHHRHVSHLFGLYPGHTMSLEKTPDLCKAVANSLYKRGDDGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPRHEVEKEGGLYSNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRNKWPQGCVKGLKARGGVTINISWKEGSLHEALLWSNSSQNSVEKLHYGGQVAPISVWPGQVHMFSKDLKCLKTWAL >OB10G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5677574:5678699:-1 gene:OB10G15040 transcript:OB10G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAAHLMSTELFVGGDHLGTNDDSLRAFASFGDVTEGRESRKSTGFGFVNFVNGDEAKSAMEAMDKRNIRMNFANERPPGNQGGGGCGGDGYGNQ >OB10G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5698671:5702261:1 gene:OB10G15050 transcript:OB10G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDNFIDSFVVGFFHQKQDKEDGSHEAPLFVRLGERFEHASMDWLFSQQMFKSARLVACRKGRLILELHRTSLGAVLRPLMCNPMTGEVCFLPPLSGKERVGGRGFMENYTCWSKHGEQEAPDVEANEEVLDQNRVNVAIAPESMFVPSLLGGDTIDFDTESLSQMLHDIEDADDNDKDFEKFSKLVKDCQMPLYDGCKSKHNKLPCVLKLIKLKASNGWPYMEVDNDMIYTRSEVNSVIGVRMLTKKHLSGAQKRNKREGE >OB10G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5722577:5723689:-1 gene:OB10G15060 transcript:OB10G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHTPNIQKQEQEESWMVFLGHSQTNTGMTRLSLLIVLMLLTTSAYADECNRATPDVTWCTKILCKLGCIVYGLSNGGGKVKQYWCVGGWKGGVCNCEMCHG >OB10G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5727403:5728770:-1 gene:OB10G15070 transcript:OB10G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRKEPMSGWSGCHVTWLDWSHDSWRSISVNDGVIVTTLREELRSGRSRMSGDDVEYEVCRGVVTVVNRSRRDIDDELMVLGRTDGKEREKRE >OB10G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5747708:5749279:-1 gene:OB10G15080 transcript:OB10G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAVAFFFLLVLAFQGNPSFAEECLVLRIPDFVCPFPACMLTCKIRAIGHHGKVKEAWCTGKGLKGICNCTICME >OB10G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5751137:5751743:-1 gene:OB10G15090 transcript:OB10G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAAAVFFVLIVVFQGNPSCALESCVFNSARLATCVLPVCKLSCLVDAKVRHAKYKDGWCDGFINAVCFCRLCHHS >OB10G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5752922:5753746:-1 gene:OB10G15100 transcript:OB10G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAATFFFLVLLIFQANPSAAVEYCIFTGARMLMCMQPTRGFGCMIDAATRHLKYKDSWCDDFFRGTCRCKLCRDTVD >OB10G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5757408:5757741:-1 gene:OB10G15110 transcript:OB10G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAAACFFLLLLVFQGNPTSADESCIFTSAHLPTCILPFCKFACVVDARSHHAKYKDGWCRGFFNGVCTCQLCYD >OB10G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5759026:5760400:-1 gene:OB10G15120 transcript:OB10G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKYWNLCFLLMLLLLLDPTLAEDECRDHVGWELLCIDRTCKFSCSFEAIVRRGRLQDSWNSSNCVRLAADIKVSKDLM >OB10G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5765394:5766143:-1 gene:OB10G15130 transcript:OB10G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKHHTLCFLLALLFVANASFAAGECWETTSSSPICVKFLCKVTCWIGGKATNGRVVEATCTGSVIKSECYCRYCDDK >OB10G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5772998:5773922:-1 gene:OB10G15140 transcript:OB10G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSLNLGFLLVLVLQLSPSMAEECIEDVGWILGCSKGTCKFNCWIEGLTKKAKVRDVWCSDMHNCHCLICSDK >OB10G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5777044:5779479:-1 gene:OB10G15150 transcript:OB10G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3N1W7] MSLLLGFLLLLLMLSLHYSPTSSAATDTVSPGHALAGMSDRLVSNNGKFALGFFKTVSDNSSSYTSRNSYLCIWYNKLPMVTPLWSANGESPVVDPASPELTISGDGNMVILDHVTKSIVWSTGVNATTNDTIAVLLNDGNLVLQSSSNSSMVFWQSFDYPTDSLFIGGKIYRNKVTGLNRRLVSRKNTIDQTPGLYSLEFDISGVGHLVWNSTVIYWSTGDWDGKFFSLAPEMIGATIPNFTFVNNDKEVYLTYTITKETAITRAGIDVNGRGIIGVWYDNLQNWLINYRMPILHCDVYAVCGPFTVCNDDNNPFCECMKGFSIKSPKDWDIEDRTGGCMRNSPLNCDFTMNKTGLADRFYHMQSITLPHNGMNVQTVASQDECSEVCLRNCSCTAYSYGKSGCSVWHYDLYNVRQQSDGVADGNWKSLHIRVAANEMQTTERKRKSGAIIGVGTGAGIGALILMIILIVFWRRRQKWFACGVEINAQEGIGVTAFRYIDLQRATKNFSERLGGGSFGSVFKGCLNDSIIIAVKRLDGTRQGEKQFRAEVNSIGIIQHINLVKLIGFCCEDDKKLLVYEYMPNRSLDVHLFKDNAKVLDWNVRYQIAIGVARGLAYLHSSCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHALTTVRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSEVHFEDGGYSAYFPMQVARQLIDGAIRNLVDAKLHGDVNLEEVERICKIACWCIQDSEVDRPTMCEVVQFFEGILELKIPPLPRLLNNITGGSHPTSLLSIDLQ >OB10G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5806622:5809725:1 gene:OB10G15160 transcript:OB10G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRMESVYRRWLQERKVGGGGVEAAAASAAGGGWWRAAGDLRRELHTALGTAKWQLDELQRAIKSNYSVVLAGKDTRARHDDFVSAIGDRILEVENSLKEYNTTEGHGPLSWVRLDEGEREELAHFLSAGTYQKRDDVVTIPSAGDIEVGSNARRVKKGVSSDSSNDSSGSAESGLVSAKEETAPGHRRTASAYADIGVWCITIPDEGNGIDELSIDDLPKAPLVKSPSSSALVNALQSKPRKTKNGARKWTGADQQDVVESLPLTNSHSCQGFDGLFQRSKSSLSTSDDEDTCNKKLYGFVGAFRRLLQRSQYQVQYGRPVQLLVLAIAVLLFLIYVIRAIL >OB10G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5809343:5814025:-1 gene:OB10G15170 transcript:OB10G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3N1W9] MKDPPGAPGTPGGLGLRLVQAFFAAAALAVMASTDDFPSVSAFCYLVAAAILQCLWSLSLAIIDIYALLVKRSLRNPQAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICANNHCASFETATAMAFISWFALAPSCVLNFWSMASR >OB10G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5825858:5833064:-1 gene:OB10G15180 transcript:OB10G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGLQATESLPAAKKDAFVATGDEASPEAETKLAAGGERPLVLTTRISTTTIRLYRFVIFVRIGIFVLFFMWRITYAARLISSTTDGGNMRKKAAAFRTLSIAGELWFAFMWVLDQLPKTMPVRRAVDVAALADESLLPKMDVFVTTADPDKEPPLATANTVLSILAADYPAGKMTCYVSDDGGAELTHEAVVEAARFAVLWVPFCRKHSVEPRSPEVYFNAGEAGATKGRVVARGSYKGRAWPELVRDRRRVRREYEEMRIRIDALQARRRSPASGTRLWRRHGTGDDHAGAVQVLIDSAGRGAQLGVVEGSKLIDLTSVDGHLPALVYVRREKRRGRAHHRKAGAMNALLRASAVLSNAPFILNLDCDHYVNNSEALRASVALHSEPEQSHVDDEDAIAAARALVSCSYEDRTAWGEDVGWVYGTRVAYLNTTVYPFTSLFLMAYCLLPAVPLIAGCTPDAVEDMPPTATYVAFLAALMLTLAAVAVLETRWSGIPLWEWWRNEQFWMVSATGAYLAAVAQVALKVAAGKEISFKLTTKSSPLAGTGAGAGKDDPYAELYAVRWTVLMVPTSAVLAVNVASMAAAWADTGGRRWWDWDSTAAVAALRVAFNAWVVVHLYPFALGLMGRRSKALRPILFLLGVVAYLAVRFLYLVLQFHSD >OB10G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5849168:5851956:1 gene:OB10G15190 transcript:OB10G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVGAFLKLLDYMKSMGLTGVYVARHFALRHIQLLKERVHISFDNLGTSDPPDQGDSEVPKVGPASEERTEAQCAKLTTTKTTLASVSMLQVEDSKDTTVAEVADYGVSDVLSVAGLKRYLGEEIDIELRMTPIQEGEDNESITPLDSHDTNLSHVVIQGPITTARAELLNLHASSFLRCPSCKFKNGLLPNNLYDYEPWRGIGSTCCEDWRRKRLGKAFKSRWR >OB10G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5853116:5853849:1 gene:OB10G15200 transcript:OB10G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPQLTLTLPLGFKFQPTDQQLVVDYLQRRVVGESCIIPTVVGLDMEGFDPWHIPGMPLYGDKKWYFFIKTDHVDRTCVRMAPSGSWKTTGTNKTIFVTGAQGVPTAVKRAFVFYLGQPSLETNKTSWIMHEYCLTNPSTEEIVLCKISNKNQHELRAGLYDVAYNLIIHFEPLAMEHPNHVSGIDATAATDDLGDLDEENVSNNTTTTNNF >OB10G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5872204:5875077:1 gene:OB10G15210 transcript:OB10G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3N1X3] MAMEKAPSVEEPLLLGAGGKGGEGAAVAELKRLLHLAGPLVASGVLRNAVQMVSVMFVGHLGELPLAGASLATSVANVTGFSLLFGMASALDTLCGQAYGARQHHLLGAYKQRAMVVLAVAAVPVALVWASTGEILLLLGQDPSIAAEAGAYARWLIPALVPFVPLVCHIRFLQAQSAVVPVMASCGATAASHVVVCWALVRKAGMGSRGAALANAVSYTVNLTIMALYVRLSSACKKTWTGFSMEAFRELRQYAELAVPAAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGSLLFMVPFGLCTSISTRVSNELGAGNPQAAKLATRVVIFMAITEGLVITLTMILLRNFWGHMYSDEAEVVTYIARMIPVLAISFFIDGMHSSLSGVLTGCGKQKIGARVNLGAFYLAGIPMAVLLAFVLHLNGMGLWLGIVCGSLSKLILLFWITMRINWEKESIKAKELVFSSTLPVA >OB10G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5881244:5882396:1 gene:OB10G15220 transcript:OB10G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHVTMPQRITTIPQYGNHDKTFHLALPRQSHHTSGFTPSFTPSRAVPSCALVDPEAGEAFVTPRLPVHTPSRLPLPGDDVSIRGERKADSQDPLVTCKENDKGGPWGEREGLAVGSTGREGLRLMGRAHKTER >OB10G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5895965:5898623:-1 gene:OB10G15230 transcript:OB10G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNVVQMVSVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWAYAGRILLLLGQDADIAAEAGAYARWMIPSLAAYVPLTCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVYKAGMGSKGAALANAISYAVNLAILALYVRASNTCKSTWTGFSGEAFKELRHFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLFMVPLGLCSSISTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISLTMFLLRNVWGYMYSNEQEVVSYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPMAVLLAFSLHLNGMGLWLGIVCGSIVKLLVLVFVSCCIDWEKEAIVAKDRVFSSSLPVA >OB10G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5927287:5932354:1 gene:OB10G15240 transcript:OB10G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLLETSPSRRDGQDGREEARAPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVAQSCAAEKALHSAWKDISTLRDNVSFKKSKLQLQKQKLKLFTILKQQIAYLEEWSHRENNHTSSMSEAIKALEASTIRLPIVCGAKAEAQDVREVISSSVAKMDTMASSMCSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHVKQWSLQAYILQRKQKLCQAQL >OB10G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5946820:5949113:1 gene:OB10G15250 transcript:OB10G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >OB10G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5949724:5949846:-1 gene:OB10G15260 transcript:OB10G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQR >OB10G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5961510:5961797:-1 gene:OB10G15270 transcript:OB10G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSEFHSWRSSRIRGSHRYYCESIYWGARNSTNIKNFSYWWSIYRDTADLVRSPSNGEIQFNRDLVHPTCTRHGQPAFLCYIDLHITIQSQDILH >OB10G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:5997741:6000079:1 gene:OB10G15280 transcript:OB10G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPEGLTRTNHKPEWATDISHQPNAGTGPSWIDPKKAR >OB10G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6015529:6016736:1 gene:OB10G15290 transcript:OB10G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQSMMNFSYINNIAGRSDVEAAQNLFPNWPLEKGLQFLEDKEAKYRSLAKERLEPVKSLAKVVQWVKDHGYKRAAVTNALRINSELMISLLGLTDFFQAVIVGGECEKPKPAPFPYLKALKELQVCAKHTFIFEVNHYYSLLSCANQCVLLFQDSALDTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSVLEEIDA >OB10G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6020728:6021424:1 gene:OB10G15300 transcript:OB10G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSACFLVLLVLLGTPTSADECRDISTKDLFCLKYLCKSFCLDEVRNWGGTAGYVDHYWCKGPRCHCRLCRLT >OB10G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6025187:6027006:1 gene:OB10G15310 transcript:OB10G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARATFCLFLVLVLLGTPAYADVCEHISTKDLFCIKYLCRSFCHDEAVNLRGKNARVTRAWCKGRRELVAIFYKLFGCLLFGLGDKDTNKNLRIPLPPLVPLLRAAAQNVAVAGSSNER >OB10G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6044212:6050647:1 gene:OB10G15320 transcript:OB10G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G14835) TAIR;Acc:AT2G14835] MSVKIRTFPAGKPSDRNIASTRQQATRVYCFVHKVPVCGECICFPEHQLCVVKNYAEWVVNPEYDWPQHCSSCNSVLEAGNEDTTRLGCLHVMHTRCLISHIQSYSTQTAPAGYVCPSCSTPIWPPSTIKDTGSCLHSKLKEAIAQTGLEKNIFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSGMDANLPSAGMYSATVGSGTPSHMEPEIVEIDGPSPITTQFPDQESNFIRSPSPHGPSAMTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKDSDAPEGRSRHQKSSRMDPTKILLAMAIMACIATMGILYYRLSQRSLSENFIEDEPQ >OB10G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6056775:6056957:1 gene:OB10G15330 transcript:OB10G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLNSCQTLLYINRDTPLVCLLAKVASGMASSHAGYIYMHQTGTDRLMYRCNNTYRACSN >OB10G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6061755:6063331:1 gene:OB10G15340 transcript:OB10G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLIGCLHIQIALVILLLLTQTSSTETSSYGKNTASCFVSERSALLAFRAGLSDPAERLSSWKGERCCQWKAVQCSNRTSHVIKLDLHSHAWSIYFNGIRAYTEPEDALGGSISSSLLGLRHLRYLDLSRNMFVGARIPEFLGSLPKLRYLDLSGARFVGMVPPQLGNLSNLRYLNLDSDYGDVYSKDITWLSRLSSLENLRMAKVNLSTVTGWPSVVNMIPSLRVVDLSGCELSDSPNPPLHNNLTSLETLNIRNNDIYEQIATNWLWDLASLKYLDISYNSFYGPLPYKIGNLTSIVELRLPGNNLVGMIPSNMKNLCNLKALELTSNNINGSITELFDQLQGCSWKSLETLFIPNCNLTGRLPTTLEPLRNLSILDLSGNKLTGPVPLWIGELANLTELTLSYNKLDGVIHEGHLSGL >OB10G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6063569:6064921:1 gene:OB10G15350 transcript:OB10G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNQIHGSLPSTMEFMAVIDMDLSSNQLSGPLPKLPTTLSSLDISKNNLSGPLPLDLGASELAVLILFDNSISGAIPSSLCKIQSLQLLDISGNKLTGSIPDCIVNSSAKNSTQLRISNLSLRNNKLSGKFPSFLQKCKNLFFLDLAHNQFSGTLPAWIGEDLPFLVFLRLRSNLFSGHIPVELTKLVALQYFDVADNNLSGGIPKSMESFHRMRIKQDNEQNFSMAITFGTGLEENELNNYIENVTVVTKGQERLYTGEIIYMVNIDLSCNHLTGEIPEMVSTLVALTNLNFSWNSLSGEIPEKIGSLSQLESLDLSHNMLSGMIPNSITSLTYLSHMNLSYNNLSGRIPKGNQLDVLEDPASMYIGNIGLCGDPLPNCSVNADPGIRRDDLDKVSFLLGMIIGFVVGLLPVFYLMLFSSRWRNTYFATVDGLYDRVYVQVAVACRRL >OB10G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6074298:6082341:-1 gene:OB10G15360 transcript:OB10G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGVLLPLLAAVALIAAVVEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGVPQYGGSMAGTVVYPKENADACDAFDGDRHFRAKPGALPNFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKSIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVANESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGIDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEIETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGNGKCQCPAGFHGDGVKKCEDIDECKQRKACQCPECSCRNTWGDYECTCSGDLLYIKEHDTCISKTAVQAKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTQDEEHH >OB10G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6095011:6097609:-1 gene:OB10G15370 transcript:OB10G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLALLLLVSNSLLLPSLGLAGNGFCQERFLVIPLVCSWNRLLVSIQKYHEVLAFFLSSFVDFQVKKHMGWLASMSGHLRQEAMDYACSQDGVDCQEVSAGGSCFYPDTIAAHASYAFNSYWQKMKRIGGSCGFGGAAVLINSDPILLQGDDLWLSAMSLHVELKKAEIGSSVVVSIILMKWS >OB10G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6112463:6112882:1 gene:OB10G15380 transcript:OB10G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAWSAARRVATRAPGWQAAGRGETRSAERIASLPPRPPRKPSGRRCGGCWGGDGETGESPAWSTTCCSTAPPLPWTRRLAGGPGLGMLYMVLHVGAMCPRLNDFNSARTGILTVIHCPRRCPSVLAVLGSPGYVDP >OB10G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6129260:6133410:-1 gene:OB10G15390 transcript:OB10G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:J3N1Z1] MMKQMISPPSNPPPAPPPPPPPPPPPSSRFTNTTPNPPPPPPGPSTGPVSARRLLRPLPAESPSIAVPRAPVMAVRKDNDATTTTMSVRTRGEAAGDEARPKLKPLHWDKVRASSDRDMVWDRLKSNSFQLDEDMIEVLFMNNSAAIAPRLDTPKKAGLPQFKQEERVLDPKKAQNIAILLRALNVTLEEVTDALLDGNAECLGAELLETLVKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFLKILEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADIKGTDGKTTLLHFVVQEIVRSEDAKSEKAPENHITNIARVEQLRRQGLKVVSGLSTELGNVKRAATMDFDVLHGYVSKLESGLGKIKSVLQLEKECSQGAKFFMAMHDFLKEAEQEIERVRCEEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAANALPILNMQGQQGRDSNSDGDSPSL >OB10G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6177016:6180691:1 gene:OB10G15400 transcript:OB10G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKKAKDLLTKDYTCDQKVTVSTLTTSGLTLTSSAVKKGGLYSLDVNSVYKYKNSVIDVKVDTESNISTTLTVLEALPSTKLVTSIKLPDYNAGKVELQYFHDNASFATVVGMKPSPLVEFSGTVGGHGIAFGAEGGYDTATGKFTKYSAGFGVTKPEYHAAFILADKGDTIKVSGLYHFDEKQKAAAVAELTRKLSTNENTLTVGGLYTVDDQTIVKARLNNTGKLATLFQHTVKPKTLLTISGEFDTKALDRPPKFGLALALKP >OB10G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6182054:6183893:-1 gene:OB10G15410 transcript:OB10G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIMAASRAQGLRVRLTTLGPFFRVTAARRCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPWPGGSVLHLDSMRMSRATLRVPDRPIFGLGLFLGAVAVRHGFDAGCKRAELLAINDTPTYHSKLVRFYTRMGFKTVHEVDGSSVTDLAHMLVWGGRGTRMDADIEQLLIKWSKRFTSQESRLER >OB10G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6203568:6207119:1 gene:OB10G15420 transcript:OB10G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLLCMSSLLLVFLCSYVFQLFADARRRLPPGPRPLPLIGNLLDVAGELPHRSLARLAGRHGPLMTVRLGTMVAIVASSPSTAREVLQTHNGSLTGRVPPDAWHGVGHAANSVFVLPPXXXXXXXXXXXXXXXXXXXLLRDAVLGLLDRVSETSGGAGAGGALPVEVGHATFAAMTDMQWRAMFSVGLDDTEEKARVLQDAARGAVVVSLKPNLSDFFPALAAADLQGLRRGFARLVGTVYRLIDEQIEQRISQRRRLEAAGGDGTAGDRSDDDLLDVLLDMSEQGKDDGKVAIDRELMRTFLADIFLATVDTIASTIEWAMAELLQQRETMSKLREELKNVVGSKTHAEYADIDRLPYLQAVVKETLRLHPVVPLVPNVAEETVEIHGRAVPRGSTVLVNLWAVHRDAAA >OB10G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6212885:6213157:-1 gene:OB10G15430 transcript:OB10G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMVAVSSGVGCAERWWLATASATGEQDDDDKAASTTWRVACLLPHGHLAVLGGSSGCPQRAGSEGAGGGLRHLDLDGSSGGLGGSGG >OB10G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6214411:6219376:1 gene:OB10G15440 transcript:OB10G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVPYDHTASIECLSNPMRPLYNGGVIKNSKFDRGLTDWSVPWDVKATVSSSPSGNKFAEATTDGQPSHTVYQTVQMQPNTHYSLSAWLQVSAGTANVKAVIKTPDGQYVAAGATVAKAGCWSMIKGGMTAYSSGLGQLYFEADAAVVIWVDSVSLQPFSFAEWDAHRQQSAGRARRSTVRMAARGPGGTPAANATVSVELLRPAFPLGNAMTREILDIPAYEQWFASRFTVATFENEMKWYSTEPSRGNEDYGVADAMLALAERRGIRVRGHNVFWDDQSTQMAWVRSLGPDELRSAMERRLRSVVSRYAGRVIGWDVVNENLHWSFFEGRLGPDASPAAYRRVAEIDGAAPLFMNEFNTVEQPMDMAAMSSKYVAKMNQIRAFPGNGGLKLAVGPESHFRTPHIPFMRATLDTLSQLNVPIWLTEIDVTNGPNQAQHLEEVLREGYGHPSVDGMVMWAAWHAQGCYVMCLTDNDFKNLAVGDVVDKLIAEWRTHPVAATTDADGLVELDLVHGEYNVTVTHHPSSPLVPSAVRTLTVEASSSASSSSVSGKV >OB10G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6220989:6224509:1 gene:OB10G15450 transcript:OB10G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFMQKLGLSLLWISLFQGWVAQSLEYDYTASIECLRDPLKPLYNGGIIQNGEFNSGLMGWSTHRDIKAGVSSSPSGNKFAVVEGAASLSGADAAVPSRSVYQRIRLQRDTHYSLSAWLQVSAGSAHVKAFVRTPNGERVVAGSVAAQSGCWSMLKGGMTAYSPGPGEIFFESDAPVDIWVDNVALQPFMFDEWDAHRQQSAGKARRSTVRVVARGADGAPMANATVIIELLRSGFPFGNTMTKEIIDLPAYEKWFTSRFTVATFENEMKWYSTEWTQNNEDYRVPDAMLKLAQKYNIKVRGHNVFWNDQNSQMKWVKPLNLNQLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNYFEGKLGPNASPMIYQQVGQIDRNAILFMNEFNTLEQPGDPNPVPSKYIAKMKQIQGYAGNGGLKLGVGLESHFSTPNIPYMRSALDTLAQLKLPMWLTEVDVVKGPNQVKYLEQVLREGYAHPSVNGMIMWAAWHAKGCYVMCLTDNNFKNLPVGNLVDKLIAEWKTHKTAATTGADGAVELDLPHGDYNLTVSHPSLGTKGAASHAMTVDAASSASEHHIVIEV >OB10G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6230017:6230398:1 gene:OB10G15460 transcript:OB10G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRAFGRQQDHPDLKINGKAAARTTTMHLQFSAQKHDTVHRQKQRCGDINQPTSKHYIKQPMVSMSAINMY >OB10G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6242987:6243288:-1 gene:OB10G15470 transcript:OB10G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHLDKELEIKQLRKKQVPKAHPMPDFSRPFVMERSVKPQTVPIEPKFTPAVCKRPSMFYLTMAV >OB10G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6271174:6272235:-1 gene:OB10G15480 transcript:OB10G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63220) TAIR;Acc:AT3G63220] MSECTMMSTLLDGLPNEVALQCLARVPFLSHPVLQLVCHSWRASVRTGELSKIRNQISATEELLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLFVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLHRVWAQRAPMLVARAMFACCALDGKIIVAGGFTNCRKSISKAEIYDPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEDGGNNWAVEDYSWLQGPMVMVRGELYVLSNSCIMKQRGVNFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNITSDRPTWRPGSPMTHCRGSIFGCALLRI >OB10G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6285379:6287651:-1 gene:OB10G15490 transcript:OB10G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVLGGVYRLVSFEDVLKQFVSKRNACTIAAAVEDDDNDNDGHRRRRDSASAGGAAGKAPAKVAAQSHQEDPSSPSPPSDPHPEPGPDSELVAAAMAAGARMNAVSRHGQWRPALPSIAEGSVVC >OB10G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6307043:6307201:1 gene:OB10G15500 transcript:OB10G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVIDIFTPLLNPVQKKIIEHNFFFWKGRTELKRNRIWAAMVPAWWVACRR >OB10G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6316534:6319134:1 gene:OB10G15510 transcript:OB10G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKIGVALANEATSQATSYFRKFVTQLTELQGSMGRIRRELRLMHEFLSRMDVRNRNNHTDEIWVEEVRMLVHRIEDIVDDYLHLVGHKHDAGWGTYLKKGFKRPNVLFSLNTIASSIKDAEASLVHLFQAKERWVWMSGSVATSNKSSSYIVETSRHLASISCSLDEDLVGMDVNREKLHELLTSDELQREVIALYGMGGLGKTALAANVYRNDRENFQCHAWVSISQTFFIKDVLKCLITELDVKKKIRGDIGDVNTGRLQDMLKEFLMDRKYLIVLDDVWEPEAVNDLFGMLVPNLKGSRVIVTTRIDGVAHLAFPDKRITLEPLSKRESWKLFCQTTFSKDREHECPAKLTKPAQQIVSKCKGIPLAIVSVSRLLFVREKTEEEFRRVLNQLDWEIINNPSLEHVRNILYLSYIYLPTHLKSCFLYCSLFPEDYLFKRKNLIRWWVAEGFIENRGGSTMEEVAEGYIKELVHRNMPQLIARNPFGRIKLFRMHDIVRELAVDLCRRECFGRSYSCENKHGKFLQEKDERRVVIHKLEKDINQVVSSGSRSLRSLIALYEDMPSSTQLPLVANKCRYMSVLELSGLSIEIVPDAIGDLFNLRHLGLRDSKVKLLPKSIEKLSNLLTLDLFRSQIQEVPKGIVKLKKLRQLFAEKVNDRCGRQLPCRTGVQIHNGLEKLMELQTLQGLEVRDEESARQLRELRQLRSIKIWDVKERYCEVLCESLNKMEFLSFLSICASGTGEVLNLDGLNPLPQNLQKLWLGGRLAGKLFDAVEGQDQNNHSLYSVSLSWSQLKENPLPSLSRLLNLAELWLTNAYIGEDLVFCKGWFPNLKELYLRDMPNLKCHNLPATTTTY >OB10G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6330517:6331292:1 gene:OB10G15520 transcript:OB10G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQSVLSLLAGLAPSFGFPPSLAGPCSGRGKLQGSCGGQLASWCFCSVKSEPLADRVRRRWETMTWCNLFLEVVEVASRLVGMLAIMSFRL >OB10G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6350322:6359776:-1 gene:OB10G15530 transcript:OB10G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKVAASGSTPARSISARRRSMAGTRPASPRRWSMEVPVEYRANHLSSPTASVSSQLSACESVICVVKWYMQQEARNLPICHRRCSLVAVQPVCAILLGSLPADRPRLQALPPTLIVEALPTTTRSRSSAVGVCRVSCAHHKLLELAAIFPSGGEARVLGDDALRLLELHVGMGELVDLGGDAQARHMRRQQLLVQWVPQYLRLAPRPVRLLGAAHRGRLVLARLPPSIATP >OB10G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6360116:6362189:1 gene:OB10G15540 transcript:OB10G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGLRPSCKTYTVLIEHLANVGKFDATMEIMGKMQEAGVEPDKALCNILVQKCSRAGETSVMIRVLQYMKENFIVLRRPIFLEALEALKAGGECDNLLREVNPHLAFEGIECDPEFTDPGYITVRSTILYLLAARNWSAIEQMINEMTCKNIKVESHILSDIIQACCANSRPSCGRAVLRYSIRIGNELDRSAYGSLLGHYIRNGSFDLVFEIVEILIKSGYNLGTYLASILIMKLSCAGHSSNAVRIFGLLTTDRNVVTYTALMSAYFQAGKVDKALQLFSQMSANGISACRGTYEVVIHGLQMAGRKQDSEHYRRERMEMQWNLQYHNEHLPEDSLCNHLFCSFHG >OB10G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6363822:6364046:1 gene:OB10G15550 transcript:OB10G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPFPFQCVGVLLSFISRQLLKVHMVKTSAATFMQPLLGQPSTSFLYCSWLLLQKGFTKFEPVHHSPAPFSE >OB10G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6363934:6368265:-1 gene:OB10G15560 transcript:OB10G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDLASDDAQSPKPQRSRSRQHRGFHAKSFSRSMSCDSHSKASFSSSSRAHTKVDLSKLEMTALWRYWRHFNLDASPNPSREQLVDAVQRHFVSQQLDELQVIVGFVQAAKRLKTNVKVA >OB10G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6389003:6390037:1 gene:OB10G15570 transcript:OB10G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRYSALRPRRLVSSRRSEAAAAMGEEEEEPGSYEAACSGDPELRTFDTELRRRASHAITAVASGVEVRSMSLGSLREVTGCLVDMNQEVVRVLLDCKRDVWRSPDLFDLVEDYFEKYQDALQGHKEVVSAMQVGTFIAIKDLDSIRAVVDHLEVQISSMVDCVEFAERDEETAKFVIEEVKKKLEVFMKSVDELGEQADRCSRDIRKARTVVLQRIIHHPSN >OB10G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6390174:6390356:1 gene:OB10G15580 transcript:OB10G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVLFVVIFVAKRKQNYKISLIKYLTKYKNYHFSSGTSKMYRRVVHCLLLWCDLCLCC >OB10G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6397155:6400772:-1 gene:OB10G15590 transcript:OB10G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVPGLPLLNISISSSWSDEERVRFLAERKAEHSLPENVMVGVSFHLFDPWDSENLWYMNFSDDQQSPKNGENAIIKSKTGYWKIVDTVRIPTSTAIVGMKVRLDHYEGEAPSGKRTGWVMNEYLVEENDEANLPQDYKNLCTIYFQEDKKLDAGNKQICLSANARNDRNESYLQYLAELEEQNAASNPQAVSVNEENVSSSKGLDRQKTNASDDQSVNYAPSSEGYIELNDLLSTDASASSSEYSSRRTMISEEDEYFDSDAFLREIRNDHNTDNEEHADSKFSVTVPSKSDHVVISPPEQGFVDNLDNHAIRAGDSPQKSMQSGKADQHSIEEHPQNIPTPSCFPIGHVKRSRSSSSSSSQGTSRSPQRERSTKKFGKLGKKYCCFGSF >OB10G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6415675:6418142:-1 gene:OB10G15600 transcript:OB10G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKEKQRDGEKNKYAVACSIIASIISVLMGYDTGVMSGAMLFIKEDLKTNDTQVQLLAGILNVCALVGSLTAGRVSDHVGRRLTISLAACIFLAGSVLMGLAPNFGTLLAGRCVAGRSAPHHSPAWAVALAIATVFTFVASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAAVSMTFVSLYKAITIGGAFFLFAGLAAAAAAAFFYLLCPETQGRPLEEIEEVFSQGWGARRRTSAAAVGLPASNSGCGGAMTA >OB10G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6441537:6443960:-1 gene:OB10G15610 transcript:OB10G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTGEARCPPPRRLCIPCSAAPARLGRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLQQLLLPLPHLPHLLQVPEPLLQEGYGGVDLAVAVLPSHRAWINRFRYRYPWFCEIYSLCFFFSRFLSELLNFQALVVMERVSWVGVRVFIRGLQNVSLPLFLLLRFTHCMCFCARFWWIQ >OB10G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6455134:6455433:1 gene:OB10G15620 transcript:OB10G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNQARYQSKDKHIRLTIPHYLLISSIHKQRCSILLTIAKFCWRTPTSQTELASLCSTRSVPVLECTIDDSTSSDHNNRGGHCLAFHEKSQMTYLQTK >OB10G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6455176:6458963:-1 gene:OB10G15630 transcript:OB10G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLIKLYHDWEIQMLLLLSFAIQLFLFFAGGLRRRNINMLLRLSIWIAYLGADMIAVYALGYLSQHEDATIGRDTIRGTKPLAFFWAPFLLIHLGGQDTITAFAMEDNNLWLRHLLNLVLQVVLALYVFWKSIGRHSVGLLISGIFVFVAGIIKYGERTWSLKYGSFKNLESSTGDHCKHQFPELVDGDVCYSNTVCTGLRSMLDVLNFFSGRTLFIGSTVRFGREGLGTWQPDQVLKVLGIELGMMYDDLYTKAIMLRTRSGIILRSCSQIAALVAFMLFFTCNKRQYSKTDLAITYSLFVGGFILEICAVFRVIMSPWTWAWLKTRKYNRLARFSWSLFSSGPIGWPEKRPLWSNAMGQYNLSTWFEGSGQAKSCSQQVMNTVRKFATLVGVNKDKIFWLSKLLDVEYVNAGEVMNCLVRAASYFVREPYEFQKTREWPNLDPLLRYAQVFYIADFGFAIVFMHMVTELHLSKYPCSSDMEEDVAAEIDALVEVCQKLSQYMMHLLLSLPSLLPLNASAVATLDKWQADMSENDIMSELKELDPQPGKEVLEEIKEIWVRLIIYAAAKSKPEMHAAQLARGGEPLTFVWLQLAHYNCGDFGFSRIELTRDRSKHSIFYVLQLQESVMEGMGFPG >OB10G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6465499:6466859:1 gene:OB10G15640 transcript:OB10G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRKMICGNFGIITDEMLTVDILVVDGPIPVQYESSGNIFTITLTQIVIRPQSKHDHRNAYVYCRKMQMQHYGSSADKMQIQQQSAAVHHRGSSAEVDKIQQSALRRRAVQMQQQSALCRRGRADRWQLMELDEGGATAGAG >OB10G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6466418:6466576:-1 gene:OB10G15650 transcript:OB10G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICVSVIVKIFPELSYWTGIGPSTTKMSTVNISSVIIPKFPQIFTKFTKIP >OB10G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6466576:6467344:-1 gene:OB10G15660 transcript:OB10G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPSSCSGGGTPFVQLHPPPPGGPGIPDGLPIFKNPVSAASGLLLAPGAGGLLQAIGAVAAFIPVPGSGAPSDLVAPPGVALLPVLDDGVPFILLRRWHPLRPAPSAATGQLAPVGTVLTAAASGLLVGAVLTAGSCLLLRCCHGDALLLTAAVSASCLHCCHSAASASSYNKHMHFDDHAYSEA >OB10G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6481004:6493067:1 gene:OB10G15670 transcript:OB10G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGIVNGMPNLRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALMEKGIIFLGPPSAAMAALGDKIGLSLNVQSTRGPTHSWSGSHVTIPQESCHSIPEELYKDACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVQYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHSGGYDAWKKISAVATKFDLDAAQSVKPKGHCVAVRVTSEDPDDGFRPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRGNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYILRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQANDLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRITNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFGDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNHTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSIRMQWHRSGLIALWEFSEENIKQRNGQDLMALKHQEEDPNEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGNVSNGNSTSSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVNKIYYEEDPMLRHVEPPLSTFLELNKVNLEGYSEVKYTPSRDRQWHIYTLVKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGSVDNEVGRAQASSSYTSNSILRSLMAALEEIELHAHNETVRSSYSHMYLCLLRVQQLFDLIPFSRAMDEVGQDEATACALLKNMVLNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTYQILYNSATPSPGPLHGIALHEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKKSWKSTFSAVAEANDHNKSYVKVTELIFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFHVGWFDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKENGLGCESLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWLGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELINLSTRLREMKKENAGLSEMDTIRRSVIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGERLSQKSALEYIKKWYLSSNKSDGESEKWDNDEAFFAWKDDPANYENNLEELKAERVSKWLSHLAESADAKALPNGLSIVLNKMNPSKREQVIDSLRQLLGRSM >OB10G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6496375:6497883:1 gene:OB10G15680 transcript:OB10G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26680) TAIR;Acc:AT4G26680] MPPPRALPLPHFTLPPLAGEDHLFVTALRSHLSSTPPPAAASLSRFLPKLTPLRLSHLILLLSPHGDLLASLLPSPPPPLPFAVLLHTLPPRRSSELLASLLPSVPHHAFPDLLHHVVLTARLAAAPHGGGRGAVPALNVVFSVCARGKKLSLATLAFRTMRAHGLLPRVESCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAYCDLGRTADAAEVLDKMPEWGVHRTTVSFNTLIAAYCRDGIDAGPALQLKRKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVVSEMKTKGVMPNTVTYNTLIYAYVIRGDNAMASRIHEEMVKNRVELDIVTYNALILGLCNEGKMKKVEHLLRELDSAKLEPNASTLSALIIGWCKMQNSERAFQLLNVMKKSGFHPNYATYKMVISSFCKNKDLEGAVDVMRDMLGRCMAPDKALLNEFFDGLWEAKKIHLAENFRSLYNGVKFIPDVYYTGDYRNMDEVITKC >OB10G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6496649:6496943:-1 gene:OB10G15690 transcript:OB10G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAISGRLRPSAAEMKTLQDSTRGSRPWARMVRKARVARESFLPRAQTEKTTLRAGTAPRPPPWGAAARRAVRTTWWRRSGRRGAGRRGGGRRGARRSG >OB10G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6498996:6499996:1 gene:OB10G15700 transcript:OB10G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEDLKINAEHIRIADQFVEVPGGTNNNNYVNVQLIVEVSTIDRPLGLFCLAIGLICYRTSGCTDGKRDILGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVTIPQESCRSIPEELYKDACVSTTEELYKDACVCTIEEAVASCQVVGYPAMIKASWGGGCKGIRKVHNDDEARALFKQVQGEVPGSPIFIMKVASQMLGW >OB10G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6512582:6512818:-1 gene:OB10G15710 transcript:OB10G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSAPTRGGRGEREREREEEEEGKPVLGRRKVEEEAELAGRPAVAAANGRDDGGKEDSIVTCYIGRGEEVDQDYVP >OB10G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6513313:6514740:1 gene:OB10G15720 transcript:OB10G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSSPSSKNQSWEHGGASEPDSSKKPRMSAPLSGCGPPRLIPGLPDEISLQILARMPRMGYMNAKMVSRSWKAAVTGAEVYRVRKELGVSEEWLYMLTKSDDGRLVWSAFDPVCNQWQRLPLMPGVSHGGGGCRRGIPGVWFGDLLSAGVRISDVVRGWLGQKDCSLDRIPFCGSTIGMVDGCIYVLGGFSRASAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGVSKGKNGLAPLQSAEVFDPRTGIWAEMPDMPFSKAQALPTAFLAELLKPIATGVTSFGGKLYVPQSLYSWPFFVDVGGETFDPETNSWAEMPVGMGEGWPARQAGTKLSAVIDGDLYALEPSTSSDRGKIKIYDPQEDTWKVAVGQVPVGDFAESECPYLLAGFLGKLHLIIKDVNSKINIMQTDVLKPVELSAPGTVSTCQDQHLSSQQETNLWKVIASKDLAAAELVSCQVLNI >OB10G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6516040:6525952:-1 gene:OB10G15730 transcript:OB10G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: vacuole; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: FBD, F-box and Leucine Rich Repeat domains containing protein (TAIR:AT1G22000.1); Has 84739 Blast hits to 38714 p /.../s in 2257 species: Archae - 1436; Bacteria - 11314; Metazoa - 40747; Fungi - 7706; Plants - 4675; Viruses - 308; Other Eukaryotes - 18553 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G22060) TAIR;Acc:AT1G22060] MSRVPKWKIEKAKVKVVFRLQFHATNIPSAGWDKLFLSFISADTGKISAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGEIDVNLAEFAEALKPTSIALPLRGCEFGTVLHVTAQLLTTKTGFREFEQQRETGARSSQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSAFPLTEDSAGSTEDYENSSHNSDGLFTEKIDPYGGHEVNSFRTTISGDLSLSTCQSPTPEKGPFRSKHLSPQGSNDWSYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDVSDKLGTETQGLAQQLGVELMSHNQLSAEVSSLRTECFNLKRELQEMKSAKLLQHKANGEDNLMTAAGQGNTSSKFGNNVLTDTSVHDLQNEWLQGLLLLESKLQQTKNNALHGLQAADLDFLLADLGALQRVIENLKQGVQTGQMKENHYLEHLVPPTNASHQPSLGRDHDSNKKTSGSTGTMEEKMCELFQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEMELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKDFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIIEDFESFPEEQSAIADLGANKERGPYMSDPESQAFSAENGRPDNLTYKMDGQKSLLRTLKMEEIRKRPEFQVLSNTNLQVDHSQIEKLDKASSTMESEVLEMYMANIEWQVFSDVLREAHHTALGTIKLMQERLHMLEVQLRDSNDARDSLVLKLNTALDQAKSVKETEAGYILKCDDFMVKNQILEAKLQDMSAESALLMAKLTESERYVQEHESCESRYRACAEDRKKFEDLLMQKGLETSHLKDELRSVVENFEAMKDELHKQSTLNNDMEIVSVSLQDQMNSIFNEIISSSKDIGISNLDEASVRHELEKKNYNAVMASLEFLQKQSCQEVLRLRKEKEAAEEMCDVLRSSKDNSELEFLDMKQKYQLDLDATNNKLIFSEERMEMLEKELQNMTHKFKISSEAQEKYCIVNADLTSRLAQMEGELQNITSENEALVAKLKDIAAVVEEHEKTKVTLAESEEENKILTQSLQSKDEAMMHMENEIRSLQDDLRSSDENLLREKSLMEELQSTLASLTSQLGHKDQALLSFDEHRTELNRLRNQVLDMERANSLMQDALSQSEQVQMDLNYKNISLQSQLSNTEDRLATVLKDTLATETEASYMRNLVEELTGQLGFLRNDLEKIQHKNKEADDLLRVHMSTEAELTDRIATLEAAIHSLENDLARVNQEKDGLQELIKRNEEQLFQVGTNNSRDIVESIDSSERVLKYKDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLRSKLEEQRTEISSLLQDSGHELANLKERNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMKISEMELEMQDASSDKREFSNAYDNIVTELECTKLNLDCCMEEKQKIEATLQECTEERNRIRGELDLVKKLFENMALADSPTVPDNSVSCTSGATSIGQILGDAKPGSSSKTAKHLPEVDSRLQQDEDRIQSTNVSSDLATGEDEDAKSLPYKNLEKECESSLENHSPGKTAIKDISMEHRKLAVELNHFQEELERLKNENLSPLLPLDINLTDPSLSGLERALSQLDMANEHLRNIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDIQGRYSELSVQFAEVEGERQKLEMNLKSRSPMRS >OB10G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6540414:6540719:1 gene:OB10G15740 transcript:OB10G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFAAGWVILLGEICSLCQCLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQLQYKLSAIIDFMILVELEKN >OB10G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6547008:6551558:1 gene:OB10G15750 transcript:OB10G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLSSAGSSAKTFAALSGVQSFILCLLRRLRAKDDMINAGVAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMAATLAGKPSTIKHQEGDVLPPFTLPPLLNASDALASCCQAFLKRKD >OB10G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6553417:6553694:1 gene:OB10G15760 transcript:OB10G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQIHVQQDRCRSRRRSNEQKMKKAEEKEKSKKGMCEGDGEEKKSMAADEKKACYSWTSERVHPCSSPASKIGR >OB10G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6561462:6564085:1 gene:OB10G15770 transcript:OB10G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLAPAIVDGGFCFGPLDAVSNIIANAVRHLPPSGAHVEEFGMREGFTKDGDGEGFGMSHPFANEAVQRSIKALVGFLAFYFRYLPTLEAQHYLFAAKGDLLAAVHLVEAERCTGAFDVGSHTTKTALRCAAGAAGHADLDSLVTAMRSLSDERTLERCTKSLERVLLDKIHGFYLEALALLPQDQLRRRYHRSVVMAGHCYGPLDPVSNIVLNTIWYDAAFPVPNKKQQPELDMVGRWALIRAERRCLVGLVAGLRAFAGDHGLSEVAAIRCLLRANGDFATAMSVLQPALLGHRQPTTMPSESELHRLMAAMAQRLELYRVMAVAAQHPSPDRLHEFLLSDRVCALRTPWESRRFSREDVRSVIRSLDLEPPPPLGMPSELLRLTTQAQNTIKLFPDAKKRFCADMSSFHRKAKAALDDYVLQNMGPEYVMHVVCGANEMVADRDGPEYSSINWPLSFNKLHYSHINFLASPVCSSAADMSPTLFFAECVNYNEESDRDRKNICCPVVVPPTNAERVRCFYCEYNGMSIIHPVDGNYHGCDIDFEKLARQQHKMNNSIESVFNRGNYVTNSNGPVQEDYFYFDHARELSV >OB10G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6572808:6573505:1 gene:OB10G15780 transcript:OB10G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDNTLFPGCVLLPSRFTSQAQTSLLEKFMLAVPGQAAIRYDEARPAPPFSEKAIFTMFVGVLPTLLLFRHFFILCRLKSPSEVGGRAFELWGQQEDDFIPLSLRKKWDDWETDWFYTRLPDHPRLQLPTGPPAQIRNWLVAPDLSPEYDAALTRITGLRRLGLTSHIVFGDFPHRRIAVL >OB10G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6596678:6597898:1 gene:OB10G15790 transcript:OB10G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEEEWEKIHKEWAEVEEAKAPVQAVGDRERFNLLQEEGQVVAQQRRLDATTCETKKERHAFAVAVVEQVVVVIEVRLRAMDRQERELQLRDDALSAAEAVAADFEGSELDAHERALKEGARTLPELPSSDPDPMLLEREAASLPMIFTSVEAVRASGARIVTLDLRNKLDLGWYFLCLDQLAEGLGDIPAAVTAVTQRSSKDLARKVVERILLSFSVRLPEFDPFLPLDDFPAGTYIEARRHAVADTAREIVAGF >OB10G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6631334:6635097:-1 gene:OB10G15800 transcript:OB10G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNSPLPGCVLPPSRVSSQAQTGLLEKFMPVVPGQAVVGHGEAHPSPSFPEKAVFMVPFALAGLVPPFSSSFYDVMVFYQIHMLHLGPNSILTVSIFAYLCEKFAAHAPHLLAFFHPLSANVLVRAVEASAATSGATTSTPVASNPCLGIPATPGLGALFVALRIAQGVILQGYVAQMKGIEEEWEELRKEWAKVEEAKAWVQVVADRKRCDRPPPTPFLGRGRTTGGSHPRGTGSGGAGDRGPSRGRGPAGARASAVRRCAECRRGHRCRLLELAARVGAGLGRGAGGDAPARAAACRTEERLANRKKAVAEREQRQLEAARELYPKEDRRRQELEVQAWEVGDLRRQSHELDAHERALQEAACSLPQRPGGDPDPILLEREAAIEALTPIPLWLRLESCLPMITASVEHAVEAARALGARIVMLDVRDKRDLGWYSLCLDQLAEGLRAIPAAVTIVTQRSSKDLAHKVAERILLSFSLHLSDFVPFLHLDDFPAGTDVEVHHHAVVTREIVAGFRGMAPKFSLVFLPEGSEAEFSGSSGGGDDDGYRG >OB10G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6652672:6653674:1 gene:OB10G15810 transcript:OB10G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARHAYGSGRLCPGCWRAAAAVREVGATFLRPKTRPKLLLSAPSSFLLSPVGAPPAPRPAAPSLADEARRRPTPPPSRLLPTLSPTNPAAAASSTSSGAGPSQAHVHQMLAGQGQARREQLVGQQQQPPWAPVIIYDASPKIIHAKPNKFMALVQHLRISNG >OB10G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6674877:6677481:-1 gene:OB10G15820 transcript:OB10G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPVQKFLFDLPSFRCSGCGSPFLDDFEFCFDLVKANTHQLIGGLGNVKYQSDSPECIAFSIASCLEIAKRIRKVFRGKDPDSVKGINPFEIVEMFEEKCRHGMSYGETGIGKLVTMALTVQNDGITSEDHLKHFSAVAVETIDKFDFEKICSVLADGIPLIGTLYSGDRLKNLKYGQIYKAPKLARFLEKGIIPVGHAVVIIGAGMHSGQQYLYFLNSWGAEFCPRYDQDGNLVKGGVGKMRLYDLICNPILFATNFTECVGQNRLMPMNIGKLSDYNKRVLMGERQTNVALLVSRAQISTATAAVANGAIGLEKLASGLQS >OB10G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6700375:6703239:-1 gene:OB10G15830 transcript:OB10G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMPALLAPAIVDGGFCFGPLDAVSNIIANAVRHLPPSGAHVEEFGMREGFTKDGDGEGFGMSHPFANEAVQRSIKALVGFLAFYFRYLPTLEAQHYLFAAKGDLLAAVHLVEAERCTGAFDVGSHTTKTALRCAAGAAGHADLDSLVTAMRSLSSRSHEIAGVLARADGRRLTRAADERTLERCTKSLERVLLDKIHGFYLEALALLPQDQLRRRYHRSVVMAGHCYGPLDPVSNIVLNTIWYDAAFPVPNKKQQPELDMVGRWALIRAERRCLVGLVAGLRAFAGDHGLSEVAAIRCLLRANGDFATAMSVLQPALLGHRQPTTMPSESELHRLMAAMAQRLELYRVMAVAAQHPSPDRLHEFLLSDRVCALRTPWESRRFSREDVRSVIRSLDLEPPPPLGMPSELLRLTTQAQNTIKLFPDAKKRFCADMSSFHRKAKAALDDYVLQNMGPEYVMHVVCGANEMVADRDGPEYSSINRPPSFNKFHYSHINFLASPVGSSAANMSPTLFFAECVNYNEESDRDRKNICCPVVVPPTNAERVRCFYCEYNGMSIIHPVDGNYHGCDIDFEKLARHQHKMNNSIESIFNRGNYVTNSNGPVQEDYFYFDHARELSV >OB10G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6707866:6708381:-1 gene:OB10G15840 transcript:OB10G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMPALLAPAIVDGGFCFGPLDPVSNIIANAVRHLPPSGADVEEFGMRQGFTKDGDREGFGMSHPFANEAVQRSIKALVGFLAFYFRYLPTLEAQHYLFAAKGDLLAAVHLVEAERCTGAFDVGSHTTKTMISREPVLNCHGATLKTMHPQLTIINILVDINPNWVMNHN >OB10G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6744474:6750129:-1 gene:OB10G15850 transcript:OB10G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKFQRKIAYVTEDSSNSDQYEAEIGLAKWTRNKKPITCPCVKGGKKEEKFDHDIDKANKIFDLLLQEKHIQMPAAHVIPLVEELKKKRARNRKSVHGMMSTRTSRSSSIAGNKEFDCLTSKTAWSAIPMNGVVIKSQTLGPMNREEDDEEDIAQYYEKLERHQFEIRQQQCCLSGLFTKNQKKKATKASQPKPGKTTEDLVKTNMVLKDFGDNSSEAKVLKGRMGKWILALTEYDLKYESAKAVNMPILYFNTTKNLITSWVWNRFNDNFALGACFEFAYMIKPYRTNHHAEYEALIKGLQLLKEIGAEVVEVIGDSQLVIKQLSGEYECRDDILKTYFEACKELAMVELPKTHRGQGMGHFMAELSRMAFTVGYPYEPEYTEVHRISGEFPHRKEIARLRGQPEPGGARLRLTARKRTRVQPRVQEAYSSPLNPLPPMLINPSSPTQSPQFGVASSPHAARNANGAGVTDWVTVLSSSPRAGVLKEVKLENS >OB10G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6760452:6760778:-1 gene:OB10G15860 transcript:OB10G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVGRSGGGWSLATAVSIRSGRSGSTRRRPAMVGGARIDDATAGGGGVISMLLHHLLQKLYGRKEGTILEAPRSLMRLLDLTDDASGSAELGTRPRWMSVSDVAA >OB10G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6763484:6765107:1 gene:OB10G15870 transcript:OB10G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVFSEMRLACNRSKKDSLDNNAGLLQPGVLFMHVLLGIHISGDPSKEKIQVSPADDQGESISFILMYIFYMLAEKYTFPVVAFVFIDEIQPLTTTLLLAEDTTHAPTRREEDNQRNKNVMVDEPQRDKNVTVNKPYSDSEEDAEDIVGSITLNIEDWRPEVTVYPSSPETDSP >OB10G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6775719:6776944:-1 gene:OB10G15880 transcript:OB10G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGEEELKLLGTWPSPFVARVELALVLKGLTYEYVKEDLASKSGLLLASNPVHQMVPVLIHNGNAVCESRVILEYIDEAFPDAGDALLPADPYRCAVARFWAAYIDDKFVASWMPALRGKTEEERAEGMKQILTAAEALEGALGGNPFFGGRAAGLVDVVLGGLIPLVRATEVLTGAAIFDAARTPLLAAWADRFGQLDAAGEVLPDVDGMVEYMKMREAAADAAAKAAAN >OB10G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6785433:6785747:-1 gene:OB10G15890 transcript:OB10G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNVDLGNLLHHTLRKKVHDSKDPSAPEIELSGAKFVNLCLICSRALWIPPYRPCKGCTIEKIFCGKIPGEPTIQFDVSNPSKQSSEFVENLLKDNETLGIKK >OB10G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6787462:6788922:-1 gene:OB10G15900 transcript:OB10G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGCVPDAVLYQTVIHALCDQGGVDEAATLLNEMLLMGCAADVNTFDDVVRGLCGLGRVREAARLVDRMMTKGCMPGMMTYGFLLKGLCRAKQVDEARAMLGRVPELNNVLFNTVIGGCLAEGKLAEATELYETMGLKGCQPDAHTYSILIHGLCKLGRLGSAVRLLREMEKKGFAPNVVTYTILLHSFCKNGMWDDTRAMLEEMSAKGLTINSHGYNGMIYALCKDGRMDEAMRIIPEMRSQGCKPDICTYNTIIYHLCNNEQMEEAEHLFDNLLEEGVVANAITYNTLIHALLHDGRWQDAVRLANEMILHGCSLDVASYNGLIKAMCKDGNVDRSLVLLEEMAEKGIKPNNVSYNILISELCKARRVRDALQISKEMLNQGLTPDIVTYNMLINGLCKMGWMHAALNLLEKLHNENVHPDIITYNILISWHCKARLLDDAAMLLNRAVGGGIAPNERTWSIMVQNFARKSLSILADQVEVY >OB10G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6793610:6793870:1 gene:OB10G15910 transcript:OB10G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEINRKQMVYRQKDWEELPIPTGDTEPITEAEAWFDQTAEYWKQAIALTLGNYIEAHSWLIASFVFICSWVEIIVWLFFKNNQMD >OB10G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6794458:6798127:-1 gene:OB10G15920 transcript:OB10G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAVGSAPTLCFSSGESLRGVNPILHYIAHAASSLSLYGRDTIESGHVLEWLEYAPTFLSGSEFEIACSFVDGYLTSRTFLVGHSLTIADITVWSNLAGIGQRWESLRKSKKYQNLARWFNSIDSDYRDTLNEVVVAYVGKRGIGKSPALSLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLALWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVFKDQCVIFTLTNGPEKSFVRILPRHKKFDGAGKKATTFTNRIWLEYADASAISKGEEVTLMDWGNAIIKEIKMESGVITELIGELHLEGSVKTTKLKVTWLPDIEDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLQRGEVIQLERKGYYRCDAPSVRSSKPVVLFAIPDGRQQSSLN >OB10G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6800732:6803841:-1 gene:OB10G15930 transcript:OB10G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGDAQLPLIHHHHHQASSASGVHHSKPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPFLGAILADTYWGKYKTIAISIVFYLTGLLVITASAIIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGGDQYDDSDLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLIVATVAFVAGTPLYRVQLPTGSPLKSVVMVFVASFKKRKVEVPADSALLYEGDDADLSNGQSVKLAHTDGFRCLDKAAVVLEEAEEMKEDGSMVCSVTQVEEVKIVLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTRIGPLSVPAASLNSAEVIFMMVWVVFQDSVVIPIARRYTGNPSGLTQLQRMGVGRLLAVPALAVAAMLETWRLRSVRGGGNLSIAWQLPQFVVLACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSFVVSMVAAVTTAGGAKGWLHAVLNDGHLDYYFWLWTGISAVNFVVYAAFAKNYTVKKLAVPLPHPH >OB10G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6811119:6812744:-1 gene:OB10G15940 transcript:OB10G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARASNHAYICSDDSQMPYYNNSVSSGENGRFYVTQNHQDVHYASSDDGSQRIGSSPQTFEPQYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYSSPPSASCLTEITDLQIKLKELENAILGPELDIAYDSPESSLQPNTMATPENWRQLLGINTGDLKQVIIACGKAVAENDARLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSMLYKSLKCKEPTSSELMSYMHLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSCGLPFEFSAVPAASHEVHLEHIDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRIIRMIKSLSPRVVTLVEQELNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMNAEQQCVARDIVNLIACEGAERVERHEMFGKWKARFTMAGFRPYPLSSVVNNTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >OB10G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6820901:6824427:1 gene:OB10G15950 transcript:OB10G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPAADGKARTGWVVRPKSVPYHFKTSTNVPKLGVMLVGWGGNNGTTLTAGVIANREGVSWATKEKVHKANYFGSLTQASTIRVGSYNGEEIYAPFKSLVPMVNPNDIVFGGWDISGMNLADAMARARVLDIDLQKQLRHHMASMVPLPGIYNPDFIAANQGSRADNVIKGSKKEQVEQIKKDIREFKEKNKVDKVVVLWTANTERYSNVVAGMNDTVDNLLASVDKDEPEISPSTLYAIACVTEGVPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVGSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLGELSTRIHLKAEGQDKYHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >OB10G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6825179:6832857:-1 gene:OB10G15960 transcript:OB10G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANTGQGGFREGAATGGGEEATATATGLGTEEAASGGAEATGTSGLEDAEEASDGSTAQDFDTDVDSESSASSADDQAPEFAVPSHIPPADEGCNKDDWEKHTSEVKNIDRMVPLAASTLVLASGAAILPHPSKVATGGEDAYFIACNGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIMENQGAPDLKPEQILSKAADEARSPGSSTVLVAHFDGQILNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDDPLKLVQNYTIDLEEGDVIVTASDGLFDNIYEQEVATLVSKSLQADLKPMEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAVVVSIVRKSEI >OB10G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6848595:6854261:-1 gene:OB10G15970 transcript:OB10G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLELLLLFLLFSPSIFSVSDGRTVARRPANQGVSPPIRAVNLGGWLVTEGWILPSLFDGILNKDLLDGTQLQFKSVTQNMYLCAEQGGGTILVANRTSASGWETFKLWRIDENTFNLRVFDNHFVTVASDGATVVATVASPGAGEAFHLVRNDDQTRARIRAPNGRFLQARTRDLVTADYDGSSTNWGDDDPSVFVVTRVGGLQGEYQICNGYGKAKATQVLRQHWRTYIVENDFKFISTSGLNAVRIPVGWWIASDPNPPAPFVAGSLQTLDNAFKWAEKYNLGVIVDLHAAPGSQNPYEHSASKDGSQEWGATDANIAQTVKVIDFLTNRYKRSPSLLAVELLNEPLAPGASLPALMKYYKDGYDAVRKYTSTAYVIMSNRLSASNTELLDFAGGLPGAVVDVHYYNLFTSDFDGLTVQQNIDFVRNSRSAELATVTRQNGRPLTFVGEWVAEWKVQGASDQDYQRFAQAQIDVYGKATFGWAYWTFKNVNNHWSMEWMINNGIISLNNN >OB10G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6853691:6864937:1 gene:OB10G15980 transcript:OB10G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVWSSLRTRWNASPAPGEATVATTVAPSLATVTKWLSNTLRLKVFSSILHSLKVSHPEALVRLATRMVPPPCSAHRRSLLTMLSKRDGRIQPSVTSHPPRFTARMGDDGCKSFVCAVILLPSETEKRHGEQRRRRRKRSSKRRMMSKSFQGSYTSVATSAQIW >OB10G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6859586:6864879:-1 gene:OB10G15990 transcript:OB10G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFELLFLLLLLCSPCLFSVSDGRRMTAQTNDLQPSSPIRAVNLGGWLVTEGWILPSLFDNIVNKDLLDGTQLQFKSVTQGMYLSAEQGGGASIVANRASASGWETFKLWRINDDTFNLKVFDNHFVTIAGDGVTVTATVGSPGPGEAFQFQMLRNSNNVLTHLRIRAPNGRFLQAKTKGSVTADYDGESTSWRDNDPSVFAVTNVYGLRGEYQLCNGYGRTKATQVLREHWSTYIVESDFQFISTSGLNAVRIPVGWWIASDPSPPAPFVGGSLEALDNAFQWAEKYNLGVIVDLHAAPGSQNPFEHSASKDGSREWGTTDANIAQTVQVIDFLASRYTSSPSLLAIELLNEPQGPGVSLEALTKYYKDGYDAVRKHTATAYVIMSNRLSAEKNTELLDFAGGLPGAVVDVHYYNLYTGEFNGLTVEQNIEYVRTKRADELATVTRQNGRPLSFVGEWVAEWNVNTTDGNYQRFAQIQQDVYGRASFGWAYWTVKNVNHHWSMEWMIKNGIISLKN >OB10G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6870334:6884310:-1 gene:OB10G16000 transcript:OB10G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSSSAPPPPVPPIRSVNLGGWLVTEGWMLPSLFDGIPNNDLLDGTQLQFKSAVHNTYLPAEHGGGGSAVAANRATASDWETFRLWRIDENTFNLKAFDDDDDAAVRFVGVDGNGELVATAAVPPGPSETFKIVRSYRDKSRVRIRAPNGKFLQAKTMDSVTADHDESTTWGDDDPSVFVMNKVYALQGEYQLCNGYGRERATEVLREHWSTYIVESDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDSAFIWAEKYNLGVIVDLHAAPGSQNSGEHSGSRDGSQAWGTTDESITQTVQVIDFLASRYANSPSLLAVELLNEPWGTKISAITLKKYYQDGYNAVRRHTSNAYVIMCNPLAVDFSTQFEILQFASEFYGVVFDIHYYNMYSSIFDDKTAEWNIQYVTNDRSTELSTFTKKNGPLTYVGEWSAEWNVLGASEEDYKRFAQAQLEVYSQATFGWAFWSFKHVQNHWSLEWMIKNGYISLNPPKLPIRAVNLGGWLVTEGWIQPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAEQGGGSAIVANRDKAFGWETFKLWRINETIFNFRVYNNLFVSIDGNGTVIATATVAGPNETFQIMRSGSDTNRVRIRASNGKFLQVKAMDSVIADHGDSTNWGNDDPSVFLVNNIYGLQGEYQICNGYGAAKATQVLREHWSTFIVENDFRFISSNGLNAVRIPVGWWIASDPDPPAPFVGGSLQALDNAFKWAEKYNIGIIVGLHAAPGSQNGWEHGATRDGSLEWGTTAANITQTVEVIDFLASRYAKRASLLAIELLNEPLAPKVSLATLTKYYQEAYNVVRKYTLQAYVILQNRAYGDSMEILSFANSLFGAVIDVHYYNLYDSIFDNFTVEQNINFVRNNRSSELSTVTKQNGPLTFVGEWVAEWYVDNASKEDYQRFAQVQLDLYGGASFGWSYWSFKHVENHWSMEWMIKNGFISLEKLPPSTPPIRSVNLGGWLVTEGWMLPSLFDGIPNNDLLDGTVLHFKSVIQDKFIAAEEGGGSTIVANRAVASDWESFTLWRINETTFNLRVFKKYFVGINSNGIVKAMATAPGRSEAFHIVRSDIDKNRVRIRASNGCFLQAKTIDSVTADYGESTNWGNDDPSVFIIDNISRLQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGVIVDLHAAPGSQNYWAHSATRDGSVEWGTTDATVTQTVKIIDFLTSRYANSPSLLAVELLNEPWGPDIPLKTLMKYYEDAYNAVRKYTAKAYVIMSNRAAGESTELLDFASRLPGTVIDVHYYNLFNDTFRTFNVEQNIEFVKKNLKSELSSITRKNSPLSFVGEWAAVWKAHSASKEDYQRFVQAQLDVYGGATFGWAYWTMRAVQNHWSMEWMINNRYISLKK >OB10G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6902961:6908763:1 gene:OB10G16010 transcript:OB10G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVNMASSPPAPASVPRPRLIVRLRLPPAWTPEEDALLQRLAKENRFRRWSRIARSMPRRSARSCRDRWRHHLTRDLYHRPDDDELLRLHRRLGDCWKEIGRAVYGRTSRVMRRRWKELRRSGFVASAAAAAAARKEEPAGAEDADDEMVESDKESSSEPESQGRLGLTNALASSFASCSLRDDQTIVGSLELGFLAYRFPPHNSNVMCLFVFTRGGMAALSDESDFVSVIQRGSRCSRRFTKPNHNPGEKVSNVGCSKKSGYGPKGVGVKRKAPNDAQTVASSSKKAAIKFIASKSNKSVEKVGHNIGYRCSARYIPQMIARLSKNQKLFLVNNGFGHLVSMKDCEFPKYLTMWLINSVNCSTETLHVCGKKIGIRPLVQKVLGIPNGDLPVQLAKDVDTRVIFMDFVVVIGALGGIPRISFVTTKDLEDVKSLVIKASSTKPDYSSLKLKDYSETVYAQNNRAGTSSEHISHHTDDVSKETCFHVRMQDEQVEAILDKTDAQIISDFHKEFMKNRGACNSSRRSMGIQVKEEVSQQEEDVPQEEGVPQQGKEQILGDKTNVMDEVNIVAEVGQVAGDGLSNKIPEDELSNGFAHITFQDCIKETDIDKDGTKQEDTCAKINTIKDDDESSIATNVVFTQDEFFDTLGTCVLFDVLHIFLLQPLRDPTPIVIEDSSQDSRSSRVQVPPLIEPITNGERFPDPATLPKASKVRPTRGKRSHTSMEAVAELSKKAKVSDPFITKNWHQQVLCTFEGDESAIKVQICGTYVTEEEFMPALKYSSEISNNFMWLCCNAMMTNWDTKTKIIVNPVVVDQLILPIEKCNIAKVRSSFAKYDLDKTERIYLPILTSGHWFLIIINVSNEVVQIYDSLRNPTKIEADHKALWDNMSSNLVLAIDNYRDKPFGFCDIFKVQYVGSPQQGLRYRHPLDDSDQVRIRKLARHDSEGYSSRDVWGPALKCTRVRKTAGVVVPKKLLILPFVPLGSISNYLGVFGSLYKRFSRAIKARTPTLLNSRLKRYTKPRHLEHSLLGLLVYMFDKLFHSCIYIFMFVP >OB10G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6903943:6904161:-1 gene:OB10G16020 transcript:OB10G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAALTEERHRHPPRGRMGKGNDGGVDGGETPMPGSAWPKGKENEGGADGGEAPAMGSAWSERKGKGGAH >OB10G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6911221:6912681:-1 gene:OB10G16030 transcript:OB10G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKKDEKWVVTIVDLEHNHPPLSPSGVRFLRSHHTISDEDHELIELLHKNNIPTRRIVSILSDLHGTVRNIPFTKKDVSNLRTSLRKRTNDAGDMAETIKYFQHLQAEDSSFFYSMELTKDGTVASLLWVDGSSRESYRKFGKCVVFDTTYCTNRYNLPFAPIVGVSNHGQSILFGCAFLKYEPIETFEWVFETFLKALDRKTPQCIMTDQDKAMESAISNLLLDTVHRHCMRHVQKNASTKLGVLLHRKEGFQEDLKSCIDTSLNEQEFESSWAVMLETYGLQDNRYMQHLYDTRKKWVPCFMDCFFPFMSTTQRSESMNNLFNDFVHLADSIRNFIVQYEKLQSCLDGDDNQRYITVQTEPKMWSGYPMESQAAKFYTRALFEKFQKMLYKATTYATVGGDTPRSYYVYHIMKDDSKKFLVHADLSTQTFTCVCKKYDRDKMLCGHILKVMTKLNVYVIPEKYLSDRWSLKGSKHTSTKLF >OB10G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6928199:6931394:1 gene:OB10G16040 transcript:OB10G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSASGSTGDLCKFTTAIALTKSSQVFFKTSGGKKGCPLDEAYGQAGKKGKSGTAEGMRAGSSPGTKSKGWDNPTSNLIDPKEGASGQSTLDVRNHMFTVPKGLEYYFTNPWLVIVACFSP >OB10G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6935380:6938554:1 gene:OB10G16050 transcript:OB10G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRASLLILAALCALAAFPSQASASRDLAPRLRRAGFVVRGRVWCDTCLAGFETPASTYIAGAKVKVECRSKSTGAKTCSFEGETDHTGTYNIAVDDEHEHELCESVLVSSPDAARCGKAVAGRERAPVFLTNNNGVTSNVRLANALGFQKDAPLAACAQIMKMYEEVDDRV >OB10G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6945590:6955339:-1 gene:OB10G16060 transcript:OB10G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSISHDGHRRSAKPSASSHGSSVKSSCCIVSGSVGGGVSVDGAGAAAGSAVVAWTILASTDALSGGAWCCPPRWSSGKLRRAAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXERRRPEAAPARRLPQPEVHLRRLRRRDKAMPPRAKNHARRRRARPLGVHDERRHGRATMGDLSVLPAAAYRAKLTTASRSRTSSNSSDATPLAIHG >OB10G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6955371:6955526:1 gene:OB10G16070 transcript:OB10G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHAVSNPSCCRQYIFHMCIMYGALLGLIYHQCLLASAEFVFWQMALSRR >OB10G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6962741:6965433:-1 gene:OB10G16080 transcript:OB10G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMIHARASRAGPIRECQGKSKFFIEHQATWEKEGRNKGYDTNVHGWRFGHETTIPEGEFPTSRGSSKPLWSEQDKEEARRRHREGEAVQLRQRMERVRMRWRERFGAGKAPPPPRRKQLHHNAEEDSRHAKNVQMAVDAINRKHPGRNYELWEISANGTVAEIGASYCHYNFTAYSPSSGFGFFFAETSEDAKCEDQVHSWCSIETGEIGYCASCMSDWFCLVHPSRDKFIFGNESFHCSCTEGIFD >OB10G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6973459:6976555:-1 gene:OB10G16090 transcript:OB10G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAGGGGGFSSLALPKRFPVTAGPTANGGFVFFGLPKTTEQHSVGILRQERVEAGDARTLADAWMAIYFNYPVLQRDSVGSGGGRNRRSRQNLRVATPRRGSTRPRDEQQPVTPPPQKVAKTAAGEASFSSILSGSAGRPCCTFITLPTKAAAKQESNNSSGEASRSPVRPSSSVEKTIAPEREVEVSSAEREAPRASSPAAACTGAAVVVRVTCKCGVHKEFSFDHSH >OB10G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6977183:6978054:-1 gene:OB10G16100 transcript:OB10G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRKKYVTRNMENCIFGICNNAMGERRELNPRMVDSQSTALIHLATSAPYPAKGFSLFSIHHYSIYSDLHTSIEILDIECHSLKWKKGVISCDTKKNESFCSSSFIGKNRKGQYEGGERNNSNVVPGI >OB10G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6977527:6978076:1 gene:OB10G16110 transcript:OB10G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSIFLVTYLLTATKNKTITIFFPFPSSSSKRRITPRGCGFFSTNGGFPFTAPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >OB10G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:6990240:6993439:1 gene:OB10G16120 transcript:OB10G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLKLLPSLYILLMASTAYVQALPFSNGTDLDALLAFKAGLSFQSDPLPSWNATTDFCWWHGVICSLKHKRRVLVLNLSSAGLVGYIAPSIGNLTYLRSLDLSYNLLHGEIPPTIGQLSQMSYLDLSNNSLQGEITHGLRNCTRLVSIKLDLNKLDHGIPDWLGGLSRIKVMSVGKNGFTGIIPSSVGNLSSLQEMYLNDNQFSGPIPESLGRLSKLEVLALQVNNLSRNIPRTIFNLSSLVQIGVEMNELDGTLPSDLGNGLPKIQYLILALNHLTGSIPASIANATTMYSMDLSGNNFTGIIPPEIGTLCPNFLLLNGNQLMASSAQDWEFLTSLTNCTSLRGVTLQNNRLGGALPNSITNLSEHLQLLDLRFNEITNKIPVGIGNFPNLIKLGLSSNRFTGLIPDNIGRLTMLQFLTLENNLLSGRMPSLLGNLTQLQHLSVDNNNLDGPLPASLGNLKRLVSATFSNNLLSGPLPGEIFSLSSLSFILDLSGNQFISPLPSELGGLTKLTYLYMHNNNLSGALPDALSSCQSLMELRMDGNSLNSIIPVSISKLRGLELLNLTKNSFTGAIPEELGLMTGLKELYLAHNNLSLQIPESFISMTSLYQLDISFNDLDGQVPTHGVFSNLTGFLLVGNDKLCGGIQELHLPSCQVKSMEHNRRILKIIQKAGILSASVILVCCILALLVFSLKKRLSPLSERVEIIASSFMNEMYPRVSYSDLAKATNDFTSNNLVGTGRYGSVYKGRMQFKNSVSDVAVKIFDLEQSGSSKSFEAECEALSRIQHRNLIGVITCCSCPNLNQNDFKALVFEFMPYGSLDRWIHPDTDTSNPVKVLTLMQRLNISADIGAALDYLHNCCQPRIVHCDLKPSNILLGDNMVARVGDFGLAKILTDPEGEQLINSKSSVGIMGTIGYVAPEYGEGGQISPNGDVYSFGILLLEMFTGKAPTHEMFSDGLTLQKYVEMAYPELLMDIVDPLLLSVENGWGIINCVMSAVTGLALVCCRRRPTDRLCMREVVADIHTIRASYVANINKVVSD >OB10G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7016773:7026033:1 gene:OB10G16130 transcript:OB10G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESSTLRSSETMSMEMRSDRSCNDSESRSEDVAAVEPEAEGLDGMRWRRRLTGRARHDDEGGKKKIRWKEGNIAQILKEAQQRWLRPAEICEILKNYRSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGHNDMLQAAAMDSPLSQLPSQTIEGESSVSGQFSEYEEAESDIYSGGAGYNSFTQMQHQQNGIGPVIDASMFSSHVPASSIGNYQGQHAMGDTASFFSSTQHDSPLVFRDPNLEHATNGNELSWNGVMKPDEGAVQMSHLQNTVQPEQFTQGPGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQFSGATGDISATQNSIQQNDGSLEAAIGYPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLEVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFTIVDFLPSWTYAGSKTKVLVTGRFLHANQVTERHKWSCMFGEVEIPADISADGSLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKVYFQIRLDNLLSLEPHDYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNELLTDDQQDQYAEKLIKEKLHVWLLHKVGDGGKGPSVLDDEGLGVLHLAAALGYGWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIVDITERSASQPAIGDSLGAVRNAAQAAALIYQVFRVQSFQRKQAIQYEGDKGGISDEHALSLVSMKASKPGQLDPLNAAASRIQNKYRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSSLVADKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQIVQEKILDDSAEMDEGNFMSEFKELWDDDTPLPDYF >OB10G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7026347:7033982:1 gene:OB10G16140 transcript:OB10G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVTGRVGYGDGFRPASQLGSGHSTSDDNDNSGNVFKLPLGAYRLCPGYVAVDLVRQWCDHGNTLGDDARSLQAAATATTQRGIGSYIWTTPVLQFNYFLMISSDSEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLYALINGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIADPSVKYRDGHKGSWKNTIKRFAGSSLRKVDSTRPLL >OB10G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7057000:7059809:1 gene:OB10G16150 transcript:OB10G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDSPPIPNQWVAFSAENSLHDRVSAWVNSIGNDTFRIAEDGDDDDEDNHNHYHHVDVDGGDERAAMEHGDCTARPRTLEVGESSGKGHGKPRRGTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKITNIEGLRELTKLRVLNLSYNRISRIGHGLSSCTALRELYLAGNKISDVEGLHRLLKLAALDLGFNKLTTTKALGQLVANYHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRAAWRRGGEGGARRKEKQARFHVFGNNVHVHTTFEFEFGGFLKFEFGFERWREICIAVGCEVLS >OB10G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7066386:7067615:1 gene:OB10G16160 transcript:OB10G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPVLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNVLKLPVERYELDDSDTFWAVNSWLQFPNVAKEIEAQLAKYKQDVDEVNQRTGGARDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKLIDKHTNIATALLGHIKERSLDGYCECENSMLVDGTFDRTKLLNLLRGNGTKEDKLRLAVSYLLSFETPQSSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAAASSTSKGQLVDWAEKIYGNSIMAMTTQVKNLLSDGRQLAITRTVEALMDGKPNPEVDNYLLFDPRAPKSGSGGQFRGPFREAIVFMIGGGNYIEYRSLLELAQRSQTTKQVIYGVTEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >OB10G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7070133:7070525:-1 gene:OB10G16170 transcript:OB10G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCTLPCIQSLVENFRRIIGGQNTTMHSRHHNLTIQAVTECTWQELAATIGTGPYSSCSIGNIILSCSMGSILHVQKKLLVCTQYSHCLDVSVLHSKNKLRKKMTAPILSVTSHKPPPGLQLIWQTTC >OB10G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7071736:7072215:-1 gene:OB10G16180 transcript:OB10G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNMNFLRNCYCKQGDASETENKVISAEELQIRDELEADIEEDLEREIIDDMCCLARHLQRLYQQRDLRELTGSATGYQLPPYHTATAALSEMNIRINLDGQCKINITKIEQDAVENPRKLYHSNAYQSDKRQRPMKARQTYTVSCRKQHNHPVAPWR >OB10G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7081370:7085283:-1 gene:OB10G16190 transcript:OB10G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYRGGLGGLHGIGASSESSRPPAPMPPPPLDLGAVMFNGESRYNIIKTSRSLELSQMMYNKSLSDLHFWQPDFFFALEKHHKIDETGETGITHQALNYSVPSDNTRFSALTTVPIDASLSVKYNYRK >OB10G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7092768:7094552:1 gene:OB10G16200 transcript:OB10G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSHGGHFGGYGLMASGGPAAAAAWCCDGGVFAAGGGSGGEPCGGSWDDPLLAASLDVLGVEDEWEVEVEQRASSSSSSSKVAAAAAEVGEAPPAKAAKRKRRRAKAVKNREEIENQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQALEVKKSIKKQGDGGGGESPSPFSGFFTFPQYSTSGGHRGDAVRRFGKPAEGAAIADIEASMVEGHAGVKVQARRRPRQLLRLVAGLHQLGLTTLHLNVTTAKAMAMYSFSLKVEDGCKLGSVEEIGTAVHEILERIQEEQAFS >OB10G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7095667:7095963:-1 gene:OB10G16210 transcript:OB10G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILFSSPIEYSSPLPSPHTTPHLAIQPHHHLHWASPYPDADFHLPYTSFAQNRHRTLPIPTSFPPYTSFLPPACLSLAHLSCPPLDQSPPSLHAISFS >OB10G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7102260:7103792:1 gene:OB10G16220 transcript:OB10G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVRSLASLYCQGLRRTVRLGAGAPPPPALDQRRPFLSKVERTEAGAATRSPPRRQKDGERTPLLTWARLAIASAVAAMAPFLQSKWAALLRIQSEMEMVKDAAEMAAEVAEEVAVAAERASAEVAEQLPENGRLRRAAVLVEQASKEVAEEAHLAQDIIHKVEEIEEDVKAMIEPIIDAHKHKPTIKKH >OB10G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7137001:7138598:1 gene:OB10G16230 transcript:OB10G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQDTSDQQDQEDMRYTAERQDHRVDAAAAAYEEEEEEEDDDEEVGRGGGGSGGLGEKKRRLAAEQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFAALRSRHDALRLECDSLRRDKDALAAEIMELREKVDKQMSVKLETGDEQPTLASGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPASSPPARSTAAGYPGTGPRVGSS >OB10G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7145307:7146393:-1 gene:OB10G16240 transcript:OB10G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G46025) TAIR;Acc:AT5G46025] MIALLQFFEGLRLRYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAVPSLAGKKVVVPGPAQEIPKSKCCSSM >OB10G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7149685:7149894:1 gene:OB10G16250 transcript:OB10G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLPLLAGGVPDLGLDGLVVDDEGAGLELDADGGLGVDAELVAGEAREDLGLADGRVADEHHLEDVVHLL >OB10G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7154377:7159544:-1 gene:OB10G16260 transcript:OB10G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MGPLGFSVDQLMELAGLSVAAAVAEVYKLGECTRVLVICGPGNNGGDGLVAARHLHHFGYKPYVCYPKRTPKPLYSGLCTQLESLAIPFVPVEDLPENLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSVNGNSAKRPAIVSVDIPSGWHVEEGDVNGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPILSKYKLHLPPYPGTSMCVRIGKAPSVDISTLRENYISPELLENQVMPDPFDQFARWFDEAVTAGLREPNAMALTTANKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVSEEESEKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGVIPKPDYWGGYRLIPKLFEFWQGQQSRLHDRLQYSLREVDGNTVWHIERLSP >OB10G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7165884:7171440:-1 gene:OB10G16270 transcript:OB10G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSMEPSPLTAKIDEWFAGLVAGLRAFPLDIPGTALRRAKKCRRKLHSVFSEELERRKAKLAAGEGGDNDVMSGLMQMEDEQGRRLEDEEVVDNIVSLVLAGYESTSNAIMWAAYYLAKSPHVLAKLREENSAIAKENNGASFITLDDIYKMKYTPKVVEETIRLANIAPMVYRVALRDVEYRGYTIPKGWRVVVWLRSLHVDPKYYDDPFSFNPDRWDKAAKPGTYQVFGVGERICAGNMLARLQLTIMLHHLSCGYRWELLNPDAGVAYLPHPRPMDGAAMSFSEL >OB10G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7177248:7182304:1 gene:OB10G16280 transcript:OB10G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPITAHTTRSPTSTVYRNGSQVGPTSQSKRVEAPRRCHSSNPSSDPPPPGVSPLLTRRRALVDRTLLFLGLSAVACLRSLGSAASARMESTSTTVPCIVVYVTVPNKEAGKKLAGSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTREN >OB10G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7180878:7182146:-1 gene:OB10G16290 transcript:OB10G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGSKESQNYDNNNQKVHPQPIDENMNQNMGSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQAADQLVIEELTKLSELKHAYREKNPKPVASTPQDARLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDDEDNYFSIELTPSLFTSAVDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFGGFQEESFSVKGSNISVSNEAFFHQFLAVRAMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSDFSEIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSVIQSRVYLSGVKCAD >OB10G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7187678:7187893:-1 gene:OB10G16300 transcript:OB10G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTMKAIMPRQWKCQMWLLLVWTWKCKVGFMPFLCRKMWGSWLQSVNFSFSSFWLCTVLYDGHPLVCSW >OB10G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7199129:7201166:-1 gene:OB10G16310 transcript:OB10G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITRSEVLRPSPAACTGGGEMVPLTAFDRAAMDGYVPTMFAWDAASAAPSNDAIKDGLAAVLARFPHLAGRFDVDETGRACFRLNDAGARVLEAVASGDLADALAAHDAGAHVNELYPKADKERAEEPLLQVQLTRYTCGGLVIGAVSHHQVADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVRGLDFGGGPPCAFLPPDLPVEGILIFVPSCSAKGGVEMFMALEEQHVEALRQICYSMD >OB10G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7210038:7211372:-1 gene:OB10G16320 transcript:OB10G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSRASAAGGGRRSPLTVFDRAATDWYIPVAFAWDAAAAPCNEDVKEGLAAVLARFPRLAGRFDVDGCDRRCFNLNDAGVRVIEATADARLADALAHDVAAHVNELYPKANMENADEPVLQVQLTRYTCGGLVIGANLVVHFPDEFVAGLKSHVGGVRCSTFQCLLAHAWKKITAARDLPPEESTQVRVAVNCRGRASPAVPTDYFGNMVLWAFPRMQVRDLLSSSYATVVSVIRDAVASVDEQYIQSFIDFGEVAAGEELTPTAAPPGTVFCPDLEVDSWLGFRFHGLDFGRGPPRAFLPPDLPVEGMLIFVPSCGEKGGVEMYMALDDHHVDALRQICYAMD >OB10G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7233936:7234151:1 gene:OB10G16330 transcript:OB10G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVSPRQSLHARQSIAVAQPLQYSLLFLSPSCSSSPSLCCLLDLYSSSSPILCSSSPLPPVLPHPSPSL >OB10G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7236047:7241569:1 gene:OB10G16340 transcript:OB10G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYLISIHKQLCSRQGISSPISDLTERLLFDDCDLPAVPQECSLEAAPFDEVDVQALAHAVELTRQGAVESLKFAKGDIFQAFQNELCRMKLDLPLLDKLVHEYCIYRGIVEGGSNALPGWESNNQKNDVNSIDKQESNTETRTEFEMTTNQNGDCSTSDMANHDSWSRRLRRVRSSTSGQRRRKRWRGRVDDLDYACVTPLDANKHVYSAPDMDEDDMVVKMDMMADSGLSDARNNQDQKYEVVLEMRDLTRKGMASKVVEEINNMDPDFFLQNPILLFQLKQVEFLKLVASGDHVAALKVASTHLGPLAASNQTLLKPLKETLVTLIQPCEDVLTKSLSLPVLANSLQIAMSRRLGIEEPQLMKIVRTTLHTHGEWFKLQMCKDRFEHFLKIDSLKEVDPSVGSHIMSKVLTDECANGSSQITTCSSGKVPDEGSSPQVSSEVACDENAIIKVMEFLAMPRADAIQLLMQYGGNAEAVIQQIFS >OB10G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7259288:7260580:1 gene:OB10G16350 transcript:OB10G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGHGRGEARWRIAGSRCRRRRNRGKRSDGCTREKATRRNKAATRLDHSSGAATAVQRQGEAAWRGRDGDACARVRQRAGVAKGGRRVRQVGPTCRCPEEEGGGLDYKRGNWVGLAQPGREEGRMGGEIGRPGEGEELGRGGLGHREGKEKEEEWPEGGDGDFEHGLDRGSGNLRTTHLFA >OB10G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7269631:7269828:-1 gene:OB10G16360 transcript:OB10G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKRLDATQLLKEYLNPRNKQKLKCDIKAHSIIGANSRPQILCVYLIQLKRVSVQLTFHHWPIRRTR >OB10G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7272704:7272886:1 gene:OB10G16370 transcript:OB10G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLTLPVFGQRCIYASIRVLYLVETGDFCNFCYLLKVTLLDYCAGPVRLFILALISCIL >OB10G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7277785:7279694:-1 gene:OB10G16380 transcript:OB10G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISGGSSAAVPPTTSLAAVVVLVLLAGAAASVGAQAAGADDAAADEEARSIAALSKGKVVLKVGDGRVSGKNAATTAILTTTMPFTFLNPALFDHLKQELHTTAAAAMSGGSDFDASLQQQCYPKETKLPELTLLLAGKDAAMALEPEHYSYKKSHGVVCLSILRSPLAGGVSVVGSMLQAGRRMTYNLDDDTLTFDSLSQAKAASSAPSPPSSSLSRYSSHAAVTPAFPVSSAWLSLLLAMMTMGMM >OB10G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7297309:7299638:-1 gene:OB10G16390 transcript:OB10G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: eukaryotic initiation factor 4A-III (TAIR:AT3G19760.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../yotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G37020) TAIR;Acc:AT4G37020] MMTPAAASAATEFSDGMPSPTSPAATPSHPSSGRHFYLAVDRLQFKMRTLLELLGVVADRRGALPIAICVSSRDELDAVCADVAGLPFMSLSPLYSDQAESERASVLDKFRQATIQWNHMKIAADIADSPKTESTESKLTIIVATDACLPQATLGETHLMARVLINYELPTKKEAYFRRMSTCLAADGIVINMVVGGEVATLKALEENSGLLIAEMPIHVSEIL >OB10G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7299076:7300706:1 gene:OB10G16400 transcript:OB10G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLSLLISNKTFVISQQSAQQSGASVEHTSGDNDMKGRPATSAQTASSSSREETQMAIGSAPRRSATTPRSSRSVLCNHTHGRMQMTSDPVHVAARCGAARLVSWSWAYHLELEAVDGEVEVAAGAGDEGGRLVLIRRTSRRDARVGDGGDSGSHLGWDGVAAGEVGDGIPSENSVAAEAAAGVIIQKKIFWKCRFYNQKEIRKPCTFSSIFTLLIDVSVFCCARNVACLILSGI >OB10G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7302044:7302205:1 gene:OB10G16410 transcript:OB10G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGENFLELNSPQMQDLHQHQHQTTHRNSDYTRPHYSKLFNLMPFCSSTNHT >OB10G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7302238:7302590:1 gene:OB10G16420 transcript:OB10G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSCLILVLSDQGFMKLGQSKEDKLRRVMLQIDSSDITFAFKGNRFFQKCLEQPKF >OB10G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7308903:7309351:-1 gene:OB10G16430 transcript:OB10G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRRSSSWVLSTVLWLAYLSADSVAIFVLGHLTVRSSSSEVEHRLMSLWAPFMLVHLGGQDTITAFSRQDNELWTRHLLSLVSQMVLALYVMAKGVSWNDGRLTAAMALIFLSGCFKYAGRTYCLYGASPAKLSSDAQPKLSLKLNNLQ >OB10G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7321914:7322147:1 gene:OB10G16440 transcript:OB10G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYLIYVFAIVSWQLLVCGSYACTIHRLPELLCCQVFQKNNTICFFVYFLFTSKMTMPGYGIKKITSAHYTSILNFVA >OB10G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7323864:7328663:-1 gene:OB10G16450 transcript:OB10G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAFRFFFRLRCSHLPTPFMASTGQQLIVVSNQQEVTDGNMQLLCHGYGEHNHSHSVWSARANIPGGTTTCLALPAVHSDEGSKFRGYYYDEPSSAGGGGYRRDREGRKKRLTAQKKKEIKEAFDLFDIDGSGTIDPKELHTAMRALGFEMTPEQINQMIREVDKDGSGTIDFDEFVHMMTDKMGERDAREELSKAFRIIDKDGNGKISDVDIQRLAIETGEPFTIDEVREMIEAADENGDGEVDHEEFCNMMKRIGFGA >OB10G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7337136:7337783:-1 gene:OB10G16460 transcript:OB10G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYCFSCRQIDFMLQSSLHCKVPNGAIDITTLLIFLNTSTDAPHFLMEFIQGSPTSMVVLLDLLPRKDLAFHPEYMEKYYENTQVDKQREKIEELPQARPYRSQSLFVRSSFSPTAILTTIDCGQGGEGALEIVRGQLAAAAKEVLQIWLDSCVDHASEMEDGERESMLKRDQIVRSKSIEVDLTSNLPRMFDPDVANRVIAEIRKAFGVQET >OB10G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7341588:7341761:-1 gene:OB10G16470 transcript:OB10G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYRSDSSFRVKPSQDKPVLEMLGGATPAFLPAVRKTTLAANLLRPDSRFRAQPS >OB10G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7341668:7343671:1 gene:OB10G16480 transcript:OB10G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLPQASLAPAYLATVSRGTTNLSGREQPSLHPQAPPVLTTRFASSQSQAVPPQPPRFTTLAQFNLSPHSHGSWDPHHCQQPCSNTQATEGKGEQETLVREEVLEPLNHESTVVNLSRDWSTGEDDFLQRSNFNNMASERFYTNLLSEDTYTFDCGDMGSQPEQEQPNRNEPGKSRRQSQKRTKNFSDEEDYLLVSAWLNISLDAVQGVDQSKSTYWNRIYKYFHEHKIFNSDHSQGSLNHRWTGIRDAINKFCGCLSRVENRNQSSVTIEDKLSQARKLYKAENKNRAFTYMECYHLLKNQPKWFDKRIEMAELEAQKTPNKRQKSTTKSTAVLSTDVPTEGNIGDGSETTRIDAATNTLERPVGWKKMKEKLRQRFDRSHIESLDYLWAKKKEADEEKEIKKEERYNHAFALEEQRIAMEKEKFDFKRMIEEERILRTDVSNMDISQQEYYKNLKDHIISRRLNSSTE >OB10G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7348012:7348242:-1 gene:OB10G16490 transcript:OB10G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEANGGGATAVHVLVVPFPAQGHIYAQHIHRALRSSSPADSRTRALRPARRVLAVRCLRHRRAPLSVPSDAYAC >OB10G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7348565:7348720:-1 gene:OB10G16500 transcript:OB10G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRSRCSFHSLTVSNDSDSFPNYVSQILCFSKFVNNITKKILFYLQKFRI >OB10G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7353622:7356027:-1 gene:OB10G16510 transcript:OB10G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEVPADVAWFGNAAGDAVGSVDVRRGAPGSSIDFMLEAWFHRELPGGGGGGGGAIDITALIVNLNGATD >OB10G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7361495:7363612:-1 gene:OB10G16520 transcript:OB10G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNAPIPINAEALPGSISKGDQSLDLMSDGWTNERHSLYISSMEASFMKQLYGNEHHGHDMNRSHAGDTNGLRVHREGVCDNLRSGRDDAHAHDRGMSFFPENPWIRRFRPRNSGVNFKNDVVGFSVDDDESGTDMVRQSVRVHGREGKSCVGEILADKSTVSDQNFPDEDVEVDAEPCKRRRPTHYTTTPNEQTT >OB10G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7378453:7378638:-1 gene:OB10G16530 transcript:OB10G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRSNPRVVFAVHAGLSCSSYFTRAKRYDNRSRWRVHTLASTSKLVTPLWHVEKHTRNTV >OB10G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7380497:7386157:-1 gene:OB10G16540 transcript:OB10G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAWPVLFLLCCSWTRRQILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTAIKLPSMGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNLNHNQLQGNMTDVFSNLPSLETLDLSFNSLTGNLPQSFSSLSSLKTLSLQNNQFTGSINVLANLPLDNLNVANNRFTGWIPNELKKINGLQTDGNSWSTGPAPPPPPFTAPPPSRNRRKSPGRHSSGSGNSSGSGGNSGLRSGAIAGIVVALLVIGAVVAFFLIKRKRKGAMEEHVEQRQPFNSYPSNEVKDMKPIPESTKIEVEPLPSPAAISLKPPPKIERNQSFDDDDDLSNKPVAKKNNSASVKAAVYSVADLQMATDSFSMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTVLPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLAYDFQRNGSLHDMLHLPDDYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFNPHVSDAGLASFVPDSEFQASDQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSSRQRTEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEGSRRPDDQDQEFV >OB10G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7408355:7409205:-1 gene:OB10G16550 transcript:OB10G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCHGRATAAYDLQHSLPKITGETTDHQHGNISGTQAAKNKTWKKRYLTFLSKFQNKMKHKKPDSTKAAGGSKNHHHHHHRNPTKRSLLPSSQILEECSNLVQVIRQTTADCFAAAAAKQSAGGWRDTRARVSRHPPADCFAAAATAVAASVDYEDDQPYMQLDQVNYGVKREAFGPVYLVT >OB10G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7410821:7415907:-1 gene:OB10G16560 transcript:OB10G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) TAIR;Acc:AT1G72320] MVCFGSKALRRKGGKQKDLLEGDLDDSLPVRRGRKDKSEKTQKGGSRSSNRPAAEKKAKHGKKRSEDGKKGKGHGKGRHSDGSMEMNHGPMKNDNALLPSKALKPVTNVLRKKVDPETARYFLEISNLFANKEIDLEERSTICANALEETKGKELELATDGPISHTLQVLVEGCELEQLCVFLHNSIESFHIIAVDKFGSHVAEAALKSLATHLEDETSRDIIEDILNRICKVIAADAANVMSSCYGSHVIRTLLCLCKGVPLQSLQDFHTTKRSAVLAERLSCGSKQSGGNDPKNHGVGFSDIFKSFVREMLQNAKHDISTLQTDKNSSLVLQTALKLSAGDDHELNYIISILLGFNEDGTAHTKDCREQKNEIIALLEDTAYSHLLEVIVEVAPEELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTSDQMEQIWDELGSSIKELLELGKTGVVASILAACQRLETKRLESSQALSAALSSNSESPDSIVAHILFLEGYLQKKSSWEWPLGAKMSVLGCLMLQSILQYPHQYIRPYVSSLLAMDDDKILQISKDSGGSRVLEAFLCSSTTAKRKFKVFAKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVVELLAVQTDLSRTKHGFHLLKKLDVERYSRRPDQWRASQTSKETTHREFQVEFGLSSKGAGQSIEEHLSSQSPAKKKRNQKDKADVITEDAGTNKPHLSHVGKTKRLKSTEATSEKASSNKTLMSEDASTSMAFPKNSGKRKAPGFLSDKTSFKKQKHHKPNAGKSDGKMFVRDSSSTPFVRNAGKQKQSIAELADLAGKEKLSASEVRKLLKPEMPCKS >OB10G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7423418:7429523:1 gene:OB10G16570 transcript:OB10G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVLKCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLDRAETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALEDAFNNLEGITCNKTEGAMYLFPQLLLPRKAIEAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIITRFKTFHESFMAAYRD >OB10G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7431027:7435681:1 gene:OB10G16580 transcript:OB10G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQAPGSKVFTVLALADHHHLRGLVARRAQSMQEELQANPSAHPFSEVLALCDYPHLLDRSETSFMFSSDAITRAQEILGVIPGKTTGGYSHCQGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMIMHLLIRGQKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWRVNISDLKKQLDDARSNGIAVRGLVVVNPGNPTGQVLVEEDQCEIVELCKNEGLVLLADEVYQENIYTDEKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRKEVYKVASLSSCSNISGQILMSLVMNPPKVGDESYPSYRAERDSILSSLSCCAEAMVSTFNSLEGMTCSKAEGGISVFPSVQLPPQVIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTQLMISRFKAFHKAFMEEF >OB10G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7436204:7437045:1 gene:OB10G16590 transcript:OB10G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRCYSSHRILIPKKIRSKNLIRACMDSFSSSESKKAPNSVSFTSKVNKVYEDKSMGILCYTDNSGELICEGFDEGPRLTWQDMEKINREKALKTEEDRQQERMLQIGVAGVDWSSLQTIVSKPVGLGGDNEGQEADPAGQLLASIG >OB10G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7444192:7444570:1 gene:OB10G16600 transcript:OB10G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLIPAPRSGRAWPAKNKRRMMSDDEEEEDFEAAFEEFDGADSEEEGECDGVVEVLDDGSEEEEGVVLPPPRFARERLCPLIQQAP >OB10G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7445122:7445490:1 gene:OB10G16610 transcript:OB10G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTASSASGDSPAPAFAASSFGEPGAAKQPPPPPTTTTNHASQEPSPPAVAASASADNPEVFDPYDFHGGGLASYFAGSAYESLENLFTHGDNAAAAAAGVEEQWPVGLWSFADDGSFCF >OB10G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7450299:7452890:1 gene:OB10G16620 transcript:OB10G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLWVIKQETLMAPFDYNKKMLRQRNRCQLCTASLVPRNVCATPVFEIPVKTGCELNRSGTLDPVHHVSSLFRLMASGSINCYI >OB10G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7450832:7451119:-1 gene:OB10G16630 transcript:OB10G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPHMVYRIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPEHLPQVKGTGVKIEHPVPWNYHQNVVTSSCEFHDFPIYRNVCSAP >OB10G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7453203:7456733:-1 gene:OB10G16640 transcript:OB10G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxoprolinase 1 [Source:Projected from Arabidopsis thaliana (AT5G37830) TAIR;Acc:AT5G37830] MGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKASNLYEEVVEVDERVELVGDGERDGSSAVEGISGELVRVAKPVDVEALKPLLKGLLEKGIRCLAVVLMHSYTYPHHELLIEKLALEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGDQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPDYFPSIFGPNEDLPLDYDATRKAFENLAVEINSHRKSQDLSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAVYNDDSAEEASRRVELLVKQVKEKLIEQGFGEDSIRTYSYLNMRYEGTDTTIMVKHPEESGSDYANEFVKLFQQEYGFKLVNRKILICDVRVQGVGATNILQPHELTPVSTKPVQESSCKIYFSYGWQETPLYKLQNLGYGHVLEGPAVIMNGNSTVIVEKDCKAIITRYGNIKIEISSAPNTVKVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFSPDGGLVANAPHVPVHLGAMSSTVCWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKLIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIVHLLQSPSSDELTNHKIPGTRKIQDNLSDLRAQVAANQRGITLIKELISQYGLITVQSYMNHVQNNAEEAVREMLKVVASRVRKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSEKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGAGFHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGENGDRGANYLIKKDGRRIYLGGKNTVMVNVGEVLQIFTPGGGGFGSP >OB10G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7460716:7462390:-1 gene:OB10G16650 transcript:OB10G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSQHLSPSIRQTNVASWIGMTIWVRVQGTRQFVVFVAIFYYEQYVVFVELFMCDVILYYERPDGYPIPARYPMGMGIIFYPRVWVRVENSTHKLRVGGQWMNSMWDEKKQRWEGRKLRVLKMTNPLPLKVNQLHQKQEQLQPKKQILENELRIQKLATKQLLLKIESSPKQAQRITRRRLALEVGQGSSETTQPVEMQAPVQPTKKLTPRKKLAL >OB10G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7467696:7477338:1 gene:OB10G16660 transcript:OB10G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGISFRLSKYGVVRATDANGITISPTCSRMILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPPIQDGKTLHPYDKASEKLFSAIESGRLPGDILDEIPSKYYNGSVICEIRDYRKHASNQAPTPSAELGLPVVNKVRLQMTFENVVKDIPRLSDDSWSYRDFMEAEARIVKVLQPALCLDPTPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENSKSDEMGIAGGNAAHQVLDNIAIQNMSSGSQTFRPANFSQDAARMGMLSQTSIQQTVNYPAIGNDRGAGTLNNYAGINSSISSPQNLMAYNENTNGLLSVKREMPDAPLQDPKRGKTTVGVDDMQQQQQQTRHQPAGLVGQDMQWKNQQLHQQLDVKGMQYASLSGQRYPQMSSNIQEPASIYLNQQIMRHGTKQEQMDGMDKSKDTLHAMAPENSVLDQQQPQSHNLPQQAGTRNNIQQWQNPRFSGEKDFKKEEMLQRRKLPAASRVPSVPMVQSPVSSKSGEISSSSIGGQFGPAVTSAVMGSQKDKFNHAVGYPSVASSPSDSMHRVQQPSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTTPMGDQAILERFIKIDAISQRHKLNIKKNKVDNIPQRKTIINASQEKVATVISNCFHNEDFKDDIKPLCNSMLGGTMNTFKTRVLNFAVNNRMFQGPTKPFRIIFREKPDGTVGIQYGDPEDFDGQNIYECTMILPTNYHADLLAKQLIARMEKEGYNKIEDQVKLINAPSNLGISPDNVVNDVKQEGGISQQLNAAAHANMTPGTPLQQHPANRMLPSVNNQALAMQQGYMQGVPPRSQQLDQNLIQQQQQQPQQMQQNAQAQLQQPASLPLNQMQRPQLLQTNPLSQMLGTAGSNLPMASSHMGNKAAPSSVQLQMMQAQQQLPGQMSRKMIMGLGSTVNMGSMVNNVVGLNNIGNVMGGNVRPMTSPMGNMSGLGNNPNQMSLGMASNLSAPGIRPGMNQAAIAKMRMGLMQQQRAAGIYPQTGMVGMPGSSSPILPGSANLSMMNQLNRSNINPLQQRAMLMGPPKMPGGNYALTPQQQIQLQQFQQNPLQQQQLQQIQQQQQQQQIQQQQQQQQQQQQQIQQQQQQQQQMGSPLQQAAQVGSPAGSQQSLVMSQHQQISPQQMAAMSPQLSSGTMQQVNNNVMNHVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >OB10G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7478159:7478398:1 gene:OB10G16670 transcript:OB10G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLQSSCMREDFPSTYVLVNSHGTSHQRAQQIVTPVLVYEQSSLDYYFVFSSPFFWWGKEDLIYEFKDHYNQSPQDFQ >OB10G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7479383:7481518:-1 gene:OB10G16680 transcript:OB10G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAARMAAVEEEELTRIHQEMLRRPTAGEVELASAEAEDAAGARVEDAAPPGEDVSGELVDVLLDARENAVPSIERAEAVKSQRTPCRFAGGLDDEMVLCEILVRLPARSLLRCRAVCRSWRRLTSDPSFLLDHHRRQPELPLIYFRRGNSDCVDAIDLQAAQLRPIVHDTSPFGYSVVASCSGLLLLSSPGHFYIFNPATNQWTTIPQLIGADFLGLYQHSPSGEHRLLYGEFHGEEDCVYNILTLGSDEPRRITMTMGSDSEPVEQPLAREFLMHARGDRSVLVRGSLHWYLRHRDEGCKIMVFDTESESFRWMRHPDTPGWMLFLEMDNTLVFSAVESMSRLSIWVLQDHERGIWACKHSIELPVAQIRQFPGCNVEHTGCFATVVSVEGDVLVRCSNWIFHCDRKGNLLATFQFDGQLPMNCLHRLKESLALHPLFQMQHNNGVCLPPLL >OB10G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7483122:7487495:-1 gene:OB10G16690 transcript:OB10G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHRAALPREEEDEEEEVVESDDEEEGCDVGSEEEEDAAGLAGLCDPDAGSDEDPTFDPAADGDLEVDAVLRSRMARVALSXXXXXRKGSLMPKMGKEEIDLLAMVDKLMQDGQLEKLKVYECKAYLRMHKLRLSGNKLVLLNRIREHFEMKNMGEVKYPVSSFVLNCQGDSCKGDVVMFEQNIYKRKKGAPRGVKGCLCGQRTNAGRIIKESYGSKKQQHTFTIEILWSKGHKPWPPLHPLLIKGRDLYKDKTMRQPWPDEEGRNRVLQEKHARGFVARKTREVRIKEKEHERVRRLNRNKSKGHENMNKKSSQEILQQQSVTVNTAQQRSDEKIIHFFQHGEPRNTRQQQKSSNQIPTEKLFHYLPQFPYPQQHNEVLQKETSRTSTARVINLQAPSLQHAIKEETTQHQPESIKPTHIQQSSVYQQKYPKHQQHNEVLQRAPPSQEQRMAVSQTTVVRQDFPITHHLAPPSKHGGSESMRQQQISSRSTHSPSQQTVKYRQQPPDHQYKNEMSRQQCETSTSRTGFASHQSNHWGSTDHDRPGFQPHRAFTQRAKTHQHGTNGSGYQHARIDHKQHQPLRSRNKDYYWGDKSYDQDYSLGDQSYDQYYSQQSHHQNHHGHRQMSQYQYHYQNYHDRWKMNGNQYHAEENHNHTYRDHGRMNGNQNPPRFQPWRPCQYCRQGYCKYGENCKFWHD >OB10G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7498505:7499656:-1 gene:OB10G16700 transcript:OB10G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPDGVSEQVLLRLPVKSILRFRSVCRSWRATIDEPRFARLQLQLSKSRPPSMLIVPLHWAYPHERLASIMFIRYPGHGDVARLMHEGFSPSSGGFATWMRPWHCDGLVVAPGRSSGETVLVCNPATRELVRLPPGSPDLWDDFQKVGFGVDPLTGEHKVVRCFVRHGGGDEPPAPHSFGCEVFTLGSPAWRPAPDPPYAINAMLPLCLPGAIYWSASIPPTTQRMARFDLHDEEFTDFPPPPCMEVGGPCGYLTELGGKLCYTHSLGDGVQLWTAEDGHGVAAAAKPAPWSLLCTIKPWRPCWEILPFAAYGGGIFINVDFAVICRFDLGRQVLEKVVDMREEMKYVLAGAQGCPYYYQFSCPGWSQCVLPYSESMLPIGS >OB10G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7518715:7520415:-1 gene:OB10G16710 transcript:OB10G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADCGGGRRMFAVACGVLSRCVKAEAAAGKMASCYPASPAATMLLMPGADVVPDVREEEERAQGKKPEIVYGGRVLLYHNNLNY >OB10G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7546158:7546319:-1 gene:OB10G16720 transcript:OB10G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIKRMVFEFLCIPVKFAEQSMFQSICLIFFQHFYVLDTKTYFFFANLLLCALN >OB10G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7546123:7547074:-1 gene:OB10G16730 transcript:OB10G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIARKASLQRFLQKRKQRITASEPYKKAEVASPAPTPEKSFAAVMPVKDEPATWLGL >OB10G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7566444:7568139:1 gene:OB10G16740 transcript:OB10G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLEYKRLKKLVRLISSSGSGDGGRGEAAFVRLLDGEVDRINAFFLEQEEEFVIRQRELQETVEKAAPRGGGGGGGGPAGGGGGGRRPAPDAAEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLRQPFFTTELISRLVRDCEATMDAVFSSSSSSTVAATTAPAGDRRTRKAFTDVDAGVAPMADRQGIFRNTVAALATMQELRSGSSTYGWFSLPPMAAPPASPDSGVLQSIQIADPILI >OB10G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7568126:7573925:-1 gene:OB10G16750 transcript:OB10G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07300) TAIR;Acc:AT3G07300] MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTADSDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVNTGLSRSASSTGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSNCMNCSTQDGTLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >OB10G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7581018:7586542:1 gene:OB10G16760 transcript:OB10G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRLLAVLLRVLVAGEHAAPPPPRGGGGARYARVFCFGNSLTDTGNNAILPATAGGPSSSPPYGMTYFHRPTGRSSDGRLVVDFLVEALRVPQPTPYLAGKTAADFLPGTNFAVAGATALEPELLSSRGIVSVVTVSMSNQTFWFEDVLQLLATSPDGRRRILETSLFFFGEIGVNDYFLAFESNYTVERAATLVPDIVGAIRSAVIATIAAGARTVVVTGMIPLGCEPSVLALSAGDAAAGDYDPETGCDSRFNHLAELHNRALIRMLRQLRRAFPAVAVHYADYYRPVTAIVASPAKHGFGERPLVACCGGGGNAYNFDFAAFCGTPASTVCGDPSEYVSWDGTHYTEAANRFVARAMLRGASLPPLSMALSRSGENAIAGPAAT >OB10G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7590551:7590829:-1 gene:OB10G16770 transcript:OB10G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLDASEKEDAGGGTTLSPGTLSSGWWSPALKHASTSSAGTNRLAMLLTLDRSEPPTFAEAASPRPGSVGVVASPTASEDARKQQPGVRR >OB10G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7594515:7598923:1 gene:OB10G16780 transcript:OB10G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGARYARVFCFGNSLTDTGNNAILPATAGGPSSSPPYGMTYFHRPTGRSSDGRLVVDFLVEALRVPQPTPYLAAGKTAADFLPGTNFAVGGATALEPELLRSRGIVSVVPVSMSNQTFWFEDALQLLATTSHNGRRRILETSLFFFGEIGVNDYFLALASNHTVEQAATLVPDVVGAIRSAVIATIAAGARTVVVTGMIPLGCEPQLLALFPGDAAAGDYDPETGCXXXXXXXXXXXXXTRVLRQLRRAFPAVAVHYADYYRPVTAIVASPAKHGFGERPLVACCGGGGNAYNFDFAAFCGSPASTVCGDPSEYVSWDGIHYTEAANRFVARAMLRGASLPPLSSMALSRSGENAIAGPGDVTETREAVQ >OB10G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7600780:7602774:-1 gene:OB10G16790 transcript:OB10G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNDLGSGDRTQPPIAPLQIRTLTISMTSKLLDLHVIVMKPKVGAVKTRSTKNFLNIFNKPPANWILQPAASNAACRWESSVISQSLNFRKKAKSRCTVPRRGHGLPSRFANADRSNSITKTTNMTPSTPTPSLLKVKLATTHPKILPLT >OB10G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7605214:7607227:-1 gene:OB10G16800 transcript:OB10G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIGNAL PEPTIDE PEPTIDASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) TAIR;Acc:AT4G33410] MESLWKLSYLLEPASLALIVTAISVAYASASRALEHGKEMERNLDFSEASITLDQSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYIAYVKSRFSMGDPFVSRCCSKSFTRLQGLLILICICTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDMSVSQDMPPSKQRKYVWYALTGYGIGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPILNDKAHLLEV >OB10G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7608116:7608334:-1 gene:OB10G16810 transcript:OB10G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTVVPLGPTLPSDGGALTSPDPELASHGGGPLAKWEEELEQGVDSSSPPAPAASLACASQGSSDQEGLGS >OB10G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7613628:7617178:1 gene:OB10G16820 transcript:OB10G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLPRLLAGLALGVLLVAVAADDEGRRRLPRCSRVFSFGDSLTDTGNLMLLPAGRDVPESRLPYGRTYFHRATGRASDGRLAIDFVAEALGLPEPTPYLAGKAAADFRRGANFAVGGATALDPAFLRGRGVTSFVPVSLASNETRWFHDVLRQLAAAADDEHAQRTIAASSLFYFGEIGFNDYSFALSAGNGTVDAAASLVPDIIGVIRSSVADVIAAGARAVVVAGMIPIGCEPEMLALFXXXXXXPASGCIARFNDLAELHNRELQRALRELRRAHPGAAVVRFAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRWGRAGAARASRTTSTPTSRGSAARRGRRCARAGRRRPSRGTASTTRRPPTDSSPMPS >OB10G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7619185:7620757:1 gene:OB10G16830 transcript:OB10G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLCPRLDVVAASAAALLGVLLATASAALAGGGTGGYARVFSFGDSLTDTGNALRLPCTGGGGPASRPPYGETFFRRPTGRASDGRLAVDFVVEALRLPHPAPYLAAAGKTAAGFDHGVNFAVAGSTALSPEFYAGRGLKPFVPVSLANQTIWFHNVVQLLGSSDHDRRKVMASSLFIVGEIGVNDYLVSLVGNLTVGEVESFVPHIVGAIRSVVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGAGSSDAGDYDPRSGCMARLNGLAERHNRELRCAVAELRRAHWGTSTSVVYADLYRAVTDIVVSPGRYGFGDMPLEACCGGAGSYNFNVTAFCGASGATACADPSVYVSWDGVHFTEAANRHIACAMLKVRPAGAAPPGMATSTTWPAAAEAGRRRIGC >OB10G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7629453:7629683:-1 gene:OB10G16840 transcript:OB10G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGQTTFAEIIREEEEEQHMHIAACIPTFGISRAWTSLLADLATDRMHADGLATAQWQAGGAGWLKLAQAASEDG >OB10G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7632716:7633569:1 gene:OB10G16850 transcript:OB10G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFKKLLLLLGSGDHERREITASSLFVVGEMGGNDYLIAVFQNRTLDEAKTFVPGIIDAIRSSLTELIEAGAKTVVVQGMLPIGCEPRLLELFKERGRAAGAGDHDAETGCLTGLNELAEEHNRALSHALDELRRAHPGTAIAYADYCRAVTDIAASPRRYGFGDEPLFACCGGGGGPYNVNLTARCGGAGTAACGDPL >OB10G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7636268:7640506:-1 gene:OB10G16860 transcript:OB10G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07010) TAIR;Acc:AT1G07010] MAVLPPVRVAAAAAFGRCSSPATAPAGCCRGCPRGGRAVASGTRRGSLACCAASGGGGGGGRPAIAVAGDPPTFVSAPGRRIVAVGDLHGDLYQTRAALMMAGVLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGAFDECIRFVEYLDECGGNWDAAFLNWVNVCERWKEEYPTSPNGDWRPWNFVKKQKGIAARASLFKQGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSVWMKRSSVDSDDELDIPFIATRGYDSVVWSRLYSQGPTEMTRHSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNCKCDGKVWCVDVGMSYGVLYSRPEVLEIVNDRPRVLKNRRDSYDEMEVLDYL >OB10G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7648744:7651778:1 gene:OB10G16870 transcript:OB10G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPLLLPLLLLLLLLLTNADAGGTNATATTYVDSPLQFANLHQQWSNGGTHASERSIEVAHATPWSHDAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPAPRPAMLPLVYGGGSDNASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLAGDKIRDYASHGGGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEVLKPDMIGPGVNILAGWSGVAGPTGLVNDGRRTNFNIISGTSMSCPHISGVAALLKAAHPGWSPAAIKSALMTTAYTVDNTNSSLRDAAGGLLANPFAFGAGHVDPQKALSPGLVYDISTKDYVSFLCSLNYSTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKNSRHVMRFRREVTNVGPAMSVYNVKVTSPASVSVKVTPSRIVFNRVGQKQRYYVTFAAKVDDTGSVKPDFGWISWLSNEHVVRSPVAYTWKM >OB10G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7654779:7661376:-1 gene:OB10G16880 transcript:OB10G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTSSPSPSWSSLFGLGCFTSSPHSHHHLRHDGGGSAKNPAPAPLPARLSCGNGTDGGGGGVKMLLPSPEELSLSLAGSGVQAFTVEELRTATRDFSVSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHHHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSSSLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLDSDYEAKLSDFGLAKDGPQEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPLREQNLVEWARPCLHDSRRLDRVIDKGLNGQYSGRAARRAAAVAHQCLSVSPKSRPRMSAVVEALEPLLAMDDGIVEPFVYTAPPESK >OB10G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7672066:7682643:-1 gene:OB10G16890 transcript:OB10G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSTEPHYIRCVKPNSLNYPQKFENGSVLQQLRSGILESTKFYIENSGTSKYLLKDIKNAHCVMGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPDHMDERFDEKLLTEKILSQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVARKEFLSARKASVYLQAYCRGCLARAVLDARRQIAAAVSVEKYARRWFCRCEYLHLRSSALVIQSGVRYILAIQKLLHLKKDKACTVIQAWWRMRKLHNFHQQYRHATVLMQCCWRQKLAKRALRNLKSAAYETGALREAKGKLERSLEDLTLRFTLERRQRLAAEESKALEISKLLKIMESLKCELEEARKEKNNGCKEVASMQQQLELSAKDQQVLRDNLAQIEELKMENSSLKAKNAEMEQELLEAQKSSHDNMDKLHGVERNYLYLRDNLKNLEDKITNLEDENHLLRQKALSLSPRHSRTMESSPLKLAPLPHNLTEIRRSRMNSERHEEYHELLQRCIRDDMGFKKGRPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKTENENDILPYWLANASALLCLLQRNLRSKGFITAPSRSSSDPHLCEKANDTFRSPLKIFGQRSSMSHVDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQYFHSLMSNSLTFNWAFYMPKRHADVPLNFLLVSLVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIRQAVEFLIIPQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLPTPY >OB10G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7693367:7694157:-1 gene:OB10G16900 transcript:OB10G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSAPPRFHHLAAAPGHGRGSRLSVTPACSASSGSLVRMRRGSTRRRSARSLTVRCEQGAKGGGGGGGLDVWLSRGAMLGFVGAVTVELTTGKGVLQNVGLTSPVPTLALALTGVVGVAAAFLIFQSASRD >OB10G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7696423:7697504:-1 gene:OB10G16910 transcript:OB10G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKRYNDPKKYGMRDKVFFKFWPALDAISLAPTPEARAPAATNPRPALKLLEEAFTARSDGGAFFSGRGAASPGLLDVALGCFLPALWACERLNGLSLLDASTTPLLCGWSERDGGAFFSGRGAASPGLLDVALGCFLPALWACERLNGLSLLDASTTPLLCGWSERFAATAAARAVMPETEEVVAFTRFLQTKFGVAAISK >OB10G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7698237:7701135:1 gene:OB10G16920 transcript:OB10G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Proteasome assembly chaperone 3 (InterPro:IPR018788); Has 120 Blast hits to 120 proteins in 47 species: Archae - 0; Bacteria - 0; Metazoa - 62; Fungi - 2; Plants - 49; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14710) TAIR;Acc:AT5G14710] MEMGMNFVQPNARFPVSHKSISLDIKGNKTDIVISKYEDRFLVIVTQIGCMGTILSAKKEESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHISGSGSARSLMISLGLKDHSQATVKDIVSTIIENRLW >OB10G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7703085:7704462:-1 gene:OB10G16930 transcript:OB10G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPGDGEGGSGGGGGKESKGKEKVVEEYGKNRHGQPVGFYMVPSDLELIRMLRCKLLRGKLPGALNDVFHELRILDFHPAVLYSMYEKRMEDDYIYFFSRREYPAKAGRNKRRPVRATNGGTWKASGGSKTVETKKGGRDVAVGQRLTMVFYERRLGGDKKAESVKTNWGMHEFCKFIPGSKNKELEDLAVYRLYKMGRKEEEQEAEEDEEVVEPSTSASTEIPPQLPPAPQLLLELAGSSPPILALPPPEGFDETWPDRRRRYWRCLHWRASTGGSRRRRCHCSRWRRAWLEG >OB10G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7705616:7708350:-1 gene:OB10G16940 transcript:OB10G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSNRNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >OB10G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7711417:7719964:-1 gene:OB10G16950 transcript:OB10G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G33210) TAIR;Acc:AT4G33210] MRWTVPHAWDHEAAGSSRTVSRIWEAPHAPPLRLRDMWNGGHADSGAGAAVDAAEEGEEDDEDGDEDGDRDLQSKRAKVRGFGEETPQHSGVNASFFGFETTHFPGSDEHVHFKLSHCAENELNFGLSLFPNDGVNENPRDANVDDAENSGGRNSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRSASMHEDFWKCLKFENTRISLQNFVDICHRYPNVTYLNLSSVPHAELLVMEAITCLRHLKTLTMGKGQLGESFFQLLSECPLLTTLTVSDASLGSGIQEVTINHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASLDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESVRLAMLIDLRLLSCEGITSASMTAIAYSRLLEALQLDNCSLLTSVSLDLPHLKNISLVHLRKFAELTLRSPVLSYIKVSRCSVLHRVSITSNALQKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAVCEVFSDGGGCPMLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQKVNLDGCDHLERASFCPVGLESLNLGICPKLKVLHVEAPKMSLLELKGCGVLSQASINCPRLASLDASFCRQLMDDSLSQTAEACPLIENLILSSCISIDRNGLSSLHCLHKLTLLDLSYTFLDNLKPVFDSCPQLKTLKLSACKYLRDSSLDALYRESALPMLVELDLSYSSIGQIAIEELLSCCTNLVNVNLNGCTNLLQLVCGSDDCSSGDMPVDVCPTDSAPVRSEEISERSDRLLEVLNCTGCPNIKKVIIPSVATYLHLSKINLNLSTNLKEVDLTCSNLYSLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEEIESAISLCSALEILNVNSCSKINVLDFSRLRAACPSLKRIQSSLIA >OB10G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7721545:7723759:1 gene:OB10G16960 transcript:OB10G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQPARRGSRPTKSASSLPQSGATQQGVEQVRLSAAAVTMAAGQGRPPTPPSSMVSGLRMSSPVPVHATPSLSPSLQAGFRPPVHRVGLAPPRPGASTLCGDVQETGSRADEVTNIADAMSGAAATDKLYLGWNVGEQQYMRQEDMVGMGYYMNLVNEDINYYDLGGIGSQPEDEQPSAVDCTTSVKPKQKRLKNFSNEKDELLVLAWLNVSLDPVSGSDQPKSTYWNRIYDYYHSNKTFISERNENSLMHRWSTIQEAVNKYCGYLSKIQERNESGVRLDDQEMQARIWYKKGDEHQRTFNFMNCYRLLKNQQKWMVWMAKRAQLVEQQKNPNKRQKSTTNAAPVMSTDVHAAAIGDVAANGQERPPGRKKEKEKLAKRSDQSRLDALTLILAEEKESDADKKKERSERYARAFSLQEQKIAIQKEEFELRKMLEEERIVRMDTSEMPADQQEFYKILKQQIIARRTINLDSS >OB10G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7739156:7745642:-1 gene:OB10G16970 transcript:OB10G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE subunit beta [Source:UniProtKB/TrEMBL;Acc:J3N2E9] MALEIEVFSMDLKDSLSKFKQQQERCQSSLASIAASTAKPKHRAQPVNAPSAPARPPQPIKFSNDTERLQHINSVRKSPIGAQMKLVIELLYKTRQAFTAEQINEATYVDIHGNKAVFDSLKNNPKVHYESGRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVIEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNN >OB10G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7748939:7751316:-1 gene:OB10G16980 transcript:OB10G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell elongation protein / DWARF1 / DIMINUTO (DIM) [Source:Projected from Arabidopsis thaliana (AT3G19820) TAIR;Acc:AT3G19820] MADLQEPLVRPKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIYLGDVWSAMKSEKRRQREHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDRERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEIVLADGRVVRATKDNEFSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPAKGSLKDIAQAYADSFAPRDGDPAKVPDFVEGMVYTETEGVMMTGMYASKEEAKKPGNKINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHKEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGALAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >OB10G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7750779:7751189:1 gene:OB10G16990 transcript:OB10G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPFNSITIDQSPNSKVIKLSNYCKGKVHWACSSGYLAHVNKRLNLGNHSLSVNLKNVPEGRKVNLKMPSTLVVYITHANSNPRFPSSANKAILLWVPLLEPLHNFLHIIIVFPLAALLRFHGRPDIAQIDIEVD >OB10G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7769577:7769864:1 gene:OB10G17000 transcript:OB10G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSRRAVDQKKKRRRREREEFLGTPARARRELAFPRLRSVLHRRRQESSGASAYPPSNAAPDRIGSAAGPAAAIAAAAAAAAEAVRLLRFCDA >OB10G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7770019:7770357:1 gene:OB10G17010 transcript:OB10G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRFPFHVCKNGQVETVMPAVVSPLPAAHWNHRLHETLGSQLNENVLFVHERPNAEIKRREEKGEAGYKVTAGLDNYCMGGLTEGCKEAYIIILALSTTILQFLKKKQIRR >OB10G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7773823:7774539:1 gene:OB10G17020 transcript:OB10G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFHLYHKLLSGIKKYHKIFHLPNNTTQEREWNGITWKITALDMFTPCPRRPAKRGKRKQADSDLGGDPGRPTPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDVPHSGRRPWPRLPTSTPRPTLRPAADTPLPTSTPRRPTLLADALAALFLFLLARSSVPCTHGYFGQNVLTSKFG >OB10G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7777415:7778531:-1 gene:OB10G17030 transcript:OB10G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAVDVEDGGAAVATARVGGAEEVRGMPAEVSWEMLDKSRFFVLGAALFSGVSAALYPAVVLKTHLQDAKIAFDCIFQYDDDENFVKLRTYCPFDQTVIRSGSC >OB10G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7784098:7786351:1 gene:OB10G17040 transcript:OB10G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGQTSQENQSNLDGVGQVSTDLSLACLEANNVRPKPEHSQPLKHMCAIGLERTQQLPLPTEHIDDEPIYVNPKQYHAILRRRERRKILGSEDKVAAIRKRILLESRQKQAKLRRRGNGGRFISIEHPPEPCVDDQLSENGESISACPSTVSENSSNLNAFTGDELSLIRQ >OB10G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7791996:7792208:-1 gene:OB10G17050 transcript:OB10G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N2F7] MLDFVFTEGPYKGSTVAAFGVGLPGAAMERPVIGGTGAFRMARGYTVSRVVPTADPNNQLILEYDIYVSH >OB10G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7801906:7811526:1 gene:OB10G17060 transcript:OB10G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSVLVKTSLARRRRRPRCCVSKTEGSGTATLPSAEAAALAGVDGAADIRSPSLMVPAMQDCEVDATATAALGRRVASDETLTVHAGEKLGSGGEAAAGTDSIATPIVSGTTHWFRDSADLVAFREGRRESFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATNDCYTETRALIRDRLSKMGILATFVDLDDTEALESVLDQGDVTMFYADSPTNPHLKVVDVRRVAELCRRRGALVCIDSTLASPINQKPLTLGADVVLHSATKYIAGHHDVIAGCVSASEQLISRIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAQNRTALRMARLLENHPKIERVHYPGLESSPWHGVGRKQMAGGGGVISFEVASDMDGATRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSDEKTKNGIKDNLVRFSFGIEKYEDLRDDILQALEKI >OB10G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7815577:7817321:-1 gene:OB10G17070 transcript:OB10G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSLSLVMKDSVQRRRRVHRPRRSPTKPECPAAAVVLSHEEVLAGVDGGAADGMSIFAAAGYPPRSPEYPVVPNMDDFVVVDGVDGGLVVAVAAGRRASDETLAVHAGEKLGSGGEARTDSIATPIVSGTTHWFRDLVDLVAFREGRRQSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPSGGHVVATTDCYSEARVFIRDRLSKMGITSTFVDLDDMEARGTTTSAAPSVRGQSEEEKAKNGIKDNFVRFSFGIEKFEDLREDILQALEKI >OB10G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7825029:7826858:1 gene:OB10G17080 transcript:OB10G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSTHLVVKSSLRPRRRRVHRCRRSPTKAERYEGVVLAGADGGAADGMGIFGAAGCPPLSPEYPVVPDMDDCAVVVDSVDDGAPAAGRRASDETLAVHAGEKLGSGGEAAGTDSIATPIVSGTTHWFRDSADLVAFREGRRQSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATTDCYSEARVFIRDRLSKMRITSTFVDLDDMEALESVLDQGNVTMFYADSPTNPHLKVVDVRRVAELCRRRGALVCIDSTLASPINQKPLTLGADVVLHSATKYIAGHHDVIAGCVSASEALISRIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAQNRTALRMARLLENHPKIERVYYPGLESSPWHGVARKQMAGGGGVISLEVASDLRGAMRFVDALELPLIATSLGGCESLVQQPAVMSYWGREEEKAKNGIKDNFVRFSFGIEKFEDLREDILQALEKI >OB10G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7829337:7833461:1 gene:OB10G17090 transcript:OB10G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPAQICDKYHAIHKEVYEWFGISFDIFGRTSTPQQTEVCQDIFLKLLENSWLSENTMQQLYCDSCQRFLADRLVEGYCPTEGCNYDSARGDQCEKCGKLLNSTELIDPKCKVCGSTPCVRDTDHLFLELPLLKEKLEKYIDETSVAGSWSQNAVHATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKTKGIGVFGNDAKSTNIPPEVWRYYLLTNRPEASDTLFTWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGIGYGSVVPDSPAADSHTLTQSLAKTIGKLIEQYIDAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPASCATVMKTSVGLVYLLACLLEPFMPTFSKEVLQQLNLSPEEHLSFCDEKAESDKAKRPWDLIPSDHRIGKPAPLFKGLENDAVKGLRDKFAGSQAERKLRTEVAAQLEATNL >OB10G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7834307:7835266:-1 gene:OB10G17100 transcript:OB10G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFASFQHKFRTHTFNKAHDSNSVHIHLSFRLTIALQALLGFYHGLPKAINIAEASPV >OB10G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7835911:7837872:-1 gene:OB10G17110 transcript:OB10G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOW GROWTH 1 [Source:Projected from Arabidopsis thaliana (AT2G22410) TAIR;Acc:AT2G22410] MPPPPSRFLALLSARRPPPLRRLLQVHAHLLAAGLLSSSSDLPSRLAAAYALSTADASFPSPLHHALALLAPLPASAYNAAIRALSSCGDDEGYRHGRGGAGVVVVVRRCLPLYRALLRSGAARPDHLTFPFLLKACARLRERGYGDAVLGHVLRLGLDSDVFVVNAATQFLAVRGSMSDAHRLFDQSTVRDLVSWNTLIGGYVRRGNPGEALGLFWRMVAEDAVARPDEVTMIGAVSACGQLRDLELGRKLHAFVEGNGVRCTVRLMNAIMDMYVKCGSLELAKSVFERIEHRTVVSWTTMIVGYAKFGFMDDARRVFDEMSDRDVFPWNALMTGYVQCKLCKEALALFHEMQEARVEPDEITMVNLLTACSHLGALEMGMWVHRYIEKHRLVLSVALGTSLVDMYAKCGNIEKAVHVFKEIPEKNALTWTAMICGLANHGQASEAIEYFRAMIDLGQQPDEITFIGVLSACCHAGLVKEGREFFSLMDTKYHLERKMKHYSCMIDLLGRAGHLVEAEQLAKTMPMEPDAVVWGAIFFACRMHGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRVMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHTDSDAIYDCLHEIALQIRHTDDLLNISATGVV >OB10G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7840541:7840913:1 gene:OB10G17120 transcript:OB10G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3N2G4] MKQSAVAIAVVMLIAAVGLRLPGGGGGGGPPAGYTNREDVSSDFIRQVGRFAVTVYKLARGTAMYYVSTSQCWSTPAGGGAGHHGXXXNGASGGAAGSYAATVWGIPGSESKTWKLLSFNATS >OB10G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7845577:7847085:-1 gene:OB10G17130 transcript:OB10G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHIRMGNLPVDTLRPLDPDTFAADSSAVVDFLAGYYRDVDKYPVRAADLEPGRLRKLLPDAAPEWGEPMERILDDVRRDVLPGLTHWQSPTFFAYFPMNASAAGFAGEMLSVGLNVVPFVWVASPAATELEGVVVDWMARLVGLPDRFVFSGGGGGVLQGSTCEAVVCTLAAARDRALRGIGHEGIVRLVVYASDQTHATFQKGARLVGIPPANFRVIRTTAASGYALTGNAVRAAVEDDEARGLVPLYLCATMGSTGLGAVDPVRELGEVARRHGMWLHVDAAYAGSAAICPEYQGYLDDAELADSVSMNPHKWFLTNMDCCCLWVASPATLTAALSTYPEYLKNVDGPAGGAKAPPAGVDYKDWQISLSRRFRAMKLWFVLRRYGASGMRAYIRRHVAMAEWFERAVSADERFEVVAPRRFSLVCFRLRPPSTDQASDDGGVNRELVAAVNASGRAFMTPFVVDGKFVIRLAVGGAMTEMRHVRDAWELLQRTAEQLLGQR >OB10G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7864342:7873863:1 gene:OB10G17140 transcript:OB10G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAAVSSSSSALTRILAACASQAKDYGRCIAEKVPEIEHNMCSKEFLALRSCMQTVMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSTQARPPNSDPVNDGPVLSVRYSLDQKAIGTQRSNHEVEFRNRETGQTCIKKWRADSETILGFFWTDCPTCDVIIVKTSGLDLFAYEPQTNTLHLVDSKKFNVSWYLYTHESRLILLASGMQCTQFTGYQFSAGGIVKLPKFEMTMSKSEANSKPVLAADDVHTVTVYGRIYCLQLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPINNKQSVQTPDSQNAYGGTLYGEGWNFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMAAKAMDVVLDSYSRLMKMGGGLPGVRTTSEQNQQSGVQPVANPDSVSGDGNRPAQNSGVERGISNLAAHVDRTSLNTSSDSEEITDASGEANQGTSGLQASDAAERKPQVAGEDSRPLASGTSMQQGSHYANVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSKAGLKAPPNLYVMMTTLLARNNRYPEISLFVSNKLWTLIFFLCICQFLQILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTVLLQDGYHLEALRYARKYKVITVQPALFLEKAVAINSAQSLAAMLSFFSDFTPTFKTTSDYGRYRHILSEMI >OB10G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7874547:7874828:-1 gene:OB10G17150 transcript:OB10G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVVEEFEKMTKRLKEQQRRRQLRGEEMMPAGAGEGGAAASSPSSRAGEWGSWATAAVMARVAALREAVTAEPAAAQGLAAVDGFFSA >OB10G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7882762:7884478:1 gene:OB10G17160 transcript:OB10G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNVWIREDIELRRRLDALGELIQLHSPDLICFQEVTPYMYQLLEKSDWWQEYECLLSRQMAMRKSHFCMQMTKLLVSSSARIPFPNSTMRRELCIATIKTGEMINLALGTSHLESPCPLPPRWDPKYSKERVDQAKYSLSMLGKFNDAIFCGDMNWDDKVDGPFPLPDGWVDAWVEMRPGDNGWTYDTKANAMLSANFKQQKRMDRFVCKLSNFKIDDIEMIGKEAIPGVTYYKEKIVRKELHKLDLPVLPSKHFGLVLTITRQDDIL >OB10G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7885038:7891417:-1 gene:OB10G17170 transcript:OB10G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) TAIR;Acc:AT5G16690] MAAPSGEAPLTAATNIEPFYVLHKGAGASSASSSSASSLPTSGRARRRIDVSGTASPSPKPVGKRCRGEAAAADDDDGDAEAYERLRLEAFRRVWSKIQSTIDEVLRGISLKLFDQVLQWAHESFSAVRSVVRPSAAQVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEHLGSNGCHLAKLSATELSEKHGVSGCFRSLLRQLLSDVPDVADMFALASWYSAEENYDQPIIVVIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTIDAPRKLLSSEALQRLEPCKLTLGSATDRMNALVEAILVKPCTGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSIEPLSFLCMGMLEEDCENFWRNKFDALPQVLRKYASGLPSCTREKDSIKSSNNMVEGLSELMKIQKDWSSVLLCLYEAGRHGKVQLLDIFCEAVNPELQTQKIPNPPTGKSGSIRRFIDQAIDTIRYLPVETLFHLLEVWSIHLKGMDKISDKVKELQSTTISTDAVRITKDKWPRRSTNSTGNTTAPLNDKVAMLLDDVTRKFLVPFECLPFHEIICFKNVSILQSALIGNPRRMVQLDLLKPRKHLRCSCCRKSGVAVLASMHDTSIMCNLAQEYGDVINLHDWYLSFDGIINSTHSKVKRKPHTSPSKKKSKSIAAESEAMVQARFCRAVTELQITGLLRMPSKRRPDLVQRIAFGP >OB10G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7928743:7928949:1 gene:OB10G17180 transcript:OB10G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASEHAHDHARRQNKPSPNYIDSNSKPIYNLLSGSEDELHARCGQNWSCPGIGQIDRSMLTMADVV >OB10G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7934683:7935675:-1 gene:OB10G17190 transcript:OB10G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSCADATWWAYALPALLGADTLCAHPALLAAALLLAFSTAAAAALNNIMGSVFGRRYDVSSTARAAEAEQLKSMVREGFELLGAFNWSDHLPWLPHLYEPNNVARRCAALVPRVQAFVRGVIRDHRLRRESAATAEDNADFVDVLLSLEGDEKLGEDDMVAVLWVKRINFSALLLLYSQRS >OB10G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7953940:7958510:1 gene:OB10G17200 transcript:OB10G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASIARKLARAMLLAPGAVVAAGGGAAPPRLHGSYEALLDDPGVDAVYLPLPTSLHVRWATAAAAKGKHVLLEKPTALCAADLDAILAACEAAGVQFMDATMWMHHPRTAKMRELVDDKATTGDIRAPCLLEYVCVSQNPRYWVNAIVRRAHPNNFVGPFLVTAGLCGLLISWIPDLPRESIRNYKIKINSLFSFRANEEFLHNDIRVKPDLDALGALGDVGWYCIRGILWAVDYELPKTVIAMRDPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDLSIVGTNGTLHVTDFVIPYEEKSASFSMASKSKFAELHTGWDPLPSKHVVPTDLPQEALMVQEFSRLVQNIRDAGGKPEGKWPSITRKTQVVMDAVKTSIDNEFAPVDVSS >OB10G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7964239:7964652:-1 gene:OB10G17210 transcript:OB10G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRASGRITDDEITELISKLQSLLPDSSRRRGATGRPSPAAKLLKETCSYIQSLHREVDDLSERLSELMATMDSNSPQADIIRTLLR >OB10G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7972153:7974781:1 gene:OB10G17220 transcript:OB10G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLASATLCLVDDRRGLTQDIMVGAPRLISRLLPTYTFNVVAKPPLQSTYPLLGRDVMGKYHQGIVATEGAIAALGDEDKSSVGLDLATPKVEFGGSVTRPVERRSSPWQSSSPSPRPHHQGEVDHQPYLSSKRCQERENQIDGGGSERE >OB10G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:7982454:7983544:-1 gene:OB10G17230 transcript:OB10G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDAALLLPPPVVEGSRTAVDFRSLVRGGEIYRLRRQNKISEHWVYFSCNVLEWDAYDPYRRRWISVPKMPHDQCFMCSDKESLAVGTELLVFGMTHLVFRYSILTNSWTRGEVMNAPRCLFGSASVGEKAYVAGGTDSFGRILNSAEVYNSETHTWAPLPSMNRARKNCSGVFMDGKFYVLGGVTNNNKVLTCGEEYDIQSQTWKVIEDMSEGLNGVSGAPPLIAVVKDELYAANYSEKVVKKYDKKNNKWITLGNLPERSVSMNGWGLAFRACGERLIVIGGPRTPVGGTIEINSWNPDDKQPEWDLIDRRPSGNFVYNCAVMGC >OB10G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8011527:8011954:1 gene:OB10G17240 transcript:OB10G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRPRARPSPAARITDEQIGDLVSKLQALLPEARLRSSNDRVPSARVLQETCSYIRSLHREVDDLSERLAELLAAADVSTAQAAVIRSLLM >OB10G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8014573:8017189:1 gene:OB10G17250 transcript:OB10G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYALALGDSDTTEDCKVYRGPRYHAAAAFIIGFWLLDFSNNNLQGPARAMMADLSGRHGPSAADAIFWSWMAPGNILGYSSGSTNDWHYRSMVPVSHDQGLLRGLRQSQSRLLGRSGVIGVVDGGDDGEGGAAGRGGGGGEAERRRGVRPSGRTCFQGDEEPPRRDAVDAHRPHLALVVPLHPLRHRLDGPRREIYHDRPSRRGRRLPGRRWPGCLRPPPQLDRAGDQLVPDRADVPAAGRPGGVGDEQRPRVRRHGGGVGAQRVVARRLRRVGAGRGGDGGREGGPPRSRSSSSSASPSPSSAASRSPSRRSWRSAAAAARPGSAPACSTSPSSCRRWSSPSAPGPGTRSSARATSRPSPWPRCSPSPPPSSASPCCPNCPRSPGAASAPSAWPAATNDHY >OB10G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8025904:8031828:1 gene:OB10G17260 transcript:OB10G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPRQVAIWFQNRRARWKTKQLEKDFDALRRQLDAARSENDALLALNSKLHAEIVALKGGAAAGGGGGGSSCRQEAASELINLNVKETEASCSNRSENSSEINLDISRTPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFGGLLCGAVDEQPPFWPWADGHHHFH >OB10G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8048040:8048249:1 gene:OB10G17270 transcript:OB10G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGHRRGLPFSLALEWPCSGSKLRSFEEDRNFFGGGGGAVAVLWPSGHPDAILFSWCDGCSVDWCKQEGM >OB10G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8047800:8052834:1 gene:OB10G17280 transcript:OB10G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDTAAADTNPADPSKAASKTSYSSYPSTTKSGSSWTVPSYKDRSDLPTPRTEGEILSSSNLKAFTLSELKNATKNFRPDSLLGEGGFGYVYKGWIDEQTLAPSRPGTGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLVGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYIATGRLSVKADVYSFGVVLLELLTGRRALDKSKPVSEQNLVDWTRPYLGDKRRLYRIMDMKLGGQYPKKGAHAIATIALQCICPEAKMRPQMSEVLEKLVQLQDPKYNVTSPQVDTRRRSSSSGSVPRSPMRMQPSPRRLSSSASPLPAAGSPLPACRTAQVH >OB10G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8056070:8058800:1 gene:OB10G17290 transcript:OB10G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEELLAQQQKAAPQPAPNATAAVDDHRRPLHAAHDDSPTGRKKFLSYFQCCIRA >OB10G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8062927:8069624:1 gene:OB10G17300 transcript:OB10G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGITIPRASSVSRGRDAASNAAFERNLSQGTDGRSRPPKMDNASLRVSPEAANHDGSVETVPKPVPAKVSVSQPDDNALEQTGTFSFGTRREQDSHLNQLDRPPLVSSQGKRQMESADKNKPNSEVLRMKLWEILGGASQNKEAVSSPNPEDIETPCQPKSQTANGPSLGRKQVFTSPVPYNIKTPAQLNSQTANKPSSDPIESDSDSPQVVEVRPITRSLGRKKAPAASTHQDKSGSAKKPLSTHRSTPKQKVVDNVFAFNDKCTPKTVGKSAIGDSGSLRNRRSSSRKAKIEPKKARCSDRISDKTTQDGSERKVPSKYVPSENKGEKANSFSSLSRAGKTAESCSRSPKREIRVNMMANVGPRKMQFTENLPAKTLNDGEHKPSSPQLTSLKNKGKCSSISPRQKEKDNTHVPEASDRTAGGDSFNSTPSGGVNPSPVLKKYSWERDASPEIYGKFVQKDASPLADRFRDMPDDFASPTFAANIKISPQRSKMLDDDLFSSKYPKRVNRSRSTSYASDPEFEPLDEMEKTNELPGSESPISQEEGQNRKQPSLSPLSPIDNEGAQSSIPSFRKGYKSHKWLSDVDSPDKSSLEHMGQKSHLKDGRKGKRQFPSSTPFANSDTQETAMLDKEPEQCPENYLTRAFDQLVVVLGRFQTKIKSETSNKSSKILSATGERIRQHLEGVEGQMQADVDKLVNAGKSKRKRLESTYEEQQEKLRVLHEKFKEEVNQQLLGCKNSLEDFEAYHTELKEVADKQKASHKKLLQNAEKTVGAQLNDAETKIAEIQKRARKRMKGLKYVLKELIAE >OB10G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8068586:8072410:-1 gene:OB10G17310 transcript:OB10G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:J3N2I3] MALKSPVHYAGSITSGQKHLGCFGVPGCDRSRCVRCDKKSRTCQLVTRAISVDRSQLDFSNPDWKKQFQEDFNRRFSLPHLKDVIDVEPRPTTFSLKSRAPLENVNGSIQESWNGYVNDDDRALLKVIKFASPTSAGAYCIDPDCSWVEQWVHRAGPRKQIYFEPPYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRHVVQNINLAGGSFLGVSRGGANISDIVDSIQARRLDMLFVLGGNGTHAGANLIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPHGVLQHLEHLIETQGFALICVAEGAGQEHLQQSNATDASGNMILSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKSTRVVDPNSRMWHRCLTSTGQPDFH >OB10G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8078542:8080358:1 gene:OB10G17320 transcript:OB10G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPPSAAVVFRRSPPPTTPSRRRRRRRRRRRRLPQIHHCPLRCCFPEMQAEEELVDPKKYLEERCKPQCVKPLYQYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >OB10G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8086017:8086709:1 gene:OB10G17330 transcript:OB10G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAQRAMAGAGPNNGIKVVQYHGVVRAADGRWKGYVTNKFDMRYEVGSHGTPEEAALAHDRAVLAILGPLATSTTLNFCAAFSHTELRFLKGPHAPSQCVAGVISMVMRGAVFDAALEQFAMRAYDAHTDPELALDVATFRLGNRDDALEVVGCDKERVAFVEAAKNKVHDAAWMRSYLQRRRLVGMTFEDEIRWPPMVPPPVVDVGDGFTGNELVYLPYGSCHVDEMIIG >OB10G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8091955:8093346:-1 gene:OB10G17340 transcript:OB10G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKKTPCLNERILSSLSKRSVAAHSWHDLEIGPGAPEVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATALEAIKYSMDLYAEYILHSLRR >OB10G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8094191:8098636:-1 gene:OB10G17350 transcript:OB10G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGASTASREWSSIGRNDPLWRTNAGFSPPLSRRWEYCINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSELPLDHHRYSTSEGAISYFNSPDVTFHNHHIMLPMLQDSSIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASSSNPMPSEFKAIGEIRSSGLMDYASGSHGESANWSAASSMDLTDLSERPETERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIIRTGDLPVAGVLPCSHIYHAECLERTTPKGQKHDPPCPVCDRLAGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHATSKGESGKDWAETSSRTACM >OB10G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8104581:8105670:-1 gene:OB10G17360 transcript:OB10G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRTLSSHLLHIRHRHPDIPGGGAAVLGLHDPSSGSLEPPMAGHPFSAALITTHECTFRLLHGDLLHTREAPKRRWRRGRKGRPPRQRQRQGVGAKDDQDRQRRRGRQELNPVPLRLQRRRRQEEGGRRHRRRRRAAQAHHRVRAKEGRQDGEASGDLEPAAPARQPGGAHPLGDIPGGILKAICSNFWLLPSLN >OB10G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8106522:8106964:-1 gene:OB10G17370 transcript:OB10G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPPRTQILKLHTPPSSSSSCSCSGAAAAGIERQGEFVAEMGECRGGGGGLGDGLIKLFGKTIPVAPEPKVSVWQLLLLLRVCLIGSDLIELWLGCLNSVCLL >OB10G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8114654:8118880:-1 gene:OB10G17380 transcript:OB10G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASLAAAAAGRLLRSPHRGYRWEPMPAAADDPEAPELFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFIKELVELECQEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQQCDFVAIFDADFQPESDFLLKTMPYLLHNPKIALVQTRWEMFLTWPLPGDFAVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRISAINESGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSIWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPRSVHLMPFWILFENVMAMHRMRAALSGLLETSRANDWVVTEKVGDQVNDELDVPLLEPVKPTECTERIYIHELLLALYLLICASYDFILGNHKYYIYIYLQAAAFIVMGFGFVGTRTPCT >OB10G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8121529:8126472:1 gene:OB10G17390 transcript:OB10G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGEQPGLARSLVMLLANLTQVESGVAALLQVGDEKMQGLYVAKLVRSFCRSSSESEDEDTYEHVASVLVNISKVEAGRRILMEPKRGLLKQIIRQSDSVNQLRKKGVVSTIRNCCFEADTQIQNLLPLAEYIWPALLLPVAGKKIYGEDDRSKMPPELANVLSHEREAVENSEIRQQALEAIYMIVLQDEGRKAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLVGKGEAEEDQEQGGQKP >OB10G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8129749:8131035:1 gene:OB10G17400 transcript:OB10G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRSPMSRHCSAGAGTAAGSPPTSTSSGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTEPAGAPGHETAPPPASKIEWYRDLRMDKAGRLGLAGDGDGSSHGVVAAGLPPNLNIGAARVAAIGREETTANASFRRNFTLLAPVKVTVPASGDVVVDDDDDVGSESSSDLFEIKSLMIDDCPYEPSEASVQWSVVTASAAGGSVASSERGGGGGGKGRPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAR >OB10G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8133886:8136875:-1 gene:OB10G17410 transcript:OB10G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectin methylesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) TAIR;Acc:AT3G29090] MKRKRTTNDTPTRDQPSAQSGQIEPHIPPNPIPHSHSAVADISGPRSRASRLPVSVFDAYKFRLFLNQPTNQARRESPRASEKNPAAPIHPPSMAQQQPRRVLRVAPPGRGGARAEAEGEAVFPTVQAAGDAVPLGNAVRTVIRLAPGVYREPVYVAKTRNLVTLAGTSPESTVITWDNTATRIKHAQSSRVIGTGTFGCGTVIVEGEDFIAENVTFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSVGYITAHSRKSSSETTGYVFLRCIITGNGDAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGSRPSNRVSWCRQLLDVEVENFLAHTFIDPDLDRPWLLQMMAIKIPASA >OB10G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8138095:8138613:-1 gene:OB10G17420 transcript:OB10G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPHTSVSSFLSSPLSLSLFFFFFSLSLSPSFLPSAKEAAAHRARERRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGLRRRCRRGSGGWSDAWGAGWMDGEVERGSHTWGPRMAS >OB10G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8139177:8141434:-1 gene:OB10G17430 transcript:OB10G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGWLRRAAAAEGVALPTRVPCGLAAAIPTPPPAALVPMAPALALPSHGAAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNLGLRARSILQTMRS >OB10G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8142247:8143135:-1 gene:OB10G17440 transcript:OB10G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRALDLERHYAFYAAYHSHPANVLVHVACVWPILLTAMLPLRYAPPLPLLRFYCPLCRQYLPVQLGFPVAVALGAFYALMDRRAGSAAALLCVAGWGAGNLLADAAGLWTLVDAWRPLLTAQALLWSAQFFAHAFFEKRRPALVDSPVQAVVTAPLFVFLEVLHRLFGYEPTPGFYKRVQARVSAMHNGPSPAPEEEKKERTSKAAAQ >OB10G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8157691:8165856:1 gene:OB10G17450 transcript:OB10G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESNEGSTETMEEEEAAGSGEREAVVVFFDVETTMPEGPERRRTLLEFGAVQLCSRSLAEVAPPFATLVRPAGGDLGEGAWVALERKGITRADLAGAPPFRDVADGIHRVLHGRIWAGHNIDGFDSEIIREAFAEIGRSPPEPKGAIDTLPLLTERFGRRAGNMKMANLANYFGLGQQIHRSLGDVRMNIDVLKCCATVLFLEENFPKMLKGYPGFLAPDDVSMEFIQVSLSLSHQFRRSLSMKHNDRPLQVFCADLRIHFDIRPCYQDSAGRPKLNIVVGIPESLRNVLQSCDEIAERSSQVFGSTSVWNPVVREYGYAHAIRLNLTFGGDDEINGTEIYVKEAISGNIQKQVLSEVDAADLSSWFAEGNMVDAYFSVELYDYMQNAGIRLVAKKLIVTAYA >OB10G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8167762:8170741:1 gene:OB10G17460 transcript:OB10G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCIFLGKQNRRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVKMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPAAQSSPDSSKRQRTVSRVDNAIQAGDNQQPIDPATNKEPVELISNIKEMTLDASTQMDASSSGFSGFLEPDDVSIESIQVSVPSSYRLTRRSSMKHKGSPLQLCCAGLQVQFGVSSKYLDSAGRPKLNILVDIPDNLSKVLEFCDGIAQRSSQDSGSTSEWRPLIKKYGYVNHPTVRLHIPIIVSGEAAIYGTDIYQKEASGSIQKLDFSKVDVAELDPLFVRGNMVDAFFSLELYDYEQNAGIRLVAKKLVVHSK >OB10G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8191484:8194303:-1 gene:OB10G17470 transcript:OB10G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRAARRWGLVDCAVAGGGGRVDLGFLGGARGYGFVTSCGCGDDVGEIRGNGRREIFVLKFLHCIRWCFVVVVILALICGWTMYPYILMYLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERCRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRMVCKRWNRLLSGNYYYSLRKKNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPAEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACIAEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNLWSTIDDEMVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAFEAAALVTLNGKLCIVRNNMSITLVDISDPTMSIETDSARMWETVARKSQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >OB10G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8200029:8211074:1 gene:OB10G17480 transcript:OB10G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3N2K0] MEEGVGSRGGGCGGLDAQIEQLMECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >OB10G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8211775:8214481:-1 gene:OB10G17490 transcript:OB10G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 [Source:Projected from Arabidopsis thaliana (AT5G06620) TAIR;Acc:AT5G06620] MFRLRRRLLCTGXXXIRVALTESSGRGVLATRPIVAGEVLHSAQPLVSHPSHSLLHEVCYSCLRRKVGSSGSGGGGSCYFCSDACRDHAKGFHDIEKKADWSLFDDHCSSRGLKYPYMAKRLACMVISGAVSADCLDILQPARLHQGTLTEMEEEFKLLDCIFRKAGFQEEVTTFLTKEWYINVLARIRINAFRIELVASSYQDLLSSAVASVACDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIDEGEELRICYIDASMDVNARQKILADGFGFQCGCLRCLSGD >OB10G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8216728:8219237:1 gene:OB10G17500 transcript:OB10G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPPTLPEEVILEILSCLPAKSVARLRTLSRSWRAALSSPFFLELHLRRANKAAPRLFCGSADFKAPVDIDDDDDDKWCFFAFQPGAGGRGGTPLRGLILVRCFDNGGYYVCNPCTGAVLALPDSTRPMKKTFRRSMAQPPPPFYLYVSYGLGYCAATRRYKVFRLFTGGGDGDGKDETCCEVFVLDALAYWRPTAGKPPQGCTVGENNPAVFLDGSLHFLCRDGSAVVTMNVGDETFGSLPAPAPAAGGEILRTITELDGRLCVCQRASESGDGPYHLWLYGGEETARWEKLCCIDPRSWPEDDRNLLRSRWIAPLCMYGEKIMLRTGNCRVFAVDPTGGVAGSPEILFRPDEHEATGGEFVDTLYPTLGLYEESLVPVGRTIEEMVFLSPATRAWSDVLKWLGGRTVAELSVVCREWRAVVTSDRFVRAHAAHPKVATSTRVRFVMDPAFGLPVDVDRVGEVGDDPDISEQPFPCGQPCRGLHAGPLRGALCVACSDRDANTIDVWALTNHNGNAASSAWTMEHRLELAAHSPEYSSEKTTVMGVDPTSGRVWVTTGESLGHYDPKTRELVTVYRVRWMDRLGDVVIGTKFCAVICQERMIRYPF >OB10G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8222728:8222832:1 gene:OB10G17510 transcript:OB10G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGTIQVRSHVDLTF >OB10G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8226084:8226758:-1 gene:OB10G17520 transcript:OB10G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVHVCMHGASCAVVTKCRCADVAWMQGLDPVTIASVFSAAEHVGEKQVEGEDCFALRLDVGPSVLSTWSDGAAEVIRHGMTGYFSQRSGLLARLEDSQLTRIQAPGAPAMYWETTVASSVADYRAVDGGAVVAXXXAAPSPTWRASAPTSAPPAPSRGWRRPGPSTTSPSTSPGSARSRSSHRRRGGSAAAATTTPPPSPRSSDVIWDKSDQMVILITLSLS >OB10G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8228999:8229412:1 gene:OB10G17530 transcript:OB10G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQLAICARLSEYSTEFHLKVSRLVKKQYLSANGMFRQISQTYHYRRMILLLDTRVSMNFRHRLYYRPFAKLLEMYASTNTPSFARQSCKQTNSVTLQETSVCRLDPIPLNTETEIRLQASGFRLQRGIVTIVIRL >OB10G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8242085:8245006:1 gene:OB10G17540 transcript:OB10G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVRRDSRASRAGAGLRSPEHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYHCWLHCESKQPFFYWLDVGEGKDVNLEEHCPRWKLHKQCIKYLGPKERESYEVIVEDSRLMYKLNRQIVDTTKSRKGSKWIFVLSTCKTLYIGEKQKGTFQHSSFLAGGATSAAGRLVVEDGNLKAVWPHSGHYRPTEQNFQEFMNFLKERNVDLTNVMLNPSEDEDDPEFSLRSSHSRQDLTELCQPDKEDQNAQIAQHHDTEETKISSGAPTMVTTPTIRKSTSVNKLQGKRPTRLLISSNSRDLSATDSKGKPSPVRKDMDEDSTMFGECLAFCKKNLFEEGGDEEDELVEVPEELILSRINSKKAMKSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGDKSARFSSPRPSPLTPNSIPVARFGCSPTTQGDNMGLSLKPRQRSATWAAF >OB10G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8244103:8244639:-1 gene:OB10G17550 transcript:OB10G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLELIRLRISSSGTSTSSSSSSPPSSNRFFLQKARHSPNMVESSSMSFLTGDGFPLLSVADRSLLLELISSLVGRFPCSLFTDVDFLIVGVVTMVGAPLLILVSSVSWCCAICAFWSSLSGWQSSVKSCLEWLLLRLNSGSSSSSEGFNCSKTEKRHTSQPVSSKKTLAVFIFACK >OB10G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8245285:8246510:-1 gene:OB10G17560 transcript:OB10G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLLASPICARGSVWYQRRMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >OB10G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8247745:8251462:-1 gene:OB10G17570 transcript:OB10G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVAALSQLQLPLVSLVSEHRLLRERERAAREELHSSVQRWKETEEGHRREARELRAEVAARDDALRSLESRIKCLETENEQLERNEKELKHNMEGLLQSKEAFIKHYEDSACSLRWTIQMKDKQIAVISEKLNAHMALFGSVGKEVTAVKQVLGDVKCLVSDKENVASDLKGKVEKISVLEKDFVEKLSFLEEKISKYQLELRNRARMIYELREHLEAEKLNNKFQPQLEELRKSLLVKDEIIERLTSEKQAMHMELHNMEIALRKFQDIFTSMGDEVMVRGPPVSNSQDIQDVNKEQLESIPGSQCEPPNEHTMTTVVDEAVTTPNVESKSEMDPGSKQAQSQSSLMATFCLATPRASKCKCRNR >OB10G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8251842:8252450:1 gene:OB10G17580 transcript:OB10G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLTALGMADLPGVERQPEVSAAPAYSRPPPAPPAAPPAATASRWSSHPAAAAHASPSCALLSFMFIFRSMVASKIFELSCDDALCYHYHGHEIFLSHW >OB10G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8257259:8257594:1 gene:OB10G17590 transcript:OB10G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVDQVVIAWKQWGLQTLVLLSLTLQVTLLVLAEFRRSINSGVLKLFIWSAYILADTTAIYVLGHLSVTSRSPQHRLMAFWAPFLLLHLGGQDNITAYAIEDNRLWLRHL >OB10G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8257766:8259487:1 gene:OB10G17600 transcript:OB10G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWWATMLMLVVGVVKYGERVWALKCAGSSLSGKNYRWFGSAKLGLTVYNMDHYTAYPIREYRDTEALLLMAHRLLDLPKSFLKGPLPKVVGHELFLQLSGKDIYKVVEIELSLMHDVFYTKSEVMHAWYGLCIRVFLPLVVAVAFFLFCQHHRHKQQWEGYNRVDIVVTFILFVGALILEMVSSLRAMLSSWTLAFLVEPAKPPKNLPSIKKLLSRVILPLRRLVHAADWRRKYYWTGSMGQLNLVELCVHGRASQRSKIAKWLGLEDQWNVLACSRFIHVPRGVKNLLYEGSWRARCQVDTSYPRVPLTLKLGEELLSKCLDDDNDSECSNSDSAPVPTIEEHILTWHISTEIYLDWWKEEQAKPKDQTRVQPVDEENANGGDKEENANGNDEEENTNADDEEENELAEAVRMLSNYMFFLLASRPYMLPPPINRVMYVELCNDIIYTDQRAWPSGSTEALMSYLRGRGPKSFNQRIKRGHQLGTGLIKMEEQRKQEESMLRQIGRVWAEMVCYAGHKCGADHHAKSLSNGGELITVAALLVEHALKESAPGPREEYPLRKLSYDHVPDTN >OB10G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8259547:8270771:-1 gene:OB10G17610 transcript:OB10G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G59820) TAIR;Acc:AT1G59820] MGEGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWESTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYKDPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTLPLSPNQVLLRGCSLRNTEYIVGVVVFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERSGIKIGGDEGKESAAAVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLALCHTVLPEGDETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVIYERLADCNNDIKKTSREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRDKKLDEVAELIEKDLMLIGCTAIEDKLQEGVPACIETLSKAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQNLKSYHEEAQRSLITIPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGYSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPQLYQEGIRNAFFKWRVIAVWAFFAFYQSIVFFYFTAAASRHGHGSSGKTLGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSIAAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPVIALFGDFLYLSIQRWLFPYDYQVIQEMHRDDPHEYSRIQLPERSHLSPEEARSYEISMLPRETSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQQPQRTGR >OB10G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8278044:8279526:1 gene:OB10G17620 transcript:OB10G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40020) TAIR;Acc:AT5G40020] MACFSLLAAGVRPLLLPAAAIILLLTTLLSGPVVLVRGVTFRVVNKCPFPVWPATAPNAAHPVLADGGFFLPPGQSRRVRAPATWNGRFWGRTGCNFTSGHGTTACLTGDCEGRLACNGSVGAPPATVVEVDLHEDRSKGSSYDVSLVDGYNLPVSVWTKPAAGADADRKCVISGCAKNVNAVCPPELQVTAAPATVVACKSACLAFGSDAFCCRGAYGTAETCRGSAYSRLARGAAPXXXXXXXXAAAARCYAQDYVVAFCPSRWGGAGDRVAQA >OB10G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8303029:8303974:1 gene:OB10G17630 transcript:OB10G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEAVAAAVAAGVEPFPAVDKCDASGREGHAVAADLEGTLLRSRSAFPYYALVAFEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVDPRRGVLVGRRKAQALLDIFAGDEVPDVGLGDRRSDYPFMSLCKCHYQS >OB10G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8307585:8311373:-1 gene:OB10G17640 transcript:OB10G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADNESGKSVMSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNIGKGGETIFPEAEGKLAQHKDDTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISAKQGASADGCEDENVLCPQWAAVGECAKNPNYMVGTNEAPGFCRKSCNVCVQ >OB10G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8311312:8311533:1 gene:OB10G17650 transcript:OB10G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVRTSLMTLFPDSLSATIDFSSLSFAYSPTNPLLSKISHKATRSSGRRSPVHSPARSGGRTPRPTGTPCAG >OB10G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8315914:8316219:-1 gene:OB10G17660 transcript:OB10G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHQSAPVKVPVRPPPPRRRGWDHLAGVPSDGYDDDDEEELLRGDAAMLPPHEMVARASAGGFGAPVKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >OB10G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8320598:8320936:-1 gene:OB10G17670 transcript:OB10G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVVTRLFVGGVAVVVFIVGGLRCCAAPCYMAWRERRAAERRRQEVESTGRVLLAGDGEAELEQCMICCEENIAPEEELRFLPCSHGFHKQCIDKWRLQFSLACPLCRSQ >OB10G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8321510:8323764:1 gene:OB10G17680 transcript:OB10G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGEDKKGKNKHGTPSGFRFKPFDLELVEILDDKLHGRPLDPTHNDIFHEVEILDFHPLQLYETYADDEENGYIYFFSRRKFESCNKKRPLRSAEGGAWRSTGLKGVKSNKSGDSDVGLKQTLVFHQCFPGDKEPVRTNWGMHEFTKIIGPRNEVADHAVYRLYKMRKNGKETPADLAADAAATASMNKRNRTERGQASAAGPSNQAPPPRNSWALPRAPGPSNQAPPRAAGPSNSRAPPGPSRFAPAPSNSGAPPRAPGPSNLAPPRTAGPSNFWAPPGPSRFVPAPSNSRAPPSAQGPSNQAPPRTAGPSNSWVPPGPSRFAPAPSNSRAPLSAQGPSNSRAPPSAPAPRGHFAPTDSRLRLVQQPPIAASQVDEDPKEWEWVRQELEGDSSVAGEPLAEDELDDLMLSDDSALEESSGAQEGCNNAAADAARGDNNDDSAAGRR >OB10G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8326053:8327608:1 gene:OB10G17690 transcript:OB10G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGEDGKRKWANKHGIARGFHFVPDDLDLLDILDDKLRGLLTDPAHDAVFHDVRILDFHPATLYEMYAEDEVDGCIYFFSRREFRRAKKKKTMRAAKYGQWKVFGSCKTIGAVAVGRRYTLEFYERRFDSSNNHSVRTNWCMHEFLRIIGPENEVSGLAVYRLYNKMATTIGEEKAEDNPVDCAKNMNHHGQASAAGMAVPPSAASTLGHKGKRKSEASASMTSTDHARSTNTSAPPSADYQPPPPPPSLQGTENISGSGDVVGHEDDWEQVSVAEADNAGAARG >OB10G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8333164:8334941:1 gene:OB10G17700 transcript:OB10G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSDLPDDLLHCILRLLPSKEAASTGVLSRRWGSVWWSSGAVNLAERFGYSDYINGSRRDAFLRAAHEALAAAGATDLRVTRLTLDVHGAFLVMCHEFLLHDDGEVDVLALVLSHPAARRGGGLRVAVSPDERACDDGWTDRGRWVPRAYFLRSASLPSETLRVLDIARCTNLVVPAAAAAEAAAFPRLETLQMRYCVVQFTHLQRLVNAAPGLATVHFESLFIDSHNTYNLYHDYESDEEERLAGVDTDDGGGYPSEPRLLLRFPAATALVLSSRHRDRRAEAALLHVQRLPRRFYVKSPAPDLSAVNLQFLEDFNVYPVEARAHFWWVIGSFTNARTLKLKVCALDDLVVAGKARRAALLRSFPSLERLSLETEQLPPIKKAAMAIANLLRCCPATVDLDLKLRIRRPTRPPASWSLHEKVYRDFSKSVALFRSRKSKPAAAAEVCSIDGDDDGEGIPSLSRRSFTCLQSSLRRVRLEFRMDSPNCFGVQLARFFAQNAMVLEEMRIDSGEKKLCEHMDLVERLFGEDDSLNISLKQKNSTDRSWVFSRTHPGTSGTSFPVLPLQIDKKSYDLDLA >OB10G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8359587:8362048:-1 gene:OB10G17710 transcript:OB10G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTILLHPWFGGSKEIEGEITAAMWSYVCPEAAAVVEGIRGSSLPASNMKKSSRSNALNPLAPGDGEALVREDAGVSHSVLHADKQEALARLVTDEELIALHDQVVGEGGATAAVVIDVEEGEAIAEVGADHLAGADVGDARPDMSRALACENNTMYRCSSSRVHVDASVRADARALGRHADPGRHDVVIKPVGEASGRKELDEFGGPYREAVSVLSKRRREQSPAACRRRRPHPARLPLPSLVHRRLPQPLPPPCDRAPATALPPPSALASATARRLRPSRQASTARCQPQARRTEEKRER >OB10G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8360297:8360803:1 gene:OB10G17720 transcript:OB10G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLSSSCPGDACGFVRSAAVLLGHFAAAHTWPCITNVRAGEMVSAHLRDGFTFLHIYHHRRGSATFSDHLIMLNITRSRSTASSPCSAYVPTLSPAEQLAMQCELLFVSRFGYNGSCSSPQSTATCRPHRSPQTTTARRWPGAKERGRGREERKGEDHENIFFKKI >OB10G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8362920:8366650:-1 gene:OB10G17730 transcript:OB10G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT1G45110) TAIR;Acc:AT1G45110] MASLLRLQALAVNLTIPRRLPFPLRISPVAAPLAGRLSTTAASGSSPESPASEPDLDSGLYLVATPIGNLEDITLRALRVLKCVDVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPNILKRLHEGEAIALISDAGTPGISDPGMELARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKQARSRKERLEISAHEAATQIFYVPPHGIHQFLSDAASSFGDSRSCVIAREITKLHEEFWRGTIGEANEIFATRQPKGEITVLIEGKSISFDETPSEDFLEHELRELMTQGHPLSAAVKMVSEATSAKKKDVYALALRLFGK >OB10G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8373834:8379422:1 gene:OB10G17740 transcript:OB10G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQFDTVAVSKPKRQEENEVIGRMLEQGSSVDEECGGTNCSVGEDRYPGWPGTSVFRMLIPATKVGAVIGQRGERVRRLCEETKASVRVIGGHFASAEQAVIIFAKEQPDEPKPPAIDALLRVYMYTIYDDGLDVRSNDIVVARILTPSEQAESLIGDQGSVINYIKKASKTDIHVLDSDLPPVALEDDMIVEIWGLPARVHNALELVASHLRKYLVHRSVIPLFDPHVPIPISPMDMPPFHYSDHPISPIDMPPFHYSDHPEGLLREVSPGYYSEYAEDFHLERPWTDTCYSRYPMENLTHADVFEHRQRAPRFLGKYRSVTPPRYGREAEAYLSSPMELCLHHNLNAYGWRATPPIGPSDAAERVRSLVSMYGKQAHPHLLTQTYQSTKMGNHPHLGISLYGTDTHPSGVPPSPATELPPSPAVSAYKLQLSPSLKMYPSTNVENLQHCRVSACAPEELQPAVVPSLTSQSPPVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRRASKASILVKDLDDSVMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSFDFIPSHLPAPGSPEPNCRTHWQSERFYRTAPASDILKVPKISS >OB10G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8382064:8384004:1 gene:OB10G17750 transcript:OB10G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTRARSQDFWKRFWSMLSYACSELCVIILLHVAAGASYLATRLARINKLRMPCILCSRMDHALHGKAWFSSDLVCAVHRSEVSSLAYCSSHNNLAHCDDLCKRCSAPMDDVVDTRRSRSRRLCSCCSEPFNKVQNAHRVSETASAVRSSDTVHGSEEINREQMPADHSKDKTFVVGIEEVNESDGSPVRQEQSTKNSGASGNAGTAKPALSGSTAPTRIFVDRSSTFIGRANLTSPRPSEIISAKDNNSTTQQEVKAFLSQMSIARGLDSSWSDGAPSPGISAQNDESSANGRRPSLERNYSVIEPSDANLSDEVEGESSLENLKRLLELNKKSMGALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLLQSEQFNGRKLDAADGITNERDVPFEVLNGLGFMRSTMSGFEDEMAYILESISSLEEKLCVPRNRLASDDAKDNKEELTGEQSGANCEGSTMRQEHPSGESTSDQQDDGNQSVQNHKDNCSCSPSKTGKMGDVKNLKDEVSLLYTRLKALEADQEFLKHVLSSLKCSPDGLQCVQEIASHLLELRRMTTQ >OB10G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8384914:8385069:1 gene:OB10G17760 transcript:OB10G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVRSKFVIVDLTNDCGSCKEELTSRIHHVHIKLIDVTLLQKCKVFCLI >OB10G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8387636:8389583:1 gene:OB10G17770 transcript:OB10G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGSSPEVGGADAEKLADGGERGRGTWRHAAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVIGTLVTWCSSLVVASLWQWNGDKHTSYRHLANSIFGPWGYWYVSFFQQVASIGNNIAIQIAAGSSLKAVYKHYHTADDGDMTLQQFIILFGAFELLLSQLPDIHSLRWVNAVCTASTIGFAGTAIGVTIYDGHRIDRKDVGYSLQGSTASKIFRAFNALGTIAFSFGDAMLPEIQSSLREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGSGVQPYILSSLTVPRWTIVMTNLFAVIQITGCFQIYCRPTFAQFEQQVQAKDAGYKARLWRLMYTSAYMVVITLISAAMPFFGDFVSICGAVGFTPLDFVLPALAFLKAGRLPESPVLRAPAKAIASAVAVLFSVVGALACIGAVRAIALDVKTYKFFHDM >OB10G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8390181:8392550:-1 gene:OB10G17780 transcript:OB10G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:J3N2N0] MTAQTKKRGGAESGNGSTGLGLAAFIANGEDLGPIVRHAFESGKPESLLQGLRGIVKMKEVEIEELCRVHYEEFILAVDELRGVLVDADELKGTLSGENLRLQEVASSLLLKLDELLELYSVNKNVGEALATLKICLQVTSLCQMCNKDVTEANFHVALKSLELIEKDFLQNVPLKLLKRVVQKQIPMIKLYIEKKVCNEFNEWLVYIRRASKEIGKVSISQASLARQKNEGVRSQQREAEDCSQIGFDEHAYALDVELIGEEEVLEFDLTPVYRAHYIHTCLGLGEKFQEYYYNNRLMQLNLDMQIPTTQPFMESHQHFLAQVAGFFIVEDRVLRTADGLLSDSQVETMWEKAISKVTSILEEQFSRMDAANHLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFLQQLLSDCRKKIDGIFAKDSYEQMVIKKENEYRINVSAFQLEPIDVVPDFPYIAPFSSSVPDACHVVRSFIEDSVNYLSYDAIMDVYDVVKRYLDKLLIEVLNDGLLNLIHGGCLEVTQMVQIAGNISVLEQSCDTFLNHAAQLCGVPRRLLDKPHSGLTARAVLKASQNAAYNGLIALANSKIDEFMLLLTSINWTPEEAPEHENDYMNEVVIYLHTLISTAQKVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAVAGIDIDLKKLEEYADDKYHSTGLSELRKETSFRDCLIEIRQLINLLLSNQPENFMNPVIREKNFGSLDHKKVSIICDKFRDAPESLFGSLSGRSTGQSARKKSLDVLKRRLKDFS >OB10G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8395163:8399767:-1 gene:OB10G17790 transcript:OB10G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFATVASDNLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLLGVQTNMLKNSGVTIIEGRGKVVDPHAVSVDGKLYTAKNILIAVGGRPSIPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVADQMSLRGITFHTEQTPQAVTKSDDGLLTLKTYKGSIHGFSHVMFATGRKPNTKNLGLEEIGVKLDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKAIVCANTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTTAEELVTMRSPTRKVRTDAADETKMKDEATRQK >OB10G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8403886:8404158:1 gene:OB10G17800 transcript:OB10G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTPMSLTAFGRTTGELAGVVDGHVQKNKDPLLRGVAELGLGLGGHRWALHAGGAWEASSVDKTVFSLPFTTVANLGSTHQWRCIALQ >OB10G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8403300:8407745:-1 gene:OB10G17810 transcript:OB10G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATYISSIGSWEEVITSLGPAKGNASPLVGGTKVGYCSERQTKYCLVYTACFPGTTSMKCPSMSSQAKS >OB10G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8409887:8411453:-1 gene:OB10G17820 transcript:OB10G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPPRARVVLLAALGVPSYAVPCSLRLPPPVAVLRCALLRPSPSSAARSSDRRPRPPRCPPPRPPLAVALLRLAVLRPSPFPPLSSPRGCQR >OB10G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8418347:8425379:1 gene:OB10G17830 transcript:OB10G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQASEAAAEKDLPLGMDASMMDEYASQSKLLQEFVKIPTIGKAWIFNSKTENTSRAMVSVGQADLLANKRKYFLLSSHISKNASRKSVDFQWSPFPIEMSGVSAVIPSPSGKKLLVVRNSEDDSPTKLEVWGPCQLENEIHVAQSVHGSLYVDEWFEGISWNQEETLVAYVAEEPPQLKPEFNDAGYKKAGSSEKDCKSWKGKGDWEETWGETYSKKRIPALFVVNILSGEVRAVKGIPRTLSVGQVIWAPSSSYSLVFVAWSSDNGFQKTPRKLGIKYCYNRPCALYAVPDPFMEEADEPSINVSKGATAPTIKLTSNLSSGFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHKIYWPVDGKLEGLSVADVVPIVMCPQDDGFPGLYCSGILRNPWLPDGQTMILSSVWGSKEVILSVNVVSCEVARVSPQDSDYSWNVLALDKDSILAVSSSLITVPQMYYGCEVCQTGKSNQWDWQDISTPFPNLSDKISVLLADHKFSILKIPISNASDKLTDGAKLPFEAIFVSWKDSATRPTIVVLHGGPHSVYPSSYSKSLAFLYSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVSDVLTALNFVIKKGLIDASRVAVAGGSHGGFLTTHLIGQAPDTFVAAVARNPVCNLSLMVGTTDIPDWCFVEIYGKEGKNYFSEYPSVDDLCQFHQKSPISHISKVSTPTLFLLGAQDLRVPISNGLQYARALKERGVETKIIVFPEDMHSLDKPQCDFESFLNIGVWFKKHMSK >OB10G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8427104:8433582:1 gene:OB10G17840 transcript:OB10G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTSEETLQSKLLQEFTNVPSIDSAWVFNTNNENKSTAMYSISQTNLLANKKRKYILFSHIMRNDTNSPDFQWSPFPIQMGGVSVIIPSPSGSKLLVVQNGEKGSPTKLEIVSQSHVVKEIHIAQSVHGPLYTDEWFHGISWNQEETFIAYIAEESLAPKPAFDDSGYKGSSSEKDCNNWKGHGDWDEDWGETYSKKGRPSLFVLDIASGEIQPAKGISRSLSVGQVVWAPPSSCGRQTYLIFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYAILCPFEESDVNNAQVGDAKSDSASVAINLTPSISSAFFPRFSKDGKLLVFLSSNRAVDSGAHNATDSLHKINWPSDWKTDQRLDIADVVPIVICPQDGCFPGLYCSSVLSDPWLSDQCTMILTSAWRSTEVILSINVLSGELTRISPQNSEYSWSVLAVDRHNVLAVSSSPIDPPQIKYGRQVPSKDQTCTWFWDEVNNPLMTANNKIKALLSHHKFSILKVPVINPCDDLSDGGKLPFEAIFVSCKDSSHKPTVLVLHGGPHSLSVSSYSKTSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIKEGLIDASKVAVVGISHGGFLTTHLIGQAPDRFVVAAARNPVCNLSLMIGTTDIPDWCYAVACGTEGRKYASESPSLDHLRLFYEKSPIAHISKVKAPLLMLLGGADLRVPISNGLQYSRALRERGGEVRIMMFPEDIHEINIPQSDFESFLNIGVWFKKHLS >OB10G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8418359:8441407:-1 gene:OB10G17850 transcript:OB10G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRQRQEIDQKIRELSKCHIVYSGIDFQKEKLMVTDEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYTSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKDKQMFSLSKIFLNHLLQLISSKGVTIALVVACLESAQMTNVLNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSGKVASQLAQASTKN >OB10G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8442728:8443621:-1 gene:OB10G17860 transcript:OB10G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTRSRHPRPPTPARRPAAEPPTARGSSRRRPSPTPPRTTTPLRRPLRHLPDPXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAPKPDAACMAAGDEEDPKGLFTDNVQNSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARELPNMPKEYIIRLVMDRTHKSMMVIRVGTT >OB10G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8447293:8448225:1 gene:OB10G17870 transcript:OB10G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLIAVVVVLLPALLAFQAPMATAANSNLFRDYIGAIFNGVKFTDVPINPRVRFDFILAFIIDYTTATSPPTPTDGKFNIFWQNAVLTPSAVASIKRSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVSNAVESLTAIVRDNHLDGIDVDYEQFQVDPDTFAECVGRLITTLKSRGVIKFASIAPFDNADVQRHYQALWAKYGAAIDYVNFQFYAYAASTTDAQYVDFFNKQIVNYPGGNILASFTTAATTTSVPIQTALSACRTLQREGKLYGIFIWAADHSRSQGFKYDTEAQALLANATTGYY >OB10G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8449195:8453249:-1 gene:OB10G17880 transcript:OB10G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRTAREESHAVIFGVVDEVLRKARVAAADVGVLIFNSSLLSPTPSFTSLIVSRYKMRPDVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRRGDPRRAKYQLIHTVRTHRGAHDQSFGCVTQEEDEAGEVGVSLSKELMVVAGEALKTNITTLGPLVLPMSEQLRFLATVVLKKVFRADVKAYLPDFKLALDHFCIHAGGRGVLDELEKSLKLSPWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKRGDRVWQIAFGSGFKCNSAVWRALRTVDAAALAADDNPWMKEVDMLPVDVPKVAPIDESSYHIPN >OB10G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8449226:8449563:1 gene:OB10G17890 transcript:OB10G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLGTSTGSMSTSFIQGLSSAARAAASTVRSALQTALLHLKPEPKAICQAVAALDPALGLAVGELVPERAAGRVAEAVERHPRRFHVPGAELEALLELVEDAAAAGVDAE >OB10G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8453547:8453816:1 gene:OB10G17900 transcript:OB10G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRWRTARRHSTPAWFLVASFTVLTNSLSIITRSTTPPPALSLYSSINGGKQEEEEEEEEEEGIGRVSNGENQRLDFIGLARHEWEQK >OB10G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8473290:8474288:-1 gene:OB10G17910 transcript:OB10G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPINPNLSFNFILSFAIDYTSPAGGATPAPTNGVFAPYWDTDNLSPADVAAVKAAHPNVSVMVGLGGDSVQEAAKVFFSPASVDSWVANAVASVSGIISAYGLDGVDVDYEHFNDDGTSGPGVAAFVECVGRLLTELKARHPNITTSIAPFEDPVVQRYYQPLWRRYSGVIDHVNFQFYGYGDNTDVPTYVQFYDQQAANYPGGRVLASFKTGDVAGLLSPEQGIAGAKELQRRGGGSCRGCSSGRRTAPRPAATASSTRPGRRRSSPTIDLSSDGQGIEVVGFATNKRIYMCTVQFPKSTHGVPISYTI >OB10G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8478630:8480073:-1 gene:OB10G17920 transcript:OB10G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFREYVGAQWAAVQLSDVPVISGVALFHLILAFAVDYTRDSPPQPFWDEGHHTPAEVVLGVGGATVGGVDTYFSPADEDSWVSNAVDSLSGIIDTYQLDGIDIDYEQFNGDEALFARCIGRLLTELKARYPALVTSIAPYKDTEGYYQALWSSYQEVIDYVNYQFYANPASTRVEQYMEEYGRVAGSVFAGGSVLASINTSNPADVVTVDAYTALQACAQLAGNLTGIFVWSADSSYYNNLDFWYEGQAQQILAVGTN >OB10G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8494884:8498425:1 gene:OB10G17930 transcript:OB10G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKTQTSVDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >OB10G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8511781:8513334:-1 gene:OB10G17940 transcript:OB10G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAASDPSPAPARSMLKRLFDRQLLRVSPAERIPAAAAGVGGEKDEVEPSSGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRARRRRGRRRGNRRRVTKRCRLEFSTSRRT >OB10G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8523310:8523618:1 gene:OB10G17950 transcript:OB10G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3N2P7] MSRQFPVGRIHRQLKGRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >OB10G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8527116:8530265:-1 gene:OB10G17960 transcript:OB10G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3N2P8] MECADYLIGSGRRCPSSSSPPSTSREAAWCPEKQWRKAANVITTCHRLVRLRLARLGFLSAAIVRRANSYVEIHVDDDDDALGVSCGGDGEAVVAFTVAADDESFKGLVKDRREGRLRSLGGGGGVAAALASGAERGIRGDGADVARRKAAFGENTYPKPKPKNFFRHVWDALADVFLIVLLVCAVVSLAFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHSQAKRFDKLARESENITVSVVRAGRRQEVSIFDVVVGDVVVLKIGDVVPADGVFLEGHALQVDESSMTGEPHPVEIDARRSPFLASGVKVVDGYGKMVVTAVGTDTAWGEMMTSITRENTDPTPLQERLERLTSSIGKIGVAVALLVFTVLTARHFTGSTKDDQGSPLFDKRNVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGPDRAHSVAAVAGGVVSSLCQGAGLNTTGSVYRPDNVSLPEITGSPTEKALLSWAVEELGMDAGALKRKCKVVHVEAFNSDKKRSGVMVKDAATGEVTAHWKGAAEMVLASCSTYVGADGVARELGVEQRRKLEQVINDMAAASLRCIAFAYKQVVDGDGHSTIDDEGLTLLGFVGLKDPCRPEVRSAIEACTKAGIAVKMVTGDNLLTARAIAKECGIISDEDTTGVVIEGHVFRAMSPDEQLGIVDKIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAVTTGKMPLTTVQLLWVNLIMDTMGALALATDTPTDGLMRRPPIGRTAPLISNAMWRNLAAQAAFQVAVLLALQHRGRDVFGAGERANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVVRNRMFLGIVAVTVALQVVMVEVLTRFAGTERLGWVQWGACVGIAAMSWPIGWAVKCIPVPERPFHEIIAARWRSSVRHNKHQRSVVHVSH >OB10G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8537300:8537642:1 gene:OB10G17970 transcript:OB10G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGCLYGGLARTNGVERSRLRRNAQLELRSSARFVPCRRVVAHSSRHRQHHRPVVFDVFSTRFEASNRHMPPPVAGSALSSVAGTASAVLSAAVRR >OB10G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8544672:8545094:1 gene:OB10G17980 transcript:OB10G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFVACIFSQVVTLNQNNHALRPSLQRKCKANLNDLNPINACTYGCISKIEMNGNRKSLRNYIYIPQKYWRKTTYILQAIHAYFLCCCLEIPLYFFYICLIKSVLLTILLRLFINSFTNIDTISLFFLMFMFTNQNSNFLP >OB10G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8550666:8555770:1 gene:OB10G17990 transcript:OB10G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVIRRAFDAGVTFFDTADAYGPHTNEVLLGKVLKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKYVGLSEASADTIRRAHAVQPITAVQMEWSLWTRDIEEEIIPLCRELGIAIVPYSPLGRGFFAGRAAVQSIPSESLLSKHPRYNGENLEKNKVFYTRLEELATKYGCSPAQLALSWVLHQGDDVAPIPGTTKVKNLDDNIGAVKIKLSKEDLNVISSAVPAGEVAGSRVIGVLEPYSWRVANTPPPKSL >OB10G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8558783:8561563:-1 gene:OB10G18000 transcript:OB10G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDLSSDQEATGSNSHGAGGGDMGGVHGHVVLSSLFLPAPAAMLLPPALVVEEPKAKKKRSLPGNPDPEAEVIALSPRALVATNRFVCEVCNKGSQRDQTLQLPRRGHNLPWKLRQRGASGGGGPQQQQLAGKGADPGVVVAAAAAGGGAPPRKRGDGCPEPTCGHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGTRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAAANNSSSITTCNINGSSSSNTNNLLISSSSNNTNNLLISNSSSSSSPLFLPFSSPPDHAAAAENPNPNQLLFLQQHHLLHPQFCTATVRHRPPPPPVAAAYFDHLLPFGAGAVTGSKDNSSVAAGDVVVADAVSFGLTSEGSVTMHAGGGGRLTRDFLGVDHDGGEVEELELELPLSAADCQGYSFATTAAAVSRAACCATDFTTSSRQYLGRRPPPGDETRSHNF >OB10G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8559446:8559598:1 gene:OB10G18010 transcript:OB10G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFAAAASSLALSSARASQKALCVSRLSFLHAINNLESLCFNYFVSC >OB10G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8565255:8573797:-1 gene:OB10G18020 transcript:OB10G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:J3N2Q4] MENEFQDGKTEVIEAWYMDDSEEDQRLPHHREPKEFIPIEKLTELGVISWRLNPDNWENDENLRRIREARGYSYVDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPERGGVLGWEGHGPDGGGGGGGGHCEGGGGGPPPFLTKTYDLVEEASTDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPSSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYSPGETSQAEQLDSSYLFDSGVLNEFSEPGIPELENLAVNIQDLGKDRVDGERQYEANGQAELGDDFWADLLVEDFGSKEEQSELEAKIEGIDELAQQLGYLSSTSPK >OB10G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8576715:8579122:-1 gene:OB10G18030 transcript:OB10G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43850) TAIR;Acc:AT5G43850] MLGENGEENLESPKELLPLSRLEEIGVLYWQLDPKKPESEEELTKIRKERGYSYFDLIEICPEKLENYEEKLKNFYREHIHADEEIRYCLEGSGYFDVRDKNDEWIRIWIKEGDMIILPAGIYHRFIVDRSNYIKLMRLFIGEPVWTAHNRPQEDHPVRQEYVKNVKGNTGFALAAR >OB10G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8583159:8583347:-1 gene:OB10G18040 transcript:OB10G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKRPAAQQTPAFAFAFTGSRGRCSSRDGRSRRSAGAGQGGVTGQALAALLGRGQAGGRS >OB10G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8582974:8588510:1 gene:OB10G18050 transcript:OB10G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MEVGSSCHSGLAFHRTPSSSWRSRGNGLVLGHARPTRPRRSAILCMGSGTASDPAGSGKAHASRGFYVSDVDAALQGIPKRVGEVEKMIIPGLPEGPESSLISTGLWEWKPKLTVYYEKSGTGNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKNTVGEFGEEAFWGFGQELQPWAEELVYSIDLWRDQVQHFVEEVIGEPVYIVGNSLGGFVALYLAASCPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDKVFSRIVETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGTPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSIEIPFLEEPSYAEDGVSRELEFVRGGSKKSVRVRLFGSKISLWTQLSSFLKSGVTNTRVVSR >OB10G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8589272:8590551:-1 gene:OB10G18060 transcript:OB10G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGGAMVAFLKRWVGGEPGLLDGIRARPETAPVALSVVEFRDGGDDDDRNSCTALPLSKTPSPPCLLTTPTTSLSQRQYQITTESRLCIIFDSLANPLIPPPMAYPFKLVKLLPPTPPTPTA >OB10G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8591991:8592567:-1 gene:OB10G18070 transcript:OB10G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVFAAQILFCFVLLLFQLLTMAAAAPSITGVFDHGSYGVALVKVDEAPRKCSAARKSAAPTGGGGAPPKPLLVAAPREAGEYPVVLFLHGYLANNSFYSQLLEHVASHGFVVVGPQLYAISGPGTTDEINSAAAVINWLPPRRPPPAPA >OB10G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8595781:8597857:-1 gene:OB10G18080 transcript:OB10G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPPPPPRLLLLLGVVTVVVSAAAAVAGAAPACETANCGKGRCVEQPGPFGLDTYRCDCDGGWSNMFKLIPASPCTVPNCTFDAACFNLGFTPPRGIPLTDPCVFVSCGDEGQCVKDQGFSYHCACKPGYVNMLNLTMFPCIKNCAFGMDCSALGLSPPPPPPPPSSSSSSPSPAPPGYVSSGNLLQLLLLLSLAMAHIM >OB10G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8598980:8599749:-1 gene:OB10G18090 transcript:OB10G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQDGPAYFPVVAIISLASPVVIDFTPHKRLKGEEFTDPQNSHSGESQTATSESNGSHKLEGANEAEPTSSSLLLMPCSLLVFKDQAYTDYLHGIQDNELHNLDKQVANMSQSEFKHLNSGGNQGKADESCSLNQSGVYRRAATRVSLTCRLVLKVHKKLFKI >OB10G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8603269:8605889:1 gene:OB10G18100 transcript:OB10G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDGREEEVQIQIAGSSKAATSSTHEVPTQNSPVKSWQWWVMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSSTQTTTTNPAPKTSITKITLIYVALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDTQGTTSISHGKYILGFMLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLVWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFRDKMDGIKIIAMLMAIWGFISYGYQLFVDDKKDRKSSVSVDETS >OB10G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8614587:8614898:1 gene:OB10G18110 transcript:OB10G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGELAINAVQFRAFFSHSWRSFHPTNRSNVSRINGSGPIKINQRGRAEFNPSIDIPYHVQLIGTRDNQYSSFFFFFSILKRNQCSSECTVESIQCMGFLLLQ >OB10G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8619300:8620319:1 gene:OB10G18120 transcript:OB10G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGYVRGDLLDLSSTDIQLDETMVGSSSSSNASGEVPTAPWQIDLSMLQIDDFIKQEPHAMMFHGKYDGCDVVVKLLEWGHVMPEQIVRLGESLRDVAAAWREMDHPSIAKLVGAFVGVSPPPGTTSFVLVEPLTGGTLKEYLIKNIECKLPYKKVVNFALAMARGLSYLHSRKIEHRNVKTDNMLLNDELNLKITDFGVACIESDLKDMTGQTDKLRYMAPEVLDGKPYNKKCDVYSFGICLWEIYCCEMPYKDVGSAEITSAVLHKQMRPKIPKCCPQDMACIMRRCWDAEPTSRPEMQDIVDMLEKLDTRKGRGMVPVRKPSYCFCFSIRRRGS >OB10G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8622193:8626457:1 gene:OB10G18130 transcript:OB10G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQQQVADAAATAARRVPVPPAKPLLRTVGANLMEVFFPDDPFRAVARDQGGGRRALAALRYVFPFLEWLPSYTLGELWADVVAGVTVASLAVPQGISYAKLADLPPIMGLYSSFVPALVYAAMGSSRELAVGTTAVGSLLFAAMLGEEAPPGEKPELYAALAFTATFFAGALQAGLGVLRLGFVVDLLSHAAIVGFMGGAATVVCLQQLKGMLGLRHFTTSTDVVAVVRSVVTQSHLGQWQSVVLGGAILFFLLLVRFIGKRKPRLFLLSAAAPLMSVIFGSLLVYLVHGENHGIQVIGALKKGINPPSARDLLLSSPHTAVALKTGIITGIIGLAEGIAVGRSFAMLKNYNVDGNKEMVAFGLMNIVGSCTSCYLTTGPFSRSAVNSNAGSRTAMSNAVMAAAVALTLLFLTPLFHYTPLVVLSAIIISAMLGVLDFGAAARLWRVDKIDFCVCVGAYLGVVFGSIQIGLAIAVGISILRILLFAARPKTTVLGKIPSSTNFRRMDQYTAAQAVPGLLVLRIDSPIYFTNASYLRERIMRWISYEEDRIKAEGLESLKCLVLDMGAVAGMDTSGTKMLEDLKKNLDRSGVQIALANPGSEIMRKLDKSDVLGLIGDEWIFLTVGEACDYAQRTCKIGIGMDVVECVAVVDPEDMV >OB10G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8626883:8635605:-1 gene:OB10G18140 transcript:OB10G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDSRQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPSSSGQEVEPHTPEVPTFTRAPFDLDDLQKDGVGASPQSFTSKRNGTHSEESSALLNRKGFDVKVRKGLSFGSPEVKGSDGISNEMVNLQQEISRLLAESHSMKQQILSESERANKAETEIQILKDTVLQLNSDKDSSLLQYNQSTERLSTLESELSKAQADLKKLTDEMATEVQKLSSAEARNSEIQSELEALDQKVKMQQEELEQKQKELKSFNLISQEEQDKRMQAESALLSEGKELAQCQEEVQRLTREIQVANEKLNELKQTKVHLENAVSELKKEVESLTEQNHSSELLIQELRDEINSLKDLKNELQSEIQSLRSAISQLNTEKDAAVFQHQQSVERVSDLETQLLKLQPELAEIEQKVQMLMQDLEQKRQEADNAHAQLQDECNRHTQTEASLHRAENLHSQLEEEVIKLTQNLERSTKELNELENAKLDLENTSRELKSTILDLNSERDAVLLQQQQSLAKVSDLELQLSKTQLELENSKQKMQLLELEITQKSEIVDNLTLSLKDETEKRVQAETSLMSMENMYSQSQEEVNRLNAEIEKLNFKLNESENLSFELNNTILLLNAEKDATVLKNQQSLVRISDLESELSKLQAQLEKIEGKVQMLEQELKHKKEEVDSLQISIQDEAHKRSEGEAALLAMTNLNSESQEEVNRLTLETEKLKIKLSEVENSKMDLENIVAKHTEDIHVLREQNLSTELMVKELHHELDALKELNVKLETEVGLHVGEKEALQRDFACQREEKQSLEGIHHSLAEEMSALKSSSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKVQEVEKLSEEYSLLENSLSDANAEMDSLREKIKVLETSEVSLKDVISCHVSEKAVLTSEIETLGKSFSEISEKNSSLDILISDMKAEIENLRTKLKDSEETCQAHLANNSALSDEKNNVFSQLESITMAMNVLESKHANLEDKNSSLSREMDLAYDQVRELQDQLRVKDEEYGTFIKSHQTQVNDYEEQISSLQEKRYYMSEMLEQEQEKHMTASISVVILENCLADLKDKNIDLFNECQKYAEANHTAEILISQMKDEIRYHEDERQSLLTHTEKLRQGVSQHMKVLNICKDLGPANIAQDEIILRTVSDEASNIMKLKEQSEDANRLMYTELTVLATVMLQVGTELRDLYLQKRALEKQTETRAAEFITLQNSNLQILESNEQLKQGLQKGCEREEVLKAEILVLQEKLTCLRESYQASQNDIVSLTEENDCLRKEYQSLIEKYNDLEDDNITLLSECMRLEHLSLFLRGHNNEVASALVSLTDEMALLSISKDELDCEVKELSQRGMTLELENNYLKEYFIYLIEILSTQLALSEFDLNINRSVCQELAIELESCMAQLLQKDDELLEAEEKVHFLQGKNRELCGLVGSLQVAIEGARVVKEELEKKIMTLAEEGNTKNGEILLLHQANEKLQVEANILKDKEDGLTSAHELLSKEVEKHERQIVVLVGDAITSSVNAAVYEEKALELMSENTELKAKLSTHVALIASLSDHVNELEEDTLSVSKSNITEGKKEDAPGPCMQECNHGPESHHLPEGTPELQRLIARIGALQVAILNAKDRHDQESTKAAAKLAAANKEIQELKARGGSHMEAKEIYSDNEKLNNVEASKGKQVQMMKDIELDQISTCPPYGTGAALYPLKNGTNAGLDDEMLQLWEAAERNCKNQTSKSSSAEHDIEADEELKSEYPYSELSRARDLGINKLEVSTSSVEPHEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLETEGYVLEQINFNNKLTKRVENYPSLSDSMNAEREGYPSRRKIAGQVQKGSENVGRLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKDKRGGGGQKKKKRAPFCGCVQSRNET >OB10G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8635733:8636886:-1 gene:OB10G18150 transcript:OB10G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDPDISPPLLAAAGSPLVSERCNLPVYPPALPISWRLGACKIIAKLEGLFSVPIIFLSSLLLTHSEER >OB10G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8640509:8640832:-1 gene:OB10G18160 transcript:OB10G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGSSSSSSSSSSSSSASVYSTLMDTALLQASCCLHQGTPPAGAQSDAHLCPLMIGSDPIDRASNGSQPFWASMEMMVPATAKWIDPVGGGLASPFFFVSAFLWIL >OB10G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8643600:8646680:1 gene:OB10G18170 transcript:OB10G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLRASGGAAVMDGGLATELEANGADLKDALWSARCLFTCPGLIRKVHLDYLEAGASVLITGSYQATIQGFLSKGFSQEESESFLRRSVELACEARAIYLEKCSNGSDEDKNKTKYRKRPILVAASVGSYGAYLADGSEYSGDYGKEGNLEFLKNFHRRRLQALAEAGPDVIVFETIPNKIETQAYVELLEECKLCIPAWFGFTSKDGTNVVSGDSLIECASIADSCKEVSAVGINCTPPRFIHALVLSIREVTNKPILIYPNSGESYDPIRKEWVACSGISNEDFVSYVRKWHEAGASLIGGCCRTSPDTIRGISKALHGV >OB10G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8648585:8652862:1 gene:OB10G18180 transcript:OB10G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTAARRSMASFSRRTVLAAAPPRRALCSGEVVRGDAELEAAVRDVVCSGAGSLDEVGGALDRLGVAVSPGMARRVIDSCGEGRGSGRRLLRFLSWCRSKGPGGLGGEALDRAIGALARMGDLTAMGIAVGDAEKDGRRMSPETFTVVVETLVKSGKEDEAVRLFRGLERQMLLPQRDAGVGGEGIWSSSLAMVQALCMKGHAREAQGVVWHHKRELSVEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSCSPLGLPSFNDYMHCLCHRNLKFNPSALVPEAMDVLAEMRSYGVTPDASSFNILLSCLGRARRVKESYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRVIRGKRLVDDMLESGVLPTAKFFHGLIGILCGTEEVDHALDMFKIMKRCQLLDTHTYDLLIEKLCRNGRFKDGKDMWDDAKNNGFVLECSKDLLDPLKTEEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAMDPLLHTLTFEYVDGLSVKDILLGFGSNGINEERLNDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNSTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVMEKILTAYRKASKQWCATTNKLAQVRQRGRKRTMVG >OB10G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8662560:8667199:1 gene:OB10G18190 transcript:OB10G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGTKKSKLSWSKSLVRKWFNIRGKSHDYHADDAAAAFGRRAAGGGDDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRVFVATWNVGGRAPPGSLGLEDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGTGGGGGGVGSMRTPSPAPDPVVEMDDDFEGSSRQNNPSFFHRRWFNAGLSRSLRMDGDILGVGGAAAAAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGENDDDGGDSPSTVYSPMSYGYGAPPYMEDSNHGGTHTRYCLVASKQMVGLFLMIWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGEDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSQIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >OB10G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8673875:8676196:-1 gene:OB10G18200 transcript:OB10G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03100) TAIR;Acc:AT1G03100] MLRVGRICSRSSTCAVSSLLVGPRHLIGHESPHCLDSKCSWTNHSGANRIGFLNTRLNISSCGAYLSTLTETVLVQAHDPSLLALEIENAIDQQRFDDAWWAYQKHIHMEGPPRKSVLSKLITGFAETCDTHWLNKSYNVVNHAFEEKSELLEKEPLIFLSLALARSCLPNLAINVVRKLVKMETYPPVAAWSAILAHMCQTATGVSLAADMVMEIGYLFQNNRVDPRKKSNRSLLSMKPNSLTFNIILTSSLLFGTTKKAEQLLELMPRIGLKPDVSLLIVMARIYEQNGHRDEIKKLRRHVNEVCGLSESELRQFYDCLLSCHLKFGDLDSAVDIVLDMLRKGRSTKSSLEAAKAVLEAVENNKIYLPHEKTGPDTAASSNKSLSTDTPLLKYVPFFKDKSFARLELDARRLLKLLSDKLQDQAALVKSECGILHPTETMYAKLVKAFLEADKISALASFLVKASKEDSPVSVERSFVVQVINACISLGLLEQAHDLLDEMRFSGIRVGSTVYSLLLKAYCKEGHHEDDITALLKDAQQAGIQLDASCYEDLIQSRAHHNNATGALDLFKELKSLNISKTGHNEFEISVQGCDNNGTALTTKLVEEVRNGHVVNHAIHDWNNMIHFFCKKRLMHDAHKALSKMRALGHTPNAHTFHSLVTGYAAIGGKYVEVTDLWGEMKVLANSSSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKLFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQVKRREAALHFKRWIGLT >OB10G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8678456:8680039:-1 gene:OB10G18210 transcript:OB10G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEMEMSEDYTCVIARGPNPRTTHIFDNRVVRSSGACFPAEIWLPSAGKDDGFLRYCHGCSKDLGLGKDIFMYRGEKAFCSRECRHHEMLFDEGIEEL >OB10G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8687061:8687243:-1 gene:OB10G18220 transcript:OB10G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAYDCVSLLQLQLLSSLSICCAPACIEHLSQSCDVCSWRLICLFDQDLLEVIVKFDCLYY >OB10G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8695081:8696116:-1 gene:OB10G18230 transcript:OB10G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSWEESHPSTNEISFYFFHLSYEEATVTCERGRDPQTATKLPISSDVITESKDGGAYGCINGSIDTPTCPTSSRHARTQRYMQTGRDCDLQWAVRLRSLKTHQKGFLLFFFLQICHCFFFLNCKQATRTTVLWYFCNFLMSVLQ >OB10G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8700578:8700886:1 gene:OB10G18240 transcript:OB10G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKADFGGRWLCGLCSEAVRDEVAKKGGGGGRQLEDAVREHMSFCGKFCRKNPAFRVADGMRQMLRRRSGDLSAHSGAS >OB10G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8702916:8703200:1 gene:OB10G18250 transcript:OB10G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGWIQNAESDPHFNMYCLINDSVYALLAAMMINLVLNGQESLDPWNSATSGRVAVKFFRLQPEVSGKVQQHLLLVFFSVLMGVCI >OB10G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8702987:8703196:-1 gene:OB10G18260 transcript:OB10G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPIRTLKKTSSKCCWTLPETSGCNLKNFTATRPLVALFHGSRLSWPFNTRLIIIAANKAYTESLMRH >OB10G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8705213:8706142:1 gene:OB10G18270 transcript:OB10G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIINKLPDYAILPDMNQPQMFLHWHNAIVSSSQKSNPDPPTGEEGADLACGFTSTSSERLTCCNSSEILRFWRRENLGIGSELGGGELENAGPAHSSGRDGDVEGLMEMGRLRLPPRRCSCCFGVLGSGDEYVRAGGGGGEGRRPEQTLEWKERQFMPRNPCEAGGDEK >OB10G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8705656:8706222:-1 gene:OB10G18280 transcript:OB10G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVISQQRNHHIQHSGGRSKSTGSHFSSPPASHGFRGMNCRSFHSSVCSGLLPSPPPPPARTYSSPEPKTPKQQLQRRGGKRSRPISISPSTSPSRPELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELQQVNRSDEVEVKPHAKSAPSSPVGGSGFDFFNDNETAVATENLRRILHLDIADH >OB10G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8713755:8714954:1 gene:OB10G18290 transcript:OB10G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGAGVPSARSSSAIVVGTEHGYHLLKIDGYSRTKELLPFGKCTRSCSFRVGNHSWHIRYYPNGNSNVVRHYTGGDGGGARCDADCVSLSLVLEPKDYGYIFLREMGEVRFSLLDRAGKPVPGCTRAAIDELPRLSGEGVRDLWPSRVFLSFPNFIEREELEASEHLVDDRFTVRCDITLMTEPSAAADPPAPVADVAVPPPELQRDMEALLLGEEGADVTFEVGGESFAAHRCVLAARSSVFRAELFGAMKESTGKVRIDGVEPKAFRALLHYIYTEAVAPELDDDADDETEPEDTPAATTSLAQHLLVAADRYNLERLKLICEDKLCKRIDVSSAATTLALAEQHSCPSLKKACMDFLNAPGNLRAVEATDGFEHLASSCPAVLRELIAKLVVAL >OB10G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8717192:8718400:-1 gene:OB10G18300 transcript:OB10G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCATSPARSAAADGSGDAGEPTATAPPPPPPPSSWSGSTISAKTTSGYHVVKIDGYSRSKGVFRNGEVIRSRAFTVGGHRWRVEYYPNGNTPGCADYISVFLHLDEEAPAVGVHAQHRFRFFNETDAAAAPSPPPSLAAVEVNRFRSYASWGRAKFIRKEELERSKHLKNDSFTIRCDVVVTGEFVAKDMPEAATATTLRNGGFVTVPPSDLHRHIGDLLRTGHGADVMIKVGGKTFAAHRCVLAARSPALGVELFGSTNKKKKKKERRGKAVIRIDGMEARVFEALLRFLYADSLPEMEKNHEASMCWNLLVAADRYSMARLKAVCEEKLCDHVDAGTAVPMLALAEQLPCDGLKKACFGFLREPENLKVAMAGEGFEHLSRSFPSLVRELVAMNLAAR >OB10G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8718854:8719951:1 gene:OB10G18310 transcript:OB10G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPSGSASSIVADTAAGYHLLRIDGYSLTKGTPTGTALTSSPFTVAGHRWAITYYPNGNCAKTADHVSLYLLLLPDEKTNTTKGVKVQARFQFRFAGRVTKQSSQALGEVKIFGDEGSWTWGYRKFIKRADFEKSKDLRDDSFTVRCDIVVVREIRAEKTTEVRPANAKAFVSVPASDMGQQLGDLLASEKGADVVFQVGAETFAAHRCVLAARSPVFAAELYGPMKEGDAARGVVRVEDVDAHVFGQLLRFVYTDSLPETEEEVTCQHLLVAADRYDLHRLKLICEERLCRSIGVDTVWNILALADQHHCDGLKKACFQFLGSPVNLSAVVAADGLEHLSRSCPCLMKELLAVLALPRGDA >OB10G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8723465:8724060:-1 gene:OB10G18320 transcript:OB10G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHVTLAWRFPDERRSRRAVDLLTLASRQCQRASLADVVKHEVKARVLAQIQDVEKEIISEKERLESILKEVGINDSEFCSDEIETLQREIDDCVVERWTSDMIALLRLLCHAASVHSYRHWLGRNQRVVERVVLLVRTGPSSTKKDVLAALLCLSNERESVAKLVEVGALEAALSTISEETTPFL >OB10G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8727163:8730338:1 gene:OB10G18330 transcript:OB10G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLDEKTNTTKRVKVQAQFRFRFAGRVTKQSSLVLGQDMEPHVFKSLLTFIYTDSLPELEDEEADDDDGGEGDNGDGDDDGGEEGDSGGAMWPPLLAAADRYAVPRLKAICEKKLCKGIGASTVTTTLELAERHHCPELKEACLESMKAPANLKKVLAATMLCGDPRVGRVPRFGVLAGSGWLCGKLMYYAALQASAKVIMKGGEERMKMELANIILNKHSDERTLVEAVKEHFFAEHLFSDQYQDRPLFRWRLRHTYVDHSFMERVKEIEVKISNEGSGSISGQRTTNIGSFGDLMEDPLACILGSPDGNTESSKLAEHRGTIVTRREVQAHRRRSYRHHRRHADKASAL >OB10G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8730939:8734771:1 gene:OB10G18340 transcript:OB10G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGPSLDGPFSRMARDALHPRVRSPPLLPHSARSPRGGGGGGGGGRGEGVMPLPGLQVLREAAGGLMRGKETPVILELEESLRAKGDMTRDEELKISVSKAIVTVSKAFTTATSSIVVYYSLGVGPKLFGNPPLPRVPRICMAAGSAWLCGKLMYYTALQASSEYILKGGEERMKMELANIILNKHSDERTLVEAVKEHFFAEHLFSDQYQDRPLFRWRLRHTYVDHSFMERVKEIEVNSSDEGSGSISGQRTTNIGSFGDLMEDPLACILGSPDGNTESSKFAEDRGTIVTRREVQAHRRSYRHHRRHAHKASVV >OB10G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8736419:8737519:-1 gene:OB10G18350 transcript:OB10G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTAAAACRGVLSGSVSSIVADTAAGDHLLRIDGYSLTKDIPTGTALTSNQFTAAGHRWRIDFYPNGKSAKSADHISLFLLLDEKTNATKSVKARFHFRFADRVRKQSSQALTEVRTFGGEGSWSWGYRKFIRREYFEKSKDLRDDSFTVRCDIVVVREVRAEKTTEILPANAFVSVPASDMGQQLGDLLASEKGADVVFQVGAETFAAHRCVLAARSPVFAAELYGPMKEGDAARGVFELLLRFVYTDSLPEEEEDDATCQHLLVAADRYDLHRLKLICEERLCRSIGVDTVGNILALADQHHCDGPKKACLHFLSSPVNLSAVVAADGFEHLSRSCPSLMKELLAVVAFSRGDA >OB10G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8744827:8745327:1 gene:OB10G18360 transcript:OB10G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVQPQSVESEPVRKLQRLPRVFSRVLELPFPRNTNVGKHFTSNADYFFVPHGVGGEPDVVQVHIVKLERWDITRVVVHIGTGEPDLKNDLVYDKWRFPLAETSILSMIMAGYVNGQLVVVVPRMDVRGDGGKEEIPTWPNINKIGGGDGDKRGPCGGASHIPTK >OB10G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8750577:8750942:-1 gene:OB10G18370 transcript:OB10G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKQFCASTALPGACSPKTLPKKPPPEEDPDQTPRARPARREPPRNLRHSPSPHRGRPPNWGATTPGSPPPSPEPSPPGSLQIRARGAEEAPGGSLPDREPARKRRRPSLPLSPSRAATAAA >OB10G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8750540:8757316:1 gene:OB10G18380 transcript:OB10G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEEIKVAEDRVEEIMPSGSTFLGDASMKYPEESEWDDEFGNALYVSDSVPSQTASQAVDDSENKVDEDSKIKALIDTSALDYSQIPDGYGAGRGYGRGMGGRMMAGRGFGRGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDARFDMKRMKPPTGIPKSMLMATPDGSYALPSGSGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELHCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINRSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESALPVQPKVRSPAVSAASKDEPKRAPATVEESPDAESHSGVKATTVDLSSSDKKAPTAPAVPDVVEGTMESKILKEKTPEAAPIVAKESQEKMPAGEQVKKKKKKKTRASGNAEDWKPYQDFGAENFAGMPLGPAGGFNPYWGGGMPLPMDYMGTPFPGPMPYMGYPPGPFDPFGGAVLPQDPFMPPGYMMPGVPRNLSELAVNSMGMNMGPPVVSRDEFDLRKPDNRRRREMERFNEREREREHSRERERERERERERESRSRSRRDRERDRDREPRREARESSAANNDSTSMRPKAVCVFLSIYYLAKFCTIISSSSMVNIWAAAAAVVRKATALALDSKESIDGAICLFARPIQFFLPEQQRHSVYAPLCSVVCGTRSFTAVGQCSWPTPPVLLDCKQQSLRYFAMFACLLLCVHPHLLYVFVSSCRRFLFLYSVCLW >OB10G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8764277:8765071:1 gene:OB10G18390 transcript:OB10G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNAAMAGCFPAAGRRRALTLPAASTGVGAYEEGSTSAASTSVGTGSPPSSSSASTSSPAFLDDLDPPLYLDDGEAEADAGGLSTAIASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRPARGVPQVDGGDGGRHGPRRRPPRRRPRPPPRAAPLLHRAQRPRRAPGHPRRLHRPPLRPQRHRPGRPGHRHHRARSRRREGEGGVAVDRTPAAAVVSARE >OB10G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8774052:8776779:-1 gene:OB10G18400 transcript:OB10G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSLSINRVSSNAKPETPKIQSPSERDRSDESKLPKNAKEVEELRLDSSARNPLVAFPFEKLRSVTSNFAQDSLIGGGGFGRVYKGSVAAAEGAELQRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVRLVGYCCEGDHRLLVYEYMPRGSVESHLFSRVMAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYVMTGHLTAMSDVYSYGVVLLELVTGRKSLDKSRPPREQTLADWALPLLTHKKKVMAIVDPRLPPPPRRRRRPAGEGRAQGGHAGLPLPQPQPQGAAADARHRRLPRASPGRRRRPARRRRLRRRNKNQAGWWPSSACLGMACRD >OB10G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8774389:8774863:1 gene:OB10G18410 transcript:OB10G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGVRVVVVGLERLEGGDDVAHQRPRLGVAVEAVVGQHGRLVHGPRRQVGAAGGGGGGAWVDDGHHLLLVREQRQRPVRQRLLPRRPGLVERLAAGDELQQHHAVAVHVAHRRQVARHHVLRRRVAVRPHDPRRHVRLVPHRPVLRQPKVRQLRVELL >OB10G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8779321:8779713:-1 gene:OB10G18420 transcript:OB10G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWTPEESDTKLREGSGKLGGRGATRADLDVLIRLLPGAAARATKKKGETAEEEKRRSSRGRWVDVAGTGQEDPSDRRKSSSSSSSRHKVHSGTGKWKLQRSKATVQCESLQLTLLSCGVLTTNPPRPD >OB10G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8780166:8780747:-1 gene:OB10G18430 transcript:OB10G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKPKRAETRTSKPQEASDTQSNTRWVHASNCDTAQQHGSVKLLSTQEKSLAIAYGGQVGQSSSNQQTSIPVTYHYHPPTRYLFTNITQLTSQSSQRMEHNCIAPVKTSYSSPFPSFFFISKFGWGHQHQTHPPSFRTVPRIRTRIRHRPWATNLRFMQFQELQSDILGIHRITFLVPSSYTKRWYAGARMR >OB10G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8782596:8788851:-1 gene:OB10G18440 transcript:OB10G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGPLTRANIVSTVNLDCRLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKEFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKQREEIYAAFENMHPVLTEYRKTQQRCNTMSNSTPTPVILEHLTRELRGCLLVALRFASGSGVVLRRSISVACSQIDLPEQPFPGEGSNQTAPQYLKNLPTVGDPHAIVAFQIQHNLNPL >OB10G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8795938:8798313:1 gene:OB10G18450 transcript:OB10G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAQD >OB10G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8799914:8809541:1 gene:OB10G18460 transcript:OB10G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLDPASAIPYAGDTAFQIHCIKRSAYAALLTAFYSQSDLLSGAKEGSLAELRNEFRISDTEHEEYLMKTISNKHIRSLSVGLKKAGISNVEVIKDSLDLVPMIKDAQDTAFQIHCLERSAYACVLRAFFAQSELLTRSQAKLLTKLRKELRISDAELREVLVNVTSNEYIKSLRNCSLANNSGLKDSAFDTRAMVPDKIVKDGQVFNSFTNSLAQESQIYSCAMPFMRSVDILGSSYMTKKEPFMTKNQYCLDPHAVVPAKELKSGNGCALAYLKSYPSEQLPVAVPSAQVKRSTDDLLDTKTLPCEVTGCNQSPIQLKHRQANTGHVPLCIHQDMKASMKRKTEVQGVMGSKSLTVIVPIIGNIEHGFDIIKLELTASLLSKVEKLFRENPNPADLGTAKVALKEQEKVLLDALLKLSEMSYVEEYFSTSYQPDEFNQHDECEGDDDVPQKSASTNDSETPQKPVSSSDEEAPPQPGPRRGVAVADKGKVEPFNSGGPGGLDAAADQPTSATTCSTSRTLSLRSQRKRSVQRASEPVAKRTRRPSVWLSGSEWVCYTGPTPSRPVPVSGFGNVNLDHTCQVDIVMIATAIVVGITLHAGNHLACDFPRLIAAAPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGGAGAGAGGGIPEAAPQFMAAAPAPAPARALIGACVNVAFLLLLAFFVVSPRRDGIRGCDGGVLQGERYGDRCEQVAEGGIGRRRYQQPRGYVDYVYLFYCVVGEERRVLGYAMMAAWLAVLFYLLGDTAAVYFCSSLEGLSRLLRLSPAIAGVTLLSLGNGAPDALSTVASFASGGGGGGGEGPPPWGSTACWEAPCSCPPRVSFYRDASFLLAALAAVAVVLAAGEVTIWGALAFTSLYVVYVVTVAFTHDRVTSKGHGDGGEADHTANAFSELCNVAETKFYADQEPLLPETAPLLNYYAGDGNGDGGGTKKKVRSLFWSVLRVLELPLWLPRRLTIPDASKERWSKPAAVTAATLAPVLLSHLCSHAASGGSASPLATTLSLLAGAILGLLAFLNTASDGPPTSHHLAACIAAGFVMSVAWAYAVASELLALLVSAAHLVGVDSASLGLTVLAWGNSLGDLQYI >OB10G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8813995:8817359:1 gene:OB10G18470 transcript:OB10G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) TAIR;Acc:AT3G03690] MRSPVGREVVVSGVFTALLVFSILSLPSLLLTTRGGGRSYKNWPFLAAVRDTGSGGGGGGGGGGGEVSPYPVSFAYLISASTGAAARAARLLAALYHPANCYLLHLDREAPAEEHRRLAELVSGQPVYARAGNVWIVGRPNLVTYRGPTMLSTTLHAVAMLLRLGRRWDWFVNLSASDYPLVTQDDLMEVFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELIRPANLTTNRRNLPTAFKLFTGSAWTMMSRSFAEYFTVGWDNLPRTLLLYYANFISSPEFYFQTLACNSRRFRNTTVNHDLHFIRWDTPPKQHPLYLGPRDYRRMLLSAAAFARKFREDDPVLDRIDRDILRRDGAAPGRTFSYGGWCSDGEVRLWSNPLEPGRKAMIKAGAGSRRLRAMLNKTMSAKNFRRQQCR >OB10G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8828897:8843832:-1 gene:OB10G18480 transcript:OB10G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDAPPPWRRNKSAAAIDDSSGPLPAAAARLSGRSRALPSSRDFHFYNNFPSFKSPVGAAAARAEASLGALGAAPFYPKQHPPFPRDDLEDAQEWVVGVIDDLSEQFGASMDEFKAAREKEEATGRSSVPSEDGFQVVYGKKKKKMGGGEEGVGRGEAFGGSGSVKMATKDRLAASGTKAKVPFHIPTIPRPQDVHRIFVDNSSKPFEHSWLEKSDDGSRVVHPLEKIPMEQLVDRNVPESEPIKPPGLDDTPFTYVEDLKTLEVLVTKLKSATELAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPRKKKVMHGADRDVIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVTANKEYQSADWRLRPLPDEMIKYAREDTHYLLYIYDSMRLRLVKESSGENDLLLEVYKRSNDVCLQLYEKELLTNSSYLYIHGLKENEFDARQLSVLAGLYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKWIVKSKNSYLERHLGHVISTIRTAIANCDAFESIAEQLKKGRLEELEAANVKSNNRDTEMVPADDGTNDDDNVGLSDSVASVENVGTAHCTGNVTSGASSVSVQLENISETKSSGMFSGVSGQDMEVSTNDDRKQVAKATVQVSKRPTAFGALLGKPTSGRKQNLFSGFSSEQNKVDKIKSSVVLPFHNFCGSAKSQATSIPLEEPVHPEQESIQHSDPAYQTEDVIQLDTEAEDPQLPENHNGDGQGHREPDETDMSRSPPEHSSAGIEQEQRFQSLNESRNFQQNHKPHQEPEFNHQLKPFDYAEARKNISFGEHKAERTRDNAVARAINKNSGDKGKSSNQFEAGESEGSGQNPRRRQAFPSSGNRSRHLAKALSVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLNTPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMMFVICAGGYLAFQNGWSGYNDKQGYFPNGMAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYALDPNTPISSAFAKYGMQWAVYVISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQVPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEIAMKVALPGSSESLTSLSGSSEPDEENSGDLFGNVQEIPTSNEANKIRRQKATACIILIFLGAVIVVSSVSFSFLPIYLRSIGCAFGGLVLVSATIALWFIGQDKSSTRQTGGFMCPFVPILPVCCILINVYLLMNLGFHTWIRVSMWLMVGAIIYVFYGRKYSSLTGVAYQRISSE >OB10G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8846775:8847134:1 gene:OB10G18490 transcript:OB10G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSRGSHRALAWVLDHLFFFPAAGGDGHGEEGLEQQVPRPEREGPELVLVHAMEPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMGWG >OB10G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8851531:8863293:1 gene:OB10G18500 transcript:OB10G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:J3N2V2] MAAAAASSLLAPAGSCYSPGCRSCSAPGGVPLRRPITAAPRPAWPVPRRSRLERGRVEAQLAAARTSCRAALQWLSSMASSHGSVGYESPVVFPGLTKPRSDRCLFAAVMVSNTGDQVGDGNDDEINVTNEKLRAVIRKSKEVLEIHRNLLEKISTSERKKLTSIIEDSSIYNEQDPFGQSDRYFSHLDEVPGDDEFGYGVQMYLDRHPDQSEGASAQDYVAQLNQISDMGQSVAEGTSADPSASSVVDLLNIILIAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPMYENYAEPQQIGEPRRYQVAGQDMEVNYYHAYIDGVDYVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKAAIEVPWYVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPAHYMDHFKHYDPFGGDHLNIFAAGIKAADRLLTVSHGYSWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRYDIHLKSDGYTNYSLETVQTGKQQCKAALQKELGLPVRGDAPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRMIDALGHCLNTYRNYKSSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >OB10G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8863812:8864123:-1 gene:OB10G18510 transcript:OB10G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCLVWARQMGEGDALPCVHLLGVKHPALQACCCSHLMRACKRMHICTQHTIVAYLQSRFHVPLDIPRRGVCVKRICVCTKGQSCLTSQHPFTQYQGLQFR >OB10G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8864354:8873197:1 gene:OB10G18520 transcript:OB10G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAGGRTYEDFTPPYRMVRETPAHTLSVDLSGKGYKKEHIKVQVVHSRRRLVVSGECPAERWSRFRLEFQLPDGCDDVKGILARFDNGVVRVTMPPAKTAAGSSVEQRRWYRSLLQERRKLATTLFGVLLALLSFGIYVRGGARLPPSTIPAEPGGGTEGRGGPLVINVSGVATPVPKPVSPRTDMRPVEDEQHEELAPQLVGDAVAVNVELILSIAVPPPPPPPPLEALRVEGHRCRGLAPATGCWEIDGTRPSVRGIPGPPVPRDGDGDGGPGDDEHGSLDSRRAPAGWAGEVAPDEGAEVGEVDDGVEDGAREEGAVFVDRDGELFRHVLNWLRDGAVPTLADAEYRQLLREAEYYRLPGLIDCIHERIEDDRLESSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSFAKLHKAKFGEVQASRSSFHNANLRECEFVGANLQGSNLDRANLQSANLQDACLIKCSFIETDLRSAHLQSADLTGANLTGANLEGANLKMEIILNKYPFQGAKLSGSNLQGANLQRAYLREVDLRETQLTGAKLGGANLLGAIR >OB10G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8873082:8873959:-1 gene:OB10G18530 transcript:OB10G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAASRSRSRILTEIDPHSEWIRGDEFDTLILDVTGFSKDHLKVQVEPSGSLRISGERAVNGGAGGQHWLGFLKRFDLPPGAAARDATAIKVQLDKGVLYVQLARALSKHRQAVLNVVIAVVLLWLVAFSGIKPSSGLTKNK >OB10G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8885272:8885550:1 gene:OB10G18540 transcript:OB10G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHGGAGVTHSPEADRSGAVGSYLRRISKRLTTTARSGAAADDEATSSPAAVVPNSFPLAGDGRRKGGGSAIATEEEIRAFVVANGSRAFSLV >OB10G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8886708:8887523:1 gene:OB10G18550 transcript:OB10G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATAAEDRKGKRPMAPEEEEEEAAAAGEAVEEEEVQKLLLVSDDGVEFRVPAASARLSKILSGMIEEGCADGRIPVSGVHSDVLAMLVEYCDKHAPHYDPNASARHRYPFPPFPVDLSPSASSISPVTFINPNADPHGLNAFDKKFLDVDNSTLFEIIMAADFLVIEDLLDDACNAVADKMRRKTAEEIRDVFDIENDYTPEQEAEVRRENAWAFED >OB10G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8889170:8891051:-1 gene:OB10G18560 transcript:OB10G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSNPAPEPAPLLLPAPPEPVSLPPPPEARPKPSPTVADNFRSLLRSGEALIRFAFRGNSRHPAAPPPPLQQQHPHHHNRPAEIMKRLQREKLSDMIKLMDGHEQIERIVSLYTSGAKALHLPELPVRVKVALDAAGALLLVDGDELEEARGRLAKARSTTGLSSRFVFESSTRGGKDTVAAELATGLGAAAAAGGRPLELTRLQYCAHVGDLLSMTLVPFGAQCNNFLHGSSLIQSIQSRALSGGPPSFSERHDCGAGLSVKRSRFRASIAHLIFGSPGEHGGGGNGDHGLPNRLTTFGKVSYDTADDVKLSLSGLWQVRPPSSRFSDLGALAVPLGSLKTRKPIPPRPSTPTPPASSPPPGSPSSSMMVQGPAPPPPPTPMAGVKTTVDVQGSVAAAGAAAAPSHTVAVMVDCDMYEALRVEGWVEVETAAAPATRRRGSSRPVRWGACVSDCPERELGWGFRLGGTAEKGKHRPHFEGFLSFDLGRGGRLQPGLIIAVDDDNHKTPALVLRSSWLISDTVTDNEHTLRDKYPSKIFT >OB10G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8898980:8899174:-1 gene:OB10G18570 transcript:OB10G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAGSLRYQVLHGLYLNELLNARYVNEPAQNISAANSVLNSTCMSFKLQSSDLLGHLERKSNILFG >OB10G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8899690:8901395:1 gene:OB10G18580 transcript:OB10G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G35930) TAIR;Acc:AT4G35930] MGTGSVTVKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTETMMSPTRLNLEPFSGTKGQIMPITPKTPQSDEVCDGHSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHSTPLPTEHWPFMSRIDGKDVRISTPRTPRAPKHAPRLARLELLDFKPITAVLFPDTFSSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >OB10G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8902295:8904070:-1 gene:OB10G18590 transcript:OB10G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKLTCEFLPRNFTTDPPCKKPLFRKFSVLQDQSCKKRREKVDMDSCKRSLVVVMVMAILHRCCSAAPVATAANQTRAPALFVFGDSIVDAGNNNAITTLVRCNFAPYGEDFPGHNATGRFSNGKVPGDILATQMGIKQYVPAYLGAELSDFDLLTGVTFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLRRIAGARRAAEIVSESLYLVVTGTDDLANTYFTTPFRRDYDLDSYIDFVVQCASDFIQKLLGMGARRVHIAGAPPIGCVPSQRTNAGGLDRDCVSLYNQAAVVYNARLEQEIKRLNGTAAAPPPGTVLKYIDLYTPLLDMIQRPAAYGFEVTNRGCCGTGVFEVTLTCNRYTAHACRDVDKFLFWDTYHLTERGYNILLSQVINKYGF >OB10G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8907543:8911666:1 gene:OB10G18600 transcript:OB10G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAALLLRHGGGGIPQALADVLVCPLSKKPLRYCEASGSLVSDAVGVSFPVVNGIPCLVPKDGKLLDHDQDKLEDYGAKDSSPSELLKLLLSYGKKVISFCTDEATGRQNCSIGTPAWLQPTSANMIRLLSSENMGQLRFENAIILSTTLVVERTARINRYSNAQVQINLGCSPASEMVVVVVSHVMALLSSALSGGVAAGKGGGGGDDGGGGGGQCRCWRDDGGGGQQSSSAATAAGCCVCISRFMEGEEVRRLPCGHAFHRDCVDRWLALYCRRRTCPLCRLHVGGAVGAGPGAXXXXXAGLDELQLGDDLVIWFSSLFVAGF >OB10G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8911490:8911678:-1 gene:OB10G18610 transcript:OB10G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKTGDEEGGEPDDEVVAELELVEPRXXXXXXARARPHGAADVQAAERARPPPAVQRQPP >OB10G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8912944:8915850:-1 gene:OB10G18620 transcript:OB10G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGENNLCNDIYLRWHKDLHGCFLLSLVASFPRFYFNNDNKILSSIPLQFSEIFIFIQVQELTTDLEILQSSLLPSTVVEVMEYAAGC >OB10G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8919516:8920286:1 gene:OB10G18630 transcript:OB10G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAKTILAVCTVLAARVAVGAAGGGGWTPATATFYGGGDGAGTMGGACGYGNLYVQGYGIDNAALSSELFADGAACGQCYLIICDTGKTPEWCKAGRAVTVTATNLCPPNWDLPSDNGGWCNAPRHHFDMSQPSWEQIGVYRAGIVPVLYQRIKCWRRGGVRFTVSGFNYFELVLITNVAGSGSVAAVSIKGTKTGWLRMSRNWGANWQSLAGLAGQALSFAVTSSGGQYLEFNGITPDGWTFGQTFSTYKQFDY >OB10G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8922430:8924568:-1 gene:OB10G18640 transcript:OB10G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKSLAAFAVLALMLAAPAPSAAGWSSGSATFYESTYRRQLMATRFLAVVLALSVTSSSAAAAVVGGGGWLRGTATFYGGEDASGTMGGACGYGDLYTQGYGVYNAALSSALFNDGASCGQCYLIMCDASRTPQWCKPGTAVTITATNLCPANWALPNDNGGWCNPPRPHFDMAQPAWETIGIYRAGIVPILYQQVKCWKQGGVRFTISGFNYFELVLVTNVGGSGSVKSVSIKGTNTGWIALTRNWGANWQCNSALAGQALSFQVTSTGGQTLYIEGAVPAWWGFGMTFTSNHQFDY >OB10G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8925165:8933178:1 gene:OB10G18650 transcript:OB10G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXERHRGGGREHRDRDDAKEKDKEKKEKEKEKDKERERSSRGRDKDGEKDRGREREGRDKDSERDRRRERDSGRERRSRSRSERRRTEEEEMVRELQRERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQMLLGQQVMVKPSEAEKNLVQSNVTSGGVASGGARKLYVGNLHANITEDQLRQVFEPFGQVELVQLPVDPLTGLCKGFGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQAGMQVGGATTGDLDDDEGGGLALNASSRALLMRKLDRSGTATSLNGGIGGVNTSVELPAPLATTSLIQPAIPAIGTVPGMHLPATTQSADIGPPTEFLLLKNMFDPALETDPDFDLDIKDDVQDECSKFGAVNHIFVDKNTAGFVYLHFDSVAAATNAQRALHGRWFAGKMITATFMTAQQYKMKFPN >OB10G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8934059:8934277:-1 gene:OB10G18660 transcript:OB10G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILMLFSGAHGGGGTASAMDMSSASEGMFGCKTYYRQFPCFQAQGAWVLQVVDNYVVNFILIISFGMIST >OB10G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8934995:8938298:-1 gene:OB10G18670 transcript:OB10G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGAMEDKLVLALAVLVVVVVVSKLVSYATRPRLNLPPGPWTLPVIGSIHHLVGGHSIQRSMQRLAEKHGPLMKIWLGEVFAVVVSSPEAAQEIMKTHDVVFADRFVSTTVGTITFGGSDLAWAPYGERWRQLRKLCTLELLTAARVRAFRRIREEEVARLVRDLAASAAAGEAVNLSDKMAKLVNDIIVRCCVGGRSKHRDEFLDALRTALDQTTWLTVADIFPSSKLARMLGAAPRKALACRKKIEHILEQIIQERREIMDRSSGDGGEAAAGSECFVDVLLRLQKEGDTPIPITNEIIVVLLFDIVSGGSETSSITLNWIMADLIQTPRVMAKVCDEVRKTFQGKNNITEDDSVSGLTYLKMVTKESLRMHCPVPLLGTRKCRETCKIMGYDIPKDTTIFVNAWAICRDPKYWDDTDKFKPERFENNNIDYKGNNFEFLPFGSGRRMCAGINLGMANVELPLASILYHFDWKLPNGMLPEDVDMQEVPGLLANRRTSLTLFPVTRVAPRDT >OB10G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8941782:8945205:-1 gene:OB10G18680 transcript:OB10G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLLLLLLALALAVSVVFIILISRLGSGSAAAKARLNLPPGPWTLPVIGSIHHLVGSHSIHRAMRELAKKHGPLMQVWIGEVPAVVVSSPEAAEEVLKNQDIRFADRFVSATIEMLTFGGNDLAFARYGERWRVLKKLCTQELLTAARVRYRDEFLDALRTALDQTTWLTFADIFPSSKLAQTLGTAPRKALACRKRMERILEQIIQERMETMDNGGDGVEATPPRSECFLDVLLRFQKEGETPIPITSELIVVLLFDIVSGGTETSTIILNWTMAELIRTPRVMAKACAEVWQTFQEKNMITEDDSLSGLKYLKMVIKESLRMHCPVPLLGPRRCRETCKIMGYDIPKDTTIFTNVWAICRDPIYWDDAEEFKPERFENNSIDYKGSNFEYLPFGSGRRMCAGMNLGMADVELPLASLLYHFDWKLPDGMLLENIDMREAPGLFAGRRTSLILCPVTHIVPSDS >OB10G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8948760:8950820:-1 gene:OB10G18690 transcript:OB10G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLLLALAVSVLAVAVAVAVITKRVSWAARPKRNQPPGPWTLPVIGSIHHLVRSHSIQRSMRTLAEEHGPLMQIWLGEVPAVVVSSPEAAREGLRNHDLAFADRYVSTTIATIYLGGRDLAFAPYGERWRQLRKLCTQELLTAARVRSFRRVREEEVARLVRGLAASATAGDAVNLTEKIAQLVNDVVVRCCIGGRSKHRGEFLDALRAALSQVTWLTVADVFPSSKLARIVGTAPRKALACRKKIERILEQIIQERKQIKEESTSTGAPMAAGNECFLDVLLRLQEEGDTPIPITNETIMLLLHDMFSAGSETSSTTLIWTMAELIKSPRVMTKANLEVRQVFEGNKAITDDEIAKLSYLKMVIKESLRMHCPVPLLGPRKCVRHARLWAMAYPRALSCL >OB10G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8953918:8960076:-1 gene:OB10G18700 transcript:OB10G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAHSASTSGGGGGSEGGXXXXXXXXXXXXXXXXXTVARRLDGLDIQGDDAPSSQATTSKKKKRGPGTRATGPEKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNSSSFVSPDPDNTNAQPFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSMNDVDDLKAQIFGLKGRIDKKNAYLQELQDQFVGLQNLAQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKALGFSGKEPNGAEDQVANGGECSSTPNIYHQSPQVARPNGVRLPTSPPIPGILKGRVKHEH >OB10G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8965876:8966499:1 gene:OB10G18710 transcript:OB10G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVLQMEVHCKGCGKKIEKAIKKIPGVTAVKPYVREARVEVEGTADAEALRARLESKLKKPVAPQQDPPPYGWHEAPPPGQYGAPPPQGYYQQYEHTPYNRVYTPSYFSDDNPNGCSVQ >OB10G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8970148:8970723:1 gene:OB10G18720 transcript:OB10G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVLQMEVHCKGCGKKIEKAIKKIAGKSYIDLAFHDELEPRGVTAVKPYVGEGRVEVEGTADAEAAPQQDPPPYGWHEAPPPDLYGAPPPQGYYQQYEHTPNNRVYTPSYFSDDNPNGCSVQ >OB10G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8972421:8972843:1 gene:OB10G18730 transcript:OB10G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWWTASTTAVRNAIVSAAKKKLEEAEEEAAAIAGGGGPVVVGGGPAAPAPPHTVLRIDRLHCWGCAKKVRKAVMEYPGVTKVTVDIPANLMMVAGQFDAECLALSVRVKTKKNVDIVSVPPAPAGGSAAAGEGTSRAP >OB10G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8979679:8981086:1 gene:OB10G18740 transcript:OB10G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKEKDGGDKKKDAGGDKEKAAAAPGPIVLKVELHCAGCASKVKKAIKRASGVESVTTDTAGNKVVVTGAADAAELKERVEARTKKPVQIVSAGAGPPKKDKEKDKDKKADSGDKKADKEKGGGGDKKADKEKGGGDKPKEEKKPKEPKEETVTLKIRLHCDGCIDRIKRRISKIKGVKDVAFDAAKDLVKVTGTMDAAALPGYLKDKLSRPVEVVAPGKKDGGGGGDKKDGGGDFFCLFSSGARRAAAAAASVAPAPLADAGMYQMPPQYGFNPYHGHPGGYYGGAPAPHPAAFYHPNPAAAQYQPYPYNVHAPQMFSDENPNACSVM >OB10G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:8986724:8986912:-1 gene:OB10G18750 transcript:OB10G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVNLLLLFYPFSRKHKHKALILESNYMTDVLLVIVYCSCPPRDGTNEMKLELPVLLPDKSR >OB10G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9000129:9001430:-1 gene:OB10G18760 transcript:OB10G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSSSLTNLLNSANTSGNPQNPISQQHHFPSPQYPMNYPPTQFPPNFHPQYSHMFNPFGAQSSYPQFPFTPGSYQGPPYLGNTGQGSGQASPVGSMAFFQGSRGTNSRADENSPVGSASPVSLGQQIACDPIDTTDWSERSESSPEESEKKEGRVHWSEEDNLRLVSAWLKNSNDPIIGVDRRGDRYWNDVAAEYNLHTVKERRRKASQCKNHWNKTIPFITKFNGCYDKAKREHGSGESDDQVMDRARQDYKGLVKTKRPFALEYWWRAVKDQPKWSKAYPIEEMMNKRSKLNASGAYTSSNQDSEDADPAARCRPPGRNAAKAKQKSKGKLVHSEDSISNENVNLFNELQLRKTIAAEKMAEATLVKAEAAKVKAEAENKMAEAEKEKAMLQKMDKYMALLDKDTTGYDEVAKTRHEQILVYLAKELFS >OB10G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9011378:9013412:1 gene:OB10G18770 transcript:OB10G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKLESFVSFLALLVLFHVLVGLSHAAAAVAAGGGDQFMYEGFAGAWLDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLRFHAPANGTAAVRSFSTAFVFAIAADYVTVSGNGLAFFVAPSKNLSTASPSQFLGLFNSENNGNASNRIFAVELDTILNPEFRDINSNHVGVDVNGLVSVASKPAGYYADDDAGAFKNLTLFSGDAMQVWVDYDGGAMVINVTLAPVEVPKPSKPLISVAVDLSPVVNGTAYVGLSSSTGPFHTRHYVLGWSFAMDGPAPPLNYANLPKLPRVSAKRRSKVLDVLAPVATPLLALAVVAGVSFLVWRRIRYAELREDWEVEFGPHRFAYKDLFGDIAAAADARLCGDYDPNEAALVLKLGLLCSHPVAAERPSMRQVVQFLDGDVALPEPEPTYRSFTTLAMMQNADGFDSCAVSYPSTSTSIDGAPSVLSGGR >OB10G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9018098:9019432:1 gene:OB10G18780 transcript:OB10G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVKWRGQSGRRWRAMVSLPWLVLLLLAVAVGVEAAGEEFVYDGFGGAALALDGMAAVTSGGLLLLTNDTDMNKGHAFHPEPVRFSGGGGGVVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPSRDLSGAMPQQYLGMFNASSNGDPRNRVFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEVTVAMAPARWPRPKTPLLTTRVNLSTVIADAAYVGFSSASSIVLCKHYVLSWSFRLGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIATTVIVLTAVAVGFVLLRQRLKYAELREDWEVEFGPHRFAFKDLYDATGGFKDKRLLGAGGFGSVYKGVLPGSRTEVAVKRVSHESRQGMKEFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNAKW >OB10G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9019530:9020189:1 gene:OB10G18790 transcript:OB10G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTLSDVFAFGAFLLEVTCGRRPIEEEEEVVAGADDDDRFVLVDWVLGHWRKGAITDAVDAKLGGDYDAAEADLVLRLGLTCLHPSPAARPSMRQVMQYLDGSAQLPELPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAMSVATVSDIGLSGGR >OB10G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9020159:9029276:-1 gene:OB10G18800 transcript:OB10G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:J3N2Y2] MQQACSVQLCRPSRSRRQESLPKSVGSLATGRPGYLWGSQGLSGLKCMVLCCQLPQSASAVHLQEEDKYSCIDHSASYLHVQSVRSFPIEKFYGELVLVRLDSEILCDPLGPFNLSLRRTLSTIKYLYKAGAKVLLITSWVPVLQSFNPVLKSTESFADYLSSLLQVKVVPVNGVPDLTSFNPERWMQNDIILFENLLNFKGENTNCNIFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHLEEELMQLRKITDTTRRPYIAIIGGSNFLRKAPALELLASLCDGLFFLGKLSFQIMNALGIPVPSCFVEKNATKEVLQLIQIAHSRNIPIYYPTDVRCLYNKNNNNENPETLESAELLSGCTPDDIGPSTLEKISSLIPLYKKILWIGPTGYDLTEEFSVGATQLGSILYKAIHNSCDVIVVGSAACKAVKGVPESSSKYTTFKNVSVVWEFLKGRILPGIAALDKSYPYQIPWNAVFSDPTQPLVVDIGSGNGLFLFQMARKNWEGSNFLGLEMNEKLVTRCLQDVASVDKRNLYFVSTNATSTFRSIVSSYPGHLTLVTIQCPNPDFNKERNRWRMVRRMLVEAIVDLLQTNGKVYLQSDVESVLRGMKEQFMTYGKGRLVVDGGQMENPFGVASDWERHVLARGAPMYRTMLRKV >OB10G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9054314:9059208:1 gene:OB10G18810 transcript:OB10G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRHQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRNKDNPEAMEEDEVDEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERSEAGAGAAADPFASAAAVADDDDLYS >OB10G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9061330:9062744:1 gene:OB10G18820 transcript:OB10G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08305) TAIR;Acc:AT5G08305] MPPPPPPHLLLLRRLDGRAALSTPLLDTLIRAASASAPHRAFSLFLLLLRSALRPSHLTFPFLARXXXRGLLPADLHVANSFVHAYAACALPALARRLFDEIPRPNVVSWNALLDGYAKCSDLPAARRVFAGMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEASAARHGVRANDVTMISVLGACAHLGDLVRGRQMHRYLEENGFPFNLRLATSLIDMYAKCGAIVEALEVFQAVPVKSTDVLIWNAVIGGLAVHGMSRESVQMFQKMEHSGVAPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMNPSVSVLGALLNACHLHGWVELGEAVGRQLVQLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIARDKTHPSSEEIYALLKLIAVDMKMKDDITIPDYTCMYC >OB10G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9064037:9064195:1 gene:OB10G18830 transcript:OB10G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTTELQAPPENSYLVYKYSSKSFSKAKLDCTVVFLLQKGLTELDFSSSGKNL >OB10G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9062501:9066834:-1 gene:OB10G18840 transcript:OB10G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLCCVEIWKKHELELVLFGWREWTRTDRLPVTLVQSSGGVFSGEHVEGDAVLRFRGLGEGGAAGPVGGVRGGGDDAVAVGAVQQQHVDGPRRAAGGVGELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSSDAKKLEIIMDPRLEGKYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIIDSSDLGTPEHPLISKSKELVRDEKKRKGIDLKRRFADIKAGDQRWFTWQRWRPKLVRTQ >OB10G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9066270:9066845:1 gene:OB10G18850 transcript:OB10G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRPRPPRVAVRRRAAVGPRRQRPRRRRHHGRRRPAPPLLPLPGRETEALHRPRHAPRRRRLRCSAPASPEDGQFLSIRANRTVLILIHVFSKFPHSTNQSFNN >OB10G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9075303:9083153:1 gene:OB10G18860 transcript:OB10G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAAAAAALFLAASTLLLPPAAREEDFSQAFSFPDTAFVSDCAEQTQGEMTGMLCEKADIEIYVKSLGKKPSTRVSKNCDQNSWALGCQPGWACSRQDANSSSGVVPSSAVNCRPCCPGFFCPRGLTCMIPCPLGAYCPLATLNETTGLCDPYSYQITPGSNHACGTADSWADVITTDDVFCPPGHYCPTTTQKFNCTEGYYCRKGSTDEYKCIWKNTCKKNSTKEQTALFGGILIVILTTVLLLVYNCSDQFIRIRAKILSKSRRKAATIAQESATARERWKLAKELVLSHELEMSESFDTPEQIAASSNGVRHATEGNGKRSKNRKKLAHARTERYRRAYSQIGRERVLQPDNDKLTLSRVVYLAAEDRQRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTAGYKKEGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKADKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGIAKTKMRGHAAPKHLPLLWMLRNGYEIPKDMQKDLEDINNLHELYTVGSMSREQSFADQSETADSVHQNVRQSYGILDRKTPGVLAQYKYYLGRVAKQRLREATLQAVDYLILCIAGICIGTIAKVSDDTFGAASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPIVFLSTFYFFNNPRSKFIDNYVVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQANIPNFIKGLCYPKWTLEAFIIAGAKKYSGVWLITRCGALLKGGYDINNFILCIEIIMLMGVLFRFIALLSLLKLK >OB10G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9084109:9088148:1 gene:OB10G18870 transcript:OB10G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G37020) TAIR;Acc:AT2G37020] MRPAATATATAALRLRASFLSTPPPPPPAAAAAVSRFLPRHSTFLLPLRRLCSSAPAPPRRDAAADAAAASGRSEASPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRLASAALLLVHQPVPLADVLGKAKAQVEVINGLYSQLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >OB10G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9093996:9099997:1 gene:OB10G18880 transcript:OB10G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGREGHVAVFVVSWRPPRSSPATAELILLPLSPSRGCHRAHPTAAREEGAVCGGGEREALVGGKVEQPADTLGPPKNTCNVGSDLKAVNPLWTTGPLVEMEDIFYPAHEPDILQLLHGPRDERDLSKCLAGEACSLTMRDHVTVQASPDPILNLLDFIPKDGRRCGMRRARKRKIFPLKSLCSKPARGKRHCQRKNNAHWTLNEVAKLVKGISKYGVSRWTKLKRDYFPESLRTAVHLKDKWRNLLKACGINITSRKKGKTQKTMLRPLDTRLIEQIKQVNRAISNHALRKSRDI >OB10G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9112414:9117052:1 gene:OB10G18890 transcript:OB10G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRPAPSLDETHSSPGLHAAAGSGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKSKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGAADRTATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLACPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYTIVYFHSAASLQPQPDLGFMKRIQQILGRKHQRNLQAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >OB10G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9117452:9120174:-1 gene:OB10G18900 transcript:OB10G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MRDQCRSLLLLDRLLRRRDALRRCASAAGLTSCVEDTPVPAASTGLRGTLDRLDAGELAATQRLYHSLIIACAQYKSLGDARTIHAHLAGSQLAGSVFLENSLIHLYCKCGGVADARRVFDEMSRRDMCSWTSLIAGYTQNDMPDEALGLLPGMLRGRFKPNNFTFASLLKAAGASASSGIGEQIHALAVKYDWHDDVYVGTTLLDMYARCGRMDLAIKVFDQLESKNGVSWSVLIAGFARKGDGETTLLKFAEMQRNGFEATHFTYSSVFTAIAGIGALEQGKWVHAHMIKSGQKLSAFVGNTILDMYAKSGSMTDARKIFDRVDEKDLVTWNSMLTAFAQYGLGREAVTHFEEMRKCGIHLNQITFVSILTACSHAGLVKEGKRYFYLMKEYNLEPAIDHYVTVVDLLGRAGLLTNALVFIFKMPMKPTAAVWGALLGACRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKATGVKKEPACSWVEIENSVHMFVANDDTHPRSEEIYKKWEEISIQIRKAGYAPNTDYVLLHVDERERQANLQYHSEKIALAFALINLPSGATIRIMKNIRICGDCHSAFKYVSKVFKREIVVRDTNRFHHFSSGSCSCGDYCSPQKLSPSFARGHRKHLGIGNRHRHRYSATEQKVIMEREDAALTMTKE >OB10G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9126911:9128521:1 gene:OB10G18910 transcript:OB10G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLKVLTTLDHAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRLYYTDLSKDTPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGHTAKGVISALCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPAPSYADNRAASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALVARNAKKAAADMSKVLHADIEEDAAAAAGGGAADRAGGDVAAAGAGNEWGLFSAQFMRRHGIHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDIVGRFAIQLMGFFMMTVFMLGLAVPYHHWTTKGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPESGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEVSKETVVDNDEAMA >OB10G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9142217:9143839:-1 gene:OB10G18920 transcript:OB10G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLTKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLMMVICSVASGLSFSSTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGYPAPAYQDDRAASTVRQADYVWRIILMLGAAPALLTYYWRMKMPETARYTALVAKNAKQAASDMSRVLQVEIQEEPEKLEEMVSRNNTFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQDPHKPDAGYKPGIGVRNSLFVLAGCNLLGFICTFLVPESKGKSLEEMSGEAEEDDEVEMAAGGPWAPSWGHSGSC >OB10G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9142476:9143917:1 gene:OB10G18930 transcript:OB10G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPAAAEMPWQVERSRAGKISAGTMKVVEFGPKLAKKKVLAVEGDVEEEPRGGGADEVEAVAAGELPGEEAEGVVAAHHLLQLLGLLLDLYLEHPGHVGRRLLGVLGDQGGVPRRLRHLHPPVVGEQRRRGAEHEDDAPHVVGLTDRGGGAVVLVRRRRVPGAEGGGDDERDDAAGEDPEPLHREHRGDERAPGLLVGVLRHDGRRQRVVAADAEPEPEAEEAERRHHAGRRAGEREPRRDGADDHHQQRHPVHALPPELVPEPAEEELPGERPAERDAVDRRRDVRRQAAGVLLGEVRVVDAAEQLGDEGDAEEVVRVGEEPHPGDDDGREVVPLRLRRVQRAQHLQVLLLPRHGVPAPRAQRIRRPRPRPRSWLSLLWL >OB10G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9155533:9159462:-1 gene:OB10G18940 transcript:OB10G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRSKDAATKYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAYAQRGAAAVLNFPAAAAAAGSSSSSSSAQGGGRPGASRGEKIEFEYLDDKLLDDLLDDEKYRASIWYPGCSFVHYLYMARRDGKGIQEPKAKGPLKGDDKFSSLQVEGKWVMFIEPIPTQNDIVFFQIQDSKGVVEGMFLTTDNPMYTTTYSTDDKMNLVQTH >OB10G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9173635:9175989:-1 gene:OB10G18950 transcript:OB10G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANALASEFLRDYDGAVIQMRMAYSAIAHFLIQWTDCKLAGALGLLKIMIYKVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCVQKFRKVEEGVSEVELERELECGICLEVNAKIVLPDCAHSLCMRCYEDWNTKSMSCPFCRACLKKVKPSSLWIYTDDRDIVDMDTLTRENIRRLFMFISKLPLVVLHVVDLDIYEYRIK >OB10G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9180742:9181980:1 gene:OB10G18960 transcript:OB10G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSERNGNQASSSGDITWDRIWKLNVPLKVRVFWWRVIDGYLPTNQELHRRHVEQLARCETCGAADESIRHVMLECTMARMFWWQTKELTDVKMPNLCPSTWAKDIIDPAVVCPKEASLFLRGMWSIWHSRNRRKHGEDVVLVQLQQIRSTSGWRAPSSEVMKCNVDARFHPDELVAAAGVVIRGEHGQMIGGKSKWYASVPNALMAEALACRDGLLFAQSRGVVNLWLETDCQVLVRLWEDRVKQRSEVSFILREMAELSASFVSLTLSFAN >OB10G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9185200:9189187:1 gene:OB10G18970 transcript:OB10G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCRRFALWNVCSSCSVWFNEFGVDGGPAAKALEPKFNTVVANISTRTGLVVPHIELKHVIGAMIALKGLGGLLFILSSSLGAYLLLLHLIFITPIVHDFYNYDIESAEFVPLFTKFAQNCALVGALLFFLAMKNSIPKRQSNRKKAPKPKTN >OB10G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9189070:9194592:-1 gene:OB10G18980 transcript:OB10G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARRGGRQVDGEIERKVVEDGIYVVDRQGRLVVEKGRMLAEQGVGEAAAEVLIVVRPPKDEDDMISDDEWD >OB10G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9192302:9195876:1 gene:OB10G18990 transcript:OB10G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAPDRLPVAPKELVSLSSSFINFGRIEAQDEDGEEEEEEEGSLVVGAREEDDLVLLASKNSAAKAEDAGGGGRCSRNDGKRWRCRSAAVPGYVFCERHIAWSTRKRKPRPKKKSQSSIFDPPPPPAAKEEPTASVEGDDDDVEEQEAKNQVDSLRCNSGGGGDDDYEFHYYGGIQQGGRKRAKGGGGRRGAGVNGARRCSASGRWLNTFDSFFVSIDLGFRAASANTLAEQAQLTVS >OB10G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9197881:9198783:-1 gene:OB10G19000 transcript:OB10G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVKAEGVAAAGEFSTKPPKKFAKKTVKKAAPAKKKDAVAKEESEPTKKPKVKKEEGDSNSAAAATPIAGGAEVWTCKKNDGKRWHCQRRVSQPNSLCDYHSDQKRAYYNPLYESPTDESAPAAPPDPRSSAAPPSSSKPSASSKPSATSKARKKKVTDASEGFYYYTGFGPFRTKRHCRTSSNMQPQESTPVEQHEEEKQPENNADPSAGNKSRSSDDAAAHQAPATVAAGRDDLSSSDDNDIAGIAGGDEESSDDARIGTGNGGFRAGINGDAKKRCPARKRWRKPVKARSLKSLM >OB10G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9199557:9199781:-1 gene:OB10G19010 transcript:OB10G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRHAHATRRKNNTTEEEGTKKKKKTDASKGEKCYSHHQPNPPHPSLSLSLSSPASIRACLLLLLPLYLLHTQIPN >OB10G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9204516:9206768:1 gene:OB10G19020 transcript:OB10G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRRRLAKSPHALSDAVAKVLPQAQPIAHAIPTGLLNLEEPSVKDQLKGGSFINYYMIGQSSEVLIGAASLLPQALQAQLERLMAMVRELHLNSSPALTINGELDIDEWRLGLMS >OB10G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9211418:9219921:1 gene:OB10G19030 transcript:OB10G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein-related [Source:Projected from Arabidopsis thaliana (AT2G46060) TAIR;Acc:AT2G46060] MAGAAAAAVGLGVVLKKIGASMLGFELPASFSSVTMDFATDIDIQREHLKDLPRRELAIICLMNSNPPIPDISDSFLDSLLSNFLVVGTFGSTNNQSNLAQCIPFQKNTSIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGKARSVSTSITVEGCPTSALWGPYCNETMEMISCSQSSRHNNSRNLIDLNIGKRKRLNTREHKRRINFLSQWNHLEEKGVGSNSSTFARMDNSITCAISNGSLCLRQGDMKFYFLDIVNLALQFEITAMNFGLAQRPSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAVETVNITQMNSTASAPLPDTTCFSLEWQVTGCLNGKAGTNCSWEAYALQRVPKRSPSVPFESYYVPNDGRASLEYSHFSLEQYLSNSSFEPFAWTYFFLDIPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKANSETYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAATLPAFWAIRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDEASKRAMHTAVFILTALLAATGATRSANIGIVVAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNFRTLFWSTLELLNKRFRWLFLLLGFITLAFAATSWKLESNRTYWVWHSMWHITIYTSSFFFLCSMRVNTVNHSPEPSYELTRQDSLPRSESRET >OB10G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9224762:9225840:1 gene:OB10G19040 transcript:OB10G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPTPPPPSDSSAPSFKTNTSSPSPNRAPNQGVPTSSVAATRASPPTPFGMVSGVSEAVIAVFDVSTTLQAQGYLAGQEMPSITLLEERAPEVFESLLASFLAQSHGPGPLPPRPMPPLLGDGTAVGLLRLYLVVKACGGFDTVPCWATVAKTAGLDPTMDAPIKLVYYKYLCPLEESLLRAKMLREEAGSSSGGGRRLSRANKGKFLAPIARNDQSGEPELLDLKRKREDIVGMLNWVRLVAKKPDRRRPIKKTADSHLNLALMFRRQMFEDDGLSDKPHGSASPEEGKSSVHKALLMVLD >OB10G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9233676:9234887:1 gene:OB10G19050 transcript:OB10G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTTEDGHYNGWGDQQSACGSHDWNSHQNVCSFDLVDIPEWTGKPSVHYQDPDMLRFLGDPILTPKGNEAFDDTIGKGRPDKCDCEFPGSTSCVGFHIAEKKTELKHELGSSYHAMKFDQTGEDAALTWTKDEEKRFENIVLQSLPSSKYMFWDKLHAAFHYKGKADLVGYYNNVFQPRRRAFQNRLARHVNGVDSDDDSIEPSFLRLRQDGGKSRSRSSASSKNRNRSILG >OB10G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9243735:9247986:1 gene:OB10G19060 transcript:OB10G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWRVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >OB10G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9249902:9251605:1 gene:OB10G19070 transcript:OB10G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGSSRSHGKRDADDGVDARSSWKEDDEHDDVEDRKNRSGNSTRSICAEGGDEDDYDVGRESRVSKVPRRSPEERSKRRSSNRYKDRDGESSMRRREGDDDWDSSRRSSSRTSGHDVSRSKSRSSDRASSDRADTRDIRSSADQSKNRSTIEAHDYRDELSEGWEDNERRTDSARTDKNYQDRQSIDPRYDTGSPQARDDRIVSSVDSIRQHTLHYSAKSKESDEKHIGRVEGTCRISDNVETKDMLPYVDEDGNALSRDESNRDRHHRGNDDDDQGHSDSDNERSISMKEKIRLDAHGDYKSYRGRDRNREFEGSKEHWGSRQRHDLKEPNDYGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGREGSVSGRRINMGSLQDLTPGIRDPSEDAQERYYDDAQNMDDKIPHDSQSGRGVPQGAMTSNNSGAGQSGSGSIISPTPQQGPKGSRPSRGLRGRPNARDPQRTGVPMPLMPPPPFGPLGLPPGPIQPIGPNMSHSPGALGPGVFLPPFPGPLV >OB10G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9249794:9255504:1 gene:OB10G19080 transcript:OB10G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPSNLPIPPLAGPSFTPSAAAGPNHSIHLNHTGFGLGSPANAQGSCFNPMSTPNRETLHDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDEIVSNSASAPMYYKCDLKKHVLSAEFFGTKFDVILVDPPWEEYVHRVPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKKNATPGLRHDSNTLLQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEATDEPLRFIYVGKSLKYHFLEAYYFLVYRFNKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSSSNFHKEAYIKNFMDRDGKIWQGGGGRNPPPYAPHLVVTTPEIESLRPKSTPQKSQQQSMPPMGSSSSTSRQSVMNSQNVVTVVGSETMMPATWSSTPMPGFGIPE >OB10G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9279166:9282487:1 gene:OB10G19090 transcript:OB10G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCLVSAVASALFTNDTTCVVLTEFILKMARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLVGVFPAMIVGIFANTCILLCYFWSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSRELVEVSTICDRRDDGGPRKITRSASHQRSVIIEDAPDQPGFNDGEKDKDDEVLSKQRRWKVLVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNTLWELVEPYARIDSPKGVVLLAVVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAVGLLIVVSY >OB10G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9296889:9298211:1 gene:OB10G19100 transcript:OB10G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRLYKVPGQICNTDQREHEPSYISIGPYHYQSEGLQTRSNLWKEQCVSEVKSLLSPPAVDGDAGVLLDRLMAGIEDEVRMYYDDIRSSPFPDNGEAFRGMMIADGCFLLVTLGLLQRPMRHPSRWDNQLWLHDILLYGNQLPFVVVREIYRLIRSCETRTEEEHGFPGKKIGAIIGPMLRGYTTRPASDVVVENAGHVLHLCHLLLKPTNTPMDNSEPRRGDGGDDDGNVERWRRATEYSELLVVFKKRELGGGAQCISDVKIRGRVVEIPKLELNPQTWRLLRNLVLLEQMNEHLGDHVTAYCVFISQIASTSADVRLLMERGIIVHWEATDEAAARKMGKLCDQITFYPGWDKYLTLEWHALESHCRSWSWRLAAKLCRHKDWKNPLVVTGTLVAVVILFCAIVQSLYSFLAYRDQK >OB10G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9334670:9336055:1 gene:OB10G19110 transcript:OB10G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQQCHIYKAPDHIRRSNPDQYKPLAFPVGPYHARAGVAAPEKARRIKEQCVGEVVQLSGRSRDDFLGLMRSVLDRAKRCYADEIDMDDGALEEMLLLDGCFVLVSLRGTERLVTPEGSRKAETSAEESSESSDDRCSNCSGEDDVESQMAAASNGAGNAAVVDSWHHFNVARDLFLMENQIPFFVVQKIYELLIKNHPYAERGVGGGGVVPAVEEYVREVMAVYAKGADGAPPPADHVHHLLHLSHMHLRPRIHPIGISRCGFAAGGALAVGRLRRATQLHELAVRFRKRDAGSILDVAFRGGVLEIPRLEVDGGTWRQMANLVLLEKASPHVGLYVTAYCAFMSQLAGTADDVALLCERGVIEHHLGGDGDVAEGLRRLCDGVIFDANDDACNYLRPVYQALEEHCRRRTPRLIRWLGAHASCPNPWMVLGVLAIIALLCFILQQLQHAALRNPAT >OB10G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9346695:9350727:-1 gene:OB10G19120 transcript:OB10G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPKGHGREKIKIQEYTKELEKFKDTQRMLKFQGHPRNFLAILVGDHEPYEDNVNGKSFLPFSKKYLKTTVETMASEKQRCRISRVPDHIRGVNPALFAPAVFPIGPYHAHVGAGGAPERARRLKEQCLDEVVRLSGSKRGDFLAQMRSLVKRAREYYTEDIDMEDEAMAEMLLLDGSFVLASLHGTARLTRGSSTCGETESSDCANSFTCLEESDEENQVAVANTDVDSWHHFNVARDLFLVENQIPFFVVQKIYELLVKNHPRADRRAVSAVEAYVRDVMAVYAKGDGEAAALQPHHLLHLSHMYLRPIEQLSPWSDDGKCSVTTVGRLRRATQYRGLMVRFRKLDIGGKAAPRSILDLAFRGGALEIPRLEIDGDTWRQMANLVLLEQASPHVGLYVTAYCAFMSQLAGTADDVALLCESGIIEHHLGGDGDVVEGFRKLCDGIIFDANDDAYNYLRPVYQALEEHCRRRTPKLLRWLRAHANCPNPWMVLGVLTIITLLCFIVQQLHHATLRNPAT >OB10G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9377399:9378541:-1 gene:OB10G19130 transcript:OB10G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEEQVKLYYDDLDLWSSFSFSNNGQAFREMLMRDGCFLLVTLGVLAQEPELDIDLGEEAVGADGPLPVMTTHSKWDHQFWFDDIVLSGNQLPFAVVQEIYRLIRPGTDRQVPLQNIGMFIQPKLAPRYTRRPVSNPVNADHVLHLCHELLKPTVTTVSPRASEASYTDDRQEDGGVGRWRRATEYSSLMVTFRERDLVGGDAQCVTDVRVRGDRVVEIPKLELDLPTWRLLRHLMLLEQMNEHLGDHVTAYCTFMSQIASTSADVSLLREKGILEHWEASDERAAQKLGKLCDQMHFNPDEGNYLEAEWRALDRHCRRSRSRLWAKLCRYKDWKNPLVLLGILVAIVILVCAILQTIYAISSYDRDKMTQPSRLHIP >OB10G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9399666:9400346:-1 gene:OB10G19140 transcript:OB10G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLALGILVLLSIGMTTSARTLLGYGPGGGGGGGGSGGGGGGGNGGSGYGYGSGYGEGGGSGGAPGGGYGRGGGGGGGGGEGGGAGSGYGSGQGSGYGACTGGAGGYGSGGGGGGGGGQGGGAGGYGHGSGSGYGSGYGSGAGGAGGGGGQGGGGGGGGGQGGGYGSGSGYGSGSGYGQGGAHGGGYGSGGGGGGGGGQGGGSGYGSGSGYGSGFGGGNGHH >OB10G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9416086:9416760:1 gene:OB10G19150 transcript:OB10G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKLLATAFVVLLSIGVSNARAVARYAAAGGGGGGGGGGSGGGSGYGSGSGYGQASGPGGAYAGGGGGGGGGGGGGGGSGYSSGSGYGQGGGYVPYYGPYYGGYGYGAGSGYGQAGGYEPYGGYAQGGGGGGGGGGGSGPGGSGYGGGSGSGSGSAGGHP >OB10G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9419883:9420563:-1 gene:OB10G19160 transcript:OB10G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALSFIVLLSIGLTNATRVARYVSAGGGGGGGGGGGSGNGSGWGSGSGSGYGQVGGSSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQASGYGSHGGAYAQGGGQGGGANGGTGYGSGSGFGYGQAGSYGPHGGAYAQGGGQGGGGGGGYNGGSGYGSGSGSGYGQAGGYGPYGGGYAHAGGQGGGGGGGQSGTGGHGYGSGSGSGSGSAYSGGHP >OB10G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9428801:9429358:-1 gene:OB10G19170 transcript:OB10G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVALSFVLLLGLGLANAGRVARYASAGGGGGGGGGGGGSNGGSGWGSGSGSGYGQASGGGAYASGGGGGGGGGGGQDGGSGYGSGSGSGYGQAGGYGPYGGGYVQGGGGGQGGGGGQNGGSGSGYGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >OB10G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9434036:9434578:-1 gene:OB10G19180 transcript:OB10G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVALSFVVLLSIGLANASRVARYASAGGGGSGEGGGGGSAGGSGWGSGSGSGYGQAGGDGSYASGGGGGGGGGGGENGGSGYGSGSGSGYGQAGGSGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYTQAGGQGGGGGGGQNGYGSGSGSGSGSAYGGQP >OB10G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9438923:9439729:-1 gene:OB10G19190 transcript:OB10G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVVVLSFVVLLVIGVANARPKKYASAGGEGGGGGGGGGSPGGGSGGGSGSGSGYGQASGPGGAYASGGGGGGGGGGGSNGGSGYGSGSGSGYGQSGGYGPYGGGYAQGGGGGGGGGGGQNGGSGSGSGSGSGSGQAGGYGPYGSGYAQGGGGGGGGGGGQNGGSGSGSGSGSGSGQAGGYGPYGSGYAQGGGGGGGGGGGQNGGSGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGEGGGQSGPGGSGYGSGSGSGSGSAGHP >OB10G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9442959:9443798:-1 gene:OB10G19200 transcript:OB10G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPKLVALGLAVLLSVGFTGAARVARYSDTFSGGGGGGGGGGGGGGGGGFGSRGGAGSGGGGRSYGGYQLHEHA >OB10G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9448665:9449238:-1 gene:OB10G19210 transcript:OB10G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKLVVLSFFVLMSIGLTHAARVLGTENGYGVATSRQGAHAHASGEGGGDGATSYNGNRYGEGFDTGSSFSQISNGYYQGYTGNASAGGGGSGNGGGQGGGSIGSNGYGTGFGIGSRASEAVGGFSLPNPSYANAHANGGVTGGGQNKGNGNGGGGGSGYGDANP >OB10G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9454641:9455207:-1 gene:OB10G19220 transcript:OB10G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALSFAVLMSIGLANAARVSRYASAEGSGAGGGEGGGSVNGGGVGNGNGYGVSQSGKGVHATAGGGGWGGGGSQYNGTGYGSGAGVGSSSGQMSTGGGSASAGGGGGGAGGGQAGGVAGSSGYGTGSGSGSGAGEADGGAPSPSPPYANAYAGGNGSGTGSGQSGGSGSGGGGGSGYGDASP >OB10G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9462768:9463331:-1 gene:OB10G19230 transcript:OB10G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKLAALSFIVLLSIGLIDAARVVRVSSSSGMGTGGGGGGGSVNGAGSGSGGGTGYGSNGAHASAGGGGEGGGGGQYGGSGFGVGSGASSGSTRNGGDRFGGSSDAGGSGGGGGGGQGGGYYGSSGYGSGGGTGSGSSEAAGYTWWGAARATADASGNGGGNGVGQNGGKGAGGGNGSGYADAHP >OB10G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9467938:9468666:-1 gene:OB10G19240 transcript:OB10G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKLASLGLIIMLLCIGLANATRVARFSYSRATGAGGGEGAGYVNGHGSGSGSGIGSGENGAGVGSHAVAEAKGGGGGGAPYSGAGFGGGSGSGSGFSTRDATGSSKASGTGGGDGEGQAGGNPTSSGYGSGSGTGSGSSMLHDFFPGFTTAYATANGGGGTQTQKKGRGXXXXXXXXXXXXXXXXXXXXXLAIPPPILKMVEAVSVTVVVLGMLKRTLRNFRRQLHHSKRFCPVYHMEP >OB10G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9473391:9473975:-1 gene:OB10G19250 transcript:OB10G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRVLALGFIALMTIGLANGARVSRLSSAGGTGDGGGGGSGYVSGGGSGFGSGVGSGQSGKPIGSYATAVAGGNSSSTSQYGGSGNGAGGGSASGSGSNYDSVSTGYGGYTSGGGSGGGGGEGQAGGSYGSYGQGGGGGAGSGSGMANTHIFGPISEANANANGNGGGNGVTQNGGSGSGGGGGSGYANAHP >OB10G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9478913:9480457:-1 gene:OB10G19260 transcript:OB10G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERGGGGDGVVNGGGSAAEAAEGGSNGAHATAGGGGGGDASSQFGGYGAGGGSGAGSSSGQYATGHYSGYGGYSNAGGSGGGGSGGHAGGYWPSSGSGSGSGTGSGSSTANIENVRPSYANAGANGNGNGQGNGTYGGSGSGQGSGSGYANAHP >OB10G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9480651:9484233:-1 gene:OB10G19270 transcript:OB10G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSVTKHEILKLLDILGALDCINEKDVHDGMENIASGDDAEYGPIHSVFFFCFISKLNLTVVPFDGQSHVSIGEVGVQPPLNFVDWDALQIIESIDNKDRLEVASDEQLYALLGLRGEDEREKNDRFPNIDVEFDGAAILVDDNIPNERAIDYDPDKPVSTYNGGHTCTSSMRRKTTTPSAKWVTSKAVSILKMTPHMGVKELQLKLQDDFKCTIGYDTVWRGKERAMAELYGSWEESFGLLYNWRAEVMKKMSNSVIEIDVVVEDGIPHFRRFFCALGPYIEGFLEGCRPYLSIDSTALNGRWNGHLAAACSVDGHNWMYPVAYGFIDSETSDNWIWFMTQLHKAIGDLPLLAVCTDACKGLELAIKYAFPMAEQRECFRHLMDNYVKKFSGAEHMYPAGRAYRREVYEYHMSKVLENPDVKHYLDTYHSLKWMRSGFNPAIKCDYVTNNIAEVFNNWIKDIKDLPVCELADRLREMIMVLWYKRRKIGQRLEGKILPAVLHVLNAQTRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMWNDY >OB10G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9484732:9485736:1 gene:OB10G19280 transcript:OB10G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSERTSAPSPHAIPPPCSQPAPSPATPSIFRSGAWCPPYPPPQFTASSSTPSWFAGLQQPWMVGSSSAQDSWRAPTGIGAPNTKDPDVQAWGADSHPPGGFLDFLNRNIPVQLQGVSD >OB10G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9491612:9492190:-1 gene:OB10G19290 transcript:OB10G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFFVLLSMGLANAARVTRYSSADGTGTGEGWGGGAVNGSGSGAGASVGAAQSGSNGANATAGGGGGGAGTSQYGGYGAGGGSGAGSSSSQYATGYYSGYGGYSSAGGKGAGSGGGQAGGYWPSTGSGSGNGTGSGSSSANTYYGGPSYANAGANGNANGQGNGTYGGSGSGKGNGSGYGDANP >OB10G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9498598:9499173:-1 gene:OB10G19300 transcript:OB10G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSMSLANAARVVGYSSADGYGNGEGGCVGYVNGGGSGSGSGYGFGQSDNNGAHAIAAGSGGGDGASQYGGYESGAGDGSGSGSSQYGNGDDSGYGGNSSASGAGGGSGGGQAGGSYNSIGSGSGSGTGYSSSNSNNNGWSSNTGASASGTGGGNGSGQDGGSGGGGGGGSGYGDASP >OB10G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9505577:9506152:1 gene:OB10G19310 transcript:OB10G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFVVLLSMGLANAARVARYSSSDGAGTGEGGGGGYDSGSGSGSGSGNGSGHSDSNGAYASAGGSGGGGGSSQDGGSGYGSGTGSGSGSSQYSNGEQSGYGGSSSADGSGGGGGGGQAGGYNGSTGNGYGSGTGSGYSYANNNEYGSNAGAGANGIGGGNGSGENGGSGGGGGNGSGDGSATP >OB10G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9507692:9508737:1 gene:OB10G19320 transcript:OB10G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRSSPSSPLVEKAVASGSGPTQPPAATPPCRSTTAPSSHSTIDEPKVSWTKPASVIVAGLLVPTVMVALKGVPEPLRSSRVVNAVFMLANVRTRPTLPP >OB10G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9522727:9523308:-1 gene:OB10G19330 transcript:OB10G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKLAALGFVVLFSIGLGNAARVVRYSSADGSGTGTGEGGGYVNGGGAGSGSGAGSGSSSYYGAHANGGGGGSGNGYSQYGGSGSGSGSGSGSGSSQSSQNGYYSGYGGSSSAGGSGAGDGAGQAGGYWPSNGHGSGSGTGYGSSYANNNYYGGQYANANAGGNGGGNGQDQYGGNGHGTGSGSGYGDANP >OB10G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9530932:9531330:-1 gene:OB10G19340 transcript:OB10G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMQMVVEEVAVVVTASMVGQGLVVDQARAQAQALSSLVKMDIVMVMEDQLVLGSGAGDGAGQASGYWPSNGHGSSSGTGYGSSSSSGNNYWGGQYANANAGGNGGGNGQGQDGGNGHGTGSGSGYGDANP >OB10G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9531974:9532552:-1 gene:OB10G19350 transcript:OB10G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAKLAALGFVLLLSFGLASAARVARYSSANGGGLGTGEGGGYVNGGGAGSGSASGSGSSGYYGAHANGGGEGNGSGYSQYGGSGSGSGYGTGSGSSQSSQNGYYSGYGGSSSAGGSATGGGAGQAGGSWPSRGHGSGGATGYGSSSANNYWGGQNANANAGGSGVGNGQGQYGGNGHGASFGSGYADANP >OB10G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9536300:9536875:-1 gene:OB10G19360 transcript:OB10G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLASAARVERYSASQGSGTGGGEGAGYVNGGGAGAGLGSGSGSSGSNGAHASGGGGGGGGGSSQYGGSGYGSGYGTGSGSSQTAQNGYYGYGGSSKAGGAGAGAGAGQAGGYWPSYGRGSGSGTGSGSSVSNNYYYGQYANANAGGNGGGNGQGQYGGSGRGVGAGAGYGDANP >OB10G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9540439:9541014:-1 gene:OB10G19370 transcript:OB10G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFVVLLSIGLASAARVERYSASQGSGTGGGEGAGYVNGGGAGAGLGAGSGSSGSNGAHASGGGGGGGGGYSKYGGSGYGSGYGTGSGSSQTAQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSYGRGSGSGTGSGSSVSNNNHYGQYANANAGGNGNGNGQGQYGGSGHGVGAGAGYGDATP >OB10G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9548200:9548775:-1 gene:OB10G19380 transcript:OB10G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLASAARVQRYSASQGSGTGGGEGGGYVNGGGAGAGTGSGSGSSGYNGAHASGGGGGGGGGYSQYGGSGEGSGYGTGSGSSQTAQNGYYGYGGSSSAGGAGAGGGDGQAGGYWPSYGHGSGSGTGSGSSVANNYWYGQYANANAGGNGGGNGQGQYGGSGRGAGVGGGFGDANP >OB10G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9556220:9556795:-1 gene:OB10G19390 transcript:OB10G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFIVLLSIGLASAARVQRYSASQGSGTGGGEGGGYVNGGGAGAGTGSGSGSSGYNGAHASGGGGGGGGGYSQYGGSGEGSGYGTGSGSSQTAQNGYYGYGGSSSADGSGAGGGDGQAGGYWPSNGHGSGSGTGSGSSEANNYYYGQYANANAGGHGGGNGQGQYGGSGRGAGVGSGFGDANP >OB10G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9562738:9563313:-1 gene:OB10G19400 transcript:OB10G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLVSAVRVERYSNAQGSGTGSGEGVGSVNGGGAGVGTGSGSGSSGYYGAHASGGGGGGGGGYSQYGGSGSGSGSGSGSGSSQTSQNGYYGYGGSASAGGSGAGGGAGQAGGYWPSYGHGSGSGTGSGSSEATNNYYGQYSNANAGGNGGGNGQGQYGGSGSGSGVGSGYGDANP >OB10G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9570027:9581987:1 gene:OB10G19410 transcript:OB10G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAERGIPEVYRDSSSGHGGGVRAIKWREDDHERRRSSFGSSSSSNMAVASALGPGDGGGIGVWLLARRGEAAWPRWVDCGFLGRVWGMSSSSSSSVVYEGWMVRYGRRKIGRSYIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGLVASDGNIVSSLSQQKDNFENGRKSSFSDRESQYSHEEEEEEEEEDNQRSLMRRTTIGNGPPASLYDWTRENDLGISNQGSPDHVFSRRHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGAQPGFVRAHIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDESLILPRIPVMANMAPPVSSKKGRTAQDNTMQASLPMDQSKQSTMLDEESDEDEDPIAESETSTHGPNAGVKLPVLDEEDSDQIDASEFSGNLHRNDNDNARDCWRTSDGNNFRVRSKTFIYDKSKIPAGKPIMKLVAVDWFRDVKRMDHVARRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGENYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >OB10G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9585455:9585703:1 gene:OB10G19420 transcript:OB10G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVKKVAERSMGRIAETTDVAPVVAFLVSDAAAWVNGQVIRVNGGFA >OB10G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9589345:9594762:-1 gene:OB10G19430 transcript:OB10G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Leucine-rich repeat, typical subtype (InterPro:IPR003591), Leucine-rich repeat (InterPro:IPR001611), Ubiquitin (InterPro:IPR000626), Ubiquitin supergroup (InterPro:IPR019955); BEST Arabidopsis thaliana protein match is: L /.../-rich repeat (LRR) family protein (TAIR:AT5G07910.1). [Source:Projected from Arabidopsis thaliana (AT2G30105) TAIR;Acc:AT2G30105] MESSPPPPRAITVQVKFGGRTIPVEVPASASVADLKRLLQPLTNVLPRGQRLICKGKVLADAASLCSVQVGDGSKVMLIASQGLHQGDGPITKNSSAPAPRRASNVKEAQPQKSDTNVSKIRPERWKSTGIIALSDSSLKAVPEEVWGCGSSIRVLDISNNSVEAIPQEIAALKSLQKLILTANDIADGNISWEGLICIQTLTVLLLSQNRLVALPSSLGSMTHLRELRIANNRLETLPVEIGLLKHLEILIASNNRISSLPSSIGDCESLNEVDLSSNLLAELPEAFGNLQNLKVLSLRNNGLTSLPSAFFTKCRLLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDRRRS >OB10G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9600735:9602102:1 gene:OB10G19440 transcript:OB10G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAIEKFSDLHFYRFGRPVAVPGSPMECDLAWRFRPSEDTNGRTTYYKDYRRFTLTRDVDTCTLVVSNVGEYHSGTGAKRSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADSTAASEPVLVIGEAVNDNLPVVESESDFSRGKYLIYMGGGERCKSMNHYVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTATGKDENRDFRLYFDFEHLKQSASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMQLRDVKDTLIMRKFGDVEPDNYWSRICEGETEAVIKRPWYLLWKSRRLMEIVSAISSRMDWDFDSVHVVRGEKAQNTQLWPNLDRDTSPDSLLATLNDKVGAGRHLYIATNEPDKSFFDPMKSKYRTHFLDDFKDLWDENSEWYTETKELSNGNAVEFDGYMRVAVDTEVFLRGKRKLETFNDLTHDCKNGVNTCPASS >OB10G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9611220:9617776:1 gene:OB10G19450 transcript:OB10G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-ammonia ligases;catalytics;glutamate-ammonia ligases [Source:Projected from Arabidopsis thaliana (AT3G53180) TAIR;Acc:AT3G53180] MLELEAHKAFVPAVGRILRIEKLAETIINDESFSVSSWTLDSFTEIFVTKLKSYPPPSNKIVGLKSIAAYRSGLEIDPNVSRADAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYDLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDARFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYHVLSAACEDGDLSIQEATDAIEDIFRRNAMCLYKLNVANGSVGQITTVTDNSIFLSEQDVLFVRVVWNDASGQHRCRVVPAGRFYDIARKKGIGLTFASMGMTSFTDGPADGSNLTGVGEIRLVPDMSTLLRLPWSRREEMVMAEMQIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMKAGFENEFYLRKKLVSGEKELWVPFDNSPYCSTTAFDGASSMLQEIYTSLKAADIVVEQLHAESGKGQFEIALKYVLCSLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSSEYNYYGMSRVGENFLAGVYHHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKAFDACANPHLGLAAIVAAGIDGLRKGLKLPEPTESNPADYASKLKRMPQDLTESVEALAADEILHDLIGDKLVTAVIAVRKVSLKKETLFFVFLTCYFDQLVIFGPY >OB10G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9618159:9622623:-1 gene:OB10G19460 transcript:OB10G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPGAGSSGGGHECSFKILLIGDSAVGKSSLLVSFVAAAHLDDDIAPTIGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLADVWTKEIESHSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMDVPSLLEEGSSSVVKRNILKQKQENQAKQGGGCCQ >OB10G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9626495:9628563:-1 gene:OB10G19470 transcript:OB10G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYFCGKCNFFDDDVSKNQYHCDGCGICRTGGADKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLDCLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMVWILCNDCGATSSVSYHVLAHKCPGCSSYNTRETRGGPAAARSRV >OB10G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9629028:9630683:-1 gene:OB10G19480 transcript:OB10G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSEQHGCAHYTRGCKIRAPCCGEVFDCRHCHNDAKNSLEIDLSDRHEIPRHEIKKVLALCSLLTICFPFLFFSVLLSVCRQTYLNSLRLIENKAATATATCI >OB10G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9633495:9664620:-1 gene:OB10G19490 transcript:OB10G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MVVLKVSDEAVESFGLGADDAFTCFLRWKEFCTDTSLEKASLYLQAVEVNCKIDADGLTSLSDSMPDWHEFAVGRQHSNGSNICPFVLTATLRKSYEVALMAVNQKWPILLYGPVGAGKTALINKLAHIRGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWAPGSLTQAIIKGFWIVFEDIDKAPSDVQSILLPLLEGSSFFSIGHAEAVEVAENFRLFATVTTSRVDVSHAPEGRLNFSSLWRKVMLGEPNRKDMIDIIHACYPSLEAISAKLIDAFEKANLLVSCQFGGLNLAGGPPECLTQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIHLEALIQKGPFADIRRTLEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILHHCDVYVMEKKWKKLLRALTKCVEKAQKLIDVSLRSNSGSKRKRSLPAQVISDWDSFLSRLNAACSQLGSSSGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVTKYLDGLHAAKGVTDNIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRALAYIKSAEKKFGFKKALYDGFCMFFLTMLDAQSAKIINNFIVSLLLDGRVPPQISFADYFVEKPMLVNRSESDEFLRSYVLTKSVTEHIVNLARAVYVKKYPVLLQGPTSSGKTSLVRFLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCAIACSYATKMVQVMKDLQMHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRNDNEKIVVQEALERHLRVKLSISNLYNMEVSCDNNLSRESIRLRVKETIGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIALEFKHLITKMKQMEIFIHVAGDMPLPTDISGAVSVVNKINEILDRYRKEKELFPQVPPHDLDIMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERNLSLAEKGGSVLEKVVAHPSFFILATMNPGGDYGKKELSPALRNRFTELWVPAVADVDELKSIAIERFTKAEVSCFGDCIVNFWMWFNQLRTGRMLTIRDLLSWISFVNVTEGNLGAQQALIHGLFLVLLDGLALGMSVSKAEATELKMTCLSFLLEELRKVVGKQLNLDLNDLRNYGWGHHTREINIGQPDHFGIAPFYIDKGHFACKQHGFEFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPAEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTFKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMITGACVTASGYADTSKVDCFLNTVYLQRMRTIADREEVVKLFEEVFQMKYRIHQTKMLYINPQCLVVGSASIRRNHFHSCKVQNNQLNILPGTLHSIEAAMHCINQELLGCFEQYNFFRHYKLVISQVERYVDEYFSLSIDIQWKKLIAERKKLFVKWFEFVLARKCSSIHTSTLIEMSKNSSLPSLSLVGDIVELMKCDLEMFDLPISFKKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIEYGEWIVLDNANFCNPTVLDRINSLVEQERLIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSIKGCSNASEDSERKDIIRFLASCGLPRMELVSSMTEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVNGSNIVEEAKIRFLVHFDGGSTDLHYGFSLSLPGGWPIEQKLKDFIWYSKETCVQRNCMYLQSLGSQCAAYQISTLKSNASLLGPITSTHSYVLPATSLFELQFPTISDQRSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFKWYNHLLQPYCNFFEKYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMKLLDMASCVTLKDCHNRLRNSRNGLSLLRLTLQQWQSETNFPDHGIMKVTMLPALKSLRCLEGEVLKMVVESWKLLQIYSCLLDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGVFLMESVNLNSLQDFSLHYDKPTLWVYGGHPIVPSSGGIFYKIQDILAFSANVWPRKNLLKGHIDDNQHLIDAMLSANQDLRNLAMEGLSMSFLAATITEEDDSTVLAQLDEVHKRLVEKVGWEKKNLELSLKASSAEVKFCCSVSSDALCNIHGFSGWVASLPFLNLKSLNLDTVLLQRLSKCAHLDSSEPHQVIANTEYLLKYAMDFSLRLSSRSPVEFTQHQIIWWIQHAWARVDNVHVKVSSSILEMWYNYHTFLWTYCSGRPKVQFSITHDETCDLAHLTKLDAIDTIIQDNLHVMDYQKNCLVLRISSRSLWEGVSFMGNFVLSLHSSANSLFKQIIVVHKKHFKQEEYSKLESILLQQSEHCVKKEDLDTACALLSCSSHGVLASLSGSHELIGSLLLELHSPSSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAKDGYDQKLLQELKTKEKNIRGKVVFRPPQSKHKSMIAACCEFEERLSECKSLLTSLNGNEIGQLVVDRVCNWQITSRNFIKRLTEEYGEYVDLIQPIQVAVYEMKLGLAIAVSGYLEKEYLKKIKEDDIERALGAIFAIMQFPSASVTGSVSVDMRDLTKYGIDNQLEIRYSEVNDLTMLKKLVAVSSQLNVAEVVDKLRSHSQMLVSIHHISLVRTTYRVGYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEDDAVADAEEKLEQDFLKIMERTDEQNSVVEDTWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCRISDDQKIQSFLDSYDLGARILKDLPELVTSTFDEKLMPEHLLRICIEYRQTCAPSQECSNYNTYKDQNPSVLFKMVDPLTALQEKVRYFLDEWPGHPGLLKILDIVASLLAMPLSTPLSKALLGLQLLVGKAQTLQENDSKFSFKDHLPPLFIIMSSWQRLELECWPTLLEEVLGKYESNALKLWFPLRALLTQSSDISSNDELSIIKSVEEFIQTSSVGEFKRRLHLLLAFHGEISDGAGVGAYSSSLLKKIKNILYNMFGYYMQFLPLVLEQIEAGKGSIEKDLKDQVKLYRWEQDPHSTSSIENFKRTRQKIFKLLRRFNDILQKPVIVLLNQESTARKVPCWLDQQRPESEFPVDTGKLSERFLWYTKWVNQAKLSFQALEQTNVTAIGVPHNKEFLRVVSHYKNCRQDESELEDRFKFFWAAIERICNAADFGAILKHGKKNQKKTALSNLFKTLEECGLSKHRSMVHEWGNELDAPSPFLEQSYIMTHLLQQVTSQKISEDASVAHATLLSTNNWKSANQHYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMNHLLSTLSEQRHFAYNMFEQLNEFRHAIFLLGSGGDSDYLSSCQSVLLNSMWQQKEFFDNILPMIMDTNLLLSNFMHCHCDPCDNIQVEVSAISSLFQKFVTRFSESKDLLDTHLLGSNNMIVGSHKNIPLATREMEQLVAANCQLINMFREDIQVLCHQDVSMRSVKKVLLSRFEELLMKGGLSMAILSREVDEDKHILSSGVQHDLEASYAEAFNEIFSLAIGVVGKLADRGISTDGTHDSWEGNITLWKDILQTYVMNLEICHLCDSSKKLTVSVRRLVDIKPVLCSSIEMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDPSDGPQQDAAGTGMGEGEGQESASSKIHDISQLEGTANEMEALCKPDQAPKNDDDAIEMQEDFNAELSDVSEDPEGKDSENEDDDMNLENQMGDTGDASEMVGKKSWDKDEDDPNTSTEKYELGSSTKETEKNDRELRAKDEGALEEDLMETDCDEQGKNNNLEDDPTTGEDVDQDMDDVMDKADAYDDRTGPELPEPKDVSEDVDIEGTDHMDEIDADGEELSPEEEKPTDGSLDASDDMEGEEAAQHGDNEIDGEQEHAEDGQMETNNMENEEQQKIESLEHPSQGMQPNNVELDSNRESESNLANSTDMNTATAPSVNFSNNEVPGLELSMPNSADGSRLLSNSKPDLQTDTELSQLKQTNPFRSIGDAMADWKERARVSADTQDHQPDSEHHIDDESAAEFRYVPDSEQSTSQALGNATADQINENLQVKQSSMEDENHVQKEEHNTERTPGDEHNLEVPHLQASQSRTKSENANFEHREFQTDTSVQDSVQGETDSTFGDFVSFKQPPTDERMVLSDLANDRELSTQMEVDASDEDMKGAIVDWKSVELTTIKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGMNMISSLSFEQDNKLEDEPVADLLKHLNTMLDAAVARSRTPSGQNPLQQLILIISDGKFHEKENLKRCVRNVLNRRRMIAYVLLDSHEESIMDSLEVSYQGAKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSTNE >OB10G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9667600:9667813:1 gene:OB10G19500 transcript:OB10G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAVVQKGARPALGWVKLNTDGALNLQDGVAGAGIVAWDTGNFGTAECRRYTISVIQAQWRCWRVEMQSC >OB10G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9672712:9677019:1 gene:OB10G19510 transcript:OB10G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G18510) TAIR;Acc:AT2G18510] MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGTVPAQMQHMRPPPPPMAQFPPMQMNGQPVWSVPQNAQLPPQMPPQMHYRPAVRPPPPNMMPPPPLGMVRPPPPPTSMPAPPMWRPPPPPQQAGGIPPPPMSMPPPPPPPSG >OB10G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9677718:9682747:-1 gene:OB10G19520 transcript:OB10G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:J3N354] MAGADESARAFVFVVALVLPTLAAAASSPPLPLLNSSLPDPAAVVAEFHSKVATSRRRMQEAGGGGGGGMTGDPMDDCWRGAGTDWRQDRQRLAECGIGFGRNAMGGKGGPVYVVTDSSDRDAVNPAPGTLRYGAIQEGPLWIVFAGDMTIRLNEELLVNSYKTIDGRGANVHIAGGACITLQYVSNVIIHNLHVHDCVPAGNANVRASPTHYGYRTRSDGDGISLYSARDVWVDHCALSRCADGLIDAIMGSTAITVSNSYFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTEEGQWAGWNWRTEGDMMVNGAFFVPSGEGLEAIYDKASSTDPKSSALVDQLTAGAGVLGGPRDNGEAAAYAGVNYAGMGAGSSGGGGGDGGLGYGYLGMVYGSGGDWSCRAELTLQLTSLFIALVALICLHPL >OB10G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9682192:9682779:1 gene:OB10G19530 transcript:OB10G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSPAKTIQRGPSWMAPYRSVPGAGFTASRSEESVTTYTGPPFPPMALRPNPMPHSASRCRSCLQSVPAPRQQSSMGSPVIPPPPPPPASCIRLLDVATLLRNNPTLPPPHLEKRSQICRREHHRIAGNRQEHGTCGTRRRRRRGRGGRSSAGGEEERTPRRRASAAQERRRRRRHGRIRRPQPWRPQPVLGLD >OB10G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9682860:9683048:1 gene:OB10G19540 transcript:OB10G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLELEQQQQLGLKLLGFGAWDWSSNGVGGSSVNERGGRGELAPVLPFMAWAMAWEGVGIT >OB10G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9688437:9690170:1 gene:OB10G19550 transcript:OB10G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLVPQQVASLALPAAEAAAPGRRAAGASSIPRGAGAAAAARRKTLCDITNLRPPRPAAEKDGAAGAGAAADGGVAQLVKENSELVRLIEERDKIIALSGAELQKLRLANWQLAQANSQMLAELNLGRNRLKALQHELACSRAIINAKTSELEETKKSMKRNRNMAEKAPPASEAAQQQQGSDRDAHIKDGHIANPEPASDTSHAASAKKLSNASRKRMQRSRSLGPTASVKLAMPKEKESVQRRKSMRTPVPQSTEHREDLFEIEDVQLAIGGGGKAGSAPACDPPEQMAAQFPRRSSLGRPIRRATERIASYKEMPVNIKLRRS >OB10G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9690097:9693710:-1 gene:OB10G19560 transcript:OB10G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G13720) TAIR;Acc:AT4G13720] MSGAAAAGVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKTGHEGLNNLLVAYEDKSAFAMCIFSLALGPGEEPITFVGKTMGKIVPARGPADFGWDPVFQPDGFDQTYAEMPKSVKNQISHRGKALSLVKEHFAAANYKVQSDGSA >OB10G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9696372:9700902:1 gene:OB10G19570 transcript:OB10G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAATPAAPAFTVSPAASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVSNVASNIKAGLYDIGIAAGLESMTVNKVSLDGQLNPKVELFSQARDCLLPMGLTSENVAKRFGVTRMEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDIAMQKGLPVLGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPTKVNVNGGAMALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARGIPSHNWLSKDAIN >OB10G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9705133:9719053:1 gene:OB10G19580 transcript:OB10G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08600) TAIR;Acc:AT1G08600] MYENRKLSDDMSKKTESIHSNGQAQEDKATIIEPESDATNFEVETETAHGVCEVHKVHGHGTMENGLHEEASTADDDSESNSYEYLLQESDNEQSSESDAGEGDNEAPLTEEEVEALVTEFLDVESKAAQAQESLEKESLDKIEAEVRLELSERLQGDELELAVSTEMKQYKEEWESELDDLETHIAVLLEQLDAAGIELPSLYKSIESQVPNVCETEVWKNMTHWAGSQVPEEANQSIRKADEYLQSCRPVRRKHGKLLEEGASGFLAGKIPVEDDGSVKCHEKSWNVFNELTKSQEYAEHTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVEGAVGDIKCVDEIELSEEQRRKYRKVAEEDDAKITKRLRRHLKERRTRHRYKGDFGLASPSNGCCELPPEKPKTDENGISVELAKRAREDDVELNHKRSKTVIIESDEDMETESKPASAPSENVSKIIDLDSPKLGDKVWPKAFKCTICTEMLNAPEVHRHPVLDVIVCGSCRFLVIERNRLEDPVSGGYCTWCVQSEQLQSCSSCKLLFCRNCLSKNFGEEGLSEAKVTGWQCCCCLPSQLEHLISECDKALSGVESSDPESDFADLSVIESNGPFSKGKMKKRIRRIMGDEELGEETKRKIAMEKARQEHLKSMQEQSASKSASKLKSNSIGTSFEAPTEVSLEYVEDGHIVNVAREEDEAPVRIPSSISAKLKPHQVSGIRFLWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCTQLGLRTVLIVTPVNVLHNWKKEFIKWRPTELKPLRVYMLEDVARANILYLLKKWQAKGGVLLIGYSAFRNLSLGRSARDKTVANEITNALQGGPDILVCDEAHIIKNRRADTTQALKQVKTQRRIALTGSPLQNNLMEYYCMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSCFFAKYQTLALIWNHPGLLQMAKEQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNDQPSKKTSDVVNEENNWWENLLDENTFKEADYSGKMVLLLDILSTCSELGDKALVFSQSLTTLDLVEFYLSKLKIKEKDGKYWKQGKDWYRIDGSTPSSERQNLVEKFNDPENVRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLEQSENGSAMNGHSKVETEKRATTNPSGTTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEDERLTKEEQELAWLSYNKLLEVGPRKATHDPERKLNTVPTESNLLQPPKVTSRNRQLPQQPKVNLNNQKKCNNLSHLLTLRSQGTKPGCSTTCKECGQDISWETLNRDGRSR >OB10G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9726599:9727467:-1 gene:OB10G19590 transcript:OB10G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVGGLHDDALAAKLFDGGDLQALLDNAGMEAAARGGGEAEEEEEEEEELEWLSNKDAFPTVETMAPAPAVVVVVERKKVAXXXXXXXXXXXXXXXXXXXXXXXXXHAWGVRYRSGRLVPEYRPLNSPTFSPELHSNLHRRVLQLRRRPKLPSAVPRPATTAAAAEHVAEGTPPPGPEHRPSSIPTASPEPHSNKHRRVVELLRCQGSPASANLSLASAATAAAAGGGEPPPRGGGPPAPASCAAVSHRRRRQGRENVRFC >OB10G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9732056:9733489:-1 gene:OB10G19600 transcript:OB10G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPGGGAGFLRPSRVCYLAVISTAFWSMVFYAHHSAMQGGTPMAAVLVRPSAFSRPLLTSFRIIAGGQDRCAGRRVYMYEMPARFNAELVRDCGMYSTSMDVCKLVVNGGFGPALPGGGALPERDVYDTDQYMLELIYHARMRRYECLAGDASAADAANPWKGVDFGVPFPSHFHPTSDADVLQWQDCMRRRDRRWLWAFAGAPRPGSSMTVRAQIIEQCTASPSCTHFGSSPGHYNSPGRIMELLESATFCIQPRGDSYTRKSTFDSMLAGCIPVFLHPASAYTQYTWHLPRDYRTYSVFIPHTDVAGRNASIDERLRSIPPATVARMREEVIRLIPRITYRDPAATRVTFRDAFDVAVDAVLDRVAAAEGREYVDVFDGHDSWKHNLLEDGQTQIGPHEFDPYL >OB10G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9732064:9732840:1 gene:OB10G19610 transcript:OB10G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRVARSASVRPRAGYASSCRGRRTRPRTPSPRRRGHAVEHGVDGDVERVPERHPRRRGVPVRDPRDQPDHLLPHPRHRRRRDAPEPLVDARVPAGDVGVRDEHRVGPVVSGEVPGVLGVRRRRVEEHRDAAGEHGVECRLAGVGVAARLDAEGGGLEELHDPPRRVVVPRRAAEVGAGGRRGALLDDLGAHGHGAARARRAGERPEPPAVAPAHAVLPLEHVGVGGGVEVGRERHAEVDALPRVGLDGEHRRVADR >OB10G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9736467:9737892:-1 gene:OB10G19620 transcript:OB10G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAGTETPSAATESQKDGGGGLLRPSWMCYMVVLSTVFWFLVVSLHSGVEGGLSSALFKPSGPSLPLLDRFTFEDQNPAPEPVVPADPCAGRYIYMYDMPARFNEELLRDCYALRPWMGGMCRYVVNGGMGEPLGDEGGVFSERGWFATDQFLLDIIFHGRMKRSHFCLQPRGDTMTRRSTFDTILAGCIPVFFHPGSAYDQYTLHLPPEHGSYSVLVLHSDVAGPRNVSIEETLRAIPPEKVRSMREEVIRLIPTVVYADTRSSRVDFRDAFDVAVDAVLDRVARRQRGEPDAGK >OB10G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9749533:9750440:1 gene:OB10G19630 transcript:OB10G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDHFFTAGLITWDFRRLGDGEGDWGSKLFSLPAIKNMTALVVEASPWHLNDVAIPFPTAFHPASDEAVFVWQDKVRRLDRPWLFSFAGAGRPGSARSIRGELIAQCRASSVCSLMECADGPKNKCGSAASYMKIFQSSTFCLQPQGDSYTRKSAFDSMLAGCIPVFFHPGTAYVQYTWHLPKNHADYSVYISEHDPSSKLDTMKDAFDVTMDAIVGKVTKLRRDIVEGRGEEEKLEIYSWKYPLLREGQKVEDPHEWDPLFAFA >OB10G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9754269:9754478:-1 gene:OB10G19640 transcript:OB10G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGGARGGGGACCPRLWFVLVLSATATVLGRHCYDSGLGEGAAGVVRIEPVLVHRGSSQAPSYGGRK >OB10G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9760598:9764147:1 gene:OB10G19650 transcript:OB10G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTCAPGHASRHVGSPPSASAXXPPRRIAGARPHPAVRPAINAASPPPPPSDLPKKASDARRGTGWPHAELSGIARSLALLLPPWRRGRGGGGRAGRRRRRRGGGGRDPVEVLGEEVMGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAEMLAGKAHIPLLTMIPTASRLSTYSMAIMDGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGDGQIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSVPDGNKGCTGPLFPVW >OB10G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9768974:9770548:1 gene:OB10G19660 transcript:OB10G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKAHIPLLTMIPTASRLSTYSMAIMDGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGDGQIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSVPDGNKGCTGPLFPVW >OB10G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9775208:9777152:1 gene:OB10G19670 transcript:OB10G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22700) TAIR;Acc:AT1G22700] MAWLSFARTAQADEGTNINMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAFEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPLKSEKR >OB10G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9780473:9788204:1 gene:OB10G19680 transcript:OB10G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) TAIR;Acc:AT3G04260] MVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVKNNYLEDANTVFLKGTEGGLRGTDEIYDLLIEEDCKAGDHSNALTVAYKMEADGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEDYMKPDTESYNWVIQAFTRAKSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNEAIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRADELLEALEAMANDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIEISFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEDIDELISRIKLEDGNTEFWKRRFLGETRNYLCEEDNNEEDADLDDELDDDDDDDDDEDDATKGEEDEIDEEDVVEQTENQARDETKDKPSKGPKQYLQMLGVQLLKDLEKTPVSSKKLKRVPEIDDDEDWFPEDPIEAFKVMRETRLFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIELGGNPTIGDCAIILRAAMRAPIPSAFMTILQTTHSLGYKFGSSLYDEVILLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLAAKQSGNSALQPPPAEEEE >OB10G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9789849:9796363:1 gene:OB10G19690 transcript:OB10G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEHIRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIVVEIPKEEKEAPAMGGMGGMDY >OB10G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9791374:9792340:-1 gene:OB10G19700 transcript:OB10G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTISSSIIGRISNLLYKACTNILNSILELNALGNSHTILCDFWCTKALLNNHIATLGPIVTLTASANSSTPLSIKARASTPNLISLAA >OB10G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9799399:9801778:1 gene:OB10G19710 transcript:OB10G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQCLPPTREPRVTEHIEQIIDLITKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDHNLPGKRVTVDTRKRNPADFALWKSAKEGEPSWESPWGLGPLGRPGWHIECSAMSAHYLGKVFDIHGGGKDLIFPHHENELAQSQAAYPESEVKCWMHNGFVNKDGQKMAKADKNFFTIRDIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTQHEGEQSISLYRECKLENYIPADDQKLIDENHSKFLEKMSDDLHTTAVLDHLLVPLRAINNNLSDLKKLQQRLDHQKKKQSLRQKQQQKKPEDYVQTLVALQNEVKEKLSILGLMPSSSLAEALRQLKEKALKRAGMTAEQLHQLIEQRILARKNKDFAESDRIRTDLSALGIALMDEPTGTLWKPSEPELTGES >OB10G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9805974:9807348:1 gene:OB10G19720 transcript:OB10G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLMAAMAVVVGVVMVVMASPAEGARAFFVFGDSLVDNGNNNYLLTSARADMPPYGIDHPTHRATGRFSNGLNIPDIISEHLGAEPTLPYLSPSLRGSKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLQFFGEYQDRLRALVGAARARRIVNGALVLITLGGNDFVNNYYLVPFSLRSRQFTLPDYVRYLISEYKKILQRLYDMGARRVLVTGTGPLGCAPAERALRSRDGGGAPQLMRAPALFNPQLSRALAEMNARVGRPGTFIAANSFRVHFDFISDPAAYGFATARDACCGQGPNNGLGLCTAASNLCADRDAYVFWDAYHPTEKANRIIVSQFMRGSLDYVSPLNLSAALEIDATAEAARRRQRAVARLVGDKPHV >OB10G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9808234:9808914:1 gene:OB10G19730 transcript:OB10G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIDLGRGSPGGAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHPPTRVRAVRVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLKSASGSSRGHDSTAEFLIEHSKCVCVSVQKKGQNAGYLLNTKTHKNFWLLA >OB10G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9809849:9811267:-1 gene:OB10G19740 transcript:OB10G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSATDPATTRTASEGSTPLENEVRDDDMEHSNGEITDIRGLRECRLSWTAQLHRQFIAAVKHLGEDKAVPKKILGIMNVKHLTREQVASHLQKYRMRQKKSIPTASRSKCFDQDGCMEITDYSLPKDDLSSGSECMLEERKDYPSEDLQDLQWDSDKQEYGPCLWNF >OB10G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9831343:9834942:-1 gene:OB10G19750 transcript:OB10G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAGARSPGAAAILGLRRLAPAAPVRLGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGADSFFRRVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGINSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDVYTGTGVYGPLPRIFISELLVDELSAQSQDIIHKYIRTSGKGNRHATLASTLGELTWEKPNYSDFQVLSRESEYAAWTLVNGYALNHTTIATHRLTSDIRSINKFNKFVEDNGFKLNSEGGVLKVSPDGLLQQSSTVADSALFTFADGITESVPRSYIEFAERLLLPQFKDLQNEEVKEHHRRDGFEVGNADKIFESTSNDQLTRRSA >OB10G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9835147:9835652:1 gene:OB10G19760 transcript:OB10G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVADVERCSSCRLDLSSAWAGPTLSSTGPTGASAAVRVVGRGVDPGVAGADILELAMAKGPMFSWLSYWPEEGFPKEDHPY >OB10G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9836135:9838272:-1 gene:OB10G19770 transcript:OB10G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT3G01290) TAIR;Acc:AT3G01290] MGKLVAAIGKLLCCVQVNQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKTQIQSYVFDVIRASIPKLELDDAFLQKNEIAKAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQASSHHTK >OB10G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9842032:9845820:-1 gene:OB10G19780 transcript:OB10G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MADGGEMDEEAMRAFFPMSFGKAPARSSGAASAAHASPLPKPPQNPSARPSAADDDDDDDGGVMVGPPRAPPRTSGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPPVKEGDEEEEEEDEDEDDDGDDSDDEMEDGGEGYNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTTCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVANTHTEDITGVKFSTDGQTLLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNVALSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPQYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDYEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPNPLFAESDSEGEEKK >OB10G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9845285:9845938:1 gene:OB10G19790 transcript:OB10G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGDAYGYLGVPPQNNFVTERNPIVTLAAILHLVVAVIPVVVLVLVLFLLLLSSSPSFTGGGRGGPIMPPSASSPSPREEDRGGGRGGPIITPPPSSSSSPSPEVRGGARGGPTITPPSSSSSSSAAEGLALGFWGGLGRGEAWAAEAAPLDRAGALPKDMGKKARMASSSISPPSAIGRSPLAADEAFSFFSSLSRAGRCVRVVSRVCAAAATGR >OB10G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9848241:9849129:-1 gene:OB10G19800 transcript:OB10G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQLGRRKVAGSLLLLNLLMYVFMLGFAGWALNSSIKNAGVDAGWGTSTTPLLQVLAFP >OB10G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9852476:9854398:-1 gene:OB10G19810 transcript:OB10G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGNGQALFTAECSHKFHFHCISSSVRHGNLVCPICRAVWKELPFQGPLPAADANNGTARVNPLDWPLDDRQQRQQQRVSGARRRSRADSVTRQWQLPVYRSLNGGGVFDDDEQLDLQPENVVQSQDDVDAMVVGSVGITTYTAFPAMEESVAQEEFAILIHLKAPSSPAPATSRRAPVDLVCVLDVSWSMAGTKLALLKRAVGFVIRTLGPSDRLSVVTFSSAARRLFPLKRMTEAGRQRALQKVNSLVADGGTNIADALKKATRVMEDRWERNPVCSIVLLSDGRDTYSVPAPLGGGQTDYSVLVPSSLLPGGAAQHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECDDDGVLLSSLISGGYASHVDGDGRGGFIDVGDLYADEERDFLVTVRVPAARGESALITPSCTYRNTVTMETRSQTLQPAEVVMATMSRSSPALVASPSRLAASPPPRRRSVRPTWSFPGRH >OB10G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9866632:9868203:-1 gene:OB10G19820 transcript:OB10G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSCGICHGDMRRRGEAVFTAECDHQFHFSCISGSVARGRIACPLCHTRWREFPSFRGKDAPAPAGASVAQPFFRPVEPRVFDDDEPLVRAPRRLGERKRVGAASVASDGGSPVALATHCEHSAVARDVSREDFAVLVHARAPSGGGAAAAAAEAPRAPLDLVTVLDVSGSMVGNKLVLLKQAMGFVIDNLGPGDRLCVISFSSGSSRLMRLTRMTDGGKAHAKRAVESLSARGGTNIGAALHKAAKVLDERLYRNAVESVILLSDGQDTYTVPARGGYDRDANYDALVPPSFVRPEDGGRSPPVHTFGFGKDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAIKSGNYKSHIDEDGRAATVHVGELYADEERSFLLFVIVPRAEAWDDATRLVEVSCSYRDMETGRTTSVAGDEEAVVDRPSRAEAGVAERSVEVDRELVRVEAIDDIALARAAAERGAYAEAAEILRSRQRWRTGGSTSCPGAPTCSPG >OB10G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9870025:9875077:-1 gene:OB10G19830 transcript:OB10G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G43920) TAIR;Acc:AT5G43920] MDMAASSVSSRGEAQSGPAGRRLGERGLVDREELVRVIAQSLYSLGYRKAAAALEAESGVPLYPPEHDRLLFDVMSGRWDACVATIRSVAGLGDGDRAAAEFLVWRGCFLELLGIGDAGLPRAREVLWRRIAPLVIDRECVHWLARAMISCEGAVAPDAVVGWRIGLFLDLVDAFPPWFHVPSGRLELLVENAVIQQVSSCVYHNSPDEITLFEDHKCPEEQIPSKCSQILCGHNNEVWFVRFSNDGNYLASSSSDCTAIIWKVEEDDTLTKKHCLEGHKNPISFVAWSPNDRMLLTCGNGESVKLWNVATGECSLKFSSSVDHIINSCAWFPNSEKIVCASCEPDSSPNRIFTCDLEGQELEAWVGDRIPKVSDIAVTPDSKHLICVCSSEIWIRELPKGREWKIREQQTISSLSLSGDGHSLIVNLNSQEIHLWKISESNTVPDKFKGHKQLKFVIRSCFGGSNSMFIASGSEDSQVYIWNRHLETPIKVLYGHSLTVNCVSWNPARPHMLASASDDRTVRIWLANKGSYRCIAHG >OB10G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9881403:9881648:-1 gene:OB10G19840 transcript:OB10G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSEPSSRPLLRIRNLTFLNRIPKKTREKKRSTDEPLPKEKELKFFCDRHSFDPIERGKRSDYGEVGKLGIYLAKKNTKIIK >OB10G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9881874:9884380:1 gene:OB10G19850 transcript:OB10G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:J3N387] MMPLDTVKKCGSALNRRKAVAASLAALKSAGVEGVMVDVWWGIVESEGPGRYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDQDLAYTDQWGRRNFEYISLGCDAMPVFKGRTPVECYTDFMRAFRDHFASFLGDTIVEIQVGMGPAGELRYPSYPESNGTWKFPGIGAFQCNDRYMRSSLKAAAEAAGKPEWGHGGPTDAGGYNNWPEDTLFFRRDGGGWSTEYGEFFLSWYSQMLMEHGERVLSGATSVFGDDAPGGVKVSVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARMLARHGAVLNFTCVEMRDHEQPQEAECMPEALVRQVAAAARAAGVGLAGENALPRLFGELLDLCFLSLGEENRSEVERALFPPDENRSRIIITLEMM >OB10G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9884158:9884361:-1 gene:OB10G19860 transcript:OB10G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRDLFSSGGNNALSTSERFSSPKDKKHKSKSSPKRLYKMAKKEEKKWANDPTSESDLEATRYMSP >OB10G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9885190:9886992:-1 gene:OB10G19870 transcript:OB10G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >OB10G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9888806:9892186:1 gene:OB10G19880 transcript:OB10G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLISKVANDEIGGTVLSDLKEAGIDTSHVIISDGGNTTFVYVIIDKQTKTRTCIITSGYPPMVPSDLTMSSLSTALQDVSLLYLDGYSHEMALAAAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCSGKFPEKWTSIPSIPSSLLEILLQYPRAQFAIVTLGENGCMMLERSKCGDDYETEAVDIENVAESLRLKVHKDDILPTCVSSKFMKLSGRGPGTIFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGLSTEMPPEMMLPFACQVAGIKCRAIGARSGLPWLSDPRLAKFLC >OB10G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9893551:9893864:1 gene:OB10G19890 transcript:OB10G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIADRTLVHVFVRWGGGPGRIALSYDARQTCYIVRGKVTAAAAAAEGSPETGRVEFGAGDLVVFPRGTRCTWHIAVAVDMHYAFDPS >OB10G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9894123:9896891:-1 gene:OB10G19900 transcript:OB10G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFDVVDISSDEEGLAATKKAPVDSLGWIADLLGEEERAISDDFDDLEVMGELSAPPMAQQKKSKPGCGEEEDDDDCVVLDGDPDDVVAAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFGTTSHVKYCSMCHCYVCDTPAPCNYWGKGTEIIDHCHATDKEKKWKTLRQTFKSKSLPTSHPEKRQNVVYPTMTSPGQQDTNCEIPLAQSHPTYFADQSNLVDVVNQGLNQTRHTSARVSPSVGRTVNSARASPATRAGKGNPLTVQITQSRTRFKRVGATSPGLATLNDNQFGSTAQNNTLLHQPSSPHASQPVQVAPRALFGAVQKNPPQRSLSAPIAFQGQQDQSASSYQAAANGTHVTGPQFPRCTSLTAQRTQFLPEPAMDVFGKSWQDIFDGLATDLGVPDYNMGTGELQQPVRTTSQPLDSAFQGVGLHSEPVPAMANVMPSNGQSVSNGMTGSNGPTQTTQILPHLTHQPSLISDEAHLNNYVSSPANGLTIKAAHLRDAQGSDSLDFLFDFEFEDWDSAEP >OB10G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9900857:9902837:1 gene:OB10G19910 transcript:OB10G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding WCIGSSPARPPPRRRPRAPASPSSLVLLAGTRLTLPGKTSLLFQFAINRATESGRGVVFICRKGRLENSPPFLSHGIDPSHSVLQRIHIKYVEDDEGIRKYFAAFHLLDSFPAAVIVDDFADFFSERSCQQRYGNARARDLAVIRMLALCQNAITHANAKLGTPGSCNLLLSDVHQGDTPRSLFIYKRWISSIYTIQGDGLGSYILKSINSSECGSKGRRAKYSIALQYLVLEHIGYA >OB10G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9903828:9904340:-1 gene:OB10G19920 transcript:OB10G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L11 [Source:Projected from Arabidopsis thaliana (AT4G35490) TAIR;Acc:AT4G35490] MEILVSVQIFGSQTTMATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVAWFLKKAAGIETASSRPGHVMVSSLTLRHVYEIAKLKQSDPYCKHMSVEALCKSIIGTANSMGIEIVKDL >OB10G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9904782:9904991:1 gene:OB10G19930 transcript:OB10G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDVGGWMLTGEDEGRQRPCSREIGAGGGRRSPSWAAARESAESGSSGRRRRGAPGSIDVRETAVSP >OB10G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9905488:9912176:-1 gene:OB10G19940 transcript:OB10G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLVERYIEDHGKCPVTKEELTMDDIVPVKTNKVVKPRPLQAASIPGLLGMFQNEWDALMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPASMAGAAPTAVVSNGKRAFEDEIGPDGKKIRPGINPVMIDELTECNTMLSAHRKKRQVPPTLASIDAIEKHTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILCTLTGHSKKITSLKFVPRDELFVTGSADKTVRIWQGSEEGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDISTGSCLTQVGEASGEEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDTDTPTNSVEFDFSGSYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGRVTNVKFGADAKYIAVGSMDRNLRIFGLPGDDDQMGETIPSEE >OB10G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9924062:9926791:1 gene:OB10G19950 transcript:OB10G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDVDDQPFADGISSPIAAHILDFCDDGNGGDLFAAVNATASPEDASASSSSTATTHADSLSPLPSLDSTLSALLEQDEPTGTEGELLLPLEDYTFAAAVDDTQTPEEQQQFGQMTLPMVPAPAAEHPALQTQLSSTASELMQFASGYNDECFAAALAGAGVGAGGFMGMDEPLCPQQQAMLPAGAGEAFFSNNAHAHPAQAGFFTGGGGGGGCTGNMMMSMMGMDEIGEYQRMMECGGALLGAHATDGAEMAFGNAAAEMQMGGNGSPVRLPATGTEVTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >OB10G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9928567:9930538:-1 gene:OB10G19960 transcript:OB10G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OB10G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9944009:9944250:1 gene:OB10G19970 transcript:OB10G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGLGGGSGSRRRVAAKGGRLRPKRHASGAAARFGDDLQSYSRNFDDGLVSGHHHRFQAPVVALAS >OB10G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9946788:9947169:1 gene:OB10G19980 transcript:OB10G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLLGLYGGGGRRRTSAKGGGGSAGGRQRWRRSSAPSRPNKGGAAATARFAYDLESYSRNFDDGLVSGLYS >OB10G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9947926:9952911:1 gene:OB10G19990 transcript:OB10G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) TAIR;Acc:AT3G04460] MLFQVGGQGARPTFFEMSAAQQLPASLHAALSYSLGVFALRRPILHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKSSSSSAEFSDKAYDSALRKRQKVLSVVFLVVLPYFKSKLQSIYNKEREARLQASLWGQGDVRFDEAGVISDQGETPQAQVEATTGEVSNVARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHALGLHVCRATGQELMDSSSRISRIRSRELERLRGPPWLKTVQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSVSGFVFCYSCIFKSVSQHKRCPITLMPATVEQIRRLFHDL >OB10G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9957486:9962116:1 gene:OB10G20000 transcript:OB10G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase A4 [Source:Projected from Arabidopsis thaliana (AT5G44030) TAIR;Acc:AT5G44030] MAFVVFASGSLRFLHTFPTPSRFSAARTQQQQQLAFEAMAPLPPASACLGLRSNQKLGRRPNSRLVVRDAPPPPYRALLPCRHPIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPGGLVAGSHQRDELHVMRSHEETGKVRSAADVKTCRVCGEEVAAREDGQPFVACAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRQKGCPRVEGDEDDADDMDDFEEEFQMKSPTKQQKPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGSMEWKDRIDKWKTKQEKRGKLHRDGSDDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLSRLDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGNELPRLVYVSREKRPGYNHHKKAGAMNCLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKSHKNKKGGGDVGLEEPRRGLLGFYKKRSKKDKLGGGAAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPLGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPILKPCGVEC >OB10G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9963301:9966535:-1 gene:OB10G20010 transcript:OB10G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLEHLNLSSNQFSGEIPESLAKLAKLQSVVLGSNLLHGGVPPALGNISGLRTLELSSNPLGGAIPASLGKLRSLEHINVSLAGLESTIPDELSLCANLTVIGLAGNKLTGKLPLALARLTNVREFNVSKNMLSGEVLPDYFTAWTNLKVFQADGNRFTGEIPKEVAMASRLEFLSLATNNLSGAIPPVIGMLANLKLLDLSENKFAGTIPRTIGNLTNLETLRLYTNKLTGRLPDEFANMTALQKLSISTNMLEGELPAGLARLPNLVGLVAFNNLFSGTIPLDFGRNGQFAIISMANNRFSGGLPRGVCASAARLQWLGPDDNRFSGTVPACYRSLKNLMRLRMARNQLAGDVSEILGSHPDLYYLDLSGNSFDGELPEQWAQFKSLSFLHLDGNKIAGKIPASYGSMALQDLDLSSNRLAGAIPPELGKLPLTKLNLRRNMLSGRIPLTLGNATKMEMLDLSGNVLDGGVPVELTKLAKMWYLNLSSNNLSGEVPALLGKMRSLMALDLSGNPGLCGRDIAGLSSCSSSSTGGGDHRKRLILAVTLAIAAALVVSIVVVACLVRRNARRAVVVEKAETSASSSSTATMQASIWSKETTFSFGDILAATEHFNDAYCIGKGSFGTVYRADLAGGRSGARLDASETGDACWGISEKSFENEVRALTRVRHRNIVKLHGFCAMGGYMYLVYELAERGSLGKVLYGGRDGGGNKFDWPARLRAIRGLAHALAYLHHDCSPPMIHRDVSVNNVLLDPDFEPRVSDFGTARFLAPGRSNCTSIAGSYGYMAPELAYMRVTTKCDVYSFGVVAMEMLIGKYPGGLISSLEHSAEGQGGDGESSSSRRMLLKDVVDQRLDTPAGQVAGQVVFAFVVALSCVRTSPDARPTMRAVAEELAARRRPLLDRPVDQXGTIRIGDLTNSHR >OB10G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9971881:9972105:1 gene:OB10G20020 transcript:OB10G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAQYVFDGQIQPINESGPPCAGGRIQPKIKMRPISLCTQIEPITEIGPPSFRGRIQPAEGNLAHIVTLLSPKF >OB10G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9976846:9977052:1 gene:OB10G20030 transcript:OB10G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRFGNREWKGKKRKLLCGTESAGVCVTWLPKRRRFRTLPKKYTIFRRCSDGKIFLVKMSHRKFDHMSE >OB10G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9987375:9991450:1 gene:OB10G20040 transcript:OB10G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTFMSLYLNNLIGSFPEFVLNSGNITYLDLSQNNFSGPVPDTLPEKLPNLRYLNLSTNPLFGGIPAFLARLTNLHDLRMANNNLTGGIPEFLGSMPQLRVLDLGDNKLGGPIPPVLGQLQMLRYLDIKNSGLVSTLPPQLGNLKNLTFLELSTNHLSGGLPPEFAGMRAMREFGISTNNLTGEIPPGLFPSWPELISFQVQNNSLAGNIPPELGKARKLGVLYLYTNKLNGSIPAELGELGNLTELDLSDNSLTGPIPSSLGKLKQLTRLSLFFNKLTGVIPPAIGNMTALENFDVNTNRLEGELPATISALRNLVSLSVFDNKMNGTIPADLGKGLALQTVSFANNSFSGELPRHLCDGFALERFTANRNNFSGSLPPCLKNCTALLRVRLEGNRFTGDISEAFGVHPALDYLDVSGSKLTGRLSSDWGGCIKLTRLYLDGNRISGGIPVAFGSMASLKDLNLAGNDLTGSIPPVLGNLSLFSLNLSHNSFSGPIPASLSTNSTLQRVDLSGNLLSGTIPAAIGKLGALTLLDLSKNRLSGQIPNELGNLVQLQMLLDLSSNSLSGTIPSNLGSLITLQRLNLSHNALTGSIPAGFSGMSSLEAVDFSYNQLTGSIPSGIAFQNASANAYIGNLGLCGDVQGLTPCGFSSTSSSSGHHKRVVIATVVSVVGVVLLLAIATCFILLCRRRPREKKEVESNTIDSYESTIWEKEGKFTFFDIVNATDNFNETFCVGKGGFGSVYRAELPSGQVVAVKRFHVADTGDIPDANKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKMDWGMRVKVVQGLAHALSYLHHDCNPVIVHRDITVNNILLESDFEPRLSDFGTAKLLSSASTNWTSVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSQEDDLLLKDILDQRLDAPVGQLAEEVVFIVRIALGCTRANPESRPSMRSVAQEISAHTQAYLSETFKLITISKLTDYQK >OB10G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9993490:9995853:-1 gene:OB10G20050 transcript:OB10G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVARFVVVPLLLVAGAAPPTPATGQAAASSQTETDALLAWKSSLTDAAALSGWRRMVPVCAWRGVACDAAGRVASIRLRGHGLSGRLEALDFTAIQALTELDLRGNNFAGAIPASISRLRSLSSLDLGNNGFAGSIPPQLGDLSGLVELRLDGNNLVGAIPHQLSRLPKIVHLDLRGNKLTNPDSGKFSPMPTVKFLSLSSNLLNGSFPELVLRTGNITYLDLSFNNFSGPIPDTLPEKLPNLRHLNLTDNAFSGRIPASLGRLTKLQDIQFGFNSLTDGIPEILGSMAQLRILELGPNPLGGPIPAALGRLKMLQRLDIWNAGLISSLPSELGNLSNLSYLDLSVNQLSGGIPAALGSLTSLVILDFSENHLTGGIPPELGHLSHLLLLVLSNNSISGPIPGNSGENPSNGFCGLISLQFLHLSYNKLTGELPNCWWNLQSLRFLDLSHNSLSGEIPEAKTNYSCALISVHLESNSFTGLFPSAFKGCQMLAFLHIGNNNFFGGIPPWIGEGIPSLKILSMGSNSFTGKIPWELSQLPQLQLLDIANNKLTGIIPRAFGNFASMKDQQFTSDNRFDHDITNIIWKGQEQVFQGTIKLLLGIDLSDNFLSGCIPKELMNLQGLLFLNLSRNHLSCSIPKSIGSLKKLESLDLSSNELSGAIPPSLGDISTLGVLNLSNNHLSGEIPTGNQLRTFNASIYSNNSGLCGFPFNISCTNSSLAPNERYCRTCEDQYVSYFVVAGVVSGFCLWFGMFFSVGTLRYAIFCFVDRMQCKVMQQVSCINQFR >OB10G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:9998066:9998815:-1 gene:OB10G20060 transcript:OB10G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKTLPTTQSFTWEIPIFQPQAPQVTNPRQRGKPNNQSPLDQSRDRVIILWKGHEETFQRTAMIMTGIDLSSNSLHGEIPNELTYLLGLRFLNLSRNDLSGSIPERIGNLNILESLDLSWNELSGVIPAGISNLSSLSVLNLSNNRLWGRIPTGNQLQTLLDPSIYSNNLGLCGFPLSIACHASSLDEKIEEFAFDMVLFYFVIAGVVFGFWVWFGSLFLHKPLRVFVFHLVDRTQRSCANCKCFTP >OB10G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10000281:10000685:-1 gene:OB10G20070 transcript:OB10G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRVFPLLVLVAAAAAISVSAPAIAATASEADALLAWKASLTNATALSGWTRPAAAAAACSWRGVTCDAVGASVVVLRLRKLGLSGGLDELDFAALPALTELDLNGNNFTADIPASISRLRSLTLLDLGNN >OB10G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10000327:10000767:1 gene:OB10G20080 transcript:OB10G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGMSAVKLFPLRSSSVSAGSAAKSSSSRPPLSPSFRSRSTTTLAPTASQVTPLQLHAAAAAAGRVHPDSAVALVRLAFHASSASASDAVAAIAGAETEMAAAAATRTSRGKTRRGRAMRAADSFTGQVVAPPTHHERLDGFPPF >OB10G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10003692:10005494:-1 gene:OB10G20090 transcript:OB10G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWLDLSNAGLVSTLPSQLGNLENLAYLDLSSNKLSGGLPPAFAGMQAIRDFAIVKNKLNGDIPPAIFVGWTKLVLFDVRSNMLTGSIPPEVGKARELQFLSMGGNRLSGGIPATLGSAASLVFLDLSDNDLTGGIPAAMGSLKSLTYIDLSANHLTGGIPSELVHLSNLGLLNLSYNHISGPILGNLGNNFKLQGVDSSGNSSNNSSGSAICGLLSLLVLDLSNNKLTGKLPDCWWNKKQLQFMDLSNNKFSGEIPPAQTSHNCSLESVHLAGNGFTGVFPSALDGCSTLVTLDIGNNKFSGDIPPWIGKGLPSLKILSLKSNNFTGGIPSELSHLSQLQLLDMTNNGLTGSIPKSFGNLASMKYPKIISSLGSIKGSIYQDRIDIMWKGQELIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNHLSCTIPKNIGSLKNLESLDLSANELSGSIPPSLDGISTLGTLNLSYNHLSGKIPIGNQLQTFIDPSIYSNNSGLCGFPLKISCTNASLASDERGCRTCEDQYFYYCVMAGVVFGFWLWFGVLFSIGTWRYAVFGFVDGMQCKFMQKLSSIDQFMLRGNSDQYL >OB10G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10010456:10011988:-1 gene:OB10G20100 transcript:OB10G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAVRLCLLLCLSHFLLSSPRRAMSMGLGLDADDDDDYFHHYDGDDDENYYLDEDDDDGFIGRPARRLHDGDGDENYYHDEDDDVFTGRPARRLYDSGAVMPEKYSVLNSNSSNSSSGSAFCRLLSLQVLDLSNNRLTGKLPDCWWDLQNLQFMDLSNNKFSGEIPPAQTSYNCSLESVHLAGNGFTGVFPSALQGCRTLVTLDIGNNRFSGDIPPWIGRGLPSLKILSLKSNNFTGGIPSELSHLSQLQLLDMANNGLTGSIPRSFGNLTSMKYPKIISSPGSLDGSTYQDRIDIIWKGQEIIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNHLSCTIPKNIGSLKNLESLDLSANELSGSIPPSLAGISTLGTLNLSYNHLSGKIPIGNQLQTFIDPSIYSNNSGLCGFPLKISCTNASLASDERGCRTCEDQYLYYCVMAGVVFGFWLWFGMLFSIGTWRYAVFGFVDGMQRKFMQKVSSIDQFMSRGNSDQYL >OB10G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10016899:10021306:1 gene:OB10G20110 transcript:OB10G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGGEEEYTRDGSVDLRGNPVLRSRRGGWRACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAYLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPACDGVAVGAACPRASALQLGVYFGGLYIIALGHGGTKPNISTIGADQFDDFHPPEKLQKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWTVGYGIPTLGLMISVVVFLAGTPLYRHKVPQGSPLATMGRVIAAAVWKCRVPVPADSKELHELELEHYTSRRGFRMDTTSSMAFLNKAAVKSAAGGSAARLPGWTLCTVTQVEETKQIVKLVPLLATMVVPCTLVAQAGTLFVKQGVTLDRHIGKFHVPPASLGAFVTASMLVCIVLYDRFLVPAVRRRTKNPRGITLLQRISLGMLLQIVTMVVTSVVESQRLSYARRHGLVATGGGPAPADFLPPAAVRADGRRRRVPGGGPDRVLLRPGAGEHEEPRHGDVADGVWRREPAEQRHPHPGGARHRREGGAVGDEQPQRLAARLLLRVPRHPRRGEPAGVRRAQLQVLVPRRVDGDDRRRHGRSAGTARVSSEAAPMAA >OB10G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10026287:10028903:1 gene:OB10G20120 transcript:OB10G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPQAPALTSSIPLLMLLRLLALEEDKTIGDTIQEKYEIKEENEQSTFVTLIGRLKEDNLQRGAVKLLLVTAVPGRSVGGRQEQLHKTRWLQYLEAEIIGYRFVSQLCGFNYRYFSHSSRAKADDLRRSGRVLGGRDDLLERFLDVVPDDAAAEVFANASAEMGSRGDRLHIICKCWRLKTDGEYGCIIDDLHLGRKYTSLAVNLVDKTLTPLGGDLTYLSFPASTGLSSPEVRTGRCAWSGRSTSLSCARLDAGQRWVVVAEHEFPHDHTSHKIRFCGGVMQGYVVGGGDKILVSLLAAVFFVFDCSSCTWAPVTLSGDIYRYIPFWYRAAYVEDDDGAADPLAADQGGHSVSLQRSRRVRLRRASGGQSHVRRLVRRSALLCLHHEAPADHHVRCHWG >OB10G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10042508:10049308:1 gene:OB10G20130 transcript:OB10G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERLEAAAAAAGDDDYTQDGTVDLRGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPIVGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPAKCGAGTADPGCSEEASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSSFAKMAGVIVAAVRKCAVAAPADPRELHELDAEHYAKKKTAPLPHTPHLRALSKAAVKTAGSGSGSATAPSRWSLSTVTQVEETKQILKMLPVLAITFVPAAMMAQVNTLFVKQGTTLDRHVGGGRFEIPPASLQGFVTVSMLVSVVLYDRAFMPFMRRATKNPRGISLLQRMGVGLVIHIVIMGIASVTERHRLAVARGHGITDSKGTTIPLSIFILLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVSHVTSRHGRPGWILNNLNASRLDHYFAFFAVLNCVNLVFFFLVCRLYVYNAEVSHVVDVGGGGGGEKELKPKEVAMVEASL >OB10G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10051181:10052738:1 gene:OB10G20140 transcript:OB10G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFGAYGEVSQAAVMRDKLTGRPRGFGFVVFAAPAAVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGRHAAGGSGGGGGGGAGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGGGRSMGGGGYQSNNGPNSNAGSYDSRADASRYGQAQQGSGGYPGYGAGGYGAGAVGYGYGHANPGTAYGNYGTGGFGGVPAGYGGHYGNPNGPGSGYQGGPPGANRGPWGSQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPSGQTAGAAAGYGGQGYGYGGYGGDASYGNHGGYGGYGGRGDGAGNPAAGGASGYGAGYGSGNGGSAYPNAWADPSQGGGFGASVNGAAEGQSNYGSGYGGVQPRVAQ >OB10G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10059505:10062472:1 gene:OB10G20150 transcript:OB10G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATEIRAIDTAKNSDAMRTLTNAVHALAWRSGVGSGGGGACHWADGYPLNVLLYVSLLQTVFDQRECTVVLDEVDELLELIKKTWPTLGITKPVHNVCFAWAFFQQYVVTGQVEPDPAAAALAVLADVAADTKQQGSRDAVYSKVLLSVLGAMQEWSEKRLLDYHDSYEKGIGGAPTEGMEILLSLALSAGKIAADRDAACAANFAGDRVDYYIRCSMKNAFAKILESGMGDGNGDGDGVVFDRDDEPGVVLTQLARDTEQLAMVERRSFSPVMRRWHPAPVAVAAVALHGCFGVVLRQYLGKVTILTEELVRVLQAASRMEKALAQMTAEDAADCADDRAKAVVGDMEPYEVESVVMGLLKVWMDDKLKIAMDCLTRAKETESWIPKSKEEPFAGSAIELMRLAKYTVDEFSEIPASAKDEVVQDLVDGLEAIFNEYISFVASCGSKHSYVPPLPPLTRCNQDSGFFKLWRKAVMPSCQAPEGGVHGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGTAGSSGGGGSGGATPVPPTPRQWDPADPNTILRVLCHRDDEVASQFLKRTFQLAKRR >OB10G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10064563:10071191:-1 gene:OB10G20160 transcript:OB10G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVAHGWYEVATKGWRAADLGYLAILPSLLLRDLAYSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERNWDDQIILSGFLLYLGALYLPGGQRLPLWRADGAAVIALLHAGPVEFLYYWLHRALHHHWLYTRYHSHHHASIVTEPITSVIHPFAELVAYEVLFSVPLIASALTGTASIIAFEAYIIYIDLMNNMGHCNFELVPSWLFKWFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTLDKSSDTLYESSLKEEEEEAVDVVHLTHLTTLHSIYHMRPGFAECASRPYASRWYMRLMWPVSWLSMVLTWAYGSSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWGKEAINDLIEKAIHEADKNGAKVVSLGLLNQAHTLNKNGELYLLKYPKLRARLVDGTSLAAAVVVNSIPEGTDQVILAGNISKVARAVAQALCKKNVKVTMTNKQDYHLLKPEMPETVADNLLFLKTGTAKVWLIGDGLDASEQFRAKKGTMFIPYSQFPPKMVRKDSCSYSTTPAMTVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWDENECGDKVLDMEKVWSAAIMHGFCPVAQV >OB10G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10086182:10087928:1 gene:OB10G20170 transcript:OB10G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:UniProtKB/TrEMBL;Acc:J3N3B9] MEGERAAAVVRRLMAAKAESGKSFSDIAAETGLTNVYVAQLLRRQAQLKPDTAPALRAAVPGLTDDLVALMMQPPFRSYHPDIIHEPAVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSEHMMSRLNRRTS >OB10G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10088243:10097127:-1 gene:OB10G20180 transcript:OB10G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MAGEVEKVDENEWWNKIIQLREGSQQELVVKRNFGRDGQNILANMAQRQGLYFNAYNKGKTVVFSKVPLPDYRADLDERHGSTQQEIKMSSETERRVENLLANAKSNSNDSASTSTLTLRQSRPSASSSVTESTTYINKEKLSSELRDMQNSRKLMPSARSMQSFREKLPAFNMREEFLKAVAANQILVISGETGCGKTTQLPQFILEEEIENLRGSDCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFSTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEEVLEKTRYRIKSEQDNFQGNSRRKRLASVKSDPISDAFENVDINKEYGNYSAATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVLPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASARQRRGRAGRVQPGVCYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGATASFLAKALQPPDPLSVKNAIELLKTIGALDDMEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKDSRRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNARIDQFPLPYLVYSEKVKTASIYVRDSTNVSDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVYH >OB10G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10099230:10103094:-1 gene:OB10G20190 transcript:OB10G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREKRGRSRGVVRRMAMECLCSGEQLKGADEILRSPESAITKDCSASGYSSRNGEIEQYLDNGNIEEAELSLREGVCLNHEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKSRSQWDSPPMPLHAVSLLMEAIYLKSRALYDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNKWNLDGETIARIQKKFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLNRVERDPTVMHHLTFALSISGQLKPLAVQFEELLPGLLDNREWSYNVALCYLAEEDDLTALNLLKRILKSGDDSDKIQELLLASKACTGRSAHTEGASFARRAITNIQGGCEQMAGVADLLLGVNLSNQARCAPSDTERASWQCEALEVLENAEKKMHGKDSRAMYSLSLENAEQRKLDAAAFYAKKLVKLEAGSELRSWLLLARVLTAQKQFADAETIVDAALDQTGKWNEGDLLRTKARIQAAQRQLRNAVETYTKLLAVIQLRKKSLSAGIFLIKGTKDDRSLELETWYDLALLYLCMSQWRDAEVCVSRIRVISPYSALAWHATGKLYEAKGQSKEALGSYFRALDLDRKHVPSLISIAIVIREVGNRPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQAAALLEETAPVEPFR >OB10G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10111211:10114337:-1 gene:OB10G20200 transcript:OB10G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTRETTPCSLIRDSETISTPGSTTRPSHSSSHRKVQTQGRHNIVPASAELEAFFAAEEQRQRQAFIDKYNFDPVNDCPLPGRYEWVKLD >OB10G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10142690:10145042:1 gene:OB10G20210 transcript:OB10G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSRFSFARFSCFGSQTRTKMAEDGYPVKLHIYDLSQGMARQLSTTILGKAIEAIWHTGMVVYGREYFFGGGIQKDHPGRTQFGTPVRVEDLGVTHVPREVFEDFLQEISPRYTPATYSLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPIGALILPMIQGLETSLRAGAAPQPPQFKPEAATHSPSSGIHVVQPKSTAAADNTDANDGGRIPPAVRPAPSAAAETSPKPVAVAEVSASAVTPAVVDPLREAKRRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAATATGGGIQRG >OB10G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10160351:10160698:-1 gene:OB10G20220 transcript:OB10G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYFFKKILIGHIKIFCLFRATLISFLLLKNTLIPSIYIYIYIYIYIYIILGARIDRFDWNIVFQKKKAGDKFRYYIPNMKNQLRQNKISMIHCDILKFNSRKFLGANLFESSV >OB10G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10161903:10166813:1 gene:OB10G20230 transcript:OB10G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:J3N3C5] MELATMLTLTLLAYAAAMLARLLVARARRRRCYLLDYVCYKGTDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIDGGEARPDRLAEGMEEMDETFHAVLDELFARAAAPGGVGVRPADVDLLVVNVSMFSPAPSLSARIVSRYNLREDVKVYNLTGMGCSATLIALDLVNNFLRTEANKVAVVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNRPALRPHAKLRLRHLVRTHTGASDEAYSCALQMEDDAGRPGFHLGKELPRAAVTAFVKNLRVLAPRVLPLPELLRLALATLSARLARKKQSASQLTIRMKSGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKRRLRPGDRVLMLTFGAGFKCNSCVWTVEKPVSDAGVWADCIHHYPPKELSNPFLDKYGFVKDMINL >OB10G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10171256:10179451:-1 gene:OB10G20240 transcript:OB10G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:J3N3C6] MVSSNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSIFVSRDGGNKKFSSVLSPGHHEGLRKCNDDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFIAEDGVSGVLLHHKTAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGQVSAKQMWDKMAQNGHFERENFEAGSSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYKIWEEEIEKWQNPILKNERLPEWYKFTLFNELYFLVAGGTVWTDGQPPMIDEKTNPGSNQQKSSKRGTRDNKQESVKDNHVKLTAEQVANGGDLTDGEERSVSKYAAVHGSQMVEPTNGLGPQEPIPYLLSKKGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGRDVWPAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRAFAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDEQKIRSALQKIFEFNVMKVKGGRLGAVNGMTPSGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQAFTTAEGIFIAGWSEDGYGYWFQTPEGWTMDGHYRSLIYMRPLAVWAMQWALSPPKAILDAPKVNLMDRIHLSPQMIRAMNEISVRKIAPDNRCFPSSAFRCQC >OB10G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10191480:10208459:-1 gene:OB10G20250 transcript:OB10G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MGRPRCSWNADSSGIICLMLLVIFQEVLDISYALSFVSELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVQKIVEHARSLLSEPLASIFEFSLTLRSASPRLVLYKQLAEESLSSVPVKDNTLEQISGHSTGENFHEAVKGSCCWVDTGSVLLFNSADLRKWLDGLGRLAMDSTQQPELFDFDHMYPQSNITAPIAIFYGAFGTECFKELHAHLAEASKKGQVRYALRQVLPSGCQATSSFCGSVGAVDAVALSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDNSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPESAVHKILSSAPPTESNSFRVDFRSSHVHYLNNLEEDTMYKRWRSNINELLMPVFPGQMRYIRKNLFHAVYVLDPASACGAETIDMVLSLYQDSVPIRFGIIMYSSRLISVIEENDGNLPVNDGSKIEEDISILIIRLFLYIKETYSAQLSYQFLSNIHKSRNSGDEYNEEPVEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENIYKQEAEESSRFVHKLGLYKLQCSLLMNGLVQESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPLITGKSTENKRFVSLVAPYHQGDSALHEITYLHSHGTTDDAKPVTHLVAVDLSSKIGIKLLHEAISYLIAGSNRARVGLLLYVRNDNPSSPILHLKDIFDRTISSFSYKEKVLDFLHGLCKFYEAQHVRPSSGVSDKISTMMEKVYGIAAETGLPVDNYKSWFTNFSPDAVLRGMDKLSDFLFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLGLLESMEYELRTKYIYEIIEEIEWTGVDPDDLTSKFYSDVAMLIASSMSIRERPSERAHFEILHAEHSAIKLNNVNSSVHIDAVIDPLSPAGQKLAPLLHILWRQIQPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKRRGKEHEDLLNADDDNHFQEKMDNKGWNSNLLKWASSFISGDTSSKNKDEKISDLTAARQGDTINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVGLDSEARQFTARILGDNPESPEPTSSPSDTPKPDDKGAKQDVKDEL >OB10G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10208657:10208905:1 gene:OB10G20260 transcript:OB10G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >OB10G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10213257:10213877:-1 gene:OB10G20270 transcript:OB10G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVHRRCDRRRRGGGGGGGGGGGGRAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGTNAPSTTAMAAAAAVTERGADGSNGDSDYPQPPLSPVRSTGLLEDDDERIRPRGQPKIVIKINKHT >OB10G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10215470:10220805:1 gene:OB10G20280 transcript:OB10G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAAVEEVADDYYDELIGRNLLQPAEADRHGCVERCTMHDMLRSMAQALSRGENFTGDAQRPPDDGDGDASFAPRHVSLPRNHLGAIPEEVLKLEGVRTLLLQRNPLTIESNIFTRLLHLKVLDLTETAMEVIPETLGNLLYLRFLNLSNTRIKALPETIGNLWSLKFLLLRQCKALHVLPKGIEHLKGLRDLDLTDTVISDAAFRIGHLRSLTSIRCFTVASKEERGTNDRSGWPLDELKNLTQLRTLHVKKLEKATSHSEAAEVALLVKTGLRELELSCSGTVKTFQIPTAVRNIEDIFRELKPPRCLESLKIVNYFGTKFPTWLSSICLPNLIRLNITGCNFCQSFPPLGCLPELRSLCIADSSALKDIDAQFMDTDHSHKVPFPKLEDLHFQGLHNLETWTSIEAGALPCLQAMQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAAVRELSIWNTPNLKRVCNLPSLEDLDICHCPSLETVENINKLQELHIFDHELQEMPRWIETHAPKLSSLEFMSTTNLLKRCLVDGPDWPIIKDIVQVHGYSNDSSYIYYSKNLNFFEGSASILESLDAEECVADSGEVDDISMDRRKIYQEDGPVSSPGTSTSERNGFFDQISMQTETARSEDNAPDMNFERFMTRPTSHRLPKLEEVPEEDEDEEGADPEVLVPVDTTKSDTVPEKLHRIDTHVDNDKAGSKVKRDAPTDDKSLPETVKHIPMKVTKSNENKSPDSLACSRQKISKKEKDAAADADTLTVANCPSTTITESHPDKVNKITASVTAIKNDDSIPEHTPGKEVPTKSAGANHQGSQTVPVTETAQDLHSSLHHGEPQLPDFVDDTCTSISDNRDETDSNNTSLPANLNLEESKASVVAKTLACKQQTADPGDGADTSIKKLANIITKKVSNNCSAESFKWPSTKTIDKIAPSSSPPISSKSHAIDNSTASKKLEANLKNRFSAKASANDGLTDDKTPVIISVKASDAHQPPPKVYTAIWADTDTDTLKARFVSTMQHYRRMASHRRRRHRKHGSSSKWSISPVLVAVLLFVSMAQLMFTFWMYRKLLNQSSKEKASAFSSVGSSSSGKSGGGEASGGTALIAAALFKRFNSSVPQPRAEAASASAGVAEVAGDGDGGVRLEIEEIAAGDRCEKKKRKTLLVLMVSVNLLS >OB10G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10225158:10226959:-1 gene:OB10G20290 transcript:OB10G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKGIRGLALRPTETGPSSCGLTVGQKQGPPFAARAATGTDFCSARSVHRPSTASASAAALRPPFMPSPSRRKMDDFFHAATLPPHSGGRPRAFSRFSPLAQRTPVSTDARRPWRRRVTPGASPCPDAACSLRLPTPGERKGWRPPRTRGAAWRRVRRWSTRCRRPAGGGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLELLRRRQAGRRRNGRRVLLESVEEQDWLEYVSSLPPRTTAEQDAADMPATAAKYLDHDMVFFPTDDSSCGLFAIKFMELWDGEKLARGFAMGDVHALRRKLAEELVFWELNEMEEVKAEIESMARQRKLMSSSSPVQI >OB10G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10229963:10234258:-1 gene:OB10G20300 transcript:OB10G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRREEEEEVKAKKAAAAAMGHPVLRRVRRARYTHGLGAAQMEALRAMCGALVPALPVEQDGARGGDADLERFYLASAAESAVADEVAELTMRRCVWEAGLLVRVVLWMLATRIGTLALCGRPCVAVSGAGGGFPYVRRFADMPVERREEVLRRWNTTRWLFPLKITFALVKILCQFVFYTAMDENSENPCWNAIGYSVPAAEEEPPKEPAEEAPAPAPAARPLDNGVVETKQLDDNTLLRSLAEKGLAVKTSASNAHHTVLCDVVVVGSGCGGGVAAAVLASAGYKVVVVEKGEYFTAKDYSSLEGPSMERLYEQGGVFSTSNVTTTIFAGSTVGGGSAVNWSASIRTPADVLQEWSRDHGLPVFGGPRYVQAMDAVCARLAVTGRCREEGFQNKVVRRGCEALGLRVEAVPRNSSEGHFCGSCNLGCPTGEKRGTDTTWLVDAVERGAVILTGCKAERFILERNTGVGRGKNVRRRKCVGLVATCMSEGVTKKLRIEAKVSISACGALMTPPLLRNSGLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXREGAHAPGLRQVGARQLGAPDGQLPDGEEPTRRRRRRRRRELGGGGPLRLRRQPPPDGRRREPDDHHRVRRVLRLQGHRRLARAL >OB10G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10240356:10240601:-1 gene:OB10G20310 transcript:OB10G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGSDKWAIFCSAHQMGSCRMAASPRDGVVDGAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKDIADNTLSH >OB10G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10241601:10243253:-1 gene:OB10G20320 transcript:OB10G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRVQRATEGTSTGDAVAVAVAAAARRRRGGDEAAGRQHPAQVARGEGPRGEDERGRAPHGAVRRCHRRVRLRRRRRCGRARVEGVQGRRRREGGLLCRGGLHLSRGPLHGAALREGRRVRHVQCHDDPVHRRHGRRRLGGELVGVHPHAGGDQGGVVARAWAAGGREPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGATEVGTNRSDGLRLRCKGARDEDLEAFLDEVTIEKGPMYPGSDKWAIFCSAHQMGSCRMAASPRDGVVDGAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKDIADNTLSH >OB10G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10246285:10247042:-1 gene:OB10G20330 transcript:OB10G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGHPLLRGGARRERYTHXXXXXXXXXXXAAEDKDLERFYLASAADSAIPDEVAELMVNRCAWEAVALVTIVLWLLTTRVGTLLLCGGASVVSGGGWWFPRVRRYADLPPEQREAALRRWNTTRWLFPLKITFTVIKIICHFVFYTTVDQTYSVITLEISLIIRLAF >OB10G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10252010:10253029:-1 gene:OB10G20340 transcript:OB10G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFEKGGIFCTSNVTTMIFAGATVGGGSAVNWSASICTPADVLQEWSREHGLPVFASPGYAQAMDAVCDRIKVTGGCEEEGFQNKVLRRGCEALGMPAEAVPRNSSEGHFCGRCNPGGPPRGTDTTWLVDAVERGAVILTGCKAEHFILERNPGGRGGRSKRCVGLMATCTSGGVTKKLRIEAKVSISACGALMTPPLLRNSGLKNRHIGQNLHLHPVSMAWGYFPENNNQQAAAPLTGKCYEGGIITSMHRVTERTIIETPALGPGAFSALVPWESGRDMKERMRRYARTAHAFPLVRDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTR >OB10G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10275674:10278180:1 gene:OB10G20350 transcript:OB10G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRAAMDSHHEQQQPPSPQRLKIYVKMTKTFTLNVDCTDTVSQIKSKLSAIEGIDESKQEMFFDGMHMKNEDTLADYNIMTNSSVDLYVTDGIQISVKIPSVGKTIKLNVRKLSAVADVKAEIEQKEGILINEQILMYAGRQLEDNHILSQCDLRNGQSFHVLVCPSDNLRVFINVGGDKTLSLETKCWYTVADVKLMIENLEGLPTCSQILSRVQSGVRIVLDDSEMLQDQHVKNNDTLSLEYSIHFFVKTWEGKTLTMIRHMSDTGKEIMDTLKGKIRIKESLVYLSHMGRILSPGDTLQKHQVKNNSTIYIRYRNSGMFQDETKGKK >OB10G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10279730:10282504:1 gene:OB10G20360 transcript:OB10G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 4 [Source:Projected from Arabidopsis thaliana (AT1G03900) TAIR;Acc:AT1G03900] MASLGGEEEEAFEHTLLVVREVAVYKIPPRSTSGGYKCGEWLQSDRIWAGRLRVVSCGDRCEIRLEDPGSGDLFAACFVLPGQRESSVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREHEKETAAGGAGGGGGGPPPAGGAGGEESDDGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGASAKPKASMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGHNTGIMGPIEPAKRNSDAFSDLSSMKQNLPSSTESAQTKSTGAGWAAF >OB10G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10283459:10287696:1 gene:OB10G20370 transcript:OB10G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGSEDAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKERRCSLEKKLADANVSEEEHNNILKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLRKAEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDNEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPAKTQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTAEAKDVISKLLCNVDQRLGTKGAEEIKEHSWFGGVEWDKLYELEAAYTPQVTDELDTQNFEKFEESSDSIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFGILLRTVDDIDSSPEEEEQPPEVNDEGSARKTEPEGPELSRSLSSPST >OB10G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10291609:10297093:1 gene:OB10G20380 transcript:OB10G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIHNGEEVGIKLEPVRSRHPQLHYESKVYMQMQGGNGIPHMKWYGVTGDYNVMVIDLLGPSLEDLFNSCNRKFSLKTVLMLADQIINRVEYMHSKGFIHRDIKPDNFLIGLGRKVNQVYIIDYGLAKKYKDLQTQKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFTSYFHYCRSLRFEDRPDYSYLKKLFRDIFTREGHQLDYIFDWTTSKSPQMGSSTKLIQQASGRMVGVGQSVERTDKTAVGQEIRDRFTGAVEAFARRNPGSGRHPDNSRHKSLADSFGSSTEAVVDSERARIMSRNRSSSKIPDTATPSSRPTSSKGDCGDQNRSTSRWVSTTTSSSSRPSAGAQRHHHHPAGVEDRSSPVARKAPPAAGRGPAALRCFERLSIGGERRK >OB10G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10294007:10297426:-1 gene:OB10G20390 transcript:OB10G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTLRRYYEVSPAAASWSPPRRRPAGQKMTAMHMHMAFSSRFGISENGNLREGRGGEGRGGGRRFQRYDRPLGLQILQGAASRWFVVVVVVVVGSGSEMVSRFLPPRPFRSLPPLAADREALEATESGGPPPCRRRRFPGDGGGPVFDAGRVVVVPLGAGGRAAAAAGGGRHPPAGTPVLVAAVAFRGSRPAGWSGCVGNLRRAPVPRHDSCSLRINNLMHARKKTKEPKESARLLCLELSGWRPEPGFLLAKASTAPGTTVVEI >OB10G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10300212:10300925:1 gene:OB10G20400 transcript:OB10G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASPQASGDLPLRRGDLQVDGAATSPLRRSDPPTPASPPPATSSATSQRSDLPPPARRPFPLQRGDLPPLPLTVRRPLPPTPGHSPVQAASLATPDACELVAPTTGHSPRRLRARSPGVATASSFPWGGDGDLFIPPDPAQKNGGEDLSDGDDTRQREERTRKGTSTSPPDEPGAASVDRQLERLRRRSQTRERCDALTPPLCVRRPGFARFHPVRPIWWYEYFLLVQSIPPSP >OB10G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10301302:10307485:-1 gene:OB10G20410 transcript:OB10G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting-associated protein 2.3 [Source:Projected from Arabidopsis thaliana (AT1G03950) TAIR;Acc:AT1G03950] MEVASLFRTPQPHHLAELRIEGADRSPVAFSDPCGVGRKRRCLFPAAFSPRKRMLLELPPFTSASGSAVGLQITLPPKKATKTEPPAVIADPSLGGATPPASTDTPCCRFLTPPAKASDQEKKLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRIAGKQVQADESSELDELEKRLAALKNP >OB10G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10312449:10319761:1 gene:OB10G20420 transcript:OB10G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVARKDAAALDPELLQLPELSPGALRENSGLAEALYSQWLALPETSKLVHSLIEDAKAGATLNIAGASASTNAASSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDAAGSGTVTRDAFVDYWINDNKITMDTASQIFEILRKPGYNYLAQEDFKPLLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRVFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >OB10G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10324143:10328788:1 gene:OB10G20430 transcript:OB10G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62930) TAIR;Acc:AT5G62930] MTTTPPGAGSCPSAPSAGHPPVCLADPSKEMAVRPRLVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLLHQIFPMVGTAPPLATTVFFGANDAAILGRTSERQHVPVAEYKDNLKRIVNHLKDCSKSMVIMLITPPPVDEDGRERFARSLYGEEARRLPERTNEMAGVYADQCIELAKEMNIHCIDIWSKMQETAGWQKLYLSDGLHLTPEGNAVVHKQVVQTLRNVGLKAEDMPYDFPHHSRIDGTCPGKAFQLSVVQLESLACGTSGDLISL >OB10G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10331174:10332955:-1 gene:OB10G20440 transcript:OB10G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08610) TAIR;Acc:AT1G08610] MKMAANSGAVSCHRCGLWSNSSAPVTVNAPMRSLRVRAQSVYLDYPARTTEQQKSGGNLVVMRPRDGDFFRGKNEGGLAVAQPDRLPQDGFRKVAADPEKKDVLPLHAKMGKAMVESSEGMDLESLSEHGGMRYGDSLRRYCNSGKLIQACCVMDEMVLHGEIPESKCCVRIIRGLVKTGKANKARHVLEVMVLSGGVPDTISCNMLIAQLCRGGQLSSALQVLEDMRFSGCSPSGITFNTLIRCMFNQRLYDRAISFWKEQLRLGWPPYVMTSTLLVDLVCKKCGPQKAMEVLDELSLEGCQPDIVTYNALISATCKAGRLNDAKIIITRLIAEGLKPNGTTYCILLHALCNKRRWDEVCDLLSDMKQANCDPDVTTYNIFINYFCKHGHLDEAIDVLEKMVANNCSPDIVTCNTLLNAISKKGMVDEALGIARYIRENGYKSVLITYNTLIDALAKKAEVKKAMVLFDEMVIDGISPDDITYGSLVMGFCKKNMADEALDLLNQMLTLGFEVKTTTFVMVINALCRDGKVEAAAEIIKVMLSKDTIPRNSFCSSVITKIAKSGWTKEAEMLHQKLTECKILKEDAQVILSS >OB10G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10335022:10340094:1 gene:OB10G20450 transcript:OB10G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLRATMLAIEQACSLIQMHMSPAEAEKVISSLHSAPMPYQACRFILETSVMPNARFQAAGAIGDAAIREWGILSDDNKKSLIVYCLNYVMEHASSPEGYVQAKVSAVSARLLKRGWIEFSDQEKAAIFFEIEQSIRGIHGLGRQFATINFLEALVSEFSPATASAMCLPKEFHDQCEWSLEVKFLKDFYCWAQAAVFNIADSILNGEAGVAEEKACSAAFRLMFQILGWNFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSMWSDILISSGHNTWVLNFYTTARQKFSYDTLWVDSPIATSCRQLIVQLCSLAGSVFPNDNADAQIKHLVHILSAVVLWIEPPDVIAASIRSGASESEFIDGCHALLSMASLSTCSLFNNLLKSMRHYGTINLLSALTSVAVKSSLDNQNEEETWGSEALDILLETWNVILGVLYPSCISCLIYTCVFLSA >OB10G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10344510:10344731:1 gene:OB10G20460 transcript:OB10G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYFSRISQVREHLQKQYGHARTAWGPLVHCSSPTWPTLRFQASAPATCFFCFAAATSSAPPPHRQIDNRIR >OB10G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10346243:10352969:-1 gene:OB10G20470 transcript:OB10G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCITGAPPALPVKIHDPVFLWKIYGFSALLQRGSLVACSATFRCSGYKWFLEVRPMHKTVADETPYVALNLALSRTGFQAGYTMNVVFVLSLYNYSKGNFLVFKASYSFDVKNTHSGNICLISHEELLKSSEYLLDDTCVLGVEILQVDVCPSPNRSYRKVVEVPKKFVSVQNLFLQKKGFTKGDYTWTMNNFLELDLKLSVPSPFEIGGCKWFIRMYPRGDEYSTNSLSMFLHPQSSDELSPESGMMIELTLSILNQEHVPLYKLSARFVFADNNGWGWSNFIGLNKFKDLVGSSCIVKADITIIGSSSTLDPASTAPSRRRNASSYEFLFTPSSSSSPKPYALLQSLPRRLPSTASPFFFAVHNETSPPKSASPRGGELRLLDLTRRDAAAIINLLAMLSSVHVLAILGYIAVHSAALGTVFASVAGRHLQGQQRRWFHFSGIALGAKRLTGFAFLRWATRDAIVQMLCLWFFSEVHDQAQLFRLFVVAKLMPFSASVNPWLAAAVAGPELDGFFIAWALLDAIVSVLFTVVPWVVVMDRDPRPPGRNTVKEGCYLISLMATDATLIKCWETVVCGSMGRVAMVAFGGKILGGFLHSIAEVYFMVVWLMFYFSARFTGSIGVQLFFSSIDMTDLSLVIWKSVLTSGASP >OB10G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10368773:10372957:-1 gene:OB10G20480 transcript:OB10G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPDPSDARKFPGKYILCIACISFSVYKVSEDQEEGRNHVPFAEKLSNSAAQYAMALHVRHTGDMQQLPCTALKDQWITMGASPSRGRSDKGQSLKSIQAGRGSDSAAFSGSSDYVQGEGWTYSRVFEIMGLSWYLKLNPRDKKSGDEEEYVSLNLELCNTSLKSDTVVDASFKFLISNQSYGKHSEHQVTHKFQTASTSSGTSCMIPLMTLKKSSGFIVNNSCVFGVEFIKAVTAKANNASEILFVQKMNTFDEAKFYTWDIEDFFALKSPSYSPEFELGGHKWCLSICPSGHDKNGNYLSLYLHMKKQDITFENSVALVEYCIAIKDQETGKHWKEAGRCQFSDREKGDGWGWAKFMSLERFKESWSAALKPILPIIGSSSMG >OB10G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10374178:10379717:1 gene:OB10G20490 transcript:OB10G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSPVVPDPTVLGVQLHLPLRHPAALSRPVXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPSPPPCVLFGLNPACPFPLSLSRRRGTGSTGSPPMGPGSPRGARSTRHPPPLRCAAPLHGCFLIMFSI >OB10G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10377667:10382113:-1 gene:OB10G20500 transcript:OB10G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDRFGHRPARTARGTRSWFFGGFGVFLTSPGSGSPSHRHPRTPPPDSRADPPFLAFRLLFSSLPPAAPSPRIHLHTQQQQQQRTPPPPPRTTKTDEEEGHLLGSAAGRVE >OB10G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10385145:10389927:1 gene:OB10G20510 transcript:OB10G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MTESEEDAVGRDTRAPPFVGGIARGAPRIGCKGGAVGEEEEEGLEREASVVARIELCHALVRERRWREMRACLTQLVSEQGSGSAPTLCDILWHRFREWDPNSCVWDALANSYARAQMVHDALYVLSKMSSLNMKISVFTYDSLLHGLRKTDMALELFEEMESRGVSPSEYSHSIVIDGLCKQDKVGEALSFLQEARKEGRFKPVGMAFNILMSALCNWGFVQSAKSFLCLMLKYGLIPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMGLDTVTYNSLINGYRLLGLTREITKIIQIMRSQGIEPDLVTYTILIAGHCEHGDVEEGMKIRKDVLDQGLQLNIVTYSVLLNALFKKGLFYEIDNLLAEIYNIGLDMDVVAYSILIHGYCKLGEIERALQVCNVMCRSHRVVPTSLNHLSILLGLCKKGLLVEARWYLENVAIKYQPTDVVFYNAVIDGYAKIGDIINAVHLYDQITVAGMHPTIVTCNSLLYGYCKSGDLELAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNAMLSLFDEMAAKRIKANAVTYSVVIKGLCKQLRFDEAISVLSDMNNEGYADPITYNTLIQGFCEAQNIQMAFRIYDIMLCRGLVPTPVTYNLLINVLCLKGKVNQAEMLLESLREKGIKLRKFAYTTLIKAQCAKGMPINAVLLIELCRKVTSLSIYPYYMHLLSKLVLKNIQIKNVKSLRLYLKFSFWSSGSAQTEDMSCGDIFSSISSGEYILGRPLSKAAESGYKQVLICSCPTNKTSINEADRQMALRSWNVKFRRISRDATTVELQLCNDKFSVIEWSLYRHH >OB10G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10390990:10394812:1 gene:OB10G20520 transcript:OB10G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWTAPKRQPVVVGESRGRRMDARRRKVRDKPHYHWDRKIEERDNSSSHMQPVDYRRTRCLEIRCVMFFGENFWQLNRALASLSMPWRIKSIRCFHRGSKSFIGVSEGLSLCRPHDRSAPAPAFTVPRRCSS >OB10G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10407593:10412437:1 gene:OB10G20530 transcript:OB10G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:J3N3F5] MRQTRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEISGETVGEVRAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVMAPTAEELMEKLEEYVPYHDRVASKLNWEIGHLGY >OB10G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10413384:10416315:-1 gene:OB10G20540 transcript:OB10G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGRGLGTREDSPWRAGRSRATRIDGGGGGGGGGGGGGGGGGGGGGGGGGGGEGGRGVDQLGSISSSWAVAGLLGKVLEGRRGAGARTGPAQPIQPLWFNVHSDIAAIAVSLPLPDHPPLLPITMEDMA >OB10G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10416233:10418559:1 gene:OB10G20550 transcript:OB10G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIANLIVMGSSIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEILQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKNNKQDVPPP >OB10G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10428098:10429915:1 gene:OB10G20560 transcript:OB10G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSCSRAAAAAGEEEMSSSTAATTDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDARTVVSFGLLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTMLLETIFLSKKFSRSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVSQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDRLLTNRDVFAFSYTMQVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRKKAEGPSPPLPLSSSQMGEKDAEPLLGGAAAKSSPWNEAKGVQSFDEVPRTAKTAFTRP >OB10G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10445912:10454336:1 gene:OB10G20570 transcript:OB10G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVVVESAAGRGGGGGGEGEGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAAPSPSSSSSSSSSSSSKREDPIRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNATNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRNNRMHSIDWTVFSSVHNDPMAADVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTIMSLGQSRSEWDFCLQEGRVVEHIDGHTDIIHKKLKGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYRCRSERGYVRACLKSGGYVISPVNQGNQSVVKHMLAIDWKFWKSYLFTSSAKSITIRMLGRVAALREFFRAKNGNCACLEFSSGELTRDMRLRQGENERIKIEMLPSGENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDESEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVRKLHDLAVQKKGYVDLQGAADFDNGSFCYGCTLPKDSSCTMPSTWAMADPTTFLIRGESYLLDRHKIKAHSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGNKFFFIVNIQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >OB10G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10466628:10466942:-1 gene:OB10G20580 transcript:OB10G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSNRQIKNQRVHKPPISQLRDRRGSLGQCRKRYCIYIRARSPSPSQNPNLSRLRERKEKSSNLSRLFSLGRARGGGRRGGRCARVLQRHSGLELRRVLDVF >OB10G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10467117:10471904:1 gene:OB10G20590 transcript:OB10G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3N3G1] MKEVGEEDRCLDPQLWHACAGGMVQMPAVRSRVYYFPALVLCCVEGVQFLADPESDEVYAKIRLAPVGPGEVEFQEPEELCPLGGDPSEPPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRLGELCVGIRRAKRVACGGMECMSGWNAPGYGGFSAFLKEEESKMMKSPGGGYMRGRGKVRIADVAEAAGLAANGQPFEVAYYPRASTPEFVVKAASVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVSWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSSPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDNAPAGIQGARHAQFGLPITDHQLNKLHLGLFHGGSFNRLDAIALPSRISKGFMVSSAPAHDNVSCLLSIGTPQTTEKCDDRKTTSHIMLFGKAIFTEQQITSSGSMETLSPGVTGNSSPTGNEQKAGNASDGSGSSICVGFLSQGHEASDLGLEAGHCKVFLESEDVGRTIDLSVLRSYEELYGQLADMFGIEKQEIISHLHYRDAAGVVKHTGEVPFSHFMKVARRLTIIAGDKGRIERPLIECLVEQA >OB10G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10498231:10504833:1 gene:OB10G20600 transcript:OB10G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSEGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPQNALRDASNPTGLLTIAEETLAEFLSKATGTAVDWVPMPGMKPGPDSFGIVAISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTGVPAGNGGTIELIYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKVRNTSTIANAFGPPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLLSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTCFPQDGAPTGRTLDLASSLEVGSTTQPTGDATLDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGELLLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYAYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNSVHCLAFCFVNWSFV >OB10G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10511400:10517532:-1 gene:OB10G20610 transcript:OB10G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dsRNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) TAIR;Acc:AT2G28380] MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSSLRQLVRQEANSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERKTAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAASQTPFRLTESPNPRSRFPAAEAAPYVPVGHFRMPCHSMAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPLFTPSGPVQGPKSMMPVQIKDVQHQQIKETRSPVMPVQVRDAQHQLLKGSLSPAIPIQIKDVQSQPPKESLSPAIPVQIKDVQLQPRNEPLSIGKGVVPLPVIKPPVKVEGPAHVKEASQAAAKDVPCVAADSAVVQSSGDTSPESLPKTQSKVADADNGDAEDHLPVDAEEVEDIIRHLELK >OB10G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10533551:10533943:-1 gene:OB10G20620 transcript:OB10G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTSSSSAASAPPPPSPSARAPAAPSRAATSAASATPSGRRPASRTSTDSTIPSPPVCYHYYYSLRSPPPPPPPEEEEDAEKSRERERDQRLWFWHFFPPRGSMYYHHYCHHYYYYYDLANRLLVKKRSIN >OB10G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10542828:10543052:-1 gene:OB10G20630 transcript:OB10G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRLRFSLLFSIPSVAFSRDATRRHAVFAVSYAAMAGRSSGMSMVAAHRLFAAPQAPQHGADAAVELDEAEVIW >OB10G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10551933:10555551:1 gene:OB10G20640 transcript:OB10G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGEMMNAACRRCRRERQRRQPHAAVADDAPRRPEAEGDEQEGSASAPPAVPASSGRSTRPASRGANVVDAVYSKGAGLAAEVAGTFVLVYTVFSATDAQRSARDSHIPVFDTFVSFPLSSGELLCKCWLPCPSVSRCSSCTSPLSRSPARRFGAAVVYNQANAWHDQWIFWVGPLVGAAIATLYHEHVLRASALKALGSFKGVRQQ >OB10G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10559788:10559961:-1 gene:OB10G20650 transcript:OB10G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSGPTKHFHIYSAPAQIHGPPLWAHINIGLAPKLSTKRIRQWASPAGPLGVHLRR >OB10G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10563338:10565026:-1 gene:OB10G20660 transcript:OB10G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRVMMLGPAQPITRSRLHTSPAAHRPGPWKPWRRGGRRSGAPPPQNLVVSPAAAATTAMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLADADAAAAPSPFSYQPNETSGRRSSTQLAHVWNKTVDETLGRLVAYLSSRGW >OB10G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10566779:10569957:-1 gene:OB10G20670 transcript:OB10G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G45530) TAIR;Acc:AT2G45530] ASAVSGPXXXXXXXXXXXXXEAAPPAGVVTVVISQPEEGAEPKGVAPASLAPVGAGDGGAKVAAAAVKEAELARTDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSAYGRGRGGHERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRRMPRLPMDGMAPSGYHPGV >OB10G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10579621:10580073:-1 gene:OB10G20680 transcript:OB10G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGLVRGGSNGAGLGRGGFTTYSLRRRRSGSTTDKHKDGDDNGANLSGGSTIASLRRGRSTGHITMMMIVMVLGATRTTYTCLRRGGSTTREHDSSDDNHGGLGNGEDDKSGLESSGFDDDGLKSSRFDNNGLMRANSPLTTKKQQQR >OB10G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10582148:10585873:1 gene:OB10G20690 transcript:OB10G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIDDVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLVIYNANVKQLVDVAGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKAGWQRQAMVAEVEAAKAVAIRDAQLQVEVERTNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEALLYEQEKQAEARRASAEAAFFTRQREAEAELYAKRKEAEGLVAMGDAQSAYLSAMLAALGGSYAALRDYLMVSSGAYQEMARINADAIRGLEPKISVWGNGGGGEGGDGAGGAMKEVAGVYKMLPPLLTTVNEQTGMLPPAWMGTLTAGGSSSTR >OB10G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10589035:10590290:-1 gene:OB10G20700 transcript:OB10G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDFRKMTTTEIILACHLATELLDMGHEDRPPAAAGDEEAAPPPPAGAGDNQQQKKRKEDREIASVLSRYCLFLVVQIPELLPDDETWVSERYEATRDCLDFVSRWSDDPFFPTSRRWKKKNNIAKIVKSGQWEDLPDFDDPTARRGAMLFHRLRAAGAGKNEASSDEPWAQLARFWVHLLIYLAPSNDVQGPAKALTSWGSTDLITCLWALCTHAGLTRQPPDQPAELAVVYGDDDDQLTTTHSTTAMTTMSSNQRNLLLLFCMLHCAPVLTLRNKVRAIRPSVPRVLDYCVLCMRLIGPCCQCDGCAEPSVRGIFFRDLLSSNKNYFEVSVSQLLIIFLPLDLTEQDSVIFVWFCVDFPDKIYINLSA >OB10G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10592215:10594608:-1 gene:OB10G20710 transcript:OB10G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGDAKLLFVVVVMAVMGSTLYINGFMQLFFPWRPKIGSFHLFLRRFSPTAVVRFVLNFAFFQFVPLVSATFSFSQSAGGGEQKSDSELLLMLLWLLLVDLILKKVQGLLLPTDGSSFSRGTGRFTLMDYAYDLSHLVWVGYLIYTNLPQLASLIVIFVALWSLCLAKLLLSVVNRRLASVSWHTARNPLLIAGYMQKLMEKQQTSASSDADADAATLSTCKFVVMGEHRLVAHYEEGRDNRNNLKDKLEPATISIHGYGYGVGRRIPPPPRAGAGAGVAVPYYCDQSEQKHLHLLTDPDEYERPGDEDPREKGRLVTVQHVLSMHKGHPALFYGRRRQLLEDLCLSFSLFKMLRRRFEHYPMVEVGSDMARAMMLDGLLNLETQPPEGKFQKLCNKLMVTCGLCRSGDDGEAQTTLVHRRPFQVLQLELELLANYYQQAAAPVVMSQPILFIVNFVSSFVFLALLIGAVLYVIFIVKPRESILLYCRMMHAAGANGRVNYVSSSLSITVLLVLTVVAIETHEFWTVHVFSNWNIVRMVCIYYRANHRRCWLRSLYFLVISIRFFTFSLSKSEMTIYQVSIFDTCGPVDRGKY >OB10G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10598868:10601474:-1 gene:OB10G20720 transcript:OB10G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLVAGASEYLAITGWGIDDVKLTKKAWVWVGQRCKTIDITPVTYEFTVQAMSSEKLAFKLPAVFTIGPKVKRSPGEDEADAQRRQECDEEALLLYAKLIAPLHPRSDHFINLIKGVIEGETRVLAASMTMEEIFQGTKTFKDQVFNQVQLDLDKFGLYIYNANIKQLEDEPGHEYFSFLGQKTQQEAQNQAKVDVAEARMKGEVGAKERDGLTRQNAAKVDAETKVLSVRQQGVGLREEAKVKSEVQVYENEREAEVRRAGLDKQSKVAEVEGVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKAIVQYETQMQESNAAFYDRQKAAEATLYEQVKSAEARRAQADAKFFEQKMAEDARLYARQREAEALAMVGKAKAEYVALMLQALGGNYGALRDYLMIDGGVYQEMARINAGAVSGMQPKISIWSNDDVGGANGGGEGGAGAMQQMADVYKMLPPLLSTVHEQTGMQPPPWIGCLP >OB10G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10604033:10605709:-1 gene:OB10G20730 transcript:OB10G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGASEYLAITGWGIDDVKLAKKSWVFAGQQCKKFDISPVNYEFNVQAMSAEKLAFNLPAVFTIGPKILPPPGNDASRQGHRRALMPESEEALLLYAKLIAPLDHASNHVKELVKGVIEGETRVLAASMTMEEIFQGTKKFRKEVFEQVQLDLNKFGLYIYNANVKQLVDEPGHEYFSYLGRKTQQEVVNQAKVDVAEARMKGEVGAKERDGLTRQNAAKVDAETKVLSVRQQGIGLREEAKVKSEVQVYENEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYETQVQESNAALYSRQKAAEATLYEQVKSAEARKAQADATFFEQKLAEDARLYARQKEAEALAMVGKAKAEYVASMLQALGGDYGALRDYLMIDGGVYQEMARINAGAVSGMQPKISIWSNDGGASGGGEAGAGAMQQMAGVYKMLPPLLSTVHEQTGMEPPSWLATLPKDAAN >OB10G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10605212:10605703:1 gene:OB10G20740 transcript:OB10G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAASTRVSPSITPFTSSFTWLDAWSSGAMSLAYRRSCSSLQVSGADGEDGGEVEGQLLGAHGLHVELVVHRRDVELLALLPGEHPRLLRQLHVVDPPPSDGQVLRRAGDHET >OB10G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10608777:10613163:-1 gene:OB10G20750 transcript:OB10G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaredoxin-related [Source:Projected from Arabidopsis thaliana (AT3G11920) TAIR;Acc:AT3G11920] MDTYGVQEIHLPNVKVTVRLKDAIEADAEDDAAGDDGFTFSGSHIKGRVSFFSRSGCRDCAAVRAFFRQSVLPYVEINLDVFPEREAEFASRAGASARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDAAPRVPVYGFDDPSKEDDKEDAMVGIVRVLRHRLPIQDRLVRLKLVKNCFSGADMVDGIVNHLECSRKKAVEIGKELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAVPRYYNFIRGATNDGEPKPAAAIGQRMTKIMVAIMEAYASDDSRHLDYSRIAASEEFRRYVNLVQELQRVDMSALPAEERLPFFLNLHNAMAIHAVVRVGQPGAVERRSFFPAFQYVVGGHPYSLATIRNGILRSNRRQPYTIARPFGSSDKRLELVQGKVNPLVHFGLCDATRSSPIVRFFSTHGVEPELRHAAREFFLTGGVEIDLESRTVHLTRIIKWYSADFGQEREILKWILNYLDPTKAGLLTHLLNDGGQINISYLNYDWSLNV >OB10G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10620858:10622929:-1 gene:OB10G20760 transcript:OB10G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACMVSQDNSRRCLPAWMLKPCSSNEVSKTQYRNEPVLESDKQGVDLDQIKPVRRKRVRQDKTVDAEDAGELGGLQPCQGLKKARRKCVDAVKDDHEESARITTKNARKVSGRSAPKNSRKRKLENVELEAPSETIDDDIELTVEDLVSIAEEYVKADKAKRHEVEATKTARYNEHRPSISTKADSGGSIINARSELPETTTKSNTAPSESSRAESNKQQVQCRPSFTATGDVAQDMLNIFFGPLLSKCSGYEKKSKAVESLVESANHATEKKESANRPTEKNDLHSDIQKQVEPAMKKKSSLKDKVPLFL >OB10G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10625572:10637853:1 gene:OB10G20770 transcript:OB10G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMLAPAVAVVALVVFASAWVPVAAADPQATLLNLGCSQYNATPAAAFLAALNATFAGSGATSRPAVGPHPFAHAYGWKSSQKGAVIGGILGGMAFLFLLGLLAFLWTWRSTKLLKPRRGDILGATELQGPTSVYYQDLKAATNNFSEKSKLGEGGFGDVFKGLLQNGKTVAVKGLTVMETSRAKADFESEVKLISNVHHRNLVWLLGCSSKGSQCLLVYEYMANGSLDKFLFGEKRGTLNWKQRFNIIVGMARGIAYLHQEFHVCIIHRDIKSSNVLLDDEFQPKVADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKAWKLYENNNLIELVDKSLDPEEYNPEQVVEFFLRLNVPEVSVSEIGAEMMESKLFKKYDKGAYRICFCSRSILISHTDLTVSDNVDSRRLFLMISSSCSTECHMKMDRIFLQEKRLNIRVKHANDRFSNIKYPDKVWLKTIKNSCCYAHQKTGIVFLLSLYLWCTVTLYAHYGRGEKGITLCWHENVIAMILEDEWTSFYIREMQQPSNFSKTVSALVVKLYLMDSSHTIRGHPPWHGWWPIIFSEPGTLCTVLHNSNCAKDLVSRAEPQRPNWRSVVKSNRRSHGDWLSLKDY >OB10G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10638252:10642548:1 gene:OB10G20780 transcript:OB10G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAMVCTRVNLLILVLSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPSAVLKPQAPRDISLLLSFLSASPLGMVTVAARGAGHSIHGQAQALDGIVVEMTSLPSEIEFYRGGEGDVSYADVGGGTMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPIKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGTFTKDQELLVSMTDLVDYVEGFIVLNEQSLHSSSIAFPANVDFNPDFGTKNSPKIYYCIEFAVHDYHNTNINVEQVVEVISRQMSHIVSHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPRAGVSDFKDLLMDSISPDSFEGLILIYPLLRHKWDTNTSAVLPDTGSTEQVMYAVGILRSANPDDGCSHHCLQELLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSRSARPGSTRGASSGQARAYSPAAPSSMESMEAIADSRKRSAVTAAGPVDCRQRRLGWEDLVALSFFSIAFSALFSSAFFFLF >OB10G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10652735:10652908:1 gene:OB10G20790 transcript:OB10G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLLLILAXXXXXXXXXXXSPAGHGHHRFPRPPPGPPPRPRPRSPQPPPPPLHPG >OB10G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10653040:10654839:1 gene:OB10G20800 transcript:OB10G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVWSVLAGAAAPSPYDELYGDEDEESSDSESPKKVGYVIIPGVESHDGVNIDAKLVNDFPYHSCIRLVLNVAG >OB10G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10655934:10658309:-1 gene:OB10G20810 transcript:OB10G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCEQKKAGGGPFFLPNDILIDIISYLPAKSVARFRSVSRSWRAMLSSKHFLQLHLRRANRPGHLKVFCHPPGRGECRFFYSWSQQHGGPAKKFRRSFTGEFDHVATRTLHGLVLARRYLGDCGYCVLNPCTNAFLALPDSKFPLKRNHGTHGFVRNLSGVFRTPAYVNVAYGLGYCSATGEYKVARLFNRRCAGREVAATSCEIFVLGSPAARWRPSAQQLPADYDVDIFPRTAVFLNGLMYFLCRERFVVIALDIGDETFPGSPLPLPVAKVPEVRLGLAELGGCLCAYYAEPWYDVFHLWLLRDRGRDCEEAARWEHLCRIDMAVWPDPDGFRWFSVFPLAIHDGGGVKKIVFSTGSCKVFTVDVNGAGAATAGVEILLEPEDATAITCRFEQSYAPRLGLFEESLVPVGDPAEEAPFSSPATAAWSEVLKWLPARTVSDLSLVSGEWRAMVTTNRFIRSHAVHANLVARHPWIKLVDGVGHGRNFIAGFVDLGDLIASGSMPRVLTCTPIIFSPPCHGLNLGTFRYRNYVFNPCTGYQLELTGYFEYDDVFALGYDAVFGRHVVVHLSYDWRDFEARSYGRLRCQIMLVGGDESWEKLGESPPRPVDLDVPAAYAGGKIYWAVDSQLGPPPGPAASCELVALDMTGGVAHEFEVIQGPPCRHGDGTRMTLLDLEGAVCVACSDSSANAIDMWAVEDDGAWSLKHRVELGGFSPEYSSEKTTPMAVDPVDGRILLNTGTSLGYYDPKTAALETIYRLDIQEDDVDDPSRFSAVVCHESLVCPLPGKF >OB10G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10663128:10663463:1 gene:OB10G20820 transcript:OB10G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCARTLIELPEASPGSFCKVSNEAEKPNKRFLICDHSLCSYKFYHIIYLKPKQIASDEQFDNGNWYCPSCLCRVCKIDRDDEQIILCDGCDEGYHLYCLTPPLTSVPEG >OB10G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10666661:10668367:-1 gene:OB10G20830 transcript:OB10G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09820) TAIR;Acc:AT1G09820] MPLATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFTRWSRAHFRAPLPLRLHGLLLAGLASQGHYSLLRSELHTLAAARLHSHASILRSLPSSPSASAASAPLIADMFILALARASRPLRAYDAFLLSETSHPRHRPSTISVNALLAALVGANRVDLAEKAFRSALRRRASPDIYTFNTVISGLCRVGQLRKARDCAREIRLWGLAPSVATYNSLIDGFCKRGGAGNMYHVDMLLKEMVEAGISPSAVTFGVLTNAYCRNSNIAAAVRVFEEMKQQGIAASAAIYNVLISGLCGEGRVEEGLKMMKEMEDLGLPPNEITFGRVLNGFCKKGMVANAKDWIDGMVERNVQPSVVTYNMLIGGYRRLGKMEDAMAVKEAMAKKGISPNVRTYNCLITGFSRNGDWRSASGLRDEMKEKGIEADVVTYNVLIGALCGKGEVPKAVKLLDEMLKVGLEPNHLTYNTIIQGFCDKGNIKAAYEIRTRMEKFRKRANVVTYNVLIKYFCSLGKMEQADDLINEMLDKGLVPNTITYETIKEKMMEKGYVPDIRGCTVSQASQNPSS >OB10G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10669709:10674807:-1 gene:OB10G20840 transcript:OB10G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G22450) TAIR;Acc:AT3G22450] MKNMQAEDAFKGLQVAIKCKSSDDERGQIPVNADRPGRAPAVYISAALLTSAVAPLSGILVLFREFSDHAHKTLPAPPRHSTKQILPPQNFPCSHANPLGDRFRIDMVDSDLWPASFDLSMDLAPKNGSPDDFQENEDEEVHDSEDEIDDMRHRKKLFYKLDRGSKEFEENNVSLRHRWKREKGNAKNPKECKKVDPREFASLKAPKLKKKCTVREDEVVEAKRDRVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVTSRVVTVTHSISKDMKCDVGSRKGMKACAAVGAVLAKRAIEDDIHNAIYTPRKGDRIEGKIEIVLRSIIDNGVDVKVKLKQRKPTKNTLVMQNTQSR >OB10G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10676460:10678814:1 gene:OB10G20850 transcript:OB10G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPDDILIDIFSYLPARSAARLPALSRSWRAALSSAFFVDLHLRRANRTPRLLCGACDYKLEKEWCFYAVCLGGSGGGGGGGRRGHVEELMRGEFSDVTACFGKNGLYVCNPSTGEVLAVPDTEIPRKTTLRPSRALPRPPRYLRVAYGLGYSSATREMKVVRLFSQDTSDPLGPSPTICEVFVLDTPACWRPAAGKPPPECVVEYNTAGAFLNVSLHFLWRDGRSIVTFNVTDESFGTLPLSPPPAATAGAEDWQIVETITELDGRLCVCQSGRKNIWPLDLSSMAAARRRRRRNDGVGETLFHRRDRKAVPRPESALGRLGRAAAAMHARGQEDLDADGAESLTPVGRTAEEIALSSPATRAWFYVLKWLPARTVSELSLACREWRAVVTTDSFVRSHAVHANMAARRPRVRFLAETIAITYGIDRADEVYTPFPSAKPFVYVPVTSAKLFVCSQPCHGLNVGSFSNRLDFICNPDMEYLPATSSTMMTMTTALAAAAASTTIASTAASRRGTTRRKTTRWWCASPTRRRTSRRGATSCDASCATSNGRSGFACQPRPAAGGLLRDAGVRQRKDLLAGRPGACPAAGANDGVRAGLARLPQRRRVQVRRRAGPTHSVPGRLSVLRLHGALCVACSDRDANTIDVWALKGAVWSMEYRLELAGHSPEYMSENATLMCVDPTSGRILLNTERSLGYYDAETGELETIYRVPRWVEPHERFCAV >OB10G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10679864:10682347:-1 gene:OB10G20860 transcript:OB10G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSEATRRVAPSPIQQLSHLAERVGAVNLAEGFPDFPAPAHVKAAAAAAIAADLNQYRHVQGICDVLAKAMKRDHGLDVDPLTDFAVCCGQSEAFAAATFAVIDQGDEVLLFDPAFETYQTCIELARGVPVYVPLDPPFWSLNEDKFLKSFTNRTKAVVLNSPHNPTGKVFTKEELLIIAQACQKMDCFAITDEVYEYITYDENKHISLASLPGMQDRTIITSSLSKSYSVTGWRVGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSTPDFYSSLKKDYTVRRDFILQMLTDFGFHISFKPQGSIFVFAELPTSWQISDMDFVTNLITNAGVAAVPGCGFFHTSTGDSSCHHRYVRFAFCKSNDTLNSAAHKMRKLAGSDAKMRPLLMDKQFR >OB10G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10684200:10686189:1 gene:OB10G20870 transcript:OB10G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGCCNTNDRGGNGKSHCSGGKAMLLALGKGLPEQVLPQEKVVETYLQDSSCDDPATRAKLERLCKTTTVRTRYTVMSKELLDEHPELKTEGTPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLAARLGLHPNTIRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVIAAETTVLGFRPPSPDRPYDLVGAALFGDGASAVIIGAGPITAEENPFLELQFSRQEFLPGTEKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLELCLELQTEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGVIREEWGLILAFGPGITFEGMLVRGVNRD >OB10G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10688654:10694230:-1 gene:OB10G20880 transcript:OB10G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSDSDDEEHGPSKEDCFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSRRRAIFDQYVRTCAVEERKEKRAAQRAAMEAYKQLLEEASEDINSNKDYQEFKRKWGTDPRFEALDRKARESLFSEKVKSIEEKVQSMRNAVKTDFKSMLRESKDITSTSRWTKVKENFRNDARYKAMKHEEREDAFNEYIAELKSAEKEAEQAAKAKSDEQAKLKERERETRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPKLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERRVRDFRALLSEVITLEIAARTTTDEGKTAINSWTEAKGLLRSDPRYNKLPSKDRESIWRRYADDMARKLKQSDSKEKPDTDGKQQRRSSDPPRRR >OB10G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10696126:10696635:-1 gene:OB10G20890 transcript:OB10G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPSLPARPEAFGAFGASVPGQPSTIFPAPPNLLGRPMAPSASPFPQTSQSVAAPSAFPQSGQQQLYPSYPSAHGIVPPQPLWGYPPQPTGFQQPHFQSYPPGPLGRPMVGSSAVTAYMPNVQPPGVSTDRDSKELSSTNSGSEEPTHTSAELSSTGTVFTGFDDQY >OB10G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10699417:10706748:-1 gene:OB10G20900 transcript:OB10G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLPPALPTPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPPLAAAWPLLLSLLAASRLPASAAAASSGATSGRAEWQVLTRANFSSQIRLHPHVLLIVTMPWYGESRSLMAEIQRLVAADEQELGRLKLMVVHRNSEKLLTDVLDATEGIKFIYYQHSLPFKYQGKLRTREILSSVHYIMSLKHAETPFVVLHTKEDVEAFVESTDKAVILSEFCGWFSKLAHGGSNRTEGTSSNNHTENVDIPGKTLTRESDGPLELVIEDEELNFGGGVQLTGSPWKGGFTLANGSVSDQIRITTDVNRTLCTAEKLHQFESFYAKLIALSRDYFLPPEKVRFGLITERSSLPSLEFINEGNLETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLDINEMDVDASGVATFPASRPSAILFIDRLSDSSKVRDESKLHLKLLREYVQKKYPSHFSTGGLSNGKSRMSSRAVPSLMSTSRSAHTEQTRLSAWASKLMEFGDKMSVMVVNDGESISYRSASQGSTDNPLYDILTKLLQKTRPAHRSKKTRISFVTKDVGIKQPSDDSEVQVVESLSIRESQPERNDVSFASSDSRNDENRATEAEYIDDGQKPIKPEKGTANYYHTNEKLLESSDTEAEEQHKTKDSDVSLDLQEEISIDVHDSNAPENFCNISKDDLECSDAKMEKQEHKTEASVISSDLQEEVSTDVHSSNQVGDILHKHKDEGTVREAVAILEHDGANVNFNQEKLGSAKQQDDVFPVLGQEFRRIEDVIYEDNLFILDEGSEESDSKYPVHTALSSSSSLVGDNTEYTEQVTPSIPDEHFAGSFFFSDGGYRLLRTLTGGSRIPSLVIIDPIQQKHYVFPDEIEFSYPSLASFFDCYMNQSLSPYYRSALSVISSKELLRPPFINRDFHEADSIPQLTTSNFCMSVFGFEGCDSKNEMPFSNTENIASAWKKDVLVLFSNSWCGFCQRTELVVCEVYQSLKNFGTSNSQFLRAQDLQEKNEESTMKGFPAIYLIDCTLNECHHLLKLAGKEEHYPTLLLFPAESKSAISYERGISVANLFEFLESHTSNSPHLLEYKGFLWKKKMVAQRDAPQAIQFDSSDKSSTEVGSHSPSHLERHEARVLAGSVLTATAKLGSAVPFDNSQVLIVSADSHEGFQGLIINKRLSWDAFKNLDSSMEPIKRAPLFYGGPVVVQGYYLVSLSRVAFDGYLQVMPGVYYGDVAATTQVTRQIKSGEQSSENLWFFLGFSSWGYSQLFDELSEGAWQVSEEPIEHLVWPDN >OB10G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10713496:10718498:1 gene:OB10G20910 transcript:OB10G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYIRDHAGAGLLGWWSGISGNLSDPYGLIIHISAEHGRYVAKSYDTRQLNSDGPGFPIFEIYFAEENGGYHLQAVHLKPEDSDSQQLPNMLREKLDMDSINISSTSFGAKHEDHNEGINMDDQNNDDSDVSAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDEDDDNDNPEVENDSSEDIGDGDNIEVAEAASGEDDVDETGDESDIEALISIDFITEDDKDFTSSSSTESFERMPARLERRDRFAFSFYTDQYSKKQDVEKVQQTSKERVGPRTTQQDDDYLQFDRVKLVGSNRKLSVLQLGIKQHNNKVQQNLYGVTHFSRIQMPISLDPLTGLYMTASGFDSEILSLQRKFGQWREDDSSDEHRDLQFYEYVEAVKLTGDNLVPAGQVVFRAKVGNHYQLPHKGIIPRELGVVARYKGERRIADPGFQNPRWVDGELLVLDGKFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >OB10G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10721154:10721390:1 gene:OB10G20920 transcript:OB10G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIDGYSGTLNVQASQPCPSPPSRSASAPAPGRVRPERPVSQQHRLPPLSLSRRRIRRRARGAAGHLLPPRPAPAER >OB10G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10722512:10724802:1 gene:OB10G20930 transcript:OB10G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLRAEDDPHAVPRANGFSFEIEEADAAAVAVEQSVSPSPSPSSASPLMSSCGQYMLHRVGKLDTLAGIAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSNGSYEGDDRECTPPRRLHDDLLDSVLRTPRHKVSPAMSLLQGYYGLTPPPKDPTHEGTEMSVYGKGKSVCLDDDPWFGEPPDSDPFSFQHRKTRSQAIGYSLLNGGTEENGDSEKLIRRRQKADGELLPREENGSAVLARAGKGLALRPKSGSRQDLNKSQQNLMALVEPSFSDGLHAVRKSSSTPEFQEPESNSSSSSIWSTSKWTLKPDAFTLPLPLPLFDNIPKPIAAWRNKTARD >OB10G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10726322:10740165:1 gene:OB10G20940 transcript:OB10G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MPRPQRPNGRYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRLVLFLAPTVHLVHQQFEVFREYTDLDAMKCSGASGVGEWGVDHWRKEVGRNEIVFMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPVATKGASTVQDCEEHIAQLELTLDAKTYIIEDRNELENFSPPATIVSKYYDAYMVDFEHLKSELQILFEEFDALLVSLQESPSNKFEDTNNILETSRKSLSKYHGKILYSLNDLGPIITSEVVKIHIESIKPLCDSEDCIFSKASLSLHMSYFKEALSLIEEVLPQGYSELMKSESGSEELTKRGYISSKVNTLISIFKSFGSSNEVFSLIFVDRIVTAKAVERFMRGIVNFSCFSISYLTGGSTSKDALSPAVQKFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSDYILMIERGNLQQQENIFRIIQTGYYVKNFALYRHPNALSCDLSIQGMYTYQVQSTGATISADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGSFKCTLTLPPNAAFQRIVGPLSSSSSLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFATTDEKCISGPGTTKRKELHGTTGVLALSGTWIHESESVTLNTYRFNFLCDQEGENYAGFVLLMESELDDDVACSKMDLFLIPNKMVYTTVTPCGKLQLNKKQLSKGKLFQEFFFNGIFGRLFHGSRTSGAQRDLVFKKGHEIQWSSESMYLLLPLRDSSHIQDDINIHWESIESCAGAIEQLWSSYKGDENVIPGNYIPQKIRKGQQDIIHLANKSLHCTTIKDSVVLSLHTGRIYTVLDFILNTSAEDSFDELCNGKASPFTSFVDYYRQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHIDLSTDILKSFYLLPSVMHRLQSLMLASQLRREIGYNQHIPSCLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLHPFPCNCGIQTAFVPSNRRFIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAATLWIMRWLGIDIKCDMKLLQEAKFNASHLCYLSKINDIEELEAKLKYNFSVKGLLLKAITHPSLQELGVNYCYQRLEFLGDSVLDLLITRHLYVTHSDVDPGELTDLRSALVSNENFAQAVVRNNIHNHLQHGSGILLEQITEYVRSNLECQRKENEFLQHATCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLLPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSVQLRDELLIAQGHDRNKKSAKAKVASRILADLKQRGLSIKQCLSKAKQLDIMTSDLQFGLKSSGTQIDCSDLNDYHILQGLPSLKKEVVLQLTTEKGGPRRALFRLCKIFQWPMPEFEFVEQRFRTPIVLDGATTTNFNSFVSTITLHIPDATTITFQGERRTDKKSAQDSASLIMLHKLEELKICICKT >OB10G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10753871:10758818:1 gene:OB10G20950 transcript:OB10G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT1G17330) TAIR;Acc:AT1G17330] MAAAAKPAAVRRAEELVEREMGGRDASHDAAHALRVRDLALSLAAEQGLSSPDRLLIVELAALLHDIGDYKYTKDNAEDMSIMEKFLEELGLEEGQREEIVAIIKGMGFKTEISKKSVVEPTLEFAIVQDADRLDAIGAIGIARCFTYGGSKTNTLHDPKILPRDYLSKEKYMSKNEKQTSINHFHEKLFKLKDMMKTEAGKNRAEKRHKFMEDFVAEFYEEWNGRA >OB10G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10757237:10761174:-1 gene:OB10G20960 transcript:OB10G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDAAVSGAGGHNSTAAGAGLYVRRGLAGMVFFPEVQMVELLVAVFIFVAIHSLRQRRSQGLPSWPVVGMLPSLLLGLRGDMYEWITGVLKARGGTFTFHGPWFTNLHCVVTADPRNLEHLLKTKFGSFPKGPYFRDTVRDLLGDGIFXXXXXXXXKAASLEFHSAEFRALTASSLVELVHRRLLPVLADAEAAGAAVDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFAKAFEDATEATLLRFVTPTAVWRAMRALGVGHQRVLPRALASVDKFAYDVIRKRKEEVAAEQDDDAAAAGRRSDLLTVFTKMRDADTGAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLRQNPAVEATILDEIDGIVAARRSSPAANGAGEDGDELVFHPDEVKRMEYLHAALTEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYTMGRMESIWGEDCREYRPERWLREGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYRVDVVDGHPVSPKMALTMYMKHGLQVKLTKRDCKSKL >OB10G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10768578:10771775:-1 gene:OB10G20970 transcript:OB10G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMPGGAVKEEDEGNMDSSQSAGQAASLVGQEDFMDIFRNDKNLRELLVRVGRRASGTSAKPALPPPLLLLDNLMLNELCKIDHRPALLDFLFPQVQQQQPPPPPPPPAHGAWGKRPAAGPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAACATSSA >OB10G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10773989:10774297:1 gene:OB10G20980 transcript:OB10G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >OB10G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10774911:10777138:-1 gene:OB10G20990 transcript:OB10G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGPRRVSRPWAAALSSPSFVDLHHGRANREGQYSKMLLVPDDGDHLYWWEAPGGDRVERLAYICGVRQASRVIALAGKTCRGLALLRCVPSLRHSVCTPPTGELLLVPDIRVTEVGKSSVSYGLGYSAATREHKIVRLICYYDHGLPGATRCEVFVLDASACWRPSAGKPPVCAVPTGRPAVFFDGKLHFAGYGGYTVTFDVADETFGLLMYPCDVPPIKPPCVSELGGLLYVSHGIHCSDEPQPYTMWELKDYEEAARWEKLCCIDQSAWPDADVASVTPVEIIHAQGGRRGEPRLGGTHGRGDHLLVAGVHGVGGRAQAAPDEIGGEAHRRVPRLARGDRHGPLRPLARRPREAERVNGRPRIMFAHASTTAVKFAAREGAVAAASAAPPLVSRGSKFTCTNSCHGLVICGSATKGYFLCNPGAMGDYRELQVEFNDEAFMSTLDDDGVNTFFHGGVGLGYDVETNLHMAVCLFYTRRDTLTREYQMECIFRDPQVGGAWYATEEPPPRPAANAPPVYVDGKLYWMADANLAGRLPGSRCDEILALDNSTWRFEVVPGPPRRHVLSIVELRDTLCAACSCLGGDTLELWTMKDGHSWSVQSEIDLGGCSPEYSPDTAVPLAVDGSDGRILLSTGRSLGYYDPGTAELETIYSLGAPRDELDDDMFVPVVHYGSLFRPDWRSRHF >OB10G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10785230:10788689:1 gene:OB10G21000 transcript:OB10G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEAEAEETTARPSSSGGGGGGGGGERGCGRRGGGEAGGRRGGLRGRADRRAAPGVTKGFGDHFLFLTEGEEWITVSGCNREFHWMKARSQANDAKAEGNKFFGAAEYESALSQYEIALQIAAELESAEDIRSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIAVYFTPLPLMPYFKTPLVLSFIFISDMKKVIELEPSNEQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQQ >OB10G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10794855:10795456:1 gene:OB10G21010 transcript:OB10G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGELGWVAEVAAEELAKLEASHPGRFGPLKAELKRLIADPAWDAAAPLVSPDGAATTTRSSTSSQYSQPAPVLHLVSTQESSSRKRSWGCNGHGEQEEGKRRRMATAAAAPAGKDRAEMAMERAERCLRRIRAFKASLLGFSD >OB10G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10797409:10801293:1 gene:OB10G21020 transcript:OB10G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nijmegen breakage syndrome 1 [Source:Projected from Arabidopsis thaliana (AT3G02680) TAIR;Acc:AT3G02680] MVWALTPVDTVRGAQKCYIFAAGTYKVGRKDCDVIVQTDTSISRVHAEIAVEKMVAWDPHSGAPASPSYVRVIDRSKYGTFVNKVQGTQGSRLHKDEDAMLADGETVTFGTGNATFRLSFVPIVVFFHGRKSGRISPSLQAVITSIGAYATRKWSDECTHVLVDDSCSLTPELLDAVLAKKHIVLGDWFKVMAERNIHTEMPSCTQYIPKLTLDGMEIKMVEIKLIENCLAGYTFILGPSEKYKFGDKLHALLEYTGAKYLRTDEFCANSQDSEAVENDKEILLVPAKSPLEFSKMRPLFPLSKITDVKLFAAILSGRLEAASIEPPAYIVASSNSTDETIVMDSDVEIDSATSDAIITASKSQHHVEHISDDKKEVTVISEEDAVNLMETNASIDLHNDLEKDVIAKPMGEDAKIIDKTTMHGVKVEDKDVCVITKVPKDETLDSRDETCHVIYSHDLVVKSILQSAHVESIETGGVNFKRFRKRGTVSGNSFRDLIPYSREPYRESDYNRGTVTDFMREEKKRRQMEAIAEDLFNNAKPKKKTAAGSSIHTILTGRR >OB10G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10805870:10806961:-1 gene:OB10G21030 transcript:OB10G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19950) TAIR;Acc:AT3G19950] MSNPAAYYAAVARKQYFCYQCNRTVLLAASAAAAGALSCPECRGDFLEEVNVPAPAIIPFPFALPPMGGAASPTPPSSSSSNAASPSDDLSAFLSSVLSFRDEPDRAPGATSAAGTATPEDEPDGFDAVTFVQNYLQSLVEGGANIQVLLDDASFSLAPGGIGRVGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVIVTDAMVAATDGAECAVCKEDFSPGEGAKQMPCKHIYHSNCIMPWLELHNSCPICRFELPTDDPDYEDRRTSNPQPAVGMAAGAASGSSAVAEERGEESGDSARSVEQRFMSLPWPFNRFGSQTSQQDGNNGGDGASSHASGSKDGGAPGGKN >OB10G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10811672:10814619:1 gene:OB10G21040 transcript:OB10G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQELTSCGGTIGSADCKMNSKRRRSHSPVEHMEGNGKESEVSGRKDDTRDLENDTSNARSGRGQEYVRHSDRHSSGAPRESRRHDDYRRYHDKRADDNDRGHRTSRSERESRADTYYDRTKRDGTSDRSRGDWRNVDSRYDDKLVRREHRSKNQERQDPSRDYPRYDGEHDKYSDGRKQGHNSRRYPEEKESKNKETAKQEEALNKRTGKEIEKMSSIAEPEARIREKRSLFSSVGPDFENAQLNDKVDTSRKIPSLDCSKDGVMINSASVFKDGGIVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSTHWDSNMFSDRERQEKFNKLMSLRMPWWLWPVVGSEEQQLSPGEQQGRQQGRGLVGCQEAGGAGHRPGEALHSWPAPERRPDRGSWPVVVEWPALSCSCYRIGQLWSSFRAHIAGMCGCLTGFSPVELQLVCFVLAPELLFLRDALTTVKGADKLASHACLSSHAICCFQLLLLCRRMMKLKCILAKTSSSSGLREV >OB10G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10815057:10819323:1 gene:OB10G21050 transcript:OB10G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQRIELDKLSVEQLKAVKEQTDLEVNLLQDSLTKIRSAATRLESASAALHDLSLRPHGTPHPPPSLLLLFVLSHAPSDLFLLGKKMLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEGSTPGDSYMIIDNNGYSYMIIDNKSMDSNTCHSRVANHSIWLEIYGIADAARHYVFPTGACPSSGGDNEQGYETERSMVLVEEKTMTQGKEYCERKINLLKSNFDELVECVDFDMVMATKKKTIADEMGVLLQAKLRQSSPSPSS >OB10G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10822429:10833928:1 gene:OB10G21060 transcript:OB10G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVNEKRIEESFGAFFSFNEDSSSEDISLDGLDEELEEHKNYDVLISILANGEKQRDRATLVEGDLGHDEQDLIQDYVEDSDSLVLLHDQIHDCDIILSHIGSLLTGFQVHIGSISSEIRSLQERSLDISVRLNNRKLVETKLAKFVEEIVAPPGLVTVIIDAEVNDAYVKSLEILSKKLRFSQVDPMINASKSLKDIKPELERLLEKALSKVSDYLTEIFFSMKKPGTNIQILQQNLVQKYRYLVLFLKEHGTKVYTDVCAAYADTMNKVLSAHFQVYIEALAMLKLEIGVSNDLPAYATNIIDLLSRGREYLRNHRFMFSVGERASILKEIDRPGLVPHISEVNPVKYPYEVIFRSLQKLLMDTASSEYLFIKAFFGEESLFYQVFQGTFEVIDQHLDHTLQNCHDAVCLMLMICITRKHQVVIYLWPRFKTVFDVYLQSVYQCDAKMLWEDGSHPHHIVRCYVEFTSSLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFPKPKMQHLFLLNNYDMTISILKEAGDEANKLQRYFEEKLESNMISFVDELLMEHFKDLINFVRSRVSEDLILYTEHPNIADVELIVKNFAMTWKTALELMHNEVVTSCSNLLSGMAILKAAMAQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEIRKYLL >OB10G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10839913:10841207:1 gene:OB10G21070 transcript:OB10G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLLTAAGAGAAAVVVAIVAATAAADAYASSSSSSSAGVFSSPCFLWAAANVIVLWLVSSYRGHPAAAAGDGVAEGGGGGDGVDMGLYTLSLGRRDDVLFAVPDVVADLVDAVAAAPVVVTTTAAVAAAKKKKKQPREERAAKRGTNHPRVRKASAGGVGANDELMRRAESLIRRHHEQLRLQRQESEQRQALELQRRRPLIRV >OB10G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10866232:10876721:1 gene:OB10G21080 transcript:OB10G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSAALRPRGPGKLQPARSMPLDYRYSSAGGKAANGVGPRAVVAPEVEEEEEEEVGVVGFEGDVDSPYSSQAATTEEAEEEGVVGDGEVDSAAAATGGARATATTPRRMSPAGAGSPSQRDARWGDTSSYGARKVKIPLCVTFSLVSSFLPHDTIFLLPVSRDLIAFQLFLRFHCCPFDNSRFASTLASLPMACKLGLGILRFKVYLPFEDTYTYPVFPSIKFLRSAIVKHRVFCQLPNGDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVPLYGNEYINAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFSRVVQSAVGERSYHIFYQLCAGAPASLRDKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMSIVHISKEDQDNVFAMVSAVLWLGDVSFTVIDNENHVEIVVDEAAEMVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVHHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSLPQIFASKILSQSDNPIPIPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARRHARERIRGVLALQSFIRGENARKMYSSLVRKHRAATVLQRSLKCWLARRYFINIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGNHIGSASQLVPRTIGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >OB10G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10878613:10880217:1 gene:OB10G21090 transcript:OB10G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRCGFLPEACRVFDEMPQKDAVAWTAMIDGYAKNGSLEAAGFAAFRDMKREGLARVDQYVLCSALSASGGIKDGRLGKSIHCCATKAGFDLDVAVRNALVDMYAKAMDVENASRTLKIDPGGWNVVSGTSLIDGYIETDCVEEALGMYIEMRRHGVEPNEFTFSSMIKGCAMQALLEQGAQLHAQVIKTSVIRDSFVGSALVDMYGKCGLINLSMQLFDEIEYRTDIAWNAVINVFAQHGHGREAIQAFDRMIYSGMRPNHITFVSLLTACSHAGLVDEGLEYFYSMKDAHGIEPKEEHYSCIIDMYGRAGRLDEAYKFISEMSIKPNAYGWCSLLGACRMRRNKELGEIAAQNLMKLEPGNTGIHVSLSGIYASLGQWEDVKAVRKLMRDSRLKKLPGFSWVDSNKKTHVFLSEDWSHPQKNDIYEKLEELTTRIKEEGYIPDTSFLPSNLEDIAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICVDCHNALKFISKVERRDIIVRDNSRFHHFVNGRCSCGDYW >OB10G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10884938:10885195:-1 gene:OB10G21100 transcript:OB10G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSTDDLNPCHGLAGLGASDSDGDCLYGFEGTEERAAATFFAVGGNNSGDGSAGKMVNTMRVTSSAQRCWLAFCSLLCKMVAR >OB10G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10906361:10906522:1 gene:OB10G21110 transcript:OB10G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGVI >OB10G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10911200:10912584:-1 gene:OB10G21120 transcript:OB10G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVTGIPLTSFAYADEKTQAKTSRSCLVHKKGKKHSIIYRVSKLSQKTDSYVQGFKEHITLGPNISDTLKGKLSLGAKVLQAGSIDKVFRQYFQVGEDEKLLKAFQCYLSTTAGPIAGMLFISSEKIGFHSDRPLDLTSPKGGITRVPYKVLIPAKRLKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISYTKTFKYLQRVISEF >OB10G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10915459:10920013:1 gene:OB10G21130 transcript:OB10G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDISLQAMEIVRENELSDKVIVMHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSQRYQDSVYFWQDVYGIKMSSMIPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQALELETITATFKYTSMLQAPLHGFAFWFDVEFNGPVRQKSKKQANQSLDAQNATPSNKKKKADVSIVLSTAPEDAPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSQQHARFLNICLKYFTGDQWYVKESVMK >OB10G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10921214:10928632:-1 gene:OB10G21140 transcript:OB10G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:J3N3L6] MDAPKSEERDTTLELKKKGSEFDPMAAAYWKPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPDDLLATVYLSANRIAPPHEGTELGIGDASIIRALSEAYGRKEEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISRVLATFRTIAKESGKDSQDKKRSHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALGQAAVHSENHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGVGYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKAVTINDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKVITKPKAYYRFADSMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQVADMYRAQKIHHANNNDDEDDD >OB10G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10937020:10941277:-1 gene:OB10G21150 transcript:OB10G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHQRRRSSTASGGGGRERMASVDPLKRLCIDHYFQDEVGSAMDAHLEELAHGGALLDATLAFRLMREAGHHVFADEVLGRFTDDNSDFSLAYSKDIRGLLSLQDISHMNIGAEASLHRAKEFSSRNLQSAIKFLEPNLARYVSQSLEHPYHVSLMQYKARHHLSYLQTLPTRCIAMEELALADFQLNKLLHQKELQEIKRWWMNLGLAQEIPVARDHVQKWYVWIMTAFQGATFSRYRIELTKIATFVYIMDDIFDLASTQEERSCFTQAIKMWDFAAADSLPGCMGSCYRAIYTVTNGIADMVEREHGVNPINHLKKAWAMLFDGFMTQTKWLSDNHVPASDDYLRNGVVTSGVPLMFLHLLFMLGHDVGKDAAELIENIPPVISCPSKIFRLWDDIGNTKKGLDGSYKQLYLKENPGLAAGEAEEHMRGLIASEWEELNRECFFFSLSGRSFPAGFTQAALNAARMVGVMYGHDGERRLPVLDDYLKMLLF >OB10G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10944900:10945595:-1 gene:OB10G21160 transcript:OB10G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLRSTVNPKLLGYIKSMNLSSPTTRYNKCALISPRKWRVVVVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGASSCTGRFYDDGQLVVALSTGWFDGRSRCEREIVVRAAGGGASVTAMVVDECDSQRGCDDEHNFAPPCRNNIVDGSAAVWDALGLNKDDGEAQITWSDA >OB10G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10957739:10957915:1 gene:OB10G21170 transcript:OB10G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRTAYAKVVDECDSVHGCDDEHNFEPPCGNNVVDASPAVWDALGLDRSAGMEHITWSD >OB10G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10958495:10963525:-1 gene:OB10G21180 transcript:OB10G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREILPLGSAARPRRRLRRLAFGFRRRLVVSLLDRALPPPPRRNQPPEAPESSGSEGAQKLPGLYSPGLVLKMVEGKSTQLDLEKEGSSSPAATQKMKVEMEDSGSKVESPTPEKPESRRTGIAVSSLAKNLLAERYKDRFAAQLLGDKDEEETDDEDGNGSISPGGGNQCTVLENVDSSGASSKDEPNDLLEKHKNLLNLFNRMVSSIRLLSLRKKMTTFKNIATQVEILTKRKFLYTHLAQMKHLFPEAIQIKKILLHDEKSLCMYADMEITILMDAVECRSPDQSLSMEICDAFHSKLLSYLDAHHKDIDIPEAMLPEPFNSRDKLHLKAPHDGHSSEPHLQSSNENELSNASHFPRSFQKLMSKKIIVEGTDRTKLLSDPAELSTLNADDAEGPKRSSNMQDRHASIPFKADISATPSRQLISNCQESTPKQGTLCSPLMAETPAMQTPQRPLPTPIEKLETTNGQTSEARSASSARRSLVMYSPAKLEESSSDHDGAILISENEVTVGKCLFPDAGHNFTKTLEENNPVICFSDKDKNPKVDRAESQEKIASLQLTFDIICGISTKNSHITKQELFHNILANNLEIEETGDIEEQLHILEYLSPDWISKKVIPGGDILYRWRADEDRWRMKYCAVLLPLSIFDQHIRLYGVTGPYIL >OB10G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10965384:10968257:1 gene:OB10G21190 transcript:OB10G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRAFEIDVAEGGGEVSSPLPRLLPSPEMEVTEHGGKKLDGGFSIFMGKMKMGSRELVNLDTTALVAIVSGISNGGVERLMSIPEAETRARFKCNYKFVMDQAHSELQYPILVELGDAVDGKKCIICETVSSEFKEIVTMCGGPEEQIRASQLLKQLIVVPDSPSARMMDLPTTRKLAMKNKVVFGTGDHWRAPTLTANMGFVRAVSQSGMPLLTMEHRPRALIGL >OB10G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10967130:10969649:-1 gene:OB10G21200 transcript:OB10G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Reactive oxygen species modulator /.../erPro:IPR018450); Has 192 Blast hits to 192 proteins in 80 species: Archae - 0; Bacteria - 0; Metazoa - 139; Fungi - 6; Plants - 39; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G07910) TAIR;Acc:AT3G07910] RRRRRRRGVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >OB10G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10971724:10972656:1 gene:OB10G21210 transcript:OB10G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHLNYTRCNVQRLQDECTNANATKHSNPKRIHHGDGKQQPRTELIKESEEEEASMAPSQLQAELAVTGLDVDGVVDAEAVDDEVAPHPRRHLPQLEAAELDAHGHVGAEDERHGARALRVLQRRHDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRVALVGPERATRHERRRRPLPPPGLQVHRVDPHPLVIRHSKPLKP >OB10G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10971904:10972107:-1 gene:OB10G21220 transcript:OB10G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQDAQRTGAVPLVFRADVPVRVELGSLKLWKVTSRVRCDLVVDSLGVNNPINIKASNCKFSLKL >OB10G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10976075:10977508:-1 gene:OB10G21230 transcript:OB10G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIGVVLTLNSFEKGRDGRGPSECDNAYHSDGEMVVALSTGWFAGTARCGRRVRITAGNGRTAYAKVTRTRSGMGMGRIPPPFVGMGMGVFHLYGDGDGVMKPDRCSPVAIPIHVANADVCMCIACVTMGLCAVHVGPLAVNTLVGPSPC >OB10G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10978330:10979202:1 gene:OB10G21240 transcript:OB10G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLRPSRFYQYPATVVQSSLSEKCYKPGALESQCRFCEQSRCAANPATSSNEDTADADSSLCSCLIAISITPSNNWSANFLGIPKDYEQAKTLFHIVNNYQCGGLTFVLMSVWTVETI >OB10G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10978544:10978699:-1 gene:OB10G21250 transcript:OB10G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKWRAPDLLESIVKTTRCRLPGAFIKCIYPFRFIARKKLIQAYNYLME >OB10G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10983318:10984001:1 gene:OB10G21260 transcript:OB10G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRVAALAALMAVAASRTAGAVTFDATNTASNTAGGQRFDQEVGVEYARQVLADASAFIWDAFGQPTDGDRKPVDAVTLAVEDIGGVAFTSANGIHLSAQYVGGYSGDVRAEVTGVLYHEATHVWQWDGRGGANGGLIEGIADFVRLRAGYAPSHWVRPGQGDRWDQGYDVTARFLDYCDSSVVQGFVAQLNAKMKDGYSDDFFVQISGKTVDQLWQDYKAKYGG >OB10G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10985860:10987161:1 gene:OB10G21270 transcript:OB10G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHAVAAALLTVALAATAGAVTYEVNNAASSTAGGQRFDREYGGDYAKQVLAGASSFTWSIFNQPSPADRSPVDAVILTVGDVGGIASTDRNNITLGAGYVAGITGNDFKDQVTGVLYHEVVHVWQAGLQDYGSHPWVYEGIADFVRLKAGYIAAGWAQPGQGGSWEEGYSVTARFFDYCDGSVKPGFVAGLNAKLKGGYDADYFVQITGKTLQQLWQDYKAMYGN >OB10G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10992062:10992550:-1 gene:OB10G21280 transcript:OB10G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATVQIFVKGLTGRTRTLRVEPTDMVESVKAKLEGIEDAPVGRQRLIFGGRQLEDGRTLASYGIGKEATLHLCLRLGGGSGGKKRKKKAYATPRKGRHEHRREELAVLGHYRVDDATGKVEALRRHCPSPECDAAGAFMAKHDGRYTCGKCQLTVFEDGR >OB10G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10992868:10994806:1 gene:OB10G21290 transcript:OB10G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGSSNVGFQLLKKSGWKEGTGLGAQEQGRLEPIETRVKNNKRGLGSKEPKLKSKVDDGDEKDPKKVKPEAPKKKAKLAAKRIRKMQEEEKRLQEREFEMAFFREFWPDNV >OB10G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:10995470:11001981:-1 gene:OB10G21300 transcript:OB10G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFREHAKKLVQENITPAVDIIKSRTKAANSQTQVVEEVKSILAFNSLLITLSKHPDQDRFVRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGRLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGRLFEKVVVEAISSVDGYDTNRREAVRKAAQGLNLKKEAVMTIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAETSNATSEPEISESEGDDDEYEWEQLETLRKTRPDKELKEKLAKSSQKEITLKDDIPLRDRAELYETYLMFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTHKEAQDVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVQDIAKVRLDNALVQAVALLRQKKKDEVVSSLNDLLACDAAVPASKPLSWPTPGELDDLYGIYMKSIPKPEKLSRLQYLLGLSDEKAGQIRDAASAGTLSVATEEEDELVF >OB10G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11008578:11010559:-1 gene:OB10G21310 transcript:OB10G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSSARGGGEPRKRLRNAALLALMLCSLVALSVIRARFSPIASAGGEPLKLNADESKLVVTTKQAVVNTESGDDAADESSSASSSSSSSSSSGAAAAEEEEDAPARPGGGDGGKQAVCHETSRRSDTCEAAGDVRVVGSSQIVYADAVGREWKTKPYCRKHDAFALSHVKEWTLRPLPPGGDGGPRCTTNSTATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSYKPWWMNKYIQIFQRMSRYEVLDIDADAADEVRCYRRAVVGPEFHRELGVDPSKTPSGYSVLDFRSMLRGAFGLDRATATPSGDRWDIRRRPRLLIISRRAARGRAFTNERAMADMAASLGFDVRVGEPDASTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGKLEWLARNTFAEPSAAMELHYLEYAVQLDETTLSEQYPPDHPVLRDPMAIHKQGWEALKTTYLDKQNVRPHLGRLKNTFLQALKLLPHDKETMN >OB10G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11015379:11019986:-1 gene:OB10G21320 transcript:OB10G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKRIDRDAGIGQQQAFQKLIFVTNLVFRDASEFLLPWKRLFGVHESQIDNVMRESAKSLYASLLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFREHAKKLLEENISSALDNLNNRTQVVDEVKSILAFNSLLTILSKFPGEERFIRGLGPITLGGDSDHDKRVEDLKMLYSAYAVEALSDGRLDDDKLAALDQLRNIFGLGKYEAEAIISDAKARVFQTY >OB10G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11028477:11030707:1 gene:OB10G21330 transcript:OB10G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRCEGRRWRWVLVWWVLAAAAAAVVVVEGFGVPESELETFRDERGGLVALRDALRFAGAQAKEIQAREVEHRGDRPHRRACAVRGGAHLRPPQQEEPGVSGDIKDKAEQAGNKVSGSGSRSTESGKGAAELQFFRPEKASFGLDELFQSTAEMLGKGRLGITYRVTLNAGPAVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHENVVEVVACYFSKEEKLVVFEHVPGCSLFQLLHENRGEGRTPLSWPARLAIAKGMARGLAYLHQALPLFHRPPHGNLKSSNVLVAFPSPPGGGRRQKHGGDSDAAPVPKLTDHGFHPLLPHHGHRLAAAKCPEFARGTRRPSSRADVFCLGLVLLEVVTGKVPVDDDGDLAEWARLALSHEWSTDILDVEIVADRDRHGDMLRLTEVALLCAAVEPERRPKIHAVVRMIDEIAAGGGGAGACAAVSSTICREKCIQF >OB10G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11032818:11034272:1 gene:OB10G21340 transcript:OB10G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGKLHQGTSTPGGLVAVALAHALALAVAVAITTSF >OB10G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11035304:11038100:-1 gene:OB10G21350 transcript:OB10G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRERFGAVFYVPGNHDLWLRRENGRYMDSLEKLTALLDACSELGVDTGPRTIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACKWPEDLANDDEALALYFDKLNDRNHDAIEEVKKSSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRTIHDNTKDGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNGGDGWLPFCIYRDGFNPEIYPALWSDYYNKNRREPENTQLAPWVAKYFAKYY >OB10G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11048501:11048989:-1 gene:OB10G21360 transcript:OB10G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEPRPLASMLLRCSSSTCTTLPHPPPXXXXXXXXXXXXRPRSLASWRRKKKQRPQPQQKKHANQWRSGPVTGRHVCAPPFPPPPPPPEAAGRKGGKASGHVGSRRPGAPPARVGPTVAASPRVPMLFLPSFQRLRTAGAALCEDVMIDRYPDDVGGGRW >OB10G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11048907:11052904:1 gene:OB10G21370 transcript:OB10G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3N3N9] MLANGLGSAPPMGWNSWNHFACDGNGEGVIRETADALVSTGLAAAGYKYVNIDDCWAEPERDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEQQDARTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWAEYARPGGWNDPDMLEVGNGGMSNDEYIVHFSLWAISKAPLIIGCDVSHMSQQTYDILANKEVIAVNQDPLGVQGKKVRMEGSSEVWAGPLSGYRTAVVALNRHAHDAAAITAHWDDIGLPAGTAVEARDLWQHKTLGGEFADKITLDVPPHSCRMLVLKPLLSSRAN >OB10G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11063691:11063906:-1 gene:OB10G21380 transcript:OB10G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQEKRLKGTGKKGEQKEKKKKKEHRFARQKMQKPKEKEKLKFFCPFLVDMITSSRFSCLKIFYAVQSRV >OB10G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11063409:11070037:1 gene:OB10G21390 transcript:OB10G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MLSECVRWNSWNHFYCGINEQIIRETADALVNTGLAKLGYHYVNIDDCWAEYNRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSLTCSNKMPGSLDHEEQDVKTFSSWGVDYLKYDNCNDAGRTIMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWDSMTSRADQNDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNSEVIAVNQDRLGVQGKKVQSDNGLEVWAGPLSDNRKAIVLWNRQPYQATITAHWSNIGLAPSVVATARDLWAHSSFAAQGQISASVAPHDCKMYILTPN >OB10G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11072511:11074008:1 gene:OB10G21400 transcript:OB10G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein [Source:Projected from Arabidopsis thaliana (AT3G53270) TAIR;Acc:AT3G53270] MDLSPFKLDIDELLADYSEANYTAFADFKRLWIAKKFSYIYEGGPKTNSGAFMQSLFLHCIGHMTSQSCLPQRLAGLYCLYCLYESQPYKPHFKIYLSLEELKKLKDFIVEAKQNGMDVVPALVKRMLDKGMILFGFINLLGDTGAKQVNELTASQNKRVKFACDKLFMNTQIESYMHMDLGLELELDKIKKSSMDYAKAKELAFTEASQIIDVEDAQHIVQNEKLLGDRVEEVVKEWDAQKETFYEKTGIRHNELAVFDHDESAELPHETDDFEEIRQLLLE >OB10G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11077867:11081017:1 gene:OB10G21410 transcript:OB10G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G02690) TAIR;Acc:AT3G02690] MVAMKGGIPKTGPFFVAALRLLPAGALLVAFATARGRKQPSGWAAWVAVAAFGLVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAILAALLFGESIGAVGVGGLVLGVVGLLLLEVPALSVEGNDTAIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGVPLLVISVLNHDPALNGHLQELTLNDVLALLYTSIFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPVQLGGALLTLVAIYMVNYKSIVGEK >OB10G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11081904:11085341:-1 gene:OB10G21420 transcript:OB10G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWVEEYGRPSNLQCFLDCTTPAVDTHLLVPKTNGRFSSDSWHHTEMDSMEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYFVPYLSGIQLYTNKAQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAIVGNRKRHGHLYFEFFEVCSPYGRIPLIDKVYELSQGFPGLTSLKSIDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQVTCISVLSTDCAHDGMANGKQNDEMDKKCSKTQLAPFGLAAHKLQGSLWTNPRTGDHERMVSLFGAADSWLKQLGVQHHDYSYFITHPM >OB10G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11098820:11099047:-1 gene:OB10G21430 transcript:OB10G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSHRPVAAVAEAFRCGCAENTLRSFLASSRNYTTVEGREKVLAVVGCTWRSDPPPAVPRSAPHGSGPSPKAS >OB10G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11099692:11103547:1 gene:OB10G21440 transcript:OB10G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTPKVGLPQLRVRASVASSPQKQYSPKTAEVKSGEEVHIAVLGANGYTGAEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAIKDADFSNVDAVFCCLPHGTTQEIIKGLRKQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLQGEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEVAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTVSDLYQHLKSTYEGEEFVKLLHGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISAIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >OB10G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11110940:11115951:1 gene:OB10G21450 transcript:OB10G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGVVAGAAAVALSPLSEALWREKAAAEFLGDVSARLAWRDLTVTVVLGGGGGETQDVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPMEEKHALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRKFERSDDPLDRIMTSEAIRRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQAFTLTKRSFINMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDVNRSKWLDLAVLFSMIVIYRLLFFAMIKVSEDVTPWVRGYIARRRVQQGKGGRRAELAASRSPSLRAYVVDADDLPADHP >OB10G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11116327:11116964:1 gene:OB10G21460 transcript:OB10G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQAFTYLDGILLQWVVKNGATATDVNDAYGSKVGVAAAARAERMLAADHM >OB10G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11119082:11121835:-1 gene:OB10G21470 transcript:OB10G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPINPSDLNRIEGVYPVRPPLPGAVAGYEGLGQVHALGPAVDSLSVGDWVIPSPPSFGTWQTYIVKPATAWHRVRADVPPYYPATITINPLTALRMLRDFVNLTPGDSLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSEEAKDKLKQLGADQVFTESQIDIKNIKTLLGALPEPTLGLNCVGGNVASVILKILRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLKGFWLQKWMSSDKAEESRKMIDYLLDLVRKGELKYEMELTPFSDFRLALDKALGKHGSQPKQVLMF >OB10G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11121549:11121942:1 gene:OB10G21480 transcript:OB10G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAVVGRHIGADPVPGGGRLHDVGLPGAEGRRGWDDPVADREGIDGRAEGVDLAEPLVACDSAGEGRADRVDALNAIEVGGVNGGGQHPHAHITVANLHRRHVRHPDDLLATRGGRRGRPWRAGTSR >OB10G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11125938:11126987:1 gene:OB10G21490 transcript:OB10G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGIFICAAPMCLKSFLKKAEFESHVPEVHANLLANTPEREERNEPDAPNISRASGGDQRQSQMPEMSTARAPPRTGVSPSSSSHMQDREDRSRYHHSRDQTPQRPPMLARPPSFHGRHSYPPGDTQNENPPQGFDRPYNWASENAPGATPVRQESEHGSQDKQQMMPNAPFMFPPIPHQSNFMMPMNMNQPLMSNASFNYPLQQDGNPQFFSAPFQMQLPDVGLDQGSASGVQPAPPGGPLSFPEGLQRPWGMGLMGNPFQSMPLGQGMPEGAGEPQGGGGMVFLQGGFGVMPDGSMNSGIPGRDLSGQGDRGVLAQMPMPMQMQMSLPPPPPTQPPSGGQQPFSRT >OB10G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11128093:11134803:-1 gene:OB10G21500 transcript:OB10G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:J3N3Q2] MDTKRAYKLQEFVAHASDVNCVKFGRRTSRILITGGEDQKVNLWAIGKPSAILSLSGLTSPVESVSFDSSEVMIGAGSSSGTIKVWDVEEAKVVRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRQIDVLKFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRIHEGPINCIDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFEPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCVGIWVVDLTKIDPYAVNNAEAHLNGSINRSMQADNSMSSMLGKLSVSRSPAKETSSNTLLKPSISMSASKEVPAPATSAVAKKLPKVPMTSNLRLTRSDSLPVVSPRVRLNPKFSDDQKRKTDYVVPVTAPRIHSKLDLSTGAGVYRRNSLPSFAPTNRPRSKISAYSSEGSSLIPIVVPRHSPKVDACPSLSKVLTTDLTIVEPQSIKRGGLAVDCGQEDGKLLRVTDSRSSSMVVQSGCRRVASDVTRKENPETALTANMDHDFRQKAPETENMQQYTFQSEPISSKCKYISGGGDINLSGPAFTESVQSNEVGDWYNASSFVKPNLTIGRNPETSYINKRAVFPLRQSADSSERHSVEHLPSNLSASYERSQYVSTLNNLRWRSSIAGQQSVSAGDEDGIVDLMENHQEFIHAVKSRLTKLEVVYRCWKNNDIKGSLDATQRMQDLAVSADIISVLMENTNCITLDVCTCVLPLASSVLESSCDRHLKVALEMIHKLVKSFGTTISSTLSYTAAVGVDIEAEQRFLRCNLCFQELIKVHSILITMARRQGEVGRSAQELSLFLQDMFQLDR >OB10G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11138763:11142750:1 gene:OB10G21510 transcript:OB10G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVILLLLMDHDRSRRHNLSTSRKRPHSNSDDRKRKRLNTKHDDGSMSSQPTETIYRILCPVKKIGSVLGRGGDVVKALRDETKAKIRVADSIPGADERVIIIFNYPSQSEDDEAAQNISTDGFQNMKPHCFAQDALLKIHDKIAEDEDLHGGIDHEKSETVDGVTARILVPGNQVGCLLGKGGSIIQQLRNETGAGIRVLPSENLPQCALKSDELVQISGAPSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQSPPQLPHANPMLPHLHVDHSPQIPLLDPYRNGPLRYHAGEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGARIQVKEVGKNASGERLIVVSSQEIPDDPVSPTIEALILLHSKVSAPAENRHLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPPPFAPFDGPPVDVLPSREFMLYRQSANNPPYGGPANDPPYGRPANDPPYGRTAIDPPYGRPAIDPPYGRRANDPPYRRPANDTPYGGLNNDAPHDHYTTYPVEYFSKREYPSGSSKVTPSASYDRYAAPSRLPNRELPSSGSPGANYMSHRSYFDHVPIDRYSSRGTLQLNPLRAENSNLQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLTGFTGYPGGSVELRIPNSYLETVIGVGGANLAEIRQISGARVKLLETHPASSESIVEIQGVPDQVKAAQSLLQGFIGASGNSRQAPQSSRMGHYF >OB10G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11142403:11144296:1 gene:OB10G21520 transcript:OB10G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLLQHNCRLIDAVVARRTGVNKRNYKSLASLGGVGQPEAGSDQTTSIELPRDISLYIQYEPFDI >OB10G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11144017:11149031:-1 gene:OB10G21530 transcript:OB10G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESGAAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGVFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKAARGVAAPLLDSVNKLESNMKTNLERAMKENDRVYLMRVPDASSLGALPAASLVKPTSLADVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERSLKESYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPICAEIADNIVTQEQLLLQIQAQNEQFAAVFNLEDYKVSRERCYKQIAVAVAKYRDIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAGFSFSSSSQAGMQRNTSAPSDHNSPSPQPPPHAPHAQGPYGVPPGGDSRPGYSQPEARPAYSQPYPPYGAPPQQPPYGAPPQQPPYGAQHPGHYQQPPHQHPGQQAYPGGWRGQYYNPHQPPQPQPPYPQPPYNAQGPYPPHQSNYYRPQ >OB10G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11151286:11156096:-1 gene:OB10G21540 transcript:OB10G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT2G18220) TAIR;Acc:AT2G18220] MSDSDEYVELPVSDDEGEEDWEDGESEEEEEGDSRKKAEQHVEELKRLQETDPEFHKYLQECDKELLDFNDDDIDDSEGSDEEPSSVPKEKPEQIIKPITMQMVDSWCQGAEDGKIGSIQSILQAFRKACHYGEDSGDNSAPKLSVMSGSVLDKVMHFVLKNMDRVLRQLLDAPSFGGKKETISELMITQHWKRHGRLMRLYLINALHMITELTDEQMIAFTVHRVRASAVFLTAFPALQRKYVKALLHTWSRGRGAMPLVSFMFLRDLCIQLGSECLNTCLKGIYKAYLVNCKLSKSISGSKLQHIEFLGNCVRELYSVDPQSAYQHAFVFIRQLAVILRGALTERGPKTSKDKKQKEPTKKQTEKSYQKVYDWQYIFCLELWTSVVCGCSSEEGLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNMFSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFLTAVRLRNFCKTVKADRFRREMKDLIHQIEANAEFIKSKRAGIGFSPNDPAVDSFLQVEKEERSSPLSKYVATLHKRSQDRMDALDETSIIVGAESSTFSRRLSEAEKQQDEQDDGDDTIEFSKDWLSEKKKTKTPKEKKNKKRARDHDDVAAEEDIVEDLVLSSDEEDGDGNNNMESDEDASMPVEDDSDDDFVDPDSQWKKQKEKSKKRNKRPPSKKASSSATKRKPHPKKKAKH >OB10G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11157089:11171228:-1 gene:OB10G21550 transcript:OB10G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:J3N3Q7] MAAGKSPSVKADQYAALRELFRPHIDSFDYFIDEGLDKMLLSIRPKGHLFPPKSYGRLDAPLYPQQQCRQTRTSYHGEFKVDAFIQCNDGPSIRETFSFGHLPIMLMSKLCHLRGADPEKLIFLGEEATEMGGYFICAGMERLVRILILLKRNYPMGLIRGAFVNRGAGYTDQAVVIRCVQDDQSSVTIKLYYLLNGSARLGFWLGAREFLLPVGIVLKALIDTSDWEIFTSLTCCYSDHYRTGKGVVSTPLIADRAQIILDEVRVLSLFTRTECLLHIGKYFRSVMEGFEKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYALVDQTASPDNADALQYQEALLPGHLITVFLKDRLQDWLRKSKRLIVEEATKNKSFDLSNSREVRRFLSKTSQYVGRAIESMIKVGKVNSQSGLDLPQRDGMTIHAERLNFHRYISHFRSVHRGSSFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRVSSFYNSGGAIKDFQKIKMSLIAHLVGAGMAQLLPRIERTGPPEVLHVHLDGCIVGSIAAAKIEEVVNYLRSLKLLAHSGIPEDLEVGYVPLSLGGAYPGLYLFTNPARFIRPVRSLLGLSDGGPSIELIGPFEQAFMEIRCPDGGDGGRKELFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIYQTECIDLSEKSRDNAVEFFCKSNLLRDTTAVIDSDGLPCIGEKIFPNEQYYSVCNNLTGVVRPVKLKGSEPAAIDYVAVNGTNFKNRLQKANVRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLTGKFMDATPFSSSVEKRDENSCTSNSIVDELGPMLASYGFNYHGTEVLYSGVFGTEMNCEIFLGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPVGGRKFGGGIRFGEMERDAVLAHGASYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSESQKKAKQDMLGLPTVRPAKNFACQACKTTKGMETVAMPYVFRYLAAELAAMNIKLELRLSNRTELATPEES >OB10G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11172960:11173391:-1 gene:OB10G21560 transcript:OB10G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSYQEERKRMRAQARAPPGRSAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRDSHRGTALLGADGETEGDDVDDQDDGQHRGGAGHEGAQPANPKRAH >OB10G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11172999:11176120:1 gene:OB10G21570 transcript:OB10G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAVLAVILVVDVVAFGLAIGAEQSRPTVRIPLTFLIAESCLLAGLVQSAYHTRYRTVIFENPPDCEMVRRGTFGAGAAFSLITCVLTGAYYYYFSKARVSFHRREATIGMNPFS >OB10G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11180264:11180398:-1 gene:OB10G21580 transcript:OB10G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEGNHQRVGSNSGESWVRGSALGFGSNIGDWEYRASRREERK >OB10G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11181994:11182269:1 gene:OB10G21590 transcript:OB10G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLIPEPAVGAPETKGELPMFSSVSMRCAALQIMGAEEKEQMPRFWRGSGAEKNHAGWGRRARELGAFGGIAPLSTRAWGNDAHNPVP >OB10G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11187606:11188273:1 gene:OB10G21600 transcript:OB10G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIPGDVRLPRDGEPSGVIYEEEEEMDVDEAFDYEEGEFPCTDLLDDSGDSEDGGGNGKNNLGLRSNVQPATCQWTSHYCFRVYSGSDNSDL >OB10G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11190054:11193401:1 gene:OB10G21610 transcript:OB10G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPCALSARKEGAVKDSAFLGVRLADGLKLETSALGLRTKRVSTSVAIRAQTAAVSSPSVTPASPSGKQTLRKGTAIITGASSGLGLATAKALAETGRWHVIMACRDYLKASLAAKNAGMEKGSYTIVHLDLASLDSVRQFVRNVRQLEMPVDVVVCNAAVYRPTAKEPSFTADGFEMSVGVNHLGHFLLARELLSDLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAAGLNGVGTSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRHHEATGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYVTKGYVSEEEAGKRLAQVVGDPSLTKSGVYWSWNKNSASFENQLSEEASDPEKARKVWELSEKLVGLA >OB10G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11192079:11193317:-1 gene:OB10G21620 transcript:OB10G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEGGGVLVPAPVDAGLGEAGVADDLGEALAGLLLGDVALGDVLLEGREEEAEERDVLAEEAGGGDAARVEGGEGHAGGLVVPPVELLHRQHVAHLAVLVGLGAVELAAVDHRRRAHAVQPRRQPPQVPQVRLRRHVPRQR >OB10G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11198554:11206192:1 gene:OB10G21630 transcript:OB10G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEYGEMMESVDEVNFALDGLRATAPKRVRRASFLALLGICASAPRRRVLRAQGLVQQIIDAILVLNIDDPPCTIGAAALLFVLASDVQENHLLDSESCVHFLLKLLNPPVNAVDAKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILLKVEDILLSCQEIKSLGKDDKKTTRPELCPKWLALLTMEKACLSAVSVEETSDTVTRIGGNFKETLRELGGLDSIFDVMVNCHSTLENLIKDTSTSALDLKEGPSLQSAALLLKCLKILENATFLSDDNKTHLLNMSRKFSPKCALSFVGVIISTIESLSALSILQNSSGVSSSTYPKSSKVSQESCSDAKGGTSFNDGKRNNSKKKSLLPNQTHHSCLSSKSEVSHITISSGSDTGVSQKAFSSPSISSNGTSSGSLGKRHSNGSGLKLNVKKDRGNSNPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVNSDNDGGDDPFAFDDIDQEPSNWELFGPKKKSPQRHIEKSGNGVLVDSHESDQPEDINQSGTTSLFSSKDESSLLEDCLLASVKVLMNLANDNPSGCELIASSGGLNTMASLIIKHFPSFCFFVDNNYHTRDRASGRDANLDHGISSSQSSKAHQVKIKQLREHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPESKETQRDVIPLLCSVFLASQGASEASGTISPDDEESLMQGAQEAEMMIVEAYAALLLAFLSTESMKVRGAVSSCLPNNSLKILVPALEKFVAFHLQLNMITEETHSAVTEVIEICKLS >OB10G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11208330:11209703:1 gene:OB10G21640 transcript:OB10G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N3R6] MVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLEVEGIENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVYLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKVIIHHPIEGNDAEKLCSEARKVIADSLILNGYGVH >OB10G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11213411:11215145:1 gene:OB10G21650 transcript:OB10G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMVLYPGLAVSHFLPMMQLADELLGRGYAVAVALIDPAAHQQGAFTANHDCVVSSKKPAIRFHVLPRPRVERLRRPPPATTTDRGDFSLLGYLDLVKRHNRCLHGFLASMPLRDVHALVVDSLSVDALDVAQGLGVPGYVFHPGNAGAFAIFLQLSLIRREGRPSFRELGDTPLELPGLPSMPASHLWDELLEDPESEVYSAIMALGRKNSQYCSGILVNTFESLEPRVASALRGSRGPDIYRVHMSEYH >OB10G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11213500:11213748:-1 gene:OB10G21660 transcript:OB10G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKPWRHRLCRFTRSRYPRREKSPRSVVVAGGGRRRRSTRGRGSTWKRMAGFLDETTQSWLAVKAPCWCAAGSMSATATA >OB10G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11218444:11221363:1 gene:OB10G21670 transcript:OB10G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHASNSTSTSDDAPAPAANSTSADDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >OB10G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11227841:11234830:1 gene:OB10G21680 transcript:OB10G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVLGWKGDRVGCALVWMLEAQEALGGARGKPPWLLKMSTGETLRTELSSRTPPFGLRLWIVIGISIWVVIFFILGFMCLWSIYRRKPKKSFDKIPVSQIPDVSKEIAVDEVREHAVENFRVQESHAISVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRHFSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREDRRSRRGHTGNADTESKTSSSEFEISGDRRDSGPSARFQP >OB10G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11236756:11245256:1 gene:OB10G21690 transcript:OB10G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASPSRPAAVAGGCCSAVLLAAALLFSAPSTADAYDSLDPHGNITIKWDVMQWTPDGYVAVVTLFNYQQFRHIQPPGWQLGWTWAEKEVIRSMRGALAVEQSDCSVFRINNGSAPHSCKRDPTVVDLLPGVPDDMRVANCCRAGSLSASSQDPANAAASFQITVGLAGSSNSTVRLPRNFSLGAPGPGYTCGRAVVVRPSMFFSQDGRRVTQALMTWDVICTYSQFLASKVPSCCVLSSFDSDKTANCPTCSCGCRNDNATTGKCVKKNAPDLQSIINGPGRWTWEPLLQCTPHMCPVQINWHLEHKDKEHWRVKITVTNLNYRMNHSEWNLVFQPLNSDITKISGFNYKSILPYGIDDTGMIWGVKPYNDLLMQAGPLGDVQGELIVRKNLMASSTAADKQRWAFPSRVYFNGDNCVMPPPDGYPPARQKFDIEACAEDLAGNRGLEMRGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLARPLMKKSTVVDTSTGGSKDSRVRTSSGMFLRRGLDKIISTIEKRISDYTFIPVENGEGLQVLHYEVGQKYEPHFDYFHDEFNTKNGGQRIATLLMYLSDVEEGGETVFPTSKANSSSSPFYNELSECAKRGLSVKPKMGDALLFWSMRPDGSLDPSSLHGEVPIYCFAQMITPQHDDISIYLQFPLGGCPVIKG >OB10G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11247004:11247417:1 gene:OB10G21700 transcript:OB10G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:J3N3S2] MVKFLKPGKAVIVLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >OB10G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11249580:11252091:1 gene:OB10G21710 transcript:OB10G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGKRRPPAAPALPSSSSAAPFSSSSSSRATKRQRAAAMADRYFPNDFPDFVAAAGGPGLLSLPYRVVADRLRAAALRIKDEVVEETWTRARRQVTDYTLYTGALGTALLLFKSFQVTGDRADLSLAGDIVKECDAASRGLPRRFLTFICGRAGVCALGAMIAKHSDDQLLVTHYLSKFDEVRSQIQCMFTCPPYTSTNVPNELLYGRAGYLWACLFLNTHLGEKTIPIGHITSVAKDIIEEGRKLSNRVSCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELEPDEKDDVKNTLLYMIRNRYPSGNYPSSEGSESDRLVHWCHGAPGVALTLAKAYQVFHDEHFKQSAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLESADRLIADGTMHGGDHPFSLFEGKAGMAYLLLDMISPSESKFPAYEL >OB10G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11252529:11255007:-1 gene:OB10G21720 transcript:OB10G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLLIRGLKLHIAHIGKGETATLLFVHGFPEVWYSWRHQMVAAAAAGFRAIAPDFPGYGLSEPPADLAQASWEGLMNDLLAILDSLSIPKVFLVAKDFGVKPAYDLALCYPDRVCGVVSLGVPPLVESLGFSELPEGFYIHRWKEPGRAEADFGRFDTRRILRTIYILFSKSEIPIAKQGQEIMDLADESTPMPQWFTEEDLSAYTNLYEKSGLMAAIQIPYRTKAVKAECANPRFQVPMFVIMGQKDYILKFPALKEYMSSEKLKEIAPDYEITYIPEGSHFVQEQFPDLVNRIMIDFKDYILKFPTLKEYMSSEKLEEINPDYEIAYIQKDPIFFKSNSLTLLISL >OB10G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11256049:11256381:-1 gene:OB10G21730 transcript:OB10G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKYTPYLDNCIGAHIQVVVPNTTAVQHRNRRKEKSQNVLCICDFDMRFIFVLAGWPGSVHDMRVFSDAQNRFGHGFPWPPEEMFYLLDSRYLNRPSYLAPYKRVTYHFQ >OB10G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11258821:11260099:1 gene:OB10G21740 transcript:OB10G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIQHLHLPVRGLNLHVAQAGKDELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAIAPDCRGYGLSGQPPEEEEATWDDLVADVLAILDALAVPRAFLVGKDFGAMPAYDFALRHPERTRGVACLGIPFSPVPAAFDAMPEGFYVLRWREPGRAEADFGRHDVRRVVRTIYILFSGADIPIAKEGQEIMDLADLSTPLPPWFSDEDLDAYASLYKNSGFRFPLQMPYRAIHRRPNLMDARFEVPVLMVVGEKDYAFKFPGFEEAVRGGAMERFAPELEVAYLPEGSHFAQEQLPEQVNRLLLGFFQAHLDPVAAT >OB10G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11267776:11269896:1 gene:OB10G21750 transcript:OB10G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTELQQIEHVHLPVRGLALHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSEQPPEPEAAEFDDLVEDLLGILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGVPLRITDLSFNTLPEGLYILRWAQPGRAEADFGRYDVKRVVRTIYILFSRSEIPIAKEDQEIMDLADLSTPLPEWFTEEDLSVYSSLYEKSGFRYPLQMPYRSMHQRKPIGDAKFQVPVFVVMGEKDYALKFPGTESVMKDGSMEKHAPDLKIAYIPEGCHFVQEQFPDLVNELLLGFLKDHPNV >OB10G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11271834:11274825:1 gene:OB10G21760 transcript:OB10G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDSIEKSIHYRMSISIDNFIWWYVEIGARHRRHVRHLPVTAPHHVSLPPSPRVRALLLLLRRLRSTASRPETMATTEQQQQQQKIEHVHLRVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAGFDDLVEDLLAVLDALAVPKAFLVATDFGSMVAYDFALRHPNHTCGVMSLGAPFVSDRTSFNTLPEGFYVLRWVQPGRAEADFARYDVKRVVRTIYILFSRSDIPIAEKDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLFKRKPNGDAKFQVPVHVVMGEKDYVFKFPGVEFAMRDGGMEKHAQDLKITYIPEGCHFVQEQFPDRVNELLLGFLRDHPVAV >OB10G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11281674:11284130:-1 gene:OB10G21770 transcript:OB10G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pre-mRNA splicing factor-related [Source:Projected from Arabidopsis thaliana (AT4G03430) TAIR;Acc:AT4G03430] MDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLEMSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVNKVIERSIRTLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKSHGTKDSLYNLLRKAVTYNPRAEVLWLMSAKEKWLAGDVPAARAILQEAYASLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHGAKAKEVYENALKHCPSCIPLWLSLANLEEKINGLSKSRAVLTMARKKNPATPELWLAAVRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLSPDIGDFWALYYKFELQHGNADTQKDVLQRCVAAEPKHGERWQAITKAVENSHLSVEALLKKAVVALGQEENPNAADP >OB10G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11290138:11299260:1 gene:OB10G21780 transcript:OB10G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sensitive to freezing 6 [Source:Projected from Arabidopsis thaliana (AT4G04920) TAIR;Acc:AT4G04920] MRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVRADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPINLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNIKTFEEKFLTQQPQSSAGWPNILCVCSVFSSGSVQLHWAQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPSLWPGFAPLASYLFSLQDYLVSEGAQTKKQVQIDNEATEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPMQTVWSTRVNKSIPPSEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGAEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSNNQGNQGGVASTTGSSQMQEWVQGAIAKISNNADGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKGSDSSMQKQHLMNGKTEDNPSAVRSGLGAAKVEDGPASRGQLVLAAKGIEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPASQVGSGNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMPHLKINGSTSRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLDGGWYKCIRCLRQTCAFAQPGAPNTTNELEAWWISRWTHACPMCGGSWVKVV >OB10G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11299999:11302926:1 gene:OB10G21790 transcript:OB10G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPSASTRATSSSPRTPSRAPTPPPPTASCSASSAPPTRRPPPSRATSPSATASSSATPPTPSRSPASSSSRRPSGRSIWGRPSAATSASTTAPASRPGTSPLRQKYKPRDRGYFFWTRLRPLLSQSDQGVDMISLWNMMSKNWELIRLSALLYIMMVMGSVNIFLNSSSSMFQIHCLLEQRFAPSRTLHIWKPA >OB10G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11302953:11306504:1 gene:OB10G21800 transcript:OB10G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDFEPAQQWAATRLEADEHPSAVKSIIRDLCKQPVLIRAGGGIYNYLYQLRPLSGESGQPKTEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDIDLRVVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFVAPSVPGEEKSVLVNGLQKLILPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >OB10G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11307144:11309446:-1 gene:OB10G21810 transcript:OB10G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:end binding protein 1C [Source:Projected from Arabidopsis thaliana (AT5G67270) TAIR;Acc:AT5G67270] MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKHIEVNKLTKGRPLDNLEFMQWMKRYCDSVNGGFMNSYNASERRESSKGGKETNRRTSGPSQASAKSSSATHKAQASSHGAKKANGHASNAPLPRSAKASPANSAGPAYDEQITELKLLVDSLEKERDFYFSKLRDVEIVCQSPEIEHLPIVNAIQKILYAAEDDPSMVAEAQAMVSQQQQQNEQPMLSPILEASEERAHKRKSISDLELEEFGMASISRQRLSDISDVQLCGSPLTSFT >OB10G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11310503:11310808:1 gene:OB10G21820 transcript:OB10G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYHGGLLGQKRARVAAARYPSTVGSGRYSLLCEASLTQCPPLQVNGRSGLRPGASAQLFNLERAGRIVRTHGTQAQPQRPGIRTTSLPPLGLQATATWLG >OB10G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11323505:11323813:1 gene:OB10G21830 transcript:OB10G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLLGNEVSDLCIGKPAVRSLPLSAATGDLAALLRRXXXXXXXXARPPRRRALQARLRAPPQGRRRRGPPRRPPRQVKPLPLPDFPTLLDFLLGFGDDAS >OB10G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11324338:11325446:1 gene:OB10G21840 transcript:OB10G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWIPDRISCLQLVAVEEIFLVFSSSVLEAIDAVLSGAQVLAVPLRSGGRRKQLGGGGGGGGGDFCWLTQEDLVRYFLNSISLFSHVAGRSISSLGLVRADDVLSVRPHEAALSAVPLLRRAIATETAVAVVDDYGHLVGEISPALLASCDETAAAAVATLSVADLMAYIDYFGSPPEHISRAIKAGLKSKGLDAMLELVENEAVSSFAFSSSSSSDDEAHGRAAKLRRPSSGSYGRRSTEEPVVCSPASSLVAVMMQALAHRASYLWVLDEDDDCRLAGIVTFVDVLRVFREQLQ >OB10G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11327112:11328331:1 gene:OB10G21850 transcript:OB10G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYIVLYENLTADNMMMNLCSARLEDPVTETPRLWNRREAAARTAAADADADLMHACRQAAKDVLGHGGQAKHAFSFIFFSSFGFVLIAINLLCIAITAKHSVRRQQEGREKRKREKERKK >OB10G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11333612:11335353:-1 gene:OB10G21860 transcript:OB10G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWSKEEDDLIKNHMEKYGIGRSWQALSDALGLQRCGRSCRSRWLNYLRPGLKHGNFTPAEERIICKMHRKRGSSWSAIAAQLPGRTDLAVKNYWNSTLKKRFPAAARSATLAARRRHRPAARTSASSDDDDDGATPPGLALVVYSEGITAPAPAVAGADDELAPYSASSPTQAKRPEHPAITGAAEQEEQPIAAVPISTFAATSVLPTPPRSDRAGGEVTIPCFPFSPLPFIEPDLPELAWTAGLDDIPAFDDASAAACCYPKRPFPTPPDSPRPAKLPFIAGFDDVQSFWSWLDD >OB10G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11340152:11343827:1 gene:OB10G21870 transcript:OB10G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDTEDKKQQAVCMERYRRRDEDERNSLSEIDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSIYDTHIK >OB10G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11344657:11345270:-1 gene:OB10G21880 transcript:OB10G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03650) TAIR;Acc:AT1G03650] MAAAAPAARILELDPAHPRAGRVVDDIVRMEKRIFPKHESLARTFHDELKRRNTGLIYSTPIPAGDGEDDGHDDEEGFGYAMYACATSLCASITKLAVKESRRRQGHGEALLRAAVESCRRRRVQRVSLHVDPARAAAVALYRKVGFQVDATVEGYYSPQRDAYRMYIDL >OB10G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11346509:11349233:1 gene:OB10G21890 transcript:OB10G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:Projected from Arabidopsis thaliana (AT1G07210) TAIR;Acc:AT1G07210] MASSALRRSLPRGSSLRRLLPSSPPPPPPPPAAAAATFRRSYKPGDGEAESVEEFEKRVLGGSDDNNLFRKLDVFENRRAGQSWGGMGGFRDRGNSSSILDELGSSFNSLEDGLDEKLDKASRTFHVTEEYEDEDYEYRPDVNFRRGSTYNVRDLDLTRPAAAKNPPRPQFETTSQDVLKKADFRNVRFLSNFLTDAGIIIKRSQTRISAKAQRKVAREIKTARALGLLPFTTMGQRPFVYGRSAEVNLSDEEYNYSFVDQKAGGPDENADDTVPAEEAAAPGAGNA >OB10G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11351979:11354759:1 gene:OB10G21900 transcript:OB10G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNVPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNNRELALVPQPERSANDVEVTSRLKDQSGSHNGKRGSRHGANAHANGRAASVSEGHVLSNHNGTDGPLVIPGVLLKDVASDLPVHLLGYGNIAYRLWEVSHGSRKISKIWCAWVGQDGSCGLSGCNTYEQSDFAIVNFTYTYELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQSSSAHDNSQAIITCSPPGASHNLQVGLLSNKSMRRELRKQKRLAAEKACDICGRPMLPEKDVATLLNCRTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIANKGKSNRGRKAKNAPKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRHKLKSIEAHKAWMKSPEVLENCSTGLHFPAEHIENFEEQEVMPLKSVAFYAADG >OB10G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11355277:11355465:1 gene:OB10G21910 transcript:OB10G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKSRKKGAKDLSKIIVSSGGHERNSPTCIRKITQYFLNSIIDPLDSPNSQTKPSKPQEHQQG >OB10G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11356353:11362127:1 gene:OB10G21920 transcript:OB10G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFAHLAVDFPHAVFLRCSFVTIFVCPVVTCSLISQVEAEEQPEISEAYGVTAVPYFVFFKFCTINSDGVMLLLLKLEGKTVDTLEGANPASLANKVAKLAGPASVAESAVPASLGVAAGPTVLEKVQEMAQQNGASATGSTHTSTAEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDVLKQEGVEFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEQNIPLQPQGGKNEEAVKAEPDTEKSGAVPVSAGLTAAQKERLESLVNSSPVMVFIKGTPEEPKCGFSGKLVHILKQEKIPFSSFDILLDDEVRQGLKVLSNWPSYPQLYLDGELVGGSDIVMEMHKSGELKKVLSEKGVIPKESLEDRLKALISSAPVMLFMKGTPDAPRCGFSSKVVNALKQAGVSFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIVLELEKSGELNSTLSDAEFMPVSDKLVSFFSFMYKAKSEMNTILSTVVPSLCIVKMPYGETVSPPPPPRQPQEDSVAADAEKKVSPSPRPPGQPRDSLFRRVASVVYPRLPLAPILEQWSFVEERPVAKPELQSIVKYLCQRHRFSQALELSMWMTERRHLHLSPGDIAYRLDLISKVHGLDKAVEYFDSVPNQLRQQQCYGSLLRCYAEANCVEKAEELFEKMRGMGIAGSYAYNVMMKLYFQNDQVERVHSLHQAMEEGGIAPDIFTIDILVTAHADAEDVEAIETVLERANSCNDLISWHSYATIGKVFMKAGMQERALQAFQESEKKIAKKNNRVAYGFLLTMYADLGMNSEVERIWEIYRSKVPPSACNSMYMCRISVLLKMNDIPGAEKAYEEWESKHVYHDSRIMNLLLNAYCKEGLMEKAEAFVDQFIKKGRKPLANTWYKLAGGYFKVGQVSKAADLTKKALASASNEWIPDLTNVLMSLNYFMEQKNVEAAEEMASLLQRLLPLTRDVYHGLLKTYVNAGKPVSDLLDRMRKDGINTDEETDKILAGEVH >OB10G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11373245:11373490:-1 gene:OB10G21930 transcript:OB10G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGQPCSYELTLTFYLFQNPRILLITHGVPGHCWWQLEGRQHFEPQRNNTYINRTTTLSTRTPASIAVEEITVIAMTSNNCQ >OB10G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11377549:11381583:1 gene:OB10G21940 transcript:OB10G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRKAVAQRLSRAAAGATPAYGLRRFMQEQTAFRPAAAVVKAIEKAIPIPRTLPVAKDDPAREELRAMEARKVDIDRTAALQVRRELWLGLTYLVIQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFEGRFTAKQKRLMRAQDFDLRRLCGACFSMYRSECADDAMQSILIEEMTSQILNLKTWS >OB10G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11386311:11387134:-1 gene:OB10G21950 transcript:OB10G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDGVVTYTSLIFDSMVKRVLKPNTTTYGALVHGYASKGALAEMHGLLDLMVQNGKKPNHHVFSILIRAYDKLGKADEVMLIFSKIRQQGFYPNVVNYGQVIDGICKLGRADDAMLNFKQMIEGVTPNIIVYTSLTHGLCTCDELIFETTSQGICPDKIFFSAIIDSLCKEGRVREYKKLFDLMAHLGVKPDVITYNTLIHGYFLDGKMNEAMKLLTGIVSVGLKPNSVTYNTFINGYCKISRMEDALSLFREMERNGISPDIISYNIILHG >OB10G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11390229:11390808:1 gene:OB10G21960 transcript:OB10G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVELDMETELWSRVGIMHSDEDTPEFVDEDGLQEIIDIARVHRRKAAYERIIATAADVNSLLLSNYAQLLYQFDKDLDRAEDYFKQAVAAEPVDGEAMRRYALFMWHARGDLVGAEDMFTRAIDEEPQSSQHRSSYAWFLWMTGGVETCLIDSGNDTE >OB10G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11391152:11393660:-1 gene:OB10G21970 transcript:OB10G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53490) TAIR;Acc:AT5G53490] GVLLLLLPRPFAGGAAAAQGGAGGGVLCREREGERGRRRRLLAAWAVASSSSPVIAAAQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLQGAIFKNTVLSGSTFDDAKMEDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >OB10G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11393360:11393884:1 gene:OB10G21980 transcript:OB10G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQPISLSFRSKDRRIHDTSEGKSPTMHRGSHGMEETRYQPGRRQSPGWTRTRRPRPRAAAAAVPVPLPAPGRARHHPRRPGQPRHRRRRGEAGGGGGRHGSVPVATRGGERERGESCCAVCVSRSYRLICLVVWARLLSSRPLDGHVTAAGASRVRAMRERRRKHAALAKFG >OB10G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11395728:11396003:1 gene:OB10G21990 transcript:OB10G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGNGGGGGILSYEKLEGYAIWVGASVASAFFASMERCACIHLHTADDDGDDYDPEEAKDRPLMLSRPQALPEYYYDRSGSSASFAKM >OB10G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11397543:11397749:-1 gene:OB10G22000 transcript:OB10G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRGGRLGRKRARVAAARYPSTVGSGRYSLLCEASLTQCPPLQVQGRSSLRPGAHAHYSTWNGRPA >OB10G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11398146:11398421:-1 gene:OB10G22010 transcript:OB10G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPTPARRRMLLVFVACLLLAEELGGFSQGRRMAEDEEAGHSQASSEEQLYELPLPRTRGRPLVSAPSPAAYEASDRPVPQGSNPLHNR >OB10G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11399944:11400219:-1 gene:OB10G22020 transcript:OB10G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRKSRIMVMLITAVLLLPDMARLSHGRMIPDLGAMEGDGGSPPAKGGYMSRLQAAPSASGQHLGDGYSRSMHAVSKRLVPQGPNPLHN >OB10G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11403977:11406203:-1 gene:OB10G22030 transcript:OB10G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:J3N3V5] MKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAAEADVVFTSTASETPLFTKEHAEVLPPISDAMGGVRLFVDISVPRNVSACVSEVGHARVYNVDDLKEVVEANKEDRLRKAMEAQAIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDALTKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKSQN >OB10G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11407023:11407748:-1 gene:OB10G22040 transcript:OB10G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLLLPRTKPRRRRGGQERQSTQARGLRARGERENSREIFSSPLRFLFGLVHGSWGDRAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVVRCDAGVEAQAQAVAKAASVAALEQFKISADRESPPSLAPPPSLPHRVELARRRCSSC >OB10G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11411729:11414340:-1 gene:OB10G22050 transcript:OB10G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLAAPCARPAVAVVVAAPAVRRRRAASSVHLPGRRGPGGGGVVRCSAGPVSGGISRKVAELWAAAKSASPVAVIAAVAGAALVYKVGSSLFAPPPPPARRLEEPGEVVPPAPEPVQVGEITAEELRQYDGSDPEKPLLMAINGQIYDVSQGRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSPLELGALQDWEYKFSSKYVKVGTIKKKVPVEQGGDSVSDAIEEVATDGEDSILTAKMSNQLQYEEEMEADGYDS >OB10G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11416221:11418560:-1 gene:OB10G22060 transcript:OB10G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEMWETLKQAIVAYTGLSPPAFFTARARGGAAPAARAARGGLRGGAPAVQRLVIPVNMLMICLNGELKRNALLEMPGSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTSDISGLGPFELDALQDWEYKFMGKYVKVGTIKKTAPAEDGNTNTSPETTENAAAAEPDKAPATEVKPREVSSEEVKEKEEVAAAAAPAPDEVAKES >OB10G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11418578:11419009:-1 gene:OB10G22070 transcript:OB10G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGTHPIHVLQQIPSNYTGKDKKTRKSTSHRPTIPKIFTTLPLNNTTPESQLHVDPGLVDQSNSCLDTSALTDMRAPGARAPQVSRHPSRSPAPRGVLDIKREIFSRLALVSSSLASSSRPAEKTGVPLIRKAPARLGRRA >OB10G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11420079:11424408:-1 gene:OB10G22080 transcript:OB10G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48880) TAIR;Acc:AT3G48880] MFTSSIFRTLQIMGENKSMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWSTLDFGLLKSNYIQTRASPYIWVDDRSDKRLARILRVAMSISCGTVSCMIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITRFGICQAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNMANLEVLNISHCLLFEIVTTGRRQVIHELDDKTLEKASRLREFHHCQSRSCLACQRMMVDEGIMRWYRYEDWFWRRDEVSSLDLQDYGRLFDAECEALTAVD >OB10G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11430083:11431711:-1 gene:OB10G22090 transcript:OB10G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGTLDKCNACDKTVHFIDLLTADSIPYHKSCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKVTGTFNKNFPSGAKANSEQPKVPNKLSSLFCGTQDKCTACKKTVYPLEKMTLEGEPYHKTCFKCAHGGCLLTNASYAAHNGILYCQNHFWQLFKKTGSYDNLLKPASAKNTCASEALEPAKEHPETEEASKEEASPEQVAEAVEDQEHS >OB10G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11431379:11437756:-1 gene:OB10G22100 transcript:OB10G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:J3N3W2] MAGVAQPGVAAGSAEYEEVLGCLSSLITQRVRADTGNRGDQWELMAKYLQILELEEPIAKLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMNVRERFRLDGLDISEEKFVRYFWWCWNKLKDSTGGDIPMPAYFRFLALLAFKIFSDEKQVDVAVLEVGLGGRYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPHQLGDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHAKHSITLPDQFIKGLSSACLQGRAQIVPDPEMLSKDSSSLIFYLDGAHSPESMEICARWFSCVTKKDGEQPGSLDQPHFGSNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGSNGANPSTASSVFESLPLAIKWLRETAQQNQSTSFQVLVTGSLHLVGDVLRLLKN >OB10G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11445943:11447370:1 gene:OB10G22110 transcript:OB10G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAAMKFTVRRKPAELVAPARPTPRELKKLSDIDDQDGLRSTGAAPPWWGGRDPAVVVRAAVARALVHYYPFAGRLRELEGRKLAVECTGEGVLFVEADADVRLDHFGDALQPPFPCLEELVFDVPGSSAVLGSPLLLFQGTIIPLDDMAHRSFFFGPREVAAVRSHLAPGIRKRATTFEVLTGCLWKCRTVALAPDADEVMRMICIVNARGGKSGAGIPEGYYGNAFAFPVAVATAGDLCANPVSYAVELVKAAKGEVNVEYMRSVADLMVQRGRPHFTVVRAYLVSDVTKAGFGDLDFGWGKPAYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVVPMCLPGPAMDKFVEEMDKLTRPAVDVATTAPAPRQHPDMFAMIKSAL >OB10G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11446095:11446865:-1 gene:OB10G22120 transcript:OB10G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLLPPRALTMQIIRITSSASGASATVRHFHRQPVSTSNVVARFRMPGARCDLTAATSRGPKKKERCAMSSSGMMVPFVSGTSSYSRCANPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAASPGRAAAATRAPPTSRGRRTRAPPGTGTAAAARRRSGRASRQRPPRRTARPRRCTRRRACAPRAPEASRRTGSSARAPWRRRRGRPPPGRARPTMAALRR >OB10G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11448444:11448833:1 gene:OB10G22130 transcript:OB10G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:J3N3W5] MAAARGLLLLPLLAAVSFCVAGDAAAPSPGRRLLQAPGGGWTPVPDAGDPRIQGLGNWAVSKHDRLTGDRLVFQQVSRAETQDVVGVDYRLHVKAAGGDAGIGTGTAFVAVVWESGDSGMRKLISFDAE >OB10G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11452052:11457961:1 gene:OB10G22140 transcript:OB10G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:J3N3W6] MWRHAARRSSAQIQRRALSSSAPAAAAGAPVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFINSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNAKLLEDKLYLGLRQPRLEGAEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLTDFPKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKDLDPAVARFARGFGPDEVQDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGENAVFASGSPFSNVILGNGRKGYANQANNMYLFPGIGLGALLSGARHISDGMLQAAAECLASYITDDEIRKGILFPSVSNIRHITARVGAAVVRAAVFEDLAEGRCDVDAGDLKDMTESDTVDYVARKMWYPIYSPLVNDK >OB10G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11456748:11460438:-1 gene:OB10G22150 transcript:OB10G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin10 [Source:Projected from Arabidopsis thaliana (AT1G63260) TAIR;Acc:AT1G63260] MGSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRTLTVPVMGLGGVIFLISLVGFLGAWKKITCLLWTYLIMLFVVLVAIMVFTVLAFIITNTGTGHSVPGVRYKEYRLQDYSSWFIKQLNDTEKWTRLKSCLVKSDDCNGLSRRYKTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNGRSVLCYDCDSCKAGVAQYMKAEWRVVAIFNVILFVILSFVYFVACCARRNAGENDSKVPTRWHA >OB10G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11464200:11474021:-1 gene:OB10G22160 transcript:OB10G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREREGQGRQQGGQEAGGHRRVGEHQGRRDRNRVQEVPGVPGEEEGGAGGEAEEQHGDGAEGGGGEARGGGGAARGRGGEGGGGRGQAPGGNHNYYGRGPQPQPQHHHYQAHQQQQYAQRSNTQQQQQHQQQQWLRRNQASAAEPGEAAARTAAQLDAVVDSSSEDWKSQLNLPAPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLIMDEADKLLAPEFQPSVEQLIRFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQHYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPSQIDLAVYCQ >OB10G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11482660:11486785:-1 gene:OB10G22170 transcript:OB10G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAPEKAARALAAAAAGMVLLWCAHFRGGLALSSPTNKGLIFNFLKKCKCPSWVWFDGKLVHPVLMLIGFIILGSEVGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGVQWVFGFITFFFPGASPTLRRATLPWHVRSGLLVYILALLAAELGFLEKLTFLQAGGLGRCLRIRGDVFGEKIGTKHGLNLDTIKKSYNLEQMWH >OB10G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11483532:11483796:1 gene:OB10G22180 transcript:OB10G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAKRRAERSAARRAAMAGAGEEGKARGGGGGGGESRRTRWTAAWADGSEKGKGGGGDVVVAAVAGREDDVILSYFSA >OB10G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11502158:11506870:1 gene:OB10G22190 transcript:OB10G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGKAKAVFKLHFHATQVPETGWEAMMVVVTPRDLGRPTARTESAEVADGACQWAAPVFEAAKLPSSGKEKIYQFLVYETGSSQAALLGEATVNLAEYAEAFKPWVVTLPLKGSPGAQLHVTIQRVVGGGGGGCGDDASETGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKSRAAAADAMSPMQDGLVINKPPGMRFTSRRNMRAPADPISHLHNSNSFDAISMSGSDGSSGRFTPKNNASMHSTFLQEGTNALSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGIREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLREERDALRRECEGLRGTKKTIHDANGSGKRLSNAEDPWSQIEELKQELGHEKNLNVDLHVQLQKMQESNSELLLAVKDLDEMLEQKNREISLLHEETVEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALVKTTDGVATSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVDSLENELQEQSKRLEADIAEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLVQARKEAAELQLQKGQLEELLQKAQEELGSAQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSEEFQNQKRGDEAKLSALSEEIDRLKAKIENLSIERDNLFENNEQKDKELTANGEKDTLLQDRASEIASLNKELALIKDQVQTYLEEINTLKGSKNEKEETIEKLQSEIRSSKFQYENLKILLSTNESEKHNLASQVLKLRRALETREDVKQNSVKPDLEDNYHANSKRIKHENGTTGHRQNANGDCNGHGMRDAAAHADADQPMKELEVLKERNMALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRSLKNSLR >OB10G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11502207:11502554:-1 gene:OB10G22200 transcript:OB10G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRWAVAHRLVDEELVDLLLAGGGKLGGLEHRGGPLARTVGHLRALRPRRRPPQVPRRHHHHHRLPSRLRHLNESRTAHLTSSKKIKNQRNSWPSRSNWGRSVRAVPAWRGSAA >OB10G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11511396:11511622:-1 gene:OB10G22210 transcript:OB10G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAVTVLLLVAAAMAAPAPAAAQCNAGQLAGGAGARGYARNPAYASYINSGNARKTLSSCGIAIPRC >OB10G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11518232:11520315:1 gene:OB10G22220 transcript:OB10G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMVMNRHVPEDVGLRNVGESAAEEEGGQGQMRRGEEKQHKPVLKKVKEKVKKIKNTIAGGHGGNNGGGEQGDERSGGGSSSSEEGEDDVAAQRMGAVEQGGYQEDVEDKPVAMESDPEVHGAPMYDSARIPAVQEVEGDDAPRVRLGDLGGPVVEDPAAPHSTTRAPREGEDIGTTPVVRAFESMSISDDHPKHVGAGKPDDHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGKRPPGYIADKLRPGDEDRELSQAISGAVQRRKDDVGSAVPAPSTAMAKAREAPAQVLTKARETVTSLTGGSRGSDSAQPTTDASVTDGARVEGPVVRGEEIGDPQPKPNELIPQVLYETDHKFEQLQCKSSLREQTRGDG >OB10G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11521477:11522994:1 gene:OB10G22230 transcript:OB10G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVARPPALRTLSALLCPRRRLCGVHAGSGGEGNGSGRSDGGGVRVGGEGEEEGEVGLDADRVPEEVLLRLPTPGRAETDDDDGENLSPGVGSGSRRRLSEELRLEADRIVKILLQDGPGFNTRQALDEMRPRVSNALVRKVLLKIVFSIDSVSHARYPSLAYKFFLWAEQQEGYRHGTSMYNLILKIFAECGELKAMWRLLEDMTEKGLPVSSRTFHLLVCTSGQAGLRRRLVERFIKSSNFNYRPFRNSFNAILHTLLTIGQYSLIEWVHQKMIDEGHSPDVLTYNVVMRAKYMLGKLDQFHKLLDEMGKNGLAPDLYTYNLLLHVLGKGDKPLAALNLLNYMSDAGCVPNVLHFTNLIDGLSRAGNLEACKYFFDEMVKKACQPDVVCYTVMITGYVAAGDFDEAQKFFDDMLLRGQLPNVYTYNSMICGLCVVGKFDKACSLLKDMDLRGCTPNFKVYSTLVSRLRNAGKDSEANNIIQYMTNKGHYLHLLSRFRGYRRC >OB10G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11527300:11528981:-1 gene:OB10G22240 transcript:OB10G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRTAFPDCLIPQFLRRLTPPSCSWLIESQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKDNKMTIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >OB10G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11531507:11539227:1 gene:OB10G22250 transcript:OB10G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLDEKELERKLKKDQKAREKEEKRLKAKQKEAIRLQAQAASDEPKKSEKRQKKKGAADENPEDFIDPDTPAGQKKLLASQMAKQYNPAAVEKSWYSWWESSQYFVADAASSKPPFVIIMPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMRDRNLSRHDLGRDKFLSEVLQWKDQHGGTILKQLRTLGASLDWSRECFTMDEKRSKAVTEAFVRLHKEGLIYRDNRIVNWDCSLRTAISDIEVEYSELTEKTLLEVPGCSTPVQFGVLINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKYAFHPFNGRKLKIICDAKLVDPSFGTGVVKITPAHDPDDFDTGKRHNLEFITIFTDDGIINENGGPQFEGMPRFTARAAIIDALKAKGLYRGTTNNTMRMGRCSRTKDIVEPMMKPQWFVNCNTMAKVALDAVKSKRIEIIPPQYEQDWYRWLENIRDWCISRQLWWGHRVPAWYVTLEDDEEKDMGSHIDHWIIARNESDAILEAKQRYPGKTYRLDQDPDVLDTWFSSGLFPLSVFGWPDSTADLTSFYPTSVLETGLDILFFWVARMVMMGMLLGGDVPFQKVYLHPIIRDAHGRKMAKALGNVIDPIDVINGITLEDLGEKLKYGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAIRFAMNKLGDHYTPPATVSVCSVPPICKWILSVLNKAVGKTVSSLEAYKFSEATSSIYSWWQYQLCDVFIEAIKPYFNESQELESARGACRDTLWLCLDTGLRLLHPFMPYITEELWQRLPQPNEACRKDSIMISEYPSVVKEWTNDQIENEMEIALDAVNKLRSLRPHTDTHERRPAFVLCRGVEIAAIIQCYQLQITTLASISSLKVLTEDDPTPPNCATNIVNKDLSVYLQLRGALNTEAEREKLRKKREEVQKQHDTLSKKMNASGYRAKAPPSKQDEDMKKIAALLEELEIISEAESELNANN >OB10G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11540022:11545426:-1 gene:OB10G22260 transcript:OB10G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLKSAVPAELRRAVGEGTAADLPFTTSRLLSFLEGLPLFHLVIGELTDPELALCRKDKGRAAELKGKGNECFSKREFEQALGFYSQALRYLPISSDGKDAGLIATLYVNRASTMHKLGLLEECIRDCDRAISVSPNYAKAWYRKGMVNASLKNYKSAINDLEVALSMEVTSSGKSNITQELKLILQKHQNVNQVGASSSDCTDAGFPHTVQQHEVILECVSTPNKGRGMMSPNDISPASLIHAEDPLAAIIMKSCRDTHCHYCFSEAPTDVVFCPSCTIPIYCSNRCQDKAVGQISGNQNTHLESNKNLVDIAKLSVTSTRCKTLDSKQNAEHKHECGGAHWSAVLPSDIVLAGRIMAQYIDKQLLAGKRSTISGPNLDLVHHYDQDSPASKFESHMYAIVLLSCVQSYYKSGISWAEDSLSQLVLLICQIKVNSIAIVHMKSMDGGKALTVDKRFSGSSGAVMCSVEQVRVAQAIYKSSSFFNHSCQPNVHAYFHLRTLVLRSTEYIKAGTPIELSYGPQAGEIDLPERQKSLRENYYFSCGCSSCSVLNLSDLVMNSFRCPQNNCLGAVSELTFNKCKENFVRVSMGESHVCSLSLPDISRFDGDMVKVGKLFFKTDAILNIDPGFCLSCRSRLDLSSSVAMSDRAISKINRLKELPSLDHAPEFLIAEALRSLEQIKKFRHPYSKALAQAQDTIAEAFAKVGDQEQARKHCKASIEILEKLYHTKHIIIAHELIKLVSIELSMGDSASAAASFAQAEAIFSLYYGPDVKKIFPYVDVLRRNVGERYIG >OB10G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11554653:11561415:1 gene:OB10G22270 transcript:OB10G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVVVPDSQCDQERPELGMNRAVLLEVFFASAASGIGTIGFVWATVVLLGGFETELNQVDFWFITALSFFQAIRLFGGDWNADQKILFGLPLDALSQPKANGCSFLLCCLNYASCYTPKLSCLLFSGKVVCIFSAFLVRFLKFVVILGTLILSVARLILGNVAPHAEAANLKSAFSLYYGLSIVQAVVSYMAFSYSHAQQDMVQDIRDKYNFAAGDVEDEPFLVYYAHLKKICKAGGIAETLNMTLPAFAVASLGSNEQQVRVAAIKILQYLVQERDYKEQTLSAIRDSFGAAASLFRTMALTSQDKTSREAREGATSIMVELAGDLHISGLIPCATQSIYSLLKTSSRRRLDGMKILERLSTKNCNLADISSSDELMSKIIEFTEPKISRGVSTMADDGFQMAERALLVLTRLGGQTGEQGSMMRQVILRNVFLMSNIKEMLQGANNNDRRLQEMQKRGMGIVDGFALDSESRNHGAIIKILTLVLNIFRHGPNNEFRLAAGKTLARLTTESRVNCDAILTKENFQALRDTLFEVSERAHLVIAANILKNLCENCEAQHGQHDALLGFVQDNMEKILEAMYQLGSGDLIGEDMGTFLGLILQLSKLVTVADFNTYVAANGNGGDRRDFIQKLKSILERANEQETGVREMHPGIRRFTIELAIWMAQTWEQPQFHWKQRLEDCGMRSALVEAEQTARRAPWREDCKLSPGGVPVLEYEQSLHSLASRALELIR >OB10G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11564516:11564767:-1 gene:OB10G22280 transcript:OB10G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYLDVIAGQLACEVAVFHGWDDELLLVECTLAVGARVPRARITVYHDKDHITIIVAQEKLFTAKLEAIWRGSAAADATDGE >OB10G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11565544:11566965:1 gene:OB10G22290 transcript:OB10G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAREREPPANPNPNPKKRKKEEVRMIGPDEEKYRLGYGLAGKGVAAPWYMSKPLPSSSNERKDRDGGEASAVKKNGGKKSIEELREERRKREAKEKERERALLGIPSRREKHSERGRSSR >OB10G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11566487:11571197:-1 gene:OB10G22300 transcript:OB10G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCGLVDVEPPELQFPFELDKQISCPLKITNRTEKTVAFKVKTTSPRKYCVRPNNGVVQPRSACVVVVTMQEQIVAPPDLQCKDKFLVQSVVVSDGLSAKDVTSQMFMKYDTNMVEEVKLKVAYVMPPEPPLEIAEESDVPRRIMVPMQRILDNGRSASELSSGNVSLRSAEMGTEVGSPLGRFGKNEDLLKTAGPVVETRIHAGADEQYHELSSLVSKLTEEKKSALEQNRKLREELELVRRQVSQKQGGFSLAFVLVIALLSIILGCLVKK >OB10G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11577629:11578297:-1 gene:OB10G22310 transcript:OB10G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGFLGLGMPRVAVLGAVVALRGAGGVDAVGGGGKPDRSIAGADVILVGFAAAVMVVVFWYIRITRKSSSSSVEAARKLEIIKQQPAVVVEA >OB10G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11583161:11591333:-1 gene:OB10G22320 transcript:OB10G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G35720) TAIR;Acc:AT2G35720] MASTPEPEDGRELYALLHLSPDASGEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEVLSDENKRQIYDIYGIEGLNSGLELGPKLNKPEEIKEQLERLKRRKEEEKFLAHARPTGSIIANISVPQYLDGYGIMRGMGMSSEVQLPVSKRNTVVVGGNLVVNGTDGTGAASAVLRHQLSSVSSVEFTATAGLRSLISVQTFRQISPHSTATSGLALSLRDGSINLSNAWTRQLSDNTVGNIQLALGTDSSISVGWQKKDEKNSATGEVKLGTSFFGASAHYIRFFSTKSHGRIAGRVGSSALDFEIGGGRRISQFSTVRMIYNIGIQGVSWRFELHRAGQKLVIPVLLSTDFNALLATSAFAIPSTLYFLLQTYFVKPYYHKREKQKELEKMESLSAQLTEARRAAKKAQKLLEPVSIRKKNRQLDDDGLVIRKALYGNHKKVKESRELNDDVASQVLDVTIPLNFLVSEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQKYKQVMVDDYSALLIPQDIHQI >OB10G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11593940:11599244:1 gene:OB10G22330 transcript:OB10G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRALAAAVVLVVAVVRPAAATDPYAFYDWDVGYVTAAPLGVKQQVIGINSKFPGPTVNISTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGYGGVVVNNRDVIAVPFGRPDGDITIFIGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETINVDPGKTYRIRVHNVGTSTSLNFRIQGHSLLLVETEGSYTTQQNYTNLDVHVGQSYSFLLAADQNASSDYYVVASARMVNDSLWRRVTGVAVLRYSNSGGRASGPLPDPPQDQYDKSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRGTAPVEIAGRRRATLNGLSFTPPETPLRLADAYGVRGVYSLDFPERPIRGAPRMGRSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTVQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALYCGQLHKYQKQQTPHHRMGASAARPAAVVSRVAAAMLLLVGAAMLSP >OB10G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11605630:11605833:-1 gene:OB10G22340 transcript:OB10G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGDLSKSSAEEGRGEEGRGKEDELELGIWNYYWDQCGGRCLLVLLQGETRDASKLVMVFVLIPL >OB10G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11610773:11611540:1 gene:OB10G22350 transcript:OB10G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGVFDSVLRDALRVAHRFTRSLADLLRCAGWDLATAAAAVYPGVSYSKPGHCRYALLSRVCLSMFDGFDSYQFGSTGDADTLEGIDLTIRRNESLQQFIEHSDADPMELINSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGKLPVLGVAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQIGQGAEYSVVYMESIVRSKGFSVSKEHGKMIRPKVGFTVVPGFRLGGTVIQCRVYLDCGKRDGVIGE >OB10G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11618800:11622773:-1 gene:OB10G22360 transcript:OB10G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSASSAASAAFRPAVRREEQQVDEKFPAAAAGTQGGGGPRAEQEQLCYVHCHYCDTVLVVSVPSSSLFKTVTVRCGHCSSLLTVNMRGLLLPTTPTSAAATVAAATAPPPPPPPPAASAKHFPHSLNLAPTGNHHSLLVRDSPPLPSYLGSLHASRRDIERERHEQPAAAGAARPRLPDGECSSRLQEQQRPGAAAGPTPPPPAPAAGAGAGDERGERAVPPDEHRRQQTSGEEAARAVGVQPLHQGRNPTHQGWQSRHLAQGGLQRGCQELGTLSTHPLWAHAGSPGAQEGQPASSGSSEKGWATKGRALRSGGQHGCCTVLIKLS >OB10G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11640432:11645205:1 gene:OB10G22370 transcript:OB10G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:J3N3Y9] MEKGGSESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHTKLDALGPQQSPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMRVIPDKVEKPDWALDGIPKIEPDSDLQKRVEIKTPEQIERMRETCLIAREVLDAAARIIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGIMKAGQTFTIEPMINTGVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >OB10G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11644237:11653116:-1 gene:OB10G22380 transcript:OB10G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEEAAAGAGGATIPTSVSSGPSTASADVDASADPTATAAAAADDDEHDSKEVVLRRYFLQEWEVVSAILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLEDIVSPLMLLVRSKTMELGAGANELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEKCHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATTDHMDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRTLLSVTDDFVDQFRSIGIVEALASIFKIGNRRVLCDAGDAGPGIWNDCSVVMKTSIAARSSLLRKFLVKLAQRVALISLPPHSPSWRYQSTSSSLGANLSASTDGSGSSSVSAQQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSVLQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAIFVAQYKEYLYPFAEELLCNKISHWERSLRELAAQALSVLVQYDINYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFAFTTDMQKALAGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAGITLNEKTKKSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSREKIANDIISKYVALLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAETRVNSVRGLISVCETLTSDVEHSSNFGDAIYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALVRCTFILCKRDSISVKISLVAEHDSESSNMDVNAVNTRGHLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLNRILYNQEQFVPFLPYRELLEQIIPNTADLEWAVPTVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSNVNTNDEGKNREYLLSCDLLWVLEHFQKCDRVVTPTLKTVETLLSKKVFLGEGHGEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQMDASGRRAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDGLISAENMDKAQEVLAETCWDGDVEEARRKRAELNGMAGFGASAPQKQPGNGETRRKTDDRDAASADENKSYSSLVDFSGY >OB10G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11653382:11656486:1 gene:OB10G22390 transcript:OB10G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPAAGRPSGTDGSDFSYRMVVDSRYQRVADGRSRLGRLILVQALHQVAGGALLLLALAKGVEMNKFAVMSVAAGLLAILLGEIGRRRTIAVLLRLYTSLSSIAVAFSVTCIIRSELLFKITKQNTSSLTSHELLEAVRVALGVLLQLVVIATTSRLLQNMSPPRRAS >OB10G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11659312:11659668:1 gene:OB10G22400 transcript:OB10G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQGTNAFIQVYSCIYMCIRNMMFYDGIHTYKTYCIYAYGEFATYVRPWLCVLNHGVICLDMVLTMASALAVRWTRPMTWPRPASLVPPPPAASPLNPSMHMSPSVSAALAHVSTLST >OB10G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11665597:11673286:1 gene:OB10G22410 transcript:OB10G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MVRLSTATLSPLASPSPPHSSSTSRLHLRARRCRHRLVVVRLRALPVPVTDASPSARSLRLLEWGKVCDAVASFAGTAHGREATKAQLWEVEDVSYEQSRRLLQETEAAVRLIDSAGGGMDFSGLDTVAVESAIHGISGGAVIKGQEAVAIVSLMLFIESLQVIIKAAMKQDEDSHERLMTLTGTILDAVINKSLVKSVQDVIDDDGSVKDTASPELRRYREQVQVLESRLYQLMDKLMRNSENEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGSDAGSMVEPIVAVPLNDELQEARALVAKAELDALSKLTDKILLDLDNIQSLLQATVELDKVAARAKYSIAYDGTYPDLYLPNLVNGTVSTATGGSISTTSSAHLSKQQWKLYMPNAYHPLLLQQHQENLHHAKKDVASATAEIRRRRIYGQDIVEEDQLASDLDLMKIKVSQLEKYHPVPVDFFIAEETTVLVITGPNTGGKTISLKTVGLASLMSKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQNGAIRAESTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALILDMENFKQQYQHHLQEAQYYLKQSKELHNNLEEAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRALEEEKAVENNKPEGLKGPEPTSTPVEKAQNANISMAATTGDEDGGVPEVGDLVYVPKLRNEATVVKIDSSKNEVQVQAGIMKLKLKLKDVKIQKRISR >OB10G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11675115:11675552:1 gene:OB10G22420 transcript:OB10G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYPCAMLFNPPHRPPYWIVLICSVPLSPSSSALILGISVLLSLKSFSAFLCTPSRISPSRNSQGIRLFIAAVRSRSDGVAAHLWITTTRVNCGRVDLTGINIKRVRIPRGSHPMCGACSAGGPRLHATNLALSLSYLNYTPFL >OB10G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11682066:11682497:1 gene:OB10G22430 transcript:OB10G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQVGDGMDLS >OB10G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11681995:11687001:1 gene:OB10G22440 transcript:OB10G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPGKLLNCHSVNRWEEKNYLRRITGSLLPSLISRSRSEQRPFQIKNTGSLVLLLEPQNL >OB10G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11681306:11692573:-1 gene:OB10G22450 transcript:OB10G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) TAIR;Acc:AT1G55250] MDAAALQYENQKLVQQLEAQKSELRALEGKFKELRDEQCSYDNSLISLNKMWNQLIDDLVLLAVRAGGNLNNLQALDHEEFSEESLEACPSEEIFLFRLLNTSNFKNNDDNNLSKFVEEALALRYSTTVTLMKSLQEAFAVHQARSESLSLALTGAITNEDVIIALENHNGYLKEVVDNARQAISIINEKHERYLDEIESFKSSHSRELHEIKCLSDELEESMADLEESRRKLAILQLQRDGASVMNIAAPNGVNGSVSTDKSSDKGMGWRDLKDAVQEAKTLAANRLFELHETQEDNLILSKKLEDLQDQLKDENYIFMSKPYTILNDQLNHLNAEVERHRGLVEVLQNEKDQFMQKEKEMLAKEESMESIKQSITTYSATIEGLEHEIQKLMAEKNDLEIKVEEALQDSGKKDFKDEIHVMAASLSKEMELLENQLKRSRDAASEALALREEADYLRTLLAKKTGEQKEISDRYNSQITEIKSLKALIETLDQEKQELQFIVDMLGKEGSESRSIAEIEESEKQAREQAEYLRKCLEEHNLELRVKAANEAETACQQRLLIAEIELEDLRAKVDASERDVLTLKESIRILEAGREGHICEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKMKQAYSSLLSENHIVQKQLQHVNSSLESSKQKITYCEEQMKIYVAEAMKSSSESRHLAIMLERPMLEVSDAEKELKWLRSTFGSAEKEYEINQKKIADLKMELEHERSERTKLEEEYEEIKNEVLELTSETEETTIQKLQNEINECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >OB10G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11694779:11696999:1 gene:OB10G22460 transcript:OB10G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLICVLRALCRKPHVLVLKGITGLLTWPRPHLQVARLCLWIQVGQIYQKFECKDRSDGGIVRNVVRWSIENLLELCGDLTSHQKVFVDLLFTEIVFVIWMILYIYVV >OB10G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11702595:11703065:1 gene:OB10G22470 transcript:OB10G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSHKKRTIYERIHGDLTRSVHGGGGGGTAGAGRPDDAVHEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPRPPPAPASLASAASSSRRSRSSRAAASATAFSSSPFLRRSTSSASLASMAVAVVVAADTLPHILVDQASVRGACHDVNEVG >OB10G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11709848:11710145:-1 gene:OB10G22480 transcript:OB10G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEAMRRNAELEKAAAEAAAREERLRRELEAALARLAVAEEAEERLCVQLXXXXXXXXXXXXXXXXXXXXSERLALMDAVLRSSGHRRSALAAGTD >OB10G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11716385:11716723:-1 gene:OB10G22490 transcript:OB10G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQALLLLILAALAPASAVTAKQQGQHPHACGGGHTSPAPELPTCSGHRGQVPAPVLGLLGRRGSTGAPPPPRPHPPVSYVRPKPRPPPPHRPHPFPISPVAPPPPPPCL >OB10G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11724276:11727988:1 gene:OB10G22500 transcript:OB10G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSIIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >OB10G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11727001:11727495:-1 gene:OB10G22510 transcript:OB10G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTAKATSRSISCGRWLGQILHIQPLRSTSARREKERNLCQNLLEQSRKLIKKYNRQVTLHYLLESAQRCKDGSTNPDTVLPLWRSDNLDLHAAWRERSDLLAHAVRDAREHGGSTTENNVAIQILSDINITLHDGVVCCLMDARGFHANDGRLEHDLRASEAL >OB10G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11729952:11730737:1 gene:OB10G22520 transcript:OB10G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPVRKAAHARTASHPSPSTCQCRPALVRLDGAVRELRSWSSSAGGGGAVDAGPGLKLVEAVLAALGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASEAIFLGCAAMSPGTSSMAKTAPPSSAKYWLSRLRVVPAAKKVSPETCAATATAAAALERLEECIGELESESEKVFRRLLQTRVSLLNIHNPV >OB10G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11735348:11735587:1 gene:OB10G22530 transcript:OB10G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVPAMVQTVPSNKWLARLGVTRAAKKAASPEMAPAPALERLEELEECIGEMESGSEKVFRRLLQTRVSLLNIHNPL >OB10G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11734318:11737026:-1 gene:OB10G22540 transcript:OB10G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVWHDLSMQCSGLSIVLSLLDFQLMLTTGKVYIYTGAKAKNQRSKQGETCLCQTTLNISRNLLNTFSLPLSISPMHSSSSSNLSNAGAGAISGDAAFLAARVTPSLASHLFDGTVCTIAGTSRDIATHRRKMASDAAAVTAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPQRADAAVEPRQDRVRVLPRQARRPRRRRRPDR >OB10G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11736444:11737697:-1 gene:OB10G22550 transcript:OB10G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G06440) TAIR;Acc:AT1G06440] MKGKKKSSGAAINGLGRRNPKSSNCSRPTRRSASVSARRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEPLAVASSPAAAARTLHRLLAMSASRSLPLRAVFRVWRELALPDDFEDSVVAGHPHLFHLAPNPAEPNTHILHLVADPETEEFTPAVEKARPEKHAFKLQFPPGFRLTKEYRKKVKEWQQLPYIGPYEVSVRKTVGSKKVSKMARKKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLIEPNDVSEARRKLVELMLLRRRGLGNANSNANMASCASASDASGEETSDLQEQEI >OB10G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11741575:11745168:1 gene:OB10G22560 transcript:OB10G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYPASPKAQQLQESRKQRLTYILVVSALCVAFYVLGAWQNTTLPKPAASSAITKVGCDPAASSSSAVPSFGSASQESLDFEAHHQLSLDDSDAGAAVQPFPACPMNFSEYTPCEDRTRGRRFERAMLVYRERHCPGKDEEIRCLVPAPPKYRTPFKWPQSRDYAWFNNIPHKELSIEKAVQNWIQVDGERFRFPGGGTMFPRGADAYIDDIGKLISLSDGRIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRAFDMAHCSRCLIPWQEFDGLYLAEVDRILRPGGYWIHSGPPINWKTHYKGWERTKEDLKQEQDQIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPLPEVSNQGEIAGGAVDRWPQRAFAVPPRVRRGMIPGIDAKKFEEDKKLWEKRVAYYKRTLPIAEGRYRNVMDMNANLGGFAATLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITSILLEMDRILRPEGTAIIRDTVDVLTKVQAIAKRMRWESRIMDHEDGPFNPEKVLMAVKTYWPADESEQH >OB10G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11752529:11753479:1 gene:OB10G22570 transcript:OB10G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAKNHARKQSAKRGRWRWIWLWWWWAAAASRSTRWWAPWRSWSMRRSTWTKRSTGQGMAMAPCCRKPYSRPAPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPLLLPVGPHPHRHAPLRRHRRPLPLPLLLLVPPVHLGIQELNRGGGAAGRRRRERERAPAPAGRREEEDEEDEAGLG >OB10G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11752549:11753350:-1 gene:OB10G22580 transcript:OB10G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRHQQQQGQGQGAAVAPKGCVTYGFRQQGAIAIPCPVDRFVHVERLIDQDLHGAHHLVDLDAAAAHHHQSQIHLHLPRFADCFRA >OB10G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11762516:11767353:-1 gene:OB10G22590 transcript:OB10G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHLLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYTEEDAKVIVEQILNVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDTPWSAISPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDESRPIPLDMLVFKLIKAYLRSTPFKRAALKALSRAITEDELIYIRAQYNLLEPSSTDGRICIENFRMALLQNSTDAMKESRALDILNALEPLAYRRMDFEEFRAATISPYQLEALSRWEEIAGTAFEYFEQEGNRPITIEELAQEMNLSSAAYSIVRDWIRPSDGKLSFLGYTKFLHGLTMRSSNARRHH >OB10G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11783362:11786448:-1 gene:OB10G22600 transcript:OB10G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSSLPCDSPPLLLSFAIMVATVLCVFGRLRASKRPWNWKWNTAAVSRDAVLRLVGIRLGDVRTVVVRDGAVAHEFLSFLSKARVFGTFQKVARLVYRDRWKKLVHYRRRQQEMYLPLIRARKEQRRTQGTTSPSSSSTAYVDTLLDLEVPAADGEAGRRKLTEGEMVGLVSEYLGATTGTVLAELEYILANLILRPDVQSRLRAERHMGCDVVLGDDTHAAQGSVVSFAIEEIGRDNEIWASAQEFRPERFMPGGEGEGVRLALGSKHQEEATKVVKMMPFGAGRRRCPGMGYAMLHLEYFLASLVAAFEWRRAPGEDGEVDLTADYGFITAMQRPLRALVVPRTPPANARSCVGVAVN >OB10G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11789803:11790147:1 gene:OB10G22610 transcript:OB10G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQQTLDAIGCSQSLMWPHFNLRDREGRRTVLLLLAALLPVGALCAIPDLVATSVLLPARVYLRSKGEPGRLTSCMALAVGCVRQGREERKTREIEHGSEKENKGGEKKSKII >OB10G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11794275:11795310:1 gene:OB10G22620 transcript:OB10G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQQEFLRFLPSARVFSTFQTVARLVYRDRWRQLLRHRRRQEEMYLPLIRARKEQRRTRDTTATTTYVDTLLDLKVPADGDRRRNLTDGEMVGLVSEYLGAATEMVVAQLEWTLANLTRQPDIQTRLRSEVEAAAGGEPCAYLRAVVMESLRRHPPVSSVQRHMACDVTLGTTRVARGNVVSFAIEEIGRDNKAWAWPEEFSPERFMAGGEGEGVRLAVGSKQEKAAKVKMMPFGAGRRTCPGMGYAMLHLEYFLANLVAAFEWRRVPGREEEEAVDLTADYGFITTMRHPLRALVVPRTAMVAPAPAVAL >OB10G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11796830:11801754:1 gene:OB10G22630 transcript:OB10G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLDHFNPTDHRQFKQRYYEFLDYYRVPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNTKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNFTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNSVKQLFGASSLENDGDFLFLLADAAAIAFQYGNPDAVCSPLVEAKKNGTDLVETFAHYVKDYYIGAFGASIASYDQEYLKNTTPTPAESSYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCKNVFGEGVYPDVFMTNLYYGGTRIAGSKIIFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQDQGHDNEPLLGSRWNLATF >OB10G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11802396:11805671:1 gene:OB10G22640 transcript:OB10G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 3 [Source:Projected from Arabidopsis thaliana (AT4G31850) TAIR;Acc:AT4G31850] MMELCCSGVLGGTAPSRTPKAGAAGVSSPAGAGLLASRPKKRRVGRAGFRRLEPRAPPPPCDERRAGAEDVIHMLRSADGPAEALELFKSVARQPRVAHTTASCNYMLELMRAHGRVGDMAQVFDVMQRQIVKTNVGTFATIFRGLGVEGGLQSAPVALPVMKDAGISLNAYTYNGLIYFLVKSGFDREALEVYKVMMADHIVPSVRTYSVLMVAFGKRRDVETVLWLLHEMEAHGVKPNVYSYTICIRVLGQARRFDEAYRILGRMENEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKKSDQKPDRVTYITLLDKFGDNGDSQSVMEFWNAMKEDGYNDNVVAYTAVVDALCQVGRVFEASEMFDEMKQKGILPELYSYNSLISGFLKADKFGDALELFKHMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDTITYTMMIKCCSKASKVDEAVKIFHDMIENKCVPDVLAVNSLIDTVYKAGRGDEAWQIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEAMHLLEEMYCSRYPPNLITYNTILDCLCKNGAVNDALDMLYNMTMKGCRPDLSSYNTVIYGLVKEERFNEAFSIFCQMKKVIIPDYATLCTILPSFVKIGLMKEALHTIKEYVLQPGSKSDRSSYHSLMEGILKKAGIEKSVEFAEIIASSGISLDDFFLCPLIKHLCKQKKALEAHELVKKFKSFGISLKTGSYNSLIRGLVDENLIDIAEGLFAEMKELGCGPDEFTYNLILDAMGKSMQIEEMLKVQEEMHRKGYESTYVTYNTIISGLVKSGRLEQAIDLYYNLMSEGFSPTPCTYGPLLDGLLKAGRIEDAENLFNEMLEYGCKANCTIYNILLNGHRIAGDTEKVCQLFQNMVDQGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLSEFGLEPDLITYNLLIDGLGKSKRLEEADALFNEMQKKGIAPNLYTYNSLILHLGKAGKGAEAGKMYEELLAKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >OB10G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11808434:11813413:1 gene:OB10G22650 transcript:OB10G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARLAAAAAVLHLLLLARGAEPRRAPPHAAGRYLTTEERWMDQRVDHFSPTDHRQFKQRYYEFPDYHGGSGGGGPVFLRLCGEESCNGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFESLATENLRFLSSKQALFDLAAFRQHYQGTLNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGESAGPECKAALQETTRLVDEQLRVDSYSVKALFGAETLKNDGDFLFFLADAAAIAFQYGNPEAVCSPLTNAKKSGRNLVETYAQYVQDVFVKKWGTTVSSYDQEYLKKTTPEDTSSRLWWFQVCSEVAYFQVAPKNDSIRSSKVDTRYHLDLCRNVFGEGVYPDVYMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQNSSEDMPSYIIKCGNCGHGTDLRGCPQLPFVIEGNPSNCSSPEAVITVRKQITSHIDLWLSQCQGPARSW >OB10G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11812932:11813249:-1 gene:OB10G22660 transcript:OB10G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCPRPALTEPHTPHHPLNRSPVSQRRSTGATPQHVIVPTKVAAENAWLATGHAPATALTTVLHLLLLPCQLFVLMNMLACACCHQLTMTCIRSSCCNVFKKD >OB10G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11818657:11827020:1 gene:OB10G22670 transcript:OB10G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTCTYSLCKGCIKQGKFFGVRGNKGFCDTCFGTILLIESKDEDRAKVNVDFDDKNSWEYLFKLYWLDLKGKYSLTLEELVNAKSCWTVRSTSARREKEESSDDLYDANDDIDASSDGLLRKRKRNGSSGRRGRKQQKKGAITTREHEISIKGAENLPKTVPHEGMTFLGDTQWASSELLEFIGHMRNGDISYISQFDVQVLLLEYIKQNNLRDPRRKSQIICDMRLSSLFRKPRVGHFEMLKLLEMHFHSKETVNGDSQKATDVDSAQINSGEYNDMSSKICSDKRRKIHKKIERDSPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTSGVGQKQDMYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEVITMDTISNQDFTQEECKRLRQSMKCGLITRLKVGDIREKAKIFQQLRVNDWLENEKQRLSHLRDRASETGRRKELRECVEKLQLLSTPEERARMINEDPEVHVDPCMDPNYESEEELELNKTVDQTSRNGSDLLFHHHGRTGTETNSLQNHTQNCFATNRRSTISPPTEGVTHRQGEGDMEPEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLRIWLTFESEQNSLLLTEVLSKQKDFIQSSSEVDNNKSTGEGGGQDKVNSSLTGNNSPSPIGYNLIFSSQLPSPSADCSAPSREGPNLPGGTLPFTTSWKTQKDAQMLHGQAQHQGNYSCAIPSSAVSYRPAGSHDEWPPGGNIGEWNNSQDSGGGMWSPTKPHMSQSNSEHHSDRRIMKPLQNDSESNSLGGSAENLNSQMDSGSQKIQSPTQQSERDLATSVGTSRQPEFKTCQQEGSCWNSSEDPITPDGLQLSLASAKPESCSTVNPIEDGDSSLASRVAKQSGAPVYSPQSAPAISNLNKGEENMNLGKSNEPEASNKSCERDASNAPVNQPHKTESNPVLSPDTQDFEHTHPSPTPEHDTKNPLKDQSRSTSVAPEELATKARRQSSIAFISETSGPPSGKFVGMQPPKNTPFLVERDKKDGVQPKENKLLREQPKEESTTFKRENIAVNPISDTEAIVAGVLESLTETYNLHEETPLENLTSNSAEEEQPQCSSPIALSPWGEPSYYQGEAVDSALWGVQDDQSNDMWSLSSPTPTLQPSGLGADVKDSCVIEEVIVAQGCNAVEPSPAPVEKKIEKVSSASIHSGVPEQVKSKPTATSSPEGSTKSSGLPPSSTSLEGGADPSGLPPSGASLEWTTKVSGVQSSGSSLEGSKKAFVRQPSGSSLEGDTKASGRQPSGSSVDKKPSDRQPSGASQEGNTKPSAWEPSVPSLEGGTRASGWKKPSISIDGNTKALGWQRSSPSPDASRKASAWQSSGSSPLAGSTKASGWQSSPRESSKPKPSSTWGASQSRNSSSAHQSTTPAGKHSSETPRRQGEAPGNKSWHSSPGNASGRGSHSSHHHDRHSQGSEPWRGSSNHSRRSDHRQDYGGGGGSSRSSSSRGQSQKGICRFYENGYCRKGSSCQYLHR >OB10G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11827714:11838101:-1 gene:OB10G22680 transcript:OB10G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLAPALRQAQIHQSVLLGSHGLDDDPAAANPSLADHVDARHLWTHDSHGLLRPVLRFLEIDHKAWPGVETTAATSDPKHHIGAFLRKVFEDEDDGEKAAGERSDHELALAKAVDAMVMGLENDVVAADELMIAASAEDDEGSPASSPGGSRAKDYRKMAVLYMLLSACVADVNMAEEGMGSPRVRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEQSRGNESPNSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALAPTLHTLVPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGSVKEFEFKAIGENHNQGRLAVAILVTGFAFTEEDYIKPWEGWKTNLERYILQWESKHIIGVSTAIRDWLASRFAMELMREGAMQTVLCGIVSAFAWPAYVVSAADFIDSKWSIAIDRSDKAGKILAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELALLGNNEGIVERAVLIGAPVSDKGELWEPARKMVAGRFVNVYSTNDWILGITFRASLLTQGLAGIQAVHVPGVENVDVTELVVGHSSYLTLVKQILDQLELNTYYPVFYPSTPRSQLQILTDLEGIDTWIAERNSVSRCEVVTRGDSSY >OB10G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11857109:11862780:-1 gene:OB10G22690 transcript:OB10G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVKFTMEWLQDPLSLVIVVTVAVLAMQIPRRRRAAPLPPGPKAMPIVGNMSMMDQLTHRGLAALAKEYGGLMHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVVKLFSRRRAETWLAVRDESAALVRAVAESRGERAVNLGELIFNLTKNVIFRAAFGTRDGDGHDEFIAILQEFSKLFGAFNIGDFIPWLSWVDPNGINRRLVAARSALDRFIDKIIDEHMKRGKNPDDADADMVDDMLAFLAEAKPHAGKNPDDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADADLHNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRVQQELADVVGLDRNVAESDLDRLPFLKCVVKETLRLHPPIPILLHETAEDCVVGGYSVPRGSRVMINVWAIGRDRGAWKDADVFRPSRFAPGGDAAGLDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHAFTWSLPDGMKPSELDMSDIFGLTAPRATRLSAVATPRLTCPLY >OB10G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11880015:11881682:-1 gene:OB10G22700 transcript:OB10G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATLLRLPLARLSSHLHSLPTHPTPPPRLRIYTSGRLLSSLLAPSLGPTVVAVAEAVAASDGEGVEEQEEEVQQEGTAEVEARPSFVLPRLPRPKLSVKERKELASYAHGLGKRLKSQQVGKGGVTPSVVSAFNDNLESNELLKLKIHGNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKREESVRNSTRFVRSKESSEEYPRSSTSKGFVKSGGTFRPQQKRRPLATKGSSYGQG >OB10G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11883759:11887487:-1 gene:OB10G22710 transcript:OB10G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGSENTCTSGSTLSKAALCFAKARSLMEKERGNLLKAMGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVAAMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVEGSMPPPPAYEEVNGIFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRDRVLASKVAQVF >OB10G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11889239:11901425:-1 gene:OB10G22720 transcript:OB10G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGHRRPPTSSASSAGSYMTGRLMPRSYSSASSVSSSSHFFGGGGSGSRSTTPGRRGSSSSSSMVPAPAPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREMQRGDEISWYADGERLVRCEYNPSTAYGYDRVFGPATKTESVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDDYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGMIGGASQEEIMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSHQCHNSVSEEDKLSTSQDGSVLVQNDSATKDSASSALPDAVDEINQLRCASGEQSSIAGSGPDAMQAVITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIDNLEREIREKRRNMRALEQQLMESGEASVANASMMDMQQTITKLTAQCSEKAFELELRSADNRVLQEQLQQKNVEINELQEKVLHLEQRLTTKIEASPDQCTEQEIHDLKSKLQSKEAESEKFKYEHMKITEENRELVNQNHKLCEEVSYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQEMAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVVVVEKQLSDNTVKSLTAEECRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKVCFESATAAVLLPCRHFCLCKPCSLACSECPLCRTRIADRIITFT >OB10G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11910690:11911474:-1 gene:OB10G22730 transcript:OB10G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT4G10380) TAIR;Acc:AT4G10380] MQLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAVLRHFPWLQVPAYIAVQVLASICAGFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGATVTLNILIAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLIAPTLGAIAGAGVYTAVKLRDENGDTPRPQRSFRR >OB10G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11910954:11911514:1 gene:OB10G22740 transcript:OB10G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAELATYPAMRMLRVTVAPTAMPASSPTARVSVATAVTTKRRLKVMMNSVKKAWAVEMVTSGTVTPPERKGWKTPLRAKPAQMEASTWTAMYAGTCSHGKWRSTAKAMVSDGLRCAPEMWPVDRMMIITASPAHAAFPNGEIAPPYFWFTIGAAVAKKMRMNVPTNSAPSCIQNFVFVYLINKI >OB10G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11915777:11915938:-1 gene:OB10G22750 transcript:OB10G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVQKYSCICTLTLTRTHTQTHASFSFFSSIYIYKSNFKYIIFDLKLVLKFF >OB10G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11916170:11916553:1 gene:OB10G22760 transcript:OB10G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTNHNHREEESCMCKVVAAGRWPRGRHVHGDGGGGMEAAAAAYLAGERDVRRRDLDDAAVRXXXXXXXXXXXXXRRRPVHRRRPSRRHLHGANSLSLFFFGFFFCLFLRRVVKKVEIGWILAAN >OB10G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11931223:11932602:1 gene:OB10G22770 transcript:OB10G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAHDGPRSFFPVGNPLRVMFPGGAHLSRKLQALLTSYEDALALSLRKLKPAAASDVLTLSWMRLAVDCLSELHTNIGTLITDLELPVSDWDDKWVDIYLNSSVKLLDICIALSSELSRLDKGQLLLQYVLHLLGSESGMPSQEQLKRAEPSLREWMELVGIRCPRLVGCSTTLQELSGNLCLMKVKNSAKGKVLMRALYGAESVTVFICSVFVAVLSGSPKPLVELHVPEKFGWSQAFNDLHAAISEELTTQLSGRSVAAVKELEEVEACAKRLHVLASTSQLDKDTASLPDAVSHTKEVVMTGSVALEGDCQGSLKLADDTTRECEVAVSETTAEEDSQEAEMKKDAKTMSCEKEVAMVERVTFREHKDSKMKQVNGSSDESALVVPERTSVQESKEELLNCISSMSKSAEGLRLGLDSLSKRVGDFFQIVLTGRDALLCNLRISDATSKVAEVSS >OB10G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11933796:11934662:1 gene:OB10G22780 transcript:OB10G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDTPWQLLLFALVVPLVVVLARRGAGKKKGGARIPPGLLAVPVLGSLLWPRHSSADQLELLLRRVIKTSVFAFFPAVTKHLFRGRLQMGLALRRRQTELFLPLINARRARQNQIQQKDTSFEHSYVDTLFDVRLPDKGGNGFGAIKK >OB10G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11937880:11938887:1 gene:OB10G22790 transcript:OB10G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGERLDEVAVRAVGAAQRDWLMYVARKTSVFAFYPMITKHLFRGRLQMGLALRRRQKELFLPLINARRARKNRTQHAGGPPAPDKDTTFEHSYVDTLLDIRLPDDAGDRALTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLYAEIEATISNNGDEVTDMDTHNMPYLKAVVLEGLRRHPPAHFVLAHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLPGGDGEGVDVTGSKGIRMMPFGAGRRICAGLGVALLHLEYFVANLVKEFEWKEVAGDEVDFAEKGEFTTVMAKPLRAQLIKRA >OB10G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11939538:11941368:-1 gene:OB10G22800 transcript:OB10G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWIVLAAAALLPLAVLLRNAASRRRRRLPPGPPAVPLFGNLLWLKHSAADVEPLLLQMFKKYGPVVTLRIGSRLSIFVADRHLAHAALIAAGTRLADRPQAATNTLLGVSDNIITRADYGAMWRLLRRNLVSQTLQQSRVDQFAPARVWVRRVLMEKLSGGGDGANVMEAFQYTMFCLLVLMCFGERLDEPAVRAIEEAERAWLLYISRRMSVFFFFPSITKHLFRGRLEFARSLRQRQMELFVPLIEARREYKRMASQGQPPAREATFQHSYVDTLLDVKIAEEGDRSLTDDEIVTLCSEFLNAGTDTTSTGLQWIMAELVKNPAIQEKLYAEISATCGDDDELLERSLRDKDNKLPYLNAVIKEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLDGGHGAGVDMHGTKGIKMMPFGVGRRICAGLNIAVLHLEYFVGSMVMEFEWKEVEGLEVEFGEKREFTTVMAKPLRPRLVPRRS >OB10G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11946400:11947125:-1 gene:OB10G22810 transcript:OB10G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLPPRVDQWHEQILLPLPEGVRLLQTSAEDVLGDRREEGEDGHLARHVEQRVPLRRGDRAHGGLVEPLAEAHEHQEAEHGVLERLHDGTRRLVAVPPQLVDEHAAHPRTRRREEADARRVQRLGDEVAAGGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGGTRRAGRRPARAARSGAGGGAPRRPTNWSATPCCRAKARPEDPVGGGPAVRRSCRRHGVRR >OB10G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11946880:11947869:1 gene:OB10G22820 transcript:OB10G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGERLDEPAVRAIAAAQRDSLLYMSSKMPVFAFFPAITKHVFRGRLKKAHALRQRQKDLFVPLINSRREYKKHGGEPRKESTFEHSYADTLLDIKLPEDGNRALTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLYEEIKSKTGDGQREVSEEDVHGMPYLKVVVLEGLRKHPPGHMVLPHKAAEDMEIGGYLIPKGATVNFMVAEMGRDEKEWEKPMEFIPERFLPGGDGEGVDVTGTREIRMMPFGVGRRICAGLGIAMLHLEYFVANMVSEFEWKEVAGDEVDFAEKVEFTTVMKKPLRARLVPRRT >OB10G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11951626:11953197:-1 gene:OB10G22830 transcript:OB10G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWHLFFAAIVVVLTPFLRLILFRGGIDDQERGRLPPGPPAVPLLGSTVWLTSSLHDPVTLFQRLVARHGPIISLRFASQLLVIVADRRLAHAALVERGVSLADRPAVESARHLGVGLGDCSDKLITTTSYGPVWRLLRRNLVSEMLHSSRFRLFAPARAWTRRVLVDKLREHEHGDGAAPSDVVEAFHYAVFCLFLLMCFGERLDEATVRALTAAERDLVLYTSSNMAVFAFFPAVTKHLFRGRLQRMHALQWRLKELFLPLINSRREYKKQCGEPRKESTFEHSYVDTLLDIKLPEDGNRALTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLYEEIKSKTGDGGEVSEEDIHGMPYLKAVVLEGLRKHPPGHMLVPHRAAEDMEVGGYLIPKGATVNFMVAEMGRDEEEWEKPMEFIPERFLAGGDGEEVDVTGSKAIRMMPFGAGRRICPGLNMAMLHLEYFVAVMVREFKWKEVAGDEVDFTEKREFTTVMAKPLRARLVPRRTHFG >OB10G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11956796:11957788:1 gene:OB10G22840 transcript:OB10G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVNMCFGERLDEGTVRAIEDAQRDLLIYITSQMAVFAFFPAITKHLFRGRLEKIYGLRRRQRELFQPLINARREYKKRGGGELNKETTLEHSYVDTLLDIKLPDDGNRALTDDEIIKLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEIKSMTSDDQPEVSEEDVHGMPYLRAVVLEGLRKHPPGHFVLPHRAAEDMEVGGYLIPRGATVNFMVAEMGRDAQEWEKPLEFIPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLTFAMHHLQYFVANMVREFEWKEVAGDEVDFAEKREFTVVMAKPLRARLVPRRT >OB10G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11956969:11957415:-1 gene:OB10G22850 transcript:OB10G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAALCGSTKCPGGCFRRPSRTTALRYGMPWTSSSETSGWSSLVMDLISSCSFDWMDGFFTSSAMIHCSAVDVVSVPALRNSEQSLMISSSVSARLPSSGSLMSSRVSTYECSSVVSLFSSPPPRFLYSRRALINGWKSSLCRRRRP >OB10G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11970002:11972290:1 gene:OB10G22860 transcript:OB10G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:J3N438] MALRLWASSAANALKISCSGASRAAPAYSISRYFSTVLDGLKYSSSHEWVKHDGSVATIGITDHAQGHLGEVVFVELPEGGAKVSQGGAFGNVESVKATSDVNSPISGEVVEVNGKLSETPGLINSSPYDDGWMIKVKPSSPAELDALMDAAKYTKHCEEEDAH >OB10G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11972865:11975766:-1 gene:OB10G22870 transcript:OB10G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRYRFLCCGCGANTAAAGDRELHDYAGCGGEGGFGEEDGGKTGAVGAAMRLPWSQVEAMTGGFTSAVVGEGGFSTVYLARISGALAAVKVHRSSERLHRVFRQELDALLRVRHPHIVRLLAFCEQQEEGVLVLEFAANGNLHERLHGGGKAAGTMPWARRVSVALQSSPGVLPSVHIVGDGLRFQLEFGFQEICAKLAK >OB10G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11984181:11989798:1 gene:OB10G22880 transcript:OB10G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron-transfer flavoprotein:ubiquinone oxidoreductase [Source:Projected from Arabidopsis thaliana (AT2G43400) TAIR;Acc:AT2G43400] MQRVLRAAAAAARLAHAPGHRVPRWGPAAVARWLSGGREAMSYDVVIVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQYDAPIRVPVSSDKFWLLTKNRAWSLPSPFDNKGNYVISLSQLVRWMAIKAEELGVEVYPGFAASEILYDENQKVTGVATNDVGIAKDGSKRETYQPGVELRGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHKPGSVVHTVGWPLDSNTYGGSFIYHLDNRQLAIGLVVALNYRNPFMSPYDEFQKFKQHPAIRKILEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIMGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALTEGSSMELYWENLKKSWIWEELYRARNYRPAFKYGFVPGIALSAVERYIFKGKSPFTLKHGRPDHEATDMASLHSPIQYPKPDGQISFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESRYCPARVYEYVSDDKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >OB10G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11991924:11992733:-1 gene:OB10G22890 transcript:OB10G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPPSPEEPFRVFVGYDPREDEAYEVCRRSLLRHASVPVDVRPIRQPELRAAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADIAGLLACLPASADRLAVACVKHEYAPAEPTKMDGAIQTVYPRKNWSSMVLYNCGHPKNVAALTPDAVSTQTGAFLHRFAWLDDDEIGEIPFAWNFLVGHNKVDPADPSTQPKAIHYTSGGPWFERYRNCDFAELWIKEAEELKADKEKEKQQQQQLINANGGEEEKKKEGN >OB10G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:11998268:11998654:1 gene:OB10G22900 transcript:OB10G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVPFRYTCDFYLFFTLILAEVQHFSFLNNQVISIVFEISDVLSTFFLIQDCYLPVPVTSTVFSCLQYWHLLSDGIRELILWRTSAHRDNQIGRLSFIVVVEVLLSTVGPNFTCWVGAAKRLMEVHI >OB10G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12005902:12014437:-1 gene:OB10G22910 transcript:OB10G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAGCVALLCFTTDPFLLLGCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARGATGGRGRRRWRCSRSGRTWTPPSGTPPPRARSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRREREDDEVLRGVDEAGDGAAGGDAATLPGGHREAGAGPHDPRGGMAAAGDGAALAGAGDPRAGARHGGLARRSRRLLHTEDHRADHPNAVHPRARHHRHASAGAGALGGGLQEAGGGGLPEKRQEVQGEVRERAQILQAHQGEPRRPQRRQDVPVLHAARGAARHRLRRRGCGSGGGGSCTARGHHEGDDGAEAAAGGGGGGGEQRLRPNGRRRRQRQHGRGRRGRLRRRGGRRRRRRRRQQNARNEGLVADAKI >OB10G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12038994:12043188:-1 gene:OB10G22920 transcript:OB10G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLATALARTLDVCIERYPRLYGSDDRLHACITELGVPLTREYGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSICYDKRQKLTFAVSLGYVLQVYPNVLLPRDLERSERTYVGYNRMNHRTEFDFDTRDIQKSLCKKPILFFLKDIWKDGNITRGSYVRSSVRDDLRNKVFCFRSPPLPDIDEIQVSASPLSKRWHLAPRRLCSALKGSINGTLFMFVRQCSQGTFGSASDSL >OB10G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12049093:12058932:1 gene:OB10G22930 transcript:OB10G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGTPDNRIEDEKSDLLGYEVCSGKLTLDNKAKSTSGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTIHACPLEKRSSGLSCFMKPRRNQKDLRFLSTSPHEAFRWVNGFAEQCYVNLLPHPMASSKKHSSELIPFDNMFDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLSRDDQNVALSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIIRGGLTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPIPDVGGGDHKIVEGQEKVDASDLYDDVVRRSRAECLPRASSLSSIDSIMSTGMMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRASTFKEPEEVIHPQAHVSSTPSWRRSKLKSRAEKAWPGSSATNDAKSSRGTTTHDKEDTSSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETSIDLPGPLDDIELGLTKELVPSLDERWTVRKGCYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPVKNLVQ >OB10G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12059817:12060223:-1 gene:OB10G22940 transcript:OB10G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSLQLRRPPCLLIVLLAAAMVAAPARAAWVDYPSGVPCGETIPVEQCDPSDAAANSACMDVCHYGGCRRGGACVSLGFGRGRGCHCKG >OB10G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12071422:12071670:-1 gene:OB10G22950 transcript:OB10G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPREKRRKRREGERECQGRFSQSKGNDELSSACQRYREKRSQSKPSLHQSSLCFLGFQQTNKKKSSEIMKQLPQFSTTKQK >OB10G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12078115:12082208:1 gene:OB10G22960 transcript:OB10G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53580) TAIR;Acc:AT5G53580] MALPAAGRTVPFPPQPHTGAGSLRRPSRPPAAALRLGLPLFWPWEKVKIGPLSVSPMGFGTWAWGNQLLWGYQQSMDNELQECFNLALKNGINIFDTADSYGTGRLNGQSERLLGTFIREFEGPMKSPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHSYLSSRGVPLSSAQVQYSLLSIGDEQMELKTVCDSLGIRLISYSPLGLGMLTGKYTTSNLPSGPRSVLFRQILPGLESLLNCLKSIAERKGKTMSQVAINWCICKGTIPIPGVKTVRHVEDNLGALGWRLSPAEVSELEAAAMESPKKMVQNIFQTA >OB10G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12081969:12085694:-1 gene:OB10G22970 transcript:OB10G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVMAAPELVAIKRPLQNDGGDVEEKPKARRREADPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPERGDLGDMWAGEPGERDDGPAGRGPDAAGPDDERQGGVRAVGAAPPPAAADAGALPASGGVRVPDAAAGPARHVPRPPRPPAPRAPPRHGQPRVRRRRHAVRRHGHGGARQPPHAPPPEHHPVRPHGRQPRLLSLGYYETLMSCSGSSTSSEMSPADRARAGISPGLVRMSVGYNGTLEQRWSQFERALSLMQQQQQHPDHRDAMYCKLSPTI >OB10G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12095278:12095865:-1 gene:OB10G22980 transcript:OB10G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT4G24130) TAIR;Acc:AT4G24130] MASVTTTAVAAEREGAEVVTGAEECFRQSVELMRALGFPEGMMPLRELEECGLVRETGFVWMKQKAPYEHYFRGTGTRVRYDAEVTAFVEEGRMRRMTGVRSKQLMLWVPIVEMSLDGADRVYFKSNVGIGRPFPASALALRRRDRRRRRLPQRSRRRRQSVRRERCRRRCSHRRRRGQRVTDATDHGSDPAVVS >OB10G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12098721:12098912:-1 gene:OB10G22990 transcript:OB10G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAIPPLLWCDGFRPPDFLFLYLFFFLGYNFWAVSRKWCVSGVIVTDPTELKWNYVFLNINIEC >OB10G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12100958:12101896:1 gene:OB10G23000 transcript:OB10G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSVQQSLTKDEQESIPRYSSTGGNMENDSELSKAARPVKAARALEDFETWQRIIRLDAVRANDEWTSYSPSQAVVSREKAIESAKAVCLKDYEHLQPHRIHHASRLVAILEAYAIYDSEIGYCQGMSDLLAPLLAVLEDDNEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVSRIIKHKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLSLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVTTLHGRIE >OB10G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12102422:12105239:-1 gene:OB10G23010 transcript:OB10G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGPPKAAHEKKGIPVKPHGEVSAVRWKREQERAAGGSNTRGVPGAAAASGACPDRVIPPPTLHSAPAAATRCSVDGLRLLLQGVGREDMAGEKKVFGFEEVAGHNVTKDCWLIIAGKVYDVTSFMDEHPGGDEVLLAVTGKDATNDFEDIGHSESAREMMEKYLIGEIDASTIPVKRTHVSPQQVPSNDKGDDMLIKILQFLVPILILGLAFAIRQYTKSE >OB10G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12105834:12110864:1 gene:OB10G23020 transcript:OB10G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPASGGAGGVSNGAAEVAVVEEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLVELKEDGEASAGSGGGVRSAFGERNGIGSADGEGSSYSQDSVQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYINAIERNHDDPDAYYNWALVLQESADNVDSNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPGVSPSELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPEDTAIAPHKDWERSQFVLNHEGLEQADASDQPPSQSPGHVDSSRKLFRIVIADITSVSACADLTLPPGAGLCINTIHGPKFLVADNWEVVDSWLDAIRLVYTIFARGRSDVLAGIITG >OB10G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12121379:12130626:1 gene:OB10G23030 transcript:OB10G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRTSSSSASAAAVPSFTANPRDYQLREEVGYGAHAVVYRAVFVPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVEHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALDYLHRQGQIHRDVKAGNILIDNAGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTAARTIEVEKDLLSRNHLGKSSSANESVFSGRTPTNLANSDGKGDFAFEAFDFDDNNVDTKIMPNGYENSRSENNSSPSTSKQDPESKYWRSTSGQKQQISSSAAILSGGANSSTVEKGHGVERDATTQLASEKHRTETRRASNLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQGSPLRKSASVGDWLVNTKPVSNSHHVKELCNSSVSSSILIPHLENLVKQTMFQQDLIMNVLSSLQQNEKVDGVLPGVSPQHRNMDSETMVGSVNSEKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDNNEET >OB10G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12130912:12133840:-1 gene:OB10G23040 transcript:OB10G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRDEEQQGRRQPEPGKNIIKIPSYQEVFGSGASSSPAPPSYNPPPPSAATASSSSSFSQAFSFLKSTEFYSPPPPPPQATTPRPPHASSSAPAPKNAILVSHRQKGNPLLKHIRNARWTFADVVPDYVLGQSSCALYLSVRYHLLHPDYLYYRIRELQKNFKLRVILCHIDVEDVVKPLHEVTRTAILHDCTLLCGWSLEECGRYLETIKVYENKSADSIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSNFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRASSRPNIVVPDTPDRERTPGRPLSTNDGAQDAVEKPDASVKKSSNVRSALTEAFAKYSEKIRSQNRDSTSVADQGTSSSTVGDEKNNN >OB10G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12134580:12134945:1 gene:OB10G23050 transcript:OB10G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFCFLIQDLTFHGSHLCNSPFSRENQIQVDEDHDLGWHSSDYLFNYGLNLSDLFFLLKKQIKPLAKAFYDKLPWIWEVFLSRYWDRLINFLDHCLWACAQRKQTGIRKKRRILSHFFLSRRK >OB10G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12147814:12149022:-1 gene:OB10G23060 transcript:OB10G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELKARVRADLESFLKGRAYYHRLGRAWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRGGCDDLRALLLETTPRSLILVEDLDRYLRGGDGETSAARTSRMLSFMDGLSSCCGEERVMVFTMSGDKDGVDPAVLRPGRLDVHIHFTMCDFEGFKTLASNYLGLKDHKLYPQVEEGFHAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGGFGKDAPMREFKKLYGLIKIRSRKDGGVVPVDDTASANGRGSDASADKDR >OB10G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12153542:12153763:1 gene:OB10G23070 transcript:OB10G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATATLSPRTRRGSARAADASKADRFTRAPPSRLLGGWLLRRTGRPDLDGAARDVGVSGPLYGCFWVTDEIDRDT >OB10G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12158537:12161764:1 gene:OB10G23080 transcript:OB10G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAALELGKSSKDRVATTPAFNAFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSLTFSKAIFLGNGLVAIVAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSTWSENYGDTSDNKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAITLFLPVVTNFLVPPSSVKGGSISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCAIFLFMAAILQRRLMVVSDIHRSTKAVEMTGEDEPLNP >OB10G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12162235:12162444:1 gene:OB10G23090 transcript:OB10G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLKYDSFSYLELPQTLKPSLIAQQHQQHVCNHTLNMMLLIHRKTDQNFPFKSYRQLVTNKERFFFSTD >OB10G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12165192:12166410:1 gene:OB10G23100 transcript:OB10G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRALRPRRAVVAVGVGAGAGRSDWSSLQVDLLRSVLMRLAGDRERARFGAVGSRADDWSGLSAATVSSFWLSQGERGLAPFDVDVPAGSEYLSSSRGYLALWNPLDSPRAITLFNPVTGRRIRLPPIGFFKRWHDVATIVLSDDPDTAEEWSAVAVGFPANSLAWYSSATGEWTPLSFSFAGYAGVEHFRRRFYVAFKSQLCVLELDGGAPAVIPLELQAGNDDDHSSESGCRNNGGSGLRDEDPPSKRIVETHLVECDGELLVVSMHDEVAYNTANSEGVLGNFGRKARHSDDERWVDVHRVQWLEGGAVRLVRVQDLGSHALFVGRNHAFALSPEEFPACRANCIYAVEMQGHPGGLVRVLNFNDDSTEWAFPDEDIFPDDGAGGSPSAAWARRGWVFPKY >OB10G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12170576:12171888:1 gene:OB10G23110 transcript:OB10G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRSPHHRLISRHAVPCAAAKWHDVATVVLSDDPDTADEWAVVAVGFPTNCLAYYSSATGAWTPLRFNAAGYAGVEHYKGRFYVAFKSQLCVCDVESAIPAVIPLEHIDGENVTGRGVVVETHLVQCDGELLLVSVHDNLEYSSGNSTILDNDGDGGDHDGNSESTGGHGRVVEVLRVEWLDGGAVRLVREEELRSHALFLGRNRAFALSPAEFPACRPSCAYLVDQQGHPDGRVRVVDLRPERRWESEEEATSTDDMSPDREREPEEEAAPAAATCTDDLDMISNKWARRDETIYPDDGRRGGPSAGWARRGWFFPTY >OB10G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12174586:12179495:-1 gene:OB10G23120 transcript:OB10G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G10790) TAIR;Acc:AT4G10790] MAETVDDKVSYFQAVTGISDHDLCTEILAAHNWDLQLAVSSITANPSSPPADPAAAHSAPSAPREADLAAAPPHPQQQQPGIAWKLVTLPFYVVSGGVGLIAGSIRLGAWVAGGVLSRSLSLLGVAQGSGGGGGDRLLELPPSAAEAVDFLAEFELEFGASRGPRFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCSEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLRQIEGPKSPEEMITTLQGVVEECSASLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERQRREEQEKREREAAEAERKRKEEEEAQERAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFHSSTTITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSQTLEEAGLHPQASLFIEIEQ >OB10G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12180850:12185139:-1 gene:OB10G23130 transcript:OB10G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G63830) TAIR;Acc:AT5G63830] MERERDVVVSEEAAAAAASSSSFAETRVICRVCQKQFAQYTCPRCNARYCSLPCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMMDILKRFHLEEEEMESEGEDDSILSEELIQKVMSGDEIKLEDLSDDEIRRFRQALASGELSKMIEPWTPWWRDPSARSISLSPDGSQLIRHVSVEDTAMSDPTADPEPSISEIPEGPESPLPSLKQLTRSEPSPLLAVHLIDILYSYCFTLRLYNGDWSSDPFGASTVALSVSKVMGEDAKPETVSEALTACIEETCSPAYRHTGGFRFATGLVDDVLSLLSLGGNALVCALCDFRRLIHTGERMLKAEKLGKTERARSTQRLRGADRKLYFMTCWVHEQPKEAWPSLARLVEVQKASLEELNSSSKLQTASRKKDAQSKVLIEEV >OB10G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12187644:12190708:1 gene:OB10G23140 transcript:OB10G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGAVVPVLFEHSLRRVQDDGVVTVGQVLGLAPAVKLTNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKVLLNILLTRGMLEQTACLDTLLALMVDSSENMMDFKEHEGLNKIVDLVKDTQRDDHLRLKFAEFLLLFSTCASENGGGTFFFSMQEDLKNFVGGKCASYICSTIFFSSTLDSEVTEPELSFHAKHVLDLLDGYVYDTVAQQDVISP >OB10G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12191362:12194895:-1 gene:OB10G23150 transcript:OB10G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;copper ion binding [Source:Projected from Arabidopsis thaliana (AT1G64600) TAIR;Acc:AT1G64600] MAAALLPETAPRLLTPETIRAAAKQSQGIQIVPLSLRRAIKRYLRDQDRTHMNRKVLLLSASFERAKAGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACYRVLREVRRRLPDFAPASVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIKKHERRHDLVISSYALGEIPSLNDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTRAAPSEMKSIVSQESPLKNGAFVVAPCPHDGRCPLKNTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYEEQFLSDGDEEVPADAEDSLVPYDSDAQELSLFHETEEEELEEQPVRADLGGGWGRIIYSPLRRGRQVQMDVCRATKRDASEGAFERIVITQSKNPTMHHQARRSLWGDLWPF >OB10G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12196310:12202113:-1 gene:OB10G23160 transcript:OB10G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalase 1 [Source:Projected from Arabidopsis thaliana (AT4G24040) TAIR;Acc:AT4G24040] MTTRTNGRSCRAPGSGRSTTGIPTGSSGSTCIRRTCKRRISEYFDNVTVVSTQSASGLDFMIGVYFYYTVQGLLVSKMYETAKDIALNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMILDIYMATGDMVFVKRAFPSLLKEHSFWMSGVHNVAIMDNHGRLHNLSRYQAMWNKPRPESATIDEELASKLTSTAAKENFYHQVASTAESGWDFSSRWMRNSSDMTTLTTSYIIPVDLNTFILKMEQDITFFAKLIGESTISERFSEASKARHNAIESVLWNSDMEQWLDYWLPIDGNCQGVYQWKSNSQNRIIFASNFVPLWLNAQHSGLERLVDEAKSVKVMNSLKASGLVQPAGIATSLSNTGQQWDFPNGWAPLQHLIVEGLLRSGSEEARKFAEDIATRWVRTNYDAYKATGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVILSFLEEFGWPQDKEMCCSS >OB10G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12204351:12205945:-1 gene:OB10G23170 transcript:OB10G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGFSVMVGGGSPAWVEVPEKSKSAFLELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYADFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSGIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLDVLRGRANRT >OB10G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12208360:12213834:1 gene:OB10G23180 transcript:OB10G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAARRSLCSRLSDHLTRRLHPALPHLLVPHSSGDPQDHSPLQPPARLQPPHFPLYQSSRTAKTLSLLPLGIRLAGPPHRGFSSSPSSPAFDVGAVLTDAAGAAAAAAPVSFPSEVALAAENSSLSTAAVQHLIDAVHSSTGLNWSGFRGLCFVDLIVGNNHLRITSCSSINLCVVDDKKSEKEAIHGHVLLLFKRLGLLGFVPILKPYAFMTLYFSISNMVEKVPSLKEGGAFWFTDLTTPDALYIFPVMTSIFLMLRLEFSRHYSKQSRSNDKDVNRVMHVLRTLILLTIPLTASLPQAFSCYFVTWSFTSLMHRIAIRQPAVIKRLFGDLTVPTSTSPPSDESKEPAAEDPPMPIQRREQPHPIERTRTSDASVHRISDQSDQK >OB10G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12215523:12219755:1 gene:OB10G23190 transcript:OB10G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAVHTFTGLNWWACIALTTVLIRSATVPLLVKQLKATQKLSAIRPEMEAIQSEMNAMDPKSAQEGKVKMTALFRKNGVSPFTPLKGMLIQAPIFMSFFFAIRNMVDKVPSMKGGGALWFTDLTTPDALYIFPVLTGLIFWVSVELNLQEGMEANPMANKMKSFSRVMAILTVPFTMNFAKGVFCYWITSNLFSLTYGIVIRRPTVRKFFELPALQANSASAGKQMFNLFGGSKALPAAESPVAITGGPRSSLEKPDAAALGYRVKNLEKKVKSRGKSRRRR >OB10G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12222846:12224403:1 gene:OB10G23200 transcript:OB10G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLLWASTTAAGVGGKKGGGDGAMGTLVGQLGGLLXXPGGPPVTAPRVRLSDGRHLAYEESGVPRESARYKIVFSHGFTGSRLDSLRASPEVAEELGVYMVAFDRAGYGESDPNPNRSVKSAALDMAELADALGLGDKFYVVGVSLGSHAVWGALRYIPERIAGAAMMAPVVNYWWPGFPAEAAAEAYGRQSYGDQWALRVSHHAPAILHWWMEQSWLPTSTVVDNTTFLPNKRDADVRRTLTADGTLQRKKEMATQQGINESYYRDMTVMFGKWEFDPMGLPEPPCPVHIWQGDEDGLVPVVLQRHVAGKLGWVNYHELPGTGHFLSAVPGLGDTVLRTLFG >OB10G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12226815:12229341:1 gene:OB10G23210 transcript:OB10G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTGNGSPPVALMVLMAAAMVAGWFANAXXXXXCGTEGGPPVTAARVRVRDGRFLAYAESGVRREAARFKVVYSHGFSGGRMDSPRASQELLEELGVYMVAFDRAGYGESDPDPGRSLRSAALDIQDLADALRLGPKFHLICSSLGCHAAWASFKYIPHRLAGAAMMAPVINYRWPGLPRGLARQLYRRQPAGDQWSLRVAYYAPWLLHWWMDQPWLPTSTVIDGSGSFPNALDEKNRLMALSTGMFHKKARLATQQGVQESFYRDMAVMFGRWPEFEPVELEEAPFPVHLFQGNEDGVVPVQLQRHICRRLGWVNYHELAGVGHFLSAVPGLGDRIVSTLLPPPPPAA >OB10G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12229264:12233625:-1 gene:OB10G23220 transcript:OB10G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 ortholog 3 in Arabidopsis thaliana [Source:Projected from Arabidopsis thaliana (AT5G07270) TAIR;Acc:AT5G07270] MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFVPSAPLEDGVSSMVDGSDCQTNSGSSPSSSLGLKFNESARLRFINKPADGGVTALHMAALNGHFDCMQLLIDLGANVSAVTFPYGTTANLIGAGSTPLHYAAGGGNMECCQLLLSKGASKLTLNCNGWLPIDVARMFGRRFLEPLLSPNSNSSIPVYQPSSYLALPLMSILSIAREFGLQHTIPSVDDSDLCAVCLERSNSVAAEGCCHEFCIKCALYLCSTSNTRVEFTGPPGSIPCPLCRNGIMSFTKLPSTPTGLKSSSALTFCNPCMLNTGSVDSPATVSKAEIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDADPCGATETQDGSEVQSPQPSHCASMEMDKREQQDLDRTSCSGMFWSRRSCHREEQCNAEINA >OB10G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12237005:12241660:-1 gene:OB10G23230 transcript:OB10G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMRRLKSIASGRSSVSDPGGDSGTKRPKFDQDGAGDIVIEPHLTDDKPMRVDQEPSSSHRDAEASTSTSKNPGRTEEAGANILPKEMNDMTISDDKVDGRNDKESEGIIVNGTGAETGQIIVTTIGGQNGKPKQKVSYMAERVVGTGSFGVVFQAKCLESGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYHNRMNQRVPILHVKLYAYQMCRSLAYIHRVVGVCHRDIKPQNLLVNPHTHELKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTYLSNGRPLPPLFDFSAAELEGLPVELVHRIIPEHMRK >OB10G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12247141:12250096:1 gene:OB10G23240 transcript:OB10G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3N476] MAAARLQKLGALVWDKVVHQHQGWRLVTCIWLHAGVVHLLANMLSLVLIGLRLEQQFGYMRIGVIYLVSGVGGSILSSLFIRNNISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLIVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVLPSSVKYASKKYLAYQWILLAVASILAVIGFAVGLSMLFRGVNANERCQWCHYLSCIPTSRWSCGN >OB10G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12251987:12254487:-1 gene:OB10G23250 transcript:OB10G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTGSLPGGRAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPTLPPAPRIAACSAESAAPARSAAAPTRSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDVLRFRDAGAVRAYADAVLRLIGAPVRTALDVGAMHGGNWATELLSRGVLTVPVAAPWGSSDGAALVELALERGAPAMLAAAASRRLPFPAGAFDMAHCGRCLVPWHLHGGRFLMEIDRVLRPGGYWVHSARRRMARGSAPASRPPRRACAGGTSPTRTASPSGRSR >OB10G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12268554:12270580:1 gene:OB10G23260 transcript:OB10G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLGDLPELCAAQVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLVSFVEGGGGGERWRRRRPAGKKEIYARLARPVPFDGGLKEFWLEKSKGRVCMALSSKSLVITGVDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEMDFCFPVGTYSIYFRVHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVASKPDQTIKLKFSMAQIDCTHTKGGLCVDSVLIYPKGFQQEGMVRSQKCRC >OB10G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12283129:12286030:1 gene:OB10G23270 transcript:OB10G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLLARASPPALRHHHHRGLSPPRPTTRPRRLRLRLRGSRSHPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHVLSPSPTPAQLRSEVLGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLIAIGSQLCIRGYWDPPEKISKYAMIEWFKSQMQEAGIIDLREALYIPIFSDTRLGKILPQGILSVLAQPVLNNPDPTTGEIKAEGFILLASNANYAYSEKDRIWIRTVANKFQCA >OB10G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12290328:12292226:1 gene:OB10G23280 transcript:OB10G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWSCTDPAVGALRNLVGAVSPDSLVSLGVLPRLVHVLREGSVGAQQAAAAAIYRISSSSDMKRQVGEHGCMPLLVRLLEAKSYGAREVAAQAVASLMSCPPNARDVKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLSLSANKRCKKLMISHGAIGYLKKLSEMDVTGAKRLLEKLERGKLRNLFSRK >OB10G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12296049:12296234:-1 gene:OB10G23290 transcript:OB10G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMELGILLIPLTLVFAPCRRLVLLLRRLQELQRSIMHPSFTSGDMLSRFSSLNSMALML >OB10G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12296065:12296328:1 gene:OB10G23300 transcript:OB10G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLEKRLSMSPEVKLGCMMLRWSSCSLLRRRTSRRHGAKTRVSGMSKMPSSITIMAGLSLPLPLPLNDGSQLSYGGAGVPLELFI >OB10G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12299943:12300323:-1 gene:OB10G23310 transcript:OB10G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWLIPLTLFFVPCRRVVFLLKRLQEFHRSMTRPRRRASADMLSRFAGINNSMFLSFGRFQGFSVNFSSLCVS >OB10G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12302857:12306771:1 gene:OB10G23320 transcript:OB10G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGKSLDLPVVDLASSDVGAAAESIRKACVDSGFFYVVNHGVEEGLLEKVFAQSRRFFELPLEEKMALRRNSNHRGYTPPYAEKLDASSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWKETIKMYHATALATGKRILSLIALSLNLDVEFFEKVGAFNCPSAFLRLLHYPGEVDDSDVGNYGASAHSDYGMITLLVTDGTPGLQICRERDKHPQLWEDVQHIDGALIVNIGDLLERWTNCIYRSTVHRVVAVGKERYSVAFFLDPNPDLVVQCLESCCSEACPPRFPPVKSSDYLKERLSATYK >OB10G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12313442:12315045:1 gene:OB10G23330 transcript:OB10G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLVDGRLLQAPCRCNAKNDGGGHVDGQHGAVVVVVVVPNSETCEPAPVLEDRPPSVRKGGAVLEEAAAILRLSLPMIMTALILYVRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAKNFPLVGATMQRMVLLLLAVSVPVAFLWTKMEPLLLLCGQDAAIAAAAQRYILFCLPDLFFLSFLHPLRIYLRTQSINLPITACAALAIAIHLPINYLLVTVLGLGIEGVALASAWANFNLVLFLLAFVYVSGVHRDTGGFSLPRNLFKDVDGWMRLVRLAVESCASVCLEWWWYEIMILLCGLLANPKATVASMGILIQTTSLLYIFPSSLSFGVSTRAKLAQVLAGAIDVNGAGVNDAAAHVKVAAPHGDEDSSLLITVSG >OB10G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12331560:12331919:-1 gene:OB10G23340 transcript:OB10G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAKTSMVGAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNNDGGGGGAAGTPVMILDTNARGAPTLRAFPHVLYVDCEGASHDPRVLDAIKEIERFAVFVRVLGCYAADSNVYDLQ >OB10G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12343143:12345071:1 gene:OB10G23350 transcript:OB10G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYQDLCALALTNGQIHARTTSQPRQSTPIVQIAFNFQGKLGESAGEEGRGGVGGGGVREEGEGGDAAPGVPRREVEGGEVGVGDPGAPEGQQDMARHDGVVDEDDVLEMPRLMVSMAEALMISPPPVLGPAAAALEEAPDGGMDEGGCVSLWDHS >OB10G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12345160:12345492:-1 gene:OB10G23360 transcript:OB10G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAKISRLRTDWLKPKLTDGKSRSIFLINQGRKKKVHSSKPVCVLSMYVRQTLCQHHPFWINSISMLRTYGTGASRRTHVRLRHNQHSIRTYVHVATDRSIITSSPPCRLNL >OB10G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12355261:12357325:1 gene:OB10G23370 transcript:OB10G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRESEEEEAEDKEQLSPVGVMDFPFDEDDDGEEDDGAAAVEEEEERAAAGARAFSFSDSLAQLQLQRRKMKQEPKIRRLESNGELVGTNLEARFAASESDGFTDDEVVVPVQLQCRSTDAVTPPRRDDHRSGGGCGAAAGEDPDEPCGLLDLLVDTVSAGVVDGVTERLLLDFFVEAKCGPGHVDLRAPATSLSRERQRRADGETLRLAKAWLEEGGAGWSLHDVLHHGEDVMAQMERGRRWAHTGEEVRETGVVVAAMVIDELLHELVSDLTT >OB10G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12357688:12360619:-1 gene:OB10G23380 transcript:OB10G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRARWVALLVGLAAAAAAAVVTVGASEGDADPLYRSCVDECKKTGFLRDASVRHCQVPTDDHPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGAIKYHGKWPMKRASVFQEPVSAALSALSLLVQFNGWLSFFLQLSYKLPLRPETQMTYYEYTGLWHIYGLLSMNAWFWRAIYHSCDTSWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKDLNTKVCTAASLVQFLLWAIWAVMTKHPSCFKILIVIIGSLSSIVLETYDIPPRWGYVDGRALCVAVSIPLTYLWWTFAKEDAEMRTTAIIKKTR >OB10G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12368091:12368261:1 gene:OB10G23390 transcript:OB10G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPCEEIYVVGEGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIRITPPRPQLN >OB10G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12369547:12371577:1 gene:OB10G23400 transcript:OB10G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRGRAGSAAPALAAAVLVSLCLAAVSEEEQLENLRFVRHAQDAPAVSSYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYANMSSEQHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNEYVRAAGWDARLVNSSYRWGERSLVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVLLYATVSRILFRRQDGVAYPVAYGVVFTDPLGAQHRVYLRDGAKNEVIVSAGTLGSPQLLMLSGVGPQAHLEAHGIEVLVDQPMVGQGVADNPMNSVFIPSPVPVELSLVQVVGIPRSGSFIEGVSGSEFGMPVSDGALRWARSFGMLSPQTGQLGTLPPKQRTPEALQRAAEAMMRLDRRAFRGGFILEKILGPVSSGHIELRTADPRANPAVTFNYFREAEDLERCVHGVETIERVIQSRAFSNFTYANASVESIFTDSANFPVNLLPRHANDSRSPEQYCKDTVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFKYSPGTNPQAPVMMLGRYMGVKIQAERWRK >OB10G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12371553:12377536:-1 gene:OB10G23410 transcript:OB10G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:J3N493] MHISDRADYPPPAAVPASSPSVLPPSASFSSGGSSHGGGGMQMVPYGSPAGGSQHGSVRPSLKVVLLHGSLDIWVFDARNLPNKDLFSKRVGDLLGPRITGAVGSKMSTANMTSDPYVAIQVSYATVARTFVIPNNENPVWTQNFIVPVGHEAAEVQFIVKDNDVFGAQLIGTVAIPAEKLLAGERIEGIFDVLEPNGKPCARGAVLRLSIQYIPVARLTMYHHGVIAGPDCLGVPNTYFPLRRGNRVTLYQDAHVPDGCLPDIWLDHGVRYQHGQCWHDIYNAICQARRLIYIVGWSVFHTIHLVREGAVNAQSLGDLLKTKSQEGVRVLLLVWDDPTSRSILGIKTDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYKRKIIAFVGGLDLCGGRYDTPMHPLFRTLQTVHKEDYYNPNFATVDARGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKLAKSYDDALLSIERIPDVISINDAIYFSDNDPETWHVQVFRSLDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHSAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKAKERFSAYIVIPMWPEGNPTGRPTQRILYWQNKTMQMMYETIYRALKEEGLDDVYEPQDYLNFFCLGNREVADDPSTSNVTNAPQEQARKHRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKISAPRGQIYGYRMSLWAEHIGVVEDGFNYPETLECIRRVRYIGEQNWERFFDNEVTEMRGHLMKYPVTVDRKGKVKPLPGCALFPDMGGNICGSFLAIQENLTI >OB10G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12377561:12377950:-1 gene:OB10G23420 transcript:OB10G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNHGGQGYPYPPQQYPSPSSQYQYPPPQPTGPPPSAYLAPSPSFPGYPPAPPQPQPYAHHSGPLQPYPPPQQQQQYHHHHAYPPPHPPVYPSYPSPGQSPSISPSASFHHQPAASTPESPAPSAPS >OB10G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12381786:12382388:1 gene:OB10G23430 transcript:OB10G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATSLPLARPLPLARHVGRSDPFFQDPGIDEDGNIRGFCDATMFNVLVPFGLVLLRNKDCIKIIDAEELCDVHICFHRVTWFHLHCSGHG >OB10G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12383989:12386271:-1 gene:OB10G23440 transcript:OB10G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRRYYCLAVLLLSAPLLGAGYLQERKNYIVHLEPRGESAAAAAGGGSVEEGHRSFLPQAAKPESGSDFEGGGDGPRIVYSYSDVFTGFAARLTDEEADAVRATEGCLRLYPEEFLPLATTRSPGFLGLHLGNEAFWSLSGFGRGVVIGILDTGILPSHPSFGDDGLQPPPKKWKGTCEFKAIAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGATSGTQFNYDPIAIAGFKAMERGIVVSCAAGNAGPEPGTVGNGAPWMLTVAAGTMDRAIRTTVKLGNGDEFDGESLFQPGNNSAANPLPLVYPGADGSETSRDCSVLRGAEVTGKVVLCESRGLNGRVEAGQTVAAYGGVGMIVMNTAAEGYTTFADAHVLPASHVSFDAGSKIAAYVNSTANPTASIAFKGTVIGSSPSPTVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGVGLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDSVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHAADYIPYLCGLGIGDDGVKEIAHRPVTCSDVKTITEAELNYPSLVVNLLAQPITVNRTVTNVGKPSSVYTAVVDMPKEVSVIVQPPMLRFTELNEKQSFTVTVRWDGQPNVAGAEGNLKWVADDHIVRSPIVIPAKAD >OB10G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12384632:12385384:1 gene:OB10G23450 transcript:OB10G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDSTKKERPTPSENSVCDSLGAHAARMFMPGPVMSGFRMPGLALLGPRDEKKVTVGDGDEPITVPLNAMLAVGLAVELTYAAILLPASKLTCDAGSTCASAKVVYPSAAVFITIMPTPPYAATVWPASTRPFRPLLSQSTTLPVTSAPRSTLQSRLVSEPSAPGYTSGSGFAAELFPGWNRDSPSNSSPLPSFTVVRIARSIVPAATVSIHGAPLPTVPGSGPALPAAQLTTMPRSMALKPAMAMGS >OB10G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12389434:12394708:-1 gene:OB10G23460 transcript:OB10G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRMLVPAGGGQIYTCDPAVVEHILKTNFPKYGKGPFNYGNARDLFGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSCAVFRRNAAKLAGVVSSHAASSQSTDFQVLLMRATMDSIFTIAFGKDLNTLDGSGEGSHFADAFDDASEFTMRRYINPFWKLSKLLNVGNEATLKERIKVVDAFVYKLIRARSDELSMEQDTISRQDILTRFIQAMASDTGTVDYKYLRDIILNIVIAGKDTTAGALAWFLYMMCKHPEAQEKIFHEAMEATDAGATLSVDKFLQSLTDEALNKMQYLHAALAETLRLYPSVPMENKQCFSDDVLPNGFNVRKGDIVYFIPYAMGRMESLWGKDAEAFRPERWLDEHGAFQPESPFKFPAFQAGPRICLGKEFAYRQMKVFAAVLLRFFVLRLSDEEEIVRYRTTLTLAIDQGLHLKATAR >OB10G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12398134:12402097:-1 gene:OB10G23470 transcript:OB10G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRLLVPAAGRGQIYTCDPAVVEHILKTNFANYGKGPFNSGNMRDLFGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGDVFKRNAAKLAGVVSSQAASSQSMDLQGLLMRATMDSIFTIAFGQDLNTLDGSVDEGSRFAAAFDDASEFTMLRYINPFWKLASLLNVGAEAKLRERIKVVDGFVYELIRDTSDELSNAKAHDPDSRQDILSRFIQATTSDSGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMVCKHPEAQEKICREAGEATGAGEAATIDEFSRSLTDEALNKMHYLHAALTETLRLYPAVPLNNKQCLSDDVLPNGFNVSKGDIVFYIPYAMGRMEPLWGNDAEAFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLRFFVFKLHSEEEIVSYRTRLTLAIDQGLHLKATAR >OB10G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12399535:12399917:1 gene:OB10G23480 transcript:OB10G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDKMSCLESYCARRRRIQLSCVFDHSHIKQMALDYRVVCFGVGELVGRVTDELVHEPVDDLDPLPELRLGADVEEARQLPERVDVAEHGELAGVVERRGEAAPLVDGAVQRVEVLAEGDGEDGVH >OB10G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12407363:12410282:-1 gene:OB10G23490 transcript:OB10G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSLYSPALAVAGALVAAAICSYLAVSRRGRRRQEPPVLGTVFHQLYHIRRLHDYYTELCRGHRTFRLLAAPGRRLIYTCDPAVVEHILRGNFPNYGKGPLNSEILRDLFGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGDVFKRNAAKLAGVVSGHAASNQSMDIKALLTRATMNSIFNIAFGKELNTLDGSGEGSRFAKAFDDAGEYTLLRYLNPFWKVARLLDVGTEAALKERIKVVDEFVYKLIRDKSDELSRTKAHDPGSRDDLLSRFIQAAINDSGTVDYKYLRDIILNIVVAGKDSTAGSLAWFLYMACKHPEVQEKIFNEVMDATTTSAGDTAASVDEFLQGLTDQALNRMHYLHAALTETLRLYPSVPLENKQCFSDDLLPNGFSIRKGDAVFYMPYAMGRMEFLWGNDAEDYRPERWLDENGVFQQESSFKFTAFQAGPRICLGKDFAYRQMKIFAAVMIRFFVFKLRDESDTVSYRTAITLAIDQGLHMMTTTR >OB10G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12417294:12418494:1 gene:OB10G23500 transcript:OB10G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDELKLLGMWASPFALRVRIALSLKGLSYEYVEEDLKSKSELLLSSNPVHKLVPVLIHDGKPVCESRIIVEYIDEAFSGDGESLLPADPHDRAVARFWASYIDEKMFTAWMPIFAAKTEEEKAEATNRTFEVAETLERALRECSRGKPFFGGDAVGYLDVVLGGFAAWVYAIEELFGLKQFDAARTPLLVAWLQRFVELDAVKEVMPDSGSLVELHKARQAQAAAAADDDDDEAN >OB10G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12419130:12420784:-1 gene:OB10G23510 transcript:OB10G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMSANISGEPPAVRVLGSWASPFVHRVLVALNLKGVEHEVLQETVGKKSELLLRYNPVHKKIPVLLHHGKPIAESLVIVEYIDEAWASSNGAPAILPRDPYRRAVERFWAHYVDDKFPQGIRVLRGSEAGGDKNKAAEEMSAALQHLEEAFARCSQGKHYFGGDSIGYLDIALGSHLGWVRAVEKIAGVQLLDDAKVPNLAAWADRFCAHPAVVDVMPDADKLVQFTVEHAALLKAVNAPK >OB10G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12423220:12424722:1 gene:OB10G23520 transcript:OB10G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDELKLLGMWASPYVLRAKLALSFKGLSYEYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPVCESRVIVQYLDEAFPGAGVSLLPADPHERAVARFWAAFTDDTLAKASQEASSGKTEEEKAEGERKAVDALEKMERGLRECSKGKPFFGGDTVGYVDVVLGGFLAWVLAGDAMKGVKRFDPATTPLLAAWAERFVELDAAKAVMPDVDRLIEFAKVMQARAAAADTTN >OB10G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12424863:12427228:1 gene:OB10G23530 transcript:OB10G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGTWPSPFVARVEIALRLKGLSYEYVEQDLTNKSELLLSSNPVHKKVPVLIHNGKAISESPVILQYVDEAFGGASLLSADPYERAVARFWAAYIDDELITAWVPTILCKTEEEKAEAIKKTLAAMERLEGALKDSSKGKPFFGGETVGLVDVVLGGLNSWMKATEELIGVKIFDPAKTPLLAAWVEHFDELDAVRDVSPDVDGVIKYAKMRQLEAAAGASEN >OB10G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12431883:12433074:1 gene:OB10G23540 transcript:OB10G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELVLLGTWPSPFVTRVELALGLKGLSYEYVSQDLRNKSELLLSANPVHKKIPVLIHNGRPVCESSVIVQYIEEAFPGDGAALLPADPHDRAVARFWAAYVDDKFVTAWVPTFRGKTEEEKAEGMKQLMAAVETLEGALNDISKGKPFFGGDTVDVVDVALGGMISWVKATEVLAGSKIFDAEKTPLLAAWVERFAELDAAKKVLPDVDGVVEFAKKRIAEAAAAASAN >OB10G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12417297:12438436:1 gene:OB10G23550 transcript:OB10G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDELKLLGMWASPFALRARLALSFKGLSYEYVEEDLKNKSQLLLSSNPVHKKVPVLIHNGKPVCESQVIVQYLDEAFPAAGVSLLPSDPYDRAVARFWAAYIDDKFTPSWQKASLGLTEEEKAEALKQMLVAVDNLETAFKECSKGKPFFGGDTVGYLDITLGAVVGWARAGEVLFGRKLFDATRSPLLEAWMDRFVALDVVKAVLPDNGRLIEYGKMRMAHYAKLAAAAAAAAHSK >OB10G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12435688:12435945:-1 gene:OB10G23560 transcript:OB10G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSILQYAGDTIIFMDHNLDANNLCLVLSAFEKLTGLNFYNSKLFYSEDSVVLLPLDSNGETDRSRPGQHYKVADQLASSPRDH >OB10G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12440248:12441641:1 gene:OB10G23570 transcript:OB10G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGCLEPPRDRVNHIPTTGTSNSTTQEASTPISIDRIGSEVKKMAGAGELKLLGMWASPYVSRAKLALHLKGVSYEYVEEDLGNKSELFLSSNPVHKTVPVLIHGGRPVCESSIIVQYIDEAFPGSGVLLLPADPYERAVARFWAAYIDDKLAAPWGKVYRVETEEERAEYMRQTHAAVDALEGGLEECSKGKAFFGGDGVGYVDVVLGGLVSWVRAGDRLSGGRLFDEAKTPRLAAWLERFGELDAAKAVLQDVDRVVEYSKQFLPK >OB10G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12425795:12445048:1 gene:OB10G23580 transcript:OB10G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNEQKLLGNWASAYVTRVKLALHLKGVTYDYVEEDLRNKSDLLLESNPVHKTVPVLIHNGRPICESQIIVQYIDEVFSGDGESLLPADPHERAVARFWAAYIEDKLVAPWEKVFRAKTEEERAVWMKQMFVAADALEGGLKECSKGKGCFFGGDSVGYVDVVLGGAVSFVHANDVITGAKLFDAARTPLLAAWLERFGELDAAKAVLQDVERAVEHTKMVQARNAVTGATQLRPLMLSSGGDSVGYVDVVLGGAVSFVHANDVITGAKLFDAARTPLLAVWLERFGELDAAKAVLQDVERAVEHTKMVQARNAVTGASSH >OB10G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12447609:12448355:1 gene:OB10G23590 transcript:OB10G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNELKLLGSWASAYVTRVKLALHLKSVTYDYVEEDLRNKSDLLLESNPVHKKVPVLIHNGKPICESQIIVEYIDEVFSGDGESLLPADPHERAVARFWAAYIEDKVNSPLKTNLITFCKGYDMIAYTSDHDFMRCVHVCADTDVMEEGVQGQDGGGEGRVDEADVRRGGSSGGRTGGVLQGEGVLLRRRQRRVRRRRAGRCRLLRARQRRDHRRQALRRRQDAAPGGVVGAVRRARRRHERSYQQ >OB10G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12453275:12454076:1 gene:OB10G23600 transcript:OB10G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDGLKLLATWFSPFASRVKFVLHLKGLSYENIEEDLKNKSELLLKSNPVHKKVPVLFHNGKPLCESMVIVEYIEEAFAGVGPAVVPADPYERAVARFWVNYIDNKLVAPWFQVFRGKTKEEKAEGLKQMFVAAAVLEEAFKECSKGKPFFGGDAVGIVDVALGSQLGWLRASEALSGIKLFDPAKMPLLLAWAERFTALDAAKASMPESGRLLAYAKMRQTETDAANASK >OB10G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12455730:12456535:1 gene:OB10G23610 transcript:OB10G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADELKLLATWFSPFASRVMFVLHLKGLSYENIEEDLKNKSELLLKSNPMHKKVPVLFHNGKPLCESMVIVEYIEEAFAGVGPAVVPADPYERAIARFWVNYIDNKLVAPWFQVFRSKTMEEKAEGLKQMTVAAATLENAFEECSKGRPFFGGDAVGIIDIALGCQVGWMRASAALSGISIFDPAKTPLLMAWAERFTALDAARASMPEFDRLLAYAKMRQAESDAANAATN >OB10G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12457274:12457726:1 gene:OB10G23620 transcript:OB10G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPVRDPGEARAQPQGPELRVRRAGHQGQERAARRLQPRPQEGARAHPRRQARLENALEECSKGRPFFGGDAVGIIDIALGCQVGWMRASAALSGISIFDPAKTPLLMAWAERFTALDAARASMPEFDRLLAYAKMRQAESDAANAATN >OB10G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12458430:12459229:1 gene:OB10G23630 transcript:OB10G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCHELKLLGTWMSPYVIRVRLALSLKALSYEYVEQDIRDKSELLVVSNPVHKKVPVLIHAGKPVCESQIIVQYLDEAFAGAARLLPSDPYERAVARFWAAYIDDELVIMEVCDEMQFASRFRAMGEAKEEEKAEAAEKVFDALETLEEAMKACSSKGKKEEEEAAAAFFGGDSAGYVDVALGGFLGWLKATEALSGVSFLDGARTPLLAAWAARFAALDATKEAIPSVERLCEFHAAMSAAAEVAGN >OB10G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12461565:12462374:-1 gene:OB10G23640 transcript:OB10G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGVVVSPFAIRVRIALGIKSVSYEYVEEDLFNKSELLLSSNPVHKKIPVLIHNGRPVCESSVIVQYIDEVWAAAAPSILPADPHDRAVARFWAAYVDDKMFPGMFGVLMAATEEERAAKANEALAAMAQLEKAFAECAGGGKAFFGGDSIGYVDLALGSNLHWFEALRRMFGVALLDAGKTPLLAAWAKRFVEAEAAKGVVPDAGETVEFAKKLQARTAA >OB10G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12466933:12467768:1 gene:OB10G23650 transcript:OB10G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKLLGAVSGVSPYVIRAQMALAVKGLAHDYLPEDLARKSQLLLDSNPVHRSVPVLIHGGKPVCDSLVIVEYVDEAFPGAGAAALLPADPYDRAVARFWAAFIDTKVFPPCLAILEAAATKPSEEEEKAAKAKETVEALRLVEGAFGECSKGKPFFGGDGGDAVGYLDIVLGCYLCWFKGAGDITGVAPLLDAARTPELAAWAARFRAAEAVGCLVPGVEKVEAYLNKVLKPKWAAAASST >OB10G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12469657:12470426:1 gene:OB10G23660 transcript:OB10G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDELKLLGVWDSPYVNRVQIALNLKGLSYEYVEEDLMNKSELLLSSNPVHRKVPVLIHNGKPIAESQLIVQYLDEAFAGTGASVLPADPHERAVARFWAAYVDDQVGSPWYTILFAREKEEKVEAAARAVSALETLEGALRDLSEAKTSAALFFGGDGIGFVDVVLGSYLGWFRVIEKMIGVRILDAARTPRLAAWAERFEAADAVRGVLPDDVDKVIDFLQTFLH >OB10G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12471554:12472324:1 gene:OB10G23670 transcript:OB10G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLGITSVSLCFRGAHAKQDQPELEHLVGVIRHDALGRLTGAEPLLPRGQRRRPRRVDQPAPNQLVDRREPPEVAAEHDVDEPDAVAAEEGLPPGALLEGLLQRLQRRDGLSHRRRPLLLRLAPEHDPQPRRADLVVDIGRPEPRHGALVGVGRQHGRAVGPGLVEVLHDDLRLVHRPAAVEEDRHGLVHRVGGEEERALVGQILLHVLVRQRLEVEDDAHPDRVRAAPNTQQLQLAASRHRQVDLTLFKSGGWS >OB10G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12471581:12472279:-1 gene:OB10G23680 transcript:OB10G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGELKLLGVWSSPYAIRVRVVLNLKSLPYEYVEEDLADKSALLLASNPVNKTVPVLLHGGRPVNESQVIVQYLDEAWPDRPPVLPSDPYERAVARFWAAYVDDKVGPAWLGIVFRSKTEEERAAAVAQAVAALETLEEAFKECSRGKPFFGGDGIGFVDVVLGCYLGWFTAINKLIGRRLIDPARTPALAAWEERFRASEAAKGVVPDDADKMLEFRLILLGVSASKAK >OB10G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12476567:12477319:-1 gene:OB10G23690 transcript:OB10G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYVSASLCFGGAPGEQGLPELENLVGVIRHDALGRLGGAETLLPRGQRRRPRRIDQPAPDQLVDPREPPEVAAEHDVDEPDAVAAKERLPLGALLEGLLQRLQRRDGLSHRLRPLLLRLAPEHDPQPRRADLVVDIGRPEPRHGALVGVGRQHGRASVDAGPDLVDVLHDHLRLVHRPAAVEEDGDALVHRVGAEEERALVAQVLLHVLVRQRLEVEDDAHPDHVRARPHAQQLQLAASRHCQVDLTSP >OB10G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12476582:12477298:1 gene:OB10G23700 transcript:OB10G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGELKLLGVWTSPYVIRVRVVLNLKSLPYEYVEENLGDKSALLLGSNPVHKSVPVLLHGGRPVNESQVIVQYIDEVWPGVDGRPSVLPSDPYERAVARFWAAYVDDKVGPAWLGIVFRSKTEEERAEAVAQAVAALETLEEAFKECSKGKPFFGGDGIGFVDVVLGGYLGWFTGIDKLIGRRLIDPARTPALAAWEERFRAAEAAKGVVPDDADKVLEFRQTLLAWSASKAK >OB10G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12479309:12480014:1 gene:OB10G23710 transcript:OB10G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDELKLLGMWTSAFVLRVRFVLNLKSLPYEFVEEDLGDKSALLLASNPVHKTVPVLLHAGRPVNESQVILQYLDEVWPNLPPVLPSDPYERAVARFWAAYVDDKVRLAWLGILFRSKTEEERAEAVAQADAALETLEGALRECSGGKPFFGGDSIGFVDVVLGGYLGWFTAIRKLIGRRLIDPARTPALAAWEDRFRATEAAKGVLPDDADKMLEFRQTAIALGASKTITL >OB10G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12483663:12486902:-1 gene:OB10G23720 transcript:OB10G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQRLRLHGAELEGVLSPSLARLPALESVSLFGNRLSGVIPASFVGLAATLHKLNLSGNALSGEIPAFLGSFPMLRLLDLSYNAFFGEIPAALFGACPRLRYVSLAHNALTGRVPPGIGNCVRLAGFDLSYNNLVGELPDKVCGPPEMSYISVRSNSLSGTIDDKLDGCRSIDVFDVGSNNFSGAAPFGLLALVNITYFNVSSNTFAGEIPSIPTCGDRLAFLDASGNQLTGTVPETVANCRNLMALNFAANGQGLGGGIPATLSQLKNLNFLDLSENALSGVIPPELGGLSSLAHFNVSFNNLTGAIPSSPLLQQFGPTAFMGNPLLCGPPLDHACPGRNTMRLGVPVIVAIVIAAAILVGICIISALNIKAYKRRREQHDDEEEILVSDSAAIVSPGSTVITGKMVLFRKNSLASRYEDWEAGTKAVLDKNCLVGVGSIGAVYKASFESGVSIAVKKLETLGRIRSQEEFEHEMGRLRGLSHPNLVAFHGYYWSASTQLLLSEFVDSGSTLYDHLHGNRRRAIPAGSAGAGAGGGLSWDRRFRIAVATARALAYLHHDCRPQVLHLNIKSRNILLDMEHEAKVSDFGLVKLLPEPSNRHTAAAGYVAPELASSASSRLSDKCDVFSFGVVLLEMVTGRKPVSTHGHGTAAAGGLPLRDYVREALEAGTVSGCFDRSLRGFVEAELVQVLKLGLVCTSETPARRSAMAEVVQFLESIRGSS >OB10G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12490274:12492174:-1 gene:OB10G23730 transcript:OB10G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGMAADGLNNNSDGDSRGSSMRTNGADSSENESEDYVGADSQVLLWGGEAAGKKRRNAAGEPAARHARSLSMDSLMGKLSFAANGEPAKFSLDFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGLATQNNELKFRLQSMEQQAQLRDALNEALSAEVQRLKLAANEVGDTSSSSNLAHQIQLRCQSQMLDLHNQQQQQQAEQIPFYQLEQPEQNGAARNHESK >OB10G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12506807:12509059:1 gene:OB10G23740 transcript:OB10G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEPCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQEHCRIGYEEQSEWYFFSYKDRKYPTGTRTNRATMTGFWKATGRDKAVRERSRLIGMRKTLVFYKGRAPNGHKTDWIVHEYRLESDENAPPQEEGWVVCRAFKKRTMHPPRSSIGAWQASYSYHDPVFVGGVEHFKQEAAAPELDGGVAAAAGAKAFLQYSSRLAELPQLESPPLPSQGGASAVVDGEEDDGSSRRPAPGAAVTTDWRAFDKFVAFQLSPEEQQQQHTTTCRGSALQLQECKSTAPGAGNAIVVDDDDMAALLLLDGEAQEDDAGRWLGSGGLLISAVADSTDCALGTCLPSEIN >OB10G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12512228:12520433:1 gene:OB10G23750 transcript:OB10G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) TAIR;Acc:AT4G16310] MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRKAQASAEAKADSNPSAESEAPDGVVPGEMEDTLASIKRKLRKPKKGKEGGDVGVVGSGAKGEVLAEQEDAQGGVDNIVDGVSDDKSILEGVKARVDEVVRGALQGSGGLGLDDSLSTLFKKPGRKSRHVSVKEEEGVEVTDSHVEKIPEKGTDLVLDRVTNGPKRRRRRTKEQMKDAAAKSERTTVNEGLPNRKVSTSLPRKAKAEAKVKISSSNRRSRKSDVKQKASDDGLCHRSLGETIEQDAETRTVLDDVSGNSSDGASHHIEVPACLSNRPCMKPCSGELAEEVSHSAANAAATDGVSDEHTYSQTLLKERNDDAGCPHDKPPASSIKGIHGKKSTELLKKPVRRKDQLLSTDVDNEHVVGSADTKDVNIEQNAAVPTEGKFNQPALGIPESNVTGKGLRKMTTPVKDLDVVDVVAPSDFEDMENASKSRRVTRSARKRKHGDMAYEGDVDWETLMQEQGLFSNLSASLADHSVKLKDKIKISEVHDNGDDSGIAAVRAGLKAKAVTPIEKIKFKDILKRKGGLQEYLECRNMILNRWCKDVKHTLDLAECGVSDVCLDDEPPRQTLTRDVYLFLDQNGYINTGIALDKVKTNHESPPEVVEVSKLSESHERKSVSIHDDIVTEPVQDNKAGVKSTECVLIEASNEGSSSAAIQYDAQDLLPPLKSEEQISEEKNLGVLTEDRDELVLPSNSNIHSKSDLNGFILKVEGNSLQQAEAADIEHSGNKHEVSDKVESGGCGKKIIVVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGNKVPDELDGDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVAQSEQEDQLRNMSRSGAIDISESASTEKEIAHCGKEDKIDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLENLAKGLDVQLNHVVTDVLYGSEELGASGNSRKFVKVSTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGILNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVNNAMVVLRKLFRDVSVPDPVASVVTNWGCDPFSRGAYSYVAVGASGRDYDILGRPVADCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVRDMSNKLEACELSTALCKNSSDASYPLFSKESLLQEMFFSAKTTSGRLHLAKMLLKLPPDVLKSFAGSKEGLSMLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGALKLLRRMPSAESSKPRSKDLQSGKPVGRASNQVPDNPKVASRHARSAGNHSPHRAIKIPENKAAKLEAMTATRSDGSSLRSQKQHNDLEPKADNGLVIMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPDFHTFAMRDHYLDESDTRKKVLSDNLGRLECISEIDSRNDKDKNPPVDHANCADVDSSKMTGDNCTQRSYSNENACLINVRDHSTDSGAADSRFTRAWVDIDTIIIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASEGQSRGVDHLRQGLINFISTLLMPLYRNRKVDREGYKTIMRKAVTKIIETCTEGEKIMTVHEFLDSKRKNKIQIFVDKLVERHCHLNRPPNS >OB10G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12523064:12527667:-1 gene:OB10G23760 transcript:OB10G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSALASAGRAANEAVSLVVFMLLDVVEVLLCVVYKVADYMLEGAWRPCYCSSSSSSGAAAASGKIVVSERGGSKVVSMLSSTKLHLEDISDTLYTRPSVLAGAASATRSSPPPSSRRGATTVTVHSAIVQMLRGKIGVDGEGGKQHKPYPSPRWSDCHCANCNPADAGRLFVHVEAPPDGAATEEDVLFIHGFISSSGFWTERVLPNVSRGARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVKSFHIVAHSLGSILALALAVKYPAAVRSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPAIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTFYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEIVRDQLTCDVTVYHGRDDELLPVQCSYAVKSKIPRARVKVIDGKDHVTIVVKRQKELAMELEEIWNRQR >OB10G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12533730:12535327:-1 gene:OB10G23770 transcript:OB10G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLNVHDKTEKQKAMKAVSTLIGIDELSMDMASQKMTVIGMVDPVNVVSKLRKSWAATIESVGPAKEPEKKEEKKDGGEAKKDGDAKKEGEGDKKDGEAKKDGDGKDAEPKKDDGDKKPPTEQQLFADLMNQYRAAYSHSYGPYVPNHYFVQSIEENPNSCTIC >OB10G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12547244:12548035:1 gene:OB10G23780 transcript:OB10G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMTLRRGGGGIRIKKKARGFMCGGCGCSNSKAVSISDGSDNDKQSPMATPPANTSSTTTTTTTGSAGNKTTASSAAPGRSSFSASYDDYVDTDTSVGSTPSVAALLRQLGELERSVLSLQGAVTDGRGAKNDGRGGSRRHRRAVSDGGGGGSGRVEESVAVVKESADPLADFRRSMLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHVILRAFAEIWEEVFAGYERTPDFLVSHRKTKKKQLPPISYAAADDDDMHWNAA >OB10G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12550866:12555161:1 gene:OB10G23790 transcript:OB10G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLGLLRRTHLLSRHASSSSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMGVLYFDPLYEATGKTKRPSIVFPSAARRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKALAVLQSFFYDGVVPNNALSDEEEEISEAGCEDDQLAKQAKEQIYDGGQQTDESQLTLECDKRRIISHSEEPQTSVQSQNIVPRSEGRRSRSGKKGKKRPARRRSQQKRDGLSTLEGGSNYSSRMDDDTVMSGKDQVLSSSSRFASPEDSKIKLRSSAESPMEIISENKLTAGLSIKPLERLKDGFVVALRTRDNSGFHVARQKVAGGSWYLDVVSKATKRDPAAQFLVTFGNKDTMGLRSFVAGGKLLQVNKNMDLVFVSYSFEVSESWTLEGSLLDCCKLINRKNPSVLLEVYIEILAAVSEDDGVTRWLD >OB10G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12554942:12556040:-1 gene:OB10G23800 transcript:OB10G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLHQTALQPPQTTTRPHLWTHNPMANLSPPHVALPKRRRAPLRRLHAAGXGGAIGSSAVTDTETATTKPPSKKTSRKEKQRMRRQEKEQEQKQMLLESLAVKNGGEGGEEDDDELPQPVFDRILRRIMFMVGVPMASGVGLLNLYGALERDQGVAVPFWLPLLTILFAFGTSALGIAFGTLSASWDPEKEGSLLGLEEARANWPVLWKEEIEKANKKK >OB10G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12556161:12556370:-1 gene:OB10G23810 transcript:OB10G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDGLESSEVPARNDSKSLTSGPTLADGVKSPWQLSSRAVRKRVGIGVHRMSKIFLVGPVHIQNAFTR >OB10G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12558164:12561187:-1 gene:OB10G23820 transcript:OB10G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKYQAQQPMQEKDVEEAVRWHPPPFEPPIEVIKAEQKAPLIVVEPARTSGETATSSGESTRDWSTESGGSDAEPEASRRGWGRRYSRRELEEATNGFAAGNVLGEGGYGVVYKGVLRDNTAVAIKNLHNNRGQAEKDFRVEVATIGRVRHKNLVSLLGYCSEGACRMLVYEYMENSNLDKWLHHGDDEISPLTWDIRMHILLGTARGLAYLHEGLEPKIVHRDVKSSNILIDRHWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLIMEIITGRTPVDYTRPTEEVNLVEWLKLMVAERRVEKVVDPRLPEMPPPKVLKRAVLAALRCVDPDGSQRPTMGHVVHMLEDDLKFRDELQLARDLSPHASDSYEHEL >OB10G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12567153:12567455:1 gene:OB10G23830 transcript:OB10G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPANPFVYIDAAALHSVLPFPSLISHLRAGLPAFSAGIQCPHRVSFPLPTAPSASLLLMPSWSAHPSLPYLALKAVTSFPSNSPRLPSVHAAVS >OB10G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12572375:12573058:1 gene:OB10G23840 transcript:OB10G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding APPGVSLPPARHPRARGRWRPRALPRRSPPLRSPIHLPNPHLEPHQGQIRRARRQAPWRAPGRRRRGGGQHRRGGVRGGRRELRDGVAGADRARGAPEAGGAPGPGGVVHAGDEGVRRRGAAAREGVHRLRGGDAGGRRASGRAPARRASEGGRGRNTLGAGRRERGRAAQRRRDHSVQVRRDGGGRSLGGAARLRDLHFFNRINSITYISWFHRLQEESLIETQIN >OB10G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12580974:12584567:1 gene:OB10G23850 transcript:OB10G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYYRFAWQVEGGDVPATEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIANAAPAISLLAYGLLNRGLIPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPVENVPYFRRVAAAHQIHHTDKFDSVPYGLFLGPKELEEVGGTEELDKEIKKRIRRKEAMDAIG >OB10G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12584402:12585051:-1 gene:OB10G23860 transcript:OB10G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDILCFPFTLQVEESAKRIFLLVIDPKYLKKDLSPEHCHPSMKHVRVTVFCPMHELVELIFYILENATLLQSFTLDNRIRGFEENLVACITQDTGIRDYQEWWKNFGDRERILRVFFRRQFHPKSYRDWEACRSYVAIRKYITGRVPLSVELKYQLCLLMKLYPIF >OB10G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12587445:12588497:-1 gene:OB10G23870 transcript:OB10G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIVPHARFSSSSDWVASREALRAPQRKRVPPHAFCKSKQLLSEQPIFLRGSRKGEGTKQRMGHRELAAEDLRRPRHHPSALPKTSPAAASSIRVAVSSAGGRAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPDGVAGELRPDACVATQIRRPSRPTRRRRRPPLAIRSAVGRAGAKLRRLPGPTDGPPPPHVAEREQREEGERERGREMERRQLGTEKK >OB10G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12592344:12595862:1 gene:OB10G23880 transcript:OB10G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3N4E0] MATHGGRYVLYNVYGNLFEVSSKYTPPIRPIGRGAYGIVCAAVNSENSEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRENFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNAKRYMKQLPQYPRQDFRLRFRNMSPGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAFNPNPPY >OB10G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12596217:12596701:-1 gene:OB10G23890 transcript:OB10G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGTRPRRAWSGRWATWPELTVTGKATTATDVFAYGALLLEVACGRRPIDPVTGVNLLRWVRDLGVRGEVVRRRREARRVLRQGGGEAGALAGLTCSQARPEARPSMRQVCQYLDGEEHVPEEAVLVFSDADSVDFGLFTSLTWSSCATMSVGSLHGGR >OB10G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12599602:12600991:-1 gene:OB10G23900 transcript:OB10G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASVATPGHLAVAVLSLLAHRLLLCSADVDFIYNGFHNAANLSLEDAASVLPGGALQLTNDSKNIMGHAFFDSPVQMVQDAAAVVSFSTAFVFEIVTVGSGGGHGLAFVVAASKLLPGATAEQYLGLLGKDNLGNLSNHVFAVEFDTVKANGLLNETNDNHVGVDLNSLVSNVSEPAAYFAGDGGERTNVTLESAQEIQAWVEYDGSSKILNVTIAPVSVTTRPRRPLISRPIDPLPIFKQEMYVGFSSATGKLASSHYILAWSFRTGGAAQPIDLSRLPSVPKKPEPPPSASTVIKIVALSCAATVTAIIASTGVALWLRRRAALADTLEEWELDHPHRFPYRELHMATKGFKNSELLGAGGFGQVYRGVLRRSGDVVAVKRISSNARQGMREFVAEVASLGRMRHRNLAELRGWCKRGQDLLLVYEFMPNGSLDALLFGDAPPPASPLRRRRRRRRWRG >OB10G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12605045:12608099:1 gene:OB10G23910 transcript:OB10G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCESGSGVFSCPIGKNPMYTYRECIVLGETECSIATVNRILRELSRNWPGHSYDLLSRNCNHFCDELCERLAVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASKNQNSQPESPSNQSRSGPTFQGAWFKNIISAGAKPSSSESTSSHDTSDESPLQNQKSAEQSTRL >OB10G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12609137:12612486:-1 gene:OB10G23920 transcript:OB10G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSGAKRMESSSSGCPQGTVYHWFVLFAVWLCGSQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNANPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYIMDKTAFDCSIPLAPLVADVICCPQVNSLMNIFQAAYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLEACSSVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSPVAKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSSDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAQPSGSSVGISRTGIGILVPLLFLVTAIVF >OB10G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12613137:12613424:1 gene:OB10G23930 transcript:OB10G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLAGWLPHFPRCRDGEGSAPELEGIRVGEAEAEAEARVGVSDCPPCLRAPGCLSVLPAVEERREENPTREWADTRMGRAVVCLCQARAWASG >OB10G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12617953:12619589:-1 gene:OB10G23940 transcript:OB10G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSQCWLRRKARAAAGSFERLGGEGGVGDGDLGHSFGSSVASFIDMDPAELFSMRWTSLPVAAAEDQEFDFGLPCDGALCSSPLLVGTGRVDFSDDGPLLPPADRLQALG >OB10G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12623441:12624389:-1 gene:OB10G23950 transcript:OB10G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPFGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFLDSGSSHRAMKDKKIAGGSGSKSVQTDRAAKIAAIKHKLKSLEDEGCSTKRPRLKSDELTGSGKQSDKKC >OB10G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12624961:12627483:-1 gene:OB10G23960 transcript:OB10G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCVPVVVLLVVVGLLPASNAQTAPFSPQFGVYVACGAGGDVVTTSDSPQRTFVPDDGSLSGKSSRRAERLRNPDASPPSPLYAAVRVGRSGFSYRLEYPASAAPDGNTTLVLRLHFFPFASQSDGDLSAARFGVSAMGRYVLLPSPFSPPRAGVVKEFFLPSDGSGVFDVTFTPEAGGLAFVNAIELFPAPQELLWRYALTPVKAADVPPHQALETLYRLNVGGPTVTTTSDTMWRAGPADDSSLSLATVSAVASIQRTINFDPTSGFTRMVAPDAVYKSQRTTNSSTSNVTWTFAVDGDSSYVVRLHFCAFEELSSVVGQGVDFNVYLMQAIASRELKAKDYATLNMPTQAFYLDYVTRVPTGGENLTVSIGRAATSDSNKAILNGLEIMKLRAVDMAPGSSSGKTSKVVIAVIVAGLAVAVLPGVALCIVFVRRRQRQATLPVPEEEKESVGTPWSPFTPDGDGSVASSAVTPRRMNMKLHIPLADIMVATGDFNDGNVIGVGGFGSVYRGVLRDGTRVAVKRAKRASKQGFPEFQTEILVLSSIRHRHLVSLIGYCNERSEMILVYELMEHGTLRSQLYGSDAAPAPLSWKQRLEICIGAAKGLHYLHTGHSDNIIHRDVKSTNILLGDGLVAKVADFGLSRIGPAVGQTHVSTAGKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEVLCARPVIDQSLPPDEINLAEWAMQWSRRGRFDKIIDPAVAGDANTNSLRKFAETAGRCLADYGDLRPSMGDVVWNLEYCLQLQESQPSTDATLDDSGVHLPRDIVVARQVAPNAAVDVGDDMSWSETASFTATGNVFSQIMSRDGR >OB10G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12629590:12632343:-1 gene:OB10G23970 transcript:OB10G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGAGEKLPANGAHGGKACRFSFLYGLLLYVVLPVLFLYMLAIAASPFYNPRCSPEQNAAMARFVVAMPNASSSSAVNRSSSSAPPPPGPPMRSADEAPTGLRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDKPVEEFYSKSSRTGLPPIMDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRRLFDGPVRLDSAAVAQQSVCYDRDNHWTVSVSWGFAVMMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYLRDSRMDRRRNVTVTEYDRHRGKQPDCRWRIPDPADLVDHIVVLKKPDPDLWKRSPRRNCCKVVSSPKKEGKDRTMTIDVGVCREGDFAKV >OB10G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12634610:12636070:-1 gene:OB10G23980 transcript:OB10G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDRRYRRYCEQMRALAASFEAGGGERAAAAYTRLASRTISRHFRSLRDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRHAAVPILGGRRRAPAAAAAASNPVRRRHGSRRRVALPEPQRHRRRVPPPPRPRRVNHPSIPHQTNSTVVPPIR >OB10G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12660630:12661777:-1 gene:OB10G23990 transcript:OB10G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLALAAAHLAVAYRTSCRERRRLLVYRIDVEAKVQNNTEQPSLDQINQVKVSGVVFFPLTTPNVSDKWWQISKTEGDRYLSLKPRTCCF >OB10G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12661565:12662350:1 gene:OB10G24000 transcript:OB10G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFFLHLDVYCDRKDFTFCVAQLKIRIESGGTHGLDVDPVHEQAAALAAAGPVRDGEVRGRERQRQQEHGLRPRRRRRWGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEAIIATRKNAVLAHLHGRAHTLSAPPPPCLCASASATGS >OB10G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12668011:12669502:1 gene:OB10G24010 transcript:OB10G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAIKADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGERNCPELCLATEVFCCFGSSVASTRFLLQDEFNIQTTQCDNCIISFMFCLQQFACICSLVACIVGNEELSEASQLISCISDMVYWTSDTTQSGDGQEGRQVWTYDSAPDAADVSHRSAGSALRWVCTTGTASILQVVQWRLSKSSIYRSTSKALFSK >OB10G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12670877:12671754:1 gene:OB10G24020 transcript:OB10G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTATAILAALVVCLTGAVTTVDAGFAAMQWTPAHATFYGDETASETMGGACGYGNLYASGYGTDTAALSTTLFRDGYGCGTCYQMRCAGTAACYRGSPVITVTATNLCPPNWALDSNAGGWGNPPRAHFDLSKPAFMKMADWHAGIVPVMYRRVPCVRSGGLRFALQGNAYWLLAYVMNVAGAGDVGDMWVKGGGAGGWVRMSHNWGASYQAFAQLGGQALSFKVTSYTTGQTVLAAGVTPPSWCLGLTYQANVNFS >OB10G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12671783:12674526:-1 gene:OB10G24030 transcript:OB10G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGIARGRLAEERKAWRKNHPHGFVAKPETTADGSANLMVWHCAIPGKQGTDWEGGYYPVTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDNGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRMQAKQYPALL >OB10G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12688919:12700501:1 gene:OB10G24040 transcript:OB10G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIERYKAYTKDHVINNKTIQQDIQQVKDDTLGLAKKLEALDDARRKIMGENLEGCSVEELRGLEIKLEKSLHNIRLRKTQLLEQQIAKLKEKERTLLKDNENLRGKHRNLEAAAAAANHLTATPAWPRNVVPAPSSGANADAMDVETELYIGLPGMDRFSNRPETG >OB10G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12715565:12719104:1 gene:OB10G24050 transcript:OB10G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREHQLLSTAVHETMPGKYVRPESQRPRLDLVVSGARIPVVDLASPDRAAVVSAIGDACHTHGFFQVVNHGIDPALILSVMEVAREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLEHFVPDWPSNPPSFKEIISTYCTEVRELGFRLYEAISESLGLEGSYIKKTMGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDQVAGLQVLNDGKWIAVNPQPGALVINIGDQLQALSNGRYRSVWHRAVVNSDRERMSVASFLCPCNSVELGPAKKLVTDDSPAVYRSYTYDEYYKKFWSRNLDQEHCLELFRT >OB10G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12722119:12723076:1 gene:OB10G24060 transcript:OB10G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGGGGGGRGARLAANAEITEFINDLKQEWDRIEDKYAVTTLAVAASLGMWSAGGVVSAIDRLPLIPGLMEAVGIGYSGWFAYRNLLFKPDRYSQPQLFYPTCPSLTDVDICLFLERSSQRVALTQNYYDELQGSFLCKG >OB10G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12723827:12724976:1 gene:OB10G24070 transcript:OB10G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N4F9] MAMERRATAALSVFAAVAGMLLHAAVAADAQNLVFGYYNQTCRAAESIVFDEVQKAWNADRSMPASLLRLHFHDCFVNGCDGSVLLEASDGQAEKNASPNLSLRGFEVVDRIKARLEATCRQTVSCADILAYAARDSVRVMTGGYEYAVPGGRPDGTVSRASMTGDLPPPRQRNVDLLAQYFARKGLSMDDMVVLSGAHTLGVAKCGTFAYRLTSDGDKCMSAAFRNDLRRQCNYKSDAVVALDAGSPYGFDTSYYGNVLANCTVLESDAALNSPKTLQLVTQLRDSKALFINRFATAMVRMGNLRGGNPGKVRDNCRRVRT >OB10G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12724701:12724976:-1 gene:OB10G24080 transcript:OB10G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPDPPAVVADLAGVAAAEVAHPDHGGGEAVDEQRLAVPELGDQLQGLGEFSAASDSSTVGEHVAVVAGVEPVRAAGVEGDDGVGFVVAL >OB10G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12728955:12730422:1 gene:OB10G24090 transcript:OB10G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N4G1] MRRGTRTTTTTMVMSSCLQVAAVSVLLMATGLQAQLRVGFYDNSCPAAEIIVQQEVSKAVSANPGLAAGLVRLHFHDCFVRGCDASVLIDSTKGNQAEKDAGPNTSLRGFEVVDRIKARVEQACFGVVSCADILAFAARDSVALTGGNAYQVPAGRRDGSVSRASDTGGNLPPPTAGVSQLTQMFAAKGLSQREMVALSGAHTIGASHCSSFSSRLYRSGTTAGQDPTMDPAYVAQLAQQCPQSGGGGGPLVPMDAVTPNTFDEGFFKGVMANRGLLSSDQVLLSDKNTAVQVVAYANDASTFQSDFAAAMVKMGAVGVLTGSSGKVRSNCRVA >OB10G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12741917:12742766:-1 gene:OB10G24100 transcript:OB10G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPIAPPPLQLHHSGAGEEDGACAAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDAAAAGGGKGLVLSFEDRTGKAWRFRYSYWNSSQSYVMTKGWSRFVPAAVVLKSMPVHVAASAVQEATTRPKRVRLFGVNLDCPAVMDDDEDNIARAARAAASSLLQLPSPSSSTSSSTGGKKMCSLDLGL >OB10G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12752159:12752404:1 gene:OB10G24110 transcript:OB10G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLSLCLYLLAQLRCAQRGCLLSGRCLHIQTSQPTFLHLSSWTPPGWQFQLNQIDRNLNSSKSKCARIIARCDQTHWKIE >OB10G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12752154:12757295:-1 gene:OB10G24120 transcript:OB10G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPRDRLVAAAPYPAATASSSSLSPSAAPFTVDDCPRPAPADPRVPNPSSLDLPTAPSLHAAAGDWGSASWMEPPASYMAPAPPSSYKGEVPESSPYGIFSGTHCGNFLDIRPLRSESSQSTSAKGPGTWLGSSEVLPSGVGPSVFSRQQNNFLHKSEDADPYPTQQGLLQYPAYDKYMPHLSSCSTDAPAAPPMMWTPPVNSSEVAAQMFSVMNKNTGESSSSFSPYMNPCRINLDYFDCMWNEQKDHGHHIADKHHKKWGSSATASNMAAGGNHLLNSIGEDHHVGRCLGNGRPMQESSEIKYDWGNINSKVSPSEVGYVQPREFSSELPEVNNPTVDSPCWKGAPVAYSPSFGIIKNTDNPHCVKGVGCYNSSHQIEQSPEWSLKYSELFSKQQELLASENVKSDTLKTFKLPMTRKNSEDHKAVPPICDGVHNGIDNHSYFLEEQNARRHRYYGSAEGFNNVMAASQQENTSVSKAKLLGEDSANHIASITEESINKGPSPLGSAPRVPIENLSESLHVNVSSQAARAQECTRPQILANGGQDQYCYHPDARENMLKTSCDSNPKSRVVLLKQMHDLSAMLLSNCNGGPSLQEHEEELLQLVIQNLRDASFSRSKVQNTSCSKNNLWMAMPEDSLLENTSELKTSISQAVAKLPEDKMLDDTDISQLSIYKNLWVEAEASACKLKYELQLIRVKLATMKNHNTQGTVDSSKDNNTFISTISTSKLQNYDKEITAYPVNLQCLGGDSCDGQPSSVNRSIVDGADAEVIDQWNFLQSNFDNYRSFCANNNKEQEEASQKPCPIEDAVMARLRVLNSRPNNIASSKQENNNNQLDTSTNREANIDDAVMSRLRILKSRPDNVTPLGQESSKLEPDAASTDRTDLIDNAVMSRLRILKCRNDNINSLVDVSKKCVEDCTDQPNRDEYDVIAKMQAPSGNSIAEECQNIPYSDNFVKHSEGKDFVGGLDSLVDATCGDEDSGCKDQVNDKSAVQSEGSLAMNHGWPETTMDSHSRVCTAGSQETPPLPSSVHKYDMFPPEWEHVLKENFFHPGK >OB10G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12759663:12764997:1 gene:OB10G24130 transcript:OB10G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLEVVEAGAAASSAASSAMNGAVSWWRDVNESPLWQDRIFQALALLYGLVSAVALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLIRPEIVQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVIYAIQMILWVVLWWKPVRIMIILAKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRQKKLQEVGYVTTICFSCFLIRCIMMCFNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >OB10G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12764143:12773120:-1 gene:OB10G24140 transcript:OB10G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGGGDWRRREADPAEPSSSHVFDGDGGGWHQAAMKAAARGSSSGDSLWQWRRQGLSEVVLAWSVDQILNKDLLRDKVTKIPQTFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISRAPYASVLSVNAMRKGKGLYEIKLDKWRGVSHGCGIGIDGYKPKAADVLLISETRPTSQSDILKQSKSCVIIWVGKVQGNKMTVKASRRMETGVHGDERRQMGINRYDKLYEEGFDKSWEMLDQEAVAPESSNSFLHANGQKEHSNVRKCFEKCNDLEEQNEMGITGSSSKRWSFYATFLTNMITYDRVWVVLRRGLTMDSKIILSMFSKNNYATGHCKYCRSKTHDEIKDDLCNFKLNDSQLDAVASGISASECCHNSSVGLIWGPPGTGKTTTVAVMLHMLLMKEKRILACAPTNMAVLQVASRLIELIGDFSSSRRYSFGDIVLFGNKDRMQFGKELSKVYLDDRVHILLRCFKREDGWKKCVDSVMKFLINCMSRYQMSLDIQQPNSDGCNLTFKKYFTSKFSTLVKELATCIATFFDHLPTDSLGRNFDRMMFAKSMLDKLQELLCADDVSDELLFTIFKPSDEHLDSPVSHDLTDDATVDLHDHEISLDNPLEIKSLCIKTLMNLSKMRLPCEDNENSIRDLCLKQAKLVFCTASSSFELFRLQSVMPMSILVIDEAAQLKECESLVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVKQEDYVKSYLPGPIYGAYSFIHIDNDMEMLDELGQSSKNMVEVAVAVNIVERLARECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKHCLWILGNGTTLLASNSIWAELVHDSKRRGCFFDALDDKNLAETIMLATKEEQQKNQREQRNACNVSRLSSGSSRHDIIGVGNSRPMRWSHFVGSGDTRRSNGYDSRLNACHTKEDMHRTHFQRHKSYSGDYSQVAPPNQYLRNGYRSSSNDYGYQDLFREHPNHRSGLDSHTRSFHETMCSTSQTGNGRFPYSGSIQREKSHSQTSILGERQPLGGDCNTGFQKGASAYPCQPNSSEIRLNTYETGAPELQGMNKHRQFSSHPRQAPYRTFGAWGRGRHPYHDRGRGGWYERTNNHRMEEPYSQVQNGTCNLPDTMQQGMKRNWCQAEASDSPHQGNAKIRSESADQPHQHDQHGVYESASHKLPAIELGDAPGQHEMKIDSYETEASDSPKGSTRARPESTDQPYWQAQGGAASHELPVPEQGGTPSDLCEEACPQSNTSGSPNRGSTEVAPEGAELLHCQAQPDGSGVASHELPVPEQGCTKGNLANAEPGQVKTEK >OB10G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12779019:12780248:1 gene:OB10G24150 transcript:OB10G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPMAAKLLLMLCLIALTTCSLSPRRAAALRVHGLRRGLEQATRGRLLADATEGGAVVPIHWSQAELNYVANFTVGTPPQPASAVIDLAGELVWTQCKQCQRCFGQDLPLFDPAASSTYRAEPCGTALCESVPKDSRNCSGNVCTYAASTNAGDTVGKVGVDTFAVGTAKASLAFGCVVESDIDTMGGPSGFVGLGRTPWSLVAQTNVTAFSYCLAPHDAGKNSALFLGSSAKLAGGNSASTPFVTTSGDDLSNYYKIHLEGLKAGDVVIPLPPSGSTVLLDTFSPVTFLVDGAYQAVKKAVTAAVGASPTATPVDPFDLCFPKASASSAPDLVFTFQGAAALTVPPSNYLLDYKNGTVCLAMLSSARLNSTELSVLGSLQQENFHMLFDLDKQTLSFQPADCSKLSS >OB10G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12783311:12788981:1 gene:OB10G24160 transcript:OB10G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVAKLLVLGLLSLITTTCRPVLSAAPPRAHDLRRALEQAMRGRLLADGAGAAVPFHWSRELYNVVDFAVGTPPQNASAFLDLGGEAVWTQCSQCKRCFKQDLPVFVPNASSTFRPEPCGTDVCKSIPASKCSSSNVCTYEGTAELDKHTVGIVGTDTFAIGTATASLGFGCVVASDIDTMGGPSGFIGLGRMPWSLAAQMKLTKFSYCLAPHDAGKNSRLFLGASAKLAGGNSTTVPFVRTTPGDTLCQYYPVQLEGIKAGDAAIALPPGSSTVMVQTFAPMSFLVDSAYQDLKKAVTKAVGAAPTSTPVEPFDLCFPKAGVSSSAPSIVFTFHGAAALTVEQSRYLIEADKDTLCLAILSTSWLNSTALDKTNILGSLQQVNIHFLFDLDKETVSFQPADCSSLS >OB10G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12793854:12795098:1 gene:OB10G24170 transcript:OB10G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVVTILLVLCLIFLTTCSISTRAAMASRAHGNLRRGLERAMRGRLLADGTASPPGGAVVPSHWTPGLYNVANFTIGTPPQAASAFIDLSGELVWTQCSLCRRCFKQDLPVFTPNSSSTFQAAPCGGDVCKSIPTSNCSSNMCFYDGPLTSLGGNTFGFAAADKFTIGTATASLGFGCVVASDIDTMGGPSGFIGLGRTPWSLVAQMKLTKFSYCLVPHDSGKTSRLFLGSSAKLAGGKSATAQLVKTSPGDVLNQDYPLQLEGIKAGDAVISPPQGASTILVHTVAPVSFLVDSTYQDLKKAVMKAVGAAPTSKPVEPFDLCFPKAGVSGAPSIVFTFHGAAALTVEQSRYLIEADKDTLCLAILSTSWLNSTELDEMNILGSLQQENIHMLFDLDKETVSFEPADCSSLS >OB10G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12797163:12798368:1 gene:OB10G24180 transcript:OB10G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAATLLVLCFMSLTTAAHDLWRGVLGRLLAYAPAGGGVTVPIHWSQAFYTVNFTIGTLAPPPQPVSAVVDVGGELVWTQCSRYCHRCFKQDLPLFDTNASSTFRPEPCGTALCESIPTRRNCSSDGGVCGYEASTLLWDTTGRVGTDTVAIGTGTARRRASPSGRTKQSLVAQMNATAFSYCLAPPDAGKSSRLFIGATAKLAGGGSATTPFFKESSPNSGLNRSYVDLKKALTAAVGAPAPAQPNYDLCFPKAGGSKAPDLVFTFHGGAAMTVPVSSYLFDAGNDTVCLAIVNLTESDGLSILGSLQQVNIHFLFDLEKETLSFEHADCSALS >OB10G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12799806:12801035:1 gene:OB10G24190 transcript:OB10G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLLVLCLISAMACSLSTRAAALCEHGAGGLRRGLEEQSMRGRLLGDDTAGGAAVSVYWSPRVLHSVANFTIGTPPQPVSGFIDLSGELVWTQCAACGGCFEQELPLFNTSASSTYRAEPCGSTLCKSIPTSSCSGYGECGYVAPSKLGDTFGIAGTDTVAIGTAKGRLAFGCVEESKIDAMWGPSGFVGLGRTPWSLVAQTNATAFSYCLAPHGPGKSSKLFLGSSAKLAGGKSNSTPFVNASGSPDDGSDPDYKVQLEGIKAGDVAILSPPNGTTVVLDTFSPFSFLVDGAHQVLKKVAAAALGAPAANPLRPFDLCIENATVSNAPELVLTFQGAAALTVPPSSYLLDVGNGTVCLSILSSARLNLTDGVSILGSLQQENVHFLFDLDKKTLSFQPANCSSLS >OB10G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12803976:12805157:1 gene:OB10G24200 transcript:OB10G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVTASLVLCLISLATCSLSTRADGLWRGLEQAAMRGRLLADAAAPGGGVVPIHMWGDGYVANITIGTPPQPASAIIDINGYSVWTQCKQCSSCFKQELPLFDRSASSTFKPEPCSSARCKSVPTAKCTGDGMCGYEDEYTSGIGGTDTFTIGTATASLAFGCVLASDYNTLGGPSGFIGLGRAPWSLVSQMNLTRFSYCLAPHETGKNSKLFLGSSAKLAAGGNGTSTPFVKTPPDDYFSDYMLQLEGINAGDVAYKPQNDSTVIVSTFWPVSFLVDSAYQAIEKAVTAALGAPMEKPPKPFDLCFKKAKISDAPDVVFMFQGGAALTVPPSKYLLGNNTACLSIWRSARLNLTDGISILGSFQQENTHLLFDLKEGTLSFEPADCSSLN >OB10G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12805709:12806095:-1 gene:OB10G24210 transcript:OB10G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMESSGQFARTQPPARTERALVSFASPPPASPPCARPDGASDADAATGTGARAAAGVEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRAGTAQAWEQGRRPASSEGGEQ >OB10G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12811304:12812554:1 gene:OB10G24220 transcript:OB10G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVAAVLVALCLIALTTRAAAYGEHGLRRGLEQAMRGRFLADATAGGGATVPISWSPSEFLYVANFTIGKPPQAVSAVIDLTGELIWTQCKQCSSCFKQELPLFDPTKSSTYRPEPCGTDLCRSIPTRNCSSNVCIYEAPTKAGDTFGIVGTDTVAIGTAKATLAFGCVLATVRGLETIGGPSGIVGLGRTPWSLVHQMNATAFSYCLAPHVTGKDSKLFLGASAKLSGGGGGNGTSTPLVRASAGSGSDDDGSDPFYMVQLEGIKAGGVNIKAASTGGSTVYVNTVFPFSLLVDGAYQALKKAVAAAVGVSPMAKPPKPFDLCFQKSAGGSSAAPDLVFTFQGGAALTLPPPNYLLAVGNDTACLSILSSKRLNLTDGVSILGSLQQENTHFVFDLAAETLSFEHADCTSLSS >OB10G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12814805:12815194:-1 gene:OB10G24230 transcript:OB10G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVTDGKHYGDALASIANVAKSLRSPLPVPPPHMFWLGLPRLVNHMLNTDAKKAHMNDTMLQTYDLEVERRGILQRDGGPFVLLDVGKLTRGCGQQCTADGMHYNGEVYDAILHIMLNALVIESQQRI >OB10G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12818431:12819211:-1 gene:OB10G24240 transcript:OB10G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:J3L5L2] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OB10G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12820483:12821250:-1 gene:OB10G24250 transcript:OB10G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPLVGVLTGQEASCLLTSMSQPPLPHAAELSQATPIPVSTGIDAPLKSYRFRLILAWTKIKLRDKLKELKWTYSYELHTMYSCSRGEPILFTSRLGQSGSKAIPEALPV >OB10G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12828104:12832825:1 gene:OB10G24260 transcript:OB10G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNYNRFQNDRLVSRYDDGNDTEDCYSGSSRASLAGALRQGLNLKTIPVLGFKTPNVRDLYTLGRDLGQGQFGTTYLCTEISTGCQYACKTVLKSNFRCMADIEDVRREIQIMHHLSGQKNIVTIKDAYEDEKAVHIVMELCAGGELFSKIQKRGHYSERKAAELIRIIVGIIATCHSHGVIHRDLKPENFLLLDADDELSVKAIDFGLSVFFRPGQVFTEIVGSPYYIAPEVLQKRYGPEADVWTAGVILYVLLSGVPPFWADTQSGIYEEVLEGQINFKSNPWPRISDSAKDLLKKMLCPSPSERLKAHEVLKHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQVIAERLSEEEIVGLREMFKAIDTQNRGVVTFSELKGLRRYSSVFKDTEFSDLMEAADDDTATTINWEEFIAATVSLNKIERDEHLMAAFTYFDKDGSGFITVDKLQKACMERNLEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >OB10G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12835181:12837571:1 gene:OB10G24270 transcript:OB10G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGEAPARSGPVPDLCEYLDRPDALHRRAASVAVVRSGDRGDGPRILDGAGRDDRRARSSRRLSLSSWRRPPLSWAPAGEASSAESRRQGFAAGAAVGGGKMKGAIWDWKPVRVLSRLGKRRSGCLFSIDVAAVRGVPASMDGLRLAVKVRKAETKDGAGQTMPAPVRDGCADFDETLFIKCNLFFTGGAGTGKPLRLEPRRFVVSVVSIEAPGIPLGTHPVDVSSLVLASLQKSSEGRRVRWFDRAFSLSGKATGGELLLKLGFQLMEDAGLCLYTQATEKADDVSPARARVHNKNSFSISSTAAAPKISASDAAISPSMRAYKQLIDRLNVDEHGEPVTSLIPRKFADDELSATSGGDVGYGLPEYEVVDKGVETVKEVVHYQAHRDVLKELDSIADQIEAIEALMTNGGKKSPSPKAVDLLQRLDADEEMVTVEFLRKLEVDDDKGRKLKQPMTPRSESEKKAAPPPAVVPDLGPGLGTAVKTRDGGFLVSTNPFDLPLASGDATPKLAMQVSRPFVLPSSMAATGFDVLQKVAAAGGGDEVRDKVAKLGGMDNLTGKTPEQVGFEGIAEAVIGGRRTEGASSSAARSVRLVRKLAAAVSDGRSERVATGIWTASDDPETLEEGIAFSLQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGTRARARAGAHRQESADDDDDADGVVSGEAAARRRVEPVVAGARRDVAQDGAQP >OB10G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12845591:12849791:1 gene:OB10G24280 transcript:OB10G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATVVTSFCGVVADSVGRRPMLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGFGIGLAVTLVPMYISETSPSDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPEWRVMLGVLSIPSFIYFALTVFYLPESPRWLVSKGRMVEAKRVLQFLRGKEDVSGEMALLVEGLEVGKDTTIEEYLIGPDDELADEGLAPDPEKIKLYGTGEGVSWVARPVRGQSALGSALGLTGSMVGQDKSLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKADWDAESQREDEDDVSDHDGDDIEDSLQSPLISRQATSMEGKEIAAPHGSIMGAVGRTSSLMQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVPSDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYYKDLTEQRLAGPAMAHPSEAAAKGPKWAELFEPGVKRALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSSIGLSSLSASILISGLTTLLMLPSIGIAMRLMDISGRRFLLLATIPILIVALAILILVNVVEVGTMVHASLSTVSVILYFCFFVMGFGPIPNIFCAEIFPTTVRGICIAICSMTFWIGDIIVTYTLPVMLNSIGLAGVFGIYAVVCILALLFVYTKVPETKGMPLEVITEVFSLGTQPKHDKEDMD >OB10G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12856755:12859294:-1 gene:OB10G24290 transcript:OB10G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25060) TAIR;Acc:AT3G25060] MGDAVRVFDRMPRRDRVTWSTMVAGFVSAGQPLEAIEMYRRMRLGGVEGDEVVMVGVIQACTAARDVRMGASVHGHLLRHGMRMDVVTATSLVDMYSKNGLFDVARQVFGLMVHRNAVSWGALISGFAHNGHSDEALHLFRKMQVSGFQPDSGALISALLVCSDIGHLKLGRSIHGFILRRFEFDCVLGTAAINMYSKCGSLASAQMLFNMIGARDLILWNAIIACCCAHGRGQDALTLFQEMNKTGMRPDHATFASLLSALSHSGLVKEGKLWFDRMVNDFKITPAEKHYVCLVDLLARSGLVKEANDLLTSMKAEPTVAIWVALLSGCLNNKKLELGESIADNILELQPDDVGVLALVSNLYAAAKKWDKVREVRKLMKDTGSKKVPGCSSIEIRGTRHTFVMEDQSHPQRQEIRNKVTQLDLEMRRMGYVPRTEFVYHDLEEEVKEQQLSYHSERLAIAFGLLNTSPGIRLVIIKNLRVCGDCHDAIKYISNITDREIVVRDAKRFHHFKDGACSCGDYWLYACCCTVCTLTWLLSNATVTSVTGDPAVVVHVAFPHSRRWASSVAGENDHKGGGKGFASSFQLGASVAVIGMGYPFQAVPVSPNACIAAYSGSAPKATRGIVWLVNEGIASIKIHFIREKENEISFCGGTYY >OB10G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12859341:12861185:1 gene:OB10G24300 transcript:OB10G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPAPYARSLMVSPPRRSPRRAHAESARVNVVLSGRAAGGSARKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEVVVVVVGCGGGDDEEGVEAGEGPGRGGGGGGEEAAEATRVVEEDGKAFGHRGRS >OB10G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12862870:12867136:-1 gene:OB10G24310 transcript:OB10G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3N4I3] MSGGGEGGGGDGSRALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFSKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSEHEQPGVGPGRRHLSGDPTNFKCAKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKARIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSRSTVLLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAVMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAIMAVEIKERHTVIQGMPVVKLSDEYFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKQQQKGSSHEPASETPRPSTATTTTTEAASQCDCDAAVAVPLRHLHRYKTIAHVGATGSLSDSDCSDTDMAASSSPTRHLIPPTKQRSLDAGREEVRADVGATPERHDSFSFPRLAAQNVHPK >OB10G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12875834:12876037:1 gene:OB10G24320 transcript:OB10G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCWLHSGERGYSGLCLNGGATKWRSFWCLFSSNHFAGRRWISFLSFYSCNWCFYSRFDFVSVRLK >OB10G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12876216:12878748:1 gene:OB10G24330 transcript:OB10G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSGGGSTPVGGKPPRHHLTSIRHCASSARIAAATADFDLDSGTLSLISPTDLRPGFLPVFRSGSCADIGTKPYMEDEHVCVDDLMEHLGMRTPVIPAPGAFYGVFDGHGGTDAACFVRKNILRFIIEDGHFPNSIDKAIRSAFVKADHAIGDSHSLDRNSGTTALTALFYGRTLLVANAGDCRAVLGKRGRAVELSRDHKPTCRSEKIRIENLGGTIFDGYLNGQLSVARAIGDWHMKGSKGSIGPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMAHNDPERCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >OB10G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12880958:12884203:-1 gene:OB10G24340 transcript:OB10G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDDLEMQGIQQMDQEQTFAGGMQIWTDMKQQDHHHECNGPYCRCHSSSKHARGSLLLLHQQQQQQLQRPNRMETRQEVSTRTGFLRSEGIRERDLQQYGLAV >OB10G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12888726:12892023:-1 gene:OB10G24350 transcript:OB10G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3N4I7] MRFQIQISPCLLLLLGSVAPATLSLCGLAAAARPGAATAAMQEQELDRVTSLPGMPANSPEFRQYSGYVTTDEYLGKALFYWFFEATDKPDEKPLVLWLNGGPGCSSVGFGQAQELGPFLVKKDVAELELNPYAWNQAANLLFLDSPAGVGFSYTNTSFEKDPPGDNSTAHGSYTFLVKWFQRFPQHKMKEFYIAGESYAGHYIPQLANVIVDQNKIATKQNYINLKGIMIGNAYMDGDKDLLGIVDSAWHHAIISDKLYSDFQKFCNFSLEVLTKKCYAAIVQFNALYNIIDIYSLYTSRCELGYPNFNSSFAAQIGRTSSRFDLLKIPMGYDPCTQTYATEYFNRKDVQKALHTNIPGAYSLCRNSIGRAWNDSDMTVVPVVKKLAQSGLRIWIYSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWTVVFDGVTFVTVRGAGHMVPSVMPEQALVLFKCFLTNHNLPSKPF >OB10G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12897344:12898741:1 gene:OB10G24360 transcript:OB10G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVIAHELAELATNPLVNAWYAGEDPTAPTEIADLCEGVYGTGGGGGYAGKVAVDAQGRSWNVNGRNGRRFLVQWIWSPVAKSCVGPNATNQPPQRSHLLKYNKYGCSFIFYGYNYAHAISTPYKCTRGEQRAIEIREEDDEDGREEDMITVKVKTLTGKEVEVRIEETETVARIKEQIEATEGIPPAQQTLIHGGRQLADDMAADTCNIRHGSELHLVLALRGGAAA >OB10G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12900855:12909805:1 gene:OB10G24370 transcript:OB10G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGAGCRCESARSGGGDDVLVRRPLRVQPVHVRPRVAAGRERDGQPEGRLLLRGDLHLRLLRRLHHHHHLNASWSINPCSQTKGKNRRRGRRGIGDFLTAGSEATDGGDALRAALGVRGWGVSLRGGAPLRGPARVQAQRVIQVGVRRRF >OB10G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12902953:12907615:-1 gene:OB10G24380 transcript:OB10G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEAGSGDSGEPAPPAAAAAAAAGGAARRPGGGSKFTVQADLAATVGAFKEVVAGSCDVPAAQQRLIYKGRILKDEQTLESYGVETDHTIHMVRGAGPPAGSAAPAAASPQASTAPSSAPTGGLGSLFPGLGGTGTAGTRPAGLLGSGFPELDQMQQQCQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAANPFSALLGNQGSNQPRDPASNAPNTVSESTTGTPAPNTNPLPNPWSSNTGGAQGATRAGPTGNARTGATGGLGGLGSADLSSLFGGLAGNTGTGATGGLGGLGSADLGSLLGGSPDSSSLSQILQNPAMMQMMQNIMSDPQSMNQLLNFNPNTRNLMESNTQLREMFQNPEFIRQLTSPETLQQLLSFQQTLLSQLGQNQPRQDGSQGGNATGMRGNVSVDTLMSMLSGLGAGGGIGVPNTSNVPPEELYATQLTQLQEMGFIDTAENIQTLPITATYSHMCKRGFLAPPKFKDSVCESQEKINKKSTSTINFPIHGRHAFVFFTVVLTIWVDVHTYEIMGSYRIYGSTFAIVSLQS >OB10G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12918656:12920255:1 gene:OB10G24390 transcript:OB10G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAASVFVFFVVVVGGVSAPLVSGCDRCVRRSRAGFRDSSLALNAGSCGYGSPPAAFNGGPLAAASPTLFRGGVGCGACFQVRCKDGKLCSTAGAKVVVTDEARSTNRTDLVLSAAAYAAMARPGMAAQLTARRAVDVEYKRIPCEYAAGRNLSIRVEEKSRPPRELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTREYGPAWSTRQAPAGPLQLRMVVTGGYDGKWVWADTEVLPRRWMAGRVYDTGVQVTDVAQEGCYPCDTQEWK >OB10G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12931889:12932750:1 gene:OB10G24400 transcript:OB10G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRTLSTLTSPKSVAPSFLLDCARPKKLSYARVRTVSFEDYCNEEEEERKAAMGRVRGLEECVAAAESGCEEVYRALVNARVSLLNLLTPTF >OB10G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12942630:12947403:1 gene:OB10G24410 transcript:OB10G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQAIEWAMRLRVAYNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVVFSFGTVLIDLLSGKRIPPTHALDMIRSRSIQTIMETNLEGKYSIEEATTLVDLASQCLQYEPRDRPDIKKLVSILEPLQTKSEVPSYVMLGVPKPEEVPKAPPAPQHPLSPMGEACSRMDLTAIHQILVSQHYRDDEGSNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYSQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKSTKGP >OB10G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12949150:12951822:1 gene:OB10G24420 transcript:OB10G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVQAAAFAAALVLAVAASGAAAQGVGSIITQEVYDSMLPNRDNSLCPASGFYTYDAFIAAADSFPAFGTSGGSDELNKRELAAFFGQTSHETTGGTKGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQAAGDFLGLDLVGNPDLVSTDAVVSFKTALWFWTTAQGNKPSCHDVILGQWTPSPDDDAAGRVPGYGVITNIINGGIECGVGPNDANVDRIGYYQRYCDMLAVGYGDNLDCYNQRNFGS >OB10G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12955186:12958983:1 gene:OB10G24430 transcript:OB10G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSLRQWTLCLVKCMSKPEAAVPDCRADRIIMEDPTALTLNSAFQGVYPRLVPILQRDNVREFLCFCKNNMWAMAWDFIIPQALLHMIIHNSLRCAKVALEGQAPELEGFRAYPNCATQYGFFPLHEAAEIFSVDMTKLLLQYGASANIRTSGSRVIEGLLPINVAVENTCMHKYLDDNLFPNELCPNYCKADTNDIYKLIHLLCLPEMKIFLDTTRLLAENTNDLISEICNYIKHGKIVHTAVLLLVAQGHIRGSCSCKRNGNVKPDGFSYIIRYIAEHNNDIKLERVHNKKEYQKLKEKYISSTLWLVEAISVAGRSLSEYIQGYSKEPAPHEEVIERVSSILKFYGFCPTGEGISIESLKLSPYKLPLPIVNETKKRRGKASKVAAGERVLTQAVGKELPRSWDLVCKRRKFFPFWRSALSWGLGGMICPPHALDDKYLRDVKAICQMSRVSSPTPDHNISLSASMPQPTSNHRSQRLFGTAASTSNYQSQRLFGTAPSTSNYQSKRLFGAAALMLLKALNKV >OB10G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12960166:12961351:-1 gene:OB10G24440 transcript:OB10G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALQRVSTSVAIRAQTAAHGVLLVAPHIAAGVELRIAVSRRPWPLIGAELGPTRRRRRRRRRRRHAREVEREQQEKKGGLDLGGVVEEEGEGEPRVAFEVVGRNPVVVRSRGGGGREAGELRDGDGVALSLRAPSFWSVRRRRRGSKRGDVEAEVLDAVERRERHTRERKERERRAAEEAMEVIFLKFVKNTIFFIICQKYRDDKLG >OB10G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12965087:12966330:1 gene:OB10G24450 transcript:OB10G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTERFMASSRSIEIGDVIGRWFSEVSHISNVEEPFERRGPEMNCIRIIVFFQRSHQDNYQATVIITLTKL >OB10G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12965452:12966599:-1 gene:OB10G24460 transcript:OB10G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:J3N4J8] MAASKFQALWNHPAGPKTIHFWAPTFKWFLNIANVADFAKPPEEISYTQQVALSCNGLIWARYSMVITPKNWNLFSVSSALACTCVYQISRKIRLKKGHLYMFLRLFLYSFMVGMTD >OB10G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12974829:12975580:1 gene:OB10G24470 transcript:OB10G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVLEARPHGRLLTPPPPPPPPPPTVLARDLYFANGVAVSPDQGSLIFCETVMMRCSRYHINGDKAGTVDKFIDNLPGFPDNIRYDGDGRYWIAISAERTLQWDVLSWSPFVRKLVHMVDKYVVAVPHSLKNAGAMSVTLAGEPVSMYSDPGLAVTTGWLKVGDCLYYGSLTNPYLSKINIAESPAEKAEE >OB10G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12976956:12977989:1 gene:OB10G24480 transcript:OB10G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHGASPHREMSGRGTAAAFRESMADWLRQQEQASTSGRTRPLVATFTFPFACKRPRPVRLLPRNTEQRMSPPVEQMIGQHRPWDMVDNMALVIIDQTYTAALGIPGRREMRDGSVEVRSAVDADDPDSPVLTINASATRCCVAFGTPRGDSVPYRRFTSPKMKTRPDHLLARSVVSVSAGTLFLARTDGLGREEYXXXXXXXXXXAPAVPPGGPLAILDTIVLRLQMAIHLEETILVNARGLNGAGLDLDAIVQTRNALEEMRREMDLQAMMRRRLQKRRHVVGDAVAADHEADEADESSEKMLKKFTTMRCR >OB10G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12980399:12981717:-1 gene:OB10G24490 transcript:OB10G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPTSAEVARVLACLFEKDVEFQLIRVDSFRGAKRMPQYLKLQPHGEALTFEDGNVTLVESRKILRHIADKYKDQGNTELIGTGALERSSIEQWLQTEAQSFDVPSADLVYSLAFLPPPPAQAQLGGGKAKGAECDEQDGGGERQGRGGGGRNGEMNPAHRQKVEEMRQLFEKSRKELNKVLDIYEQRLDEAEYLAGDKFTLADLSHLPKADALASDPRSLSMIQSRRNVSRWWADISGRESWKYIKSLNRPPSAEAPF >OB10G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12985373:12985600:-1 gene:OB10G24500 transcript:OB10G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCMHEVMLRYWHGSIRSGIMGGSIVLRLQQPPDSCKCLCTDELMIRLSEPGQHHSNNFISFSGLWSHASRQQA >OB10G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12992105:12992266:1 gene:OB10G24510 transcript:OB10G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMMNCINRCHKVLLCVLTAPVKHARRMDDHHITCKLTTTNNLNDLGIWQN >OB10G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:12991619:12993133:-1 gene:OB10G24520 transcript:OB10G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVVPRISKMDRTSILGDTIGYMNELMDRIKALQDEAASSPEDLSTLNTLRPPPSSSSSGDETPIRNSTRFDVERKENGSMRIEMACAAIPELLPSTLAALEALGVEIEQCVVSCFDDFAMQASCLQDDEKREMRGDTEEIKQTLFKSAGYGAGCLI >OB10G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13002703:13005566:1 gene:OB10G24530 transcript:OB10G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMRLQIHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWNWDTGAVKLQFHSGHSDNVFQARFMPYTDDQTIVTCAADGEVRLAKVADGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATNLFLCRNSLSKSGLSSSIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSDFGCPSDCYCPPHLIDNWSVGITGLAFSHQSELLISYNDENIYLFSKNGGLGPDPKSSNKIEANKGSKSTMVASEGDVDQPAPPQMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKRDGKLLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPTATERAPVVNVEELKPRKRRTKLWDLTLPEQLIWQVLASRRRRESAGDDSSEDLEGSAGLLNLVLRAAERDVLSDEDEDEDEETSEDSGDSSLN >OB10G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13006570:13007539:1 gene:OB10G24540 transcript:OB10G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCFPFRSHRRQPLRHGGGGGGGGGGVTVVSRGDGGARVKIVVGEDELGSIVSGVTRRQLARRRQAPGAGSTDIVQQQASGVADQMGQHQRRRPESEGGATVVTRRGECHHPSSPDGTPEEASEFLVD >OB10G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13020037:13020603:-1 gene:OB10G24550 transcript:OB10G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGRNQLVVLLLMSEWKSEKQWCAYHVDEAPDAGVAGVPEVARRLGDLDGEDGAGDGADAGAAQHSALRRQPDHAPRHRPDQRPHCTHARTRSKRQEPINDDDQWRARARRRRPRGAEHPAREATRRGAETGGAGPPPPLHLPRHHGRRRRRRVHLANRTRKAQQPRRRTHTEHNSSYAAKLSRNGS >OB10G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13020136:13022215:1 gene:OB10G24560 transcript:OB10G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSTSPAVVAREVERWRWAGAAGFGAAARGFACRVLCAASACVCAVGSLVGAVTGCVIGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSSDSGVWCLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGVPADTLRRLPAVKITGDSAVDSAGEAVCCSVCLQDFRAGEMARRLPGCRHLFHVACIDCWLVRHGSCPLCRRDI >OB10G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13032460:13033031:-1 gene:OB10G24570 transcript:OB10G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGFHLAVILEQIVGLHHGHRELTGDELPGVLVPQQPVLPPGRIRSLAGAPGRRRRRAPARSQVFHHRREHPSSPTPPHRPLPRKGHFYLLLLSFLREICNCIPKNTHVKDQEQNPHDQREKDQQNHHTLLALGHEEGEEAKKKELAFSRIASSAPQIPVRSHNQKKEAFDLETYRSQARRRQQRLGFWW >OB10G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13032054:13037135:1 gene:OB10G24580 transcript:OB10G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVMEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDTGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTEPDLQGTFVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFCATEEGFFSVVSSQWSLRPQLAAVGSCCLVGVICNGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRRELQAAHPDDPQIVVLRHNIWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLHETFRRPLLSSEPAIVVHQLQATDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTASWSRPSVSLRGGGIILPANSLAPFSVPT >OB10G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13038117:13041581:-1 gene:OB10G24590 transcript:OB10G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASNASTSSSTKRTRSARRLPSLPKPRASGPTSPASPSAPQPAGSHPAPPSPTLAVSPSVAGGGGGAGKGGKKKAGARLWMRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILILDPLAMDVIPFVEHLTHHLPLKNLVCGNGQRGGDDHGEKHDDSPGDQMPCRLNEATGAEHELPFEFQVLELALETVCLSFDVNVSALERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALMSSAASNSIVPGGTSLSRLNSSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIKGHLYFIDDGSLFWPFVGGSSSGCFMITIMLLGYAWWKKLLGP >OB10G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13041958:13043595:1 gene:OB10G24600 transcript:OB10G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPVLVLLLHSSVAPLPARSLRAPGSVSPLLQRRRTTRWRPLRSLPPEGIPITGELMEEDSKFVPLNAEEPMYGPPALLLIGFEEGETDKVQEFLKELDGEFLKVIHCTEEMTNQTLWDAMHTEQPSVEAVKIAESIPRICIFSGLTGEEMMMFINAFPESGLEPAAFAALVPNSADKILGEVIEEIMGDHEMLERISNGENLL >OB10G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13048227:13051287:1 gene:OB10G24610 transcript:OB10G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRGMTAMEAAWFRYSAGMPDYLLFWHNILFLFVVFTGAPPPVALLELRAPAAVEPFKLQPRVRLSRAEFVRCYRDVMRIFFLVIGPLQLVSYPTVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGDWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWLWIVLRQMEAIETHSGFDFPFSLTKYIPFYGGAEYHDYHHYVGRQSQSNFASVFTYCDYLYGTDRGFRFHKAYQAKMKALGQSDGEKADMNGLSYAKLD >OB10G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13051517:13054143:-1 gene:OB10G24620 transcript:OB10G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT1G75560) TAIR;Acc:AT1G75560] MSSRSPPPKDRRIRTERTSYRDAPYRRDNRRGPSRFPNDLCNNCKRPGHFARDCPNVALCHACGLPGHIAAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARDCTAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNIAGHLARECPKSETINERGGPPPFRGGGPPPPFRGGYSDVICRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPPRRY >OB10G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13060155:13062562:1 gene:OB10G24630 transcript:OB10G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3N4L5] MALGLAALLIPLLLVMVPSEAQPSPGYYPSRVYRSMAFSEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSTRSYRNGYFGASIRVQPGYTAGVNTAFYLSNTERYPGQHDEVDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTAGLHHYAILWNPDQILFLVDDVPIRRYEKKVEGTFPEREMWAYASIWDASDWATDGGRYRADYRYQPFVSRFADLKIAGCAAATAPCSPVPVSGGGPGAAALSPQQEAAMAWAQRNAMVYYYCQDYSRDHTLYPEC >OB10G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13063510:13068446:-1 gene:OB10G24640 transcript:OB10G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQQGRRLGDGTDREEEVGRGVAVRLVLELSSSSSGEEEESQGSVEVTRGVGGDREARVSESRDGLEVNGGEAESQCLPGCPICMSAWTADGVHRVSCIPCGHVYGRSCLERWLLQCGKKTATCPQCGRKFQQKHIINLYVPEIYVPNNDLEKQVLSLREKNESLEKQNQELVEEIKEHKRQIMLQQHFINESSLKRQRMADQSPYGTTDGDSVASLTVDDDHYNPSKFILQNELFLDGARVMGIDASNQIIFASGRAPGNGAEHVLLKINMSRQGLQKIHLPPDTKAIRDICILPEGHAVFASLGKKLSLLRYIFEYVQVLFFIVAPGWSCTGDQNNSNHIYAGLQNGMLLTFDIRQTAKPLHSMIGLSAHPVHTVHSVADSSVSRKVLSASSIGPCIWDVDGSRNRPDLLCGMESLGVCISLACNPPSSELLVASFRPRVELSDDGTSQAGKSQSPTPSASGKLGCHALIWRTSDTSFARDQICKGNVSGLRMSRSAIIPCTRSDQHLFAYGDESLCGVRTWRLPSFQTHTDLRPHRQPILDLRFAESSTGDRYLGCLSEDRLQVFRVT >OB10G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13069122:13071503:1 gene:OB10G24650 transcript:OB10G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSMCRFLGAPFSRRRGERREEPGSGKAMARGVAYVSPAKLLAMARGNPRVAIIDVRGGGGGYQAHTAGSPHFPSRSFAARLPELARATTDKDTLVFHCALSKVRGPSCAKMFSDYLSENKEESGIKNIMVLERGFNGWEHSGQPVCRCTDAPCKGTCPPEPEPESEL >OB10G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13072125:13072857:1 gene:OB10G24660 transcript:OB10G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLSSRRLLSALLHAPGPTPRAAASASRVRLGGLVLEGSVAHPSASSSEIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDDLRRDTAGRRVSDKARECECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEAEAAAAAEGTATAAIALDGAKASS >OB10G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13076974:13077602:1 gene:OB10G24670 transcript:OB10G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT3G09925) TAIR;Acc:AT3G09925] MAWRRRSTSVTPMLALVALAAAAAATCAAAWGNYGESKFTVTGSVLCQDCTKNWNAYAYNAKPVPGSSVGITCLDKKTGRTVYHATDRTDGKGVFNLEVPYAVGGADLDPSDCLVRLASSGDQGCAVFTDFNGGKTGERPSRPSRLGPGIVAYAAGPFYFTLAQCDLKDDSCY >OB10G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13079023:13085666:1 gene:OB10G24680 transcript:OB10G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04480) TAIR;Acc:AT5G04480] MRFSTSSVALRAFHGESRLEAARSERRWWPGLAPVRLAIFVGTMNINAQSVMVATLAKSLMNLGYELEVIAFVDGQANDILENICHVNIVSPQSLKSIHWSKYNAVLLSSLEGKRVISILMQEPFQFLPVVWLIHEDALGQLLRNPELHQSIPNHIEDWRTHFNASTYVVFPDSYLPLLHSALDTGNFLVISGSPVDIWATKRYGSSHTRESIRNHYGSKYDDVVVLVVGSYLFFDELPWDFASVLHASAPHIMDMAKTKNLGVQFIFLCGNDTDAYNSAFQELASHMGFPVGSVKHFSMAHDIRNLLVFADIVLYGSLREEPVFPPLLLRSMASEIPIIVPNLTVITKYITDGIHGFLFNADDPSTMVSAFTRILGDKKISAAAYSVALEGKLLSRNMLAYDCITAHVMLLESVLHYPSYAKLPSPVSKVQERTWLWEPFETKATLENSSLEDDSHLLTRMIDNLVGESHENNQTIYSDSNDTSLYDYPSLSDWNDLSEVEIFEDIERREIEEASFFLPFLIDERVERPLLSWDEVYKNARKSERLRPEGNERDEGELERTGQPVCVYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTRLSVLDNPYYRDRLCEFGAMFSIANRIDTVHKLPWIGFQSWEAAGRKVSLSESAEETLEETMTSKNHEDVIYYWAPMDVDQTSNFWSMCDQLNAGRCRILFEDAFRTMYGLPEGVAALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDSLHSLNMNSTDPASCLLGASQSEKSHCYCRVLEVLVNVWAYHSGMMMAYLNPTTGEITEQHHLDERSEMWVKFFNFTHLRSMDEDLAEEADDGMHPGDDQWLWPLTGQVFWSGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >OB10G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13087164:13096069:1 gene:OB10G24690 transcript:OB10G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2451, C-terminal (InterPro:IPR019514), Vacuolar protein sorting-associated protein 54 (InterPro:IPR019515); Has 316 Blast hits to 252 proteins in 92 species: Archae - 0; Bacteria - 2; Metazo /.../0; Fungi - 2; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G27900) TAIR;Acc:AT2G27900] MDLSRVGEKLLSSVRSARSLGLLPPTPSPSASRPEVPARAAAAAAAARAIAGLPPHEKISLPSNSEDLVSIYGTNPQGQGVDELEEVFFEEEFDPIKYILQSIPEEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHISSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRHAQDMQMELESFVEKENYFQAFQLLPEYLQILENYSGLSAVQEMGRGIEAWLARTIQKLDTHLLGVCQTFNDESYLTVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHFVLKEMLEEEIGNNTQRNRFTYSDLCAQVPESKLRPCLLRTLQSLFSLMCSYYTIMSFCPGAKSIDSKDETSMTDKNSTSQSAGESLVDSGRGHAAEMSDRASSSDVSSPDTSTSGTDSPFYQLRTDATKLVAHAFERGRRNLWQLATSRLSVLLSSSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYVVTFHRQNIYALKMVLERESWTIMSAEASQIISLAGLTGDGAALISPTCRSSALPIHYRGHSTLADAGKQKDGFASWIKIDNPFFYKLENGTTESPKSNLMFNSSVGNSSAHGRTNNGNGNNAPLDEENEDLLADFIDEDSQLPSRLAKTKIVKGNSPHWKDGDILSQTGSSLSLLRMMDKYARLMQKLEIINVELFKGITQLFGIFYHCIYETFGNQDRGQSGRSLPDHQSFRLKAALSKITQDSDQWIKPQNISYSPSSPLSMNSTFAQMDVMPTAPPSSMFTSYGLKERCAAAETISLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMVDSVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQDLLLEYGIESIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSANVKPKLQIVDAFIKAYYLPETEYVHWARSHPEYSRSQVVGLVNLVATMKGWKRKARLETIERIEAGP >OB10G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13097320:13097737:-1 gene:OB10G24700 transcript:OB10G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASPEFYKPAPVFSPCSSPLRLLQQHGDDQVGAWGEEEEYSSSNSYSSCRTPTGGEGNRKAPGTGPPAPRKPRAPPAPCRKRLFEVEVFSLRLEELERPRSAGGSPPSYAASWPAGLSSPAARGGAAAAAHVCVDG >OB10G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13103410:13108443:1 gene:OB10G24710 transcript:OB10G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MATVASAPCVPFLSLPPPPFVLVPPRRGHVGLRFPPPRSSGNPRGGGGGDGGGAGGDDSTTTTPWVSPDWLTTLSRSVATRLGRGDDSGIPIASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGSRDFVIVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEELITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQDEVDRVLQGRLPRFEDVKELKYLMRCINESMRLYPHPPVLLRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADEFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAIVLQKIDIELVPDQKINMTTGATIHTTNGLYMNVGLRKVEREPDLALSESR >OB10G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13113524:13113712:1 gene:OB10G24720 transcript:OB10G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding XHRAGATAVLVDDDDGDDQDIVLGRVVAMIGAIRLQQREEEEEAGAAAARRAPASGGGGDGG >OB10G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13115311:13115469:1 gene:OB10G24730 transcript:OB10G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQQRCFVLGTMTRPSNKPQLPYLVRLLFMCKRSPKIHHPTHVHSGPIHP >OB10G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13116345:13125209:-1 gene:OB10G24740 transcript:OB10G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLDRRGSSDRPGERVEFRFSGFRAVQVPVVSDRLILSIVAVDTGKTIAKSTKAAALSGICQWPDSILEPIWFSQDEVSKEFHDCQCRFVVSMGSTENGILGEVLLNMTNYLSSLDSNAISLPLEKCNSGTTLQLNIQCLGTNSKTSTTDRINVTPRDNSNDELYIRRHASVSPVSIHISAGHSDEVTRSNNSSFSSRTPAQNMLQGINAQPFARDLSQLSSGASDVSKDILNNAEEAIDELRGEAKMWERKSRKLKRGLETLKKESADKSKKQSELALELAASNSERDALRQEIEELKCSLEQATEHQIISGSPRSGDVIELHKEVKDDIQFLKESNVNLSTQLKKTQDANIELVSILQELEETIEVQRVEISNISRASNLIDHEASKNDILIQENVEWARELSLKEDEIAMLREKLHRMLNVENPNNEGSDVIYLELEKENDFLKVKIQELEKDCSELTDENMELIYKLKEVSGIARGDDLCVPNNEEVANEGDLFDSSTSKVKYLETKCADLELKLNSCRSESSELEEKLQKSQDELKDRTLELSDLRDKLSGFHATEMEEGDTGSAKSYKSRTEKLDENNNETELDALRSTVVLKEQEIESLQHSKKEMENFLSEIENEKNKLEERLEAALKECSITSTCLNEVREELLVLTSSVDSHVSTNNVLETKISELEIYKVSLELHISKLEQENIEQLKECSITSACLNEVREELLVLTSSVDSHVSTNNVLETKISELEIYKGSLELHISKLEQENIELSDSICGIEAQLNYLTSEMELSMLQLDESRSLITDLNDNLIQQQAEVEAQKVELKKNQLESDRRLSEVQEDSETLRRSNAKLQSTVDRAVEECKSLQTLTADLKKQKLEVHGYAAHLEQELEQSKRKTMDFCKTLEFLDAKLSSLQKDISFKEQSLLSELENIFQEHKEHEERIKHAHFLLNKIEKEKIVELSNLEREVVSLTAQVSSTQEERENSTLDTIREVSILRADKAKLEANLEDVNAQMIHYKSQLEDLQESKAKIKGLVDSLNASKQNEEMLATDVDHMRRSIEDARSNENDLRKTLCELELKFKSSDYEKHQIIEEISGLKIQVCKISALQDEILTLQNSLDKAKFEKGKLEGLLQSLSEECEELKSQKGISTDKVACLQDTLNVANEEKQSEISKQTKPVMLDDDTPANETSHVLEAELKSELSIIKGANSEYQQKIHSLEKENEDLMRRSQLMEKELELKTNQNKHENINKQGNDANENGDSPVNDVPELQSKIQLLETRLAEALEESKLYRGQLKSPIPEGNSTNKDVKENDNDKISQLESELKDMQERLLNMSMQYAEVEAQREELVMELKTANARKGRWF >OB10G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13130808:13131447:-1 gene:OB10G24750 transcript:OB10G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRGIGDTGHATRVAVVRCIVVAIIATIVLAGLVALVFWLVVRPKPIEYIITRAVARAVARSTPVPDQAARELEHDLAAGELVSVEVRVVADVRFIVSGVISRYYRVRGTCSPVNIGLSPSAARSFESVPCDVEIW >OB10G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13137962:13140303:1 gene:OB10G24760 transcript:OB10G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLSKVVMGSVAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKNAGMFKHLGRLLAWRSQGGRDLMCRVCVVTALASALFTNDTCCGVLPEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFISFLLGILPAMLAGMGVNMLMLLCMYWKELEGASPDEVAAAKEMEAVEEGRRTHKKGAGAAAVAAAAEEEDDGDAQSMMSENISTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVSGVTVLSVIILLLSNLASNVPTVLLMGDEVAAAAATISAAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWSHVIFGLPSTLVVTAVGIPLIGKINI >OB10G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13145519:13147906:-1 gene:OB10G24770 transcript:OB10G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFSFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGAHVVMAVRNVAAGHRASEGSCAEIPSARVHVLELDLSSMDSVRRFASEFDSLNLPLNILINNAGILGDNCTLSIDGLELHFATNHIGHFLLTNLLLENMKSASRESGVEGRIINVSSSGHIMTYPEEICFEKLKDPSRLNRLIAYGQSKLANILHSYELARVLKGDGVNISANAIHPGVIVTNLFRHNTIINGCCNDMLCGITSSGLGDKWEVLCQLQCNDTKFKGFKCRVGQETMGI >OB10G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13149749:13150101:-1 gene:OB10G24780 transcript:OB10G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSARRMFAASEWLNQEMEKRDQVVAGRVLAVANLAFWGVNLFAFLLPFYLPKALNRYYCGSDSQEDDSAAIDVDDDANKKKDS >OB10G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13151368:13158285:-1 gene:OB10G24790 transcript:OB10G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76630) TAIR;Acc:AT1G76630] MPEKAAEANLRKQLEQTLADEPSSPLHHYNLGVFLWDRAEAEADAAATEEEARRLRAVAAEHFLAAAKLNPNDGVPFRFLGHHYARAGDAQRAAKCYQRAATLNPDDAEAGEALCDLLDLEGKESLEVALCKEAAGKSPRAFWAFQRLGYLQVHQKKWSEAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYARAIELDGSRVFALIESGNIQLMLGYFRKGVEQFCSALEMAPHNHSAYFGLASALLAWARQCVMTGAFGWAASLLKEASDAAKVCTSLTGNLSCVWKLHGDAQLALARCFPWDDGNIKRGMDDGTFRAAVLEWRNTCLSAANGAKFSYQRALHLTPWEANIHNDTAICLDLIYTIEENNSLDPIVWELPEKMALGGLILEPVNKDFWVTLGSVSSNQALKQHSFIRALHLDTSLSEAWAYLGKIYRQSGDKLLAREAFDRARSIDPSLALPWAGMSAENHHQPRDGLVNESFESCLRAVQILPLPEFQIGLGTIAAHSGELLLSPQVLMAVRQAVHRAPHYPESHNINGLVSEVRSDFQSAIASYRQARFALGMMHNSETDNRCHIADVSVNLARSLCKAGLATESVRECEELKRQGFLSTDGLQIYAFSLWKLGRHDEALSVSRNLAESLSSMNQESATAALGFICTLTYNISGKDSAAAVIHKLPGQLNYSTQLKFIISALDALHPNKRFQLPQLSIPPRLTSYEVMSEVHSNIALGKAIGGELDKVLRVDGGLSYLKRVLHMYPDCSLVRNHLGSLLLSSEDWMASHKTVRVTSLSHGYTSNRGLRSPHQIQACAAVSCYATCTSYPKFSFPTCEDQYLSGYNAICHLQRWVHLEPWNQDARRLLVLAIFQKAREEKYPKHICTILKRLILQVMSSGNKSQENKVVQYGNYLLLLLTSEVSLQSGDYGNCIAQATEALRVTSSSVDTFLAHLQLCRAYVMQGNCLNSRSEYMKCLQSRTDTEIGWVMLKQLASMCSLEGTSDEIEVHLRECIEKKGSNASRWLSLFYLACAQCSVWHEDFASAEKVIAQACAEGDTDSCVLFLNGAISMDIAWRFAAPQFIPRAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAEIYFQMHLLATQSSAAASQQNQLVETIQTPEKWLLRAIHLNPSCSRYWTALMQLVYV >OB10G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13160844:13161665:-1 gene:OB10G24800 transcript:OB10G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILDDYTEIRPVLWIFFLKKALLQVYSAKAYLLGAVFREARVDPTVAVLPASAGSRSRGAGPTAGTRRGGSSGAVLELDDEPADGLAHLLEADGADGLDAGGSQRRGRGIRGGGGGGGAGGLIHEALGADPLANRLDELLGVVAGDAEELPPLLERLERGVRGRRLLSPGLPARLHHGRRRRHELLVRLAQLLHRRLHLAAGFLPTRSAAGPIPTRFDLDWTRTTSGIPGLPTNPTGKWAASLVRYLGLALTRMRSSQRLVYPILYPKNRG >OB10G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13161066:13161566:1 gene:OB10G24810 transcript:OB10G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32; Has 41 Blast hits to 40 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 41; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11760) TAIR;Acc:AT1G11760] MEATVEELSEAYQEFVASAAAVVEARGQSGGEKTAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGASSSSSPSNPSSAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAAGAGGGSGAAAAASGAGGQHGHGGVDARFPEDGAQ >OB10G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13164572:13165522:1 gene:OB10G24820 transcript:OB10G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSTYDGQRLHKPGITTVVTSYMTYGVQPKIGDPTSTAFSDIWKMTQGHPLTYAKTLPMTSSDICKGSLPFQTLPKYLAMAKIWPARFVGVRWFGLLHNFLRV >OB10G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13166792:13168593:1 gene:OB10G24830 transcript:OB10G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSNFAVAVAVVAALVTGGSCGPPKVPPGPNISTSYNTLWLPARATWYGKATGSGPDDNGGACGIKEVNLPPYNGMISCGNIPIFKDGRGCGSCYEVKCEKPVACSKKPVTVFITDMNYEPISAYHFDLSGKAFGAMALPGKESDLRHAGIIDMQFRRVRCKYPPGQKVVFHVEKGSNPNYLAVLVKFVADDGDVVQMDLQEKSSPEWKAMKESWGAIWRVDTPKPLKGPFSLRVTTESGKKLVAKDVIPEKWKPDTLYKSNIQFY >OB10G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13169733:13174900:-1 gene:OB10G24840 transcript:OB10G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDSWETASGVSSSSSGLRATAAAAEEEEGEEEEDAAMANRVFVALPVQQKNGRSTIAWVLRHLAEVASAPAAAVVVVAHVHSPAQMIPMSMGGKFHASKLRPEQVSNYRKYEKEQVEKDLDEYLEQCTRMKVKCEKIVIENEDIASGIIELILLHGVTKLVMGAAADKQYSRKMKSPKSKTALAVMLKANTSCKIWFVCKEHLIYTRESVAPISRKTQSASAIRGSISNLSTWGATTTQSGNNAVNGSIQRSVSEKVVPAASRTSLQLHSRSTLQEAISRLNMEGTSVDSWDSIRRGSFPSSYRASFSTVTEEVLSDSSSSGIPRDDISTLAGSDFPNSAPHHEQGDADSDANLFDKLEEAFAEVEKYRKQAYDESLRRQRTEEDLISYHQKAKKSEDLFLNEAKQRKESEKTLAKANVEIRLLKEEMDVLKHNRDDIKSKLSEVSEQKVTLEQQAVEYGSTVNDLKDTVAASQALINSLQLELEQLKHERDNALKHVEELCAEGQSMVSSSSLSWSTEFSLLELHQATQNFNDAMKIGEGGFGCVYRGLLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACENNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANFVSKLSDFGISCLLNKSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEDALDKGVLKSLVDRSAGDWPFVQAEKLMLLGLQCAELSRRRRPDRMNHVWSVVEPLVKSASVPVEPQSSGHWLDMNHTPFYFICPISQEVMRNPQIAADGFTYEAEAIKGWLDSGHNTSPMTKSILEHHRLIPNLALRSAIEEFMQQQQRSPS >OB10G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13176582:13179660:-1 gene:OB10G24850 transcript:OB10G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGVDGERRLAEAEDRGGENDGPGGVAEEEEEAAGDVKLAADAAGAGAQGEGGGEAVEVVDGDAVETPVSVKQTPGAAAAAAGGGGGGGGGAEVLEGDVDEKRESGAYGSVSGNGEDSVAGAASRSLAVEGDEEGYGSCADVGIEEDIGGDSNRERLEEEAMAESIREYVTEAVLADHAAGRELSAQSRSESGHVRESVLVDQHKSQAEKSREEVAAHSSRPVVSKQSEGEPAVVVEELGESSSSDDERKATSAPPTRSKSGAAGRSNGPSLPSRPAGLGSSSSLSQPSARPVQQARANGPAAVDRETQQDIESSGDGDENDEIREKLQMIRVKFLRLANRFGQSPHNMVVSQVLYRLGLAEQLRRNTGQGVFSFDQAQDMAERLEAAGGPLDFSCTILVLGKTGVGKSATINSIFDDARLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHYNQKVLNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGPNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANVLLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEEDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMAAEASARTDNSSNNSLDDDGNAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGVNAERLFAVKGKVPLSVSGQLTKDKKDCSLQMEVASTLKHGESRTTSLGLDLQSVGKDMAYTVRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLIVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYHNIKKLLQSYSV >OB10G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13186453:13189663:-1 gene:OB10G24860 transcript:OB10G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acid-amino acid ligases;ligases;ATP binding;ATP binding;ligases [Source:Projected from Arabidopsis thaliana (AT1G63680) TAIR;Acc:AT1G63680] MATAPLAFHLPFAFPSTSRPPPRALARPPTRRLPPAGRLAATRRFRPPTADDEPPEAAEDSSHGLNRYDQLTRHVERARRRQQAEQPEITPDHPLFSSPSSAGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSTPSPSQPEEDGLADELSPEEVIDLDEIRRLQGLSVVSLADEQDTVAEGVEVEYGDEGLPLDDDREVFDVADELGLEGARVRYPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEDGLAGLTEADKRGAVAVVADQTVDIEGTLACRALVIVDDITAALRVLPACLYRRPSKDMAVIGVTGTDGVTTTAQLVKAMYETMGVRTGMVGVLGAYAFGNNKLDAQPDASGDPIAVQRLMATMLYNGAEAAVLETATDGMPPSGVDSEIDYDIAVLTNVRHADGEAGITYEDYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVYTLKYQLTLFETEVLVQTPHGILEISSGLLGRDSIYNILASVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDHARTPESLSRLLDGVRELGPRRIVTVIGCCGEKERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGANDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYQIEGDKKEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >OB10G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13191593:13196551:1 gene:OB10G24870 transcript:OB10G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:J3N4N9] MKYGALLAAAPLTARPTRLPHSPPPPPSIQIQNRLCSIPSLPLKARGMIRCEASLASDYTKPSEVADLDWENLGFGIVQTDSMYIAKCGHDGNFSEGEMVPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRTGAERMCMPAPSVEHFMDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGNVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFIVKGDVISTPAVKGTILPGITRKSIIDVALSKGFKVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >OB10G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13200913:13201361:1 gene:OB10G24880 transcript:OB10G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLEFVELKERSVFFECGGPWNEFIHFNFLVKCSDGTTNLFFVEAHPNCKREGGIFLCCPLEENDTGNCFGCQKCDVDDLRHPAALNYRGGHRDICAVNADLDDDYECFM >OB10G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13207206:13212463:1 gene:OB10G24890 transcript:OB10G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEESNVGCVWGLMRMLYFRRDAKFLLDSKQVSRRHTFREITDGSHSIRKSSDFEETDEDDSKEECTSQKRTVKKLMEDELGKVNILKKIPDNEIQRELPDLRYDVSLDGCSDHINKPVAALNQHTEIFASYLSGSVYSQGSKSLNHSEEYDLESVLANFLGEIYSCHGECPHADCKNKSELCPSLKSLIHNKLNDLNNPHPNHGTEQSQEIKGEGILGENSLSNNRAAQFKEFKDALEILSSNNELFLKLLQKPNSHILDNVQKHQNSRLTTKLEPDKSLGRSSIIEEKRSSNHELATKALVKETKHVFFWRKDKSDRKQKPEKTSRPQPVSKIVILKPNQGRWIDETETTSPRYLHQHPCTSQAPEFSGRESSKFSIKEVRRRFKIVIGESKREKNAISAHSLPGDPHSLKDFTIAVKDPRHLTEGSLPDKAASNFKNGTNPSTSSKQKQQNASQSEITDHIVASTGASIFYEEAKRHLADMLKVNSQSANYPTTQVSKSLEGMLSLPHYNMSSPRSDHRGKWHSTLLPEEAEVCLATTVDVEEPAQERSQSHDSESNAHCTSAEVDQVAVLEEYCIKEDTLEGTIYTPDEVGTMPVEGVDKLDFSKTVCNMSIPAEQYTDSPLPEILEGKEPVQMFMSSPESMTEKLEQQDPKTPEPRSPKLPDGCPEQINETKEKPSPVSVLDSFDEDDSSPECKTVKEYKLHEDFHGTLYFPDNESGAKVFWEDKNARLDYIMLVLDLSELCAEQNLEVWYLEDELISPCMFEELQNQGNRIDDMKLLFDCICEALTEIQERYFRLSSWLCFSKHDVRTPPVGDNLISEVDKYVDCYLKCRLPNTLEQIIKRDLEVQAWMDIRSKTEEIAVEIWEFVLDKLIDEAVFDLWI >OB10G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13215971:13229082:1 gene:OB10G24900 transcript:OB10G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRPEPSSFHGANYPLNSRRQPQVAPYKLKCDKEPLNAKLGAPDFYPQTSNCPEETLTKEYVQSGYKDTVEGIEEAREIVLSHIPYFCKQNIAMKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALSQPNRRLLSLAEHIPRGFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQLPSDDISSVGPDNLRAHHWTKDVVEYSQQLLDELCSKNGFSAPPSSQEQSLPYLIAGDNLTKLKTGVSPAGADFQEPSLYFKWSYMVRIIQWHLMEQLLVPSLLIEWVFNQLQERDSAKVLEFLLPIVLALVDTITLSQTHIHILVEILIQRISDASPGSLSVKNNPKRSFITPALVDLLQHLILAVPDAFVSLDCFPLPSIVAPDVYSKGALLKITGGGRIVSSRRQNASPHFSCGYAICSVQRRASDLSSVANPNLQVRGSANIVQALDKALVTGNLRAAYTSVFNCLSDTLMEETWIKEVSPCLLSSLMWMGAVELSLVCSVFFICEWATCNFRDCRTSQCQNVKFSGSKDFSQVYMAISLLKNKMDEISNLSSSKSSSQLAMNNHLKSSTQNHSSMKVTAMQNASGFRDNTNSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASEFKSVDVFMMELIRNGIFYPQTYVRQLIVSGITNWNDTLFGLERKTRHYKILKHLPGFCLFDILEDARIAEDQVLYEIVSAYSSERRLVLSELSSGLDVNVERRVPLSSCLHKQTDLQMDSSDDNHGRVIDQVEEAKLMISGLLNLGYSTLLIESGREEVKRNQKGQTGLIDSEDDSSYAKTGCKDSTRTKRQKLDKNMFPFQGFPLVQSDEEEFWWVKKEHKNDLFTVETIQQSVKQTNGVKATVVQNTQNLAQLAAARIDASQGASTSHMCDNKLSCPHHKPGTDSDILKDVDQMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKELIEGDETKLSKPNNSISAFTVQHSGKIASRWRFGEDDLLSVLYIMDTCCDFLSSVRLLIWLLSKVHPERSTSGQAGRGVMQPKHKENQLFHLPEAFLFSSLLRYENILLATGLLPEVLSVSMDVHKSGTRQPTSVTFAYARYFFKKYRDAPTVARCENNFRSISDKRLLAELDSGRSITGDSIISGISASEELDMHVHQKLNINAGVLPSMKEIVQRQTEEVLCNLKEKNTTAQKSPSYSKKEDSYQTAHGVVLGLADCIRQNGGANPDGDHSLVVSAVSAIVGNAGNAIAKHLDILGSNYPGVTSSNSSNLIRHTLDVHINSLSLLKETLGERFSRIFEISLAVEASSAVAASFAPPKAHHSQQSSETHDACGNHANDVPGNPTKGFNVKTEKVAAAVSALVVGAIIHGVVSLERMVVVLRLKEGLDILHFLRISKGSSNGVTHSIGNFKTDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGMVLPPAYSIFAMVLWQPYLYETNTLNHEDIQLYQSLLGVVSDITRHQPFRDVCFRNMHLFYDLLAADVGDLEFAAIIELRSPDECLKALSPLRARLFLNALLDCEIPVTMRDDGTDALEPGCEEVSTKNDVKFPERLLEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKTMPYVKALRSLSPNAENFTLSEREKGLTEIILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVRYANANKSSKRKLEVASVEEREVNGLIDSRKSSTSNSQNVVRNPEARGSTQKYLTQEALAELVLPCIDRSSTEFRFVFASDLIKHMGVISEHIKAAVWNGIKLTSSNHSGNEGFSKPNGRKGIYSSSPNIGKHSPVPNDSTIPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSILSLVGTRVVYEDADSLEPYLDVLFDCPSESLFDRLLCVLHALLGSSRPSWLKTKPGSKPAVKFSRDLSEIDKEVVKSLQCALDCMELPATIRRRIQVAMPILPSSRLSSITCSPPLLSSAALLPFHCSTSTAEPHQQFPLSWIPTNLSSRCKVELPSQDPNMEIDPWTLLEDGTNCPNANSGSNSTNGVTGDHTNLKACSWLKGSVRVRRTDLTYIGSLDEDS >OB10G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13229594:13232850:-1 gene:OB10G24910 transcript:OB10G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMESSSSVSVSDEGSEANGGGCCSSSTTPSTDAATNLSRTFSDVSSFSEEQSSSVDHSGPFEPSAVSKLMTGRRSPASPPPRXXLGRLSMKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGNCHKLEPLPAGKKTMWRREMDCLLSVCDYIVEFYPSTQTLPDGTKVEVMSTRPRSDIYINLPALEKLDAMLIEILDSFQKAEFWYADAGTRSFGSVTSSSTSSSFRRSTHRNEEKWWLPVPCVPDAGISGKARKDLQQKRECAGQIHKAAVAINTGVLGDMEVPDSFMAVLPKSGKASVGDSVYRAMLGAEKFSPDYLLDCLDMSSEHEALAMADRVEAAMYVWRRKASASHGRSRWSAVKELVGDEENGDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTARKQ >OB10G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13237888:13242012:1 gene:OB10G24920 transcript:OB10G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MSWRQWLAMAFVVVASAVTAQAEQERLVVAMTILPDAASAGAVCLDGSPPAYHLSRGSGGGAGSWVLQFEGGGWCNDVPSCAERAGTRRGSTRFMNKLEGFSGVLSNDPAMNPDFYSWNRVKLRYCDGGSFAGDSEFRNGSSVLYFRGQRIWDAIISDLLPKGLAKAEKVLLSGCSAGGLATFFHCDDLKGHLGGAATVKCLSDAGFFLDVDDITGNNTVRPFFRSLVDLQGAQKNLNKDCLSSTQYSYQCFFPQYILPNIRTPYFILNSAYDVYQDLIVQLISNNTCFYPYLNSSIIILCLPHVILEANGVTSSESISAGLRSAMLAALKPFEDEQKIGMFINSCFAHCQSELQDTWFAPNSPRLHSKTIAELVGDWYFERGPDKQIDCAYPCDSTCHNLIPSNQFRKNE >OB10G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13243222:13244871:-1 gene:OB10G24930 transcript:OB10G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAATRAAGRGRYGGRGRAPRGGGGGLGEETGSEGPSQASQTKPKPRLACMRRAATMAGGGGGWARRRGASDRAKPARPSPTPVSLACAAPRRWRRGAAAGTLGRAPAPSPSPSAPPPSPAPAPVAAATGRAAGRGRYGGGGGGLGEETGSERSSQASQTKPKPRLACMRRAATVAAGRSRGTPSRRALLFTALAPFVTFADYLSLRLVDRAWRVHCRRIGHAPPPFPWLLLPERERETAVGPRRRATVRRVFYDVPGGRSYAYEAPSMDIHRCVATGHGWLVMVAEEPPRRIMLFNPITGEQRIVAWPFVKWNVRFHAVLTSSPAHAGCFLVVVWDRLLAFCRPDDFQGWQTLRSPGFRYHAARSDVVSVGSMVYVVDERRRLWRVDLDDVNPKVLRRDTGFTLPSQELRRHYLVESLGHVLLVLSDERYSNVALYKLNWEARAWLPVAACPGERVLLLGRGCSAAVPSSSAAGHAPGAVLFAHQPSTMPDVDGVIGDRQAWFWSESRVGVVPLVLKKTVPHRQGDFSTTGDSFWFFPAVDPDENAR >OB10G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13250710:13256989:1 gene:OB10G24940 transcript:OB10G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRGASELEVDDRAEQAQAEQPVATAEWSPHRPKWSHPFPLPQRSGGSSRSTKQHSEFQIVQLASNHNYFFFSCLELKISQRRNGPSLPSLAKEFFSSFLEVVGWGFGMGSFVQVFSMGNRSRQRVPKEAMQSINEEADSPSREAQPSRYDLLKCPDGKLGELRLERIPNFHCKSLPSSRRGANLDAIMHKRGSLYQSSSDVSRLRKLQEGRKKLDSAFSRDSFMSFEIVDSSSQPSTSGAYLSRQPSRSGKAISSMEATSNVQQATREFLSLSSRKLPDENSRLGRPRRDCNLLKDCAGDGFLEISLEEDTSESVHRRQLDGSCTKDTRSNWQHSVHVYPDGNKHDEGDVVSKLAKSLSTKVGVFDSTCPPENIHGANGTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKYSSLPVEGKSRVSRRSLLSGNSRAEQSLTPNCQHSREAQHLAVASSPTHLHAVLKLDPSNDAFGFEFCTKDPEESIYANTWKSGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVEQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSRPKFCSTVNNSTSRGSLERNLMERQNTTRNNSDASTSSLWSREDLHPHLEVAAVVIKVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDETSPSPLLERLKSGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKADGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIDKFKHKLYSSSLKMLLKEEVKQLIESVTTKEKKKKTKRRKERTPPSIVLDPPFSPMGRVYCIGWWLGASAADFSPDLSATMLSHFSPAMTGDQRLDLEHAIRVSDEVGSAKYASTSTGSTALVYWFWFGDPTRIREGQAGSDGYQLTKTQVGPS >OB10G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13263073:13265749:1 gene:OB10G24950 transcript:OB10G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3N4P7] MAIASRIQKRVLASFAAAAAAKLPEAAVAAAAGAEAAVEEVASVQAAQGGQVLEFGDTGRLFAGERSASLVRTLAVLQALSVGPLVDVATAALRSPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMGGILDYGIEDAEDGPACDRNAAGFLAAIDVAAALPPGSASVCIKITALCPIALLEKASDLLRWQQKHPSLQLPWKAHGFPVLCDSSPLYLTASEPPALTAEEERELELAHGRLLAIGERCAEYDIPLLVDAEYATVQPAIDYFTFAGALAFNGGGRPIIHGTIQAYLRDARDRLEAMARAADGERVCLALKVVRGAYLAREARLAASLGVPSPIHASIQDTHDCYNGCAAFLLDRVRRGAAAVTLATHNVESGQLAAARAQELGIGRGDRGLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEHIIPYLIRRAEENRGLLSSSSFDRQLLRKELARRFKAAMLGRE >OB10G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13266416:13266619:-1 gene:OB10G24960 transcript:OB10G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVNIRKISCRSKIAGAPCLGEHVCKHAASDARTGLHEARTHRLRRSSISGTNRPPQLPLAVVDAVW >OB10G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13269665:13270033:1 gene:OB10G24970 transcript:OB10G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFVAVNFDRFGLDFGFCFSVRWMDGWVDWRRRCRRCCSSWRARRGIGVGAVSPSSPSTGSSPRPVGGVRADLRIRGGAPQATSRPAAGWLHLDGEASPRADGAGGDGVCVAPAIPGKKVG >OB10G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13276023:13276265:1 gene:OB10G24980 transcript:OB10G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAWPGQMVLMDVHCRPVCIAVPCVTGTRTSPGVAVAGPPVSGTRWGCLCYSGRLVAGYDVNLTYCCHCCCLHTVALQ >OB10G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13274797:13276552:-1 gene:OB10G24990 transcript:OB10G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWMSYQFEPHRLSFPILQCNCDYGCCSSTAAGLVKRHIKNSSSIGWVHHFNLGRSFRMAMTPNAQTNRIYVEMGSLESHSVQAAAMAAIGQKNGTTVSNFKERKNEQNDACIFVFFLQLISRALLTLLGVTSGL >OB10G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13281685:13283643:-1 gene:OB10G25000 transcript:OB10G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWGLAPGKGGMPPAPCCLMRRRRRGWRRTIPSFAGSLAARTRPREEVANAGGKEEWVHQLTPLDMGLGAGEGWDAAGAVLSDAAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHVGGRDATAPLCLLLQGGFAPSAIPHRRRPRVVGVDAVRRGGQARRVQGLLGGLPGAPVRAPHLRPGGARRADRRGVHPRARLRHRHGRAVGVADARARPAPPGHGAQGDRIGVAVVAPPARAAAHPREPLQLRRGARRLLPVHRLQHRHPRPGRAPRHRQRRRRHRRPPPRPPPPPVLRLVKRLGAKVVVSVDRGCDRSDLSFAAHLFQSLQSAFILLESIDAFGTDPDTASKIERYLLQPAIEQSVLGRHRAAMDKVAPWRSVFTSAGFVPVQATTFAESQAESLLNKVHVRGFRVEKRAGSLCLYWQRGELVSVSAWRC >OB10G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13292984:13295544:1 gene:OB10G25010 transcript:OB10G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVGRHIVPDKPLPTNDELLWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAAALNDKASKIPYTPKVYPYDNLREELGDRP >OB10G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13296162:13296737:-1 gene:OB10G25020 transcript:OB10G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHKMMNSTRITLGAAILVALSLLLLAATPSEACGCNACPCKGSPAVSGGGGKCPVDALKLGVCANVLGGLLNLDQLLGSSSGSGERCCGLLGGLADLDAAVCLCTALRANVLGGLLNLDQLLGSSAGSGERCCGLLGGLADLDAAVCLCTALRANVLGLVGVELPVQLSVLVNRCGKKLPSGFQCSSN >OB10G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13299603:13300034:-1 gene:OB10G25030 transcript:OB10G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAPFLALSSVLLLAVAAHGCAPHCSGGGGAPAVVVVPPPVVVQVPVLQPSYHGHGHCPIDALKLRVCASVLNGALGVNAGHGAYDCCPLLSGIADVDAAVCLCTAIKANVLGVNLDVPVDLRLILNKCGKTCPSDFTC >OB10G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13303850:13304179:-1 gene:OB10G25040 transcript:OB10G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAPVLLLFFAVAAHGSATAAAARSGVAGAGKCCALLDGLADTDAAVCLCTAVKADVLGINLRLPLDLRVVFDECQVNYPAGFTCT >OB10G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13305628:13305891:1 gene:OB10G25050 transcript:OB10G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNKFRHMYIIYSPIYGFRGGASHDCQSQMNPNDIFHWLHLSSGDSGDARPLFAFRSKTCSRRRMELRPACHAIHCFCQLVRAASS >OB10G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13306306:13306677:-1 gene:OB10G25060 transcript:OB10G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVAPLLALSILLLAVAVHGCEPPCSGGGRPVIPTPPVVPTPSYHRHGRWPVGVGLVGVKIGAGPDDCCPLLDGLVDLDAAVCLCTAIKANVLGLNLNVPVDLSLILNHCGKICPSDFTC >OB10G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13309297:13310289:-1 gene:OB10G25070 transcript:OB10G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVAPLLALSILLLAVAVHGCEPHCSGGGRPVIPTPPVVPTPSYHRHGRCPIDALKLRVCANVLGLVGVKIGAGPDDCCPLLDGLVDLDAAVCLCTAIKANVLGLNLNLRVCANVLGLVGVKIGAGPDDCCPLLDGLVDLDAAVCLCTAIKANVLGLNLNVPVDLSLILNHCGKICPSDFTC >OB10G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13313975:13314367:-1 gene:OB10G25080 transcript:OB10G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVAPLLALSILLLAVAVHGCEPHCSGGGRPVIPTPPVVPTPSYHRHGRWPVGGVRQRAGVGRREDRGRPRRLLPAARRARRPRRRRLPLHRHQGQRAGPQPQRPRRPQPHPQPLRQDLPIRLHLLR >OB10G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13317789:13320021:1 gene:OB10G25090 transcript:OB10G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPEEKVFVALPAEPKAGRSTLSWALGHFRDTGGGTVVVVVTHVHVPPEMIPVMGVKFHASKLNPEQVSLFRMAEREKVNELLDHYVNQCVRMKMKCEKLVIENENVVAGLLELIALHGITKLVISAAPDRNYSRKMDKPTSRTATEIMQWASPSCKIWFVCKERLICTSSKDVETAPVHTPSIPDTGHDVLQLSLHQEQDDNNELELGFYDEIKEACKAAENLMSRALAESSRRQKADEELVSSLQKAKEYEELYLEEVKKRKELEGALARASREVALLKQARDLVKNHQNTIMEEPKEETAENLILQQRMVDMKAGDLGSSGQAILQEYLDHDISVVRELEALVRQRKLASSSSPSSVIQSPFDEECCIPSYFICPILQEAMREPCIAADGFTYETDAIRGWLDGGQSVSPVTGQQLAHHELIPNLSLRSVIHDHARRQRFSFS >OB10G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13325154:13325516:-1 gene:OB10G25100 transcript:OB10G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLAVAVASAXXXXXXXXXXXXXXXXXPRDALKLHVCANVLGLVKAKIGAAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNIPVDLSLILNNCGKICPSDYQCA >OB10G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13328860:13329255:-1 gene:OB10G25110 transcript:OB10G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVALVLAVSLLVAAMASACSYCPPEPKPKPPAPKPKPSYGGSSCPRDALKLHVCANVLGLVKAKIGAAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNVPVDLSLILNNCGKICPSDYQCVH >OB10G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13331381:13338914:-1 gene:OB10G25120 transcript:OB10G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKQYDPEHLCSSFSATVYFIFVVEIVFFIAECRIFPLNGLDALRVLLMDLKTINSPVLADPLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPTRKRQSKDFVNDVQADDLEQYSHWVVNHPSALTSFENIANLARGKRLALFLDYDGTLSPIVDNPENAVMSDEMRSAVKHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKSEYSGQHVECIRSTDSEGKEVNLFQPASEFLPMISEVYKKLGESIKDIDGARMEDNKFCVSVHYRNVAPQDYGEVHQRVTAILKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVLPIYVGDDKTDEDAFRVLKANNLGFGILVSSVPKDTDAFYSLQDPAEVLVEGKASARAAVLNRPGHLNALTTTMGGKLNKFYASWEDNPDIGFVMMKGSGRAFCAGGDVVKMHQLIGEGKLDECKDFFKTLYSFIYVLGTYLKPHVAILDGVTMGGGAGVSIPGTFRVATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGDKLKGVDMIALGLATHYSMSGHLHLVDERLATLLTDDPSVIDTSLAHYGDLVYPDKDSIVHRLEVIDKCFSLETVEEIVDAMESEAARLNEDWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSMNGISEKFSHEFREGVRARLVDKDLAPKWDPPALEYVSADMVDSYFAPLGEFEPELTLPTESREAFV >OB10G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13350052:13350276:1 gene:OB10G25130 transcript:OB10G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGTPVVLDRDTVRELVLIGWSNNSNPMIPTYIFLNCIILPRHFKFLYMAIYKITRAISIYYLFISIYIYIY >OB10G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13355531:13355767:1 gene:OB10G25140 transcript:OB10G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVVGIIRKATIFLNSGKSRNDFDGISSLDFSTCAKQLTLIQSSFTLGWMYIGSVGYPFSFLWCSSCWFVMVQCKLLL >OB10G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13356227:13357808:-1 gene:OB10G25150 transcript:OB10G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3N4R7] MHSHNYRVPEPFHDQVVIIIGASASAVDISRDIAGVAKEVHVADRSAPAFTCKKQPGYDNMWLHSMIDRAEEDGSVVFQDGSSIKADVIMHCTGYLYDFPFLGDDSAITVDDNCVGPLYKHVFPPEVAPHMSFIGLPWKVIPFPLFELQSKWVAGVLSGRIKLPSRDEMMEDAKSLHSKLKVYGWPKRYAHNFADYQFEYDDWLAEQCGLPPIEQWRKMMYAANSKNKAARPESYRDEWDDDHLVAEAKEYFKKYL >OB10G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13361472:13361657:-1 gene:OB10G25160 transcript:OB10G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGPPRTPLPARARRPAAARRLERGQEGQEAVPAVTAGLPAGAARAEGLRHAVQIGGRAE >OB10G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13361598:13365746:1 gene:OB10G25170 transcript:OB10G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGTGSPDASSNSNKRKRGSCFVEQNMDDRNSIRIKPDVSDTRDKCGHRYVIDLEKPATSDDDVEFVSYAGFGNRSQGCYVSAENCSTAESSQLCMERNASRVSPGSVGSSDTPDCQSPIKTDNTESRHLLIDLNVPQEESLHVFYAPSQIKCPTLVNSSLSHPGDFWNGSSKVYKKECGSGVGSSKESSIMVVAPSSAPDSSREVIAAYPFHDPKNLQRSGDNLHTRENDQYEHPVDKLCGSSSQCFLPQQRFSVSPSGRNDSSLGLQKSGDNLVARQSGQPPLAVPTRFQHNTSTIISSGEEKILFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSMRNGVHAETFTEEQPVGDRLISVSKDGNSTFLQVSKNNEIDKTQSSDLLSVNSKHLIAEIPHVDNIVWPQLRASHDGASSPQETLTGNCDEVVCIAAETLVSIFSSSASTTYCPGSNSQTAAEDGNDEPQHSLDSYEEIVLNVEEIRDDGESVPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLARHEICDDLHAIGFEPRKTRSRKTFGVQGSSSTRGRPPKHRTTARK >OB10G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13367371:13367583:-1 gene:OB10G25180 transcript:OB10G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAHRPWPTFITRPRLDGQYKGHKGFILLLLLQVAQAIMVRSWVLVQTATNHFFPVHTAYMKNFRRGVYHL >OB10G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13372095:13372910:-1 gene:OB10G25190 transcript:OB10G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCRVIAGRVRPAHDHSRHHASDYDSVDMGNGELVVLDSRAVLPCFLIIYKAHQTTDRAERFVAVGLKGSCHILEKKRFGR >OB10G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13381732:13387285:1 gene:OB10G25200 transcript:OB10G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREMPPLCCLAADCVPGGEADGGGCSPPPAAASEEEAAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRXXXXXXXXXXXXXXGAGGGVRLIGVPRRGGVGDQPIGFVPLKISSFSESKSDDKRFYIITPTKTLQLRTGSAKDRVAWIEALVSARSELSLNGVVPCDQNEGSFSTEKLRNRLHAEGVGEEAIKDCEQIIHSEFSQYHTQMKQRCEEYLNFIGSLPRELEVVNSGDASTIEKPQSELFKHDCSSSGKCSEYSNTESSDDAGKQEVGQFSDEDEFHFYDTRQSFSDIAASPDLKVRCSNNGRGAHKFGELLAADKTNELLSSIKRRSELPVPLEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSDLLDRAAEYGSKGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGVRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGFVTDANGTKVASLMGKWDESMSCIINDDASKVNPRNVNQSTGATLLWEKNQPAANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFQRDTEDGTFRYVGGYWEAREQRKWVGCNDIFGNVTTLCTSTSI >OB10G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13388721:13393758:-1 gene:OB10G25210 transcript:OB10G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPETAAEGKALTDAWDYKGRPAGRAATGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAVFAAVQATGVMILTISTAAPGLRPPACSDPKGESADCVVANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDDGERKKMMRFFNWFYFFVSIGALLATTVLVYVQDNVGRRWGYGICAVGILVGLAVFLSGTRKYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDMLYDVDDAAAAGQDIKGKQRLPHSKECRFLDHAAIIDGAAPAASGKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVAQASIMDRRVVGSFLIPAGSLTVFLIGSILLTVPFYDRLVLPVARRLTGNPHGLTPLQRVFVGLFLSIAGMAAAALIERHRLASSSHGAVLTVFLLMPQFVLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVHKITGHGPRGGWLADNLDHGRLDYFYWLLAVLSAINLCFFTLAAKGYVYKEKRLADAGIELADEETIAVGH >OB10G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13408002:13409020:-1 gene:OB10G25220 transcript:OB10G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3N4S4] MYQNVWLHSEVDCIQDDGQVRFSEGSAVAADTILYCTGYRYHFPFLDAVDGVTVDDNRVGPLYKHVFPPKHAPGLSFVGLPAKTIIFQSFELESRWVARALSGRAELPGEAAMAAAVQEDYRRMEAAGKPKRHTHALMPGWVEYMDWVAAQVGEPPMEARRRELYEKALRCIWSMDDSYRDKWEEEEEIGAPADSEEVG >OB10G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13412955:13413461:1 gene:OB10G25230 transcript:OB10G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTSVSCALFLALNLLLFAVTSACPYCGGGGGGSHGHSGGSSGGGGYGGGSGGGGSGGGGYGGGSGGGGGYGGVPSTSGWYGKCPADALKLGVCANVLDLIKAKVGVPATEPCCPLLNGLVDLEAAGGLWTAIKANVLGINLNLPVHLSLILNFCGKGIPTGFMCS >OB10G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13414224:13417425:-1 gene:OB10G25240 transcript:OB10G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGGAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAGSPTTTASRPGAGAFVYDGMRPAPLFSSTNFARSLRKAASFAGDSKKHSGGDEGAKAPPRRALSSKENNVYGNGAVDAAAALPSPGRRSLQEPGAAASRGSPWEPARRPRRSPAGSSSSPPEEVGSTRGSAVLRDMMTRKKEEPEKEEAAHRARMLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLPLLASWDPLAKPHSDAVADLAGVLAAACTAVPLADGAQGGVESLHDAMFACVGTVNEIEANADTFFTTAGVTSSALEELATTIKQEVEGLQEAMRLARIVTSLQVQEVSLRANLIQAKQKLDMGSNGASAPGITTSGWCF >OB10G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13430502:13433925:1 gene:OB10G25250 transcript:OB10G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMGTPRDYEFYVAVRVMMRSLARIGADADRVLIASADVPADWVRAMREEDGMRVVTVKNLKNPYESNLGGISRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQKTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFNGMLHDLEIGRDNSDGADQGFLVGCYPDLLDKPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYSAEMPVILMEILMYAIIITITRLAKPGITKQCYNRRPEKQNAMVQGLIKMSAIMAMLIAYTIPFFIIPRTVHPFMGWSMYLFGVFALGVLVSNAFLLPLIAVLTTWIAIIGMFFVMAFPWYHGGIVRVLAIFGYAFFCSPFLWASVVKVMDSLQNMLEREPFFTRLGEPVQETEFSKLF >OB10G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13431327:13435325:-1 gene:OB10G25260 transcript:OB10G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12244) TAIR;Acc:AT1G12244] MAAAKPTEPAPLRGSGAAVAASQRAFLLPPQKPRRIRAGAIRASPRSSSSGSPDELPAALLPNARRLRRRDGCGFSLGIDLGEARTGLAVGRGVTLPRPLTVLRLRGQRLELMLLDIAHQQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTSIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPELQGKLIAKSRQDAEF >OB10G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13442256:13443260:-1 gene:OB10G25270 transcript:OB10G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTCKLCFRRFHNGRALGGHMRSHVMAAAAAAPPPPSPAVELSPALSLASTSSTEIEMDEKKKPEQHKAPSYVLRENPRKSYKVGAAGEFSGGGAAAGGGESSVVQDGESDTESSPRGGAGFAVSRRRSKRARRRSLVMLSRDSWTRSRSEQETRCARVASSEDEQNNDNANVFDEDEDTRDVAGEDYEDEYGYGGGGXXXTAARHRSRYQCGACRKVFRSYQALGGHRASLKKGKGGCVPPPRPAPAKAADAPVIHECPFCFRVFDSGQALGGHKRSHMSSAGGAPAPSPSPSPAKCGESTGSIDLNMPAAMEDDFELSAVYDAEFASTRQ >OB10G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13457248:13458398:-1 gene:OB10G25280 transcript:OB10G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPCKVAAVVAVLSVLVVCGAGAGEVNYTASAATAYGSAWLPARATWYGSPTGAGPDDNGGACGFKNVNQYPFMSMTSCGNEPLFKDGKGCGSCYQIRCQNNPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCNFPGLKVNFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGATGVWTPMRESWGSIWRLDANHRLQAPFSIRIRSDSGKTLVANNVIPANWSPNSNYRSIVQFS >OB10G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13474564:13475993:-1 gene:OB10G25290 transcript:OB10G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSKAALVALLSLLVTFAAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNQYPFSSMTSCGNEPLFKDGKGCGSCYQIRCVNHPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGQNDQLRHAGIIDIQFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDVVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGKQLVANQVIPANWAPMAVYRSFVQYSS >OB10G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13487049:13489270:1 gene:OB10G25300 transcript:OB10G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPSLATAAAAVLLSILLAAGHCGAADFNATDEFAGNGVDFNSSDAAVYWGPWTKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGKGCGSCYKIRCTKDPSCSGRTETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEFSNPVYFAVLVEYEDGDGDVVQVDLMESKSAYGGATGVWTPMRESWGSIWRLDANHRLQAPFSIRIRNESGKTLVAKNVIPANWRPNTFYRSFVQYS >OB10G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13491822:13496305:-1 gene:OB10G25310 transcript:OB10G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPAVRTARRWRQWWARRPRGCRRRRRSTSRDPWPRRRRGSASAXXXXXXXXXXXXXXXXXXXXXXXXXXACASQGSAVPGRGESALLTLDACGTAADDVCGFTTTTNNSTSLDRTEDKGSPETENTSIAGGVSDSRCFSRPSQSQRGGMCDEEEHVVIKGDGVMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAGLTPPMMHTAPFLPVSWDAAADRPPPQPTGAATPDAFSAFLACQQSSQQPNGMEAYNRMMAMYQKLNQQQMHMQQDQPSNSRQ >OB10G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13503649:13523090:-1 gene:OB10G25320 transcript:OB10G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT1G02080) TAIR;Acc:AT1G02080] MIPFNSAVAEEVRALVQGVDDSTFDSTHRELCQLADCSPDGCSLLLRVCLDEVLLNAEAAKYSRLKNDLLSIVFKYCLDKPYFSTSFCDALKTAHVNDLFLVTLSNELNLSAGERVGIGLALSDSENLSLILQGQKFSIAEIEEICSNPAHVLSNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKERPFYVPVPTKEGNARSTISSRHMELCTGSFDDDFDSLLSEIGKEISMSDIITELGYGCTSDVAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLADPHNTYSTFMLAVGNSQPSDPSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYYQACKDPFPLHVVCGSMWKNTEGQLSFLKHAVAAPTDIFTFKHCSRKMVFPELANRMQGNQAWYCLDLLEVLCRLAELGYATTVRSMLDYPLIHCPDVLLLGVSHINTAYNLLQYEVLSCVFPMILKDTTYSSLINSLWHVNPCLTLRGFVDSHSDINCLLRTVEICQDLTILSAVLDSTPFTFSIKLATVAFRQNHNNLEKWLVEKLTAKGETFLEECVKFLKEIMINTTYEAVEGAVQQPQAMISDICRESCPLIIKVLQSHSGQLLSNQFVEELRRVEAVHESRNHGVVGRDVPTSEGGADDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERALAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSATIIQAKNILSSGQTPLASAPGDLAVNLKAATPPSSQASPHHSTTVSAPQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVGASQAPVVAEVSSSIMPTINHAEPQPEINSASRATSLPNMLSQYAAPLRLPLNNMVEDDKAALIMPEQVTSHSLSQVSPSQTPSLSSSSFSLSQLMAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNSDTTDQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGASATATAMAVAPSNPSVPRVYSPNSALTDSSSFSNLHTAPFISANQTTELAQEESDRGATHLSSLSAKIGTSDAPSQVIGTTNVASVFPPTVPNDLPVGELATANKDLVTSAPLSPTTAVDRMGSVFAEPLNTGDALERYQQVSKKLDAFVANDGKDAEIQSVIAEVPDILLRCVNRDEAALAIAQKVFRSLYDNASNSTYVAWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIVGLIRSELLNLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESVQQLIEIARSFSAMKDENIRQSRDKKVLSGRPLMNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVQLQQDGLLKGDDLTDRFFHILAELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSIIVRIVQRDAEEKKVSFNPRPYFRLFINFLSELTTNDLHHDSSNFQVLTAFANAFHVLQPLRVPVWSFAWLELVSHRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPHLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQLKTQVEEYLKRPEGSFLTDLKQKLLLSPNEAIIAGTRYNVPLVNSLVLSVGMQAVHQLQLTKVNASASGQQMNQSPLDIQIETATDVFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKAGDDGVGLPDGGH >OB10G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13527972:13530173:-1 gene:OB10G25330 transcript:OB10G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVDLGMGMSTYHNASGITTAAPMMGHHGGGGGGGYGASHHHHYYGMPPSTGDAMRVDELLDLSNTPGAHDFFPAASAAAGGKGHNGHHHHHIGAMGEPSGATSSDHQTSMLSFADDFYIPTEEAAELEWLSKFVDDSYSDLPNYQSSAHAAMAAAAAASAANNGGGSSAGQDSCLTAAPGRGARSKSLGSIF >OB10G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13544699:13545124:1 gene:OB10G25340 transcript:OB10G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinases regulatory subunit [Source:UniProtKB/TrEMBL;Acc:J3N4T6] MGQIQYSDKYFDDTYEYRHVVLPPDVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLPQAHRQAAAGGAAPK >OB10G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13546906:13547346:1 gene:OB10G25350 transcript:OB10G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMFEGVAVDGSTSLVATADEPIECNSSDDANADEQDGPLTPLNPGNKRASSTSTAASRPSKRSKSSPAVRAMNNIMGEYNKIARNKLCVLQRLWQEREEPIQQKRKALEAKVKLVNRLARECGVTPREPPRCSRVLWRSSRKKV >OB10G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13552187:13555275:1 gene:OB10G25360 transcript:OB10G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVAAAKRARESGDTAAAAMAGAHEQAGISAVIPGWFSEISPMWPGEAHSLKVEEVLFQGKSGYQNVMVFKSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAQEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVTNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPIFNIEDDEYSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAN >OB10G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13557418:13559543:1 gene:OB10G25370 transcript:OB10G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTLCEWSVPLTMSGGAQPEGSSQSAPSVKPALSSCRRNKSENTSFVSDLRDHIQEFIHASPDEHRTCFTKTIQRMFGMSKVVAERQRSAEAQEPEAESVLPFQTSVQVDPE >OB10G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13559177:13559689:-1 gene:OB10G25380 transcript:OB10G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVETDDYESADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASERWAVDFDVDATASAVDLESVATHEIGHVLGLGHSASPRAVMYPSIKPREKKVHLTVDDVEGVQALYGSNPRFSLSSLSEQGSTSSSSPPAAGSSRWLAGSSSARLLCIVLVILVTQL >OB10G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13566271:13567038:-1 gene:OB10G25390 transcript:OB10G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYRRGGATTCLLLLAIACVLSCVSVAAARPAPTTTMLHGGAVVSRYSFFPGKPRWVRLRRPMVLTYALSKTATVGYLPRDAVRAVLRSAFARWAEAIPVSFAETERYGAADITVGFYAGDHDDEDAFTGPQTVFAHAFEPEDGRLHFNAQNHWAVDLSADSSPDAVDLESVATHEIGHILGLDHATSVSSVMYPYISLRERKVQLSGDDVQGIQELYGVNPNFSFTSYFKPHHEPPATRFWGLGLAYLLLAPF >OB10G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13567098:13573021:-1 gene:OB10G25400 transcript:OB10G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPTAGVAETCNDSDKQIPERYIRADANADEVIGCYDDCTAAIPVIDLGKLLDPQSSDEETAKLGSACQQWGFFQLINHGVAEDVIRDVREDVAEFFRLPLESKKAYSQLPNSVEGYGQAFVVSEEQKLDWADMFYFVLRPGESRNMKLWPAHPPSFRNSIDRYSSETARVAGCLFEFMARDMGVRPESLLERFRDQPQGIRMNYYPPCREADKVLGLSPHTDGAGLTLLLQIMSNGKYRSVEHSAVGRPDMERISAAVFHRPCQDAVVGPLPELLVEGGGNAPYTSMGYLDFMKRRANPRSMVHGIGSTSETLPDSMAAWACHSLICAFLKPAIKSDEQIPEGYIRVEGVIKVTTVNSVMNKEEKLRKPNSLFFEIYRRAGDILNRDAELAQTCNRPDQQVPDRYVRPEAGADEVIVGHGVSAAIPVIDLAKLLHPESSKEECAKLGSACQQWGFFQLINHGVPDDVISDVRRDLTEFFSLPMEAKEAYAKSPDDYEGYGQHFVVSEKQKLDWGDLLHLRLRPVESRDMRFWPAHPPSFRNSMEMYSSETARVARCLMEFLAMDMGVDPESLMEIFRGQPQTLRVNYYPPCRQAGKVFGLSPHCDAAGLTLLLQVNGVQGLQIRKDGRWLAVDAPDGAFVVNVGDTLEIASNGRYRSIEHRAVVHPEKERISAAVFHQACRNATVGPLPELVTKDGGRPVYRSMVYEDFMKRFFSAKLDGRANVEGLRI >OB10G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13574277:13576736:1 gene:OB10G25410 transcript:OB10G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02330) TAIR;Acc:AT3G02330] MLVSGFVPTTFVSNCLLQMYARCAGAACARRVFDAMRHRDTVSWNTILTAYSHAGDISTAIALFDDMPDPDVVSWNALVSGYCQRGMFWEPVDLFMEMVRRGVSPDRTTFAILLKSCSALEELPLGVQVHALAVKTGLEIDVRTGSALVDMYGKCKSLEDALCFFYGMPERNWVSWGAAIAGCVQNEQYVRGLELFTEMQRLGLGVSQPAYASVFRSCAAMSCLNTGKQLHAHAIKNKFSSDRVVGTAIVDVYAKANSLADARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMELFQFMVTSNIGFDVVSLSGVFSACAETKGYFQGQQVHCLTIKSGFDVDICVNNAVLDLYGKCKALAEAYLIFQDMKQKDSVSWNAIIAALEQNGHYNDTIIHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGRQQVVSWNAILSGFSLNKESEEAQKFFSKMLDMGIKPDHFTLATVLDTCANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGDMPDSLLVFEKAQKRDFVSWNAMICGYALHGLGVEALKVFDRMQKENVVPNNATFVAVLRACSHVGLFNDGCRYFHLMTARYKLEPQLEHFACMVDILGRSKGPREAVKFISSMPFPADAVIWKTLLSICKIHQDVEIAELASSNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGRLKKEPGCSWIEVQSEMHGFLVGDKAHPRSVELYEMLNDLIGEMKLSGYEPDSVFVEFDEEESAPEHDDSLGVVGG >OB10G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13577227:13578091:1 gene:OB10G25420 transcript:OB10G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGNTGQEVWFNCYPENMQRFLDQERHNFVQIIHTSVDLSLFLFHKAITVIGIKIEALLLHNMGCRRNTRLCYIIHTSVSVTIEQRDYFIGVCALLSDGEGGRQSCNYRCV >OB10G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13578537:13580592:-1 gene:OB10G25430 transcript:OB10G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARAFGSLPVPNVQALAETCNGPDEHIPERYIRTEAASEKVISNYQGDMEIPIIDLDKLLSPQSSKEECMKLRSACQYWGFFQLINHGVQDEVIANLKHDIVDFFRQPLDAKEEYSQLPNSLEGYGHGFVFSEDQKLDWADMLYLQVHPSNARDLRFWPTSPALFRQSIDAYSSETKSLALCLFEFMAKAVGAEPESLLGIFEEQPRGLRMCYYPPCQQADKVMGLSPHTDVVGLTLLLQVNDVHGLQIKKDGKWFSVDAQNGAFMVIIGDTLEILSNGKFRSIEHRAIINPNKERISASLFHYPCENIVISPLPQFVKDGKVHYRSISYHDFMKQFFTQQLDGRNRLEKLKLEQ >OB10G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13582439:13585207:-1 gene:OB10G25440 transcript:OB10G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTIGSLPVPNVQELAGTCNGPDEQIPERYIRPEASSEEVIIDDHGNMAIPIIDLEKLLSPRSSEEECVKLRSACQYWGFFQVINHGVPDEVIANLRNDLVEFFRQPLDAKKEYSQLPNSLEGYGQGFVVSDDQKLDWADMLYLQVQPSDSRDLRFWPTYPASFRHSIDAYSSELEKTALCLLQFMAKAVDVEPKSLLSIFEGQARGMRMNYYPPCWQADKVLGLSPHTDPAGLTLLLQVNDVQGLHIKRDGKWFSVNALNGALIVNIGDTLEIVSNGKFRSVEHRAVIHPSKERISAALFQYPCQDLLINPLPEFVKDGQVHYRTISYQDLLTEYFTTELDGRNRLDKLRLEP >OB10G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13589362:13589748:1 gene:OB10G25450 transcript:OB10G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSQCHYQSIHRNKKDQERQKKKYISSWAQSHSQLQTLQVSPAFQLRSKVAFGELNAVNRLVARLAVHQKLLEWANCAGKWGALECSNGDPFLVRVYYRSVFNTSVLAIGDDLMRSKNLLLHIYTNS >OB10G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13589455:13592273:-1 gene:OB10G25460 transcript:OB10G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFDKLQVEFIDQDESVQDVADAIGSSGDVPERYVRPEMEADPVIVDADGYSLPVIDMSRLIDPEFSEEEIAKLGSACEDWGFFQLVNHGVDGESLKQVKADVAEFFSLPLQEKMAVAIQPNGLQGFGHHFVFSKDQKLDWVDLLFLTTRPAEERSMDFWPTNPPTFRDSLDKYSQEIADVSDKLFKFMAIDLGVDEEALLGAFKGKPHQSVRINHYPPCRQAADRVLGLSPHTDGVGMTLLLQVNGVQGLQIRKDGRWFAVQNLPGALIVNVGDVLEIITNGKYRSIEHRAVINPDKERITIAAFQSAPLSCTVGPLQELLMNGEPRYKTVDGVEFTKGYFAAKLEGRRYLESLKLGV >OB10G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13596053:13607204:-1 gene:OB10G25470 transcript:OB10G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDTDFQSQNFQLVGEDNSKFPAGLRQFPLPKLDIDDQLQNHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDDNAHRQISGMDSQTDPSNMQPNSITSPTGNIIVPAENDKSQSTRSEMTEDPSMIQPHLEHITPFSVDDKAEQTVGTTLSDRKSYYTLESVSERCIVSGRSSSKNTSENCPDVGGYFDVVHDDDSLDNLNIHSDAVDSRKLNNEPFSDLAPLQNIYATSPYHFEQDNKESGVGVTTQGSEICHMNENKDGLHDLQNLSGATQHLGASNLTSEVSNEALLSESSDGLLEAITNPVKMVHRNDDTSKRPNATLQPSFLQVEHAAEGIKGSIDRIGEPAIKKFGASEEPNSAKSHQVEPDLKNSNTHVVNPLPTKCSEFVQSPKGTQLAHVTGVSEETKYGSMDDANLSTSDDSKLGRLEQHQDSVMEEKTTKGEISHVSGKVVHIVKSGHGEKVTVSTSTADDKFDSSGNAVPGNSSACLPDEKDSSIYSVNHEVSFKEGAVPALKDDPGNQYFAPPNSGHQEKKSAPFNISRNNIDSTSVSKPLNTSKDSPDCSEGITTNDSSANLLDKKLSSMNGEGELEDDKFTLEVGGHNTTSPVSETLLKGSMGSVNPNIDAICSSGTDAIAETQQCEEQATSSGSLTTNESQGKPGDHPDASPQKVQTTGALMQSEGHDDLVSPSSALGVSPEKTEQNNGKSPVNGMDDSGVQLQDKVLSHGADHPLGTVSSANKTGLEHGTDNGSCTDATCGSPTVISCNESCPEEDMQGSNALLHHKQTEPPKDSKDHTAATDSSHGSKETSSINVKPTLASETTHTAEDKGFSFEVGAPINISEKAHAPVWSPLPRSEVAQSPEATAGIPKPGNPSKNSSDESKKLVFMETSKEQLSGRKVVVSAEGSSVSSHVGRSTKAKTIPLEQEQHSTPDVTVSSAALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAEPYMVSAFGEPACGGKAPWGTLLQAAFERYNSQRSSLTGLETPTSSRLGSRVMEKASKGTSVKTAPASKKGGKTTLPAHTAVSLHLPTLNMSPLNSSALSMQRGTHLDFSQAVSPVFPYSSQMRQPTSTSGVASWFSQSPGPRAAPWLVQPQNLIFDSSMQPPLSASANETAKGASSKNISISQAVSPVVFPPSQVSSTVSPLAVIPEEKQKASASTSKRGTTAQKSRKRKKAPTSPEQPPTVASPPLKADIASVTPAVQPPPGFTLSTHSPSSILASGLVSNTGLITSVPNYQITSIKDVEQRIFSEQISGSIEQSMGQAKGAGVHAMEASTHAEGIWSHILTNSKGKLPADVEQKLASTAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEILSTYANSLQKCDTGEFKVSNNLATFPNLTPTSSWKTKDSIHPSGSIISVAREVARKRVEEASAAAKRAENMDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKAINNNNVVTEQLDAPTVVSKSGRKRGGKAKYDHAIQNLEPSSSGKELQLDGMHSGNKGEDVPTMAPFNGSRNDAAPNIIWNGIEKGSSVEVLADKGESGVAWFSAKVLDINNNSACISYDSRTEEAGLHKEWVPLKQEGEKAPHIRLAHPATVSRLKGTRKRRRDTSGTYSWAIGEHVDAWTGDSWREGIISHNRDGDETKFSVQFSGSGDSLVVDAWNLRPSLVWKDGQWIEWSRVKTVDRIKGDSPHEKRQRTKGSDHVPIGGEAAGPSMDKSVNTVTKPEEPKPLALSDKDMVFNIGKSVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQVDKISEGIASTRPVKHLVPHVPRPREGTSKVDQKGKRIGDMRSRGLKSTKSQDGATNIIPGKGSLSMPVPSTGVFESSYAFAGSTTGSSNNLNLTVEKNSAAHGIGLRSEDASVSELNIQAASTAATSKKNLTTTDRAKRKHAPSMDNSNRITNKAPDIPGKSADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGRTLG >OB10G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13612179:13618350:-1 gene:OB10G25480 transcript:OB10G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MAQPAMESAGEAGRMEQGGGGAVAAAVVARTQEPCVHDGGKSPRKHAAGLQAAAALSLSLSLSLASSDRSLEPCKHAALHDQRCAQTAQATPNSHHQPDAATRKSHAAEQGSGHHGLTRDHSRASASPNHRVSLENDIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSVSGPSSGQSSGLSSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSEAGKSKSMLKTKIKHESFSSETLDVHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTESPENSEKIRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSDTNIKLAFWINVYNSLVMHAAYNIGGHAVTANSIEHALLCCRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNIAEELERAKREFLQASVVVRKSKKVFLPRLVERYAREAGLAGADELLTWARDNADASPATQDAIQRIVSVGNEQWIRSISVFETDEWITANENSWRAKHEQRVLTARNTWGISTDR >OB10G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13613039:13614956:1 gene:OB10G25490 transcript:OB10G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEEWLVDHAPGEGVAEPGVVRQPXXXXXXLPPAPAAAADAPLDGVLGGGGGVGVVARPREELVGAGEAGLTGVPLHEPRQEHLLGLPHHHARLQELPLRPLQLLRDVLRRVHLQLQQHFF >OB10G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13622117:13623649:1 gene:OB10G25500 transcript:OB10G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G08845) TAIR;Acc:AT1G08845] MLKNIVSQSWRRGACALQEGNHAGALHACWRQFHSGQMLRSSRSFFGVEDFMDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRTTCRQVAVAGTNSKDIKAALQSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKVYLD >OB10G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13631831:13633723:1 gene:OB10G25510 transcript:OB10G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWRPREIADAFCIEMDEEEAVVAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGGKKSFAAAKRLPEMAIPENSVVAASIVPTHLQHIDTRRNSISSHHHQSIGKWFLQHREAGRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDAQTSKMAAAMASATELLTSHCVEIAQQEGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATMKQRVQREMRSNASVLPYEKSHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKNNKSVVYGVYNELPTWVEQAKHFTEETCCFGLSTAQGLVEFECENSTSKQKWVDDVKNLLRQVAADVQVENKLGSVKLS >OB10G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13636987:13638501:1 gene:OB10G25520 transcript:OB10G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVYRVMATRNRFASRIRSVHRFVKTSVVAAAGLLGRRHPSDADGSPPLQTCVLDGNKMAMVVDVDRLLTMRPSSSASTALFPPFFLLAVEAGGFVRGLVLLALYPVMRLMTEAVRLKAMVMVCFLGLRRADAARAGRVVLPKYFSREAAAMEALIKAVASLPKEVTVAAVSRSFPTVMVETFLREYVGFDAVVGREVKSGRGHFAGVMDGDDNMERFRDVVSKTPKNAGPRPLIFHDGRLAFTPTPAAALAMYVYLPLGIALSVVRIAISTLLPRSVSGAFAALAGVRLRVTGTPPTAVAEDGGETERHAAGRLYACNHRTLLDAIAVSGALGRPVSSVCYSLGRLSELLSPIRLLRLTREREEDRRRMASLLSRGDVVVCPEGTTCREPYLLRFSPLFAELADEVNPVAVHAAAGMFYATSTSPLAKCFDSVFFMMNPSPEYSVSFLEPVATAGAGGSIEVANRVQRVIADKLGYEATALTRKAKYLLLAGNEGVVAKKN >OB10G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13639727:13643111:-1 gene:OB10G25530 transcript:OB10G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRPRPTKPRRSDRPRTDPPAAQPALSSSSRHLDATRRSPPKPNKSSAIASALPRGGAMAEEAGHPSRYVKLTRDLQDAPAAEDIRPGELNQPVAVPQLEQRRCGECGQALPESYQAPADEPWTTGICGCAEDAESCRTGLFCPCVLFGRNVEALREDIPWTTPCTCHAVCVEGGIALAILTVIFPCIDPSTSVLIGEGLMFSWWLFATYTGIFRQQLQRKYHLKDSPCDPCLVHCCLHLCANCQEHRERKGRLAENNADRITIVNPPPVQEMSVAGNHPSTTAENGAARL >OB10G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13646333:13647016:-1 gene:OB10G25540 transcript:OB10G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRQPGDVEQHNREWFEFGSISLAPSIFPLINLNLVLICIYATDYSCTCKLIMSCPKCQTVSIFRSETPAN >OB10G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13652992:13656422:-1 gene:OB10G25550 transcript:OB10G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:J3N4V7] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGIKPIPSYFNAGNKAEEEEEIPDMDTYEDTGNDPVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHSAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >OB10G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13658364:13662211:1 gene:OB10G25560 transcript:OB10G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAARAQKTLPSISEPSHKSIGRIESWMSTLASSAGRKPGQKPESSLYLKLLYSYLKEFVPSACVPPCSSGGTLLHRTVSNGMDAVESFKRAEFFVHTLIQFWLVGDDFSPLPVQTCRAYGLPLLPLQSHANATLVERPPAPGLGDAVKLFVMYMNKINACVDIDAPNVFEGISAWKETFSGPVGYWNPLIQRPLYRFLLRTFLFCPMGAEIKNVSQVFSAWIVYMEPWKAQKEDLDVFDLPPPGGRSLHRVTEGKSKACEAVYTPEWESYVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLLMVSKVLEVLTSTELSGLIYNVDAAYHSRVLGSSSCYLDRALKHVPSIREQLQDWEDGLSETDADGSFLHERRNFNLRLFSTDEEGAYNLLQLLLLRAESEIQRFPGDVMQRIQTVDLIESQMKKIFHEHIESSQPMNLPKKECSRHHGRGEVFTPMHPRPWKHSLSNVNWMTRPISDSEVAWLARLLIRFSAWLNETLQLDRDDSDAIPTGPTNIKFDGNELSGVGGPKDAARMVFIGACSLLVLVGQSILHFLRTHRIRINLRILASKKLLTAVMLYALFSVARNALS >OB10G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13674899:13677112:-1 gene:OB10G25570 transcript:OB10G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQEHQESSSSSSSSSSATSTSSCNSAVTDASSSPAMRANAVVGGKRKQEVVGEVLLEAGGAEEEEVEEGRKTTAATKKRKRSSDGKHPVFRGVRMRAWGKWEMPFGFATMWAPLPDVDEVNAELRLEEPLLWDLGVADA >OB10G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13675331:13676941:1 gene:OB10G25580 transcript:OB10G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATSWARAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLLARLADLRHPLPPRPHPHAAEHRVLPVAAPLPLLRCRRRLPPFLHLLLFCAASLK >OB10G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13682361:13683277:1 gene:OB10G25590 transcript:OB10G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGCRRGEDPRLRRRGSGRLRGGEDAAGAQHAGRGARGALLRGPHGAGGVAAQRGRVHAAALRLLRQDLRAAVDKPLGGRDDRWDTGSWSMGDGVTEKDQDEDKEGEI >OB10G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13691836:13695550:1 gene:OB10G25600 transcript:OB10G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALERLASRSLLRATRPIALAAPPALPETFPGPGPWDRAAVEIRLDRATLHQWLAEGGETSSQEEEVGDDLILFSGNDYMGLSSHPAIRDAAVKAAQEYGMGPRGSALICGYTSYHKMVEESLAELKKKEDCLLCPTGFSANMAVMTALGNISSLLAVGRKPAKHERIAVFSDALNHASIIDGIRLVERQQEVVAFIYKHCDMDHLEFMLSHCSMEKKVVVTDSLFSMDGDFAPFPELVKLRRKYGFLLVIDDAHGTLVCGGNGGGAPELFDCENDIDISVGTLSKAAGCHGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALYVSREERWRRLVIWRHVQYFASLTKLNITSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPDNHDVQRYAVVPKL >OB10G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13695754:13696390:-1 gene:OB10G25610 transcript:OB10G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSDVYKNVCRVIFDDDVVFASDDAAAAAYLPSNVRDALQLDGPPPPTYHPAVHFPPMPPPAALNPMAMPWIPVQPQPPQQQHTYSPLPEDYRSLFITFSRGYPISSDDIIAFFNSLYGPCVESVMVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKFMIKGRHLWARIYVPSSKPN >OB10G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13710330:13714397:1 gene:OB10G25620 transcript:OB10G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKLGDPEVLTWGEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGVKVGDVVGYADTPMGTYTEEQIIPAILAIPIPPSVDHITAASVLLKGMTTYVLVRQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTQDKATQATEDGCPHVIIYTEEDFVAELLKSHQGKVFMSYTMLSERIPSRGRWSV >OB10G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13713214:13715913:-1 gene:OB10G25630 transcript:OB10G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFELEMQLVSDKKQQSFLYARPEPVKSGPCKQAQPRAVDEKIHIHHSDHQVKKKKAQLEAAPYRRCRGRSRRGSRRCRRRRRSAPRTASPGRCTLLLLLLRRRRSPRTPLSPSPLPLLLPLLRSGARERVGGSWCGRRATTHARVDLLEKTKKRGWHGGCRHGGQGHTIPPQTAARVTSFWTLTLSAASPVGPTCHPPRSLLGFMLKHVLPISRGWARGKSRIIFLEPTCRWQRGLWAFFLNMAHLVKPGSLWPMMGRWPAGGGRWRKRSARSHVPLLVAVLVLLVPAFVLLSSAYSSLLRFPAFGGGGGGRCGRSPELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVSDPTDLRAAVWDHSMQLLRERRYVSMGDIIDLSPIKAMVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGGSLSADYDRCRSMLSAFGGSENGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEDLKRRRKISYVRRRKDMYKALGPGSEADGASLLAFGTLFSGPYKGSESYFDIHESPKDHRLQTVLEKVEFLPFAPEIIAAGKEFAKNKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAIELEMKKTKGTSPINMFIMTDLPPANWSKTYLADVAKDGRYKLHTLKESDELVMQTAERLMAAEHGVRSGFIPKNIENTRKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCKL >OB10G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13715571:13718798:1 gene:OB10G25640 transcript:OB10G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVESGGGAGAGGGGYSGRVTPYVVLTCVVAGSGGILFGYDLGISGGVTTMDSFLKKFFPDVYQKKQDSSTSHYCAFDSELLTVFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLLMNRILLGVGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAAVPAAFLTIGAVFLPETPSFIIERDGDTDKARILLQRLRGTTSVQKELDDLVAASNLSRTVQYPFRNIFKRKYRPQLVVVLLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVNRLCATFANIIAMIVVDRFGRRKLFLVGGIQMILSQFAVGAILAAEFKDYGSMDKEYAYLVLITMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLVVLCRIKSGTFFFFAGWICLMTVFIYFFLPETKKLPMEQMEQVWRKHWFWKRIVGEEEETKQAEKTALPSM >OB10G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13719830:13722679:-1 gene:OB10G25650 transcript:OB10G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLLLLQPLLAAYMPSGHIMCAMFLTKVNFTGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQANMTRRKRRSSLFDMVPDESMDLPPLPGSQEPETQVLNQPALPPPREEEEEVDSMESDTSAIAESSSASAVVPENLQPTYPVIVPAYFSPFLQFSVPFWQNQKDEDGGAQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >OB10G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13742768:13743986:1 gene:OB10G25660 transcript:OB10G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVHGRREQQRHVGLGLGLGLGLSLGVGAVDEQPCRGARVPSPAAPPPPSQECSWSGAGLFSSSSSDRRSTTMMTAMAACHDVEMPFLRGIDVNRAPAETTRPGLSCSEEDEETGASSPNSTLSSLSGKRGAPAAARTAAAGGSDDEDSGAGAGSRKKLRLSKDQAAVLEDTFKEHNTLNPKQKAALARQLGLKPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHKELAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRQHPPRPADVVPPQAGGEEAAAVVEVLHWRG >OB10G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13749709:13753016:-1 gene:OB10G25670 transcript:OB10G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCLAVDFIHRNALSGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPVEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSSCLDNPIIKPPMFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCRAPHKNKEAPPVPENNNSVGSESCSLEAEQLAKAHPCLLQGVMVNP >OB10G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13755958:13757349:-1 gene:OB10G25680 transcript:OB10G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQASLLQSFPFRAAVFAACVLLLPLVPWPQAAAGLDGRGEKFLAKVWELLHLLVVGIAVSYGLFSRRNDGGRRGDEKDVAAAGAVAQEKADAGYVSQMLHDSLVFDDGGGEVVLDRTGVEGGGKVRSSWSAMHHPDEPVVVVATAGVGGLRSHAAEAERQAALSPPGRAHGEGEPWAARPSRSSQDTPGGGGAHETVLPSPIPWRSRSGRLDASAPSPSPSPKRLSPASSLSKETLAKASEDYSRRRSPYKSSPPAPPPPPPPFLVHGYHPPAERRAAAKSFKEELQEQTSHSFSSSEYSSSSNSSSAKPRSSIDGSSSSSYYPVGKSVRTIRGAREAAQSQSQEQTDAAGDAPVLHGSDSEDPYGGYRAYQSIPRFQYERGSSDPILGNVTVSSESSDDEDDDGDGEFSPGRESTPEVDENEVDKKAEEFIARFREQIRRQRIESIKKSAGPRGVKHGK >OB10G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13764975:13765310:-1 gene:OB10G25690 transcript:OB10G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESRPGYVDLDRISGPRGENTSRCQARCTAAGFQPLQRCMPRWLPTESFAACFACFELPKIPATTRFLGLFDRRRRCVPCPHRCWCRVDGNLSTKGRKERDAKIKKWTRGS >OB10G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13764930:13767804:-1 gene:OB10G25700 transcript:OB10G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEEVAAAGMRPPVMFRLFGVEVRGGVDEEEYDDEGVEDGFLKKSSSMPNLTSIDPLLPADGGKRRASDDSELASGQQKRRRRKVQERKKGIPWTEEEHKKFLDGLRQLGKGDWRGISKNFVTTRTATQVASHAQKYFLRQINPGKKKRRASLFDVVSEYNDDQLPSPQSVGTKPAPTQEIIHTDRGDVLIPSYPVARGFRGDSVQVDELTEYVERSKAVEEMSLSMVSGLEMASSSISSLELSIAPPHGAIEAIKVL >OB10G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13774753:13775808:1 gene:OB10G25710 transcript:OB10G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSASQCSQHRTLVLPDRSYRPTRSLFATSSLSIPPWAQRRSAPRWLTQRSSFVGYVAVCDNEREVQRMGRRDIAIVLRGTATCPEWAENLRAGLVPVDDGDGRSPQNVPKVAKGFLSLYKTAGDHVPSLSDAIVDEVRRLLEVYKGEEISITVVGHSLGASLAVLAADELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAEGRGSTATWLAPRDQTYVGRTVLL >OB10G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13778985:13780424:1 gene:OB10G25720 transcript:OB10G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVVRPRPSYFPKNIVPSRSWPSDQDGWPRMLHVVDHAHLALVAPTPVPLRRRLRRRFGFPWPESGSQGTRNSSLLGEERSLVLLGDDGALSRITLPPPASSTPPSIDSAEISPRIWPEVKSYLSFLWLIQKALFQLLRQSLLLLQTKLGKRKQGCITLCTMNPMIQAEAPSIPTEAAATL >OB10G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13781973:13784613:1 gene:OB10G25730 transcript:OB10G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHHHARSRSQLPPAANQDFQFQFQLLPKVFQFHMDGGGGGGEGRVVDADRGGKLPEKKVLAQLDQLSNGGGAGAVRPWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYSHFETERFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSLSSDPKRASAQSCAQPAACIQPTCFMPKLFGQKSKRSKSSQPRQRHQQQLASALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGKTPFKGQTNRATLFNVVGQQLRFPDYPPTSNAGRDLIRGLLAKEPQARLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPIVVAAPPSKPPPPPPARS >OB10G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13783857:13784651:-1 gene:OB10G25740 transcript:OB10G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFIFFCSKTTQLRAGCNIGRFAFTLLEELKVQVFASRLHLWRPCHHPVAAAVVAVDVAVHCHLHGVDYRRRGRLGRRGGDDDGLDGPWHAGGSAASDERPVDALEEGVLLDLRRAALHAEPGLRLLGQQAPDQVPAGVARRRVVREPELLPDDVEQRRPVRLPLERRLAVHELVQEHAERPPVHRAAVALPLDDLRRQVLVRPHERHRPRAGRLHDDLRQRRGQLLLMPLPRLAALGPLALLAEQLGHEARRLDASSRLGA >OB10G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13785118:13788664:-1 gene:OB10G25750 transcript:OB10G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSLDDDGGGGGGGGMELEVEDMVEWYQCGVGSGSSATGVELMLRELRAELEVERRMRRKAEALSEVLAVELEEERRRGGAAEAECRRMRGEVGEMRAEVERALEEIDEERRMLRIAAAELIDAAAAVATATADDKKISSTSSSPTTSIKSSPTNHQSQATSGQLHRREVAGGENPHIARGIKGFVEFPRAVRVRPREERVDLVSNLECQRAQLRALTRHRNPPAGVGLAAAAASHSLVL >OB10G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13792214:13795943:-1 gene:OB10G25760 transcript:OB10G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKHPPPPPQPQPQPPAPVAGGGPPALKVPHILSDSSVGIASPAQSSFRKPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKADLEEANRLRELEEEEKARRYLHHDHPDHLKEEDEDDEDDDHEREEEMHCGGWEDDDDHYASTTTSETRSEEGEMGNRSECGFAARSEYGGTAPSEYAAVPLPLRRRDERSEAGDSSSTVTAAAEMRMVIRHRTLAEIVAAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSLLSSLSSTWSSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGKDSAKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQREYIRALYGWLKLTLFQVDSNTPQEAYTSLISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEITLCRRKVEDEMTRHSKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >OB10G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13808110:13808472:1 gene:OB10G25770 transcript:OB10G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVEAARAYDRAAFQLRGSKAILNFPNEVAADAAVKWAPPVGPIPTGAAAAMTTAGRSKRVRSEDGSQKEQYYLREVKKERMVMSPPEAEAAAAGARATDIWDELNVIYSLPPLSPLSVS >OB10G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13812926:13818559:1 gene:OB10G25780 transcript:OB10G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTRGSHRRHPSLYVLVVTGGGSAVAERRGEERSARPTRERSSAGEGGRFPNPSYLLALVAASPALVPVASALPFIVLHGIGDQCQNGGMASFTDMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQADTVCKKVKKMKELRKGYNIVGLSQFMASNAFVVLGNLIGRAVIEYCDDAPPVKNFISIGGPHAGTASVPLCGSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNATYKQRFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGSFGPVQPPQKTKLYIEDWIGLKTLDEAGRVQFVSVPGGHLSISRSDMKKYIVPYLKPGGSSRQGIRRMLSD >OB10G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13820060:13827653:-1 gene:OB10G25790 transcript:OB10G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWLEWILSQTLLRIAESNPQGMDDFWLQQGTEMLLSLVKSSQEDAQERAATTLATFVVIDDESANVDAARSEAVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGIGILTNLARSMNRLVAEEAAGGLWNLSVGEEHKAAIAAAGGIKALVDLILRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARSCKLEGVLEQVNGAHHVIILAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREGIAAAGGVEALVSLAQECLSASEGLQERAAGALWGLSVSEANSMAIGQEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALRIVEEDGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTLSEGSSKSVNVEGARRMALKHIQTFVLTFSDPQVFTAASTSSAPGALSQIADAVFIQEAGHLRCSGAEIARFVAMLRNPASVLRACAAFALLQFTIPGGRHAVHHANLLQKAGAARVLRAAAASTTASVEAKVFARIVLRNLEHHQTGTST >OB10G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13830518:13838477:-1 gene:OB10G25800 transcript:OB10G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G07590) TAIR;Acc:AT5G07590] MEFTEAYKQTGPCCFSPDARYLAVAVDYRLVVRDIVSLKVVQLFSCVDKISFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKILIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAPSIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPATDKPNPKQGIGMLSWSSNSHYFFTRNDNMPNALWIWDICRLELAAVLLQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNIPLPNFRVVDLKWNSDGSCLLLKDRDSFCCAAIVSPLPEEEADQSDDSSDDE >OB10G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13839967:13843232:1 gene:OB10G25810 transcript:OB10G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPAFRVENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGAIPGLNQVMTATRGATDAFSGVTRHVNSALRKAGLKNIEAGIGCGVGIGHGFGIGIALKPQVIHGIQSTVGEIMSKFTSRLKDTPSLSSESAPNTMAGSVPSNGQTPSGMSIDLKAKTVKSNFHHTSNEISQVQPAHGFHSQHGMQPDMITGSRTEKVVANFLQNPLFQDDTRLDIRDATKKSDGMDSVLELLLKHQRIIDELRDENEKLRRMLIEQLKVSPSKLLLDHKNGAKTYNPCSECFECRRRSRKTTR >OB10G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13843955:13849979:1 gene:OB10G25820 transcript:OB10G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPAGGSAEMEFAWHPLTVLLRLGRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAPSETAVSMFARLVGWGARVPPPGVVPRASDVGRWWRQVTVRYERKRKASDVGRIGAGKRLLLAAAPDADLPEQKLQQTQQLIVQGCAPDATGEVHLEVMQRVQDRIPSLDTVAADPSFHFSSGSTIFPNVISTPYLPPKIDQFRGNDGSLLASMDSTLVSKELVDIPCCIDFFHAEGAVPLCHSRSEDNEGLEKVSTLLSMGVEAGLAGEKKIGIEDLNFIAKRSSSSSNYSTKTADGMETIDVISKEAEALQYCSPNAKAQYSKKILSCGHDSNALGANSYATIHENKTADISFQPPEETMQIIVHEVTHETVGSSSQPFPNTKFESAVLPLQATCYDCDINENLNIVAKNRTSTHLNHVEPSKNHVAVKLSKKEQDRKIMKQREKSKKKDALPKEDKDQVAVKVQKDHAELKPLPNFKHFEIEEEEGSGGYGTVYRARRKLDGKVFAIKCPHANAHPHHVNNEMKMLERFGGKNSVIKYEGSFRSGDLECFVLEHVEHDRPESLKKDIGVSDLQWYGYCLFKALASLHNQGIVHRDIKPGNFLFSRKLRRGYLIDFNLANDLHQKFFRNSKSEAISRGKDTISQPALKPTSVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKIENKSRHGAQAADVSGVTSAKDPTSTKTSLDRLKLPMPYKGRKELMNFLHEAMQSPEKKTSTAPVSQRKRVAAPFGNVDQKLFILTPMPLRSGGSAIAGSGLFNSKGHGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLKGSEELWEVAKLHNCESSYPSDLFDIKSFNSVDLKKWCAANTRRPDFLKCIPDSLFDLVDKCLSVNPRCRITSEDALMHEFFTPIHEIRKHKMPRRPIPSNPPPCLPQDKTIKANES >OB10G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13851401:13855095:1 gene:OB10G25830 transcript:OB10G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLASPASTSSSVLLASCRLRAGGGVQRGTRRNFLAPSREKIGGGSFRAMSWLGKLGLALAQGPDEDRPAAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHDPTYEDVCTGATNHNEVVRVQYDTSACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPTKRFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >OB10G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13855896:13858451:-1 gene:OB10G25840 transcript:OB10G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQTFIMIKPDGVQRGLIGEVISRFEKKGFYLKAMKLINVEKSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVATGRKLVGATNPLAAEPGTIRGDFAVDIGMSSTGATQSRTPGRRSPCGSLRALPSGGATSTLGSMRSKMPCRHASGELASEDLPCY >OB10G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13859275:13860745:1 gene:OB10G25850 transcript:OB10G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSQETSQIELSRASLSLSIHSTDGSFFFLLKISMPRLTFYQEAARQIWPITCESYDQLAIARSYSVCHFGDLGAVAMDRRVGPASTSPSSFFSTTAAVSSSPFSVAKDDSEGPSRSSRTRKDAWSTMTGTPTESAARRLAMAPAAARLTERVGMLRGSVIQTATNLITAELRGNRMLKSVNPAFLGMVLSCPENCKGLPLMQLCKC >OB10G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13859481:13861465:-1 gene:OB10G25860 transcript:OB10G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3N4Y8] MVLHGITGKRTRVFPNSALLRRLLDGRLVALVFVALVLADLAAAGAIANLLAALSVGVPVIVLHASFRVRDDLEGPSLSSLATENGEEETAAVVEKKEDGDVEAGPTRRSMATAPRSPK >OB10G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13864996:13866793:1 gene:OB10G25870 transcript:OB10G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGGGILSSLLQRVAERNDAAAVDVGAAAKRQQQQQAQAVSAFHGLTKPAISVGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLEQPAAVDLPSLHCCPSDQDDAGCCHHKQQQQQQQQQHQHQHQLTV >OB10G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13870799:13874232:1 gene:OB10G25880 transcript:OB10G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFGEPDALPAPDAEEAEAEAEAAEVGAEEAVPAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEDPGLELPPAMDALMRVFKRVSGITDGAAEGTQVAPAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVIDDERIVEIQGETEKVLKALQAVSDHLRKFLVDHSVLPLFEKTNATVPQDRSTDAWSDISHPSIVSAQVNQPPPVVDEYILPIKRDPLFLEREPLVVEHNIHRSGVSLYGRDPALSTLRTSGIHGGAPGGSLLSQITQTMQIPLTYAEDIIGVKGANIAFIRANSGAVVTIQESLGSPDDITVEMKGTSSQVQAAYQLIQESLAAHRDSVRSSYAGLDPVYRPSYSQYGSSTYPSSSLPSYSSMDGGGGYSSSGLGGYGSSYRY >OB10G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13874694:13877125:-1 gene:OB10G25890 transcript:OB10G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MEPEARPAPDPNDARQRFLLELEFVQCLANPIYIHYLAQNRYFEDEAFLGYLKYLMYWQRPQYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPATVPAAAPVPSPAVPPVAAPPSLPPMSAVGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKYALPLQSFISLVKLLVVHAVLFCSASLSL >OB10G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13888514:13888873:-1 gene:OB10G25900 transcript:OB10G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLGLGSGAPPPARSWRSEKKGHLGALERPAARVRSARYRFRSFSILWRHWTPDLWVAVGNLPQRAVTAPATSSQSDALRLPLRSASHLTRYASMRASVSSGVQQGGGSLLAEPPAPPX >OB10G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13888518:13889381:1 gene:OB10G25910 transcript:OB10G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAGGSARRLPPPCWTPDETLALIEAYRVRWEALRKGNLRASDWDDVAGAVTARCGRFPTATHKSGVQCRHKIEKLRKRYRAERTRAAGRSKAPKWPFFSLLHDLAGGGAPDPSPNPIIKIKSKGPPPPPAAASPSPTSPSPVSSPSSEEAGADAGRSRSLHGLISNGGGGSGLRFTIPKASRSKPVSREPKVEKSDEDTEAEAMAEVASALRAVGEKFLRMEERRLEMSLRIEKERMESEMKRTQTLLDAQQLFVEAFLSKQQQQQLHHHHKKAKVISSAAAMDED >OB10G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13890620:13893884:-1 gene:OB10G25920 transcript:OB10G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:J3N4Z4] MASLTVGSAIRTHAAIFHATRHDDARACRPRRRRGTMRSVRADAAAAATSGWEPGSWRARPVRQIPEYPDAAALEEAVRALASFPPLVFAGEARKLEERLGQAAMGRAFLLQGADCAESFKEFGANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDVFDEKSRAPDPERLIRAYSQSASTLNLLRGFAQGGYADLQRVTQWNLDFLRDSTQGDRYLELSERVHDAIGFMVAAGLTPQHPMMTTAEFWTSHECLHLPYEQALTRVDSISGLHYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVRLCEILNPHNKPGRLTIITRMGAENMRVKLPHMIRAVRQAGLIVTWVCDPMHGNTISAPCGLKTRSFDAIRCELRAFFDVHEREGSHPGGIHLEMTGQNVTECIGGSKTVTLDDLSARYHTHCDPRLNASQSLELAFAIADRLRKKRDRAWNRLVYSAVA >OB10G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13894468:13897265:-1 gene:OB10G25930 transcript:OB10G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYEALKELGTGNFGVARLVRDKTSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFREVCLTPTHLAIVMEYAAGGELFEQICTAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCAVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPEYVRVSSDCRRLLSQIFVADPSKRITIPEIKNHPWFLKNLPKEISERESANYEDTDAGPPAQAVEEIMRIIQEAKVPGDMSAADPALLAELAELKSDDEEEADELAADEYTY >OB10G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13900367:13905763:1 gene:OB10G25940 transcript:OB10G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSTARRPRPGYEDPVGLANETAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNKRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDSTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADTDQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >OB10G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13904339:13904788:-1 gene:OB10G25950 transcript:OB10G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLYSSHSAYKQLFVARIKFEGATTIWKSFNPPQCKYFTWLVLHSCCWTADRLHQRGTTSSWNCLFCNQEVKTIAHLVVQCPESGQALVGNLDYLANAAGHPVQHSHFGSWWFNARKGLAKTQHRGFNTIMILVAWCYGRSGTIVS >OB10G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13906591:13911046:1 gene:OB10G25960 transcript:OB10G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:J3N4Z8] GSAAAVAAKGRDRLAAGKLPASLGGKAAGKVSDSAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTDEQHELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >OB10G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13915417:13919105:1 gene:OB10G25970 transcript:OB10G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRYEGDWIDGKYDGFGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRIPNNGNDLPLSVV >OB10G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13919995:13922551:1 gene:OB10G25980 transcript:OB10G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 1 [Source:Projected from Arabidopsis thaliana (AT1G02170) TAIR;Acc:AT1G02170] MRHLLTTRFHFPDDSIIMLTEEQSDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEIDGMDETLCPLDFETQGMIVDDEINTALVRPLTPGVKLHALIDACHSGTALDLPFLCRMNRSGQYIWEDHRPRSGVWKGTSGGECISFSGCDDNQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILTSMRSTIRSTGGGDALGGGAVTSLITMLLTGGSVGSGGLKQDPQLTANEQFDVYAKPFSL >OB10G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13923868:13925160:1 gene:OB10G25990 transcript:OB10G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLLTIKLCNLQAPCIIIIYTLAYTQRQRGSPRYYTAAAAASASGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAASTRSPCSSSIPEYHSRKNAPYSVLHVPSRRKNPVSSGNCLYSPASCGPPLPHSSCPAASAAACSDALMYCNATHSPLIPFPLPQQSSTSTKQKKKTFFCVTLS >OB10G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13923975:13926558:-1 gene:OB10G26000 transcript:OB10G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast beta-amylase [Source:Projected from Arabidopsis thaliana (AT4G17090) TAIR;Acc:AT4G17090] MLPLDTVGPGGQLSRARALAASLMALQSAGVEGVMVDVWWGVVERDGPGRYDWAAYGELVRMVERAGLRLQMVMSFHQCGGNVGDSCHIPLPPWVVEEMSSNPDIVYTDRSGRRNPEYISLGCDELPLLNGRTPVQVYSDYMRSFHDTFRDYLGNVIVEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQAAAEAAGHEEWGRGGPHDAGEYKQFPEETGFFRRDGTWSTEYGAFFLEWYSGMLLEHGDRVLAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTPEAEAAAAAV >OB10G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13934047:13966050:-1 gene:OB10G26010 transcript:OB10G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleckstrin homology (PH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G17140) TAIR;Acc:AT4G17140] MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEIKLLESQQQMKSELNSSWLGSFISTVIGNIKLFIGNIHIRYEDTESNPGHPFAAGLVLSKLSAVTVDDLGKETFATGGDLDRVKKSVELEGLALYFDSDSSPWSIHKSWEDLLPSEWSQIFEFRKQDSSSPPSKTHTYILRPISGKAKYTKVQLDAAKKTGQALQNAVVDLDDVTLSLSKDGYRDVLKMADNFSSFNQRLKYAHYRPSLPVKSDPRSWWKYAYKVVINETKKASGNLSWEQLLKYARLRKKYVSSYASLLKSDMSRLVVDDGEEIKRLDRELDMEVILQWRMLAHKFVEQSAEKHQYAQQNKQSWWSFGWTGSSKDEGDSKSFSDEDWERLNRIIGYKENTDYIPAQQDMKLMQFYFEIRMKHNASKLIIDSSECLADLSCENFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAESASDADSLVGFFSYKPFDEQLDWSFTAKASPCYITYLKDSIDQIIEFFKSSPTISQTLAIETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNLDIAAPKITVPTKFCPDDLHETKLLLDLGNLILRTEEIWDSCASEEQDLYLNFNLVLSDVSAFLVDGDYHWNETLPEVNLMPVIDKCGIALKLQQIQLESPLYPSTRMAVRVPSLGFHFSPARYHRLMQILKIFQDNDSEKNSSDLAHLWDQADFEGWSSLLTWKGVGNREATWQRRYLRLVGPFLLRNKQVHQILEDTGALILLFDNEETRKTWQSRLQGAIYRASGSAAISSFPEVSLPSEVHSFKGNFPDVVNIEKLFVAGILDELKICFSCGYESNHKLKKVLLAKESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYYYPGSPVPRYLARSFINSTQTKEVPTPSQKNSAGPKGTSLKKSESEERFFEASDDFDEFETPMLHERSISDYFSTQNFLPTNLPSLQPPAFSRIPGLMPDSELQSAGFTSGGITFDSFVKAQMVIYDQQSPQYNNLDNRVVLTVATLTFFCHRPTVIAIMEFMNAINLANVPDANKNKDTTLNPIEDNIVEEPKSDLEPEPVIKRLLAKGKSRTVFHLTSSMAEAQILLMNEKGDRLATLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRSNHPYFWVCDMRNPGGSSFVEIDFSSYNVDDEDYSGYDYSLSAQLSEVRIVYLNRFVQEIISYFMGLVPKSSDGVVKLKDDVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETKSKDFLELDVLYIKIQNEFQWIGGNKNEMSAVHLEILTVTVKDINLTIGMNMVCGETIIQDVEGLSFEIHRSLRDLMHQLPVVEAAIKVDVLKAALSNREYEIISECALSNFSETPQVVPALDDPRYGPSTAESHVSSSSVSSESIQDLSQDAETWITNKLSVSINLVELSLHSGSTRDSPIASVQASGAWLLYKSNTREESFLFATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYNNANELDSGERRIQKDLGLEPIPSMLILDAILRKSSSTVSLCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMTSPLVFSDQVYYQECSTLSLSSQKPLIVDSEKFDHYVYDGKGGKLYLRDGEGKILSGPSSEKFIHVLCGKGLQFRNVTIVNGEYLDSCIYLGSDCWYSASENDHVYLARENDGLLSTTSEEIKEDVVKNTSVNRSTEFIIEVQAIGPELTFYSTSRSAGENLALSTKVIHARTDAFCRLIMKGDSMEMSGNILGLKMESNGIRVIEPFDMSMKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLVCSQFDKVATTQGNASDQVYSFWRPRAPSGYAIFGDFLTPMNGSPTKGVLALNTNIVRVKRPLSYKLVWRSGSPRTNELQHSEKDVKSTPPNVDQFCSIWLPIAPVGYVAMGCVASIGTTEPPLSSVFCLSASLVSSCGLRDCIPLRGNANMTFWRVDNAFGSFLPGDPVHMCMDGNAYDLRHMLFNNADSSKTSSIGQHSHNDASQIQRSALNSGRLFETVASFKLIWSNNGSSSPKKLSIWRPMLSEGMFCFGDIAVNGYEPPNSAVVLRNFGDDTFLRAPEGYQLVGRIKKHRGTEGVSFWFPQAPPGFVALGCVASKSSSMKEDLYFLRCIRSDMVKGGQFSEESVWDSSGARTSERFSLWTVDNDAGTFLVRSGFRRPPRRLALKLAGPPTSSSSDSIIIDAEIKSFSAVSFDDYGGMMVPLFGMSFDSVGFSYHGGPQHLNATVGLSFVARSYNDKYSSWEPFIEPTDGFLRYQYDMNTPGSPGQLRIASTRDLNLNISVSNINMLSQAYASWNNISLGNELYRKDTSSTSEKSILDVHERRSYYVIPQNKLGLDVYIRTTEYRSSDVTRLSSGDDKSIKVPASRDLLDSHLKGRSVRLYRLMVTAILADAEIKVGEGLATGEYLAAVRIYSEDRIVSGVQQQSARTCAAAGEQSSQSIKKVEWNEMFFFKIESEDNYILEFVVLDAGGGQPVGIYSTPLKQVVQKLPSTSSSNCPKFDLTLGDLSSTKTVEHESVKSSGKIRFAVLISGRANAPRGSRASQATSKAGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEATVKEGNRYVSIRSLVSVTNTTDFIIDLRLKGQYSRSSQSDGHGESSTNDDQISIGLLEPGSTVPIPLSGISNPVVLYMLQLRPANHHELVQYSWSDVQEKHSQTEYRNEEILDICVSDLYESENLLFCAQTDGTSSAFQGLWFCLSIEAKEIGKDVHTDPIYDWSIIIKSPLSLAYYLPISAHYILSVSHLDEEDSSCSQGTLNPGEVVKAQNVDPRNPLYLSLVPHGGWTSTHEPVPISHPTEVPSKFINLRSSLSGRIVQIVLEQSSDKDNLMAKSIRIYVPYWISFARLPPINLQFIDISGRKDKRRILARPRSERNEKIMYELQHEELVEGYTIASGLNFKGLGLSASACRHGSGQFGLLKELSPLSDMDGAVDLSAYDGDGNCTHILLCSKPSSYQAVPTKVIYVRPYITFTNRVGQDLYIKLSIGDEPKVLYAHDWRVSFMYSEGETEKLQVRLVDTDWCQPLDIVKEDTIVIAMRKQDGTQKFIKAEIRGYEEGSRFLIVFRLGPSDGPIRIENRTNNTAIGIRQSGLREDSWIQVKPLSTRKYSWDDPYGHTTFDVNIQQGDVTLFQCVDLENPDESSTGFREHHLKLSIVETADVKILKFVDYPRRQEGEYRSDLGDQQASPVMQNETDTGAALLELIVELGVVGASLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLIIGHMQLDNQLPLSIMPVAFATESIPDPNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNSSSTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHKRRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFFGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGVAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHVPQRIRDPRAIHRDGIIREYDKVQAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVQNQRVALVTNKRVILLQCVDLDKMDKKPSKILWDVPWEEVLTLELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEHEPQALLLCSSIRKMWRSHQADMKVVRLKVPSGQREVYFASDEDMRESHSFARPLLSPRGAVSNVEERLISDTVNFQKIWSSEQEIRSRCKLLSKQVADDGRVFSIWRPQCPTGYVSIGDVAHVGTHPPHVAALYKNVGGNFALPLGYDLVWRNCAEDYRSPVSIWLPRPPERYVALGCVAVNAFDEPALDHAFCVSERFAEDSVFEEQIVWASSDAYPWGCYVYQVQSRSLQFMALRRPKEDCELKPKKVSESYAQQALERL >OB10G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13974533:13975969:-1 gene:OB10G26020 transcript:OB10G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYHHHHHHQHQQQPQPPLFLARGVVSPENTRAAAMEFPQMTAAAAAAAQQQQPPLFLEFSRGVGDGDGGSNSRKRPREAEAAMATRMLSLQPQAPQGHKVVSLAQLHKRPATGLRLDFDDGSEHVSTTSSASSLLPGELATQFDQYKDEMAHLLQDHTERLRRALGEARRRHYRSLLGAAEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRLPHVPRRGHRHRAGLPLVKEKPNIGTEMAHLFSPTPLGTNPLLKAKSEL >OB10G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13994010:13994240:-1 gene:OB10G26030 transcript:OB10G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPATFLFKNQILKFKSKKFNSSMLLILRIHGQYNFLREGYFDILSSSLTSPYDKRGSSIAVDQHDVIVQGIYIF >OB10G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:13999677:14000771:-1 gene:OB10G26040 transcript:OB10G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPWNALPCSSTSRRRAGGAAPPSMSHHHSAVAAAVTVPASYRLLHRPLLHRLLHRLLHLLLHRSCDRAELNDAVGVTDDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVADEVVDGDRREQHHHHDDGDDEDARRYSRHAPAPSPALLPLHPVSSTTPIVCHHRHPPLRRRRRRNHSRRHHQFDPPVLES >OB10G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14011034:14013117:1 gene:OB10G26050 transcript:OB10G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >OB10G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14014508:14016730:-1 gene:OB10G26060 transcript:OB10G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N508] MRRGAVVLLAMAVAVAALAGRCGAQLASGYYQGKCIMNGSGNGNSSAAGNSSSSVAVDVESIIHDAVQARLAWDKRMVAGLLHMIFHDCFVNGCDASILLDGPNTEKTAPQNNGIFGYDFIDDVKDKLEAACPGVVSCADIIVAATRDAIGMCGGPRYEVQLGRLDGRVSQAWMATDLPGPDVDIPTAIDMFAKKGLNSFDMAILMGAHTVGVTHCSVIHDRLYNFNGTGEADPSMEPLYVWILTTFACPKGQSFDNIVYLDDPSSILTVDRSYYAQILKRRGVLAVDQKLGDHAATAWMVNFLGTTDFFTSMFPYALNKLAAVEVKTGGAGEIRTNCRRTN >OB10G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14022021:14024636:1 gene:OB10G26070 transcript:OB10G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43822) TAIR;Acc:AT5G43822] MEALVRRVQQRVRKAQEEMDRWDDLNSRLLSQFANATAIIARLPVLEEVKNYGVLRCVPSIREDLVGKQMESLEIIFVSMRETVEEFNSIAKSLHKALRDTNQMVKGGPALTAKQMQLQLGILPTIADCLDGLRTLCEMHQAEYALKSSVISLLTWASSSSDITAMRQLLVDQPNIAKNEVQSIFDVIFADEIC >OB10G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14025420:14027018:1 gene:OB10G26080 transcript:OB10G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAGSPPPPTTTTAPSYTGLLAALHHSVTGGHAAAAVYLLPELSRAGLRPPFPLLSSLARLLLLRRAAPSFPSLAGRLLLYVRLAGLKRLVPCSTHLANRLLHLNFLLRRPRDARRLFVRMPHPDICSYNAMLAGYARLALAAPAAEVFASMPNRDLLSYNASMLALAGGSEMQKAVALYSELRSTSTSLGYSDQTFLALLVGCEKLMDRELARQLHAHLILHGFLSDVNIASSLVDVYIKCGCIADATDLFNEVPVKSMQMWTAIVCGYVEDDQLTTARQLFDQMPKKNILSWNALMEGYVKHGQEEEAMSIFQRLIKEGVHPDQITFSSCFRGCAAVSALKCGQQVHGRLSKTRFYDNAMILSSLIDMYSRCGYLADAIQVFCLTDQENRDTVLWNALLGALCHHGHGQEVIESFVQMIRERQKPDANTFLPVLKSCCHCNLVEEGMGFFELMTERYRIVPGEDHYFCLVDLFSCSSSHDKMIDGIKNSPFVFSKQVWETLAGNCIIHGDSESLKLIEEHLAESASQK >OB10G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14026154:14029826:-1 gene:OB10G26090 transcript:OB10G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:camphor resistance CrcB family protein [Source:Projected from Arabidopsis thaliana (AT2G41705) TAIR;Acc:AT2G41705] MESSSARSNNTSERNRLDYARSVSMDSAGHSLGARSGSILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDSSVLAQIIDSGDRGLAFEEEEAENTFDGNNSQGTNVVAPVPAMETKDINANGAASSSIKAESYKLSCVQDYASYLIHLAVFGFFGVFTRYGLQKLFGPGCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVSLSSRGHWVYAVAGIVLGMFIVNESITVGAETGERLRAWILKCIREKSSIGSRCDWEHWRVDTRTKHFVLLAVMLTLLSLIWILSIVLAIVKVHSLADGAVLWLGCSVAPPGVWLRWYLARLNGGGIGVGKQRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTKRSTTVRNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFILSFVLGTLIYSVPVWVEHY >OB10G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14030080:14030400:1 gene:OB10G26100 transcript:OB10G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQHLSNSTKPCPKIKDETIQEKQIICIVVFLVLNPLFFFYSESERCRKLSLATTQMAHAQTCTSRKEKQDTKQQLTRSTKTEKIKLAYETSRYMNKNMQFSFFLF >OB10G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14031446:14038723:1 gene:OB10G26110 transcript:OB10G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGCGGGGGYCELRDVRVELDLSKVVGGGDGGEGFAVCFWLYLSSSARPSSVILHQMEGGGGGRVPFLALGEGSKLVLFPLLGFHREAPTPGCSYPWTGITNLAEVNECPLDNWFHVGCEVTEHIMRLHIDGNLVAEAHLCLLYNKPGNQNDLKQINLLGSEDKLEGYVYNMELSSMLGTIQQQYAENPPFKLSIDYSCCDGIEEGDDGIWNIVGGKASCRRNFILEVVLVDAFGEAVKDREIAASLVYADNGALVEKSRDDSEPPLLISCDGLEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIYFSTLDMKRYPFLEAYSKPIRCISRNRTNRQLGSVKRIGSSLVDEIQSTNNIEGVGHNGKSNGRLQTHDPSSVVCFHPSKFSKIEGDVHKVASENKQARKMMLDKGVQDVMGSDSTASDFDSMDAGSSWSGSDGDEVESFSDAEIFRYCLDSTYERLKFLRSAAPSVNEDDLVKLANQVSLYSGCAHHRNQILMSKQLLQEGADTWNLISKNNEHALWSSAVNEIKAKFMDIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAAALSKDKINKLWDCTTHRWIEGLITLEEAENALRSSRELVKRQGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHKLLSLDVSDARSGNLEDLLLKEPELSQLSRVDRLPTSMQS >OB10G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14036734:14040459:-1 gene:OB10G26120 transcript:OB10G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske [2Fe-2S] domain [Source:Projected from Arabidopsis thaliana (AT1G44446) TAIR;Acc:AT1G44446] MTTVASLSLLPHLLIKPSFRCCSRKGVGRYGGIKVYAVLGDEGAEYAKNNTWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAGLQEELEKAHNQVHLSEARVSTALDKLAQMETLVNDRLLQDGSSSASTAECTSLAPSTSSAGRVVSKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPYHGWEYSTDGKCEKMPSTKMLDVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWLKHVPFMHILWSHFADKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGADRLPFSNQSESGS >OB10G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14042442:14044628:1 gene:OB10G26130 transcript:OB10G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MPPPRRALLAPLLRLRGFSSLAHHSPLPPPPRRHQFVLPADPAAGIQGRGGIGNPLDPAQLLRDDPVAITASLWVSSFRAQPATAAPPSLSPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLSSLLALRNAVLDARFRFGNRLTPFLHSPRPAAAADPATLSKRKLRALLTTPGPAPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPAIVVGALIRDVRDKKVVDLIRSALLTPPVTARPGDEDAAKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDELDQWMEAKIKEFYRPSKSDVVGGEDEVEQGNTSWPEFVPTSGPDKTRKVDYIRYGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTASGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNAQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTVEEQRSCIREEGLITPQDYISMLVWSYKKNAVLLPSFKESDHKGSTEDLGSDTDELSDKELGNPGHVDSPKVAEMP >OB10G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14053323:14053532:-1 gene:OB10G26140 transcript:OB10G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding GASRRIRPPWARPTGATCPPAAPRRSGATPPGSSPTWVGSDDAISRAFFNFSFLFFFDKFYLYFLFYKI >OB10G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14062417:14068194:-1 gene:OB10G26150 transcript:OB10G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGGGGTASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFTDFSLVRNEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIESMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIIARRKEQEFFASSSEYSHLASRMGSEYLAKLLSQHLEAVIRARIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHHVLKELVRKSIGETQELRRFPTLQAELAAACFHALERFREDGRKTTVRLVDMESTYLTVEFFRKLPQEVDKTGTGNPSTPSVDRYADAHFRRIASNVSAYIAMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYTQVGSKDAKELARLLDEDPALMERRQQCFKRLELYKSARDEIDAVSWSR >OB10G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14070915:14074992:-1 gene:OB10G26160 transcript:OB10G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVEGMSSPYCAQYPCKCDNISAFKASQAESPQIDVRKAAILCKEKSRRSKCCHPADMPIIPEQAMEFLSRTWSPSSSDLFQTLRTSSENLQPEKASKDEEENEEKDEGQDEGTHLSTVHVSGGKNQSFNQTWCVLASEMPSPIQRKHKLKQPTWQLNVKYMKEILRGHFLNGVAVTGRQQKKRKEELRLQAAKAHASVSVAQLAAAIASIVSVCELRPANLKCVEAADCKKMGTVLASAAALIATVCAEAAEISGADRSRVRSAVKTGLESCSSTELLTLTATAATCLRGAAALKLRADARGISRNSSVGINATSFRKGTILRVRLPCGSVRVRTVAFFPQHGTVALRLGKRHLHGVFSTYKDYEVQAVSIDGGEGGGGGGPVLFPLALSTAAGVVQLLLDSQVHCKVWKSAIEDMLLSDQNTKHAKR >OB10G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14070964:14071314:1 gene:OB10G26170 transcript:OB10G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQTLQCTWLSSSSCTTPAAVLSASGNSTGPPPPPPSPPSMETACTSSEPAAAADRNGARDQYSQVEPKSQQLHTCSSANKRDMTGQLLAKHARLSEESGDRRNEAKRQTLQVT >OB10G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14076859:14078374:1 gene:OB10G26180 transcript:OB10G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMVAQQISSVEAAAGQRPRVEQETHCSVDCGGVRINDMRAQKVFDTLIGKQQESCWKR >OB10G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14078191:14081061:-1 gene:OB10G26190 transcript:OB10G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFKKGVEFGMASLQRCHSLSCQMAEGTQIDARKAGNRCGKEKSRRAKCSHPAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVGRQEDEMMGDEDDEAHGDTVRFDGGRSQQVFDQGILASGKSSSGQRKHKPTTPTWLNMGHLRAILRGYLMDSFPVAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSSSGSSAGAGAGADRKLSTVLASAAALVATVCAESAESAGADRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRAEVSRGVSNSSSSSNSMMTMSTDTASIQKGTILRVCLPCGRLRLRTVAVFPEPGTVVLRLGKKRLHGAFTTYQHYEVLSASSCGEAVADCRKFFPVALSTAAGTVQLLLDNQMHCKVWKASIDSMLSEREVKHFKS >OB10G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14084055:14086148:-1 gene:OB10G26200 transcript:OB10G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFAPSLPLHVAPPPASQLWRRGRKTTSVSWGRMAAVPVDMAAVASSTLSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNGVPITEEFYSANISGGHNDDLARALFPDMDHDKAMKFMDDKEALFRKLAPEQLNAVDGLHELCRWIEHHKLKRAAVTNAPRPNAELMLSLLGLTDFFPVLIIGSECDRAKPFPDPYLKALELIDASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPDKVLKDAGASLLIEDFQDPKLLSMLAELEPTVAVEQV >OB10G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14088137:14089983:1 gene:OB10G26210 transcript:OB10G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIGRALATIFFIWFALSGWLFRVFIFGTFVLPFAAPLLLGTFGNRVAIEGTCPACKRRFVGYRSQVIRCMNCQNIVWQPNNRSSGRAGSSRSSGPDVIDVEFEEK >OB10G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14090524:14090800:-1 gene:OB10G26220 transcript:OB10G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATQGMVLYMGSSFLLSPAPTSFATISDEFARKPARTCEGEKPIEPILDIGIETDKPSHVWRCIIN >OB10G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14091403:14095905:-1 gene:OB10G26230 transcript:OB10G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:J3N525] MALEISMEGIEARARELGVDLSAVDLDYITLPAGEDFGIPSDDEDLLRDDDPMELEMGFANVVVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKEGGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTLTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFDRLMRFAHPQVKLIDFSPGEKYLVTYSSQEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFTTSGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETDTFSLLDKKSLKVENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAIIHGDGPKPDISFYSMRTSNNISRVSKLTTLKSKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFYQFMWRPRPPSLLTAEKEEEISKNLRKYSKKYEQEDQDAFNMLSEQERKRRKQLQEEWEGWVAKWKRLHEEERPYRMELRDGEASDDEEEYDTKEVEVEEIVDVHEEEVPFELDQ >OB10G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14098074:14098581:-1 gene:OB10G26240 transcript:OB10G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGFQIWSFSGKQLYKVSKDHFYQFMWRPRPPSLLTAEKEEEISKNLRKYSKKYEQEDQDAFNMLSEQERKRRKQLQAEWEGWVAKWKQLHEEEIPYRMELRDGEASDDEEEYDTKEVEVEEIVDVHEEEVPFELDQ >OB10G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14101531:14105043:1 gene:OB10G26250 transcript:OB10G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MRGSRMNPGDRRTRSTMTIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTNKVFEPCHIRYIDYTPCQDQNRAMTFPRENMNYRERHCPAENEKLRCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNMTVQKAVQNWIQFKGDVFKFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLIPWKSNDGIYMFEVDRVLRPGGYWILSGPPINWRTHHQAWKRSKEDLEAEQNIIEKIAEMLCWDKIHEKGDTVIWRKKADSNGCPKKDNRARKMCKIQDADDVWYKKMEGCLTPFPEEAQLQKFPERLFATPPRILQGRTPGVTEEIYEEDNKLWKKYISTYKRINKLIGSSRYRNIMDMNAGLGSFAAVLESPISWVMNVVPAISEKNTLGIVYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNIEDILLEMDRILRPEGAVIMRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEESGS >OB10G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14108693:14109590:1 gene:OB10G26260 transcript:OB10G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWKVLLVMALAFMCALQTTTTTTLLVQGRFVPGPPAPAANPRAGGGVCINCETRDEHESSPPGAASP >OB10G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14111007:14112111:1 gene:OB10G26270 transcript:OB10G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRVLLMALALICALHASSVHGGDRGGGPPPPPPRPNTTDAPPPSAILQVQPHHNRTQLGYLSTVSVSKYIDVCSF >OB10G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14117303:14118394:1 gene:OB10G26280 transcript:OB10G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRSSSSAAAAAAVSLCKLLLMALALICTMHTAPVQGGRAAAEIGFGALDPYHTPTVVPGQPYTRPCRREYNCSPGVGSP >OB10G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14119831:14120979:1 gene:OB10G26290 transcript:OB10G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRSSSSAAAAAAVVSLCKVLLMALALICTMHAAPVEGGRAAAAIGSGALDPTYTPRVPRGQPYTRSCGGPYTKCPPPPGAGSP >OB10G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14122367:14123852:1 gene:OB10G26300 transcript:OB10G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQQRSSCSSSSAAAAVLSLCKVLLMVLALICTLQSVPVQGGRTLAAEIIGVTPTTPARGCRVPFKCSPPPGASP >OB10G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14125696:14126869:1 gene:OB10G26310 transcript:OB10G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCKVLLMALALICTLHATYVHAGGRSGVGPPAPAANDERHNTPGFYTGYPSAAHPP >OB10G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14128228:14138307:1 gene:OB10G26320 transcript:OB10G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3N534] MAAARGQKALAALPHLVKTLRSSEPVSNALRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSLYIRRGHIQTERLQKYLSGWLQIHYFDGPKIHLRYDDTGFKINNVKYEGSLLIVENKIMTWTPKTFAEITAESLSIFKVVHPIPEILILGCGRNIQPISPELRKFVRSTGMKLEAVDSSNAENTEAASQVVSANSRRKTKIVCTIGPSTNTREMIWKLADAGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLQEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTDDTVKCQVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDEKVIHELKAYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPATSPSLVTRPQALLNEEFCQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLSGQYVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >OB10G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14146552:14148288:-1 gene:OB10G26330 transcript:OB10G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVLANRKSESTHFDMKNAAFWLSGIQLVKNFLVTKQVLSFHVQSPLHLFANFPAAGYDLVPNRFGYIYNVGAAALEWQEHSATSDKIQQKRMGNSYVSGVVALENTSLKRKEENKTRPSNLQLQLAMTAPHHRHSRRRTEPYFNLRNNLLLTQALALALVGEKIKQG >OB10G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14149226:14153311:1 gene:OB10G26340 transcript:OB10G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G46570) TAIR;Acc:AT5G46570] MGCFHSKPAGPLPPKDAAALPVDNPADPEAANGADAAPADGDDKDAAKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATSVLPTILSPLGKACSSMDLTAVHDVLLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >OB10G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14163142:14165312:1 gene:OB10G26350 transcript:OB10G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDSLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACADVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFIGAYALCRVIKRHEAGLLGEPAAKAKGVVGGGQMSKASSSSSLVTADHQLGRGNASPFTPTNASPPLDEMFGGDPFQLQSCVPYGAGDACVMDLPPLYVPHGQQDPFFSAAGFPPAAISETQPPFYGDVMGSVSEHELKWDNLGCSGGSSELCNAAAAGAPLLCRQASDGDDLTAWFAADDNMSVF >OB10G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14167331:14170948:1 gene:OB10G26360 transcript:OB10G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAATEAFSRRLSAAVRGLSGAWYGRHMAAADRAIRSRFPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSETERWMAYIKQTSCSCVALNAHSREGIKELLNAVRARIREIKLGESDCTGTVLLVGIPNVGKSAVVNAMHQIGRIGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPVFVNDESGPLLALTGAIKDSMLQGSEIAQFLLAILNSREEYKEWENLNHVGDMSSFGHATSPSSHHNKRQYASDHTQDFVVKAVRQALFDTISSFKGAVANGNELRRLIECQFASLQETFRVSARLSKDIHNVVAMKLINLYRTGRLGRYTLDRAPDVRKEIAS >OB10G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14169344:14172220:-1 gene:OB10G26370 transcript:OB10G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNSSSILHQQVQKISRIPVSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIEIVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVMPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVHKFEGLARSIEACVTEYFQDQDKDDNIVDKQASRASIHIGYRAVLDSKSSDENLAHYASWEPRHSMQCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEVQTPPSVRSLFRNPCTRVAQEVVKVLQELAISIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANNRVLVELNSSKPTATRATLPSFKTDNTSLSERRNTKSDQPPERNERTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKGLEKAANFREFTEHDYLTIDLTSSEKMRNPNGIPLNNHTVSTAAE >OB10G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14173031:14174390:1 gene:OB10G26380 transcript:OB10G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGARCPKYQELRGQYFLYVEQLGEGKELALTLHCTGPLNELTWRGSWERPKEVGKPLVCVDWTTIVGSLPVLLRRTCAEVELTKVTERGFFFGRCSNVCMAVGIGAAVRSFCLLVVDIMPGEITRAHL >OB10G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14177394:14182216:1 gene:OB10G26390 transcript:OB10G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSAAQKFHAFDRLVFFSIIYAAGRVEGVSGELLAVLREARRNAVRLRALQQRKEAAHVVELERRFKVFDDLIQRASRVVSSFSGDAGGGSPGDGGAGVDSVDLEMEVRKKEAAVVAAAAAAEMERGSKGLAALGLESKPISSLRRDLSGVSDMEKLSLIQVASLIESSAKKGTTELNLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDTFGELSNLIDLDLHANQLKSLPSSFGNLMSLANLDLSSNMLKALPDCLGKLTNLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICLATSLVKLNLSRNFADLRALPRSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLDLPPRDVVKLGAQAVVQYMIDMNAARGRGTNQKKTDRRSFWAWLFSLFGCCKKDQELGLVPV >OB10G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14185413:14194515:-1 gene:OB10G26400 transcript:OB10G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDHTRHRDLALPEESVEGRGSGRRVEGPGNSEVVAKMEDKLTARKRPVPSDSPNVKAESGTCNVCCAPCSSCLHRNISLTDSNMDCGSSQTCFARSETKNHSFVRSDKGLRFKGKGGENDDEFSATSSPASYSENGENKVMGRSSVAADSEVDKPAKRRRLVNHGSRSPRIECHDDSNSCVTGVSAAGKLLLDKKKDKLSTSASSRDLTGNYKDHGINSHNRLKNYCIEESTGKKRSDVHVMHRSSSDKALPADSPFATKKLLRTQSSLSASHGLSSKKPTQGFGNSQDNLAHQSCEKASLNKNVERSLGGKSEPSVLGGERHNMMTSGGTSSSNKIKAGSLKKNLENGTSCSRNGSLEHADIQSNDAVNRNKNDKQEQNQAFSMDTGSRRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECQLKEDQSQTKSNDGTSTIKILDGKNQNSESMSNPKTLKVVVNDLDSQQIICGKPVSTDPLSGSNQKLHLVSTDLEARQVKCATPTAERLDGRNKHSGTMGNHKRLQVVTSGLEARQSTCSTPTPGSFDKKNQGVDKKSQSSEVLLNRKKLRVATDMDSPLSNEGVRSPPKSCKRYAENTLSSTPRLLKTESPRKHDVLSRENSFKSSNKGSFKSSDNAQMRTQAVNSSVNLPRSYSLGSLANVKTPVPSPRGVVSKQTSFNSSNHEPKVKQLAEPVVSKLKPSKHSPRDPREQGTIRKVFKSGSFKHESSVCKDSSSSKEKQSVHSSQNEKPRILKPVKPTNLLERRASFNLKKPNIPSSPRPDSSIKSGDPRNDQDSPRPGPSILKSSKKTGIVEKKRSSILSKSEKQGILVRSSTGVASSKDICAVKASDPLIEMDKIKTDNTDNACETPLVLVKNDDEMPTKPEGLAMPSTSMTQRSFLQEIAPTSSSEDFPSEEVHHEEHIIQSVGNGSSKSAVAVQASKDILPGSPQGHLVVHNPNNPDSKLNDLNLKQESFVDLYSAVEGSFGALVIPEQTYIWQGTFEVSRPGNSPEMYDGFQAHLSACASPKVLEVVKQLPQRIQLAEVPRRSSWPLQFKEVKPNEDSIALYFFAKDVESYERAYGKLLKNMLAGDLSLAAKISDIELLIFTSDKLPEKAQRWNGLLFFWGVFHARKANSSTELLVKGMDQSPLEQINEPANQLVCSPKMPQSLGIDLNECPIDELYDPAVSVEVKMENGGASVDHETLLSPNHETKRLNSCEIHCPETAVTGKILFGTPTAVPFGVHVHASSKGECLNIKPEYPSDTIGGKGPVGRDHMEEEESFSKNEALRFAKQLTGVGRPVSDEILTNAPSLVSLQHSVQPKLSYDPSDSISKDLLPDSNSIYKRQKTSDGKYSTCSFGDGQLTGKCLSKIHPLPADHHTSLDDVRYLCGVPAGTCSPTKQVSDHVIHVLSSDDEDSPEPRNNLNKPSLKEEEGPSALQLSLSMVSKKNNLAGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >OB10G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14205039:14210570:-1 gene:OB10G26410 transcript:OB10G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPADTDLVLVEANGATRTLVLNRPKQLNAFSYEMIMKFLRCFTAYEEDEGVKLLIVKGKGRAFCAGGDVSAVVRSINNDSWKYGAHFFGNEFLLNYIIATCNKPQVSLLTGIVMGGGAGISIHGRFRVVTESTIFAMPETALGLFPDIGASYFLSRLPGFYGEYAGLTGVRLDAPEMLACGLATHFVPSDRLALLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKRTVEEIIASLEQEALNVADEWIPVAIQSMKKASPTSLKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDKDRNPKWMPPRLEDVHDDAVEKYFSKVDDPEWEDLNLPPRRSYGRRLVPKL >OB10G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14212223:14214000:-1 gene:OB10G26420 transcript:OB10G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEPRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQHQQQQHQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >OB10G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14220337:14223863:1 gene:OB10G26430 transcript:OB10G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G27600) TAIR;Acc:AT4G27600] MALASSSPSSRILPRLSSPPASPSASLSPLPPRATRRPRRYSRFAVRWEGRLVAPRALLGGFEDADAAESDDEEDADAVLRPLEGEDVGLGLDLHAPPSGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALSRLGSSRSTSYPKLRIAMAGSVGSDPLGSFYRGKLNRANVHFLSKPVEDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLASLVSKSNILIVEGYLFELPHTIEAIKQACEDAHKNGSLIAVTASDVSCIKRCYNDFWDIVANYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVQGSYIGVKGEAIYIPPPPCVPVDTCGAGDAYASGILYGILRGSSDLKGIGLLASRVAAVVVGQQGTRLRVQDADKLAESFAHHLDNLEFCSDIETDHVSNF >OB10G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14227151:14228609:1 gene:OB10G26440 transcript:OB10G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1 family [Source:Projected from Arabidopsis thaliana (AT2G38710) TAIR;Acc:AT2G38710] MGPLFVTWKKVTNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTEYETALNHLDWEVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKSGYNGTITDSLRKKIRVTRYQSTLYTMHYGEYAAYIKKNRGAAPEINGAPIVNGFKPGH >OB10G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14229161:14229918:-1 gene:OB10G26450 transcript:OB10G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEEIDDDDCCEIDPEEFAKKVQFRVFDDVILVAAKGPIKVEMNPPEGFPTSLDASDSGNDLHLHHEHAAGDHMDIPFEVDEDDKLDNGEGKHRADVLQVDEDGRCPEKVISDKVPVKCEPEDHDAAGEDDAYDLLPDINGFSHELFPDERRVFDEEDDDDVVVVRRDAPEPFSILFFHIMFC >OB10G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14231182:14233128:-1 gene:OB10G26460 transcript:OB10G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKAKAKPKPKPKGRRPAPPPPPPPPPASPPPPTTNKRRLDDDCCILAADPLAHDVVPTAAADANDDIAVVAESGKVACRDYPHPRSVCAKYPFLTTSHEDHCQQCGKHVTLPVTDNWPQNQFLWDIETNNTILPLKASSCVYVFQVSKWLCPSIIGYYWTYRTRFAFLLLIGIITKRVPHELQCFCYVCDVPAPCSTWKGKKGHCHASDKDKEWKVKRVARQRRAEVTPLT >OB10G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14236572:14237458:1 gene:OB10G26470 transcript:OB10G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQQQEQQAEAPPPGLGLTAAEYTQLRPTVEAHHRYAVGPGQCSSLLAQRIHAPAAAVWAVVRRFDCPQVYKHFIRSCVLRPDPDSGSDDLRPGRLREPRLRNYRSVTTVSDLSDDQICTTVVLESYIVDVPEGNTEEDTRLFADTVIRLNLQKLKSVSEANAAAAAAAPPPPAAE >OB10G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14241212:14244016:-1 gene:OB10G26480 transcript:OB10G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEMGDVAKDLTAGTVGGAAQLIVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVNQQVIAGAGAGVAVSFLACPTELIKCRLQAQSALAAAAPAAAAAPYGGPIDVAKHVLRSEGGAGGLFKGLLPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVLQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >OB10G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14245013:14246856:-1 gene:OB10G26490 transcript:OB10G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLAAGTVGGVANLIVGHPFDTIKVKLQSQPTPAPGHLPKYAGAVDAVKQTIAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGHPLTVKQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAAASGVALPKGPIDVAKHVVREAGTKGLFKGLVPTMGREVPGNAVMFGVYEATKQYLAGGQDTSNLGRGSLILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >OB10G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14250904:14252766:-1 gene:OB10G26500 transcript:OB10G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nonsense-mediated mRNA decay NMD3 family protein [Source:Projected from Arabidopsis thaliana (AT2G03820) TAIR;Acc:AT2G03820] MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCLRARVDITEGVPRHAAVVYCPDCTSYIQPRAARRPGVARAHADPPPPPQPPARPPPRLPHRCRVRLLRAPLQAPPPQAPPPPRGPPRRRPRADPPRRVHRPRPPLRRMLPRPVQPRPVGRRRPAPPARPAPPHLPLPRAAPPQARPGFPRAARRRRPRRPRLLLRFALACCPPRRLPQLRLRREVLHGVVLEQTHPVEFTVHDRLCDACSRAQSNPDQWVAVVQLRQHVPHRRTFLFLEQLLLKHGQASLALRVAAAPGGLDFYFGSRSHAARLVDFLATVAPVHTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPLVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTIFTVRTHLGHLLSPGDLALGYDLYGANFNDDNMDTAMTQHSLPEVILVKKSYEKRPRTRRWKLKRLPVEEDAVNKAKGEEEKRANEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDEEDQEDEGNAHTGMVA >OB10G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14254910:14255455:1 gene:OB10G26510 transcript:OB10G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLLLLNAHAITTHDPAANASRSPPPPDQRQSFPTLLPVFILFVLLLCFLSIFLIRDLLHFLSLWLRRRRRRPGLHDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGDDVRLLTACRHAFHTACIDSWLRAHTTCPVCRSDLDAPVHGEPAIAVDVECDQRGGAG >OB10G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14257517:14259117:-1 gene:OB10G26520 transcript:OB10G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGDHSMQTQSEVSAGDHSIQSTPASSTVGRGGNIWVRVLPKTVTFQSQVDSPPTSAESTPAAEDEYVWADKYRPNFLKDFICNKDAARELYQQVTAQECNHIIFEGPPAVGKRSMVSALIRDALAPDGLKTEEIIKRFELKGEIAKHIDIRVKISGHHVEVNLADIHGYEKHVITTLLNESIPSPNSICSHANCRVIVVHDADKLSYDLQHYIGWFLGRYVGCNKIMFCCSDASNLEDVRHLCKVVTLKPPSFDEVLKIQPIDFVWIFYLLICNAVQFAKKYFNP >OB10G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14260563:14260739:-1 gene:OB10G26530 transcript:OB10G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAHQPVSTEQLATLILLAGAGAARKLRDPRSHPNPNPWKLLESRPAPPWTRSPHPR >OB10G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14266667:14268149:-1 gene:OB10G26540 transcript:OB10G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEDDEAAAAVAHGEQWPRWLRPLLSARFFAHCKVHADSHRSGECNMFCLDCAADADAGTAALCSLCLADSHRDHHTIQIRRSSYHDVIRVLDIQKFMDIGGVQTYVINSARVVFLNERPQQKPGKGSVANICEVCSRSLLDNFRFCSLGCKVVGCSPDGKKLTRRKRLRRAMGSTSDSDGSTTPAKRSFTPSTPPPPPTMPAKRRKGIPHRAPFGSLII >OB10G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14272918:14273091:-1 gene:OB10G26550 transcript:OB10G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGESCQLFRLASNSTASSISLLIVFSSPLPLANPSYLHLLKIYWLSSLKQMLKFD >OB10G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14274373:14278874:-1 gene:OB10G26560 transcript:OB10G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSSSSAAADKNAVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVTKSSTEDGNNSWPSSPVAASQPSNQDAAISDLKLVEASKEVVSEKTEPEVILSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEEPAPALPPVTENSTARSKSHTSRFEYVENIPSAGSNSEENQVVGHVAPPKSSNFFGEFGMDSGYHKKSAPGPSKVQVEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSAISSADLFGHPTNSSNVDLSASDLINRLSFQASQDLSSIKNIAGETGKKLTSLASNIMSDLQDRIL >OB10G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14293864:14295678:1 gene:OB10G26570 transcript:OB10G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASADLPAFPWGAPASTPPPPALSQQQHQQVVPPAPAPAPAAFNQDTLQQRLQSIIEGSRDTWTYAIFWQSSLDVVPPGASLLGWGDGYYKGCDEDKRKQRSATPAAAAEQEHRKRVLRELNSLIAGAGAAPDEAVEEEVTDTEWFFLVSMTQSFHNGMGLPGQAFFASQPTWIATGLSTAPCERARQAYTFGLRTMVCLPLASGVLELGSTDVIFQTGDSLPRIRALFNLSGGSSWPPQPPQPDADPSVLWLADTPAMDMKDSISAAEISVSKPPPPPQQIQHFENGSTSTLTVTENPSPSVHAPPPQQSVAQPQQRQQQQNSQAQQGPFRRELNFSDFASNGAPPPFFKPESGEILNFGPDSASRRNPSPAPPAATARLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHGATRWRSRPRSWGWRP >OB10G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14297409:14311564:-1 gene:OB10G26580 transcript:OB10G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G26890) TAIR;Acc:AT2G26890] MDFAQPAADASPSSPRASSSAAAAAEEPEYLARYFVVKHSWRGRYKRILCIASSGLVTLDPTTLTVTNSYDAAFAFDRAAPEGNATEFTLSVRTDARSKFKALRFSSPLRAGILTELHRLRPVHPVLEFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMAASGSTNTVIISCLTKAANSMVGLSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPLHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVAHVHIPHHTVDMEAANMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPSTPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLMDTRGESHATYMHAKSVLFSLPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFHAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRVGKSMAVPEQGMPSNNNDGDFFGHTNVAPYGSDVHQRHANQYPTAHTPSPGLSVDPSHAVPHNFLPEAFSENNYQTGALQLDSHSYLVDSNGNGDLANSGHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDGSDSDSLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNELSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVRVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSPNLTNSIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGNVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFQSGRAEILKFGGLVEDIVHCTELEFVPLAVDAALQTAANVSVSSELQSALLAAGFLWFVLPLLLQYDSTAEENATSEAHGVGARVQIAKNLHAVHATQALSRLCGLDGDGISSPSNQPAFDALRALLTPKLADMLRNNPPKELLLNLNSNLESPEIIWNSSTRGELLKFVDHQRANQGPDGSYDLTESHCFTYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCTALLKFIAELVQKWNSLSLEENLMHQHETAIEMSITENGDVSGSTNEGKLEDSLEKHSRGVSEGDSEVIMYLRSGLTSLQNLLTSNPGLAAVFASKERLAPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRPCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIKDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQATSKLQAEPSDQENLSDSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQTSAAGVAGLIESSGSRLTYALTAPPPQPALVRLPSTAPAPPSAPANPSGRHSQHS >OB10G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14315841:14316425:-1 gene:OB10G26590 transcript:OB10G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRHQATAAPPSRVHRASHLIHKQPPSSSASSSSSNSSGSGSGSAHHRPPPRQHKHQQQQPVIIYTHSPKVIRTNPRDFMSIVQKLTGLETNKHGSTATSREDSSSSTDSCANQAHVAAPPPYVDPHPMPPPPPLGTRHFIPPEIPLFAPAAAASEMPLCASRGFYGGGPFMNSAGGATAFSPDLAFPDH >OB10G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14317099:14317815:1 gene:OB10G26600 transcript:OB10G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVSVTIKYLADPNTLSSEQLDWAIDIEGLVRILRIQKARGGGAKEERCWLDACIVEAPEIVSATCRLYDKHLNHLRSLAATDNLIDIAIAELINVELAEANNIRSRLTLVMKKTIALKEMLTRGVPVCARRPGEEALVQALVPLDKHVATVKTQLTTSQAFATTISRYRALFAMANNPVNTMHKRSTSPENPEGPP >OB10G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14318518:14320171:1 gene:OB10G26610 transcript:OB10G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSRSRGGAWFRAEIEIDRGVAIPRGPEAGFAAGVREPLVKLQRPRLECERWDWDYFAWPHDRPDANLEMRDSDPEATFEADRKANDDFLHRSMLELDKHESDQRKTEQQDMEPEDVERFVASLLNVDDEPTGCCGCGE >OB10G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14324252:14328987:-1 gene:OB10G26620 transcript:OB10G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMFGDCQVLSSMAAMAGASSSADALFTSPLIPNPALAGFMSSSAAMPFHHFSSAATTLIPKEEGLMGGLHVAKDEEMDLEMEMELSGGSGSGHLDSLLSFADVDDDGREQKPQQSGHDQAADGGQQQAGAAAGGGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLGEMTYEEQQLRIENARLKDELDRLACIATRYGGGRPRLFSPVLSTSALSCMSAPPPVLMPPLDLDMNVYSRHFAEQAPVMGGCADLMPNVVPQQMDGAAAYIMAPMQEQDKQLVLDLASTAADQLSRMCRAGEPLWVRQRGVASEVMSVDEHARTFAWPVDGEKHDDAAGAVARTEGTRDNAVVIMNSINLVDAFLDADKWMELFPSIVCKARTIQIINHGAASGHLGNGTLLLMQAEVQFLSPLVPAREVVFFRYCVHNADEGSWAIVDFPAEGFQEGLLQASAVRCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLQPVFRDYVAGGAAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTALSDSTEDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFTHHQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATSPSPAAATISSSTTTTTTTTGNGESSSTPPPGNSNADELPPAANGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITAALKGNAAAAGMSRAAAAAEPASAGSNQ >OB10G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14331770:14336318:1 gene:OB10G26630 transcript:OB10G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G35490) TAIR;Acc:AT2G35490] MEIELNLHAVVCAYGAYRQLQYTGNSRPAPVTDEWGEPGVPELQSTSAADPPTNDDEWGGDPAPAPAPAPAPAPEEEDGEERREELKRCLVDTVYGSDLGFRASAEVRGEVLELVTQLEAANPTPAPVQATDLLDGNWILIYTAYSELLPILAVGAAPLFKVDEISQEVDTKSMTIVNASTISSPFASLSFSATASFDVQSPSRVQVQFKEGSFQPPKISSSVDLPAEVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLQIPGNNRGRSWLLTTYLDKDLRISRGDGGLFILVKEGSPLLDQL >OB10G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14338559:14346563:-1 gene:OB10G26640 transcript:OB10G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79190) TAIR;Acc:AT1G79190] MEMAAASDETLAAIFAQLKPHTVTLLDLIRSRTPASKSAAASSLRAMASFLRSAPTPALQLCFDYTVFPLLLLLDAAVQCRKEANAAAGELDISDSIAEGGLACLEVLLTKCCLTSVNQMVALLKKLTFGAMLSPSEASEEFRQGIIRCFRAMILQLYPCLDPSCSCKQATALPTALSIASLEVGSMVSPKYSTRPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHRGSADVRKESLITLRILIAKVGSADALAFFLPGLVSRLGRVLYTSKNMISGAAGSALSIEQAVLGLTEALTVVLNDKENLSGLDISSDENVVHCSDANSSSEHVLQMLRQLPAKKSEQIGSCEATEDLTADGSKTSADRRELHVKRTKKWLEETANNVDKLLSATFPHLSVHSSEKVRRSAVNGIRGLLFSCSYTLRKSKMLLVECLCVLACDDAAAVSEAAQESLDYLFMQGQRILTEDDVSDIFIRFVEKLPQMVLGSEETLAISHARRLLALTYYAGPQFLANYLHGSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVGELKSGAYPKDENYGFQHVMTASTTSKISVIQDNGLPNTTHSSVDYDLPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETDASLSVFVDILLDQFRRLSTELRDSGQRWYMKSDAGQMLRQASSAVCMLNELIYGLSDRSLGICLQIFNKSSAQAIGAPGQNGQLTAVGQRSGGTNRNVWKISERMGVKEHTIHCIGSILHEYMAPEIWDLPTESDSELSQTEFNIPLHFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDTVLRALATAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASHDILPFLEEPMRAVSSELEVLGRLDHPHLTVSFLKAVSEVAKASRHESVSLPDVVESFFLKVKTEGQAIQNLIEKRRDTSAISAERMNVDAQPDFLGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISISKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDATEDVDENRLLPAVNKLWPYLVICLRNKISVSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVVWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLGMVAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAISALKGLACIDSDLIWLLLADVYYSLNQVDIPLPPKQDLRELSDLLPPPISSREYLFVLYGGEGVRCDVDPSSVHEVFKSMQDMVLT >OB10G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14347667:14348464:1 gene:OB10G26650 transcript:OB10G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSVGSPGGRPRLLDGAAEADEKAGKKARAAPDKSIHLIPVLTLLCFLVLFLLSHDPSVSSSLATDAPACLAGHCFSSHQAVLCYCSGGSDGVCGAQRRRPPAAKAGGKAGPRAEAGDGAAVTAANAGGCDVTAGSRWKKVVPTVGASHRLFLIFLLPSRCLFLGIIRPSSMDASPTAPSSRLVCSSWYGATGSRILTKCSIFFLPWP >OB10G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14351483:14352498:-1 gene:OB10G26660 transcript:OB10G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSTVSAASAGAISAAXXXXXXXXXXXXXXXXXXXXXGAGGGAFSAADDVADSIDALYRKDEAMAELKSEVMEALQKEVRSLDDDSWMFAAPRSRINLVSMSGGCLRKKQEKLADLGQASKKTRNF >OB10G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14352690:14353217:1 gene:OB10G26670 transcript:OB10G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGKVEFDESPPDDFDPRNPYGDPVAMLEFREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHDPKKKVEADE >OB10G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14356744:14356911:1 gene:OB10G26680 transcript:OB10G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGHCTACRYATFGATVITAQHLLGQSSNQWKHSKFSKLVRGHAQKQLGRKQPI >OB10G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14357598:14358611:-1 gene:OB10G26690 transcript:OB10G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:J3N571] MAAADADADAGERYVIGYALAPKKQQSFIQPSLLTRAAARGIDLVPVDPERPLPDQGPFHLLIHKLYGDDWRAQLHAFSAAHPSVPVVDPPHAIDRLHNRISMLQVVSELDVPPHAHHTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGSAKSHKMSLIYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSNDVLEDASAEGSVSFSQVSNLPTERTAQEYYDDMRLEDAVVPPTAFINHIAAGLRRALGLQLFNFDMIRDLRAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKEDTPQAEEGSNHAVVK >OB10G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14362483:14363255:-1 gene:OB10G26700 transcript:OB10G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17940) TAIR;Acc:AT4G17940] MAKLSRSASLSPRLCRSSTTFTFRPSPSTHAAASPPTQRRLLVLRRATSDADLARSTTATTSPQLLRDILEEDVVDGSGRGKGSDRSGGGSGGGGGGGHMMDMGEYYRRVLRVEPENPLVLRNYGRYLQEVEGDLGGAEECYARALLACPDDGDLLSLYGQVLWEARHDKDRAAAYLERAVHAAPHDCYVLGSYASFLWDAEEEEEEEEPAVAVAGS >OB10G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14368202:14369735:-1 gene:OB10G26710 transcript:OB10G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERKEYAELVRDVAPTKDNPEPFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKELAASSVPRPKKVQ >OB10G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14380469:14389011:1 gene:OB10G26720 transcript:OB10G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEKEKSVCVMDASGPLGRALVARLLHRGYTVHAATYQPQPEEQQHHPRLKLFRADPYDYHAIADAVHGCSGLFAIFNTPAPCFEEEEMMVEAEVRAAHNILEACAQTETMERVVFNSSVTAVVWRPEPEERERESALDETSWSDLSFCRRFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLVTGPGLRLSAANPYLKGAPDMYDHGVLVTVDVDFLADAHIAAYECPTAYGRYLCFNHTVCRPEDAANLAQMLLSPRTPAPPSDELKVIPQMIHTKKLNKLMLEFTSGIYGDIN >OB10G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14389884:14393333:-1 gene:OB10G26730 transcript:OB10G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NERD (InterPro: /.../528); Has 69 Blast hits to 69 proteins in 27 species: Archae - 0; Bacteria - 18; Metazoa - 8; Fungi - 0; Plants - 36; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G65020) TAIR;Acc:AT1G65020] MWVEILCGLLAYKIIRHVFFADSDDPAHLADLDSAHSDLCFALAARLEKLYSGRCFVGLRIPDPDAGDRQHVDIVLITTREVMLVAIHNISGFVEVDKDGNWTCPTDKKHKHDVIPNPVLQVNRLSSNLQSYLELRGAKLPDGHITGRVVLPNPNCRPSYAITLQPEVILYDQWKDLKADSKGGLSTWIKGAFSGSKGDMQDSLIQNLHFILSTSPMWDRLELKGDRNILGEFIEFKGRHDDIQALKCLTRSKVSRFIVQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEILFQPLHSKKVKKFKLSSVASVTLSV >OB10G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14394565:14397484:1 gene:OB10G26740 transcript:OB10G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKAHALAPRVSRLQSRQAAASKASNSELDKSSMDIHVPKPERRSFKAAPRATTPDVRIRSNKAQARGARASSELQAQLNAVQDDLKNAREHLAAIDRDKAQLLHDLSLTRRLADDAYAKLDASLAAQRAAEEALELERFKSLEREQLAIDLAQTKEGEWNARCDAIDKRRAEVAAEVDRVKDQLALAEQTADKAATLTAEVARLQSELETKAAEAAAIVANLESQAAGLRAELQNAEASRKEELGRAEQLLHGLKVDIAYAKRAEADASQSAQEWKTKAESLQARLDELSSLNKSNEDSLASLTNSFEECKSMLQHEQSQVVQLKEKVASLEKEACEYKEGFLETNRRLDIATKEARQLQATIESLSSGHKLLNEALTNEKTVSSQVGLLSQDKIRIQQELDAAILERDKAKKAVEDLAAALREVSSEAREAKERVLAKQGELDSAQLQISELKAEMKNAQDRFELMLDESKHDVACLKKTVEKLGSEAKISNDEWASKEAGFVDMIKTSEEGMSCVKSEMSSLTVSLGAAEKQVKELNAERSQLLDKLKQFQLSNSEGSSISPISVQQIADESENTIRLKDLLSSKEKEVLALNNEVTDLRLRETAAMAKANELSKLLAEAAAKKAEEEETAKGTEKSKVLLMKLEMDKLLGSLKAAEHEANAAKDDKAQLHTKLMRLESKITEANLTAEEEKISSLRLKETLAEKEKELLIVARENDSLRTREAAAQAKIDELSSLVADAATARKLAGEYSSNGVAIRSPEKQQNMFLKMICSPMDNVRDDINSSSYRRVQEDEIKHVEVEVVKQQVKHGKEQASAMEVNTLENSKIIEDDISKHRDDATESSDDEEIESQGDDAAVEHMNGLLIHGPTSSFHKEQHSHNKKKAALLKKFGSLLKKKAHFTKLNSHSS >OB10G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14401416:14401772:1 gene:OB10G26750 transcript:OB10G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGRLHGSSCGAAASDLHYISLHRGLRIGKKPEGESSPDGDCGNQKLGEGGAMGPGPRIPVPGSGESAGKLGVSGPACWKPGDSSGYGDLGVGESRLRKPLGEGSIPGDGTGGGGGG >OB10G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14401472:14402077:-1 gene:OB10G26760 transcript:OB10G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQKIRPPPISTPVARPPPVHNHQIPNPNHNPAFHRPPPMPMPMPGPPSWSDSPVSAYMRILENSLFSATPPGAAAAAAAAAAAAVGAAAAAGQAPPPHHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHAGPLTPNFPALSPLPGTGILGPGPMAPPSPSFWFPQSPSGLLSPSGFLPILSPRWREM >OB10G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14404325:14405671:-1 gene:OB10G26770 transcript:OB10G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3N579] MARAANGERVCLALKVFRGVYLAREPCLATSLCVSSLIHSSIQDTHDCYNGRATFLLDHVRRGAAAVTLATHNVDSRQLAAARARELGIGRGDRGLQFARLTGMAGGLSLDRAPQHRVPGEQVLAVRPVEHIIPYLIRRAKENMGLLSSSSFDKQLLRKELVRRFKVAMLGCNCYMNWLFD >OB10G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14410851:14412360:-1 gene:OB10G26780 transcript:OB10G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSTRRITRSMAKNNDHQRHALHDITNDSPIVGLALTTPASTATKTRPRPRRTPGSGEALLRGQVKTLLQKVDEDPAPNIHALLAVARSPAHLLAPTPANTPHLSAPHAFPLTLPCVLHQEDLIPKLQVIAAALPPPVAQEDENLGECNRALLFEDSPGKSEQSNAGPVVSSSLAFQDSGSDRSPDDDSSSAWSIQVNASSEKGDDDTFTEQDHEEEWLTEDECFDDLCEGMSKISVFDDEEQEEEEEKKVGLPAFQGKHTRFIYDSDGEMEREDVAHVPVENCSMVLRGLPVPEGKHLRFHEEEDEEE >OB10G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14412653:14414932:-1 gene:OB10G26790 transcript:OB10G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVNSVERMECSCRMETCSRGSTTSWTWSCWATGEGTPGTCRPTCMYGNGSTARGREERYLLPFDPTLEAHRYSVLWDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRPGELMTSEVAVMTPAKRGAMRRFRSRQLTYTACHDRVRYKGVVFPECDDSDRDSFHAWGEPKRLSSSSSITYSPAGGVHVLHRSFHSCSCISLSHSNMNLSYYYRVI >OB10G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14429779:14433956:1 gene:OB10G26800 transcript:OB10G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPCSFILLCLCLPMQQVEGRNCLPAEVRSGIETLKRRRLERMRLSAQNNAGNSTLVPARSGGDALRTPANCGVRLHSNNVTGLPGGTRKKDPFAKRRVDKFDMSNLEWIDKIEECPVYCPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAEWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNILLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASRRYALMNRTPLLAHEELLCRSAEFLSHKLLNSDPKLLDKSEHPRSQRCVKSCFVQLMRFQRHTRGLLAKMGSQICYKPKMYSNLSCSMCRRDCYITHVLCGCNFDPICLHHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKERSGFDSYKQAEKNEPSFETTQSISNTEENLLEDAFSGATAADGVKSSPATSTLTSFAKHDVPVRAEATACANQSNQVDSTKRAINTSLIKGTDAVGANASSVADANNGIGSCNASAVEYSGNSDSESEIFRVKRRSGVSGKSASDAKTSSMSDRQVLRRLKKARPEIQQDNKQPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPCTSYSSQEEFAETSRDAGAEVRPKRLKIRLPSSSANRVGEQGSSGQRFSRDDKSLGCWPAI >OB10G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14434240:14436992:1 gene:OB10G26810 transcript:OB10G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shikimate kinase like 2 [Source:Projected from Arabidopsis thaliana (AT2G35500) TAIR;Acc:AT2G35500] MLASTSLSVPPSPSPSIPTRHCHTATFCCFRPPARPPSSRCLLPAAFPPAXXXXSDVSASPAPAPAKDYEFTDGNGEVELRLDIGKLGIESSRDVFVDVDDMSLLVRAKSDGTLQTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATQKSIDTWVGSEGVDSVAEAECVVLESLSSHVRTVVATLGGKEGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSAGSVAYAKSDVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPDSDAPPTNT >OB10G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14437352:14440526:-1 gene:OB10G26820 transcript:OB10G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKYGRNISSLKRKRDNPADGCNTSKFHQHSADNSIIRFYVDEAHKAKIKCFNMQFIQSYQNFMSSALPKRILLRQGGEWKDFPEQIVKLAHIDFRTKKSITEGEYQNQIFLLDFVNMTFIDSKTGLQRPIAWIDENGKQYFPEFFVEDQLLHRKKDFGNRDNVYIIVEPNGTQEMNGHFGASESSAESSNFESSTDDVSSAKRARAQRSVPGKRTGGVGETIGENEPHALLPIPCRSLPQDMLGEQSRAQLAISAVQKLLLQARGTVLGSNDIVGIYRTPVLDNYKEYRYNLFKKQVERTKCKRGNANVRYAWLACSKSSVDEMMLNGILQCKKPFKCPEYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVIMGNVEIVHRGSKQHRPSNEYFDSGVDDLKNPEHYIVWDMNLNSHIYSEFVVTIKLPSGVKDSPATQEDCHNLSEVSSLVLSSGSPESVSQDMNLQASPALGGQYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRVLISTIMRLQDKLPPMSRQETPNTWAKMMGKP >OB10G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14449885:14455338:1 gene:OB10G26830 transcript:OB10G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MPAKGAVVLASEVVGPSPLLDARNEQDLILHIRKEVEKGKLPADVAANLEELYYNYKNAVLQSRDPNTHEIVLSNMVALFDCVLLDVENPFTFPPYHRAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLRQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELIDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVAEEIKYSDITGHIQDVDECREKFSETLYNLVVDQYNALKSTIFRGHGAASSNSAISLSQPWR >OB10G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14457398:14460357:1 gene:OB10G26840 transcript:OB10G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNLMDKVNALGERLKISGAEVSRKMSAGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWPTNLEICDMVNTGNVNSIELIRAIKRRIMLKNPRVQYLSLVLLETVVKNCEKAFSEVAAERVLDEIVRLIDDPQTIINNRNKALMLIEAWGESGDELRYLPVYEETYKNADHSSFSENGLQCTDRPQSCAYKVESLRSRGVRFPGRDDESLAPIFTPPRSAPSSEPYSAAAQEGYQEIPDESLDPVRIVPAVQVNEAFEVARNTVELLSTVLSSSPQKEALKDDLTTTLVQQCRQCKRTIQRIIETAGDNEVQLFEALSVHDELEKVLTKYEELKEPVVAEPEAEPAMIPVTVEPENSPRTKDGTVGKPAGFGADDLLQDLDDMIFGKKGSTSSPQDRKEQKDDFINF >OB10G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14461591:14464410:1 gene:OB10G26850 transcript:OB10G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNGGKPPTAPSSAAPTVVFGRRTDSGRFISYSRDDLDSEISSADFQDYHVHIPMTPDNQPMEPATDEQQYVSSSLFTGGFNSVTRAHVMEKQPSAARRAASASACMVQGCGSKIMRNGRGADILPCECDFKICVDCFTDAVKAGGGVCPGCKEPYKNAEWEEVVAASNQDVLNRALSLPHGPGPGPKMERRLSLVKKQNGGEFDHNRWLFETKGTYGYGNAIWPDDGADSDGAPVPKELMSKPWRPLTRKLRIQAAVISPYRLLVVIRLVALAFFLMWRIKHQNEDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLTVLKEKFETPTPSNPTGKSDLPGIDVFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKADFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDDDQQQLEPVKIPKATWMADGTLWPGTWLQPSPEHARGDHAGIIQVMLKPPGSSEMEQHGRPLDFGGVDTRLPMLGYMAREERPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMGPAAATGSATSSSRSASRASTPPTATPTTTPSSSTSTCAPSTASRAPSTSAPAAWSPASPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAPAACSAASRSTASTRRGPRSAARGCAFPGGGRGWAGRRRRRWRSAWARWAAATAAWAWRASRRSSGTRAS >OB10G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14470158:14471651:-1 gene:OB10G26860 transcript:OB10G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGFHPNAFARNAVLDVLLRTRQHHSALCFLRDNPSPNYLTYAILLTHLCKAENWPGARGCFLAMLHQGFIPSSASLAAVFACCSKLGAMSHLLQLLSFILVSGYQFTSAMWACLIARLCREGRLDEAISMLAKMLGSGFSPTVVTYTPLVRALYQSGRHDIASELFTHMSSTNCSPDLVLHNVLMDCMAKERRYDAALGIYLNLRESQIKPDAYTLSTVVQVLQLSGNVSLLPRLLLDSDIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDIKPDSYSYVGLLDSLCQLGRIDHAVNLYRSIVSIDPDSNAYIHAAILHGLVKKGQNRMALMILNEAIRQNCALDAVCYTVVLHGLLQAHMIEEACMLFNKMKYSGMSSNTCTYNVMLRGLCRTRDEHAVKWFLREMECSDVEMDSISFNTMIVLLIKLQHISSATALIREMINLGMELSTKTLSLISQYVGHVHVLKDAHIAENDQSDSTNDLLACSAS >OB10G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14473210:14475726:-1 gene:OB10G26870 transcript:OB10G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHSRKRSAPSKPREDPDARHHPERPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTNRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHKIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTAAKTAGINHEEWLANADRWVAGFLEKFEEHCHNMETAIKGRIQERLKRQGSRGIIAGLMQQPVAT >OB10G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14477316:14484080:-1 gene:OB10G26880 transcript:OB10G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT4G19010) TAIR;Acc:AT4G19010] MNPSSAPAEITARLRDTAPSLVFASPQNASKLPPLSAPLVLVPDTFHLSPDQHHRFALFHALLDPAGADALAPPEVGQEDAAVVLYSSGTTGGSKGVVLTHRNLIAMVELFVRFEVSQYTRPACENIYLAALPMFHVYGLGLFAVGLLSLGSTVVVMKRFDVGEAVRAIDRYKVTHLPLVPPIVAALVRAKAPLQSLVQVSSGAAPINANLIHDFLTAFPHVDFIQGYGMTESTAVGTRGFNTCKHKKYASVGLLAPNMHAKIVHLESGSCLPPGSCGELWLDGPAIMKGYLNDGDGSFTRKDGWLRTGDIAYFDSDGYLFIVGRLKDTIKYKGFQIALADLEAVLIRHPEIVDVAVTSVRMVKFFFDSISCFDHDETRNSSSTPVCDEDEDAGEIPVAFVVRKSGSILSCTDVMEYVAKLVASYKRVRKVVFVEAIPKSAAGKILRILKDSLVDVSGFRSKL >OB10G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14486605:14492501:-1 gene:OB10G26890 transcript:OB10G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G32090) TAIR;Acc:AT1G32090] MATVEDLGVSAFINILSAFVFLLLFAVLRVQPVNDRVYFPKLYLSGQRTHHSRGVRRFVNLDLCSYFRFLSWVPGALRMSQPDLIHHAGLDSVVYLRIYTLGLKIFLPITTVALLVLIPINVSGGTLLNLRKEVVFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADHFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKQKERLQNWLDYYQLKLERHSGRRPIGRTGCLGFCGREVDQIDYYHARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWENLAIPFFSLSIRKFLISVAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIEARVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRAASKYYYFMLVNVFLGSIIAGTAFEQLYAFFHQPPTQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDREKAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMRAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNLERASEPNLNLKSYLANAYLHPIFHMFEQQEEQQQQQQEKVEVRIDKAQQQQQHRQVQVEEEEESKSSQQATHYHHHHEQTTTTTTHHHYPHHEHMSRSSSQYDSASPPHFVYHYGIDP >OB10G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14493665:14493871:-1 gene:OB10G26900 transcript:OB10G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWMNMLPLICYVMVVACAKNHSFLPSRLDAIPSQPFFPSSSTDVASARSQTKHKRGLLFLSYRSLF >OB10G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14493692:14499034:-1 gene:OB10G26910 transcript:OB10G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLSNSNSTTNNKRAEGIRPDCESTEPLLLAPHEPYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELIFSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPCSPRWLLLCAIQGKGNLTESKKNATHCLCRLRGQASPDLVLEQVNLILDELSYVDQETQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFCGFGVIAVASLVFIFCIVPETKGLTLEEIEASL >OB10G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14499998:14502968:-1 gene:OB10G26920 transcript:OB10G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit [Source:Projected from Arabidopsis thaliana (AT3G03100) TAIR;Acc:AT3G03100] MAAVVRGLLNGIREKGLTNFLRHARDEGYFKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDSTGDELLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAS >OB10G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14505475:14506456:1 gene:OB10G26930 transcript:OB10G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDSLFWQSPADQAGDLSDVVRASLLQPGPAAADNSSYLQQQQLIAVSDHEQQQITVPAAACCDLLHALLPPTLLQQQPSPIQVQVQDQDVDVEDLFAAHHHHVPPIKRRKSQTKKVVCIPAASGRAGTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPSMLVVTYTSDHNHPWPTHRNALAGSTRPSSNNSSHRQSQYSHYDDHHRHHLIINTTTAPMITHLKQEDGEPDSDLFAGVTMDMMTISASSLLQPEQHQLGALDSNQQLLFHEDYPHS >OB10G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14508379:14508609:1 gene:OB10G26940 transcript:OB10G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSWALSSILCRKQKQTECIKRQLEKKNSNHREECSSWYSIRQSVNHAKLHPVCPDFLAAEVELLYNFRISQVD >OB10G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14509807:14511589:1 gene:OB10G26950 transcript:OB10G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVASKVARRGCHGGERPQPAAPASTAGLRRLPPRRTRWIHQGQPISLASYMIWKGLPSFMHSSTQQASFVPHHPAPPQAGVRKLASLGKAPLLVQGGGHAVWWRLQKSATMEEAGCIILMKKTYSCQQGRFLG >OB10G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14511647:14513476:1 gene:OB10G26960 transcript:OB10G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLSLQASDHHSKPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPFLGAILADTYWGKYKTIAISIVFYLIGLLVITASAIIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFVAGTPLYRVQLPTGSPLKNVIMVFVASFKKRKVDVPVDSALLYEGDDADLSNGQSVKLAHTDGFRYFCHFN >OB10G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14513357:14514103:1 gene:OB10G26970 transcript:OB10G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNAGGGSENCAADGADMGNQRAVRGIVGADGHHLRAAGECHEHEDRTLVSAGGISQLRRGDLHDGVGRVPGQRRHPDRQALHGEPLGADAAAEDGRGAAAGGARLGVAAVLETWRLRSVRGGGNLSIAWQLPQFIILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSFVVSMVALVTTAGGAKGWLPADLNDGHLDYYFWLWTGISAVNFVVYAAFAKNYTVKKVAVPLPHPH >OB10G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14520614:14522393:1 gene:OB10G26980 transcript:OB10G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGDERRRRFSWKAPAVILGFELLESIAFSGVALNLVVYLAAVLHGTLAFNAAHVDTWNGTTFIVPVIGAFIADSYWGKYRTILFSLLFYLAGLVLLTVSAAVPSLRPPACNGGPCSPATGTQFSVFFLALYLTSIGTGGVKSALLPFGAEQYERHGHGHADDDTPPEKKKQSFFSWFFGAINLGIFIAGTLVSWVEQNVSWALGFGIATLCLLIATAAFLAGTPCYRVRLPTGDSPVKGILRVLVAAFRNWRRPLPPDGEGLYEVLDDDDDKNKDAEEKLAHTEGLRWLDRAAGKGGGGPWDLCTVSEVERVKVLARMVPIWVTCVLYAASLGQMTTTFIQQGMAMDTRLFGGRFRVPVASLVSVEVVFMLLWVLLHDVVVMPVARRWRAGGLTQLQRMGVGRLLVVVSLATAALVERRRLRGEKRAMSIAWQVPQFVVLAGSDVFSGIAQLEFFYGEAPGSMRSICSAFSFLALSLGFYVNSLVVTVVAAVTKRHDGSGGWLAPDLDTGHLDYYFWLWALISLANLALYLLLAGRYKSKHLSSSPTDTDTYTS >OB10G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14526127:14528632:1 gene:OB10G26990 transcript:OB10G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >OB10G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14531115:14535081:-1 gene:OB10G27000 transcript:OB10G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase E;1 [Source:Projected from Arabidopsis thaliana (AT5G63610) TAIR;Acc:AT5G63610] MGDGRVGGGTNRPAWLQQYELVGKIGEGTYGLVFLARLKQSHPHAAAGVSRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNLPINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQPPSGNAPPGSQSVVPRAIQRPMPQPMVNMPRINANMSGFGAAPQAPGVGALNPGNIPMQRGAGAQSHPHQLRRKADQGMGMQNPGYPTQQKRRF >OB10G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14540009:14542414:1 gene:OB10G27010 transcript:OB10G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:solute:sodium symporters;urea transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT5G45380) TAIR;Acc:AT5G45380] MASVVCPPAELNFGGDYYSVVNGVCSRAASYFGGKPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTAAHLVFLTFCLITNIPPPAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVIFVFLVYTSSAHLGSPRVVYQRLMAVASAARDCSADLSRPGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYVNPGASGKQILLVSRAVVFGFGGFMGVLAVVLNIAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMLGAVSGCVLGVTVWMTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLAQPQNYDWGSSREITTVESVASDSALEEELKEERLVHAKRWIVKWGLVFTGVIVVAWPALSLPAGRYSLGYFTLWAAVAIAWGTVGSAVIILLPVAESWSTISKVIAGMFTNDAVYERLDDVNLRLRAIMGTMPEAEKRYRQLHETEMHPAGTHPANDDDHHDLPN >OB10G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14544073:14546065:1 gene:OB10G27020 transcript:OB10G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G19150) TAIR;Acc:AT4G19150] MGRRHGGRGRGGGGGEEDDLHLHKAARSGDLAAVESLCEANPLALSSRDRLSRTPLHLAAWAGHVDVVKCLCKHKADVGAAAMDDTAAIHFASQKGHVEVVRELLVSGASVKAKNRKGFTALHFASQNSHLELVKYLVKKGADITLKTKGGQTALHVAEKDDVRAFLKECELSLKKGGELPSEKKDDSASTIAEKPGDDGAATKDGDEVGLGEKRKNDGIAAGSRSPELKKTKVSLGHLVSANDLDEDEEEEAD >OB10G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14546310:14548001:-1 gene:OB10G27030 transcript:OB10G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYPGVGHGESLALCATTKDYRSSGTSYAQSNSGNICPHKLHYIVDGHCRTEREMGVDEEADVRVGVNSVEEEELADDCVGEEILDLVAEEDDALPEEEPHDVAPASGSVRVGLSSYAGLPQRGRGGHARGVETVQPEGARRWRGGPWESAKPSLVSRTVRGHRCGERGSGTRSRHRYHKTLPAVARRVGWIERPAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQQERASVSKAKRLRCEMDNSTPPALLLFWAGLSDLGPSPPFGHFPSFLSFFLFSTFFLRKNKRNRVLKLVC >OB10G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14546697:14548629:1 gene:OB10G27040 transcript:OB10G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:J3N5A6] MAAASATASLSAAVASHRPRHQRRLSALPRAPAPPPRSLRLNSLNSPRVASSPSLWEASVRAESDSHGAGGGGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPDSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTVGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYDELYKDPQKFLTPDVPDDEIY >OB10G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14549096:14550823:-1 gene:OB10G27050 transcript:OB10G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSRRAFGEPLCLEENTVQHGIERCPFLRNINEPTSFSFSSVNFPIPARGVKGPIFEDGPNFDMAFRVFHGQDGVVPLSEGSFAKIETPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSSKRNKKNSNQKKPNNLPQNKGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKILVIALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMVLTIAASILGQTIGSRAERIRLKRAAAKAAAEGQGDTSTGMRLKTGRYTDVPFWDPLALRVESSIGAGSPVLVPTVGAFH >OB10G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14552373:14565358:-1 gene:OB10G27060 transcript:OB10G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATATATVAPPVDRAATGGPQPPPPPPPATVAPPVDREATGGPSSSEWGDGVGAVGFRVKASSRESTAQKAANVLEPDLRSHWSTATNTKEWILLELNEPCLLSHIRIYNKSVLEWEITAGLRYNKPDAFVKVRPRCEAPKRDIVYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPLPGLEPELQPLVNYLLPQITAHKQSSHNMHLQLLKDIASRLPPFLSQIEADLASVTDTPESSVQFLALLAGPFYPILHLINERDFTKALISSADSDAVKNTLGSTPTVSSNFEAQPRRSRSPSSVQPPACFLAFRSETTLLLLRKAHKDKALGIVCLKASKILRELLEPDPLLDDTSGQVICTDYSSLFGEEFSLLENRFDASILNFLDIAAVEEGILHVLYGAASQPLLCCKLAEKSSDMLSVLPLVQALLPALRPPLSPGSTEQVDGCFSQWNHPNVHKALSQIATMSLSSSVLHPLLRACAGYLSSYLSSHAKAACVLLDLCRGPLSPWVPMITAKVDLAIELLEDLLGIIQGVGQSLARSRAALKYIALAISGHMDDVLQEYKDVKHKLLFILEMLDPFIDPSVNVMTDAIAFGDVSIVHLEKQANACNISLNIIRIAVKRPAVLPSLELEWRRGAVASSVILSTLDPHMPLPPEVDLCKSSMPEIDEISLMVPNCPLHSCNAEDVDWRDISETTLREDILEQCNSLFAPEELEQSELTKTLEGKVHEKISTDLDQNFPEDTKSNRKLMSGLFQLDNTVAADYYDAHADYLQLVTYQDCESRALEFQRLALNLCTQQEPTVERHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNHFSESKHLRAKDIDRMKIYNLENKRDKAVLDLLMQAARFDCEYQGKIPEGKPYPDDLEDGKQYIEISPEARHLADAITLVRKNQAMLCHFIMRQFQRKGHTLNEILLQSLLFLLHSATDLFCPPENVIDVILKSAEDLNGKLVCLYNSVNARDKKFDRVKLHYLQRRWTLLQKLVLASSGSDNTRELASIKRDGFRFKSLVPPSAWIHKISDFSRCSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMDSITTQKAKSAKIKQYGCDNYMLLKREPLLSDQPSMKLFQILLPDLHFFFPSMSKQFAAFGQSILEAVGLQLKCLPKSAVQDILCWFSEMCIWPYLETIREHLAFANGVSCLRGNIAAKAKAVVFYLLESIVAEHLEAIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGNVLGQITECSDFELLCFEELFELIRFGKQSEDTPGNNNQVPFLIFILGSLFPDLSFKMRIEILGSLLVWVDFGRSDPSSLLCSYLQGFQAFIDGCETILIQNIELFGVSVLSVRDQSTEFANSVNPDGIVELDKKARASVAQVQRKSMEYHENGENSKGVDSLLIVCIKEFCGALERFVSNLVPSIEDCWKWHHQLASRLSLLIAKSLLYANCLKSITEGDTIFKSIEQDVGTEISTDPAQKYWESSLQDLAETILVNQEKQCWQVASVMLDYVIKLPNVLAWDNVLSAMSSAIKHFCSHAPRISWRLQTDKWLSILVSYGLEDLKNSENSLIDLFCTLLSHAEPEQRSIALQQLGRIIMSITKVDSKYTTYKQNSLSSGSTVMSLLVTHTWDQVAALAFYDSSMLLRKHALALLTEYIPFVDRDHLRSFLASSNSILNGVEQFSYAIEEGYLTRMSLLLLSTACLYSSPEDIPLIPECVWTKLENMQTSIPGCFGDMEKDLCRALCQLRSEPDAKTVVKELITESAAKAVNTDFKGIRESILQVLSSLSSVESYFDFFSIRSDQEYKELEEAEIELELVKNEKALQNLIVQPQDTVVSDMPSYYKDGNEVNKQLQKIREDIQSLEKTRLREDIIARRQKKLLIRHTREKYLEETTSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELRFNLDMEREKQTQRELQRELDQVELGRSSRREFSANPSSRSRERYRERDNGRAQQEGSLRASSRGLEGGSGTSATTVVLAGARSFSGNLPTILQPRERSTDERSTGYEENAEGSGDASSVGDPEMGSPALDGLTGTRHGPRGTKSSSRQVVERSRERDGRREGKWERKHS >OB10G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14567198:14569819:-1 gene:OB10G27070 transcript:OB10G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERGASGRSRAVPVALLFLLLCAFSFYLGGIYSTGRSLLDVAASNGIISFQPPPAASAVAIEKESAFPVTTKAAVFPECPADYQDYTPCTDPKRWRKYGNYRLSFMERHCPPPVERKECLVPPPQGYKAPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGDRFIFPGGGTMFPNGVGAYADLMAELIPGMRDSTVRTALDTGCGVASWGGDLLGRDILTLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSGAFDMAHCSRCLIPWTEFGGLYLLEVHRVLRPGGFWALSGPPVNYENRWHGWNTTAAAQKADLDRLRRMLASMCFKPYSKKGDIAVWQKSSDPACYDKLTPVSTPAKCDDSVDPDAAWYVPMRSCLTAPNPRYKKLALDATPKWPQRLAVAPERIATVPGSSAAAFKHDDGKWKLRTKHYKALLPALGSDKIRNVMDMNTVYGGLAASLVKDPVWVMNVVSSYGPNSLGLVYDRGLIGTNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRENTYFLDSIATIAKGMRWNCDKHDTEYKADKEKVLICQKKFWSGKNTQH >OB10G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14576881:14587192:-1 gene:OB10G27080 transcript:OB10G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTPPSLPSSACAEVLVGSSNPSFPYLRGWSFHLDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVVGVLESIPGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELLYPGGAAEYSVRHLLAEVPGDVDMVIFPNYESSVERDDIKDPFSEISMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYSPMAIVQGLRESGVFTSVIAAAQSLVNDKVSLKESNVQNQNDTKLEKVSRKFIRANSQASVRKILHVLEPAIPPLSPPGLDDPLLEFSTESPGT >OB10G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14598197:14599912:1 gene:OB10G27090 transcript:OB10G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYQISAAYPAEFLEDIRVGEPSLRPDCGRCMVEASSIDDFLITFRHVADKELVRRCNQLPCKGVGVSFRPWTRLCGGTSSKVQFFTKLSPDGLPTHLWGKNVVIWMVIWRRSSLRNARCLGVFAWFKNPSALPLVAVVEVPEKPGAGGAWRECSGTTVAPPRTPRCKPSTEFNILVHVEEVIDPTPQHWMGDRLDDGSGDDEDIIRRNAFDCWAGRCDGTGPFMRRWAVWVSRGLDQRMLPLSSEPGGGSACGASGALWGREGGGVGGGDKHAGDGIECAGGRDSYAGDRDWCAGGWVGSVGGGDRRAGDGERCVGGEVGCIGGEDRHIGDGERRAGGGVRCAGGCRLSPRPRCDGRSGKGCLTYGGVVGDQHGGQFGPGRRLALHVGARWRGSLGVLRSPRRLVSGDYGATGGAVFAETAGIAAFLTQDGGLGPRRGSWEDDITEEHAFGLGA >OB10G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14601419:14603261:-1 gene:OB10G27100 transcript:OB10G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPGSLTRINHKPAWATWFGSVATWCNVGQRVDPAAVWCNAGQWFGPVATNWCKFLGVTGVAERVVTNSSTVELPLLMRTNYHEWSLVMHVSLEAMELWDAVEVVSEERAKDRRALAAILRVVPSEMKGGLAMKKSAKEAWDVVKNMHAGDDRMKSASIQRLMKFENMAFRDGESVTDFAVRINGLTASLHELGEEMEESRVVKKVLRVVPKRLKQVAVTIELLADIDAMKIEEHVGRLQVAEDADADDQAAASTGHDGQLLLMEEQWKARVQARRQRAIRRRVTPWRCRERWQP >OB10G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14608253:14612173:1 gene:OB10G27110 transcript:OB10G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRFDPAPGASSSRGSGEAFAGTQGRDVAGLEKTSAWTGEKKTRFQSTPTSMDLDKTARRDDSEQADKVVHVIDHGGEQGLGQIEGLDWDDAMLKKEMDTLFKRYTGLPDTDDDEAWALMDDQQLKDMELRFALCRIKAHKMLKGEQVLSDAELRKMYPPDTLEENGYFRWLEHDLEWYFDPQYCGYAHLEDYQCLALRDTDEYLHWDLYHNTCSTLKSDRQFVYFWETLSSKTEWFQKFRSAESSERKRIERGVFYRAVKLSNECTHVFTTLLHSGYSEYLWSVEFDKTWYEDFACLYFEIWKLVAKQKMSLKDALDQVKEKFMRSMCRFDLEAEFDSDRQFWPGPVTNQYNTYVAEIDEHLPDDEAYKLVMEAVKKFCEKHKSYYDYAKKKLDIAEKIGSMWQDSSEVTA >OB10G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:10:14615199:14620967:-1 gene:OB10G27120 transcript:OB10G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKLRESRQKMMKFDRNNNMIEVLVDRRRINIWGCSTIRSLILINQKAALREKKNRRRKFASRRPTPSMEPKEAIANYPWSGEEKIFDHRRKVDNANLHHGRCVMDDDYKLDIMIRKGSEIKKDTYDIMNNFQHVTCIPFLAFYNESNMGRLTLPSIVKSFFDNWIKDEGQQLLLDEQGNMSSLFKTMICDFCDMVEKLGHRKILIRNLNLKNLYVADETTPRILVLVTERTVIETTEQNGAMETS >OB11G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:330:581:1 gene:OB11G10010 transcript:OB11G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRHPLISLASKLTLNKKGHLSLSIILLSSPTVHGHEDACLLPLFHVLLFHHQFLQLLYNNILTNCNFKSLMLTSLHFVCFLK >OB11G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6421:8670:1 gene:OB11G10020 transcript:OB11G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAEPNQSTTTLGVGGLGKSVAESWTRQRHLLLLLALLLHRRRPRSTGDRSKKKKKKSMTTITSSSSSNTSASLLQRCQYRPQFFFLSGPGPNTPPLFVVAASSSSSSQCSNDDHKLLALLRAGHTDAAYHLLASNPSLPDSPVSASRLLAQLSYQGSSRAATLLHRLRARGALPPPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAACNAAPSACADAGDCARFRHLFDQMPTWSAPPDALTYNVVIKMCARAGRKDLVARLLERILSSGLAPCATTFHSLVAAYVGFGDIPTAERIVQAMRERRTDICLLFRAVAAEADHQIISAEQQQMQSSVLDDIVVKPSEEEETAVPLLPKAYPPNSRVYTTLMKGYMNAGRVEDVVTMMRAMQREGETAPASRPDHVTYTTVISTLVAAGDIERARAVLEEMERAGVAASRVTYNVLMKGYCQQLQVGRARELLAVDMAEAGIEPDVVTYNTLIDGCVLTDDSAGAVALFNEMRGRGIAPSAVSYTTLMKAFAASGQPKVAHKVFEEMEKDPRVAVDRAAWNMLVEAYCREGQVEAAKKVVERMRARGVQADVATYGSLAKGIAVARRPGEALLLWEEIKRKRKEVEVDGEVLEALADVCVRAALFRKALEIVARMEEMGLEANKGKYKRMYVELHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWRLQEHDT >OB11G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9385:10479:-1 gene:OB11G10030 transcript:OB11G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTDDALDATVAPLLHVGGEFDIDYRSAECSPMNLAVRSPYVDQEARSTNLIEKADIEGRTESHHSSTKERRPLSPVEQDTDDKIEFDTKKMSSEGVSNIEMNKPVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKSVRTSDSP >OB11G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14414:18931:-1 gene:OB11G10040 transcript:OB11G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFFRAGLLNRFDTYSYDFLNVIFRILIYSDEFEDDAAGLAASQPLPLLQNQQQRKPDCLLHLHVVVTNFLHKPLSGDLLCIAFDALAHNLRLLDHKAKEFQPHLHQLESAIRGKKADFDGFLSNLGFAKVGGHGITGTGTGTPPPASVSDDDDQPQDIVTANDDTPPLPHRLPVRLLNIPLSNVERLRSTLSTVSLTELIELLPQLVGRSTTSPDAHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDCDGQVTLEDLEIAMRKRRLPRRYAREFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILASLKSAGLPANEDNAAAMLRYLNADSEQSISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSMMHPIDSMKTRVQASSLSFPDLISTLPQIGIRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVTMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQAESDSTDSLHEKRTTYG >OB11G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:22762:23061:-1 gene:OB11G10050 transcript:OB11G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHPWLAPPRSPSPPSAPPPPPPALPPLAPVARPLRPXXXXXGAGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASASA >OB11G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:25830:29015:-1 gene:OB11G10060 transcript:OB11G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNILTRHFCCQGLIGRCFRKMNMISRFGVSFLMTTYLSGCIGLCILICKLMLTTYVREGSNKIVLSRSDPRTFCLGVRIAKRRSIEQVLSFVPKEEDGENFDNALARVRRCVGGGTEADTADNDSEIEVVADSVSVDLRCPITGSRIKTAGRFKPYVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIQSYGDDVLEIDVKPDGSWRVNGLKQWHLSDGTLCMPTDIGSKPDVGFVKLEIKEEPLSENTGCLKLGVRRNNYGKWEINRRGDSSWMPPSDNGQNGYFESKNYVVLASNTNDENKKDGINNQEPRQFDQLTSNVHDLDSSPMDAHFPPTPIEQDIIVLSDSDDDNVMVLSPVVNA >OB11G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:43029:48523:1 gene:OB11G10070 transcript:OB11G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKNIIGGKEKDMVGLSFYCYWDGSFGKEQSSVHSVVHRPGHSSGDLEQQQKNLHIHFHLCCASCDIFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFATASPYRIIHVEPNTIDKSDIGVAAAMASATTGLIISIGDLKQLVPDEGEAELQEKGRRVVAEVTRVLETHTKVGRVWVIGWSATYETYLAFLSKFPLVDKDWDLQLLPITALQAAAGPAAATGLMPPATTTVAAAFSKPAPRLMESFVPFGGFVCDKYETSSITANSCPQSLCCQQCNDKYEQEVATIIRASGITAEDHPQGVLPSLLQNGSMMGSNNGFDPVKVRDDRMVLNSKILNLRKKWNEYCMRLHQDHQRINRDPYKPFPRYIGVTSDKERSENPSKGSEAFGIQKDVVKPCAVPAVHTSSTARPISSPSVSNKRNEDLVLNLQARQSKSDENLQDSTARHGSLSTPDNPDDDASPSFAAPVATDLVLGMPRESNSKGSGSTFCKHIEDSHLVPKKVDDLNLKHPQLSVQPNSCAWTSRNVGKTSPGALYSVASGGLSAFGQWQKPSPLAAQCSDLSNYKLLVERLFKEVGRQEEALSAICQSIVRCRLTESRRGPSRKDIWLCFHGSDSMAKKRAAMALAETMHGSKENLIYLDLNLQDWGDSGFRGKTGTDCIVEQLSKKRRSVLFLDNIDRADILVQDSLSDAIESGKFKDMRGKVVDINDSIVVLSRSMIQGSKHGVEEGLSFSEEKILTACGHGLKILVEPGRAITSGGLNGKVVVSPRHSLTKIQASLYSGSISKRKLSSSDDQEKVQESPSSSKRLHRTSCVPFDLNLPVDEDEPHDANDDSSSNENSYGNTEKSIDALLHWVDGSINFKPFDFDKLADDMLQEFSNILGKNLGPECRLEIDVGAMEQIIAAAWKSEDKSPVQIWLGQVFTRSLDELKLKCKHVSSSTLRLVACDDTVPGKGDGLGVLLPSRIILDC >OB11G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:48813:52528:-1 gene:OB11G10080 transcript:OB11G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAARGGGWQEADCKASEDSVHRLFDAAKPPPFRIGDVRAAIPSHCWRKSPLRSLSYVARDLLIVTALFFSAASRITTALPWAWPLYWAAQGTMFWALFVLGHDCGHGSFSDSAMLNSLVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKLYRQLETRTKKLRFTLPFPLLAFPVYLWYRSPGKSGSHFLPSSDLFSPKERSDVIVSTTCWCIMISLLVAMACVFGPVPVLKLYGVPYVVFVMWLDLVTYLHHHGQHDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHTLHGTGWAEDAKHK >OB11G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:67667:67879:-1 gene:OB11G10090 transcript:OB11G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNVLNQADSISELGNSHSCRKLTIDNSQYTTTRHHACLLKFDNFLTHYYHHDVTQNNLFVLNPSTIG >OB11G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:74505:78822:1 gene:OB11G10100 transcript:OB11G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFATVSGLPPLLLPPPTCELSRGHWVFDNTSVPAYREKECTFLTKQVTCLANGRPDDLWQYWRWQPNNCSLPVFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSEGRKKIVKRGSFRIFYAKEYRATLEFYWAPFLVESNSDNPNFHSIDTRIIRPDRIEAHAKNWKGVDYLIFNTYIWWMNTADMKVRRPDSNDWSEHDEVPRIEVYGRVFKTWSDWLEQNVDPAKTSVFFMTISPLHNSPEMWGNPNGVRCVKETLPVLNYTEPLDLNHDMRMYDLVANVAKNMKRVPVTLIDITRMSDYRKDAHTGLYTFRQGKLLTPKQKAEPEKYSDCIHWCLPGVPDVWNQILYTRILSKSSPHPSLPPQ >OB11G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:82278:86298:1 gene:OB11G10110 transcript:OB11G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLSSLGIAPQFVTFTHVTMESDKYICVRETSPQNSVVIIDMAMPNQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVK >OB11G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:86529:88607:-1 gene:OB11G10120 transcript:OB11G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTESPLLRDAGAAVLTGAASFAVLSFWELVANRALLDQKLCRKLVHITVGLVYFLMWPFFSADDVYAPFLASIVIVLNIIKVTLIGLGIVKDEGVVNSMTRNGDCRELLKGPLYYACAITLTTIIFWRTSPISIAVICNLCAGDGVADIAGRRFGHAKLPYNPDKSYAGSVAMFLAGFLASIMYMCYFHLFGFVEESWRMVVAFGVISLAAAIVESLPISTRLDDNLTVPVTSVFVGVLIFYYVGARNLCCMSTDSSYISALVQNQMVLGRY >OB11G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:93581:95627:-1 gene:OB11G10130 transcript:OB11G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGKEVGSTEGGGGGGGAAAGNDGSSTSSSTVEEEGAERRTSSSSSVRPYVRSKNPRLRWTPELHLCFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGGSWREEHQYVHHGHGGQAYNVGHISLPALLHHRHITAGSGTRPRFGNAWSPWRCHASYWTHAGHHLFVGSKPYYPPPAAAEAHDAFHTASSHLPDFVVQGSSNHQRAVVLKEIICNEDEGEGPHLNLDLSLNIVNAGPRREKRKREFSLGKKHNEEDHDNHTGIIGGDQEEESCATGLSLSLF >OB11G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:98631:102826:-1 gene:OB11G10140 transcript:OB11G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPSSSASVPQHYHHDDDDEFEDEHDDDDDDDFDDDQETSPSPSDGADEARLQSVLRRLTADEVRIRVHDVEIRGCSRTRRAAVEAAVGSDLARAATVRDLVRAAAAAGDRIRRLGAFDTVSITLDAAPPGLPGGAAVVVLVDVAEARGRAAGELGIFANSGARSCSVQGSVKLKNLFGYCETWDASGDLGLDQTLDLSTGVAIPRIGAIPTPLVARMSLLSEDWLKSSLKEHMMGVSVGLLSTMNHNLSYNLSWRTITGQALMSSNSISRQLGHSLLSSIKYTYKSDQRDSSIRPTRGYAFLFSSQVGGLAPDSKNVRYIRQELDLRVALPLGVLNGALNAGVAAGVIHPLERGSMGSISPLPEQFYLGGTRSLMCRLGGPSSLLGFKKRGLGTDLRTSDPKNSENLPSISPELGTLGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLARLAEPDLRKFPLANFLQTFRSSAGFGVVMPTRLFRVEVNYCHILKQFDHDMGKAGIQFNFSSP >OB11G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:102263:102946:1 gene:OB11G10150 transcript:OB11G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKRMFDFVKEKKETFLVGCAYPAVGEDAEFAGSAAPGLGDVNKDDNGCATREPRRSCVEGDGYSVEGAEATDPIAGRCCSAHEVSDGGGTRQVGAHRRLHCGAARAAATPDLHIVHPDPDLIRCEAPQDRLEASLVGAVGRGRGGFLVVIEVVVVVVIVFVFEFVIVVVVVVLRDGSRRRGCGSCHWNGSEGRKEQSKWDGRGTAPFTQPCPQRRLQLENFNFFF >OB11G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:104376:106899:-1 gene:OB11G10160 transcript:OB11G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWAIRVLYFVLFSFVVSARFGSSAPYSEEGRTLLRHRERERIKPADSLSIWGERKVIGRVLTLLLKENMFSSVADNRGGFGPCRKCLAKTVHNARQLLQSRELISNKTQTHSQKQLPLPVQSPAPKLQPRWLIYALPIAGVLFVAAVATAIYLLFSRRKKDNTVMPWSTGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSAQLWSAQSEEQFRNKISVLSKVNHKNFMNLLGYCACEEPFTRMMVFEYASCGSLFEHLHIREAEHLDWKTRVRIITGVAYCLEHMIQLDPPPPLPTSLSSSSIYLTEDYAAKIADIEFWKDGSKQDAAAASREISQESVIVYKFGILVLEVISGRRPISEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEEDVAALCEVVRRCVRPEAGKRAISMGEVARMVRGVGGLSAEQAAPRENPLWWAQLEIASASSETA >OB11G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:110199:115882:-1 gene:OB11G10170 transcript:OB11G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKREELADDVDDLQKKTRADAKDNHDMTTGRTPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDKVDLWDLSSNFFLSEKDVGQNRAQACIQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTEISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKNARPYSFTLEEDTSSYGTYVRGGIVTQVKPPKVLKFKPLKEAIKEPGEFLMSDFSKLDRPPLLHLAFQALDKFKSDLKRFPIAGSTDDAQKLIDFAVSINETLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPGELKPENTRYDAQISVFGSKLQRKLEQAKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWEGLDAVVNALDNVTARMYIDSRCVYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYSTAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFIVAAAILRAETFGIPIPDWTKSPAKLAEAVDKVIVPDFEPKQGVKIVTDEKATSLSSASVDDAAVIEELVAKLEAISKTLPPGFHMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPLYRRHLDVVVACEDDDDNDVDIPLVSIYFR >OB11G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:117401:120086:-1 gene:OB11G10180 transcript:OB11G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:J3N5D2] MLPRVAASSPPAAVPPPQIAGAPAFVSLPVPRVAGAAPVCRAAGKGKEVLSGVLFQPFEELKGELALVPQAKDLSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGQGHGVWHFDQKLLEEEA >OB11G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:122529:125330:1 gene:OB11G10190 transcript:OB11G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSHPNLTAHNDHAMRLSILFGDQELRLLDAALSAGADVPALLHARSSARILLNESASQAFSVPDVGTTRLSIADFFARAFALAGDVESCLAMRYEALLLREATYSHDLHLQVSNQEWVTFAKDCLDNGFYTIASKAFANALVHIDPSRPRYLDSTNSIVNNGKINGISGLQNFTKSLSAPHSVQTQSAEYMKRKASEFNEKSNLHLGKIKVPGSSMFKLGIKTRNIQKLHHSRKRNLEES >OB11G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:129174:129647:1 gene:OB11G10200 transcript:OB11G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLQEVPPAQRADAVSSLVYEANARMRDPVYGCVAAISFLQHQVSHLQKQLALAHAHTATLQLQLQLQHQHQHQLQQDHDQHEHCILQNALPQLMLQDAFLKKESMWT >OB11G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:140979:143783:1 gene:OB11G10210 transcript:OB11G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALFSHLREVHKRSAVKEDRIMKPLTEASGHKQAAGAASKMTVLQSPLGLRSILTSLVAFFIVASTVSLLFDRSGQDEAQVQLAVEQHQHQEVQLKKPAPAASVDEQKSVDRASLRRQGQQAQVQWTSELLDEARDAGDGEEEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKLLEKLRNKRMVFVGDSVNRNQWVSMVCLVEASIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHASVWRDADVIVFNSYLWWRKQKADMTMKVMYGSFEDGDATLDQVEMVDGFEIALKKLTEWLGTNINKNKTRIFFAGSSPAHTWASDWGGDDNNKCLNETEPIETEGYKGATTDYSMMDKAKEIFATLEAKGIHVQILNITQLSDYRKDAHPTIFRRQYVPLTKQQIENPSIYADCMHWCLPGVPDVWNEFLYAYLMRK >OB11G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:148000:152726:1 gene:OB11G10220 transcript:OB11G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNRRRGGGRGGGGRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGISQEALNYLATASDLGKALGWSSGLAVVYLPLPGVLLLSATLGLAAYALQYAIILDHLQLPYPLVFLVCLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLAASLVALPAILLCHPHDGHLHIVPKHDKHVFLGLYILAFITGIYLVIFGSFTTTNSTAWAVLTGAIILLVLPLIIPASSSCFHMGTHTPDPAVPLNHDDPQRPLLLNNDHRTESNDMMQKRVEHQMQDCCLGVILEKGRMLVLCEEHSAKKLIRCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSFHMQSQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDINTLVAGTAVVGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNRNGMIETTVVCMGAKCYSTTFFVWGCITLLGLVSSIILFFRTRTAYTAAAGQQVVTTLAKFRDDRTP >OB11G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:153169:153859:-1 gene:OB11G10230 transcript:OB11G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGWYLKIAAGGATIGAAMELFMIHTGFYEKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQEKRQS >OB11G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:155510:166735:1 gene:OB11G10240 transcript:OB11G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3N5D8] MAATSPPIRSLFLTPSPALSRISFPSYRPHRRTAPPPARCRRTKPPVLDEERLQRETSPDAKADRNNGREKMRGWFTLDDIGMDILTIALPAVLALAADPITALIDTAFVGHVGSAELAAVGASISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADHNSSIQMFSPHIEDEISSPQEKAGEQRKFLPAVSTSLALAVGIGLMETVTLILGSGTLMDIVGITVDSPMRIPAEQFLILRAYGAPPVIVALAAQGTFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSRMVLFSWHVIAGDIVRYLKSGWPIFLTFIYKYFTNGGFCFLFNKHDSLGALLIARTIAVVLTFTVSTSLASREGPVPMAGYEICLQVWLTISLLNDALALAGQALLANEYAKGNYKKARVVLYRVLQVGGVTGVTLATALFLGFGYLSMLFTDDPAVLDVARTGVWFVTVSQPINAVAFVADGLYYGVSDFSFAAYSTLLAGAVSSAVMLVVAPKFGLGGVWAGLTLFMSLRAIAGFWRFDLDELSRVDAQLVEYGSNSASAVSAVATEHLDNCTSVPSFLEYGCSPQQQSIQFVDWDNLEIEEREDDEGRSPVISDDQLFVLLGLTNEDERANKSAQVDESNRAQRSVNLVAENTHRQSTSMHAHSDLLICPRGNFVISRMSEGARRRRKKKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVILKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPMSRFYLKFYDSKLVNETWHALEQCDVFAYQGWPCIMFVLTELSKRGPAETLDHSRFFVLGQQGQEAQVKAPFRLKFFLGEMSDVGRDFVTRMKKTNFTPWLPPPLPFSPPPSSPPKPLDSVKRALSGARPWPELVDRTALSRPDSLSDATARLRKNLAYFRVNYAAIVALSLAASLLAHPFSLAALLALLAAWCFLYLLRPADAPPLAAFGRTFSDRETLGGLIVASTFVVFLTSVGYLIFSALTLGAALVCAHGAFRVPEDLFLDEPDQANGGASVNLLSFVSNATGGRV >OB11G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:171964:172413:1 gene:OB11G10250 transcript:OB11G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAEATNGMDLPQWVASIVKEEWTSEVFDLELMRDGDTGPAGDELVDTLKLALHCVDQSPTVRPDAREVLRQLEQIRPGAEGGAGPSEEGGAGHVAVGNE >OB11G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:172014:172136:-1 gene:OB11G10260 transcript:OB11G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVASAGDLPVRSSRMMTPRLYTSVLALAFLSLDSSGAR >OB11G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:175800:175961:1 gene:OB11G10270 transcript:OB11G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPQSVASIVKEEWTSEVFYLELMRNSDTDSAGHELVDTLKLVHYIDQSSQE >OB11G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:185049:187849:1 gene:OB11G10280 transcript:OB11G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRDLLYPDLLTNMASFNALRCARVALEGTSQLRGRHADPNILHRFGFSPLHMAAENFNVAMVKLLFRYGTSANIRTKGSRVIEGLLPLHVVVENATMHKYLEDHWAGGDSIIRLILLLCLPEMKMFLDTTRLIAKHTDNIVDEVCGYIHEEMHVHTAILLLAAQKQLRGRLNKSSGEASLNGFDILKSCIDDALDTIHLEGLTMVKQGKNGKALKRLKDRKEALLTAHALVRIAGIALEGYIQTHSQVPHDDIVEHVLSILKSNGIAHYGESIDTGKLECYQHHGGMPIGKTDSQRVGYGETIEAEKSSSDKCEVSKRILGKQPPKRLGIKDVRNMFFPYWKSVLSRRLDVKKILSCRPSWMQSAEGTKSIYHPCTPNKSIGNLGSMGRTPLSSESRRMLCTAASMSQKVFKRT >OB11G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:190225:191257:1 gene:OB11G10290 transcript:OB11G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGTPGEAEAEAEDYGSWTLKQRIDDIVNSDPINNVLPKHPEHRAYFEKKLKEKLEGFVCVAQPKLLPVLLKDNVKHFYQVYNLCSRFMGIRNLLYPDLLTNMASFNALRCARVALEGTSQLRGRHADPNILHRYGFSPLHVAAENFNVAMVKLLFRYGTSANIRTKGSRVIEGLLPLHVVVENATMHKYLEDHWAGGDSIIRLILLLCLPEMKIFLDTIRLIAKHTDNIVDEVCGYIHDERL >OB11G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:195239:196672:1 gene:OB11G10300 transcript:OB11G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKIVRIELVDYMGSGETIEVEKSSSDKCEVSKRILGKQPPKGLGVKDVRNMFFPYWKSVLSRRLEVKKILSSKPSWMDSPSAEASKEGSKSIYHPSAPNKPIGNLGSMGWTPLSSESRRMLCTAASISQKVFKRT >OB11G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:244171:245156:-1 gene:OB11G10310 transcript:OB11G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKSLCPPCQQWNLLSDFVKKLGSKSRQELSKGQLTERKGVQVAISVPVNGDLRLTVVNGEDYD >OB11G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:246848:247531:1 gene:OB11G10320 transcript:OB11G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGCACYCWLIALLCSQLAAAKEQYHEFVIREATVKRLCKRQSVMTVNGQFPGPTLEISEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAGQEGTLWWHAHSTWLRATVYGALLIRPRDGTNYPFDAQPAGELAPILLGNTTITAFSAYNICYYFSNYQLLTALLAPFRNI >OB11G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:249105:251021:1 gene:OB11G10330 transcript:OB11G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKVFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSAATRTGAAPNISEALTVNGQPGDLYNCSSQDTALFPVKSGETNLVRFINAALNTELFVSLAGHNMTVVSADASYTKPYTTSVPRLRLLHPRGGARQLRRRQGHGQVQPGGPADEEHGGRAGERVGGDQVRGGQPGGVADALPSGRAHHLGPRHGLPRRRRRR >OB11G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:251022:254724:-1 gene:OB11G10340 transcript:OB11G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSTLTESLHEKTVVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPINQIPSASKDIKEVRAVDDQFLPTDFVVHDGLLLTLQNEPVESVDKDVDQLAQEDKMRQTEENNLSIPLHYEDNYDGIQSVSTCEQSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATNCFSKDNIIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNLASNSSETEPLRGKNSSGKSDAPENEIEPPRYKNKSRAFSPK >OB11G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:255479:255670:1 gene:OB11G10350 transcript:OB11G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGESKSKQMWTHTLARSRSRKKRKLAPFEIGCLARGDKRGSGKEVEWRWRRQEGRSYQLGR >OB11G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:265237:266197:-1 gene:OB11G10360 transcript:OB11G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNGEVKEYHGVGEIQDVELLALEGEEVEAEMCDPDAPPEREVPQVRAADGDAAEPHVREALASREREPMKAARLGHLRRRGVAEVEAIGQVEVREIRACGDERGEAGGAEAPGAGEVNGGEGGRGGERGEGGVGDAWAEGEIEAGDMRVASERGGEEGRRQREHWESAGGDEARGADGSLIPPVRTVIRPVY >OB11G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:265803:268964:1 gene:OB11G10370 transcript:OB11G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCIAVGCPDLRDLSLRWCIGVTHLGLDLLALKCKKLNVLDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALSSLDQQCSRSLQVLDMSNSCNITHVGIMSVVKAMPNLLELNLSYCSPVTPPMSSSFKLICKLRTLKLDGCQFMADGLKLIGKSCISLRELSLSKCSGVTDTDLSFVVSRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLLCSEGLQLIGKRCTHLEELDLTDTDLDDEGLKALSRCSKLSSLKIGICLRITDEGLSHISKSCPDLRDIDLYRSGAISDEGVTCMAQGCPMLESINLSYCTKITDCSLRSLSKCIKLNTLEIRGCPMVSSAGLAEIATGCRLLSKLDIKKCFKINDLGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSIFGLQNVTIVHLSGVTPNGLIAALMVCGLRKVKLHEAFKAMVPLQMLKVVEARGCIFQWINKPYQVALEPCDVWKQQSQDLLVQ >OB11G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:270858:272838:-1 gene:OB11G10380 transcript:OB11G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSASVPLPNHHTNHLDGQLPVLDNGPDPPPRNDDPPAPVALLPQVVVLCEQRHDGFDEAAAATAAAAGSGLVSKWRPKDRVMDDAPSLAVLPAPAVLEDSYRVVISNAFRGSCWLG >OB11G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:278972:289195:-1 gene:OB11G10390 transcript:OB11G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSEAEGRDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSAGPNKASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDRKQDQLRSAARQRHEFFTSPFLYGYLGLYPHRIGRILEEMLALHKYGEVGKETGMKLMNHCEKYAIFMMPCKITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAPSEDSDVYDIYTVKEVDDTSMGGTSSAPYPLLQVDDDCGVSYDDDDPYDTDDSNAEDNPLYDYPEELSEGEDDGSNSENPFSDIDGSDPEYEKEENSRVKKYTEELSVDGMPDRHEQKIVCTLGVMLMTAIRTEDSQLFLSVPALNHAASYLAQRASYLTQCLPVSGYTGISEEGQELTTLPPAPTPGGSFQASSQQSADSSGEIDYSGSSSQEITGQIAPLRVFQNGASLFQGLVESARKTVRGSADDIGWLQRDQSLPPTEDGTTRFLEILDSVRKNEHKLPDSVVYLLVPGLFSKHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNASEIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSIYWPQLEDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYEKRKAFLQQNPLPPEILIVSFHTEASITPSVVTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVHPERKLDHAWMVYSSLKEEPRDQADTSQVCEALLTLLVEVAQKRRHEMAMKDE >OB11G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:289345:292329:1 gene:OB11G10400 transcript:OB11G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVSVILSASGFPYPFPSRPLSKTLLNPSSSSSRSRWRCTRRILPAYVSLRGESQMPLLRRRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPQTLSREGEEEGDIAMVAAESFVSTQESASDTVVDYSVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEYLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRKNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWATSRQDVEKHFRKLRDFDYSNWF >OB11G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:301500:304682:1 gene:OB11G10410 transcript:OB11G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKKEKKAAAGLEEKLQLLRSVTKSNAANETSILVDASNYIKELKDKLHQEPEDAQLDSTSSSMPMPRVSVSCVEVENKKKGFRINVSLEKSQPELLTWVLEAFEEIGLDVLDADVSCAHHAAFRFEALGIGSTTHSEDAESMDVQMVRQAVLQAIKKCIDHHSSDD >OB11G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:304447:305943:-1 gene:OB11G10420 transcript:OB11G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRPPRNTICASCYEGAKAIIAFFNDEEQGGDADQGSVKSHGLTKLNNATKGLREAWEEARCREEEAKQRVSFLQQGFAAAWKDGLHTDIAVSPGTGPPIQAHKAILAARSEVFRHILVGGDGCKAPAGDSISLPELAHDELSHLLGFLYTGALTCTEERHLHALLVAGDKYDVAFLRRACEARLAARVEARNVLRTLEVAELSSSLALKERAMGTVVEHAEQVVFSPEYEEFAVRNASLCVQITRALLAAKSFPAKTTQPAT >OB11G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:308675:309531:-1 gene:OB11G10430 transcript:OB11G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQAWVEEHKLATIGGVWATAVGASVAYGRRKSPQMRLIHARLHAQALTLAVLGGAALAHHYYTPNNNKQQQQQDDYDFYSQLPPATNADGEENERWSW >OB11G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:309981:310303:-1 gene:OB11G10440 transcript:OB11G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDAFSCCCCAGGTSRWLLLHRTPWATRALLSPQPPRETPGAGQRRRRYGNNGREQLVAVDGSRGGDNYCGCDDDGSGRDQPAATTMTRTHQFFRRY >OB11G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:312668:314368:-1 gene:OB11G10450 transcript:OB11G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLSVTSDNCRIWWYLSQATSVFYVDSENCAQSLHGWSVSEATSSGSSKASISSKYALCFLTKSSDSICLPDDEILIFVFCFCTKLQAIRKRCFFEICSQRYWLFSVHTPHQRTIYGLCIGNSEAK >OB11G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:315385:316933:1 gene:OB11G10460 transcript:OB11G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N5G0] MASPKPFACTVLALFFAANLVSAQLSTNFYNKSCPNALSTIQTAVRSAVGKENRMGASLLRLHFHDCFVNGCDGSVLLDDTPTFTGEKTAAPNNNSLRGFDVIDNIKAQIEGICPQVVSCADILAVAARDSVVALGGPTWVVQLGRRDSTTASLDAANNDIPAPTLDLGDLNKSFSNKGLSATDMIALSGAHTIGQARCVNFRNRIYSETNIDSSLATSLKSNCPNTTGDNNISPLDASTPYVFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMATFFTDFSAAMVKMSNIAPLTGSSGQIRKNCRKVN >OB11G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:315915:316364:-1 gene:OB11G10470 transcript:OB11G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCTVPESAIMSVALSPLFEKDLLRSPRSRVGAGMSLFAASRLAVVESRRPSWTTQVGPPSCKINDHFLGVFVCTELHGRYLVTVDNFINVNQFIYNLWPLGLTFCVILLHKVCFGTRTSNCVCFVLFISVSKLLMSCQKFLVTFADR >OB11G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:317506:318555:-1 gene:OB11G10480 transcript:OB11G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAETMGGKLEAALLQIMQRHNHESLRQRKNTERAKMDVVRSGTRVADLLVATVDGGVQELYINEKRIELEARALLATIARYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OB11G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:327549:330052:1 gene:OB11G10490 transcript:OB11G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N5G3] MARPSCSIGLAVTVMVLLVLALAGGSSVQLSESFYSYSCPGVFDAVKGGMQSAIAQEKRIGASIVRLFFHDCFVQGCDASLLLDDTPSFQGEKTATPNNGSVRGFEVIDAIKSAVETICPAVVSCADILAIAARDSVAILGGPSWDVKLGRRDSRTASFSGANNNIPPPTSGLANLTSFFAAQGLSQTDMVALSGSHTIGQARCTNFRAHIYNETNIDVGFAMMRQSGCPSTSGSGDSNLAPLDLQTPTVFENNYYKNLVVQKGLLHSDQELFNGGATDSLVQTYISSQSTFFADFVTGMIKMGDISPLTGSNGEIRTNCRRVN >OB11G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:338510:345011:1 gene:OB11G10500 transcript:OB11G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLC ATP/ADP transporter [Source:Projected from Arabidopsis thaliana (AT5G05310) TAIR;Acc:AT5G05310] MTTTTPHRLRLRDAAVASLVAVLPHEIPPLISAASTFFFILSAYFAVLPLRDEGAISLGLATLPGLFAGSLLLTLLAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLIFFILWFASTPGHSPSISQSNEDASNKSPGWGNHSWFYILVRISLFLWVSLLNLIAISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKGIHSDATQGSTELSSSTIAKHSQNTEADDEISSLVTSQGSPSQVSQSQKTKPESFVMFEGFWLIARSSYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSIIAVFILVGQLTLTGRILTIAGVTVAICASPLISTLNMVALAQWPTWLAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYSLLFNSFEKKTSMVTLYALPLCFIWLLTAFHLGRLQTNLAKVQVTSFAY >OB11G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:339489:339781:-1 gene:OB11G10510 transcript:OB11G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREKAREATGAASRVRRREPAKRPGRVASPREMAPSSRSGSTANGAKREERSGDGGNGAYEEEGGGGADERGDLVGEDGN >OB11G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:346459:346647:1 gene:OB11G10520 transcript:OB11G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSTAAAAEGDRTLRCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFSE >OB11G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:347404:350307:-1 gene:OB11G10530 transcript:OB11G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMANVSCSPATRGLPAHGNLARSRSAAVAAGGSRSRPRSTGVFPCLSSTTAGAEGSRRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPEDIDHLNDEDKVAFILCLQQDRDIEYWEIDFHPIVNRCKEFGIKHIRRPAVDFDPDSLRTQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMDIKRAYEKLTSKRPCGPNRRAIRAATYDLAKNDPHKESFESLPERAFEGIADWERRLIQERVRALREA >OB11G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:352975:358297:1 gene:OB11G10540 transcript:OB11G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFCRDGKRLAKAGDNGIKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERKRKDEKDKGSSKSNSDCEDIERRVGREGERKRDSDRRNEPEKRDATRVGAEGYKPHNFDANNQASKTVQSKAKYFGRMTGGLLSSSRGFSSGSFFGRSVQASVPQANKVNKPLVTITDQSNVVKRDAQPPATAVPATAAGTTNSWTNAYRPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPEVPASAPENNGQPEPHGAQINNAQIFLSQYPPLDSKPSSSRSMQFPGFPPVNGAPANMPLSHFPAGHMPFYSEAEPTVFEELEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHVKPSPIESPLSRSRTVEENPIGHSTAKGPNASILPEASNEQGTWQMWGTPLVQDSLGLRGPQTEWLLPNTNQFNHGINHLNGRTTNPLGSGLDDNGPWLQKTPFQQLPLDTRSLFLSHDVPEKAIHNDLGFGSPNKSARLHPFGPPGHSWSKEALVLNGTQEASKICSPTAAPVGSGFFSTNPDVQSVWSFNQKETT >OB11G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:362004:362450:-1 gene:OB11G10550 transcript:OB11G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNPAMVAERKMRMTLSGHIIPCVELPQSAPQMNEIEAGGLGKGGAVFGVGSPFSLTSCRPPPNATPDEEVSLSLSLSSPTPSFLPCPLLLGILNKERNQREGENNKKKNLQQFLDREEEEGDDEFSDCKEEEEEENGDAIGKKEKNL >OB11G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:362002:364848:1 gene:OB11G10560 transcript:OB11G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDLQDDADGSDSPRAPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >OB11G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:371140:372504:-1 gene:OB11G10570 transcript:OB11G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3N5H1] MEPRGKTLMERYELGRLLGQGTFGKVHYARNLESNQSVAIKMMDKQKVLKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFQKVEKRGKLTEAVAHKYFQQLIAAMDYCHSRGVYHRDLKLENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVISKMGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYQKIQHGQFRCPSWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRRGPEENCALKERTLNENTARNVAPTVGMRRKKNGHEDLKPMSVTNLNAFEIISFSRGFDLSGMFIQKEHRNETSRFTSDKSASIIISKLEDVAKVLNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTNGDSLEYQQLLEEGIRPALKEIVLAWHGDHQQKSQEQVLGISSI >OB11G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:385367:385600:1 gene:OB11G10580 transcript:OB11G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGSAGGTPTCHRRRRSTHQRRRTHTAYMMLPATAPATSENPNACQIARFSNTIAQLQIFDIWSIIFCFSKSQLNCVL >OB11G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:388821:390077:1 gene:OB11G10590 transcript:OB11G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTPRSASRSTTCSVPGLVLGFSKLCKITKVCAAPDFADTKTEFGDYCGGYDQSLVLTRLFEEIRSLKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVSALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDILLLRRELDGLKSENSRLNKQIKSKPSVNKRGKDYSTVLKQLTTPSAILELFKVASTSVHEFAELFFSLMPSSDHCSNSAEEQSSFKRYSLEAYLSRTMLAHGGAEDDDEHDAARFDRIMRCCDPLDALMEHSNSSFARFCRSKYLAAVSPEMESAMFRNLDARAFVSRGGHPRTWFYRAFATMARSAWAMQVAVAARRRCSGHGNVRVFYARRGSTYREEYMESVVGAASASAAGIGVAFTVTPGMKVGETMVPCRVFLHYRRDQQDAITDLSDLKFR >OB11G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:392043:392282:1 gene:OB11G10600 transcript:OB11G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSWASSLLMLLLLLLVAQQVTCTRPLPLPSAMSSTPRQLQIAGETRTEEEEVMSWLKSMKPRGKPLPSSPSKRTN >OB11G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:393360:398592:-1 gene:OB11G10610 transcript:OB11G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRCGGRRRERSTVVGDDRNGYVETDPTGRYGRMAEVLGKGAMKTVYRGFDEVRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIISFHASWVDPSPSRRSFNFITELFSSGTLRSYRLRYPRVSRRAIAAWARHLLRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCSSAHSVIGTPEFMAPEMYDEHYGVAVDVYSFGMCMLEMLTNEYPYSECNNPAQIYKKVTAGKMPDAFYRLTDPEARRFIGRCLVAASHRPSAEELLLDPFLSPSQHHEDQNSNMITCHSAPPPPPLPLITIANSEQEEEEEEAPAAERTALDMMITGKLNKEHDTIFLKVQIGSDGSSRDGHGGNVRNIYFPFDIVNDTATEVATEMVKELDIGDREPREIAAMIEQEIVRLVPGYKQQQQEYSYADDHDDDDDDGHPNPFYYLSSSPTSSQGSLCGVGPTSSEAFPGPHGKVDWSRDYCYYRPPSSSSVSVSDDDDCTASCPTSAGSQQQHCSSSSRLGPAAAEGGHAGRARQREGEEERRRRRMTRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTSSSSSSQQHRRSHKHQHYMF >OB11G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:403776:405904:-1 gene:OB11G10620 transcript:OB11G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVNQFFKNTLKRHCTRVRKSVSGFLWGFKAERTNNDCSPLYNNNSFGDLSNQFHSINISYSSNYGSLKQNGSNYMVEKNERKSISGGWLASKTTNFVTTNSTGMTNGSDSCEPSSQSITGAYNLLSKEIHDAPYLFNESESGMIDGIMYGTNLSHHGGFHQSFEEVDDDDGDTIGRNKSNLTGDYTTHFNNLLYAYGFHQDHPISQYYEFGSVSSQVPSPPTRYQNRRSSNSHSINNAYGYAGTNGIGYAPPPNYLIMRPYNLIDDSNRARGTGTYFPNPELCRDRSPTGRARRGKIHFLPNNHKRSHQYVRADMSVEFTALEELRQEPIHVPGAKDLGIPSSLNIPIPPSFEAPREIIHSNGFVQHPDKQFEFGTLGPWPLEVTSQDLAFGNRSNSTPGSHPSTHTTPVSPPHNVGITLNQMRNAHPYHLKDNGDFPPLSS >OB11G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:427384:431142:-1 gene:OB11G10630 transcript:OB11G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGASMLTTCPHVTALTAGAATSSATTVTTASVRVAVGMEFVRPLTLLADWATEATRPGPAQPRHSVTGT >OB11G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:431342:433471:1 gene:OB11G10640 transcript:OB11G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRPDLIAGIPSKCGVNIPYAISPSTDCSRVH >OB11G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:433198:433641:-1 gene:OB11G10650 transcript:OB11G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSMDLPTSSMQMAKQMSFHGMHDYIMTLKTYDTDQLSLEAMHAYALWLLFWHIRYCSDVAVQFSFKKNKREKKMSCVGLSVAWKIDILLSSLFTWAHGSLQRSYMGQTLYRSSPTIMGCILQVSPEIFIYLFNTRATISFRTSH >OB11G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:433581:435058:1 gene:OB11G10660 transcript:OB11G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3NAT0] MAARRMAVVAVVAVLAALVVAETASAAVSCGDVTSAIAPCLSYVTGRMSAPSSSCCSGVKTLNGKASSSADRRTACSCLKSMAGSVRNLNMGNAATIPSKCGVSVAFPISTSVDCSKIN >OB11G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:439806:440758:1 gene:OB11G10670 transcript:OB11G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3N5I1] MARAQLVLVALVAGLLLAGPHATMAAISCGQVNSAVGPCLSYARGGAGPSSACCSGVRSLSSAASTTADRRTACNCLKNAAGSISGLNAGNAASIPSKCGVSIPYTISTSIDCSRVS >OB11G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:440044:440229:-1 gene:OB11G10680 transcript:OB11G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPHLEGMLAALPALRPLMLPAAFLRQLQAVRLSAVVLAAELRLLTPLQQAEEGPAPPRA >OB11G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:431000:444107:1 gene:OB11G10690 transcript:OB11G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3N5I3] MARTAHLVLVALAAALLLAAPHATVAITCGQVSSAISPCLAYARGGAGPSSACCTGVKSLNAAARTTADRRTACNCLKNAARGIRGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >OB11G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:455842:457926:-1 gene:OB11G10700 transcript:OB11G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:J3N5I4] MGTEMATVEVEGIPFPQEITRTKPLSFLAHGVTDIEIHFLQIKYNAIGVYLDKESVLGHLESWKGKKAEELVQDAGFFQALVFAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVAVDKFEEEEEEALEKVTEFFQYKYFKPNSVLTFHFPTTPGIAEISFVTEGKSEAKLTVDNNNVAEMIQKWYLGGESAVSPTTVKSLADQFAPLLSA >OB11G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:459754:461392:1 gene:OB11G10710 transcript:OB11G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYITGNTVDKTFRAGSTIPEASISKETKQFTYKDGSQFVFMDLTSFEETRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >OB11G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:464643:465512:-1 gene:OB11G10720 transcript:OB11G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTADPLLREDEDAILDDVDTDEEESEPEDDDSGEEFHAEPSKKSIYNKEGIIEKLEDIAWPENVDWRHKLTVDHDQGNKVDVNDDLARELAFYTQALDGTRQAFQKLESMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRAKEVQAEKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMR >OB11G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:467861:475655:-1 gene:OB11G10730 transcript:OB11G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAVAHQAGDDEVAMKEGAQVYELIKTPQPLLLLHANSQQQQQLAYSLLTEAMRALNVALSVMKHLPSSPAPATIPVNMIKPEVTPANSNAATAADGGDGQVGGGKATRRSAAKRRRINGADKSSWLQLTTVPHEDGYQWRKYGEKKIQGTDFTRSYFRCTYRDDKGCQATKQIQQKDNNYPPNFQVTYSNDHTCNSCTDRVINSSNHPALHNLAANPIGRPDDDDDTICNKMIKQEPQVAWLPPPLTTISNSLDGTPALHVYQADEVPPSSKIYCSDSVAGISSSLQQPSPAAPLPIRSSNCKVYSWIGAWGSDPPARWAALRRPACRGGFVVEKNSLRVTAPSDLKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKPKPGSLPTFLLVDRGDCFFTKKAWNAQSAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKALGLDHKAIDKCIGDPEADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKVANISACKDTFRGRVCECPVVKGVQFVGDGYTHCEASGSGRCEINNGGCWKEARHGRTYSACTNDGCKCPDGFKGDGVHKCEDIDECKERSACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKSAATEVGWNFLWVIFFGLVVAGIAGYALYKYRIRRYMDSEIRAIMAQYMPLDNQGEVPNHSHHIEL >OB11G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:481589:485004:-1 gene:OB11G10740 transcript:OB11G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPIDLGSTTTTPPQQQQRLSARKEERTWTTATYAPYDDGHQWRKYGEKKLSNSNYPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSSKIVGSTPDSAAQSSSRKAISICFNSHCATSEQPTFLSSSASLLSPSIQSYSSNQQPDRNTYTRQFQWADTWSSTPTSNGPVKMEVDDQHAEASVSPSATGALSRTLLPIGQSRCIEYFQFL >OB11G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:493556:493750:-1 gene:OB11G10750 transcript:OB11G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGNGTSRLFNIDYYGQHTCRGDGMANPYVVETIHHSTESIIKPNAITLHLNTKAMEFERKI >OB11G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:497623:498834:-1 gene:OB11G10760 transcript:OB11G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSSSSKKRSLVADQHPSSVCCDHLAALREISRGQSLVTQLRAIVLPVLQADERCGIAAQMLEDILDCSRKAMSQLQPLLLSSSDSAHDHVDDKRRVRKISSSSDDDDDHCSSKAAQDHDAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCTYRQEEKCKATKTVQQREDLNYANNGDHPIMYTMVYYGQHTCCKSPAADDHVVVEASQNSTETHCQSPSSSSDQQPVAHAGDRSQCSNISVTCSSSAIVEDCNKLLDMLPAADELTTDVLFDLTAYAPLDLDINWEMDANALWV >OB11G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:509762:512503:-1 gene:OB11G10770 transcript:OB11G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKAPGESYYRCTNSTDQGCGAKKTVQRNDDGGGGGAARYTVAYISEHTCKSVESVAPVILETTIVPTAGSAAESVSPPTSSSSDIITTTWSSTGSSWSGQHGDFCRQLIASGDDCCWDNTFTPTTATSANSAVVQEMDLTGPIRSPVHMAVPDGNWMGYLVLNNDLIDDIFDGSINNLFSF >OB11G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:514106:518158:-1 gene:OB11G10780 transcript:OB11G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKIRFVRCPGCLQLLVEYPSIAVYHCGGCGTVLRAKNRVASTVNANTESGEHNGFSNNSTGDIQSEKLICTNEQEILPSSNSQPGALQKKIAFSREERITSASNIIDSSEHINIESSLVDWDSGNSDIRIEDINDEDKGCLFDSSLDSMKKVENVENDGNINSEKGSITDDGSINKEIATTRSMVYLDGAGSNSTFTRELESLAEDNCTINNNVTSQEVVASNRPDEETDSEDIFHPYEGFHIESHEDLIEELVRSLSISDDEDEFVAIAENSELYDDLCSQMGSCRFSWGNKMNDAPRSDPHGRLIEELEMSFGDAEEPLDQDIMVSHGDIFEMVTLGGDGKQNHILDEDGKQKHILDASEANSYEERVLTWDDEHLKSGQSFKQSELASVDTEEMEEGHPEETNMVNHAEANSGTFATISSLSNNQFCAMLPPNCNKRKEEKSNTYRGRVLCQKLSLDYEDLWSIQNFIESQMDGTSSSLSRGSPSHGILEHNLSDKFNRIDQLERLKKMDDLRDQLNWLSSQKGLGNMYNDEHSCGYDADSIPGSDHIDSYYDHENPPRYLSPDPYLPSHSHCDIGHRQPPIPYSCSAHEFNSYYQSSYAGSTVLEQESLSSSYEEQKCAVMKHILRSLSGASPFTICNGCFSLVQVPSDIYVLKRKSGKFQCGRCSKALVLSFPDTHSEDTMLSKEEDQKPNKPVHNRVVGMENADSISAECSRGDPVSISEECGASFSRNFSGRTRPAVDDSRSRKKVSDSALHRLMGYDSVSKLLTIQQSV >OB11G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:518997:523018:-1 gene:OB11G10790 transcript:OB11G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPSIAVYQCGGCGTVLRAKNRVAPVVNTTVESGVHNEFSNSSTGNPQNNKLICIDGQTILPSSNAQPGVVKEKITFASEESTVLSSNSIDSSEHVNIDCPLVDGDASNPDMRTESINDEDKGTVSNSGPESMKAENVGTDGNVNSEKSSFMDDQSIINEVATAQSIVHMAGGGSNSNLREAQSLAGEKCTLSNNSVNSQEIVASCRPDDEIECKSNNVSAGAKDRFQPYEGLHVESHEDLIEELVRSLSLSDDEDNFVDIEENSELNDALRSQMGSCRFSSGSKMNDAPRTDPHGQLIEELEMSFSDSEEPVDQNVMIQHNDIVEKVILDEDAKENHNLEEDGKENNILDEDGKENHIFDKDGKDNCILEKDGKENHILDAGGSNSYEERVLPMDDGDIKSGQSFQQNELATVNTEEKEEEHPEETNVLNHAEADSGTGAVLSSLSDDKFYASAILPPSCNKRKEEKSNIYRGRELRQGLSLDSEDFRSIQKFIESQMDGTSSSLSSGSPNHGDLERNTSNRFRKIDRFERLKKMDDLRDQLNRLSSQKGGNRYKNKGLGHLQQQNSYKYIELHPCGYDADSILDSDIIDSYYDHGNLPRYPPPDAFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQPSYAGSTILEHESLSLSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALVLSFPATHSEDTKLSKEVNQKPLHNTVDGIEGANSFSAELRGDPMRIIEKYGASSSRSFSSRARPDFDASTSGKKASDSALHRLMGYDSASQLLRHSRVFDDGYDSFESMVPVSNRVFRRKNL >OB11G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:528608:529572:1 gene:OB11G10800 transcript:OB11G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFGDIIKKAIFIAATMLQFGYQTEQDIKDGVPDLTEHGDVYDLTFGLGADRPPTSVALRKEFQRIILYQQSPHEDSALASILLRPWPTALSTARFCSGADEGDKSVIDRVPRVYIKTANDRMVKPEQQEAMISRWPPSEVMTMDTDHSPFFSAPELLFNLILKSL >OB11G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:529191:529394:-1 gene:OB11G10810 transcript:OB11G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSPSSAPLQNLAVLSAVGHGRSRMEASAESWRKPLTKQSLCNKIYSEYTTLITVVPHGGFVDRE >OB11G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:530180:530371:-1 gene:OB11G10820 transcript:OB11G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERSNRVGVGRVDTTSGKVYACYSVARALKEPAKFEPAPGTMPFPMNKNKVLLCHDHLVSAF >OB11G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:530198:532015:1 gene:OB11G10830 transcript:OB11G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKEHFVLVHGEGHGAWCWFKLRWLLESSGYRVTCIDLAGGGVDPTDPNSVRSFEHYDKPLLDLISTMPEGEKVILVGHGAGGLSLIHAMHQFVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEIELTFSAGVDDPPTTAALRPEFQRDRLSQQSPEEESVLASMLMRPWPVAAISTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLELDTDHSPFFSAPEQLFNLIVKSL >OB11G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:532524:534371:-1 gene:OB11G10840 transcript:OB11G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCPIPSSWSATTPRLLLLHHLFLISLVSDMEDAHHWPMVERRGTHLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAEAGLNVCRTWAFNDGGHRALQTAPFHYDEEVFQALDFVISEARRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDTTIKSYYKAFVQAVVTRINTTTNEAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPMHLLEIGIEGFYGPSTPELFPVNPDEYSAHAGTDFVRDHQAPGIDLASVHVYSDTWLPHSIEENHLQFVNIWMQKHIDDAANLLGMPIGVGEFGVSVKDGKFGSEFREAFMKTVYGIFLSSWKGGALGGGCLLWQLFSEGAEHMDDGYAVIFAKSPSTLSLLANHSRSLES >OB11G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:535571:537097:-1 gene:OB11G10850 transcript:OB11G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G30520) TAIR;Acc:AT2G30520] MVPKCGYIRKAVAAARGGPTATVELDLSALPGGADAFDKAARYCYGANFEISVRNAAALVCAAAFLDMQPAEGGLERRVEEFLAKVGLRTLPSAVAVLRSCEGLLPAAEEVGVVQRSADAVALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCLLAVTIGSSAKTCRDLELRVAAVLDQATTGDLLTLALDSAGERVQNVDTVRRIIGAFVERDASSGGGGNGRSRRASLSGAGALQGGSAMQTVAKTVDGVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALDEIEREKVCSVMDPLKLSYQGRLHASQNKRLPLQAVLSALYYDQLKLRSGNGGGVGGDESGGWDAYGGMMRSARMQAKAEASLARENEELRSELARMRAYMSGMGVQQSKGGGSSSRTTASSGKKGTTSLWLLGSVSRTLSRLNPFKGGIWAKDTSSIGDKKIHSVKPKRRRFSVS >OB11G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:536127:536966:1 gene:OB11G10860 transcript:OB11G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARYRQSCVARASFGTAPARPTNLEIGSDSSVAISAATPSTVFATVCIALPPCSAPAPLRDALLLRPFPPPPDDASRSTKAPMMRRTVSTFWTRSPALSSARVSRSPVVAWSSTAATRSSRSRHVLADEPMVTARRQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLSATASAERCTTPTSSAAGRSPSQERSTATALGSVRSPTFAKNSSTRRSRPPSAGCMSRKAAAQTSAAALRTEISKLAP >OB11G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:539038:539400:1 gene:OB11G10870 transcript:OB11G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTACCIAAFHSTLHKETWLSILTHHPASHTNQRYQRLNDIGYKLLTSICHSNPIMDLNNTVVCVDHFVLCCITSSSTSLVGFFFFSDRRPGSLNLAGGGLHAVRQEKTTPKMHAPTRLA >OB11G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:539493:539774:-1 gene:OB11G10880 transcript:OB11G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLTELEREQGVMRQGMRDDRGGGEHGRALLASISRGIGRIATLGVGAQGAESRRRKKKSSQSQWSSDGGGKSSRRRHKAASSTVTYAAAS >OB11G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:539792:543702:-1 gene:OB11G10890 transcript:OB11G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPAFPFSTGKLSAANSPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSRCGRIRRMVAGTKDPDLSKLELVNVPGGALAFEFAAKFCYGSNFEINSVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEEVGLVDMCVDAIAINASKEQLVSGLAHLECDVGSGKFRMHCQDWWVEDLSALRIDYYHRVIAAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPAVQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSTTHHTTTLTTPRRCGGRTGS >OB11G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:551317:552306:-1 gene:OB11G10900 transcript:OB11G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSSCPAPGCCSTPMDFLACLCILQLLYLASSGVAAQAATSPARALDAMLQDYAYRAFVRPHTGIVYSATVPPNLTGVAVSAVRLRSGSLRRKGFAHFLEFAVPTGIVVQPYVERVVLVYHNLGNWSHYYYPLPGYTYLSPVLGLLVYDAANLSAVGLQELSIVASGSPISISFSNVRSVPADGPAPRCVWFDLDGVPQFRDLEASNVCSTFRQGHFAIVVNSSEIAPGPLPPGTITPPIPTQGVHSKGSSKGWKIAVGVVGGVIALVLSALLVVCLARYKRDRKLEVMERNAEAGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >OB11G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:557787:561175:-1 gene:OB11G10910 transcript:OB11G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPVAADDRRSSYPQPQGSSSSFSYTSIKSMNEPKVGLWGTLARKAKGILDEDGVAHKSDNYTKEKTPHKFDSSSGAQESRSRWSFENHKRTGDTGSLTRSEALAASVNQFGGKLRDALEEGLTIVDNKASNIIEETKKIQIRRKQANSNSYMPSPTLDTFRTPNLAHDRAEPAAQETQLKASRDVANAMAAKAKLVLRELKAVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDSDMEEDNKPGEDSNQTYSEDMFPVVEAYLDREELSPVPSRPGSPILSSHESSPPKPIHSQISAANLPNNVSKPNQLVHGTN >OB11G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:563675:564028:-1 gene:OB11G10920 transcript:OB11G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPDRSQSTAKIWWQRDSMRRSWPASRATAAPGGGGCAANQQCGNTKEQDSTAAERQTAKENIHVADKESSSKAATGLAVSDRAQPKPNNWSTPKGLFVSKGFQSKEIGKVESNV >OB11G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:565077:569396:1 gene:OB11G10930 transcript:OB11G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIEIRLIFQFASSVGTHAILESSQCELPALGLIKSMQMLHLPPSIQTPPPAPHPLRSSAFSCSPRARRLIGPPPAFASAEFPGSVPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGIRVHHKVCSHEDSSDQSLDSAITNADQNRIGLPILLLHGFGSSVFSWNHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVMATLAFIDQLGTNKVVFVGHSAGCLVAVDAYLEAPERVAALVLVAPAISVPVFKRKGVKEYGSAEQEWQKKNDSSGSNLPTNSFNRIREGFLELCLRTAGFLMNTISAIGGLIRSLYCKAAVAVLQSSLGVMLVRLIMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRFRGWEMALLEYTVSMIMDSTSTSKVPISERLSEISCPVLIVSGDTDRLVPRWNTERVARAIPSAEFEVIKNCGHLPQEERPEEFVSVIERFLRKAFGRANEQDQVFQAAV >OB11G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:572733:575606:1 gene:OB11G10940 transcript:OB11G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKQGIRGPKPTLLYGNTREMKRIQQELKVSHKQGTNNYISTLFPHLFLWRETYGPVFLYSTGAMEILQVSHPDMVKDLGRWTPSELGKPTYLKKSRKALFGGGLFTVNGDEWAYQRKIIAPEFFVEKIKGMIQLIEDATGPVLEAWECMIDDSGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIAQQDAFVGLSALWKYLPTKSNQEIRTLDEQVRLLILEVAKEHRHHQDPHNGLLSAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAMEVCRGRTPLDGDALRRLKIVTMVIQETLQLYPPASMMMREALTDDVRLGDVDVPRGTIVQVPRLMLHLDKDAWGADADEFRPERFANGVAAAACRAAHMYVPFGHGPRTCIGQNLAMVELKVVLARLLSKFAFSPSPTYRHLFIQLGLDGRFISALSTQTARLDFSTYKCVDSNQRKCTTPLFI >OB11G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:577746:579861:1 gene:OB11G10950 transcript:OB11G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKGMVNLMMKAAVPMLNLWKNDVEDRGGSAEIVVDEFLRNFSADVISRACFGSSFSEGKEIFIKIQQLQTTMAKQNMFIGVPGSRYLPTRSNREIWNLDSSIRTLILNIAKKYEHDSPTTANKGLLHAIIQGSKDGPFTSCTREDFIVDNCKSIYFAGHETTSTTAAWCLMLLASHHEWQSRTRVELLDICQGRPLDFNMLRKLKTLTMVIHETLRLYPPAAFVVREALNDIELGSIDIPKGTNISIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPLHMYMPFGVGVRTCAGQNLAIVELKVVLSLLLSKFEFTLSPNYVHCPAFRLTIEPGEGVPLIFREL >OB11G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:583477:585937:1 gene:OB11G10960 transcript:OB11G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLICKSQDTLLPMDQGVDKNVVENSLVSNCDFPVVKKMEKCVGEEASVQAPLENKEDAKSLGIVCDHENNKSGVAEAITSPKHEAIESSISIKVADENPSYGCQTPCESIFDPFAPGAEEVACAPKKKVIRAPELPSRRQLSFDSGDYPVKRLSYEFDDCEEDDQFLERICKMFIDLIISNQVLETNGKDLVDSSSPGSCETPSLEPLLTGITDACPDAPLRRSLKVVQLSPSICRKLDFDSVSPRCLLAKENK >OB11G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:586465:587151:-1 gene:OB11G10970 transcript:OB11G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTASGTASGNGSVHTTTAAPFRTHKDWEAARRQRRRRCLCCCLLATLVVLLLLAITLVVLFLTVLRVRDPTTRLVSTRLIGVAPRLSFPAASIQLNVTLLVTVAVHNPNPASFAYASGGHTDLTYRGAHVGDAEIDPGRIPSRGDGTVQLALTLQADRFAGDLTQLIGDVMAGSVPLDASTRIPGRVAIFGVFKRHAVAYSDCHFVFGVAEMGVRSQQCSDRTKL >OB11G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:590190:592027:-1 gene:OB11G10980 transcript:OB11G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLTNGSAVKPGRKSWDNTSTAAAAGSLMKSKVKVDKDTILRTQEAMARRLSDVTTELSSNDGDSSVDEKPKPRKKTESTSVKTKAAAPKIVLHDPKWTDGTISLDGVSDVLSKMGKEASERRHAAAIAAVDALQEALITESVIRNLSKFSELSSASKTSNPLPTVDIFLAIYEDTLKWKKISESIATSGTETVLWENSTTHWVEAALATDLEVLKLMNRAPESFSRKRGADKPKVLSVVEPPKTTLSKRQSHGAPAKVQSKVSPSPTAACTWNKTQGVNETADLATTLCREMHTWFLKFVDEAMDLGFHLFEDQNIASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFESSVSVSSRS >OB11G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:599193:599366:-1 gene:OB11G10990 transcript:OB11G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGKQKHIQQGGLYLLFPGCYKIVLSGAMKLLLFIIPSLFVCLFFFNDLYRMVPHTA >OB11G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:607980:611593:1 gene:OB11G11000 transcript:OB11G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSAVLRRVPPLTLALALAPPAPPRPFGRLQLLLPRASSSSSSSSSPPVAQGMEACYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSDETSDLWVTAKEVGVQLEQYHRASSLTFAIQDGPQAGQTVPHVHIHVIPRKQGDFEKNDEIYDASDVKERELKEKLDLDIERKDRTMEEMAHEANEYRALFS >OB11G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:610724:610894:1 gene:OB11G11010 transcript:OB11G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYSTFYLALFSEVKIYSFYRLSIKFVVSRILAKYTSFACHKLFLLPCSAISLCI >OB11G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:612947:614817:-1 gene:OB11G11020 transcript:OB11G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQVHPSRGGGGGGGSNCRGRPDEPVVYTVWKRSSMGFQGTDGFSVYDSAGSLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEQDSDKTSSPSVSQQQVFSMRKSSALQSSDEAEVYMSPSSDHHHGQPDASSSPSPSPSFRVDGCFSMRSCKIRRSNGEEAARISSKNASVASVRPAVSLGDDVFSLVVRPGVDVAIVMAIVVVMDRICRSYAPMACSSSAATSVVHSGCPAKKALHSGEIVKLKEDYCLIRSSSSLL >OB11G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:617425:617702:1 gene:OB11G11030 transcript:OB11G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGSKYRFGPHEIDDRQVFLSTPHSFAIVNLRPTCPGHILYFCTNYIYMHHCSTLLSVN >OB11G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:617937:618891:1 gene:OB11G11040 transcript:OB11G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYAQSVMSNDLPILVLMRLVICGSPLRTLVYVLSSTTELLLSHSQFRMDLILAKQFHMFTFTSFPEGKLILRIIMTILAR >OB11G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:627152:628523:-1 gene:OB11G11050 transcript:OB11G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSPSVHRASEEGHGKRTRTQQLFSMRKCSIMQTSHEAEVYMSGPTNAPGRTGHVPSFCVEGSFRRRSCKIRNSNGEQVARITRKKAGAAALSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPLMCSS >OB11G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:627207:627458:1 gene:OB11G11060 transcript:OB11G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAMIIAQSTFGWTSRLNTSSASVRDNAAAPAFFLVILATCSPLLFRILQLLLLKLPSTQKLGTWPVRPGALVGPDMYTSAS >OB11G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:634602:636163:-1 gene:OB11G11070 transcript:OB11G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPHVSISLTGLAMAVFLFSSSLQAAQGGAEKKKEVSEYYYDVPVRRLVYRPAGGGDEHGGSVLQRLLRLDAYAQGSKKRRLFVFDGFQHD >OB11G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:636974:637543:-1 gene:OB11G11080 transcript:OB11G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRTGRRCAGVARGGGRQWSGARRPPRRRSSAGGHGRAGRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGV >OB11G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:638754:643638:-1 gene:OB11G11090 transcript:OB11G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVVERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLPWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVP >OB11G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:651872:653120:-1 gene:OB11G11100 transcript:OB11G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYCRLESPLDITTSTIIRRIVTNHEAYQKRNEKKEASEKKYYDSKSFVNGE >OB11G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:655736:657525:-1 gene:OB11G11110 transcript:OB11G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDIVDALRSGSSAVKAIHQSVSIDDIENAIGEANEQTENMRQIQEALATPIGASADFDDVPCICFFSLWQDELEAELEDLEEEELDNELPEPPQRTSMEPSARATTSQKPANDLAELTKLQAEMAL >OB11G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:660472:662938:1 gene:OB11G11120 transcript:OB11G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVTDPLADLWNHTMSTDRTDLMCFYPSKITMGGIWVGDNPLDFSMPLLLFQVLLITSTTRAATLLLSPLRLPRYISEILAGFLLGPSVLGRLPHFSDLVFPIRSLFVLESLALFGLVYYTFIVGVEIEVPTITNAGLRSFGFAAGHTEMQFKHISHALVAYAENAEGVSARTMAAVSPYVSMHEDITSAAENLHSALILLPFHKHRSVDGGMGVSHPAIQPLNGSVQRFSPCTVGILVDRGLAAVPGAGYRVVALFFGGSDDREVAALATRMAKNPAIDLTVLRFVQKGGSFTASEFDALKERKADDGCLREFLDRANEGGGATVEYRERGVFNANEMVAEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSECPELGPIGDLLASKDFQTTASVLVLQAYGRPAVVGAVSVDLGGESVAPTERSASGRGPWARSAP >OB11G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:664593:665369:-1 gene:OB11G11130 transcript:OB11G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRDDRPPTEAKEDELADYLLMRAFLSSDPSSDSGGGCIVVLLHRPEYQLSFARVGVDERWAWVNLPDSDFYTDVVYNNRDGMFYAVTHQAAIHAYDFSGGPSAVRRTIVLADQLHGVINLETKYLIRGPDGDGWLQVWRMLKPVRAGEVHDAGAATHGDTTRTTAVYRKTTISIKVYRVDLAAQRLEETETLGDGGDSRALFIGCNSRSGCRPPTATESAPAACCPTIFTTRTTMRTTRCSTRRRPGTSASTASQT >OB11G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:697966:698385:1 gene:OB11G11140 transcript:OB11G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFHVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQTTGR >OB11G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:699089:699247:-1 gene:OB11G11150 transcript:OB11G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding PESQSWKVTLVDKETWNQADYSKGYNCRKCPVSKDFNKLITTMAGKVKRMLK >OB11G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:704673:706297:1 gene:OB11G11160 transcript:OB11G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGVLLLFRDKAYLHPEKVVDLVRRAVPLAVSPGNDSRKEELKQLQEKKEEIDRVAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRHRKLCARHRFDMEKYIELQKHCKCPLEGHYSHGPKFHDL >OB11G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:707885:708349:-1 gene:OB11G11170 transcript:OB11G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVVALLALATTSASAQFYAYNQGYGQYLLQQQLLLQQQMLNPCNEFVRQQCSIAATPFLQSVVSPLRNCQIMQQQCCQQLRLMAQQSHCQTISSVQAIMQQLQLQQFGGMYFDEAQAQALLAWKLPSICGIYPSYYSTPCNTPTVGGVWY >OB11G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:710999:711684:-1 gene:OB11G11180 transcript:OB11G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRNSNDGGAPPPPTPNHSVPAASQRQQVQGAGYGDVLPVTGGESSSTINPPPANVNETTSAARRRSGILGIGPRVRPQAQAQSVPPPPFCAACNRRITPQNDIYMFRDNAYCSEECRGEVINAYNRFGTLFHP >OB11G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:712728:712996:1 gene:OB11G11190 transcript:OB11G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVKARLAMEEVERILAIVPRAPVPVPEYKRYDDPVIDELHEALTSAWCPFHEPQHDDILEELRTKGYVDACLPTS >OB11G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:714107:719334:-1 gene:OB11G11200 transcript:OB11G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N5N4] MKGRHHYSSPLPSPSPPSKRRCTALAAAVPALVVCSILLTLVFLLGLHRPGYGSGERAAVVITTELGFGKHKHLDARMKHKLLKGHSLWTVCQGVVAILVLEFSFPGSHGISGVKSGGRSKAKNLSTKSKEKIKDVFSLIQLKNGTRKNKGFHTHTRYQLKDLSRKSMDTTTDKKENIQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTHELKQNIQEHERMLSDTIADSDLPPFFAKKLEKMERTIERAKSCEVGCSNVERKLRQLLDVTEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSMSIRMDQSNKQKLQDPTFQHYVIFSKNVLAVSTTINSTVMNSKDSGRIVFHLFTDAQNFYSMKHWFDRNSYLEATVHVTDIEDHQKLPKDIDSHDMKLLWPAEEFRVTYRNRSQSFQKKMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNINMRGKVIGAIQFCEVRLGQLKAYTEEHNFDSNLCLWLSGLNVIELEKWRELQITSRYDQLLQKLQKDSVTSFPLKVLPISLLVFQDLTYPLEDSWVQSGLGHDYGISQTDIKRSATLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTDCNIH >OB11G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:736784:738228:1 gene:OB11G11210 transcript:OB11G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPALPPGFRFHPTDEELIVHYLMNQAASMACPVPIIAEVNIYKCNPWDLPAKALFGEKEWYFFSPRDRKYPNGARPNRAAGPGYWKATGTDKAILATPTSHSIAVKKALVFYKGKPPKGLKTDWIMHEYRLTPASPANCNTPKQRRGSSSMTMRLDDWVLCRIHKKSNDFSSSDQQEEQDQPEGSTVEQQEDNNSCSELAPTSEHNEQPSFQPMAASSMSKSCSLTDLLNTIDCAALSQLLLDGSSDMVSEPPAPPSPLIYTNPCQTQTVLTYNMNNNNNI >OB11G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:738540:739989:1 gene:OB11G11220 transcript:OB11G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYRLTPASPANCNTPKQRRGSSSMTMRLDDWVLCRIHKKSNDFSSSDQQEEQDQPEGSTVEQQEDNNSCSELAPTSEHNEQPSFQPMAASSMSKSCSLTDLLNTIDCAALSQLLLDGSSDMVSEPPAPPSPLIYTNPCQTQTVLTYNMNNNNNIPSVLEARLDHHDGYVNNYHGLRRKRMMACSSGSTSFDDGREFVQQVAKKPLLPSGSRGSGFGGGYCNQQLAETTTTFQFQNGNLLSHPFPLNQQQLLLNNHLQMQ >OB11G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:746369:748093:1 gene:OB11G11230 transcript:OB11G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETANSLVKSEQDGVGLFLPPGFRFHPTDDEVILSYLLQKFLNPSFTSLPIGDVDLNKCEPWDLPSKARMGEKEWFFFAHKGMKYPTGLRTNRATKEGYWKATGKDREIFKPSSNGGSKPLVGMKKTLVFYKGRAPKGSKTNWVMHEFRLHANLHNHNPNLRLNPKDEWVVCKVFHKKGDEATKMEATTVEDSAGNPNKDSSVEPCDDIADYFFELDSVDPSIYFSSPAASSSLSAPPDNDAVPYSSVAANGATPTTTTTGTTNGSFQLPNYSVSGVSSWNIMPTPGAAAGVVLHGHGSSYSLQHQAAMVKALEDAIRVPDFGTVQLPSSFRNWGSSTRSVTATAGALQQNYPLGMPHYKLQNYGDTYLRDRS >OB11G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:752439:755563:-1 gene:OB11G11240 transcript:OB11G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAVAVMLLLARVSAADPVTGPAFLWAPNNYGFSSDETKEIVHYQTVSPKSLAKSVLEEGGWSNLVCSEEDREKSVDVAVLFLGSKLQSSDISRDKQVDPTLTDTLKNSFTSSEFSMAFPYVAMSDDEKLEKSLLSGFAENCNNGLGDNHITYTDTCSVSEDLKKHHDMDSIDELVTSQIEKNPSGKTELVVFCGGGFKDLDQTKSEGELLSELVTLLKKSGAKYTILYASQPYGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFEAPPES >OB11G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:757454:772248:1 gene:OB11G11250 transcript:OB11G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVALVFSSPSPRRPSSCLRSPPCSRRCSSHGARLQQSQLLVADRLSRNRDRKIGTSILRRSSSATDSASSSVSSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGAHARLEKKEGRLLVTDLDSTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMVIDVPSDTNGAEQEAEIAQPKPQEAIFLPAAASLQQQEVRIPCCKAAAASDSWAEFAARVSGEWDGFGAEFTAAGDPVELPEKVVPEAYREWGVQRHASSASAFAYSAGGSYVAAWPKGPAPVLEVEHCVVHPDSREVRVRLVQTVALAKEARLRGVKVFSEQWYGPYRNGEQLGGCAVREAAFAAGEKLPVSDVIGQWQSNSAFAARFSNELDPETGKFAGLTPDGPAGEGLSRDDGDGIVTLPKQLWSLFKESGKGEEFVCEVGWVLGHGSAVTSRRLWLHASHGCWRAPDQEIKIVWLDDSYLAEAAPFTGVIKFAAEEFKTPRCRHVCCLVIGIMYV >OB11G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:774607:780027:-1 gene:OB11G11260 transcript:OB11G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAASPCRPAPAPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAARCAPSRAAVRVFMYDLPPEFHFGLLGWADGGAVWPDVRSGAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRAVPPEKVSRDKALQEQLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLSPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDARATLLYFRGAIYRKEGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSNHQWTKMWKQLKEVDKHFEYQFPSQKDDAVQMIWQALARKCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRNRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYNQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OB11G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:784967:785419:1 gene:OB11G11270 transcript:OB11G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAAKPYANGTAAPAPGRKENKVVRYRECQRNHAASIGGHAVDGCREFMASGADGTAPALLCAACGRHRSXXXXXXXXXXXXXXXXXXXXXXXXXXGGRSRPPPNATAPPTPPPAPAGDRRELVRLQTRASRSGIQDQQVRYLH >OB11G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:791288:792295:1 gene:OB11G11280 transcript:OB11G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGATALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCHPQALTKFCFFLMDALKERGARMKPLICACLSREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >OB11G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:808177:809287:-1 gene:OB11G11290 transcript:OB11G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVGSSGSTERDDRSSILARVSSIDPAGSELAELAMGRPPRCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAAASSTSSSRHPIFADATFPSAAGSSSSEVTHQMQAIAARRSPFADCPSSSYASSMDNISKLLDGFMKTAPPSPPPPPLQHYDSGCYDVKPSVVDVGNPLLSFHCMSGTDDLDCFDVHQQASFMDQYGGDVGRGGAQDQTPQGRRPPPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >OB11G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:814578:814760:1 gene:OB11G11300 transcript:OB11G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTCKFSLIARFLYPILPDEVLKRMDGEIIYWAEVVDGDEFMFYLLNEATYAVVCGAI >OB11G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:816848:817555:-1 gene:OB11G11310 transcript:OB11G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCIGKQETSYLYICNVLVGFVLPGLPFTIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYSLRDIYIFLYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYIYQN >OB11G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:817805:818522:-1 gene:OB11G11320 transcript:OB11G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVRSVLPVVFLGWGGGGPPPPRHIVSCRPLHANQGVAIQVVGVADSSSLLVADDLHSNGLDDALLTDLCASPQARPYLPCSLEVGQCQIFNNPEARTKVIDTASVLGKTTGL >OB11G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:821123:822791:-1 gene:OB11G11330 transcript:OB11G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQAQSKNMIVTYLAFLNLGLHLFLSWLLTIKFHLGLTGVMGSMVIAYWIPVFGQLAFVFFRGCPLTWTRFSYAAFTGLSDIVKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISLGFLAATGVRVANELGAGSARRAKFAIFNVVTISFCIGFVLFVLFLFFRGSLAYIFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGMILGYVIGFQVKGIWIGMLLGTLVQTLVLLFITLRTNWQKQVQIARERVNRWYMEENGRSQNSRGNP >OB11G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:822807:824081:-1 gene:OB11G11340 transcript:OB11G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAGGGSGGDDKTVPLLANKQDEEEEGGGSSLGRRVAEENKKLWVVAAPSICARFSTFGVTVISQAFVGHISPTDLAAYALVSTLLMRFSTGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFGCAVVLLPIYLFTTPLLIALGQDPEISAVAGIISRWYIPIMFSYV >OB11G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:828724:837512:-1 gene:OB11G11350 transcript:OB11G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQQVTASNRFCQTNKFRNPEMRSSLASTSLYTGQDCWGHNILDRNYRPMLYGPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICRALDPVVLPSAASQAVKTRLVTFVRSLSTVLAIAYILTRCTLYVLNEQKKKVPFAQMGFDFTLKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKVGIIVADMRKVLAKNPNIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSRFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPHPASREEHKVKTVASTETKPASPDNASISNNSEKQEQKKSVAEDGHVKNSKNDQVTTSPWSENMDNVASTSKTGKGKTQGPEATERGDGSVSVANSKKETRPVFEDNIVLGLALDGSKRTLPIDDGTNPHLSLSETEQDTVEAASSPKDKKVQEKADQRNP >OB11G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:843586:844883:-1 gene:OB11G11360 transcript:OB11G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLRHLLLLLLRLVVSGQASSPATALFVLGDSTASCAATTLPLNLSSFSSSGKCLFPSAHRLLPDLIGFDEVRKACCGLGPFGGTMGCLTKEMVCPTPQRHVWWDLYSPTEAATNLLANWSWSALPNSNTSICRHVNLEMLAGRIIHFFS >OB11G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:846462:849791:1 gene:OB11G11370 transcript:OB11G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNFVRESLTGTKKEEQDVKKLQNGISAPMHTYTDWTSNMASKAIKRKPYAADIDRSEKQMEATIPDSVREPLLGNSTHEPQSDRYEPTLQPDLWDGKGQECLGWIHLISTFIARSVRKIGIAISQFGSLLARFFRWSCSSHGSHNEQAILVGLSPIQEERLKFLRQRLNVPFDSSSMKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKADGKRAEWEYPFAVAGVNISYMLVQMLDLQSGKMSTKASSQFVQLLGEDEMAFDNLFYVAFQMLDAQWLARQASYMEFNEVLKYTRIRLEQELTIGSISSVQEMPSFRLLKR >OB11G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:850926:855527:-1 gene:OB11G11380 transcript:OB11G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSSPDPASSSSPPPPPPSPSSSSSEDDSSSAMSVPCKRRGRTQKSATGAKAKRVKKESKEPADPSANGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQVYLGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERLEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQEVPALHEEPQDDGQSENAVQELSSSEANTCSDNNEPLAVDDSTECMNEPLPVSDGIEESLWSPCLDYELDTMAGAYSSNSMNFSEWFNGEAFDGSMDYLFEGCSSTIEGSKDSSGLAEYNLFEECNMLEDISDFLEKDISDREQISPQANNISYPQKIISVCN >OB11G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:859382:862337:-1 gene:OB11G11390 transcript:OB11G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYRDPEAIRQSNHSNVPVQQVIEIPPEFDPDSAEFISDICDYTTEFIEEIVHIPFEFDPDNADLAPDVELHSTKLQQEQMEIPLEFDPDSPELSTDMIEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKRRPRRKSSIERLKVSKHLAEEAMNYFEECVSISTLDSTDLSSLEDPQINSVMNVTQKSSNIFFHKGVSSISEPHYPTDRHWHNEDSDNQTRCSISLTGSDASGSHAMMTLIPRTAYSSSDDLDGFDTPKSRSCFSFTHEPTKTVEGDDVKQYLRSFGKGICKDLREIRSTYCDDDYVFQKMNADLLMDSMKFKNRVNFGGLLICNTRRF >OB11G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:867468:867731:1 gene:OB11G11400 transcript:OB11G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIFLLHLLLTAAAASPTTGGLLHDDGNNNAAAAAMTMSSRRLLQQPAAMATNTFRVNGVHQASGKPKVEFDASMKPKPGSNFNPRHN >OB11G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:868430:874233:-1 gene:OB11G11410 transcript:OB11G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAPFQEMASTDATSRKCHAALSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIGIWDERKVFGTRIEGLKDEILGGSTHAVGSNGNSSNPSSNPSSVSKAVRKDSGTTTKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSFLDRMDKDVDDACTQGIQQASPLISDLQGQEAVLKQCIEQLESVNMARITLINKLREALTEQEAKSELLRNQLHVARAKADHAMQLRQRLGVALNNGAGSCSSPLMVTLPPGQTTAMMQNSAAMPIFPQFQSLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNGGSASGDLSAGPPGFESNKKPRVENPIHGSDMGASSYFGQVPQVQQQIGATPVLGGTQANQAPGSFPPPPPPLPLLPQFGQNTGGMFGIGPFGMVSGSAPPPPPLPNIMSAGFPRPSGLPPPPLLSQSQNQSQPQQQQSPQAPQQSPTSTGFFPPPGAGFFPPVQVQQSPSVQRQ >OB11G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:878017:878226:-1 gene:OB11G11420 transcript:OB11G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVSSYQLLGNFAKGQQLYRLQLQADGDGILSIVFSAASDSCVKLPTLSVTEQHLAALQCISSFFCL >OB11G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:878457:878867:-1 gene:OB11G11430 transcript:OB11G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAPSSRLLLPQLCGATTQRKLLPAADGLLHHAHPPLLQQQQQQRRPDLRCRRRLLTARGEYDYNNSAHEEEEEESSPEATGGFGTAVALFNGGDFHACHDVVEELWYTAEEPTRTLLHGLLQCAVGFHHLFN >OB11G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:881380:882207:1 gene:OB11G11440 transcript:OB11G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSWRHHTLLQALLSRGPLSERDFHALFAAVSGGKYPATHQQLFNDTLLKINKELSYLQFELRACIHQYDGTVYYGVVNNIADEESKLGSKYSVPQIAFYKGLLEAIIHEAANDGSITNIDALNTRIDNQA >OB11G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:886269:886529:-1 gene:OB11G11450 transcript:OB11G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPALPSSSPPPEATETTPLHPSFRRAPPPSPGTYVIQIPKDQVLRVPPPDRARRFKKLSARPLVRSCQNRSNSREVKTP >OB11G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:890533:891359:-1 gene:OB11G11460 transcript:OB11G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDIQLQETWTPHSYFSARGHRQHGNLYVQHSTSLHHNCFKLEKDEISKKDAQDSHLDSEGYSGKLFGSLEKHIGEDIKILNAAISDVGVLEVNHGMDEGNRNADSSDDINSSPIQKYIQSTSEEKDPIHAGIEQLILSPPYKISNSDPHIIEGNSIGEFNSLFKCRMLEVLEQSISESSVSQPLTVKLEDELSEPLSSESGTGTPVIDGCSIEDMDQQFAQLNDEALAASVTRHVMMNQFKKNQVKLY >OB11G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:891528:892688:-1 gene:OB11G11470 transcript:OB11G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRNDIILHIKRLIYSSIRLGYQCACDYPIVPGTGIFLLFLHRLCPSLFNFLLSSSPAFLLTALLLGALLSYDESVVPIVGENQQALSLKSKISIANCSIDKVETVAVEEHLDNTTTSNEVYVREKTYEGNMHRTQCEEKNGTYMTIHTVLIEEICTKDGTSGYDLQDTHQGGKNITYMETNTVPCMEPSSCASRSVTVETEEHVGENNEKVELQELGNMNLESDNSKVQYQYQLGEFMSSCWEPVMRQEPQDTCSDSESDLTESSSPDASMTDIIPMLEELHPLIDLQTGLPSLASKDDLNTSLDDDEDDLEEDASTYENGSEGKTDDGNNWEDVIDLNYLDMDNNSKLDSLMDLQRAKNILKFELDKRLMDLQADDAVQKME >OB11G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:898923:899114:1 gene:OB11G11480 transcript:OB11G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLDTSAFLLRRRAPEPAAQTEAAAPAAVALIGAPGGNYSSSSSSSGDQNGFPTLDSWDLL >OB11G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:904845:907017:1 gene:OB11G11490 transcript:OB11G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRLASPALPISHRLLCSSLPPTTFLANSLLFAASSPRCLPSALSLYSLLSLSSPMSSPPLLRPNAFTYPLLFRAAPPTVALALATHSVKFLGAHAASCDRVLGPCCLTIASCRRVFDRIACPDLPAWNALLSAYMRCASSAADAILELFSRMISLGTVRPNEITLVAVIGACGELGALGHGVWAHTYLVKRQLAINRIVTTALVEMYAGCGRLDLAEHVFATASDRDTRCYNAMLQGLAAHGHGRAALDLFDRMRGSGVPVDGVTILSVLCSCAHAELVDEGLEYFDKMEIEFGIEPRIEHYGCVVDMLSRAGRLDDAEKLIHEMPIVPNAAIYRSLIRACDIHGNLELGERMITELRQHDPDDSGNHVLIANLYARMNRWEEAKKTRKEMKSMGIDKSPGSSLLDMNGVLHEFLVGDKTHPASKEIYTMVEEIEARLSEHGHRSSTTSVLFDVEEEDKADTLTYHSERLAIPFALIASDPGTPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFREGECSCGDFW >OB11G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:909759:910039:1 gene:OB11G11500 transcript:OB11G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQFTGADDLPPPPPALSPPTELVRPVRAPLMLPTLDTSAFLLGGEARPADGGRGRDVSLALVGGAAPHYNTSNSNSKNGFPTLDSWDLL >OB11G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:912641:917248:-1 gene:OB11G11510 transcript:OB11G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSSPPTRPTAPLLHSRRLALPPLPATTAAVDPRKGVRLSRLHAAASSCCDSASTAAVTTGGAGGGGGSSGAKDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPELDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTISGASGAIARLLLDQFIFSPIFIGVFMTLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSFKAHKAVTVE >OB11G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:918480:918731:-1 gene:OB11G11520 transcript:OB11G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLVPHDNLSTIQPRDELCALMAVGTYPEVLDDPDGCSWFESNESKGNDEPLAVLGQCIRLVLLFDIKQHRCGARSVLMPT >OB11G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:919173:919382:1 gene:OB11G11530 transcript:OB11G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQFFAAYILSFFSLIFNFTASNSSSITTCRYLIHITKLTGFSAAIATTKRHNCVVGYTRLQHGQRTAQM >OB11G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:919990:921981:-1 gene:OB11G11540 transcript:OB11G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAEKGELPLELKPLVSLAPKVPTLLGYDVETKSTSPLLVYVTPCRPCSSSEQPEEAPPSFCEPTPKSPVPIKATPISVALPTHQQEDESSDGDYKPFCKEKKPASLKKSKRPRQAEKSNVANIKRRSIRRNLDSEFNLCSSSSDNPKESVEGTMMMFDSLRRCILQLDEKEDAGRRADLKAGTLMMHNNLRINNRKMIGHVPGVEVGDVFFFRIEMCIVGLHAPAMGGIDYISSKHKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSRNKKNQIRVVRSAQDPFSSGKIYIYDGLYRIEDSWTDKAKNGFNVFKYKLRREPGQPDGISLWKMTEKWKANPATREKGILLDLSSKAENLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSSSGLLVKHVPMVYECSSNCQCSHNCRNRISQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETRIDMDVEEDKYTFRASYPGDKALNWNVGAELLEEKSTVVTTENFKQLPIIIRANNEGNVARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMKHIPPMTELTYDYGTRGAPPGFEGKLFRACKLKSCLCGSKYCRSLF >OB11G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:928669:930409:-1 gene:OB11G11550 transcript:OB11G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIAHCLLFFFLLFFFYSRRRDEEKGNLQRTSLSCSSRAGERDMRSGGEKSGRETMDASALSNPRLQAMLAFSSLGERFTADIHREVEAPDVLYLLPKIEAPAIVGIVVADAERPLA >OB11G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:933334:939911:1 gene:OB11G11560 transcript:OB11G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFTGPSMGSRQASLSTIFFFLLLFCLGCKCLASELKATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVDLTVSLTSSDGLQNLASTTIPVSGASNWTKLEQKLVASGTNRTSRLQITTNKKGVVWFDQVSLMPSDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFIWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDSSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMIHPITITSSYSGSLAASAITWQDSENSFLRVKIINFGSDQVSLTISVTGLQARVNTVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLANAAEQMKVTLAPHSFSSFDLALAQSKLVAEM >OB11G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:947810:948385:-1 gene:OB11G11570 transcript:OB11G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSNGVTAFFFLFALLVATSQAQLAASTDSFMDGACKTVAGGSGGDISVAFCRDALSSDGRSLNASSYRDLAVVAVDLLTSNATSTKSKIDSMLHDDGGLRPGDATTRCLQSCQEAYAGVLQAQPGIFYSVQAGRFPEATSALEKSAGAVEECENGFGKSNVAPPLTEEDDDTFKLAKLVALLLHEES >OB11G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:949563:959235:1 gene:OB11G11580 transcript:OB11G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGERKANVYCSIIFSNHGLIRLNRFVARNNPKYGFTRFKLFASRGLRVREAKESEILRSKVLSLTPDFVLVVVRFSCSMKCLREHLYPAFLLLFLVHCEDWLLDEMPKRTSLSCFPSFVLGALRGLGRAKGMDFKYAFFSTMFSMLLFCFSGTRLSAELELAQTALVEVDVSWELSRKIPDTLFGLFFEEINHAGAGGIWAELVGNRGFEAGGPHTPSDIDPWSIIGDDSSIYITTDRSSCFSQNSVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYVRSLESVDLTASLTCSDGLQNLASVSIQGIDLSNWTKIEMQLLAQGTCRASRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELTYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLAEDLGASPIWVFNAGISHHDEVDTTVIEPFVKDVLDSLEFARGSEESTWGSVRATMGHPERFPVKYVAIGNEDCGKEFYRGNYLKFYEAIREAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFLMKNKFDRTSRIGPKVFVSEYAVNEPKDTGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITINSSYSDALAASAITWQDAEDIFLRVKIVNFGPNAVNLTISSKGLQAGVNTAKSTVTTLTSGNLLDENSFTEPNKVVPVTNMLPDASEEMQALLAPYSFTSFDLALDQYSKLVAEM >OB11G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:959807:963685:-1 gene:OB11G11590 transcript:OB11G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56900) TAIR;Acc:AT3G56900] MPSFPPPGAVTICEINRDLVAADALSDDRAKEAYGDVLGMVFSPIPFQPDAPAANEAQPAEIVPKASLVSTIAESFKQMLFPSCDPNLLEELDTQKVSWNQHKHCLAFVSERNQVTVHDFEEPDNKESYILTNDQQKDVKAVEWRPNSGKMIAVACKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSANELVTALCWKPDGRYLVSASCDSPSFTIWDVSQGLGTPIRRGLSSISLVRWSPTGDYLLTAKLDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRTALLSFSNSTTLGSVHFSSKPPSLDAHLLPVELAEISSVIVSRGIEKLAWDSSGERLALSFKDGNEIYHGLVAVYDVRRSPLISVSLVGFIRGPGEGAKPLAFAFHSKFKQGPLLSVCWSSGWCCTYPLILRPH >OB11G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:971002:971444:1 gene:OB11G11600 transcript:OB11G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRHAAFFGTGCTIGLSLQPQPSTYVLRSGCTAGLGYYDTDLYLLCFTEVVSCALQASLISLAPWILGYNKEG >OB11G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:971492:971644:1 gene:OB11G11610 transcript:OB11G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCFVFTRVGRFSCLGLAKYLIVAFDIRRSFRCSHVAHHRFVCSLLLSLAS >OB11G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:974446:977118:-1 gene:OB11G11620 transcript:OB11G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPEVRKPSRGESGRKAMAAATIAAAYGVSGPYHGASAWIPIWKTAVEPSEFSKSYLLIASPSVRDFVPIRGKDPPNTDNQVAVGIAVYSKYFGDDFPRLYIYSTVKCLCSIPLATYMHTITILLNDAGVKLKCFNLECPFVQTSNKFAIGGRFVNFSTVGGTLYGSHIIIYRDAGPSVWWVSLMDEAIGYFREWVPGAVHRELPQRDGWACAGQPAGPHAHPHEERDVPLGRPPQRCRHRLHRRRPGRRPREQHRHPPQGPAPSWHRCRLRWSRGIRLRCQL >OB11G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:977660:978862:1 gene:OB11G11630 transcript:OB11G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVFGQRNLLAFHLRRNKLDELSRYGPFSCSIQRRWKKPVDSARTRLEGRTRDHRLDKLMTQLKNLRLALDLHELISQQRNSYASLQLLSRWRHEIGLNLEIGAFLKKYPHIFNIYMHPIKRNECCKVTRRMTDLIAEEDAVIRENEPSVVKRLKKLLMLSKDGSLNTHALWLIRRELGLPDDYRCSILSNHQSGFSLGSPDTLTLATTDENLAIANVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFREKLGNWQRLPYTKPYEKNGLHPIRNVERLEKRIVGILHELLSLTVERMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLIEPNPVYNVRRKMLGLILSGCRGIDEMDSATWVSEEHNQESAT >OB11G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:980778:981068:1 gene:OB11G11640 transcript:OB11G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLIEPNPVYNVRRKMLGLILSGCRGIDEMDSATWVSEEHNQESAT >OB11G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:983072:985415:1 gene:OB11G11650 transcript:OB11G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFLATSLAVLTTLSLLALPLSAASHDILPLKSSLIVEDYKTNSLQSSDGTFSCGFYNIYTNAFTFSIWYSNSVDKAIVWSANRGRPVHSRRSAITLRKDGSIVLSDYDGTVVWQTDGKFPNVQYVQLLNTVPGHYSFRFSDQSILSLIYDDTNVSGIYWPDPDYMYYENNRNLYNSTRIGSLDDYGDFFASDLANSKALVASDRGFRIKRRLTLDYDDIITGSMLVMDYGIVVVFLAELAPSQPERYIDNMDTRHVVRVNSQLYVLSKSDLDINIAARRRPFLSYLIITPHGCVYRNL >OB11G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:986593:986835:-1 gene:OB11G11660 transcript:OB11G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIIEKADLGDSDVSAIRGYLKIRKFYDALATFGRLLKINNPQWSYR >OB11G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:990148:990617:-1 gene:OB11G11670 transcript:OB11G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAIARVIGVFCILVIIAISSPSSLQAEGCEREKDIVMNKDGCYHNIESRLGDQFPKRHSHCCQMVRSADVNCIRNKFTETDKTKIALSKWVNVARVCGNPLHRGTDCAGYRVPLRKKL >OB11G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:993546:994937:-1 gene:OB11G11680 transcript:OB11G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHDCGKKCLENCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFHVPEFHVHQWQHDGDGLATQEHIAGCTPAGDNDSDSKVQLNVSASLSARDAGKAVWPYLYGFLSALLVVEAIVISLGCWLFSSKGLFRQSRVYAVEEGYKLITSHFQRYTYAEIRRATGNFTDVIGRGGSGVVYKGVLGDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQGKHRILVSEFIENGSLAQKLFHRVGSDDDHDVLDWNQRFRIALGVAKGLAYLHNECSEWIVHCDMKPENILLDHDLEPKITDFGLSKLLNRDGSDAALTRIRGTRGYMAPEWVSNLPVTEKVDVFSYGVILLELVKGVRVSEWVIQGIKVCEMDIRMVVRVTCEKMESNERGCTDDLVDYRLKGDFNHVQVKMMLKTAVSCLEEDRSKRPNMNSVVQALISVED >OB11G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:996596:1001993:-1 gene:OB11G11690 transcript:OB11G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADVTSACGSSAAAPAYAGAAPLVFRQEGKIENLVRSVYSEVDEKDVNLDTAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGENSGKPFHDVKTLTANGKPKELFFSSDLCAIVEHTKNYLVIEDNEIVHIKDGSVSILKFGHDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDSGVLLGGLKEKEYLKTIRRSRRVVFIGCGTSYNAALAARPIVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGEKADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMLALAVGSDQISTQARRQTIISGLSSLPSNVSEVLKLDSEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVLCSKGDTSAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLSYHLTVLRGFDVDQPRNLAKSVTTQ >OB11G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1004934:1009128:1 gene:OB11G11700 transcript:OB11G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N5T4] MIMASSHEPPELQAKPNRLYQVWKGNNIFLCGGRLIFGPDAASLLLSIFLILGPTIIFCYQMQSTIHRSQQHMRRAAQLTVIITTAADLFFLLMTSARDPGIVPRNTRAPIEADEFLASTTPSMEWSSGRTPRMRFHRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWINVYYESGDNGGSIWKALRKETYSFVLIIYTFLVVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKDNPYRKSIAANFVEVFFTKIPPPQSNFRSWIGEGALEAGFYTPYIALDLTSPREKIDVEMGSKEILVGGMQIPTVIQNIDYGCFEDNPDDRNRNEDNRISPFSSTWAQQTNEGAGTSRIATGEYNNEISNDDGEEIISSNTSSAQTSQEANAASEDESDENDAGKVNISDRTYCLQRSAKKQEKSMRNLVVVDVGEEACVIPGETHPRYCVALRACGTAELRGDELAEVPLGVGPPPMCLCTALSTQRYTDAAATICGLCDWSGERLGLPEAGSSAVERDGRKVTTNDVNLACVFLRKLDILVVNMALFLLYSIDFVKMCLETLDA >OB11G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1008261:1009032:-1 gene:OB11G11710 transcript:OB11G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYAGQIDIVRCYLPPVSLDGGTPGFWQTQPLAAPIAQTTDGGRGVRVPLCAQGGAQAHRRGDSNSKRHFREFVASEFRRPTGTEGDAIARMRLAGDYACLLTNVHHHKDLLSYNIAVDRSDEMKKILNKSAASVGLQLPNVYQA >OB11G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1010630:1015171:-1 gene:OB11G11720 transcript:OB11G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVANKLPLFLLLLVAASCLLPELAAAAVPAQGLRPVGGVKLHLERRQVLVDNGVVQVTLSKPGGHITGVRYNGERNLLYYNGDDNSAGYWDVVWNFPESNHPRGMIDMLDSTEFKVVSSTEDLVELSFRSTYNPSLQDSVRLNIDKRFVMLKGSSGFYCYAIFEHLREWPALNISEARLAFKLNTGKFNYMAISDDIQRFMPSATDRDAPRGVPLAYQEAVLLVNPKEPQFKGEVDDKYEYSLDNKDNKVHGWISSSHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSSPKRGDLQALWEDAKVQAEAEASKWPYSFPGSPDFHKAEQRGSVAGRLLVRDRYMSSDDMAAGLAYVGLASPGQPGSWATESKNYQFWTRATPCGRFSIGIVRAGVYNLYAWVPGILGDYMYTSQLTVTPGCSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPEPNPKYINKLFVNKDRYRQYGLWERYAELYPNDDPVFQIGESDISKDWFFAHVTRKQGDGYTPTTRRIQFTLDHVEADATYTLRVALAAAHMSRLQVRVNGAAPLTTAAAIGDGNAIARHGIHGVQWGLEFAVKGYMLVEGENVVSITQTRALSPFFGVMYDYIRLEGPPPLWRDPTTMTGG >OB11G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1019089:1019295:-1 gene:OB11G11730 transcript:OB11G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAFLLLLLLPVAAAVAVAAFLLPEPAAAASLPTQGLLPGAGTVTLQVEERQEEK >OB11G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1020633:1021550:1 gene:OB11G11740 transcript:OB11G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDSLNLYLAIHHFIWQVKFVFTLRVYIHCLYSKSMTFGPYISASSILSFSFPFSLFPFFFSLSRSSLLLSRARRRHGSGRCPGGAVACGDAAAPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGRPSASKATAVVLYE >OB11G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1025343:1025564:1 gene:OB11G11750 transcript:OB11G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPTPASACHHPSSPRATARVLRVRQHSRPSAIICRRHLHHRLPTSANVALSPQCVARLHRLRAQKRLTY >OB11G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1025655:1026350:1 gene:OB11G11760 transcript:OB11G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:J3N5U0] MQVSLTGLLEVKGMTYTHTDKVKQDTHDMLVSENTIAVYHNHYATYHLDLDVDGTNNSFVKSTVTAVRDTGCDIPRRSYWTVRREVAKREADGEVDLGAVKI >OB11G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1027903:1033262:1 gene:OB11G11770 transcript:OB11G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3N5U1] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMALYKKISNAEFTFPPWISFPAKRLLTRILDPNPMTRITIPEILEDEWFKRGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQSQPA >OB11G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1033848:1034468:-1 gene:OB11G11780 transcript:OB11G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSKEQIAEFREAFSLFDKDGDGTITSKELGTVMGSLGQQPTEAELQEMVAEVDADGSGSIDFDEFLSLLARKLRDTEAEDDIRDAFRVFDKDQNGFITADELRHVMTNLGDRISDDELAEMLHEADGDGDGQIDYNEFVKLMMAKFAKSPLRFNCSIHLFETEPEHCNLCSAFIR >OB11G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1034239:1034514:1 gene:OB11G11790 transcript:OB11G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSASVGCCPSDPITVPSSLLVIVPDSKIFLRSINHSINLSRTHAMQLMQLLPSPSLSKRLNASRNSAICSLLSCSIPPSDLAKQKKQLAN >OB11G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1035166:1039035:-1 gene:OB11G11800 transcript:OB11G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVKSKRIKRTAPKKAEVASSSLPAVPSGPARVWQPGVDELEEGEELQFDPEAYNYIRGFNIGWPCLSFDIVRDQLGLVRSEFPHTLYGIAGTQAERAPWNYIGIFKICNINGKKREPIPASAVDGGSDMDSESSSDEEDEAVNEDTMPILHLKKVAHAGCVNRIRAMTQEPHLCATWGDTGHVQVWDFSSFLNSLAESGAVAHNEEDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTKPFVGHSASVEDLQWSPTEADIFASCSVDKTISIWDIRTGKKPCIVVKAHNSDVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKQPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFREKMKEQANAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIVSTAGDGFNMLMPSNIDTTIREADT >OB11G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1039871:1040729:1 gene:OB11G11810 transcript:OB11G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTNFHLQQLLYTKVILQISPHLVVCQHYKFQSGINHGFDGMNSRKNLYKYAGPRTLIALGRLESNEKSTTKDNNDDLAPNHGSLTTSKTSTLYVRTRTQQIPEKLPSNEELTTYDYPCPHPSHPCLAP >OB11G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1041209:1042045:1 gene:OB11G11820 transcript:OB11G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSRLLTGSGCDASTVCIALLNAPHALPPPAAASSSSGTSADVSSVWHPLSRMPSSGRAGCLPSWSWSWNLALASVPKSVRRCSATWCAASMPETPERWSMTALYGRNRAGAPRGAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALGVGAGGEPGRAAVVSENGDVARREAEAGDEDVAHGDDVVDAALELVGRAGVVAADQRGQLLLATLSHGLTA >OB11G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1041869:1045442:-1 gene:OB11G11830 transcript:OB11G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNSRSTLEEDSHKQKNLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSDKKYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHRFLGSVNSSRVASALSIPILAAYPFMTHLSGFRLGIALYLATILKGVLSITIITGTSLLQNNAVSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWTQKRQNAAFFPGDQMIFFILNLIELVGLVLTFKPFLAIPN >OB11G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1050913:1060352:-1 gene:OB11G11840 transcript:OB11G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPPPAAKVYHDGCPGCAIEQRKEEHRGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVFNTLFGLSTKYWMAVSTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPIKQYPHNFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLEKGVETAETSATQESVESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVSLYIAAMVKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGVLFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >OB11G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1063589:1065763:-1 gene:OB11G11850 transcript:OB11G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEEAAAAEEEIMRCPGCVQERRKARRGGRIPYVEFFFVAVTTLASSLPITCLFPFLYFM >OB11G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1066033:1073712:-1 gene:OB11G11860 transcript:OB11G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAEVPLLKGENHPGCPGCAYDRKKELYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSVTYSMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLSQPAENFPNVFSPDSLFARFPYFLPCLCISVFAAVVLVGCIWMPETLHKHKADVNRYETVESLESDFIDLKGKAEQNGTLNSKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKVLGHIKASRIAAIACIPILFAYPYMTYLSGPGVSIVLNIASVIKNNLSVTIITGCFILQNNAVPQDQRGAANGLAMTGMSLFKAVAPAGAGIVFSWAQKRQDASFLPGDQMVFFLLNVFEILGLILTFKPFLAFPEQQDTN >OB11G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1073843:1074127:-1 gene:OB11G11870 transcript:OB11G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIDRGRIQTQSGPLVLIHSFLWQNGLRNPFPCRGMLATTAAHFVLDLPSSSASCCPSSSCFALYHYLGWRAKGPAFGVLSPPAHAHSWPPAD >OB11G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1077751:1078118:-1 gene:OB11G11880 transcript:OB11G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGRQRQQVAAPLLEKTTTTGEGYCIEGCPGCAVERRKALSAGIPYGSFLFVWIVTLCTDVSFVERED >OB11G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1083918:1084265:-1 gene:OB11G11890 transcript:OB11G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGRQRRQAAAAPLLEKKTTTGEGYCIEGCSGCAVERRKAGSTGIPYGSFLFVWIVTLCTGTYLITLHGDTQILSCMHGLSRSINRASPDSLLFLGLSNLKLISTFIYLGSS >OB11G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1087825:1095323:-1 gene:OB11G11900 transcript:OB11G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEVAAAAAPPLPETAYYHEGCPGCAVDRSKALNPGVPYLRFFHIWIIILVSCLPISLLFPFLYFMIRDLHIAKRVEDIGFYAGFVGASYMLGRALTSAAWGMVADYIGRKPVIIFGIFSAFVFNTLFGLSTQYWMAITTRFLLGSLNGLLGPIRAYAIEVCRPEHQAIGLSLVSTSWAIGLIVGPAIGGYLAQPSEKYPNLFPTNSLFGRFPYFLPCLCMSVFCLAILISCIWLPETLHKHATERNGDCENESLSTHLLDSEELAEQHISAAKNKSLFKNWPLMSSIILFCIVSFDDMAYTEIFSLWSESDREYGGLNFSSEDVGQVLGITGASILLYQTFIYPHIVKVLGIINTSRVAIILSMALLFGYASMTYLSRPWLSIVVNIASVLKNNFVVTIVTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFSLLIIIEHLELIWTFRPFLAMP >OB11G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1095755:1097388:1 gene:OB11G11910 transcript:OB11G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEAKQSSGARRRWLVDASRWRPSPAQFQAAASLLPPHERTAITRFVREDDRKRALVSRLLQYSLVHHALGIPLHQIRIDRTLEGKPYLQNKNGSFPGFNFNTSHQGDYVGIASEPLCLVGLDIVCISKPQGETALEFIKNFSSHLTDHEWNCIVSSGSPDEMLTEFYMYWCLKEAFVKATGAGVGFGLQRLEFHHTNWTDISLSIDGEEARKWRFWLFKIDEMYMVVCLPLSTPLQASIARGHPEDAIDSFKRTLSDVVVQEEELQGALDIPEEAFTIWTVEQLLQLHD >OB11G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1098051:1100277:1 gene:OB11G11920 transcript:OB11G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAGKAVAGDEAEPGPSKAEPPSRGTSSNKGKQQPCSPAANKKAAGPIGDVLGRAMEEVRATYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLTSKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKQNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVMHRDLKPENFLLLSKGDNAPLKSTDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLATDPWPNISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAVSPHGEATSFSLVRDEMLKLIGLLEIPFNFQADADGNGMIDYEEFVTATVHMNKMDREEHLYKAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKEVISEADSNNDGRIDYSEFVAMMRKGSGCAEASNPKKRRDIVL >OB11G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1100555:1101930:-1 gene:OB11G11930 transcript:OB11G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XM48] MPHRARPMTGLLVFMGVNLVLLNTISPVYDFVCFHPYWERWRERRQKEREALQANGSQQTAK >OB11G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1104588:1107478:-1 gene:OB11G11940 transcript:OB11G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRERLFFGDMNDAIAALTTTASAQDTGGFSFTHVLSVVSSASISFITDCRPGLSIPTEEVRRVVAGEEGAPPVSAVPPGRLMRVVECAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDDGRKEGNVLVHCFAGVSRSATIITAYLMRTERKYLEEALESLKEVNESVCPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKVGNHVFEDDPGVPKQHNSSAQSLSNKENQQTAYRCKKCRRIVAVQENVVSHTPGEGESCFQWHNKRKGGQSHNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >OB11G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1109085:1112795:-1 gene:OB11G11950 transcript:OB11G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3N5V9] MITVLDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADSLQKLIVLALLALWCRLSCRGSLDWLITLFSLSTLPNTLVMGIPLLRGMYGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLDGHGPTLSKLGSNSTAQLRPKDDGEERPAALPPASVMTRLILIMVWRKLIRNPNTYSSLLGIIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLMGPAVMAAVSIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >OB11G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1111800:1113875:1 gene:OB11G11960 transcript:OB11G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKVLPLAAPWSAPALPKTCTAPAAAGGGGRATRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPEGDDGGGGVGELLHDEEAGAAVLEEEEHERVPDDALEHDDLHHEAAGVGAVHAPEQRDAHDEGVGERGEGEEGDEPVERAAAGEAAPEREEGEDDELLERIGGEEAEVHGEGVVGGDEVEGEERDGEERDEAVDAGALVRGEDAPPADGGVGERHGHVQRHHRRQHVVEVQHRDHGRSSPLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEGEDDELLERVGGEEAEVHGEGVVGGDEVEGEERDGEERDEAVDAGALVRGEDAPPADGGVGERHGHVQRHHRRQHVVEVQHRDHG >OB11G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1116042:1116581:-1 gene:OB11G11970 transcript:OB11G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILHLAPFRSYTGQKGAKCKIVQRAVDGRPSEPGGRLPRRYSALLESGRRNHALWETVLRCTGGVGRYGTNAAANPQRGTGRGMMKYE >OB11G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1119128:1124631:-1 gene:OB11G11980 transcript:OB11G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein protein MPP10 [Source:UniProtKB/TrEMBL;Acc:J3N5W2] MAMDFDEPFDADKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSELLAGPAFDAEQIWSQIELLSRPLVPHLRRQLRRLEHQPPSQAPPRPESKSIDAEEESSEEEEEEEEGEDGEGGELELDDVDHEDESGEEEEEDVKEEHEGKAKHGVEDKFFSIKEMKEFVEKGEEEEYGGGAKQGKKKKRMNWMEEESDEDEEDEQDLDEDEDDGDEEEDDERLDLEDFDDDEEEEGAVGDIMYKHFFEEGSDQKVKKKGGSKKVQFQDEPHEKLEVDDKNDVGIDEQALSTHEKACLKMRAKIEDIEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPPPLPSKAPKEHKELDESKSKKGLAELYEDDYAQKAGLAAAPLSISDELKKEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEDAELTQAERKRRRANKKRRYAESHKRGQLRCRKINC >OB11G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1127967:1130607:1 gene:OB11G11990 transcript:OB11G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASADDCSPSNYKQRNGGNNQTIFHSSAHSWHMQGRYTDSSCNGVDMEFRALPRKVLWDLPRVVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIRHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVITSAKKHGIRLRGYVSCVVGCPVEGAIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVSVDKLAVHFHDTYGQALANIMVSLQMGINIVDSSVSGLGGCPYANGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRPSGSKTTTALRKLTT >OB11G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1132938:1151076:-1 gene:OB11G12000 transcript:OB11G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKLHESHIYLFTKRDPGWASIGVGLIHMGLWPNPGRSEEGLSGWTRTRLDHLSLGFSPHLLAREGKETQATEGESPTSPEEMAEPSSPAAGQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESISSTIVRNFCVVYIEMAFDRLLSEDKGTIAPDLLINISNVPEQHQGIILRLVLKAIGECNTHKVDDTVASKYQAISGSNDGLVFADFCFHTILYQTPPQGIGCPAGLSVAQSDRVTGKLPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVAKRGEELLKRKASTVNLEDPDLIKRLFSLFNGTASAENIASELKVTPAHSSLRVCLMGVFCRSIAAANAFPYTLQCIFGCIYGDGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDASSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSKRTDMAIRLFTALRLEEQSLRLTIQEAATSLATAYKDASVVILKDLEELLLENSQEEQSEVRFSAVRWATTLYDMKHCPSRYICMIGASDVKLDIREMALTGLNLLNDERQSSAIATDSNYPDVAEMVNYIYSQQPQLLHCDEQRNGKLLFPTKTFLAMIKFLMKCFEKSDVPYFLQEDLSNSPVAKLCVILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYMNRLHWLRTLLGHVDADAREAASRLLGITSSALSSTAALDLLSELTSTFDQNHPSRFENYHGLLCAIGYITSGCLKESYITEEMVQKSTDVLVKVVESEGSALASTAMEALGHIGLRCALPSINRNSSQDALLTILNERLAKLLSENDTKAIQKILISLGHLSWNELSFAHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGQVPVTTDVILETNFVSLSQATNYLTGDAPLLVSVNSNKRSGCEESHAMAREEIIKRLFDTLIYSSRKEERCAGTVWLVSLTMYCGQHPKILELLPQIQEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGRLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHALLPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWMTTFRAMDDIKETVRNAGDSLCRAVSSLTVRLCDVSLTSASDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLAEFVSCMLECLSSLEDQRLNYVEMHAGNVGIQSEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRILYSAVLEEKSSAAKRAFASSCASVLKYASPSQAQKLIEDTASLHLGEKNAQLSAAVLIKAYLSNAPDVLSGYNAVVLPVIFASRFDDDKDISALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILKSLLKELPGRFWEGKDAILDALASLCSSCHAAITAEDSGMPTVILNAVCVACSRKSKLYREAAFSCLQQVITAFRDLGFFNIVFPMLYEVSNQSVICKTRGSSPLAASSSAEQDESEGVSVSLDKVLKCVASCITIAFPQDIVNQRKNILEIILNSLSPEESWQIKLSSFLCIKELCHKFQNSDGSNNWPQETTYLVEELFHLTAPKVVDVISLVKIAQVHTAASECLLELSKLYRDFPLADRKGAKFTDELGGLCESEKSEQAKSILKQCITILKDLEDPTGVAMEMD >OB11G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1157145:1158467:-1 gene:OB11G12010 transcript:OB11G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPPPCETEEVPLTVFDLVAPTYHVTVLFAFSPPNPTTRALLDALLATLPHFPLLTARLHRGGGRRRGPFFVTGRGGAGALVVEAEVSSELSEHLPLAPSPELARLHPPANTGLPTEHLLLLQINRFACGGLVVASSAHHQATDGFSMSTFFHAWTDAVRRNGATPLDRPLPYGPGSLSPRRSPRCEFEHRGAEFLPRDGAVARNQGTAVVRVDLSEVANLLLHYPSEFVAELKRRAQGKYTTFETVSAHVWKKITAVRALDAGARTSVNVSVNGRARLGTAAVPNGFFGNLIINASSGATAGELTTGTLADAAALVRAGIRAVDRRYFQSFIDFGALHDDGEEPLEPADEDEPGVLSPDVDSDSWLHLELHRLDLGCGGRLAGILPAKVPQDGVVVVMPSLRKGGGVEVFVALWEKHAKELTSIAYTMD >OB11G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1161113:1161394:1 gene:OB11G12020 transcript:OB11G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSYYYSMLFLLPPMLYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVRNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEH >OB11G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1161545:1163661:1 gene:OB11G12030 transcript:OB11G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDLDCSNGRNTIGLFSHKKYSVSMGYATAAFVLAVLEGSTQPGVWFPEEPEGIAIESRKVLLERASQGTTNFVMNKPPWMIETDPKEVGLGIYV >OB11G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1165470:1167685:-1 gene:OB11G12040 transcript:OB11G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVAMAARPVVGTTTCLPLPARAGAAASKPSTSSSPGTGSHVGVPCERGSSVSKAAVRGARLEAAARCSLLRRPPVLLATVAVGSLVAAGAANATELGDSLLGSSGFALADISIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRVCITGGYISCINILLPFIKF >OB11G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1169110:1170866:1 gene:OB11G12050 transcript:OB11G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSCHPIPLWEREFCIYVGGISWQRFYKNKKYVSMYKNIEQWDDSEAFDNFKNAKARFWAKYHGQPLDIPLSDPNMYIDKVDHNCKINPELVTDLNTVQLPFEMDNELLPADGSGKSDLNNKCCQNQSGNWNVYVDKPAEVNKWEENSRSNLSWGANHESWNEWTKNYSGWGTALADSSWGNCSRNNNHFPSKNRGSFYGRYNNTYQDSRSISERKRNSEGLFEQRNTKQINQNEGYQRSR >OB11G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1172739:1176803:1 gene:OB11G12060 transcript:OB11G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEIGNKMQAQMNLHSSAGAEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEKVVQEDRIPVLKASQDHLKEFISICEALELIPEDELELSRQKQPDTVANRRAQKVARFKRQKAAETKLLEIKERKERRGRSLRAAALSAPIEVGEEDAFEDDGEEEREAWLATISLAICKSFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTARMIQESNSAWHKDGNRSAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >OB11G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1179069:1179623:1 gene:OB11G12070 transcript:OB11G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVHLFASSSSSSSDKDHNKVRKKRSGAKSCSFGSTTSSSDESVATPRSVLPPSVASSSGTKKPAAVAGGGLEVARRSVVSSDEELAEMLAAAEEYEDGLVLEEIATAAPADEGELKETFAVFDADGDGRISAEELRAVLASLGDALCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMHGRA >OB11G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1182285:1184013:-1 gene:OB11G12080 transcript:OB11G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDDMLSSATSSPASSRLAAVFADALSRKLLNLVPGISSALLSLANSADAHLIPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRSRREGPPHLRITAVHDSKEFLATMATVLSKEAEAFDIPFQFNAVEAKLDEMDFDALRHDLGVRSGEALAGRGGARPLLFVIEEAQAYFTFDREFLCS >OB11G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1183396:1183980:1 gene:OB11G12090 transcript:OB11G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASASLDSTVAMVARNSLLSWTAVMRRCGGPSRRLRKAWNSAIHCTGLAAGPEKSTTCTNLSPSMASRMAWFVVRYASFRNGSTSNMWRRATGMRWASAELANDSSALEMPGTRLSSLRESASAKTAARRCRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARRRRRVHRRRRR >OB11G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1186416:1187528:-1 gene:OB11G12100 transcript:OB11G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPATQPCQWIQLLHELRRRPGRPPHVVRLTVIHDDEELLAKMAELLHDEAEELDMEFQFHGVVGQLEDLDFGNLHNVLEIKSGEALAVSCTLQLHRLLAAEDDATYSSRSAHLNQMASIAQLQHMAAASSRQPSSSSDCGSVQYKDDDDSFQSPGTPLAFVSPPASTPHFHTPAPLASFLSAVRALSPKIVVVAEQDADHNGASFRKRFCEALHHYAAVFDSLDAAAAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARAARPAAALGGAHGDGRVHGRPAELRRHQARERHAAAVWTATRREQGMQRMPPPVLELEASLLGVRMAAGGEQSVGVRF >OB11G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1186617:1187408:1 gene:OB11G12110 transcript:OB11G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQCRSRSCRPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGRGVQAVEHGGVVVERLAEALPEGGAVVVRVLLRHDDDLWGERAHRGQEARQRRRRMEVRGGRRRRHECQRRSGALERIVVVFVLHAAAVAATTRLARARRRHVLELRDARHLVEMGRARAVRRVVLSSEETMQLQSAADGERLAGFYFKDIVKVTEVQVLKLANDAMELEFHVELFGLVVKQLCHLG >OB11G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1205700:1210310:1 gene:OB11G12120 transcript:OB11G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNARLVVLAAAVAAAAAALFVSLDDSRGGDVRTLEIGERDVELIAVDGGAAGPESVAFDAAGEGPYTGVSDGRVLKWLPLERRWVDHSSNEPQLLGSCRGSKDTKREQECGRPLGLKFNGKTGELYVADAYLGLRVVSPGENVSRPLVPQWPATQFSFANGVEIDHETGVIYFTQTSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSNDGSYLLLAETTTGKILRYWLKTPKASTTEEVVQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPAQRIQRISSFLTGFGHQVIALRLSEDGKTIEAISVHGAARKVFKSISEVEERDGSLWIGSVLSPFLGIYHL >OB11G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1211380:1217238:1 gene:OB11G12130 transcript:OB11G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLVDVQATCGTLGLSTATVKLIAPDGEEKIACAVGTGPVDAAYKAVDEIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVIDSKHALTGQSFNRAFSGSGAAMDIVVSSVRAYLSALNKMSSFVGAIKASSQVTEGQSVQTTE >OB11G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1217284:1218593:1 gene:OB11G12140 transcript:OB11G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQRDLNQIRVSRAQLLAYLSFLQQAQLPDSVQAVNININRGIGEGGGGGEAYSNGGFGAVPASSEAIAALMETTVGETKEKTCAVCLEDFEEGERLKRMPCSHGFHASCISDWLRLSRLCPHCRFALPAQKDSEQKDATEAICKYRFSEAVNRLGQLLTEAASGLPKQVHSIYADSIVTKYGSRRDEQGAGVTVEK >OB11G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1223696:1227105:-1 gene:OB11G12150 transcript:OB11G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALLLLAAATAVVTLGWLYAAGHAARGAKKRARMPPGSTGLPLVGETLRLISAYKTPNPEPFIDERVARHGGVFTTHNGGVDGEDEVKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRGMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQDNNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEPAEEDRLVFFPTTRTLKGYPINLRLLSESIC >OB11G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1233894:1236448:1 gene:OB11G12160 transcript:OB11G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHGHEDSPISVQADQQEAAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEQYQQEQHLQSNSESSNSSNPTNENSSSTCSNSHKRKANDDEILPHATRPKHS >OB11G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1234766:1238877:-1 gene:OB11G12170 transcript:OB11G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XM75] MSHSGPSHHSTTVEEESQQSFTTLETAESTNATVHVAREVPPPPAVAPAASDVPPSAVAVMEAAEMEIDDDLPEVPDCIASMVDRSGSVESKRLFLARRTALEMLRDRGYSVPEEEIARTLPEFRAWWGEKSEIERLAFTTTLVSDPSKKVQIVFCPPEPVKIATIREIYRQTEGENLSRLILILQSRILSRARESIKEIFKLKVDIFQVTELLVNITKHVLKPKHEVLSAAQKAKLLKQYNVEDSQLPRMLETDPVARYYGLDKGTVVKVIYDSELTGNHVAYRCVF >OB11G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1241910:1243445:1 gene:OB11G12180 transcript:OB11G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCGCCCTYVFSIRSSEDLGVGELLDLKLHVDWAVNSGFHPVKLLPIDDTIVNGMISIQQSNWCYLLDGGGDPLVPNKMSRVIATTSSLRTKDGKNIHEPYSDQHHP >OB11G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1245135:1245374:1 gene:OB11G12190 transcript:OB11G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAHAEREGAADAEREGAKEARRRRRQLPEWATEARAEAAKDAVTEAAMEESRWQAVGLEEIVGGGGFEQGGTLRGG >OB11G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1245714:1250343:-1 gene:OB11G12200 transcript:OB11G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQLGRQIAKLPRTHEDVGLSLLGKVGAHGTGARLPPIDEQVISMKLVAPSKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWRTPKFTSKYGKDEAIQHVRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNLKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSMTQTNLWDGYSAYEHWAKIEVPKDKDELAELQVRLRKRFPVDEYNKARMELDPNKVLSNAKLEKLFPVTEAVQHAK >OB11G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1251772:1252239:1 gene:OB11G12210 transcript:OB11G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSLLLQITKLFLLPLNFLLNDNHRAQCRCRSCEHSEKGARTELTHGDVAVVMAELGLCVNEDGKERHLVDGALALLEEKHANWEELEEAFSVFDCDGDGFISPMELHNMMARLGLQQDASHGECERMLHVFDKDGDRMIDFEEFKVMIQGAV >OB11G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1258059:1260330:1 gene:OB11G12220 transcript:OB11G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDMFGLMGAYAAGSSREVAMSAYILVLVVLVCSYVSAHVLLYGLTAEASAPEAPVLVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSQAGDHLAGDPMLRGKYPYRFMVFFYFNTTAFVASLVTIILLMSKTVSRHGFRSSALWVCVGATMVGLTGAFASGSCRSFKTSIYVVALVVAVLLYIAIQAMVFVCEPVKNWLHQAGQTLQRCLKSDELEQQNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGHRHYLAGDPAAMILDLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGVHVLVFMLDVSPTWATWRERVREKLEQCVPGWLKNLLELEEHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEGKSGHVGDPVLNDNYRRRYLAFFFCNATAFVASLAIIMLLVNRKLSAGGIRSYALRVCVILDLVGLMGAFAAGSCRNVKTSIYVFVLVFAVLLCIAFQVALVVSESLRRLVESLLAHLVPLEDDAGAPRRPPGGRRLPSGDEPAGRPLGREPGQRPHPRRPRSSGQLSASLQGLLLLQRDLLHGVAGDNGVAADREGEQHPAGAAGAARRHDTGPVWPHGRLRRRELQEGDDVCVHLGIGHRCLCIHCCSGCGVHWRGEMDEEDHGQAGGEAHTLLLP >OB11G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1261773:1265418:-1 gene:OB11G12230 transcript:OB11G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKKTNQLPPPASRLWEAGIRKLKYSTILRGSVVPSSAAFDGAAGAGAINSAACDPATPGALSVSSSTKSNTIYQYNDDDCDDTDSVLDDNDTDDDEGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVYPPSGGPAVPVPREPKEEITKLIEELEMCEGKTVSLLPSQKVVTWPGGGPVMKKPVWRPPEVGADHGRRSSVNRRSSVSSDLDCLGLAEVEEGEEGRSDRSWLRTPSCMRAPVPPRPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKASAFDPKEKVWTRFPPEGSKNTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGAWFQDFCRQVDKDCELLEQERIMDYSLLVGIHFKDRCKDMFTQKLQAS >OB11G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1268609:1269403:-1 gene:OB11G12240 transcript:OB11G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G41750) TAIR;Acc:AT2G41750] MDSDPLPSVAAAAGDATADQTPPRRAVCHSGCGRPSSVCLCPYLPPSPLPTSTAVVILHHPHAVRRNPLSTLPLLARSLSNLRLIPGRRLLPSSAPLIARSPSSPVLLLFPSPDAADLASWCRSTPPAARANPTLLLLDGTWKQAKEMHAASLPFLSSFVVPVSLPVDCGVDGDSMFESELVVRKGPHKGCMSTMEAVARALRLLEPEGSGAEIEQAMVEVLQAMVAFQAKHVQHRPMKPRVKMRKKKDIKKEEGMNGMPDWCG >OB11G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1272048:1273523:-1 gene:OB11G12250 transcript:OB11G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N5Y9] MADTANLQHSRSNGHDHHPHFLVVAYGIQSHVNPAQDLARRLASIDASVVCTLSVHVAAHRRMFPSLASPDEETTDGVISYVPFSDGYDDRTEPIPTEDESARSRGASFRSLSSVISRLAARGRPVTCIVCTMALPAVLDVARKHGVPLAVFWNQPATVLAAYYHYYHGYKDLIASNAFDPACEVTLPGLQPLRMQCLPSFLVEKTSIGLSKMVIDDFQELFEFIDREKPMVLVNTFNELEATTLVAMQPYLKEVLFIGHFARSSARARIHIFQKDKKSYMEWLDAQQERSVIYISFGSVLTYSKQQLQEIAQGLEESDRPYLWVVRKDGRDEEVESFLANNTDHRNGMVIEWCDQLDVLSHSSIGCFVTHCGWNSTVESLAFGVPMVTVPNWSDQPTIAYLVEEKWRVGTRVYRDDQGIIVGTQLAKEIDFIMGDNEVASKIRQRANDFKQKIHEEATRGEKSEMSLQIFAKTMIGLGKGSQSNLRAYKN >OB11G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1275104:1277768:1 gene:OB11G12260 transcript:OB11G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPHLLALPLLLPRPPSPLLASPLACPLPHLPTTFALSPMPPSRYRPKPPKSQLSMPPPTPMPPLLALLHMDQHEWWQWRWGQLLWDDGGWLGHYFIVSIKIFCHRVEEVKMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >OB11G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1280330:1283027:1 gene:OB11G12270 transcript:OB11G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGARLPRPSSGEDELVLTPAPRFAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAIGTWYFEIKVLHLGSSGHTRLGWATNNADLHAPVGYDVFGFGYRDMDGTKVHKAWRAKYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKATEQKTSDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVKFNFGPNFEFFPEDFGGRSVPQPMSDVPYRPFALANDGPAENGTAEKTI >OB11G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1284445:1285544:-1 gene:OB11G12280 transcript:OB11G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSATFRSAKIPRTLPPKPGKAAAAASASWSSGAPSEEVAKGKAAAVWCIYLIASYRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRP >OB11G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1287573:1288678:1 gene:OB11G12290 transcript:OB11G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGRQWTELQGAQDWDGLLNPLDGALVRYGEFVRAAYASFNFDSGAPSYGCCRFPSSSLLRRSRLPETGYRVAQLLHAASTSAPRWLSCRSSYIGYVAVCDDEEEIERLGRRDVVIAFRGTATCSEWVDNFKSTLAHLPPTTQAGDGEAAPMVESGFWRLFTTSGKAHSSLQHQVRGVSEYGGKGMPPLSITGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAFRGRLEESGGKVLRVVNSDDIVTKVPGFPDVPAKRKPRFPRWLVSKMGWEYSDVGRELRLCGPCGQDTSRNVVASHDLDLYLKLVAACTD >OB11G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1289376:1293160:-1 gene:OB11G12300 transcript:OB11G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT3G22880) TAIR;Acc:AT3G22880] MAPSKQYDEGGQLQLMDADRVEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFYIIYTVTSGGIMDAKD >OB11G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1293812:1296065:1 gene:OB11G12310 transcript:OB11G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAGAITQLIESGGGGGGPPAAKKEALVVQLQFPSGFPFSPTDEELVDVYLRCKIEGRKAPLDVVNEVNIMCYHPENLIEKYRAYGEHRWFFTMVREASKTKKKGEPNRKVKVDDVEVGSWSATGSVVQIHSTKEANRKAIIGSKRVLTFKSAIASEEDRWSMHEYVMAGKSEMGQYVLCAIQLKQTYEAEKKAAEDGKKHNRRTRKAKRVAAMGEAQEEGQHETPPPEEDVCTSMASMFAAEEDVCTSMTSMFAAEEDAAMADANDGMAQADHQSSESYAAMCSEAEPVYYADQFAFAAPDDSSSCGGGNCLSYQHPATGSAASAGQAQYHVQYYQICSQDGGNMGVCSTNAGLHYNMLMGGQVQNQICSQGNVGVIGGSEDWTAFHVAVSCDQLIAAQQVERLPIGDMSSRTYFQDTDMNDFKDIFGDDDNVEIPNQWE >OB11G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1298414:1307675:-1 gene:OB11G12320 transcript:OB11G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVEEEQTPMDAAQRRLRAVSAHLQPPESAGVGLAANPTAGEYADVQGYSAVLPEKLQTGKWNVYRNAKTPLRLINRFPGSPDIATLHDNFVYAVETFRDCRYLGTRVCADGTVGDYKWMTYGEASTNRTAIGSGLIYHGIPEGARIGLYFINRPEWIIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVHLIVVIGGDNTTVPSTPTTTGVKIMTYSSLLSQGKMSSKPFRRPKPEDIATICYTSGTTGTPKGAVLSHRNLIANVSGSSLLIKFYPSDVYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYAAITNAVKESGGLKEKLFHAAYNAKRKAIINGRNPSPMWDKLVFNKIKTRLGGRVRLMSSGASPLSADVMEFLRICFGGIVIEGYGMTETSCVITTMDIDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPCPRGEICVRGPTIFCGYYKDEIQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSSLVAVVAVEPEVLKAWAASEGIQFEDLRQLCADPRARSAVLADMDSIGKEAQLRGFEFAKNVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLREAEPTKPKL >OB11G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1309307:1312121:1 gene:OB11G12330 transcript:OB11G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDLLRREEEFYASLFDSARDDDVVRSRGQMIERRIEVLEDMATRVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDRDELIALNAWHRIDRQTREAIKRHFLPDLLEIYEGRIRAFIEDTSGKDVLVLDVQDPFQRLLLHGVCEFYNVSSTTTTTMREGKLWKTTTIKKRSSTGAPSRITLVSFLRMKKNGSQ >OB11G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1329622:1332211:1 gene:OB11G12340 transcript:OB11G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQSSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNSQFQKLHLDGGGKGGQPKDGGGKGQPKDAGGKGQKGGGGGNGGGGSKDVKMMLPPQMPQPTPQQLQQLQQQLQMKGLKLPQFMDGKMPFAAAAPIKDPKSVKFSLPPEDDFGDDGSEFDDEFDEFDDDEDFDDDGLDDDYYDDPKMMKQMAMPPPNAGGGGDKKGGNNGGGKKGGGGNEIPVQIKGNANNGGGGKKDAGGKHNQGGGGGNGPGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGYGYGRPPMPYTMSYPMQPHPHADPYNYFSDENPNSCSVM >OB11G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1352459:1356609:-1 gene:OB11G12350 transcript:OB11G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGAVVEVPAELVAAGSRTPSPKTKASELVSRFLGAADPAVSVQLGELGHLAYSHANQSLLRPRSFAAKDDVFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNNIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPAKEEEICGATFKVESTTILTALH >OB11G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1363564:1366098:-1 gene:OB11G12360 transcript:OB11G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation exchanger 11 [Source:Projected from Arabidopsis thaliana (AT1G08960) TAIR;Acc:AT1G08960] MPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLLAASGLFYIYLSAEIYLWQAVGLVLFYVFFVGLVVYMDLDTEGKAVSAAELEMVNGGCRVVTDLPVTMEDHKQQHPTLCGILRKVTKVWEWPVAFVLKLTIPSTLPTEWNKFYVCANICLCPLLLLYSFSSFIPFDSRIVFLLPQSRFPLWSVVLFVSISLALSHLIFEKEAPITENIANTTISFVMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKSGQPTIAIAGCFAGPMFNMLVGLGTALVIQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLVVTWTRFRVPRFWGYCLMGIYILFTLVSIAIASSSG >OB11G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1372505:1374001:-1 gene:OB11G12370 transcript:OB11G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPGGLDTDAAGHEGLLPPDDDDLFVAAFLREGEEESKVYLGIGGRKKGEVVAWGIDKWRRAQYAKKAPRMVAAAGARVSPCPIQEPC >OB11G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1374849:1378417:1 gene:OB11G12380 transcript:OB11G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLRDKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGSPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWMSDRGQWRDADVLIFNTGHWWNYEKTIRSGAYFQEGDAVKMDMAIEDAYKRSIQTLFGWLQNEVNSSKTRIIFRTYAPVHFRGGDWKTGGNCHLETRPDVTPIKSLDQWTDFLNPVNDVLGNSRRPRLLGLDILNVTQMTAQRKDGHVAVYLSPSGPVPLYRQDCSHWCLPGVPDTWNELIYSLLLRKRTMIDQNVPLVGTKALKAG >OB11G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1379525:1380203:-1 gene:OB11G12390 transcript:OB11G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLCCEGDKRKTSSLSIQFRRGSGRMQLPVHGYLGSAWQCHRLALAWVCMTWEMSPSSSFSIDWIEGSSTYLVLVLIPPQGT >OB11G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1383945:1389687:1 gene:OB11G12400 transcript:OB11G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSEMMGGNSRPRLRRPMLDKMEARLHMGMLLRFFFIDISKSMSSNQDDWSLFTNINENLNHVQTTDHVETRESTGQSVKAFSYFPPNNASILDLYKESEPIDVVHMMQSSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYYKLREESLTVISQYKNDFKEDQKSSMLSDEKNEATEAEREIQEICKELHNSSLEKGCKEEHPSKDVCISELLKSAKEDHLKDFDQEYHLTETIAMALEDMSSAVKLYKHSMSILHTLELASKEEQCDYVSAWYSMLLSCAQELQHGAMLWQESCHANVSDTVISQGAHCFVALGEIYRVAQILHLSLQSFKPWVLADPGMFNKMLVCWNNCMNSWTSGLRTALAMVVDSNNLDEPVAKALLQSINNINEIEVANLQSSLPNNKMACKLTLLPTSLVPGMEVVIWDDDHYFVKVANLWANRISPDPPRFSVTPIA >OB11G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1312153:1393285:-1 gene:OB11G12410 transcript:OB11G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3N605] MTRGILSDKKTGELFFAQTPQSSVVRPKPAVAEEAVVQTRACHHPPFRITSASSRWLDAIAYALPDFLFQIKGDSISTPQTEKKPNKFLEEEEEGGDPPPPSRSDPRSEERKGGKMHSTNLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRAANPISACLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVVLTPDQGQEASSNVLPINFSGLSKAVKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >OB11G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1394712:1398310:-1 gene:OB11G12420 transcript:OB11G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSRPHRLLRPLIRGFHATSQAMARPEPHEFSKPSEYLGSWDPAGDPREAWARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAEAARLANEERKAAKAAAAQTRAAERRAFEQDFRQALMKERAEKLESWREKEKLRAQKKVEHRDLLRKKSSMWVAEDKLEKTILEAIGNFTTL >OB11G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1400497:1408420:-1 gene:OB11G12430 transcript:OB11G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEGGTADAENPAAPAAGEVNEGCMLDKEQDAVVCALAQEKMEVYENGVATEKKMEGCEGGVVDQQPNTPAGGCQMKEEGEFLLGRYISRSVAGHGRILLGKVASYDNSSGVYSVVFEDGQSEDLGLPQLQPLLVGEENGAFGMKVSCRKRKLDLLVSSGGAIEVKGPPNTRQRVNEPEMPTRPEESQQSGSGSDVSEDVESSSNSSNCSKELPVEHCPPVQVPELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSAINCTVQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLRYLDWALLDSLTWPAFLLEYLYVMGIIKDLGGQSFGRRVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFLEVGSRSVLTRGSKASAYKKLDVLQNLETAPNGNNPEAASAHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDLCGRSFLGCCNYLLVIGTSSDAEFSARYYNHCDVVKVLQILASSDSYTDICRDMTEYWSHLRGIFQNDRSKIGKEVGGSLTSPSNILPTATPVKANNGSVQSTLKDCGDSKMTMLPQTNAHLKFMDNQFTMCSAEHLVEQKCIVASVGVSTENNNETFRQPPLAQNYVDNAYRNGAFGPNGKSSISHQSASMVTVVPNITQAQPAHGLIRPDLSCGSAIGNGMSRENIRSSISTRADSISPYQSKPPVQLITDSMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAVLKSDEGKASTSHLTTNQRKKLAADSALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRLVKNCESHFPSIVTYLTHMEESLRGLLVGSLQDVQQRERWYNQLKQASNCRNIIPLLLELESNIRGVAFSASWLKLIDDWPAEPPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALLISSIRKAARQGGKKRMPGLSYHEGSNFPRRTRKLAWRACVGLSQSSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSLDSDFSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDEDGKFWFSENHIPLYLLREFEQKAGVNSLPTPGMLGSNRFTNSYQRRVKAFIGDVFFYLLHKGDVYPCTSCKKDVPFRDVVRCSSCQGNCHKECTLRSVGSKEGNAAPSLTCKLCLQKRSLMLTNYNTNPNFILPQQKSNVHQTVAAPKIIFKVGSSHSAEPATKVEAQPIVKVEAKTVVKKETWPVVKMETQPITKVEAQPTAKVETCQISNLATQNITGVQAQPKAKSKKPKPEKLKKPKNTPEITYFGLVWKTKKNTNDKSNIENSGDDFRAKDVILKGKDGIGSSIKPSCCLCNKPYSPDFLYLRCERCQKWYHGDALRLKEEQIFELVAYRCCRCRRRAIPKCPHSDDYRKPEPEFSEQTVATSSQSTMLSSEENFSVTDQDPLLASYGRVEPFGGQTMDADLSMNMVNFNPGNQKLSVRRGQNKNCEYVDQASIPVDEYYIQNQPQGNANINFGHSNEFSLSEADGVDASELLGWDFSQGDACAASSDFAANCPWNDTSCGSVAADEYEPQTYFSFTELLEADDTQFDNTFGMSSSVQDDDDQQGVFDEMPYMEEDGASNMHFPAIASAADEVACDRCKNPQPPPDLKCAVCGLQMHRYCSPWEDSEDPTDGANWSCGACREWR >OB11G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1409954:1410725:1 gene:OB11G12440 transcript:OB11G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRNGSVDHANCQKHIKDYRMHNAMDLTGKHFHPVHQHWRSETTYHTIVGGVAATDNGVTKPPHHAMPIWRHDSVVLPHHQHWRGQKLKENFEEVQIIRTWFYENMEGGQMQWMEEYVKFCLNPICKLRGAEIPIS >OB11G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1410545:1413455:-1 gene:OB11G12450 transcript:OB11G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEEAVGADRDPLLASSGGVEPTVEETIDADFSVKVKSYVPGSGSTQDAINMDGSFRSTHPDSEVEHAHVAKVELEVRPYDACVAWYVPGICQKPDTGDDNSPQAATVESNFGNDCSVILHQAYDGFRAIAAETGSLYERLRQRDHSTSDDIMVTLDKLQRLALHQMKDIACHQANGVVHPKAPRQPMHTPSSSATQPSDQSNLKAPAPETDAAPPL >OB11G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1413546:1414355:-1 gene:OB11G12460 transcript:OB11G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLTLPTRNAKVKMSGVSMEDARQLEAKRLSLYSRRNHKRRAEQEITFFGLIWKRGKNDLRCSKLKAEDVILRSQDGVGSSMKPTCYLCFKPYRSDLMYIRCESCRNWFHGDALELQERRIAELISYRCCRC >OB11G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1420373:1420552:1 gene:OB11G12470 transcript:OB11G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALCITVLHPFVQQNHFYCIFPSPDLNYTYDISKFSFRSNGRLVSIYLFSDFQHASS >OB11G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1426564:1428994:1 gene:OB11G12480 transcript:OB11G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGIAVAGAGGHGAAGFGLFRAADVTMAEAQDAGKEYHSSPSSPSTSPTPSPPPPAAAGHGGEAAAATPTMWSLGGEKPGEAAAGDNGAMQMSGHHHSEHASLSSGRRRGRPKGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQPSATPALPAQMAPPPVLTAAMPISQAAPGTNGCHAPQVSSTHRQAHTGVEHSATTGVAMNLNSSSSTGFTMVGWPVSSQPMGHRPSPDINVCLTPQE >OB11G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1432289:1432708:1 gene:OB11G12490 transcript:OB11G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRVTHRDEEGKKLDPAPPAIDPDDPNYEEDGAAGEVDEEVVVGEVEVAKVAEARDGVARVEVVAPPRQPEKQEQKQ >OB11G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1446169:1447222:1 gene:OB11G12500 transcript:OB11G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMA0] MAIDMRSRGVSSSSSSTTTRAAALALLLLLVLCFFSSFRCLAARPLPAATAAAPRSQQERNDGVNGEVVAVADGRLLLREDLTGNGDEVSELMEAAAVGEAAEACEEGHDEECVQRRLLRDAHLDYIYTQHKNKP >OB11G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1452781:1455667:1 gene:OB11G12510 transcript:OB11G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRIAACYLQYHRLNLREAIKPIKWQEFLLPGGDGERFGPPGPRLPPVHRNPFPSRQERAASESSARAAPPPPTFPGAAAATAADISCLGKRKYEGPRAGEYSTECVICIEEFEVGDDLSTMPCPHGHRFHEKCLAKWLARRRSCPLCRHLLPANSTNIHSV >OB11G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1458997:1459863:1 gene:OB11G12520 transcript:OB11G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTPFENLLTLLEAYQRLGGGSSDGAGDHRQGNGGGDMEVEDEESEDLVQYARFLLANRDVGHGRHHLDDEEDSGEGFDQLVAEVPPNGGDDDAAFTMGAAGEAHDHDYEDTVIVGSSSGSLLPSDDELIPPGAGSGNGDDGAHGQATADQLEWEEVRRLLRIHGGRLLFPPRAQHRLNTQSLLLGAAEFVAAHRAGKRPASAAAVAALEKRKHVGGQAAAASPSAAAQCVICMENYEVGDDLSVMPCSDKHSFHQRCLAEWLARSRLCPLCRKELTDDDDDKFAP >OB11G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1463732:1465263:1 gene:OB11G12530 transcript:OB11G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLANGDPAIAGALVAVVVICVASAVMVGVVDGAKGDRPVTQLSNGFTARHSPDAPAPFEPVLYAGNGAFAFGFLRVGAASLDLAVVHLASSFSVWRATPARVGDWSRPATLTFDRGLVLAGPDGGVLWQTLNIIGDTVALLNSSNLVVRRFAETRPAWQSFDSPSDTLVLDQNFTASSPPLISANRRFALRLPKTHMSPHMEXXXXXXXXXXXXEEDPENATQPPVYGRLAGRGFFGLYLQGSGEKVDMLSFDTFVQNFTGAFRRMTLEDDGNLRAYYWTADAKAWTSDYKAITAPCELPTSCGAYGLCFPGGGEAKCQCLTNSTATSPPCRPEETTDLCGGGDDNDVGQVFDEVRLKRVSVAYKERLPFETNKTAEQCEAACAGNCSCWGAVHNGASGYCYLIDFPVETMAYEADDRKVGYFKLRKPPRSSARSGMSRGAKAVTAVLSLILASLAIAGAYVGFRLWQRRQQQRRRGGYPGMEQELTSSSGPYKDLKSMGSSNSSFKS >OB11G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1467089:1469742:1 gene:OB11G12540 transcript:OB11G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLSDSFDACFTSPLARSRRTAEIIWADRGEDLIPDSDLREIDLYSFQGLLKHEGKERYGVLYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVATLLGLGTEYFRVLLQSNCGASVLDFTPQTGGGPPAVCLNRLNQTPNSPVASGSSGGRKTSKRIILVCQGATQNSTEISLGGVGYAPLNMLGIIQAQKTAELLLDQKVNGILCSPQVAAVDTATTICEVQEAADCLGADCVPRYVDMKKLLELEIDDAFRIKQKSFGEIVQSGWLGSMEHRTLEGLWNQSKGAWQALLDELADDTSAERTLVVVGHPGVHLALICQCLDLTMDYMSCFHLDDGSVSVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTTETDEEF >OB11G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1476783:1477854:-1 gene:OB11G12550 transcript:OB11G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLEEEEEEEEEEEEEDDDDGQGGDLKACTMREKPGAAASSPSHRRLSSALLARSITTAHPGVSLNHQPWRGADDPSARSSVAWYDTVTESVGEDDAADDDNEHVDVRVDDGAVHAATSATRTAPSPMHDAKSRTDDGSSRLDALTISWNPLPPLSRREICGRGGQREVQ >OB11G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1476856:1477935:1 gene:OB11G12560 transcript:OB11G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRTSTCSLSSSAASSSPTLSVTVSYHATLLLADGSSAPLQLRLTWGHSPLGPTLSFAPSATARPPQPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGAPAAVELQGQPDGVRRRRARRRHVGPPRLVVQGDAGMRGGDAPGEERAREPPVAGGGGRRAGLLPHRAGLQVPTLTIIIIFFFFFFFLFFFFLKRSHLLTALVTLSLGGIHQTIKANVWLLIT >OB11G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1477764:1481566:-1 gene:OB11G12570 transcript:OB11G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive A/B Barrel Domain [Source:Projected from Arabidopsis thaliana (AT5G22580) TAIR;Acc:AT5G22580] MITLSAKALGGVVWELFAPFGASAMGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTSYMSHERHQEFAGTFMAAIDKVVVIDFPAVVAKPAPPAAPPGA >OB11G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1484521:1484958:-1 gene:OB11G12580 transcript:OB11G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMVLTLLGFCGSVLFILFVCTRLACSLLRRHRRPRSPPSFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAFSSSAAAAAQ >OB11G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1489735:1493712:1 gene:OB11G12590 transcript:OB11G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44610) TAIR;Acc:AT3G44610] MHPDGETADLAADELQSLSFGSSSDRSRSRSASTVSTATTVSTSAAPAPAPRLGDVALSDVRFIKRLGAGDIGSVYLAEVKGAGGALVLAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRGICLCMQWLSEFLKPRLFCSYGSQAAVVGIPEITRQDLSTLLTCVVIENTGSIIRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHRLPRRWARAGVRGGAGGAPVHFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFVFELLYGVTPFKGYDNEMTLANIVARALEFPKEPSVSSAAKDLVTSLLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFSIAAAAADTNDDDASDDDSCPGTPVEYY >OB11G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1492729:1493775:-1 gene:OB11G12600 transcript:OB11G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAWLLLLHVHAWQLLFSCLVVLHGRAGAAVVVGRVVVVGVGGGGGDAERRRHVRRRGAAEQRPVDAVEKRVPLDRRRADRGAETPGRVLGEERRDEVLGGGRHGRLLGELEGARHDVGQGHLVVVALERRHAVEELEHEDAQRPPVHGAAVPLAGHDLRGKVLVRANERHRPELHGLGHELQLEPTGGEVDRSSTGSATNSSSSPPPGKPLPARLDGFFRPRRDDTRRGLGGVAGEGDGVRDDVRRRRRRRVALEREVEVGEHDVAIGADEHVLRLEVPVHDVHHVDVLQRRHHLRRVEPTQHPTLSSPLTSSKQNIIFFPNHLTATMQQKQIGHARCIPLQILH >OB11G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1495388:1503616:-1 gene:OB11G12610 transcript:OB11G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTEVPSPVAGSGGGGGKDGAATKLQKVYRSYRTRRKLADTAVVVEELWWQALDYARLSYVNVSFFHDPKPETAAARWNRVSLIASKVGQGLSRDDKALKLAFQHWIEAIDPRHRYGHNLHHYYDIWCQSQAGQPFFYWLDIGEGKDVDLPECPRARLKKQCIKYLGPQEREQYEYIITEGKIIHKYSEEPLDTEQGSTWIFVMSTTKRLYAGKKEKGVFQHSSFLAGGATVAAGRFTAQNGVIKSIWAYSGHYKPSAENLNNFMSFLEENGVYLNNVVHTPYFSLANPNNNIDQSPTAATIQSNPPQLIVPQSMISRNKASGSHSETEDEEGDDAPSEQRKPTYQRTLSGGLQSPRAAGVPREEILERVKSKGGSRSYQLGHKLSMKWSTGAGPRIGCVKDYPMQLRMQALQMPLRLRSKSATATAGVCVQVPDANGVIGDVSHTRGVGGCEVLPGALGTCLAGTQGWAVSPTLCADRKSHELETMNIFVPIVISSRLTKFALVYAIGLSYAVMMLHACFRKLTPPNLPDPGKRNRRAQLERS >OB11G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1506810:1507271:-1 gene:OB11G12620 transcript:OB11G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRSKYNVRSIPVRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRAADKAKGKFTAEDVAAAGAAGASLQEID >OB11G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1507029:1507299:1 gene:OB11G12630 transcript:OB11G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVDDPPAAVHLHHLPLPPLVGAPHHLHLVVLAHRDGPSVESAADISTRRTDDGAVKCALRHLRRELVTRGSRFMAAAAAEVVL >OB11G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1514042:1515577:-1 gene:OB11G12640 transcript:OB11G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVELSWGARCAGLAFFGFSLCVAALGVVLLLARRWPWCSCHVCRAYLTGSWAREFTNLGDWYAHLLRLSPTGTVHVHVLGCTVTANPANVEYMLKTRFDNFPKGKPFAALLGDLLGNGIFNVDGGAWRHQRKMASLELGSVAVRSYAYKIIAQEVDARLMPVLTDAADRGAVLDLQDVFRRFAFDTICKISFGLDPCCLDREMPVSELADAFDTASRLSAMRGAAASPLLWKMKRFLNIGSERELKKAIKIVDELAAAMIRERQKLGVGSSHDLLSRVMVMASTGVDPHAADDKFLRDIVVSFLLAGRDTVSTALTTLFMILSKNPEVAAAMRAEAGAGEKGATTYEHLKGLHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVEGDARVMYHPYAMGRMPHIWGADHGAFRPERWLTGPGASFVPANPYKFPVFQAGQRVCLGKELAITEMKAVSVAVVRAFDIEVVGENGRTGGAAAAPRFVPGLTASISGGLQVRVKRLHT >OB11G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1535334:1535555:-1 gene:OB11G12650 transcript:OB11G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNIILCQHLAPTHNQPLMELERHDGEASHYLTPQSTTSCSKTCAINLIIVGVHSNPEEIWRQHVAHKTVF >OB11G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1535922:1541020:-1 gene:OB11G12660 transcript:OB11G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVVGDVRVLKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPSDDNACPEAIYLNGLHQTVGGIFRAVGYTLMGQLADEYGRKPLLLLTASTSILPYGVLACNKSKAAIYIYLILRTLSFMIGQGTITSLAVTYTADVVEPSKRALAFGCITGVLSASHALGNGFSRFLPERWIFQVSVVLLICSVIYMKISLVETFQRASSGSFEHMSFSSLVVKLPLRRWESIKDNISIIRRSETLRRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQILVLPVIINTVGEKGVLCVGILASVAYALLYGFAWSYWVPYFTSSLGVIYVLVKPATYAIISGEVVSSDQGKAQGFISTVKSTAVLLAPLFMSPLTSYFISEQAPFNCKGFSFFMAGFFLVISLGISLMLKPDCGAKCTRVAVPDQPDHEAVQAPLLLSQP >OB11G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1543398:1546092:-1 gene:OB11G12670 transcript:OB11G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3N631] MASSWRLLVQGLTSLVFLCAHADEYVRPPPSPLVLTAHGKPASHPQQVHISIVGEKNMRISWVTDDRTRPSVVEYGTSPGKYTASATGDHTTYSYFLYKSGAIHHATIGPLEPSTTYYYQCGKAGDEFTLRTPPARLPVEFVVIGDLGQTGWTASTLSHIAGGGDYDMLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIETLPVVEFAPFVAYNARWRMPHEESGSASNLYYSFDAAGGAAHVVMLGSYADFGEGSPQRAWLERDLAGVDRRRTPWLLALLHAPWDNTNQAHQGEGERMRRAMESLLYEARVDVVFSGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKGHKSAHLSEFREASFGHGRLRIVNETTAVWTWHRNDDQFATVRDEVWLHSLAAGEPAAAAGGRPVDEL >OB11G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1553141:1554324:1 gene:OB11G12680 transcript:OB11G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3N632] MRVTWITGDDAPATVEYGTTSGQYPFSATGSTDTYSYVLYHSGKIHDVVIGPLKPSTTYYYRCSNDTSREFSFRTPPASLPFKFVVAGDLGQTGWTESTLRHIGAADYDMLLLPGDLSYADLYQPRGDSYGRLVEPLASARPWMVTHGNHEIEKIPLVEPRSFKAYNARWRMPYDAGASPSGSNLYYSFDVAGGAVHVIMLGSYTDYAAGSAQHRWLQGDLASVDRARAAFVVALVHAPWYNSNEAHRGEGDGMRAAMEELLHGGRVDAGGARHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRKESNGAVVADQAWITSLASNPACNK >OB11G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1559988:1560906:1 gene:OB11G12690 transcript:OB11G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMDRVTNLYLENLCIMQENERLRKKAQLLDQENKALLAKLKLKNKKPSSAATSSPSSHQQPDADAXXXAANAKATPAAPSSKGGKKPK >OB11G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1565727:1567541:-1 gene:OB11G12700 transcript:OB11G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQPTAHPSASALAHQDYLEHSLVYKILHLTHLNMSRSVEPLVVGRVIGEVLDTFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVLVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >OB11G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1566376:1566549:1 gene:OB11G12710 transcript:OB11G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEGWHKYSLPAFLLEEHKDEPVDAYVWLRALVAYDLPSLNQKVRQFVVYSKEANE >OB11G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1579563:1586159:-1 gene:OB11G12720 transcript:OB11G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGQSRQSPHVLGYGFHGTMPNPMPSANFFEQGGATYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATATARPPPTLDIFPSWPMRSLHTPKEGSNVTADTTDSESSSKNNSNQNASSDQHGLVGDMAGQFDQTPQQQQHKKMATNSPTHSSKSGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVEYARWLEEDSRRMAELHGGLHAHLPDSDLRAIVDDTLTHYNQLFALKGMAAKADVFHLITGIWATPAERCFLWMGGFRPSELLKTLIPQLDPLTEQQVAGICSLQQSSQQAEEALSQGLEQLHQSLAETVAGGSPLDDVNVGSFMGHMAIALSQLSNLEGFVIQADNLRQQTIHQMHRILTVRQAARCFLAIGEYHNRLRALSSLFASRPREILVADEGNCGELSIAAHPSESQFSTF >OB11G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1594545:1594961:-1 gene:OB11G12730 transcript:OB11G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMB2] MGNCLVIQDRDKEIKIVAVDGGEILKLQQGVSLAGRTILPPSHGIVSDDGDAALEAQQQQQQHLFRAKAAAAVDADGGGGVVRVKMVISKQQLKKMLHKDAISLDDMVTMMQREASQQEMSCRGWRPALRSIPEGTDC >OB11G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1608965:1610019:1 gene:OB11G12740 transcript:OB11G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAAVVFLVAASSLAAVALSQGEANKKTAAEYSYVAGSKLGPENWGKLSPEYKLCGEGKKQSPIAIVAKQATPNPTLDSLNRTYNAADGTLVNNGKDMTFEPGKVGTVTVSGKVYSFKRVNWHAPAEHIIHGVRHPLELHLVHAAADGSLAVIAILYKFGAPDSFYFQLKKKLAELAADKCNFGEDDSQAALGLVHMRSLQKRTGSYFRYVGSLTAPPCTENVVWNVLGKIRQISKEQVGLITTLLPAGNARPPQPLNGRTVQFYNPPNSTISFEM >OB11G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1612350:1613120:-1 gene:OB11G12750 transcript:OB11G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRDLSGDPPDSTRLRIGDDIAWSDLGGVYDRDDSLKENTNPKCLLKNLNHLPHNGGSSQRFSGNLKPTAAPIIGISGKLGQQGGRKHHHHPHPAMFPKKAVVGGGGRXXXXXXXXXXAQGVLHREGPLRARARAPPPPRDGDEPRRLLPRPRLHVPPQPLTEERRGVRRPVPASASSLDVEAGAGGGAGAGAGAGAGAGRDDAVRVGEAGGGMGGRDGVGRTRGEIWAVVVVERFVFGTSLCCCWLVPCFRCS >OB11G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1617175:1623316:1 gene:OB11G12760 transcript:OB11G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVQTALPSTLGLLQIPLKFLDTKVVKHGDNTHTQVLTVWSGCAPEDATLENIEDLRSRFSAAPAWGQAGFRGEAIVRIPALTQEDDEAQAAGDQGDSQGVPDQLQYDGDEVRTAGPAAHMIEENKKKRAEAEEKTRSDLVKLKAALESRIPKKLLKGHSFQNEFKLLPLGSYHAIVGMDCKCRRTFVDVLVCTWSASSGNVDSTKDAANMDGALEVDLNKYILKCQSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLIAEQ >OB11G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1633442:1637646:1 gene:OB11G12770 transcript:OB11G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSRASSPPPPPRRYLANGDSDVLPRRSSRESPTGLRERERREQEGEGSLEEEEERWSFLALLLELLRKSLLPCRTEEGGCGGGGGECGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGSSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEIVREQLNSGIVPDGIDIHCLSGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLEWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLEDKSLPHKDPSDESGHHKPCLTLESLLQEGSTRSSFVQEEPILNSPAHENGYKPIEASPVQRKTAASTMQTSEVQTITEGSSSCSQPSADPLCAEAVSSLQRKGSRSLNSRRTRKGKGQSETSAIPSAEKSKGASIVSRINSKIERIEAWR >OB11G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1642666:1648080:1 gene:OB11G12780 transcript:OB11G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRLRRGSALVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPDQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTESRPAQITKNAVEEAKRRNFDAIVVDTAGRLQIDRSMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKTEKEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGGLMESLNADEKAPPGTARRKRRHSKTRQRELDAVGGVPSS >OB11G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1648566:1653437:1 gene:OB11G12790 transcript:OB11G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVALSSAPAAARRSPAARAKVIVASHHPLNSSAIPSLRLRAVSGSAFRCALSSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDVLTKENIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAAGTDVIRGVKPEQQLVKVVNDELVQLMGGEVSDLVFAKTGPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEVKPSQIAKNGLKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRGFRRR >OB11G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1657465:1660645:1 gene:OB11G12800 transcript:OB11G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease [Source:UniProtKB/TrEMBL;Acc:J3N644] MGLNLPKGGLNRLSVPPXXXXXXXXXXXXXXXXXXPLFPFPSRSPRPGEQVAVVRPTLPRSRRNIIWIGDASCRPLALPAPTAEMAAVAPEWSTREPCLMGIDEAGRGPVLGPMVYGCMYCARSYQDTLATLKFADSKTLKEEQREELFESLKDNSSVGWEVDIISPKDLSAKMLKRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKLTEKFPGIKFVVAKKADSLFPIVSGASIVAKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPATKQWLEDHKHPVFGFPTLVRFSWGTCTPFFNDAVEVTWESDELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIESSGKGRCKFFQARKLELVRKFQ >OB11G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1661915:1662154:-1 gene:OB11G12810 transcript:OB11G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCRLLSHGDRRRVRAVCRGWHVAARQKQSMVPSSLPPSLPWAAARTTTYQSLPDGEVHRFLDAPGTTVFGGLFDGFL >OB11G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1662599:1663087:1 gene:OB11G12820 transcript:OB11G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLHFRPPKPTSGAAATAAAGDGDLLELDVLWPSSCAPGLLAALPEEEGKRRKRRGAGGSVTVRSAARPIPEAAALTPAMAMAKSAPVRIPSEAAARRGAWAQATAGGEDHGEAMVPPHEIVARRAAAHSSVLEGSGRTLKGRDLHRVRNAVLRRTGFLD >OB11G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1673822:1674769:1 gene:OB11G12830 transcript:OB11G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATTSSSSSGSSSDPFAAPGFRFYPTEEELLAFYLRHRLAGTRPDVERVIPVVDVYAYHPSQLAAMAGEASVRDTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSCVISSATNRVIGVKRTMVFYHGRAPTGTKTRWKMNEYKAVADDHHAAVLHPMAPPGLRNELGVCRVYISTGTLRSFDRRPLDNQAAGAFHPQAPSSSATATANTSFAGARGDNSRESSSSGSRELVGDGPEDDAIDWSSLISSAAVDGGDDFGLIADGFNPSILGSWPQL >OB11G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1675499:1676188:1 gene:OB11G12840 transcript:OB11G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALPPLLPTPPRSKMLPLLPTPCLIILPASFATEASKAPKPSRADAVDRWDAHKKLSGSATPTPRAPSRSINLSPNRAASCGSKELGSSAPSSSSTCSGGKPGRADTCERWDTNKANQSNRPPASSERWDINKIKNPSSRTPSLSSERLDSSTNKRPTASRGSSSPERWDINKKHRSQDNAATALGPASDGPQLTTVKPHPQFAGATFSTSPAPSMLPMPTFLLAR >OB11G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1686685:1688511:1 gene:OB11G12850 transcript:OB11G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKEKDVVMQEYLSLKETNKQLKEQVAIRTSKKVAASVAEPMDVSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRTRSRSKSSRRAAPAAGRPRRTPTTTRAR >OB11G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1691423:1694661:1 gene:OB11G12860 transcript:OB11G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPQPATANGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRSSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSNKSEFEELEAVPTSAAIDGPLEPSPATTTLVSDAPNESLVTYFTSAASIASVSNAPMAGRAHPSSESVASSHVPLIIPSAAPTHQIPPPLVGSSASPLPLHDTNVHTAHAASLVTPAFFTPQSSSSTSVVPPASSMMPTAPPLHPTSATAQRGQYGTPLLQPFPPPAPPPSLTPSYNDGPIISRDKIKEALLRLVQNDQFIDLVYRELQNAHM >OB11G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1694673:1696526:-1 gene:OB11G12870 transcript:OB11G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDELIRAPACGGGGGGTVIEDLPGDVLALVLRRLDGASLAAVGCACSSLHELAADQETWRGLCLALWPSVRDVLGGGGENGCDESQILQRGITTNEHDDQ >OB11G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1720187:1728523:1 gene:OB11G12880 transcript:OB11G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVFVTTGRATRLYAGPGVVGASAIAGLRAPLPPFCYPESAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIVVPGLPKGFNEVDLVAVGIILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDARNLTRPADPERNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGRSGWGWVSNVIGGGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVHPRTATPVNASAFLGVFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYAAGGGAAWRTLAFLAAFSLAALCFTLLWHVHASYDAEEGGEAAALDGAKVLDDDCKYFRFKVFFLWCKEIQEANKVTAGRRCCRLQGYDYHLTRQESNMEKKKEGKKFTC >OB11G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1732164:1740319:-1 gene:OB11G12890 transcript:OB11G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDASGSWTMNLCGSPVCSKKDVVSCVLKELMDSSTCMNHVVVIGIVAVITVALALQLLVKIPRSRASARQLVAFDSPLQLAAVVLNGCLGLLYIGLGLWMLGISFSQDALSYRPHWWLMILAQGFSLILASVSFSIRPRFLGATFVRFWSVGLTIYAAFICCCSVVYMVGDKEVTFKACLDVLLLPGALILLLYAIWHGHDDDGYETNENALYKPLNTDRDHDTADSEGHVTPFAKAGFFSVMSFWWLNPLMKMGYDNPLEDKDMPLLGTTDRAHNLYLKFLEKLNSKKQVQPHATPSVFWTIVSCHKSGIVISGIFALLKVLTISSGPLLLKAFINVTLGKGTFKYEGIVVAVTLFFCKCCESLSQRQWFFRTRRLGLQVRSFLSAAIYKKQQKLSNLGKMKHSSGEILNYVTVDAYRIGEFPYWFHQTWTTSFQLFIALAILYNAVGLAMLSSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVESKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQEPIRQIPDVIGVMIQAKVAFTRVEKFLDAPELNGQCRNKHRVVTEYPIALNSCSFSWDENPSKQTLKNINLLVKSGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADVYLLDDPFSAVDAHTASNLFNEYVMGALSDKTVILVTHQVDFLPVFDSILLMSDGEILRSAPYQDLLAYCHEFQDLVNAHKDTIGVSDLNYMPLHRAKEISTKEMDDIHGSRYVESVKPSQADQLIKIEEREIGDTGLKPYILYMRQNKGFLYASLAVISQTVFICAQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCSMFFLISRSLSIVALGMQTSRSIYSQLLNSLFRAPMSFFDSTPLGRILSRVSSDLNIVDLDVPFFFMFCMNASLNAYSNLGVLAVVTWQVLFVSVPMIILGIRLQRYYLASAKELMRINGTTKSTLANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGYVGMALSYGLSLNVSFFLSIQLQCNLANQIISVERVNQYMDITSEAAEVIEENRPAPDWPQVGKVELRNLKIKYRQDSPLVLRGITCTFQGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEEGSNWSMGQRQLFCLGRALLRRCHILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCNMVLAMRDGKVVEYDQPMKLMETEGSLFRDLVKEYWSYASTGNI >OB11G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1747985:1749152:1 gene:OB11G12900 transcript:OB11G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHVSGWNRVPKGAAQSEVCNLIAGRGENCIGMNKAERDLRSQDWGDLRSIVINGEDHD >OB11G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1751026:1751436:-1 gene:OB11G12910 transcript:OB11G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:J3MFG2] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OB11G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1756889:1757992:-1 gene:OB11G12920 transcript:OB11G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNPLFSQEHPQAWPWGVAMYASFHYHHHYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLAGDAADKGLILSLEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVYFERVRGSFGVGDRLFIGCRRRGDAAQTPAPPAVRVVPAAQNAGEQQPWSPMCYSTSGSYPTSPANSYAYRRVGEHDHGDMHHAEESPRDTESRSPSFSAGSAPSRRLRLFGVNLDCGPEPEADATEATAMYGYMHQQSSFAAMSAVPSYWGSS >OB11G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1761394:1761597:1 gene:OB11G12930 transcript:OB11G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHLNGIEYKIIQDEGPHANASQFFIVVVSVPFHKTMHGACMFCQTHVDNLVNSCAACYSLSFLGA >OB11G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1761904:1762149:-1 gene:OB11G12940 transcript:OB11G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSPRHLRSQSRQSSPVREKSSSLDHLEYAFSRTRAEICQKDRKILAAQVQKLRQRINNSSCKMMLTSIPARTWSPNIQTV >OB11G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1762059:1762843:1 gene:OB11G12950 transcript:OB11G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPDDLNWSFSRALVKTDVIEIGGDEEMMGERNSIKRTLVKRWPTKLERRRWVPSAPLTTQGSKRGWS >OB11G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1768317:1773822:-1 gene:OB11G12960 transcript:OB11G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDHRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVNGTFWGGRAAPVFVYAGNEGEIELFANNTGLMWETAPRFRAMLVFVEHRYYGDSMPAAAYSNSTTTGYLTTTQALADFAELILSLKANLSAHAAPVVVFGGSYGGMLAAWMRMKYPHIVMGAVASSAPILGFYGLSDPYSFYDVISNDFKSESQHCYDVLRSSWSELDKALATDAGRAQLTHTFNMCKGNVDAIPGLLENALVYVAMTDYPTPSSFLTSLPAYPVRQICRAIDQPTSGNETLARIKEAMAIYYNHTGGLACFGGAGDEDPYGMLAGWNWQACTEMVLTMSYGVSGSSIFPPEPFNFTDVLAGCRAYTGLPPRPYWIEAHFGGFDIVNVLKRSASNIIFFNGLRDPWSAGGVLKSISKSIIALVEPEGGHHVDLRFSTKEDPEWLKKVRRKEMRIIADWLRQYYSEEAIA >OB11G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1781501:1782571:1 gene:OB11G12970 transcript:OB11G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLASVFSRLVVGEAVGAATASPSPSPSPAPWSWPSCGSNPRTVSSRHDDGDHQASCSDAGAAAAVARSRRRMAAGEMYKTVNSVYFDYADADGYSCFDDEEGAVDDDDDDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPGPPTTNSILAVAAAASEAKMFMASSAAPPPLLASLSTCQCHNDVGGEKEKLPEPPASLVEESVAVAVESDDPYHDFRSSMEEMVAAHGLRGWDALEELLVWYLRVNGKHNHALIVSAFVDLLISLAAAAATTTTSSGRSTASTSTACDVTTTTSPTSATTTTTTEPCRCGGGSSGASSDDLEEEEEEKKASGDLSRSRSRSSIAPSTLAAA >OB11G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1788754:1789041:1 gene:OB11G12980 transcript:OB11G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSYVHDDTSAMTQNRSCHELGETSSAHRSHLDTQTHPTLLINSSLINHILNYIAKNILAITKSSCLINYLSLHTWSPSCKTKGHGRTHSHTTS >OB11G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1789316:1789498:-1 gene:OB11G12990 transcript:OB11G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMGLCHWDDLRSMLRRLLALNAPAHHAAILTAFAEVCAQLAAPSPPPPPPAYGHPRR >OB11G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1806275:1810198:1 gene:OB11G13000 transcript:OB11G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3N664] MLGELISKILLVLFGYAMPAFECFKTLETRPDDATMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEASNSEQQRSSWSSWTPFASRRREPSPPPRERRFSGSDPDDAPPPPAIADVLRASLGGAMPRRPHNNN >OB11G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1810893:1811557:-1 gene:OB11G13010 transcript:OB11G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSAIFRFDLTPLDPLVLHNPAVAESPSPARKRCRLGGPVAMNGESGGGASVTSAAAASTSGGEFDLRHWRAGTGAKRAGSGMRRRWAPPEIEIPGGGGGGARGYTSLRDILSSPEYAASSSSFSPAVFCAGGGGSCGDIHMIRHPLVKHAAYAYLQMTPSARDDPGRRHRRRWRGPLCRLLLGCLGFVGALFGR >OB11G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1821141:1823349:1 gene:OB11G13020 transcript:OB11G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGFSSSQVKANVEKTVASLDHANAPSGGGHAGSPNSGHGRPKDSSRARVDDDAMRVLDCMASGRKRCVVGVGEGVAAAEAVVKAVMDRVSKAELHHRHECLKNLQFVPLSVASFHGAPREEVEAKAGDLRALVRSGCAAGKGVVLVLEDLAYAAEAWAASSNNMRRRTSLGGGGQSYCPVEHAVMEVSSLVSGGGAARGVDRFWVLGFGSYPVYMKCRAGQPPLESVWELHPVVVPDGGLALSLGCSEEAPQATQATGPATGWPFVNGAGQAAAATLQDLWNPMRMNGSAPQQYTSELTLSFSSPSPSSTSGFTGCYNANTVSSKPWQLEARRQPWPILHGHQGRQTMATAYHDQPLDSTNPSPDQSNSVSNSSDGGEPKRARFTELNAENLKILCNALESHVPRHGDIVPDIASTVLQCRSGMRKTKASSATWLMFQGRDGDGKKAMAQELARLVFGSYADLTSISADELASPYSDSSSGEHTLKRQRSPDGGGSSGHGCAQRLSEAVRKNTHQVIVIDDIQQLGHDSEATLKKAIASGRMRACNGDEIDLDDAIIVLSCEEEFDSRSRASSPRVRQKLMNTNDDEESSSSSEKESESSPRCFSLDLNACLEDEEGDDQSFLLDNGVGIHEIVDGVFFFGLMGDL >OB11G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1836491:1839004:-1 gene:OB11G13030 transcript:OB11G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIIHPRFHPEGLQVCPPATLEEVFVSMFDYMDRLIRIVKPTSLLYVMHPCIDLNLIQETEEMILRERFRVEGKEVLPREASSSRDPNVITPGTEFMEKLSQALKYYVRARLSSDPLWSNIKVILSDANVPGEGEHKIMSFIRAQRGREGYDPNTRHCLYGLDADLIMLALASHEVHFSILREFLNIWVLREYLELDMKIPNPVQQLDIERLIDDFVFICFLTGNDFIAHVPSLEINEGAVDLIIEVYKASFNKMGGYIVDTDKVKDKHAAFLKVSRLEKFFHELSLYEEKIFQKRYRL >OB11G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1842853:1848563:1 gene:OB11G13040 transcript:OB11G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQTSDSSHHGIVENSPYRTPYDRYAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVGPPASQGNDTEGSSASVVNQRAPGKAPGVSEEPPAHDNHLASRQSSVSGHQVYDHPHPERNEGRDGAANSSEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGATRLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >OB11G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1849728:1849892:-1 gene:OB11G13050 transcript:OB11G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSNQIKEREKGVFFFNKDLFFEVSRCSFSSEPAKLVVVVVVVVAVVTDDLAF >OB11G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1850593:1851219:-1 gene:OB11G13060 transcript:OB11G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGDDDVRQACRRLLAVLLGLAFVVAIIALIVYLVLRPTHPRFFLQDATLRQLDGSNASALLSTSIQVTLASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPPVYQGHGDVDVWSPVLAGPNVPFAPYLGDALAKDLASGYLILQVKVDGRVRWKVGSWISGHYHLFVTCPAFFITSGGNGYPGASGLKFQTATYCRVEV >OB11G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1860581:1861159:-1 gene:OB11G13070 transcript:OB11G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXLLGLVVAAFLLLGAATFIVWLLLRPRVPAFSLASLSLSRVNYSAANSSLSASFDAALLAANPNSKLTVTYFSPLASVSLAPSSPIAVATLPPFAQPPRNTTTLAFRLEVDGAYVGSDDAAPLKGGGVGTMQVQVRLAAVAVFDRGGWRTRRRVMRVMCDGVPVTFHGKNGTEAAFNGPARRCDVLL >OB11G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1865286:1870783:-1 gene:OB11G13080 transcript:OB11G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAALPRTVEALERRATMLRDSLQRSQGNTEGMVTILGSFDHRLSGLEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSSNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDGDRGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLVQAGNQQSCHKVYRESRGSALELSLQKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIGVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMRELQSEMEVIFEGQSCSEMRKASLSLTKRLAQTAQETFADFEDAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLTIVTMRIMQALQNNLDGKSKQYRDPALTNLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSIQGAGSTGSSTASDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSAEMVEQLLGEFFEGQQWGDQKR >OB11G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1884790:1889375:1 gene:OB11G13090 transcript:OB11G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEVMRGRAYAFDLVLTEVTMPTLSGIELLSRIVAADECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNASENNAASNHLSANADNGSKTGENSDEESDAQSSGSKREVEIQSVEKLPEVVADSGVGSSRGLKLQNGSINGLNTKSHALKGNDDAPSGNLCGDSEPQMLSTEKNVCSKFLNGITSAKVAGQIMDNALRFADASLLRSSDPGKDLLAVAKTTADRKCKSSAMGNNAVMENNLSENSKGAATDHAESCPSHFVEINLENQHHPNGHANHKLKDKDIFNHSNSSAFSRYGNKRIESSAHRPFSPSFHMAYQQTVYDKNPQSSHVLLSHEHNTHERTVQAHLPLDNSTEGAAILCSSSVREDAGTSSSSPRKDCLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQAAFMQSDSAAINKTAVQHASCQSNYHENLGEQSQIDEHKQPEENHQLHHSRQILRESGEPTDFARAHMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSAITTEAETD >OB11G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1893511:1898753:-1 gene:OB11G13100 transcript:OB11G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSSTPPSFLGYPSYYAAGGFRAPAYGMGARGELLVTASGGAAAXXXXVWETVAEPGHWFYVPPSSGQSGGSIMINISAATAGSFGTQSSGTGVFLPEPRIRPAVPSVQQPTSLLPAETDRDGNSNTGLKTDDAGKGSEIQQKSYAAVVKGGGPSQEAEAMGAGPSHEAVRARPSQHGHRLSWKKKKKTKKQAATAPAPEEEEEATAATVDDFPELALLPEEWVY >OB11G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1903253:1903914:-1 gene:OB11G13110 transcript:OB11G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADCIYVREQQQLEAAAVVHRLLPQEILVEIGIADHAAAAARARRQRSSHAVVEELAARLVGILGLTSTVGGSVGERPPAPPPASAAPPYSSHHHHPHVRGQQHLSGDGAGLMAPSLHHARGFGTRQTLPPPFAGTGVFLPRAEVYLTRSASSNPPRINGAKPPRMLRKEAAVRNWIN >OB11G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1905755:1906971:1 gene:OB11G13120 transcript:OB11G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGDRVVIVGGGIAGALLARTLQNHADVVLIDPKEYFEIPWANLRAKMEPAAVERTVIPHTEYLTNAKVVTASAVGVDDSVVLTSVGCTVAYDFLVVATGRAMCTRPQKREERLQTFEQDKARIASAESVLVVGGGPIGVELAAEIVMGNPEKRVTIVHGGPRLLMVMGEKASAKALEWLRSKNVTVLLDQTVDVAAAGVSPDDKVFTTSAGETVAADCHFVCTGRPIASGWLRDSFLGDHVDENGRVVVDEHLRVGKLRNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLKLLVKGGESREHKLHRYKASKVNITITLGRRDALSELPFMTVIGHLPGAIKPRDHFISRTRRMMGLKLSARHDQSMFRI >OB11G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1909120:1910150:-1 gene:OB11G13130 transcript:OB11G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRAFFPFTAHPFLYHYPSALPHGFGTHGELLIWVFNGGNNTMVQLVAESGQWFNLPPSEMQPFGAIAIDIEVFLRMNGGTDTFSPTPSYPMDYRMDQAPISVPPVTPLTTEEDVRSPANQRFEFNTTCNNMEIKKHDDALAEASPSKQNETKPLQKDKGLATSVAKEDYFPELALLPDEWEY >OB11G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1912690:1913216:1 gene:OB11G13140 transcript:OB11G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHYAVLLRRAAALPSLPLVASLHAAALRRSAVLAPSLIHQRTLSARTALASAMSAHGRCREVLGLFVGPEEGEEMDDKAVTAVLAACARAGMVDEGRRVFATVRRPALQHYTCMVELLGRAGEVDEAEVLVARMEARPDRVICAALLAACRVHGRVDVAERVHGLMRHHGIA >OB11G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1915795:1920670:1 gene:OB11G13150 transcript:OB11G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGVDTRPAPAAGEGALSFLSRGIREDLRLIRARAGELETFLTAPVPEPELFARLRRAYSSSASTRLDLSAIGKAFVGTDVVGRGRSGGRWGWEEVEAEWEPIRMVKARLRELERRRQGQATDMLHKVKLSLKSMSFVPESAEEVPPLDLGELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHLTYNSFSASEPSAFSNDNAGDELDLRIASVLQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPQEQEAYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQQAFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSHEVQSAAMKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVAKVKEAMARDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSNMDCTSTSGCGKSGDSKMKKSASLPNMSGIVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVQNPIYGW >OB11G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1929436:1929648:-1 gene:OB11G13160 transcript:OB11G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAAACPLLRVCDFFSLAFFALLRFFVAFLVYTVFIDLASLFLKSICPLQLPRQPSFPPRGAKWGIR >OB11G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1930000:1936385:1 gene:OB11G13170 transcript:OB11G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHTSFPAGSEASEALPTDVGCAADTMTMCSLVKKVMASQVHVVGEGTVGRAAFTGNHEWIIHGTAKDHGLPSEVAAEMSNQFRVGIQTIAIIPVLPRGVLQLGSTRVVMENKSLMTHAKKLCSQLNNRSSMAVSSSVKTASNHQGRSRPSHGASNVQSTENCSKKFSQFPVTYEQYSHPDTTAVSGSTSLNACMNASTLKIAQLNGQAVRDHISYSKPDVRFIQQAYREGQLVSNAQSIAMSSDLISSSLASGQKQPLFMNNIGQLEFCDGADTSADLHRNALLKPLVCLDSFIHENRNINISHGIAEVSNGINGHGNFDYLLGGARLVRANLSTSATSQVLDQRNHSVSGMLLHRQPLVSCELPQSSELSAKMGSLERGSFQIPSALSSESDFQISNGLNANISQENQFSVSNHICQDQNINRVNDLSATLSTQKMNNMDGCRPPSLSVGKTSPLFVEQSVENDLFDILGPQFHHLCHNVGADLVPWTDAKPESSDRDVPESSIHVDSSLLFSSRDNELYSGMFSLTDSDQLLDAVISNVNPAGKQSSDDSASCKTSLTDIPGTSYLSSKEMKQCGSSGIPSALIKHESGQFIKQPCLTENAEDGCLSQNNGMHKSQIRLWIESGKNMKCESASASNSKGLDTPNKSNRKRSRPGESPRPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPHQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRNVTRMEIFLSLVRLLEPNCDSTGAADNANNVNMPLGLVRQPVIPATGRIQ >OB11G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1935782:1940902:-1 gene:OB11G13180 transcript:OB11G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQSDTDGLQTLYLMNPSYAGYSDAAAAAAQPGAANMMLLNSAVTSMTPVSFGHQPSPSSAAQHFVGIPPQPQASGYNLWTSAATGADDMSPPSQQAPGGGAAAGQMVMSSKYLKAAQELLDEVVSVSKGVDDGKAAAAAKSPTSVKKKEDSEGVSGGGTEDGGGGAKRSGAAPPPEMSTAERQELQMKKGKLINMLDEVEQRYRQYHQQMQVVVTSFEAVAGGGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEAEADGGGGGGRSMGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQEGAGDEGKPGGSKGSNAGVNGVVVDSAGKVENKAARVAESGGGVHASLLELAGDHQAQPGFYEDDDEEDGGGGAAVLQQKLKKARTAEEQQQQTAAFHKILADPIIDGFSQIMSTS >OB11G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1938167:1938595:1 gene:OB11G13190 transcript:OB11G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEERHTGGGSVRRARRGGWRRSARGGRAAAATRCSASSPAAAARAAAGAGGRCTGAATPCCAPRRRRRPPPPPRAPGSPPSPAPRSRPSGTGTAARSSAAPAPCTSSPTRRRPPPRTTSPPPASAGGTACTAAPPACMHI >OB11G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1939824:1940291:1 gene:OB11G13200 transcript:OB11G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLPFFICSSCRSAVDISGGGAAPLRFAPPPPSSVPPPDTPSESSFFFTEVGLFAAAAAFPSSTPLLTLTTSSSSSCAALRYLELITICPCDVVVGTGAPYFPSSPATTAATVTGGAPPGGXXXXXXXXXXXXXXXXXGRVVAGGVRAPQAVP >OB11G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1956686:1956868:-1 gene:OB11G13210 transcript:OB11G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACRPFAVSLSTRLRKYYIYANCQARLSQAYGVSSEYKCGYCLGKTLSMRAWCVTVCPHG >OB11G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1957459:1965407:-1 gene:OB11G13220 transcript:OB11G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRRAMMSVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTYLSQVSKRQLSANDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDVFRKNQSQIGVNVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGVLALVLRPQDAQSPLVRCFSRELLTCLVLQPVINFASPIYMNELIVYLLNNKDTNSGGGNLDKSNSAGTVTNSHSSNKGGSLGCQVGSTNLSQESSVLIPANSSIRSLGTHDGDKSKMSKIDHESATQSRQPDWAVGLDAATKRRSEVLAPENLENMWAIGRNYQKKMVKIEHSSRGKSSGADNTPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVLQDTDEAKPKGGSQSSKEKPCETINNTKAQLKRCNSTPDIEKRYLSKSNQAMISSEILNARKNQDDKSSYPASHGEVLLYAPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKTWSVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHRRCILLDKYLQDLLSIANIAEQHEVWDFLSATSKNYSAGNSTSVMKTLAVNVDDAMDDIVRQFKGVSVGLKRAAGTSPSSATSSQLAENRMSLSWNQEETDNHNLHHRNLSGAHSLSDGDSNYEDPPSSVNSASQSDNELNNSGYGSNDIKLNEAYSGFDAQESQQTEKPTRAYSDSSTMSSQNTFEDPTGIPPEWTPTNVSVHLLNLVDKVFQLKQRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRREEIIVQGTRWIQATLWPNGIFFTRLDGYQGNAGPSQFDKNLSTSANQATGIRKDSSSSFEHQLEVSRNASEVKKLLLGGTPSTLVSIIGYNQYRRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >OB11G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1967952:1975047:-1 gene:OB11G13230 transcript:OB11G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRLLASHWRLLLLFLLPALGVAAFAFAVDANDRARQLYERRLRDPLEKAEFAFIWLGSMYFVLPMIAFYVVEDWSKVLYDCFTPMALWVDAMYSGIVITFHIFFIGITPESYSKVLIEYGGFAIQLVAIFVYVMLKSGDKNCIASQVHMKALPLSEARQQSLLLLLVFKIFILAAIMQLQFKLTFPEGHNVIASALCYTAMALQIKGAEGFENDEPRVRKVKITATIIKIVVLSYELWIENMRGGHEHSELKSSLRAMTITSIVVNCMLFIARYIGPRVFRDCIFPSEGQKETRKQYFARTLSTLHTRED >OB11G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1982989:1984576:-1 gene:OB11G13240 transcript:OB11G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRDNGTAAVHGDNGEEKTTKKKVVTCGYICDDPVIFFVPWGGGMRKDVAYGMWLLSLVYAVLSLYLLLWRVPGDQPLTWVCAFSLLLCSYMFFWIISLSAAITKLAAFTGITYGVLLASAAGQVLGPVAGMAAVVLDLIITTGVLGHAVAEHRQRTGSEKAAAAQLTWELTAGEDEGFYVDFLPSFVVLGASSVFALAGAAWLVVDVVDRLPPDDPVAAAAAVFDVSLGTWIVSCQWSMLLFTAVLREPFAVINEGNTVSCMATACSAGLFMLAALLLAVFVCKIPGILVVLLSPMAMTGFLGYLVAVYSHYRSLRGKLQLKKPEHDGKLQVKSPEHAGKLEVKIES >OB11G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1985051:1988819:1 gene:OB11G13250 transcript:OB11G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKHELQWKRQFLEPDFGGRNRCSLEDAFWQFSPDCTGLLSSPVLGGLLDIGTPASELSETTPSSGFLPAQKTPSTGDSISPFSLIVKRASQSLSSRGLKSLCSQQGLGSSSYGLAADPTAISNESWNSKCSGLTRTCSRPLTKMDPVVECLEMMTLSPRPGDPDYCEHGALPAPLPDLSFQFSGAPTPLESIDLTSFKRSPCGIELKGKCASLQKLVPAETRISWREGLVSRMFDMGDLDCCKWWSDDEDGPYVQHSDDSKFQPVSPSCLQECGDQMAACGFGSVEFDYSCSEMYNAKPSPNPVSVAESMRAEGFELVSSDDSDWTLLYKNGLFET >OB11G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1991258:1991980:1 gene:OB11G13260 transcript:OB11G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRSASLPSRLHSTESNVEEELQSLKACFAAPSATIGTMSDGLRRLGDVYRSIEEIMLLPRNQAGLSLHQQKQMVEEELDRSLVLIDLCHAMQESMAELKMSIHELQLALKRGDAVAAQNKIESFVRLAKKAQKPFRKTSIRATSEGCRMVRLLEEAREMAISLLESTSLLLPKQIGNNNSSKWSLVSKRFQKRKVVCEEEQLQALEHSMADVESGAEFLFRRLIQIRVSLLNILSS >OB11G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:1994824:1998295:-1 gene:OB11G13270 transcript:OB11G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPEAAAADFVADEQPEPPDEDPDVAEADPTGRYLRYKEIIGSGSSKTVYKAFDAVDGIEVAWGKVEINDRIMGSSKELQRLCTEIQLLKSLKHKHILKLYASWVDTRNRTVNIVTELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLEYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTQSIQGTVEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFVQIYKKITEGVKPVALSKVKDAELRNFIESCLTSVSDRLPASELLKSPFLQKDGANEQSSNPVQEQVKFTENSSLDSKDVPIFVSLVPNDTVNGKEKSFSLVLQKGDFVLEGNMSNTNPVMLFLRFPSPPDGKFKNVQFCFDMEKDTSLSVSSEMVEQLELPEWNPAFPELIDAFLLHIFPSWKPCVKVGKMLPSSS >OB11G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2002958:2003209:1 gene:OB11G13280 transcript:OB11G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQQMAAAPAVPRPPSADAGMAAPRQQVAASAAIPVPPSADAGMAAPGRQPVATTAAVPRQRRRAGGEDHQGRRSARVIA >OB11G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2003013:2003207:-1 gene:OB11G13290 transcript:OB11G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVHFFFLGDLRRRHVVAAVERRQWWPLVAARVRPCRRRRRVGREWRQRRPLVAWVRPCRRRR >OB11G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2007852:2011759:1 gene:OB11G13300 transcript:OB11G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLDAAFFEGLPFQGGIGGGAGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELSLLDPKRAKRILANRQSAARSKERKIKYTSELEKKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNSFNGGMQHQQQQMPTYFSQPQQMHYLGGHQGRHHHPNNSHSSLNGGQSMSGQTLNDSMDFM >OB11G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2016641:2018041:1 gene:OB11G13310 transcript:OB11G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISYPCSPLLSFPTHEESIYSIWPSQASLHENANLHADPCSDQQKDLDSLDTMAIDSNELHHQHDAPDVDVPVSCGDRVLGQENGNLAAIQEELLEEDSLSDLLLAGAEAVEAGDSILASIAFLRLDDFLSGVPENSAASSFDRLAYHFDQGLRSRMSSACNGCYQPQPLPSGNMLVHQIIQELSPFVKFANFTTNQAILDATVGDMDVHVVDLNIGEGIQWSSLMSDLARCGGKSFRLTTVTGDCNDSTHDTAVRLLSEFAESLELPFQYNSIFMHNEEELHAFSEDCKGSVIVSCDTTSLYYKPLSTLQNVLLVFVKKLQPKLVVTVEEDLVRIGRGASPFSTCFVEFFFEALHHFTTVFESLASCFSGSNYETCLRLVEMELMGPRIQDFVGNYGSVRVEANASEVLEGFRACELSACNIAQARMLVGLFNRVFGVVCEKGRLALCWKSRPLISVSVWIPV >OB11G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2018740:2020233:1 gene:OB11G13320 transcript:OB11G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAAASDLISRFISSVAQNYSNHASKADDRARLERVLLRMHSVVEEADGRHITNRGMLQQLKGLMEGFYIGCYKLDKIKFQPPEEEESIEDEVSHEIQSLGLPAFKRFRFADAIRKHTPVAFGSRSTRNLKDVVEGLETKIADMREFVILLGSYPRLPRQPYSTYLFMEQCMFGRRIEKEQVINFLLCNEPHDPLVSILPIIGPRNIGKKTVVQHACQDERVRNFFSHILFFQEDDLKIGELSVNSKASPGKYLFVIEFIWDVVDEAAWTKFQSYLQNMKATGIKVVVLSRTEDIAKFGTSQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPRLASLAMQVANEMNGSFIGASLIGDVLRANPNTQFWKRILLKLRELRQKNMSSRGLHPEDLLGRNIPYDIGTLFCMGGQVQDYLVYDLRVAGPAQSELPNWTSREMLLGGDVPVEDKFDVLLWKSRIPPYCAYIVTHEKRKSQCSVGKRKHLALRKYKQKS >OB11G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2023872:2025368:1 gene:OB11G13330 transcript:OB11G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILISAVAGDLISRFISSVAQNHSNHKWKGDDRTRLERILLRMHSVVEEAEGRHITNRGMLLQLKGLMEGFHVGCYVLDKIKFQPPEEEEEESIEDEVSHGAQTFASSTFKRFRFADAVRKRAPVAFGSRSTRNLKDVVDGLETKIADMREFVILLGSYPRLPRQPYSTYLFMEQCMFGRHIEKEQVINFLLCNDPHEPFVSVLPIIGPRNIGKKTLVQHACQDERVCSFFSHILFFTEDDLNIGELSVNSKASPGKHLFVIEFIWDVDEAAWTKFQSYLQNTKATGIKVVVLSRTEDIAKFGTSQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPRLASLAMQLAAEMKGAFLAATVFGQLLRDNPSTEFWETILLSLREVAQKHLSAIGAHPIDLFDRNIPVDFPRIAFVGNQVQGCLVYDLRTAGPGQTELPKLTSQDMILGAHVPDGDKFDVLVWKSRIPPYSNYIVTYEKRKPLRMVGRKTIKHCENKSAKS >OB11G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2028232:2028553:-1 gene:OB11G13340 transcript:OB11G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWLATDTKFQAWPRTAGPPVIMNPVSRQNFIVRSTE >OB11G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2032330:2033470:1 gene:OB11G13350 transcript:OB11G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVSAVAGELISRLISSLAQNLSNHACDEEQDRRRLERVLLRMHSVVEQRSSWIGTKTLVQHACQDERLRSFFSHILFFKEDDLNIGELSVNSKASPGKYLFVIEFIWDVDEAAWTKFQSYLQNTKATGIKVVVLSRTEDIVKFGTSQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPRLASLAMQVANEMNGSFLGANILGELLRANPDTQFWQSILSSLRELIQKHLFSSGVHPEDLLEKNTPVDFTRVAFVGSQAQGCLVYDLREANPAQSELPKLTSREILLGGNTPAEEKFDVLVWKSRIPPYCDYIATFEKQKPRRMVGKRSTIYHSFGGKFYKEITLSIQCSFTRLALTTIGIIRTV >OB11G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2035758:2037230:-1 gene:OB11G13360 transcript:OB11G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAAASDLISRFISSVAQNYSNHASKADDRARLERVLLRMHSVVEEADGRHITNRGILLQLKGLMEGFYVGCYKLDKIKFQPPPEEEEGIEDEVSHEVQSAFKRFRFADAIRKHTPVAFGSRSTRTLKDVVEGLETKIADMREFVILLGSYPCVPRQPYSTFLFMEQCMFGRRVEKEQVINFLLCSDPHEPFVSVLPIIGPRNIGKKTLVQHACQDERVRNFFSHILFFKEDDLKIGELSVNSKASPGKYLFVIEFIWDVVDEAAWTKFQSYLQNMKATGIKVVVLSRTEDIAKFGTSQPIRLKRLSEEGYWYYFKALAFGSMDPDEHPRLASLAMQVANEMNGSFIGATIIGDVLRANPNTQLWKRMLLMLTQLRQKHMSSSGLHPTDLLGRNIPYDFSLGGQVQDYLVYDLRVADPAQSELPNWTWREMLLGGDVPVEDKFDVLIWKSRIPPYCAYIVTHEKRKPRCSVGKRKHLALRKYKQKS >OB11G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2039803:2043657:-1 gene:OB11G13370 transcript:OB11G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 [Source:Projected from Arabidopsis thaliana (AT3G18770) TAIR;Acc:AT3G18770] MASTAAAAEPPMEEQVITEFFAKSLHIILESRSPYESSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCRDSTSNSSAGSGNIIERWVIQYETHRSGGGNGNGSKNNGRKSRNSSAEDHSLYRTTYQGSTILLRSLHLVVRLLPAYSLFRELNSSGRICPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVMAASEPTSPMPTEIIKDYVGSPTTDFLKKFNSLPSVGIAPACAAMTRRHSWSIEHGAGASMSPSPSPTNSEPRGSPHPNIPLRVSLKTCSHPQNASSSGQKKYTPFEECYPSPPLSPSPSHSPSTSYPRNPLFRYESAPVIIPKSGGGGSGLPLSPCSKGKHQFSPHNDNLAPSPDDNSNARKDLVRFGELEKDKTLQKVLSYSKYDLGYFHGLKLSRTSSKLFIMDELDEQELAFAREDRDTIIDQLNRVDITDRGEQRMNQDAGGSSTRSPAAAIGALVRLLKTAPSLREGLQSDAAPVVPQEPSSVQKVVTEEHGNTTSSSAKARTAADALEELKKYREIKESLLNRGKSQLGDTELGETHTDGEP >OB11G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2053595:2053834:1 gene:OB11G13380 transcript:OB11G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPATARPGEQPPPLSLSLSTQARPLSHLSPAAHARAHFFSLQFGGTSTTLASVLLDLEASLLIWLDPDASSGLGVR >OB11G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2053738:2056045:1 gene:OB11G13390 transcript:OB11G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >OB11G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2054410:2054825:-1 gene:OB11G13400 transcript:OB11G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGISFIDWHGVTDTITRIQHNTCSTSTGIQGEHGLDSDIHSWHIEGLEHDLGHFLPVSLGVERGLGQQHRVLFRRNTELVVEGVMPDLLHVIPVANNTMFDRVL >OB11G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2063336:2064637:1 gene:OB11G13410 transcript:OB11G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLPPGNMMQGPPCGSLDFPMQTNPPHSGNQVFNQPQIPGNFIIPMDRATGPDNISDGVQLGQGGNISHHHHHKHRSKNCGSDNEEHDMNEDAVDPQTGKDKKGTAWHRMKWTDSMVKLLITAVSYTGEDPGADFGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACDVVENHTLLDHMDISEKMKEDARKILSSKHLFYEEMCSYHNNNHMNLPEDPALQQSLMFALRCKDDNDLRRHARGDAEQDDDQSEDSDYEENDEAVDTSIRGSSMHKRMWDVVDHGDVGFVTSCSNDGSGRSAPYDTILDINKTFPDGTDLALVQKDLALKAAEIQKHRLQIETKAVQLAKQRLKWERFRESKDRELEMMALENEQMMLENKRFELDLRNQELELEIKIKGNDNRA >OB11G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2067974:2071341:1 gene:OB11G13420 transcript:OB11G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3N6A6] MSFRSIVRDVRDGFGSLSRRGFEVAILGHRRGKSHGAVHESHDPVPVIQSTCWASLPPELLRDIIERLEASEATWPSRKHVVACAGVCRTWREMCKEIVKNIEFCGKITFPISLKQPGPRDGTIQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNCRATCTEYVISMNANNISRSTNTYIGKLRSNFLGTKFVIYDTHPPYNATSVSQPGKTSRRFYSNKGTAKLPCSTYNIANISYELNVFGTRGPRRMRCLMHSIPSSSLDAGGSVPCQPDGVLAHSLNESSFRSVSFLKSSIMDHSMHFSSARFSDFSVGDGPRIGGRELCNDEECKETPLILHNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >OB11G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2078538:2079302:-1 gene:OB11G13430 transcript:OB11G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVAPGGGGEPGARRRGPGGGRQRRAPMSRGGVDSPWSTLAPRVPPRARAATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEALPPPHLVDAVAGGVAHVERLHRALVEIPHHLLRRRRQRPAAPRQGHNDPELLLAQQERLKQPPDPLNRQKPILPSPSPKLSEMQGHVPLERLQVGLLAG >OB11G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2079188:2079608:1 gene:OB11G13440 transcript:OB11G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLHLATWALVAAVPRPGRAAALQAHHLPPAPPLAAFPWVPTEEGKRKDRRNSCGLLKEIHVLEKCTQRLAEAIDAAPVPLSGEREAEVQEAAAELAAVCAAMKAGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >OB11G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2082950:2083950:-1 gene:OB11G13450 transcript:OB11G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVKIGPFGGTGGRMMDINPNHVPAQLNSIMIWHNEPSGIITAISFRYTTDQGNTFTVPDKPPNVWGDQRSSQPHTIEIDNDEDEYVTKIEGSHNGTHLSSLRITTNKKTSRWFGNQSKGHQHQFSVPLHTGGILGFFVRASTWINAIGVYVGPIDQ >OB11G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2085836:2087011:1 gene:OB11G13460 transcript:OB11G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWESLESEVATWIRAFRHTINVGLSGEHDLCARVFAGCDDPAIGRAIFVDLARCVMLQMLNFTEAVAMTKRAAEKLFKVLDMYEAIRDASPVIDAFLSAEPADDGRNSTALTDLKAELNSVRSRLGETAAAIFRELENSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYKSTLEQVFREHHRADADDDDEASNPFAAQLMEVMELLHGNLEAKSRLYKDPSLCSIFLMNNGRYMLQKIRGSPEINEVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQHFSAGRQTEKYIKLSAEDLEAIIDELFDGNAISMPRRRN >OB11G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2088488:2089513:-1 gene:OB11G13470 transcript:OB11G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKKHHLFHRKKDEEEERPVGDQYGAGEGVYTAETVTTEVVTTGQDEYERYKKEEKQHKHKQHLGEAGAIAAGAFALYEKHEAKKDPENAHRHKITEEIAATAGGGGRGAAPAATPSTSATRRRRTARAPRRPPARRSTTSSADRPLRLRRVGRPAT >OB11G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2099308:2100124:-1 gene:OB11G13480 transcript:OB11G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGDYKLWAAKMKIFMRAQGVWAAVEGDEAVDERRDQMALAAIVQAVLEAMVLAIAEKEMASEAWEALKEMHIEEDRVRKANVQTLKREFERMYMGDAETIVVNKICALGGKVEETTVVEKFLHTVPDKFQHIVSLIEQWGDVMKMTMAEAIGRLKAYEALMAGWCRDKEGEQLLLATCDEPRLTRAEWEAKVAEEKRSEGGSDNDGIGGDKKKHRGKFDKTKVHCYKYQEYGYFADECREAKMEKALLVTTNVDHESMLL >OB11G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2103093:2104493:1 gene:OB11G13490 transcript:OB11G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3N6B3] MSSEIALDSSCRKVKVCVVGAGVSGLAAARELRREGHDVAVLEQSGGVGGQWLYDAATDGGDPLGLAGVHSSIFASLRLNSPGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSSSPRDGWRPWTVRSKHGEVEAEEVFDAVVVAVGHHSQPRLPVINGMARWRRKQLHSHSYRIPDSFHGEVVVIVGCSISGKDIALELRRVAKEVHLSAKSPEEAMASPAMSKMLARHDNLHLRPQITRLCEDGTVVFADGSRVVADAVVYCTGYSYTYPFLDTGGEVTVDDNCVGPLFEHVFPSSLAPSLSFVGIPAMVPVPLFYEAQARWVAQVLSGRRALPSSSEMLHAAEEYVRGREAAGVAKRHTHHIFDLDYGDDFGERTCGFPQMEAWKKELMWSSILAMLDDFETYRDDMRDTDLVTDGLRRNGWIPMAAQPPQQEDVGNREGVGVQAMDA >OB11G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2107582:2108088:-1 gene:OB11G13500 transcript:OB11G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVKLVVIVGALLAGVALVAAGRVAGATAAPAEAAPPPPLVKRLHASFDWMGAAPGGGGGGEGGDGGGGGGGVEECWNAVTELRSCTGEIVLFFVNGESYLGPECCVAIRTVTRHCWPAMLASVGFTAQEADILRGFCDAEVGAGDAPPPSANASSVVPASAPAPA >OB11G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2109098:2111768:1 gene:OB11G13510 transcript:OB11G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRLRDATAHRSGERRAAAAEEEVVAEASSRVTPLPPSRPISLWPDSVRELGARAHTCSIKRRMTPAQDPFYIVKDEIQDSIDRVQDTFHQWKQTPENTGEYVHLTKELIASCESIQWQVVELEKAISVAERDPAYYGLNDVEIGKRRNWTSTARNQVVSIRRYIETGKQKNAYSRSANPSELVRSKQHIAQDNDDFIASESDQQMLLIKRQDEELDELSASVQRIGGVGLTIHDELIGQEKLLDELSLDMETTSNRLDFVQKRVAMVLKKASLKGQIMMIAFLVVLFIILFVLVFLT >OB11G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2112601:2115777:1 gene:OB11G13520 transcript:OB11G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPRDDSSKPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDSVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >OB11G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2117810:2121131:1 gene:OB11G13530 transcript:OB11G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDDIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESVDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDTSSPGYQPPIPDEEVRPAFDYEHEESFPASRGRGRGGGRRGRGRAMSNGPPAYDYGEEWEEEGDYYNYRGRGRGRFRGRGRGRGRGGYYGGGRRGGYGYDYGYGGRGDYYEDQGEFFDEPEDYPPPGRGRGRGRRGGGPFRGRGRARGRF >OB11G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2132062:2134672:-1 gene:OB11G13540 transcript:OB11G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTAKRWRYAMVCSSNMNRSMEAHSLLARAGLAVAFYGTGAHVKLPGPSLLDPNLYPFGTPYKAIHDDLRRKDPDLYKRNGLLPMLKRNSAVKATPQRWQDNAGDGPFDVVLTFEEKVFDSVLEDLNSREQRLINTVLIINMDVKDNHEEAGVGAKLALNLCLYLEAVDAW >OB11G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2134312:2134531:1 gene:OB11G13550 transcript:OB11G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNTKHGGGEGEERLEVGFKARSRVGGGGAGEQQRRAAARTGRGPCGGGRRGWPGGAEGVEVGVEEGGAG >OB11G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2150552:2152437:1 gene:OB11G13560 transcript:OB11G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLQALVATVVAAXXXXXXXXXXXXXXXXXXXXXPRGPSRPASSSSAAAAALPVIAPNRSCERASSWSFYVSEDDVDSSLKKLSLDDLAGATGGFSPDNIIGDGSFGFVYRAVLPDGXXXXXXXXXXXXXXGRSPPPRLRAPRARQPRRLALRRRHHRLPAPLAGAPPHRTRRRCRARVPAPRQRAGHPPPRHQGQQRPARRGLRGQARRLRPRQDRHRRPSRVTPQHAGRRHRRVHGTRVMCWRGREREGGRVQLRRADDGNGDRPAAELAGEGERERDGDGDAEVGQGEGGDGRGDGDRGPSDGDPRGGKRGGGDAA >OB11G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2162533:2168593:1 gene:OB11G13570 transcript:OB11G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: vesicle-mediated transport, vesicle docking involved in exocytosis; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterP /.../AIN/s: Sec1-like protein (InterPro:IPR001619); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G42700) TAIR;Acc:AT2G42700] MGSVDLIAACVDAIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDSAADWHSSFAHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYVDSPLGPDAFREYETLLTQDHEELLKKYEKSDEHKDTVPYTEKDFTSDGDRYSNWESGVHYGSNSESSPTKKDLFGDESSQLETKGKRLSVTVCHFPMIFSPISSRTFVLPSEGTMAESCLSNNHEDSLSPGLPPISTGRSFDGDEIPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLPRKEGASSYLVAKNPQTPSKHSPATVKRIPLDVKVPFEKVFSKEDPNSRTSMLPESIMSFMSGWNSPEVDSEVTWLPDYADKAHDDKLSCQHGSLSGSLLSNYAGVRYLESLLDRGAKDGLMLIKKWLMEALQNEKLSFPSKGRQGVPSVSELHSMVQMLSRDQLSLVRNRGVIQLALAAEMTLWDPQSSHWDAFTSAERILGVTSAESTQSLASELRDFINTSTSVESHKQANKMEVSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDIVVDSILDRPSFVKLRFLVGLENELEAKARPKVGDKSNDSIEPSKTTDDFDDQWDNWDDDDNTDDQKEEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSILIVFVVGGINALEVREVMKAISESSRPDVELILGGTTLLTPDDMFELMLGSSSFN >OB11G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2171922:2173445:-1 gene:OB11G13580 transcript:OB11G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYVEMEREGGGAGAGAESSSAAPSSGSKLALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVAFQVFYGLMGSWTAYLISVLYVEYRTRREREKVDFRNHVIQWFEVLDGLLGRHWRNVGLLFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFVPSFHNYRVWSFLGLLMTSYTAWYLTVAAVVHGKVDGVTHTGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQRFKMIYLVATLYVLTLTLPSASAMYWAFGDMLLDHSNAFSLLPRTGWRDAAVVLMLVHQFITFGFACTPLYFVWEKAIGVHHGAGVLRRALARLPVVLPIWFLAVIFPFFGPINSTVGSFLVSFTVYIIPALAHMATFAPAAARENAVEPPPRALGGWAGTFAANCFVVAWVLVVGFGFGGWGRTPVNFVRQVDTFGLFTKCYQCPPKH >OB11G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2184905:2187192:1 gene:OB11G13590 transcript:OB11G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSPMEANAARDQDKSGKKMSRVRSWGTMGFYSSSSSSCRSRSLQPVGGEGKNGGGGKKTKKKRSITCAGSICSTKESSVSSRGRPSRSRARGDGGSTSSRSLMAPDHGDSAAGGAVVSASSSFNSVMTAATSVTATTATTTSSSSTTTSPPSALSSPLSSLGGSFRAMQIRKLSGCYLQCHSVLDPRSLAAAFPCSDCDEVFVKPDSLELHRATRHAVSELGADDTSRNIVEIIFQSSWLKKNGPVCKIERILKVQSSDKTIKKFEQYKELVKEKASCASDEAKKNPRCVADGNELLRFHCTTFTCSLGLAGGTALCCGSTSPAQCKLCAIIKDGFRVDGNGRIATMATSGRAHDTAEVSSDGEKRAMLVCRVVAGRVKKIGSSSSSSEEFDCDSVSSCSEGVYSDLDELFVSSPRAILPCFVVIYSGY >OB11G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2190359:2190565:-1 gene:OB11G13600 transcript:OB11G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRDSHDFLFGFLCLIISLALKLLLLINSAKHAYLLLLVLCPCACLADYYFLLNCKKTLHSSPDGHKKY >OB11G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2192945:2196723:-1 gene:OB11G13610 transcript:OB11G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAAFLSLLLITTCIAHGAGDAVAADPWQEPDVVQQPAAIVLTGEWQLLHQNTGVSAMHMQLLPGDFLLMFDRTDSGPSNISLNALSPCAASTAAGGGGGVGAVDCTAHSLTLFPFLDETTDMDAEDNLYPFLHLLPDGTVFAFANDRAVVFDPYNRAPLRRLPAIPGGVPRNYPSSGSSVLLPLRPESPSHAEVLVCGGAPPRRARLRNGTFVPADRTCGRIAPTDANPVWAMEEMPLPRVMGDMVLLPTGDVLIVNGAAAGTAGWERAGDLPGAVQARHAARRAVPGGAVSGGPEEVRVAAVAPAFATHSFGMNQRVVPLAMGTVAQLDAGIYEAQVAAPPSPAVAPPGYYLWFVLHAGVPSTAAWAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDACNAVAAWAGQYCKCRFELDEKELEEEEADSLGSVSPLSSEAENGKALEEEMAKMRVNGDSNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPTEVRDAAAEAVRVVYARSCHELVPQAILASRSLIELDKSARKAAKEAAAAASGAIVPVGTAGSTASSSRPSSKPNTPGKQRKPDVLLVSK >OB11G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2206442:2209513:-1 gene:OB11G13620 transcript:OB11G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFMLSKDLKRLSLERDLSNEAAETASKQHLESVKKIARVEAECLKLRHLTRKTYLANDSRPVPNNASMESLTDSHSDSGEHMLAVDSEMRNSDSWASALIAELDQFKNSSPSSRDVVNNPVEIDLMDDFLEMEKLAALPEIERVSSSFEAETDSDQAVAIDRVSKVETEALKSQVIDLQSKVEKIEAEKRDLEMALSEARIQLDTSCDALMAANNKLAELQLQFNLANESKIAALGQADRLDAERESLALQLESKSIEVEKLQAIVATLEESADRKELASQLESTSLEVANLRKTVASLQEQIDAERTLSVQQKAYADMAVADKESLEAQLQSAHADNGKLRGSMETLESELQKERTTYEELVAQMDALKIESKKTLGVESAKESLEAQLLVVNSEIAKLQGTVNNLECDSAKEKAYSSELKIQLEAVEGIRKMLESELESSHQETMKLQEKISSMEVRLKDQTALLVEFTAKAEDAVAGRKGMEGQLEGAKLEITKLTNRVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKVKQDKELSSAAGKLAECQKTIANLGRQLKSLTDLDGVAAEPEKLEPGDALLDFRDRGVEVPPADIADGLYDLDLPNSNGSCFSPIPHVQSSSTPLETSVFSGGLSTLGSYRSKTRK >OB11G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2209856:2210086:-1 gene:OB11G13630 transcript:OB11G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLNEKLMLETVSDDSSAQHDQSPHPEVFTNVRDEDMPDSMKSLSEKLATALLTINAKEDLVKQHTKVAEEAVAG >OB11G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2223415:2228726:-1 gene:OB11G13640 transcript:OB11G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGLALNRRTRSRPPSVASSQMSDDPASATAAAAAAISNGEGTASPCHVGGERTVKKLRLSKALTMPEGTTVAEACRRMAARRVDALLLTDANGLLSGIVTDKDIATRVISEGLRVEQTIISKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRFEKASEQGSALAAAVEGVERQLGGDLSAHSTLIETLRERMFKPSLSTIISENTKVATVSPSDPVYVAAKKMRELRVNSVVITTGNSLQGIFTSKDVLMRVVAQNLSPELTHVEKVMTAHPDCATLDTSILDALHIMHDGKFLHIPVVDGEGRVTACLDVLQLTHAAISMFEGGPGAANDVANTIMQKFWDSALAFEPPEEEFDSHSEISLVMPSEVGDGKSSIYPPVVGNSFVFKLQDQKGQMHRFTCGSDSLNELVSSVVQRLGIDGEKGTVQLLYDDDEGDRVLVTTDADLTGAVLHAKSSGLKALRLYIDEPSSSPDPREKSSSSEVTKQPPELTTSHRIELNPVHYGLMAGAIVLTGIAVMIYLKRSKV >OB11G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2231684:2234867:-1 gene:OB11G13650 transcript:OB11G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:J3N6C9] MASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILTEQKIVPGIKVDKGLVPLTGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEIAQKVWAEVFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGANPWHVSFSYARALQNTCLKTWGGQPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >OB11G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2236228:2237139:-1 gene:OB11G13660 transcript:OB11G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEECKSVENLGDCREKLVSFCVSVLRDHIQVCKLIGRDLVRCLHELVLVPKFQELWKESVLGRVADICRIGTPGWSTALAISPEMETQLLFMMNNVKWGNQKRYQLWFAKKHLMVPGGEERIPDIVRFICCGYHPSNEVMQSGVIARWAVIGWLLTRCSKSYVQANAKLALFYDWLFFDEGKGNVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLVENYEVQRKEAIGACVRNAFGVLVKKGVVPSLEILTCCEKLSPMLRQKLVAFLSSASPEETEKSCVQPIDEASKAMELENRVCSN >OB11G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2238616:2244544:-1 gene:OB11G13670 transcript:OB11G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSCDGNAKATXXXXXXXXXXXXXXXXXSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVAKADGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPSKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACHEFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGEILNPSNS >OB11G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2252795:2258785:-1 gene:OB11G13680 transcript:OB11G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRHPECHVLVDHPSVSRFHLEVRSRRCQRRITVTDLASVHGTWVSGRRIPPNTPVELTAGDILRLGGSKREYRLHWLSLQEAFDMEDLLPPLLEDDKEELRTCQETSQVVYEQIDFPVKVVPSAPPMTELANFFALEESSVPEFDDSREGRIENLIDENPVIDSSDYSITQPMPATAADAGRSVKSEKKDTSIAMSRRTKLKSVKTLRIDTGRSKERITPLSYSYQKEEKQNENPICSQNCGIECEACMVLFNNSYVREAEEKENIILDKEPPNLLILDRIMMEENQEETHHLKSKEFVHYVAPLNLEYETFSDKENFVLSIPKEMEHNDFYSENSIPQDSVCEKPQKISELLRSVSPLAIKDNNFTDTRIPSLGAVRIESSEPILENSFMQDIFGENTNNDKDREHDGFTLLNLDGTLTSNENLAQSKIFVAPEDSEFEGTISENLLENSDMKGTEVNEESSPFSKENFTPYASGDMIVERSQLRLKPTTISQELMDSISPLNLEHDNFSDNENSMLSIGKQTNSNELISKDRIPLISVDAKLQKSHAEFMPISHLDFKDGVLTDKENGLYLEKFDTVSLVTQGNPFPDKDNVTPASRDLKPISGRKVLGTRLDNSLSVESTSNRRIHRQEPNKLSAKSKDCHTVDDDVFYSDKENLTPISSGGTKARRFLPKSLAVDADQDQEAFCSDKENLTPLSSAPQKTKNLSENRTRMESAITKKRVVDRLPFQTLLSNSPLRHTSSLDCAQVNPRAVDVAMKLEGELNNVPLKKQGPDRTREGMKVWTMVADTDCLLDDESRKSIMLLRGLKGTQLVIPMIVIRELDSMKQRERLFRMSSKATSILQWINECMEKESWWIHVQSSSEMLPVAPTPPATPTPLCNDEEIEISAGTFNPIAFFSPRSFTDIVSPKTEDRILDCALLFNKLKSNQNIVILSKSITLKIKAMSEGLPCEGAKEFRETLVNPCSSRFMWAASAPRGSAWSCLDETTLQENYYNSHRGARRRIPRSMESAKGLKLILLHNSHYGLTSTNSVEHKPLAPMASW >OB11G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2265328:2266302:1 gene:OB11G13690 transcript:OB11G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3N6D3] MFQLDTVSPGDADVVVAKDGTGHFCTVGEALNAAAKRSNGGRRTVVYVKAGVYGENVEVWTSNLVLVGDGIGRTVITGSRSVRQGYTTFSSATFAVNADGFVACGVTFRNTAGAGAEQAVALRASGDRLAFYRCSFEGHQDTLYAHTLRHFYRDTSGRVRWGGYHVITDPSEASEFTAGDLVNAGSWLGSTGVPFTPGL >OB11G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2265739:2266308:-1 gene:OB11G13700 transcript:OB11G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAWSERDTGGAQPRAGVHQVAGGELRRLAGVGDDMVSTPPHPSRRAGPRPRVPVLPVERRGLRERRALPRQPPRRVHRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKSVVPATRRRTRGRSGGACARTACPGGPRSCTGRTRAGRRWHGAPPPARRRRRPCS >OB11G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2269481:2272594:1 gene:OB11G13710 transcript:OB11G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSVGPLLLVLMLCCAVQSICSSFSGNETDRFSPFEFKKAISLDPQQALISWNDSTHFCSWKGVSCRKKAPPRVISLDLTNRGLVGQISPSLGNLTFLKFLYLDTNSFTGEIPLSLGHLHRLQILHLSNNTLEGKIPDFTNCSNLKTLLLNGNQLVGQWNSNFPHQLEGLALAYNNLTGAIPTSAANITGLRVLSFMSNNIKGNIPNEFSKFARMEYLTASGNMLAGRFPQAILNLSTLIDLYIGFNYLNGELPSSLLDSLPSIQTLALGHNLFQGQMPRSLGNTSELRLLDISNNNFTGVVPSSIGKLAKLYLLNTEINQLQVQTKEDWEFMNSLSNCSGLQLLSMAYNRLEGHLPSSSGNLSIHLRRLSFSGNQISGIFPSSIEHLSNLNALSLYSNELTGSLPGWLGNLNKLQKLGLQNNYLTGFIPSSISNLSQLAVLGLFSNKLEGSIPNLGNLRMLQLLAIEDNHIHGSIPKEIFSIPSIIGIDLSFNNLDGQLPTDIGNAKQLTYLVLSSNKLFGDIPSSLVSCESLEYIGLANNFSGGIPASLGSIGSLIAINFSHNNLTGPIPASLGNLQFLEQLDLSFNHLKGEMPLKGIFKNATALRIDGNQGLCGGPPELHLQACSVTALVSSKVVPISSMVSISMVVLIVFIWRGKQKRKSLSLPSFAKHFPKISYNVLVRATAGFSASNLIGKGRYSSVYIGKLFEDNNMVAIKVFNLETRGAQKSFIAECNTLRNVRHGNLVPILTACASIDSKGNDFKALVYQFMERGDLHALLHSTQNDESDSYLNHITLAQRISIVVDVSDALEYLHHNNQGTIVHCDIKPSNILLDDDMIAHVGDFGLARFKTDSSAPSLGDSNSTCSLAIKGTVGYIAPECSEGGQVSPASDVFSFGVVLLETFIRRRPTDDMFKDGLKYTQMNFPDRILEIVDPQLQQELGLFQETPMAVVKEKGVHCLCCALNIGLCCTRPTPSERISMHEAAAKLHGIRDAYLRGN >OB11G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2281012:2284581:1 gene:OB11G13720 transcript:OB11G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATADRHYFETMSSLEVGWVVQLWNEWGIQILVLASFTLQVFLLIFGGIRRHSSSSVLMFFLWSAYLLADSTAIYTLGHLSVDGRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVVYTYMASSDADLLLASVSMFVAGLLKYGERIWALKCGNISSIRSSISSRKFKTDPYELLAMGTTEEELLLGAHSQFDICKGVFADIIMLPNPSVLSRSKRRSVIAYLGEDLYKLVEMELSLMYDLLYTKAAVIHTWYGFCIHFVSLFGTATTFVLFQLSVSGSRGSGYSREDVIISYVLLVGALVLEIISACRAVLSTWTCSLLHRRGRAWEWPLHVITSFSRRVHPASRRLWSGSIGQYNLFHLCARNGNEVGSRAAARLGLHDWWNTVHFSGEFSRSSTSSFSIQDIKRLVLEALQNKERALQFKDTDLNSRGSFVLKSLGAYEGFARWSVNIDFDESILVWHIATEVYVRRSRARHAGELAEATEVISNYMMFLLVAKPNMLPGAARHNIHLASCEQIEAHCGRMGLGDKGNPMAASPVSWNPYSILRELLHNDGPSCSSIPRREKLAEVVWNFSQFALGSVKAPNPHGESIRDSANMYAVLLANELLSIELRWQEERDTLELILGVWVEMLLYAADHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADDLENNPSMRTLRTWSR >OB11G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2291647:2293390:1 gene:OB11G13730 transcript:OB11G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHALVGNQILNYIKDCGVTRNLHCPSTITAQTCLAMLWEDKKALLEKGKGSSIILLLQVMCNSLYGNETDRLSLLDFKKAISLDPKQVLASWNDSTYFCSWEGVSCSSKDPHRVISLNLTDQGLVGQISPLGNLTFLRHLHLPVNLFTGEIPPSLGHLHHLQTLYLSNNSLQGKIPNLANCSNLMVLELYRNNLVGQFPEDLPDHLQKLQLSYNKLTGPIPASL >OB11G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2294293:2298103:1 gene:OB11G13740 transcript:OB11G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAAIGQFLFVLTACNVVQISCDSLYAKETDRLSLLDFKNAISLDPQQALLSWNDSIQFCSWEGVFCRAKTPNRVISLNLTDRGLVGKISPSLGNLTFLKHLILPENAFTGQIPASLGHLHHLQTLSLANNTLQGMIPNLANCSNLTVLDLSRNNLVGQFPENLPHHLQNITVSHNNLTGVFPVSLANVTTLVLLNCKFNNVEGNIPDEFAKLPMLKFLLMGINNLEGSFPQAIHNVSTLVYLSFAFNDLRGEVPSDLGNYLLKLQGFELGGNSFRGNIPSSLTNASNLFLIDISYNYFTGGVPTSIGKLTKLSWLNLEGNELHGRNNQDSEFLNSVANCTELQMFSVSMNRLEGRVPNSFGNYSLHLQFLHLGQNWLSGDFPSGLANHHNLIVAELSRNLFSGVLPNWLGSLKSLQKLSVGDNKFTGFIPSSLSNLTNLVQLFLYSNNFSGEIPGSLENLEALQRLGFANNNLNGIIPDGIFRIPTILLIDLSFNNLEGPLTTHVGNAEQLTYLTLSSNNLSGDIPNTLGNCESLQKLKFDQNTFSGGIPTSLSKLLSLTLLNLSYNNLTGQIPDSLSSLKYLGLLDLSFNHLNGEVPTKGIFKNATAFQVAGNQGLCGGLKELHLPACSTAPSSSSKHRQPLAIKIVIPLAILVPSFIVVLVILLLLRVKQNGQSMSLQSSDTNFPQVSYNDLARATDRFSMSNLIGKGRFSCVYQGKLFQGDDRVAVKVFSLETGGAQRSFVAECNALRNIRHRNLVPILTACSSIDSKGNNFKALVYKFMPRGDLNKLLHSTKDGGDTSHLNHITLAQRIRIIADVADALEYLHYNNQETIVHCDLKPSNILLDDNMVAHVGDFGLARFKIDSATPSRGDANSTSSLAIKGTIGYVAPECSECGQVSPTSDVFSFGVVLLEIFIRRRPTDDMFKDGLSIAKYTEINFPDRILEIVDPQLQQELDPYQETSTAVEEKGLQCLRSVLNIGLCCTKLTPRERITMQEVAAKLQGIYMCIFWLSSR >OB11G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2299463:2300383:1 gene:OB11G13750 transcript:OB11G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTDRAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKYMPRGDLHKLLYSTPRDERSSDLCYVSLAQRLNIAVDVSDALAYLHHNNQGTIIHCDLKPSNILLDDNMTAHVGDFGLARFRVDSRTTFGNSNSTSSFAINGTIGYVAPECAMGGQVSTAADVYSFGVVLLELFIRRRPTDDMFKDGLSIAKYTEMNIPDKILQIVDPQLVHESGLSQENEARCLLSVLNIGLCCTKSAPTERISMQEAAAKLNAIRDSFQR >OB11G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2305509:2308659:1 gene:OB11G13760 transcript:OB11G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTAAGAGHFLLLFFASISHSPICSSFGNDTDRLSLLQFKNAITLDPHQSLVSWNDSHHFCSWKGVSCSSKNPPRVTAIDLADQGLVGHITPSLGNLTFLRYLDLSTNRLAGQVPASLGRLRRLRRLHLSNNTLQGIIPSFANCSHLRELWLDSNELVGRIPEDLPLGLEELDLSINNLSGTIPSTAANITALRYFACAFNAIDGGIPGELAALRGTETLAVDVNRMSGGFPEAILNMSALTVLGLASNHFSGELPSAIGSSLPNLQALAIDINFFHGEIPSSLANASNLIKVDLSRNNFIGVVPASIGKPANLTWLNLEMNQLHARSKQDWEFMDSLANCTQLQVLSLMGNQMEGYVPSSLGNFSVQLQRLQLGLNKLSGNFPSGIANLPNLISLGLEYNRFTGSVPRWLGGLKKLQVLSLYDNSFTGHVPTSLSNLSHLTELLLDSNQFIGNIPSSFGNLQFLRNINISNNNLHGNLPKKIFMIPTISQVLLSFNNLSGELPTEVGNARQLMYLQLSSNNLSGDIPSTLGNCENLQDVELDQNNFTGGIPTSFSKLISLKFLDLSHNKLSGSIPVSLGDLQLLNQIDLSFNHLTGQVPTKGIFKNSTAMQIDGNIGLCGGAPELHLPECPSTTSNKSKHKLYVQLKLVIPLASMVTFAIVILILFMIWKGNRRTNSMSLPSFGREIPKVSYRDLARATNGFSTSNLIGKGSYSSVYRGQLFQDINVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKYMPRGDLHKLLYSTPRDERSSDLCYISLAQRLSIAVDVSDALAYLHHSHEGTIVHCDLKPSNILLDDDMTALVGDFGLAKFKTDSRTSFDNSNSATNGTIGYVAPECATGGHVSTAADVYSFGVVLLEIFIRRRPTDDIFKDGLSIAKYAEINIPEKMLQIVDPQLAQELSLSQEDPVTVDGTAAHCLLSVLNIGLCCTKSAPNERITMQEVAARLHTIRDSFLR >OB11G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2309441:2315105:-1 gene:OB11G13770 transcript:OB11G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:J3N6E1] MEKPCTLLVHFDKGSPSMANEIKADLEGSDVAAKVDAMKRAIMLLLNGETLPALFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDAASGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLVPSILANLEHRHHFIRRHALSAISSIYRLPHGDQLLPDAPEVVERALAGEQDASARRNAFLMLCACAQERAIAYLLTNAERVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPSSAVVYESAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAGDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDLPFYTISEEGESTDASKPTQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCLRLLCNTGDDLRKVWLQSCRQSFTKMLADKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >OB11G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2317439:2320721:-1 gene:OB11G13780 transcript:OB11G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIVIRCQITTFIGLRSGSCIARARKILSFSDAQWIYLRQGNRCVGSVCRSLSERPDPRRGGGEGGMKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTLGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNRNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDTSLAESPDCQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >OB11G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2323790:2330855:1 gene:OB11G13790 transcript:OB11G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47570) TAIR;Acc:AT1G47570] MDAGECSSSQPCAPSPDASGGGVWAKLVPADSAFPEVELDEEDPVVCSRVTPAGGEEVAWCEIRRGADASSATIRNLSSDAIIVDGRIIQQEAVDIKPGSEIVPGPQKDGHLLYTFDITDLKDQDKNNIKIVLDIENAKCSICLTLWHDVVTVAPCLHNFCNGCFSEWLRRSSANSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIVLGKQRNQSRKRRLPRSNDEIDHTDRADSLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPNTTIPQKCLGCDRAFCGAYWCSLGVSSSQHNPICDQETFKMISQRHISSVPVTIHGGNQYEKDITERCIQQSGKALQAVISEWTVKFDNKELDRSRLQLNHVDEITSRTYVCNQCYNKFIDFLLYWFRVSMPRNLLPPDAANRDSCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >OB11G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2336544:2337548:-1 gene:OB11G13800 transcript:OB11G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPASFMGSLAIVPHPPAAAAPGGGGGAGQVQAGAAPPPSSEKKAVVPAGAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSAAASHSSSPRGAPVHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAAASPR >OB11G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2357527:2363822:-1 gene:OB11G13810 transcript:OB11G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01780) TAIR;Acc:AT3G01780] MDLLVAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTVATESXXXXXXXXXXXXXXDLLRALPLPPDLLDPLLLSSLGSDLSFPDPDVAASSIASFPSLPSHLLPTLLSSAHADISAALSSPAVSLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLGELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADATNFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRKIAKPGDTTVADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRVKDDLNSITSKNLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSTTTSNRIQDVQSVLICAQRLGTRNARAGQLLSKELEEFRASTSADSVTKHQSRYVMQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLTLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTEMELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSGGPADYEGDYEESSQMTRQKRSFRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSIYSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >OB11G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2358095:2358304:1 gene:OB11G13820 transcript:OB11G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTAPSVISSSQPWRSDSISLVMDSSDARTTNLQIIVVVSSPRSTFRLTSLAPKIIMPTNPPNQVFAA >OB11G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2364808:2369240:1 gene:OB11G13830 transcript:OB11G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYLEEKPATRLAPSTASFASGIRSVAARLLGAGNGSRPLSFVGSNGASGQSGSGSSRPGRPQAVGNYDGKGTYIIYSIAHKLFISDFNSNVNRPIKYIRFSNSGPLCHAFDSEAKDGHDLIVGLSSGDVCSMSLRQQLKDPGHEPIEPQHFINNKYKEGITNSRCTGVAWVPGHKGLFVVSDADGNLYVYDKSKDVNTDWTFPTVEDRSEIMISHAKSSKSNPVARWHICQGAINAISFSPDGAYLATVGRDGYLRVFDFAKEQLIFGGKSYFGSLFCCSWSIDGKYLLSGGEDDLVQVWSMDDRKMVAWGEGHKSWVSAVAFDSYWSPPNSAETEENTNETMENTIYRFASVGQDARLLLWDVAMDELRAPLTSSSSCSPTSSSGRSPSTDWDSTCPPARVLRPSPRMQEVPKLLPLATQPVGADPLSALEFTSESILAICREGRITIWPRPIDSESNNDQQHPD >OB11G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2372383:2372760:-1 gene:OB11G13840 transcript:OB11G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSGTTKHRNRANALPCVPNRIDYPPNEEQTTPRRIVAAQRAASTEPARIGVGEGAAFASHETQPESPTTRIEPGRRRREGGRTEDAAVLGAGGGGGHAPLSRGRRRQGSGGGGAGGAASXXXX >OB11G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2372396:2377506:1 gene:OB11G13850 transcript:OB11G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) TAIR;Acc:AT1G71800] MAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDAQKQLAGSSVVGDTSLHQPVGLPSAIQAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKNQLYEIMSELKSLTNQNKEVANKLLQGIPQLPKALFQAQIMLGMMTPQMMQMAKSQQPSNSLAQPDALIPSIPRPSASLPNPNVLQDPTAQLHNFSQYQHSSQPAVTIFPHGSQPLSASSSVPPPPLATSGSLISQVQPPFMPHHPRPPAMPASIQQLPLTHPHLPQVPAAPDIPQKEIRFPDQANHLAEFAHPSKLRKLEDGTSTPAIVNNNPAVYPAPSQGMVPGGPSGSYSSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >OB11G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2378133:2385036:-1 gene:OB11G13860 transcript:OB11G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT2G06990) TAIR;Acc:AT2G06990] MAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENSQFREDNFVKLQDTFTKQSSLMDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMVMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKESIEQVFSSAILCLSEEDRSLPAIELILPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEMVQKITRLENEDTLLDSSGGETDLAEYHKLELGISELEKKIMSEIIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPCPPRQGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPSEARQNILFAVQELGKRYTQGLPKLDPIKDMGIQEPELVDLVNKLDDLEQKRCSHPLHKSGQSEQQLSWYQRKAELNHEIQLLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQIASLASCFVPCEKSSEQIRLRSELSKPMMQLQEAARKIAEVQRECKLDVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEANLEEKFGSASDSLRRGIMFANSLYL >OB11G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2385952:2390153:1 gene:OB11G13870 transcript:OB11G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASASRPHPLMTSHNKKSGKRWAPCIRKRLAQSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYTRIVKELPEDVFMKFDFNISSIPNMRTKAFSSESYYLEKVLPKLLELGAVRIAPFSNRLAHSVPPNIQALRCFANYEALRFSEPIRTLGANMVDRMIKKSSLTGGKYISVHLRFEEDMVAFSCCIYDGGLRENIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLRGMGFDNTTSIYVASGKIYNAEKYMSPLRQLFPLLQTKDTLTSPEELAQFKGHSSRLAALDYTVCLQSEVFVTTQGSNFPHFLMGHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQEMHRHSELKGFGVRKPNGSIYTLPMPDCMCQQAEP >OB11G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2392948:2393517:1 gene:OB11G13880 transcript:OB11G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAYVLVTADDHSDDELEAVESVERPADAAGPEVQPDPPAVTKEEETTSGDADEAGAFFVLWWLSALCVVLGLWALCRASSPASPSAIVWTLSSVVCGALAGWVGVFSGVGALAAIFRVTYVALVAYTVDSHLSATAGLIVIFSNAVATAAFFGYYLAVYQRGDTNTPPPPPPPERSSSPVQGDELV >OB11G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2394737:2395141:-1 gene:OB11G13890 transcript:OB11G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAAPAWRSAAVAICLLPVVLPLALLCLPLLCFAVAVARFRRGRRRRRGRTAGKKTPPSAEREADGDGAVHRAALLLQYLEDQMELVVVGGGGGKAEEKRDAMAAAAAQGKKASLPIGDEHLSLPKLGDQD >OB11G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2406944:2407129:1 gene:OB11G13900 transcript:OB11G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCVKLQTLKVLGSKRKGKLISYLFLSFFLFLFFNFACILMVIWMEIIHLTLWYGDLYVN >OB11G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2414020:2417979:-1 gene:OB11G13910 transcript:OB11G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 [Source:Projected from Arabidopsis thaliana (AT1G71960) TAIR;Acc:AT1G71960] MPPNGQDSHGGRAGLVALPPPPSPPPASNKMDCFLSSVCTPLDLQFIDLSFRVKLERAAAAAAKGAPPGRISHAGGGGGQEERTVLKGITGEARPGEVLAVLGPSGSGKSTLLSILGGRLAGQYAGTRKRVSIGHEMLVNPSLLVLDEPTSGLDSTAAARLVATLSSLARKGRTVVMSVHQPSTRVYRMFDSVLLLAEGSCLYFGAGRDAMDYFASVGFSPAFHVNPADFMLDLANGFAQAEYESCNAADGGNVKQSLMASYSRVLAPRVKASIDAGDHADAGGEQQQQAAESCSGCTSWANQFTILLRRSLKERRHETFTSLRLFQIIAPALERPVLARERASGMYALSSYFMSRMAGDLPMELALPTAFTVIVYLMAGLNPSPAAFALTLAVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVVMLAYLLTGGFYVHNVPGFMAWAKYTSFTYYCYRLLIAVQYSGRLVAALVAMFFAYRLLAYLALRRVRT >OB11G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2414468:2415493:1 gene:OB11G13920 transcript:OB11G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARSRASTGRSCGKANTALKEANTPQKMETKKRSPIRSSTAPGDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDRHHMAPATSAGAMIWNSRSDVNVSCRRSLRLRRSRIVNWFAQLVHPLQLSAACCCCSPPASAWSPASMDAFTLGASTLLYDAISDCFTLPPSAALQLSYSA >OB11G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2450353:2450922:-1 gene:OB11G13930 transcript:OB11G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFGQPKLPCHLWAPRWRWFSLSSCNLPGYHQRRPLASHVGDDHRRMLEVCLRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRANAPPPSRAASPASLCRRLPHGREKESEIEKEKEKEGARERE >OB11G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2466974:2467195:-1 gene:OB11G13940 transcript:OB11G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6F8] MNFVFTAGEHKGSSLAIVGRNEVLSAVREMSIVGGSGKFRMTKGYAEARTVDSGNISGETIVEYTHFVKAAAA >OB11G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2467235:2467531:-1 gene:OB11G13950 transcript:OB11G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6F9] MASSAAGVSSSSGLLAVLLLLCVVVAVAVAADDGAGLTKFKVYWHDVVAGPKPTATRVAQVPSSNASSTFFGAVVAIDDPLTSAAASGSAEVGRAQGS >OB11G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2472274:2472800:-1 gene:OB11G13960 transcript:OB11G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6G0] MARAAAPAVQFLLLHKGSSLAIVGRNEVLSAVREMSIVGGSGKFRMAKGYVEARTVDSGSNSGETIVEYTVFVKAP >OB11G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2472529:2474282:-1 gene:OB11G13970 transcript:OB11G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6G1] MLSSFLLLLCSSYFLSSGHGEGGGASCAVSAAGVRGGGAGGGGGRRLTKFKVYFHDVVGGPKPTAIRVAQAPSTNGSSTFFGAVVAIDDPLTSAAASGSAEVGRAQGS >OB11G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2478617:2479153:-1 gene:OB11G13980 transcript:OB11G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6G2] MAASSSSSWVVAAAALLLVVLVAPAAAQKETKLRVFWHDVVSGPNATVAQVAEAPTSNASATGFGTVMVIDDPLTDGPSLTASRLVGRAQGMYVAAGKDTLSLLMAMNFVFVGGEYNGSSVAVFGPNPAERAVREMPVVGGTGVFRFARGYCQAKTRWVNATTGDAPPVEYTIHLRHD >OB11G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2480163:2480956:-1 gene:OB11G13990 transcript:OB11G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSARKHSASSRRREDEASSSSLAAAAAAAEEEEPAEGMEASRFGFLMYSGFPACKFNPTDADIVASYLLRAMYGPDQFPSPYPAGAVIEDDAARCEPWALMRDPGHATSAHAFFVRDDLARAASSDGDRRRRVPRAVKNGWHIQKTKEATLTILRDGGGGELDVGYKRRHLSFHRAGESTSTGWVMHEYEITSPALPGTVLSRIRATPRARAKDKKPEIKKEPSTSTSTSVAGECNAPCQCSDRPGLSHQYSGAKPEPHVD >OB11G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2487516:2494971:-1 gene:OB11G14000 transcript:OB11G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLLLLRQLSTRGMAADRSYRAGCGGAGDGMRTGLGMEGGQQRGCIVKYQENLIGLGAEDSLAQFCSEIGTMDSPTNIYAECMQAKVKEWYSNNWEAGKTQPPKSTEDGKLYTPAIVDLFRILTEQVMLDFQEAERQILKEPASDVGLESLCAMINNNLHCYELSSELNSSILEALPQNYAEQVNFEDTCKGFLEVLKETVLQTVTVIFEDPGVKDLLVKLYQNDWLDGMVTEYLAATFADYFNDVKQYIEESSFQRFVEACLERTIVVYVDHLLTQNNHITSGTIERMRIDEEVLMDFFTEHINWTEVKNRVRVLADLRELASAESLDGFTVIYANILEHQPDCLPKVVEKIVGMREGIPSNETIEVVQECKEIYEKSLVDGKPKNSCFVFGKLKCLTD >OB11G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2497374:2497922:1 gene:OB11G14010 transcript:OB11G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6G5] MAKGLAVLMSVLLFVLAAPSKADTQDGPGSSSHGGSAPTHIHFYFHDKITGPSPSAVKVVNPPNNTSLTSFGVMFVMDDPLTEGPDPASKPVGRAQGMYLSADQARIGFLQAMNIVLTVGPYNGSMITVLGSNHINDNIREMPVVGGTGAFRFARGYAQAHTHFLDPNRLDAIVEYNVYVFH >OB11G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2500526:2501470:1 gene:OB11G14020 transcript:OB11G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLALVLVVFLVLGAIGKAHVQVSGPSPSVVTVVNPPSNSSRTLFEMVVVLDDPLTE >OB11G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2505496:2506050:1 gene:OB11G14030 transcript:OB11G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6G7] MVAKEVVVITLIVAILVAVAGAARTEGGPGLARRGSSSAPTHLHFYFHDKVSKPSPSAVRVVDPVDPSSRSFFGMVNIMDDALTEGPEPESKPMGRAQGMYMGSDQAKLGFLQAMNLVLTGGPYNGSVVTVLGRNCPLDDVREMPVIGGTGDFRFASGYAQARTHTLDIKTGDAVVEYNVYVMH >OB11G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2506907:2512216:-1 gene:OB11G14040 transcript:OB11G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPGRFCSLVGLVLAASSLSDASHXXSQGKQQQLPPATTSLPSSSKSSRRKTAPEAVVEHRQRGEAAPAASRKSALLDKGKEKVSEMDTSVKRTSKGTSGNPSENSNKSVAKSSALKTAIGGVKTYIAIKRGRKIKILAFEVANIIAKGFNLMKFLSEENIMHLRRVVLQIQGVQRLISDDQKQLLALVGDEIRQQFRDFAADVARLGKMCRDPKWHNLDEHFSGLENGPITQDYSHTTAASKMEGLMAAVKKTVILFDALRRLGVSERMYKDAKAQDMPLGPFQNAVKIEKVIVQSAKKNTLWVKKMEHIMEKLVYIVHFLPSEINCVFYKEHEEDGSANTNESPQQTLGSHDLQLHYAKIITAIQNLAFVTTTSTKSGIDSLFRALPYSIRSAVLPKMRNRDFDKKRTEVQVAADIREKLAWLLPMAESTLSLSQHPGMIGECLLTGSPGENDHVKVLKIQTLYHADRLKTEGYIKDMIMDLDIHIKARELRMGELLGSSTLDQSASQELRCTFVQMVGREKIKGLSS >OB11G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2519386:2525492:-1 gene:OB11G14050 transcript:OB11G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPFLVLSGYVTICSISLNPYNDHIHDIRIAPQTGNFTAALNPANREKNRYSDVMPFDATRVRLKSSTGDRPSRNDYINASHIKIDSRNKSQTQFISTQGPLIKTFEDFWQMVYENQCSVIVMLTKFDGAKCDGYLPMDKGEEGYYGKLSVKTTQYRKDGDLVLRGLELEVQQNESRIVRRVLHIQYSEWPDHGVPYHSASVRQILRRLYDIPREHPVVAHCSAGIGRTGAYITIHNTIERILSGDMSALDLSETVTKFRSQRPGMVQTEDQYKFCYTAIVDELNDLLPNSKH >OB11G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2538492:2549886:1 gene:OB11G14060 transcript:OB11G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G35530) TAIR;Acc:AT1G35530] MERGGERMGVSSPRLCNNGKRAQEVVDVEKAIQVDSQEAATLDRFTDAFTRRRTAKERGPPVPAAPPAAAPVASSGAARGRPGDPVGEGCSPDEEINLKPCAVAVDHEAVQTWVYPTNVQVREYQKNFVQKALLTNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSCFWKSKRVFFVTPQVLQNDIQSGICMVNQLVCLVIDEAHRASGNYAYCVVVRELEAARVPLRILALTATPGSKQPAIQNVINNLHISELVHRDESDPDVSRYIQRRTVEILKIPVGSEAIQVNDKLLDIIRPHLVKLRSAGVIDTRDASNWSPHQLRMLKEKFDQAPPPHIPLAEKKEIGTSFVALTLLYGIIKMLLSYGIKAAHQSIEAKYKEGSWKVLTRNNSFLGVKKTMEDILSQGVLSPKVQKMVEVLFDHFHKNSKDSRVIVFAHYRECVKEIMCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILQKFRSGEHNVIVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKHEGRVDILFWEMNGYLSKQGNTKTMKKLLRDCARFEYHNSPRMVPDICKPELKFVKLSIEKYIPCSNKSKVDVNGTFPIFTKMSEEDGQLIAQYFGACKQDCWKPSLVTFPTFQVSPCDIYKVPHSPRTTNMLIYAMQQLQDLSCSRTKCASPLQGPTDVPVAMDEEPEGLHGTNASKEATPQEYCALEASSGKATRSKNVSLPSSPVEKYPVHSFFSGDYVTLDVSGYVSITFVPSPPRTSEARKGIRNMNRHQKVQNKSTSVKLAPDISRPTVEFDSPAVVAYSSKPIFTDEFGFAPYSPEYTEKCGHTDDRHVLGTPPSKTLTSLKEICQTPCNTKLVNPSVSVQDDMELSPRLTYYFEEGIVPESPMPEVNHKQLDADSAANVGFVQNDDFLKSCVEGAQINKLKSRNEALNLEEKGHFFAEISKFSVSPGENALDQTQANKGRTHPSNVKTHSPAAHTPTANLLCDSFSDDWQLRSGGDTSRTVQEAPKYRRLCKYGDKIKRVSSMSLDDRYDRTTGENCDFATKRNKRRAKRRLNTFIDDEAEVSEDADVSADEDNDHSEDKYEDSFIDDQATPTGQFTQSIHRGENNGDNMMAFYRRSLLTQSPVVLPSRYQDVLDSSASRGGSGSASCSSENLHNSFETPQGIQPHHSIGPSPLGDQQNSLKSTSTTKLQGETSLAHCESSTTFDCRKRKLSFQQAASIPIINLEPEPQPSSNLTTTGINNNFVWDDDDFFESLDLDAIEAQATELWKLKNPESTHKPLGAKSKASGFSIPPPSYDLAVLIASCW >OB11G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2562430:2562678:-1 gene:OB11G14070 transcript:OB11G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLCYRKQLQNRRPAKQDHHDFSRFCMVSLCGSHGNGDRNAIGFVYPDYITCVCRISDIFSLATYIWSMKTFFLTAYNYFT >OB11G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2565548:2566869:1 gene:OB11G14080 transcript:OB11G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPLNAAAADHHSQLQAPRRFAAAAASAGHPHHHPDHFAVLSNSPEACSHSSDDEPSSATPPPPPRHLGIDLNLSISLAPYQPQSEQLKQEEEDDAAATTTTTASGAGSNATAVCLCLPRLGLHGGEVCSCGRGGAPSMQASTHMFRFITPLGGGHNSTSTTMT >OB11G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2580641:2587430:1 gene:OB11G14090 transcript:OB11G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3N6H3] MHLLLHAAAAFALAVFLVVAAGLPLASASESDHKYKAEEAVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPVHKWGGLGEVLGGNELIDSQIDIKFLKNVEKGPICTIELDDKKVQQFTDAIESSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVFLSAFVGIGSQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >OB11G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2588274:2588626:1 gene:OB11G14100 transcript:OB11G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQIDQHAGASGRDAVADDGRVAVAEEEEGHDGGGDDEPPASLRALWRRIVPRTSTTAAAAPRVRTRKPGLLSRAFRVLSCGGGGRAARRARRW >OB11G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2590760:2595585:1 gene:OB11G14110 transcript:OB11G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:J3N6H5] MAAEAGRSLAETPTWSVATVTTLMVAACFLVERGLSHFAKWLGKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICLPSSLFTSRFYICSETDYEDLVVGGKRSTMETNQTVVPNGLFGIQSQNVCPEGHEPFVSYEGLEQLHRFLFILGITHVLYTFVTVVLSMIKIYSWRKFETQACQLPTEQLQARRTKVMQRQSTFVFHHTSHPWSKNKILIWMLCFLRQFRRSIKKSDYMALRLGFITYHKLPHSYDFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFINYHGLNIYFWISFVPAILVLLVGTELQHVIAQLALEVVEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFVWSLLELSKQSCFMKNHYMIVLRLTSGLLVQFWCSYNTLPLNVIITQMGSKFKKSLVSESVRESLHSWCKRVKDRRHNPLFARNGTLTSRSVCSLDTTFEETDHETNTVGTLSRTVSATSLDEELTVATVEDNDEEMVSRMEQDI >OB11G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2596262:2598546:1 gene:OB11G14120 transcript:OB11G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAAWLAPGPSPSTSSSSSPSSFKVGIASPCGTAAHASSAPRLVAASSHRGQRRRRQRQQQQVVKAIANTDPAVELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNKENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >OB11G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2599265:2601251:1 gene:OB11G14130 transcript:OB11G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGWPWARRRQGPSTFDRASTAEEVTAGVDATHLTAIVTGATNGIGRETARVLALRGAEVIIPARTMASGAKVKDSIAGEVPGAKLHVMEMDLASLASVRRFAKSFSSSHAHLNILVNNAGIMACPFQLSKDGIELQFATNHVGHFLLTNLLLDKMKLTARKTGVQGRIINVSSVAHKRSDGSCFDLNKLNDKSRYKPFIAYAHSKLANILHANELARRLQEEGCNLTANSLHPGVILTNITRYVLPSSMVTSILSVANLFLKNTQQGAATTCYLALHPDVKDVSGKYFADCEEATPRPAGRDAELAKRLWDFSEQLVDSNQHGEINRRK >OB11G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2607896:2608576:-1 gene:OB11G14140 transcript:OB11G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCRRAGAVPFKWEICPGTPKHTRSASAAAAVAVSPAPALAKVVTKRQLALPPCMTSPCASPSPYYHSPRVASSAACRSASVSPCHRRYGAGAQYRPRPTAFLDLAPRAAAPDLYGYGYGHGTAAPPAREADDETAPPPAYGCFPLPLLRRKGSSKKRSGYASGSGSGSSSSDSFRSDGELAGRLRRSASSSSSFSFPRGNRRLAESSRQQHQEAEAATGSWLF >OB11G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2613230:2619129:-1 gene:OB11G14150 transcript:OB11G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin [Source:Projected from Arabidopsis thaliana (AT3G52030) TAIR;Acc:AT3G52030] MEASSSRGRGGSGSGSAGVKRRRGNGAGVGATAQALNDDTLRSVFSRLDDHFDLARCSAVCNSWNRVIDTAHLMRDLYYKRNPQARSSRSNISIKSYFKELALDEHALSFSRGPSEVYQWIGHPNRATICRMKSGSILTGVGDKFLRLWSAESCKYMNEYNAPNNKVLVDFDFDENKIVGLTSSQLCIWRRSEPRSIFQSHGASFNHGLCMSYADPEVVIGCDDGRAFVYDMYSRSCSSIFRLHSSPLTCLTITDDQFIAAGSTFGNVAIADQTSGQKLGVLKSTFAPTAMRCLSFSTSGQLMFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYATHHLPGDTATLAVGGIDGVLRLICQRTGEIIRSFIVDADRPAESSSRQQVEKKLVRQVAPDARLDNIPTRLRPQITCLSVGMKKIVTTHGENYVRVWKFRPESS >OB11G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2619250:2619951:-1 gene:OB11G14160 transcript:OB11G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGPMVTARATSRRIPSASVVTARPHLRRRPPSVRSSPTVAVALGRWPPLEQRERGNRDNSSPATPARHSWQETRDTTGAAAGGNRWNVGENLPTPSLPHLVIGFGWGRVAGVGREEGYGGPKLRWVELGFFHGIVVGPNWTGLVVPMMGQA >OB11G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2622488:2622718:-1 gene:OB11G14170 transcript:OB11G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRVCTMTPSPCFAIWCRLVLCPMGSPCLVLLAYGMEIHAIIFKMSFDGLVDAVVGMRFLTCTQDDGKLTMQRYSL >OB11G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2626502:2627556:-1 gene:OB11G14180 transcript:OB11G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPFRDRQGGPGGGRGGSGGHSFLQRGTGHILGLNEGAFMEAPHLEEHKEQDTADVREMLYKEVDREEIRMGLGALEVMWAIMVLLKVELPPREALDRVSLVSNIKVSKNFNGSRFRPIDHRPFHQGVAIVDHGLNQAEQHETVPPSVKEPDVAKETIPEFDFKLETLVPSPTGLISISGEKIAAKVVQTELAKLVRADWSWEALPREENYFLVTFSSEEELKRMEDVEFRLKNHGVSLSISKWQEAGDISPLYELDEVWVHISMSRR >OB11G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2632663:2635147:-1 gene:OB11G14190 transcript:OB11G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSYMGIFVGVAASILSEGSSSLHWILIQMDRRHHHQTQDIQLARCSSPSDATGDSRRLEMCPSLYLAAYHGRVEEVMALLVQPRHRAAAHGDHQVNENDSLLWSNFQRGQCDLLEVSAESNNALHVAAEQGHGELIQELYHRFIKHGGFLSRRNSALDTPLHCAARAGRLAAVEVLLNLSRDSGGSILNSKNEAGDTALHLAARHGHGAAVEALVAARASASELNNAGVSPLYLAVMSRSVTAVRAITTSTCGDASSDGPSSQNALHAAVFQSSEMVDLILKWKPSLAGQVDVDGSSPLHFASSDGDRSIVSAIVRAAPPATVFSKDSDGLSAIHVAARMGHHHVVKELVKAWPDAAELRDGHGRTFLHAAAKNGHASVISLAIKNPMLAGLINAQDKDGNTALHLAVASAASPVSKGLAKLLSAGETLRTNIMNNDGCTPFDLAAKSSSFLPMISLVVTLTANGAKFRPQRQDQVNPWKGRRDTTEWIRKTSNSLAVVAILIATVAFSATFNVPGGYGDDGKAVLRGKTSYNFFVLFDGVAMTVSVAAVMLLVYAEASASWESFIFGLHCLWFSLISMVVAFWAALAAVTGRTTINTVTYQVINLGFYFLVCFIVYSTQPATSSTSMVKFMWSRLFSPRRHRSRVSRHYPLAGAFLRNLSAFVVVNILAYIGAQIAVQKT >OB11G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2637693:2645618:1 gene:OB11G14200 transcript:OB11G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSASDSRLKWRKRKRNSNASPSPSKPSSAAAAEHSDDSDSAVAANEDDDSAVPSAAGDDAEDETLAGGAGSEDPVLDLRQAEVLPSAEPLSAFPAATRRVVNRPHPSVLAVIAAERSACTGDGSAAAAPALENISHGQQQVLSGVLPDNASLATDPDKPSTYVCTPPNLMEGHGVPKQFQGRLHVVPKHSDWFSPGIVHRLERQVVPHFFTGKSAGNTPEKYMLLRNKVIAKYLENPGKRLAFAECQGLVANTSELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLQAEDISSLASNSEVVDFDSGLAELDGKIRERLSESSCSYCLQPLTSLHYQSQKEADIALCSDCFHDARYIIGHSSLDFQRVDGDKDRSENDGDSWTDQETLLLLEGIEKHNDNWNDIAEHVGTKSKAQCIYHFIRLPVEDGLLENIKVPDASVPFRAETNGYPHSDCNGSTLGKLPQRIQPGNHLPFINSSNPVMSLVGFLASAIGPRVAASCASAALSVLTRDDDSRLNSESNCSDLRGHGTHPNFRGHNGAISSSISPEKVKHAAMCGLSAAATKSKLFADQEEREIQRLTATVINHQLKRLELKLKQFAEVETLLLKECEQVERIRQRIASDRVRIMSTRLGSPGNSLPGGSSTMSSNPMSISPRPMGVPGSMPQSSMPAAFANNMQGHGHPQAQMAFLQQQQRQQMLSFGPRLPLSAIQTQPSPQTSNIMFNSGMPNSVTPNHHQLLRSSSGNNSSVG >OB11G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2646346:2647069:1 gene:OB11G14210 transcript:OB11G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVMYDRCLVALYLLSPLTVLALQFVSAPYGKLSRPGELLRLKEAGEGYQIPRGGLFEAVACPNYLGEAVEWLGYAVVAWSPAGWAFFLYTCANLGPRARDHRRWYAAKFGDKYPASRKAFVPYIY >OB11G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2654385:2663669:1 gene:OB11G14220 transcript:OB11G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALAGRKKGSRREMQSGMGWRRGVGVEILKNQGAKSYSYLIMLNSNSSERDYREPTSPSPSMSSSKSRDRSDLAGVDDPESAMSTVAQLLEQLHASMISLPEKEVTTKRLLELAREKKEARVLIGSHSQAIPLFISILRSGTSPAKVNSAALLSTLCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIYEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKTRPRQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTASQSNAASLLARLVSAFGDSIPKIIDAGAVKALLHLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIRAVVAPSTECMRGDTCHSLQSHAVHALSNICGGTTSLLLYLGELCQAPRPPVPLSDILGALAYALMVFRGTDGKSFDPVEIENILIVLLKSCDSNLVLDRILEALASLYGNACLSNRLNHSNAKKVLVGLITMASADVQKNLVYALTSLCSDGIGIWEALGKREGTQLLISFLGLSSEQHQENAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDIGACVESAGAVLALLWLLKSGSSRGQEASAKALKKIIRSADAATINQLRALLLSDSLSTKAYAIKVLGHVLVMASQRDLVHNGAPANKGLRSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIVQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDEHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLYQLLNQFPLNEVIPDYSQCYFIIHALMVCLSGINLENAINLDPLDVLALMARTKEDAQFSSPLWSAFIEAPESLEPLVRCISVGLPPIQDKAIQILARLCQDQPSLLGEHLNRSQGCIASLASRVIESTNMEIRIGSGITLISAMRHSREQSVDIIEASGCLKNLISAFIDMMKQHSALTSLDIEVWKPNPENSLYNYDKDVLGVSESGKILEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTSTLLLATLFQDSVLVQSPETMRTIPSLALLLKSDKIMDKYFAAQSLASLVSTGSRGIQLAIANSGAVIGAIAMIGQIESTMPNLVAIAEEFKLAENPSQIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLQILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLRNLFDSDIIRDTEVAWQAIQPLLDMLESGTEKEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRAVNRLLDEEHNAEIAATSDVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVPVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTMESTITIALSALLVQEKSNSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIKYLFSNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTICLLKESWPQMNEDIAKAQSLISAEAIPILQMLMKTCPPSFHERADSLLQCLPGCLTVTIIRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNHSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTIGRVTIQIDNVVTEGVYSGFFSLRHDGGKDGSRTLEIEIVWSNRPSNDST >OB11G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2667869:2671686:1 gene:OB11G14230 transcript:OB11G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARWGRWAPIVGVLLLALLMLPFAPAPASAATPARSPLSSASSAVFLLSGDVYPVGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPWYRPTKNKLVPCANSLCTALHSGLSSNNKCASQQQCDYQIKYTDKASSLGVLVTDSFSLLLRNKSNVRPSLSFGCGYDQQVGKNGAAQATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVPMVKSSSGNYYSPGSATLYFDRRSLGITPMEVVFDSGSTYTYFSAQPYQAIVSAIKGGLSKSLKQVTDPSLPLCWKGQKAFKSVFDVKKDFKSLQLIIGKNAAMEIPPENYLVVTKNGNVCLGILDGSAAKLKFNIIGDITMQDQMVIYDNERAQLGWIRGSCSRSPKSIMSSFP >OB11G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2668049:2669004:-1 gene:OB11G14240 transcript:OB11G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVAALAGSITLQPGEVATSVHVQKVRFRWVPNVHSNIAYPTGYTSPLSRNTAEEADDSGDLAGVAALAGAGANGSMSRASRRTPTIG >OB11G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2674500:2674859:1 gene:OB11G14250 transcript:OB11G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMVTSLLTLLVLATAPAMATCSIEVGKCGDLSASPGSCGAVDVCRFRCSVMGGDGSKARCDAAGRCCCPPGTATLCRPLDGCRNRVAECRAKCGDVSRDPGRAFCQDGSPGFGDSCCCHP >OB11G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2682808:2683290:1 gene:OB11G14260 transcript:OB11G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPFAQKKAVLALVSSSLMAAAVILTTTVTPTATAKGIHLGNCEPTVGLPCDRDSCGSQCGALGGDFNRSYCNADGNCCCPAKNILQCEDFEGCGRRIGGCRDLCQKDWDLSPSGAYCKDGLGSAKEKCCCRPNATAAAAVADDVRHDVHLSQRYLAH >OB11G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2684397:2684855:1 gene:OB11G14270 transcript:OB11G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIEPVMLEAAAGIDGGGGGRIGAAAAAVPNAAGAVDAVGSGGVESPVVAALRHAPGDAFPAVVVVLADEDVPRRSAARAGEVAVGPAGVAAHAAALVLALVGAAGLRQPQVAAPPRKGLPGGGRRGRHGGEERRRQRRDGDDLVSGRQRH >OB11G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2684625:2684852:-1 gene:OB11G14280 transcript:OB11G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSTHKVVAVASLTAALLAAMASPPAATGQPLPGRCGDLGLPQPCSADECQHECGGMGGDPGGAHRNLAGACC >OB11G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2689083:2689262:-1 gene:OB11G14290 transcript:OB11G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFRAGSCQLTPEWCTARCSYLGFNPVGAGCQVKDDGHVYCCCGAPNPSQSTYVNPSING >OB11G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2695972:2697754:-1 gene:OB11G14300 transcript:OB11G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAKTVSFVSAEGATNWRRLQKHVVNEEQVTIVKSTIRLTIDKFKLLVAILLNLRMDLDPSITNWGWMIINGCVTRDSTMNRSALAMGGIRANSYRELRDWNLSLIV >OB11G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2705059:2708190:1 gene:OB11G14310 transcript:OB11G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPEKAMGGEKEWYFFSPRDRKYPNGQRPNRAGYWRAAGADKPVGSPRPVAIKKALVFYAGKPPRGVKTNWIMHEYRLADVDRSAAARKTKSNNALRLDDWVLCRIYNKKGVIERYDTVDAGEDVKPATASRYTGSQNQTKFNRARHLHRQKQPKYNRDKFMLLGDVMQTCMHATIEP >OB11G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2709669:2712192:-1 gene:OB11G14320 transcript:OB11G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMALIAGLLLLLRLVPPSSSSSDVVLELQGNVYPTGHFFITMNIGDPAKPYYLDIDTGSILTWLQCDAPCQHCNKVPHPLYKPTKSKLVKCKEQRCADLQSDVGKPKRCGPQSQCDYAIHYVDGSSVGVLIVDRFSLRGNGTNPTDIAFGCGYDQGEENYNVATPVDGMVGLGRGRVTLLTQLKSQGVISKHVVGHCLSSKGGGFLFFGDAMVPTSGITWFPMNRGHKHYSPGAGTLHLDSNSQPINTAPMEVIFDSGATYTYFAPQPYQATLSVVKSTLSSECKFLNEVTDKDRALNVCWQGKDKIRSVDEVKKCFRSLSLIFSHGDNKAILEIPPEHYLIISQEGHVCLGILDGSKEHPSLGKRNLIGGITMLDKMVIYDNERALLGWVDYPCDRMPSSESMITSRL >OB11G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2713912:2714148:1 gene:OB11G14330 transcript:OB11G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLSAAAAAAASFHPSDADTYSTCFEVGGCTGTGCAIRCGEMGHSPAGSACRPKDTALYCCCGVDQNPPPPSLIVS >OB11G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2716475:2716940:1 gene:OB11G14340 transcript:OB11G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKNSAILLSLMALMPLFTTPPSCHAVSTQDGWSKQMCFDWQGCTLTVCRQYCSHRGLEWQGAFCNDSSDKCCCQYNVQR >OB11G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2731372:2736784:1 gene:OB11G14350 transcript:OB11G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3N6J9] MAEEVAAVAGELRASFRAGRTRPAEWRAAQLRAIVRMVEEREGDISDALHSDLAKPRMESYLHEISLAKAACKFALKGLKNWMKPEKVPAGLTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIEPVIGAIAAGNAVLLKPSEIAPATSALFAKLLPEYVDKSCIKVVEGGVPETTALLEEKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIFDSNVDLHTAVKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRALIRFYGEDPLQSEDLSRIVNSNHFCRLTNLIEDKTVSQKIVYGGQTDEKQLKIAPTVLVDVPLDSALMTSEIFGPLLPIVTVDKIEDSIEFINSKTKPLAAYLFTKDKKLQEAFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGIGSYHGKFSFDCFTHKKAVLIRGFGGEAAARYPPYTTEKQKILRGLINGSFIALILALLGFPRRDRR >OB11G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2738254:2743444:1 gene:OB11G14360 transcript:OB11G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQRQRSSLCSTATVVVFVSLCLVGLWMISSPETIPAANKKADALVKEEDSSIDATNNVKQNSANVVAETTAAAEAATDEADSSLKPAGDTKGDGEKATTSKDQTFDDENGRTEGGALVKPENGGDEAATDVKEIGGLEQATIDMNDTGEQTMTDTKEGVVQDKSPEEMAAAGDAKESGDGGDDGGATKNKQTFDDENGKLDGVNLVKDVENKTLSEENSKPLPEETTTVSSKNAIVAAVVPLEPTTTAISDEKLPDNGEQGQDEQRQQQQQPVEALPNGQADLLTERAAQNGSFTTQAAESIKEKKTRAEKTKKKKGKDKKRSSAAAAVEEDGDTSFGWKLCNTSAGADYIPCLDNEAAIKKLKTDAHYEHRERHCPGEPPTCLVPAPEGYREPIRWPRSRDKIWYHNVPHTKLAAYKGHQNWVKLSGEHLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRLVLDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDVVHCARCRVPWHIEGGMLLLELNRLVRPGGFFVWSATPVYQKLPEDVEIWGEMVKLTKAMCWEMVSKTRDTVDQVGLVIFRKPSDNACYKKRRQKEPPLCEPSDDPNAAWNITLRACMHWVPTDPSVRGSRWPERWPERVETTPYWLNSSQVGVYGKPAPDDFVADHEHWKKVVRNSYLTGMGIDWKTVRNVMDMRAVYGGFAAALRDMSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKSRCKVLPVIVEVDRILRPNGKLIVRDDKETVDEVQGVVRSLQWEVRMTVSKNREAMLCARKTTWRPTDVEAR >OB11G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2743790:2753347:-1 gene:OB11G14370 transcript:OB11G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:J3N6K1] MMDEDVFLDETILAEDEAALLLLDRDEALASRLSRWKRPALPADLASGCSRTVAFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMRDSNRSSNVPRFVKRIELVQKQTIMHYQPHQSQPFLKIVVALPTMVASCRGILERGISIEGLGSKSFLTYESNILFALRFMIDRNIVGGNWIEVPSGKYRKAARVMSYCQLELDCLYSDLVSHAAEGEHSKLAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIDRAEVLKIVEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVAVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQASGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGETFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFTKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPAAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNSIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMRFAKKQLTCLGCKAVISGSNQTLCSHCKGREAELYCKTVANVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLERWDF >OB11G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2780014:2781205:1 gene:OB11G14380 transcript:OB11G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFDATLLVNPPIRFVLELTLEGNPRYPLPLNLADCGGRGADDDGDGGGAGRRLHARMRRPISPSYSLLDLAGVDAGDDDPLDSCPFAGLCCPNDPLDQVLNLDTSAFGYSFFDSLGLEPSPPRVRCPGPGGGGGDGEEEEEEKDCKSAVERLPFAADARVGALSAPVAGGVSTLGAFPESKLLPLPLPLPYAGFGALTPCSAVGPGASGSGLMATPFAVPDNPLPPLPEARFDARPAVGGGESGMTMPDSVSQNRLLPLPGVRSATPSAPPGTPFRWELAAPSGGVSTTPSDSSLLSDESPPVTNLPKALGLTRPRKRRTGRRPPDWLLTCPLHLVPKTARGGGKSIKELNAGAALSSD >OB11G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2781593:2781763:1 gene:OB11G14390 transcript:OB11G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGPGTLCNACGIRSKMDRLLPEYRPSTSPNFNGDEHSNRHRKVLKLRQKKVPI >OB11G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2783101:2786588:1 gene:OB11G14400 transcript:OB11G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPPRTMSRRQDDGEVVIESHDNNAAERSGGGGAAELDDGERTDRPEPFFPCVDDRAAFSDAERVVDDEEDTEFPDGGDEEEEGDDVRVSFASAVGDHLREEQSELDEGEEGEEDVSRYDYGMWMEAEPMSIQERRRRLLQGMGLAASSRDLLRGRGARVRSIPPNIPRCTSRRPSSQAAAAADDAQPSTSAAAPVDEEKPLDTVKRQRNGALTRCRSDSRLAVRGGGAARKTQSFRRVGSVPHSLHGSPVHKALRAAARCPMPSAASSKDGREDNGDSGNSRRGITIKNLDDGKEFVVSGQPADGSWGALSDPQSGVKLSLDEFGRFIGYTPLVKQLMRRSQSQPVPAGAANGGTKPEKKKPRWFKNIKLVASAAGLIHEKEKDGNGGGCGGARTAAAQESASAVTMSKSASANASMASSSSLERPKVHSYGKSGKDLTGLCLRQEVRAHEGSIWCIKFSPDGMFLASGGEDRVVHVWRVTDADAPSSVMSPQLSASQSLPPLPSQGDGGSLVPGLAAQLSRKARVRRWKSGKDVLPEHIVVPETVFALADEPACSLEGHLDDVLDLAWSKSQVELPAPHSCTAMATTHPSYLLKRVRHCRSHDSHLELQQLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIIGSHKGSCRFYKATDCKLNQEAQIDMSISKKRKSHAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISAAKSVVFSWMSKLYMLVGTS >OB11G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2790821:2791024:-1 gene:OB11G14410 transcript:OB11G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGTGASRESGRSGSLRQATMAACAGRLAGVRESVAILVEESVAILVEELGEESEEESGAILVE >OB11G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2795171:2797525:1 gene:OB11G14420 transcript:OB11G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSRLDGDERAATLAEIAAGFEEWGFFQLVNTGIPDELLERVKKVCGDIYKLREDRFKESNPAVKALARLVNQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPAFKETMMEYRRELKKLGEKLLGVMEELLGLQEGYIRRVFTGDGDFEPFYGTKVSHYPPCPRPGPGDGLRAHTDAGGLILLFQDDRFGGLQMLRNDGGHAGQWLDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPRRPPPPPAMRPPSPTRASSSATTWRCT >OB11G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2798301:2799587:-1 gene:OB11G14430 transcript:OB11G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKRYAIVLLTFMCTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRLGGRLVLLLSFVLWSLICAVVPLDPKKVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKHMGPQSVFSVEAMLGVAWLLIWFKFASDPPRTDLPKVASKDKMKAQAGGVVAPRTVKIPWARILFSLPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLELSLQDMGSSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFIVSAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGVVMGISNTAGTLAGIVGVGLTGRILEAAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >OB11G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2808193:2814086:-1 gene:OB11G14440 transcript:OB11G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) TAIR;Acc:AT1G21640] MLAVCARHGPAKLPAPLPLAGERGAAGWVVGRWWWRPAAARHGVVAARASFSSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRTEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRRLQRLKNACYDAGFPRADGHPCPTLFANWFPVYSSTVPDDSASDELDVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDDLYLSAVQEAVSLGNIELVNLPVDIGTSPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVKNDQTEQLTNSPGFSSEGSQNGTPLESDGTVKEGETCDIDIEAARHNLEVTNSLPSGQSSEQGELHVTRTELQSNFRLESNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRQSSSLLISRRNQSLSIEQTGAIDYEATELKVLKSSNGASFDNDYILSVASGISNGKPSSNGASRSVEKMEMAASVVTVDPRTSDASNSSGNSQLVSQKSAERNGAPYLEKVKSDHVDGNMCASSTGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKTPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHIFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVLISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >OB11G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2815832:2826690:-1 gene:OB11G14450 transcript:OB11G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MGVLTTIYKQVPIVKPEKRRRKPINLQGFVINFFYTSIALSENQERFADLRAKGCNLLGPQCILSCAKEHHFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGILQNKGYMDANFVIAKDVLAAKYKWALNTLKKPIVSMSWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVANEPGGDKYVVAQKWGNIHIVDPKWIYQSVARKAYLDESTYLVCQNSTNFNAVKCSLKEQHNPEISTASFQPVPTTSIDDSVSTSHYVSALFGDASKICSTDIDAPSFQETNEIQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIVLGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPTHVATEVLSKEFSCVVMEKSVDTREIKAAKNSGGIFHIPTAIDLHDKLSSERKSARVKHEFMNSARTATKSAISSQQNGLASTSEYHPKFQVNSSAINLQNSRSSTTFKGRTFCFSNSFSHDRRAQVVDWIREGGGTVVDVAQSTVVDFIIESHGQDGMLCDFSHSTAVSTHWIRSCLEEGCLQDVGNHPIFSPLRCRIPFPGFEDCRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPVITAEWLFECVRQDRIVSFDQFHPKPPTSQDTDAGLCTVSQYPTQAAKTVSRFDCSESLTESQLPSSSSKCNSGNPSVNEEPNDPGVSKRRRLSQYGKANDTSGNIERTEKLQDNTPVPDVADAIEDLLVQSSKIQDVQPPRSIFAPDDSVLDKDQENTHSFGISRHWLNMPQKLHSTPDTKVQCVNLATTSAATPATTYYPFSETQTESQVVSYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDA >OB11G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2827486:2832950:1 gene:OB11G14460 transcript:OB11G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKSKTKPGTAPAPAAAGGRGPAVGIDLGTTYSCVAVRRHNRSEVITNDQGNRLTPSCVAFTAVDRFVGDAAENQAALNPSNTVFEVKRLIGRRFSDESVQEDIKLWPFKVVAGRDDRPAIVVQHEGKVRQFVPEEISAMVLTKLREAAEAYLGEAVKDAVVTVPVYFSNAQRQATLDAGAIAGLNVMRIIHEPSAAAIAYGLDKMPKASGSNAAGGRMVLIFDLGGGTLDVSLLSVGPGVDTFEVKAAAGDTHLGGADFDNEMVKHFIKEFVRKHGVVEGEVMRNQKALRRLRTACERAKRMLSFMPQAGVEVDSLHDGIDLCTKISRSQFEELNKHLFVKCVDAVAKCLRDAGMDKDAVNDVLLVGGSSRIPRVQSMLHEFFQEKKLRQSVNPDEAVAYGAAIQAAALSGGGEADTKNVVLRDIKPLSLGIEVRDDFTMSVVIPRNTFIPTKNVQRYTTFHDNQTKVSIKVFEGEGASTMDNTLLGNFVLAGIPRAPRGVPRIDVTFDIDTNGVLNVYAEDIDTGEKNSITITNHSRDGLNKEEVERMSQEARSYNKRKRVRSLITSGNMASAWLLNSIDPVDGNNKKLDRMNKVYESGMSDDQITNNALELYASEHNDKAFVLHHVWNVLRHERKWSAYVKRLSKEEGKEKENSENPSSVLNIEHDSSQRPIGHKKAKDERNGKRKSSEGISTINEKLEKFIEARQMATIDREKMADLQESLANKKLETAKLAHKTAREKTKCKMLEMYKELLFASTSQLSEEALAEREKALESMRLSLFNKDD >OB11G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2836550:2838994:-1 gene:OB11G14470 transcript:OB11G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSECGGPAVGIDLGTTYSCVAVWRHDRGEVIANGQGSRLTPSCVAFAADGAERLIGDAALNQAARNPTNTIFEVKRLIGRRFSDESVQEDIKLWPFKVVEGREGRPAIVVRHDGDERQFVPEEISAMALAKMRETAEVYLGKTVRNAVVTVPVYFSNSQRQATIDAGAIAGLNVMRIISEPTAAALAYGLEKMPVTNRDRTVLVFDLGGGTFDVSLLNVDPGVDIDMGLFEVKATAGDTHLGGTDFDNELVKHSLREFIRKHGSSDIKSNQKALRRLRTACERAKRMLSSMAETTIEVDSLHQGIDFCVTITRSRFEELNKHHFARCMEAMEGCLRDAKVDKNSVHDVVLVGGSTRIPKVQGMLREFFDGKELCRSINPDEAVAYGAAIHASVLSGGSDDDRRLVDMILREVTPLSLGVEIIGQTMSVVIPKNTAIPTKKVRNYTTLYHNQIGVDVLVYEGESASTKDNHLLGQFVLSGIPPAPAGVPGLDAIFEIDANGVLHVSAVVRDTGGKNSISITNHSGRLNKEEIERMALEAQKHKTKQIK >OB11G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2848680:2851324:1 gene:OB11G14480 transcript:OB11G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSKCGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGSRLTPSCVAFAGDGDGAERLVGDAAVNQAALNLTNTIFEVKRLIGRRFSDESVQEGIKLWPFKVVEGREGRPTIVVRHDGDERQFVPEEISAMALAKMRETAEVYLGKTVKNAVVTVTVPVYFSNSQRQATIDAGAIAGLNVMRIISEPTAAALAYGLEKMPVTNRARTVLVFDLGGGTFNVSLLNVDPGVDIDMGVFEVKATAGDTHLGGADFDNELREFIRKHGSSDIKSNQKALRRLRTACERAKRMLSSMAETTIEVDSLHQGIDFCITITRSRFEELNNHLFARCMEAMESCLRDAKVDKNSVHDVVLVGGSTRIPKVQGMLREFFDGKELCRSINPDEAVAYGAAIHAAVDMILREVTPLSLGIRTDGNHTMSVVIPKNTGIPTKKVKNYTTLYDNQTGVLFAYKGESASTKDNNLLGEVELSGIRPAPRGAASLDVTFDIDANGVLNVSPEDRAHRGEEQHQHHQPQRPPEQRRNRAHGSGGPEAQDEANQVNRSSICDNAITHIGSTITQQSIIILQYWLGSCLWP >OB11G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2868096:2869517:1 gene:OB11G14490 transcript:OB11G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIGRRFSDESVQEDMKLWPFKVIQGLEDRPTIVVRHDGVERQFVPEEISAMVLAKMRETAEVYLGKKVKNAVVTVPVYFSNSQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKVPVTNRGRTVLVFDLGGGTFDVSLLDANMGRFVVKATAGDTHLGGADFDNELVKHSLREFIRKHGLSDIKSNQKTTIEVDSLHQGIDFRVTITRSRFEELNKHLFARCMGATESCLRDAGVDKNSVHDVVLVGGSTRIPKVRGMLGEFFGGKELCRSINPDEAVAYGAAIHASVLSGGSDDDRRRVDMILREFTPLSLGVEIRRDPSPTMSVVIPKNTAIPTKKVKNYCTRYDNQTGVLFAVYEGESASTKDNHLLGQFELSGIRPAPRGAARLEATFEIDANGVLNVSAENTDTGGKNSITITNHSGRLNKVEIERMALEAQNHRRKMKLIK >OB11G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2869762:2869926:-1 gene:OB11G14500 transcript:OB11G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLPFKTWFQKQQKNKLGYGQTQEPSLKLHNNTAPSGFVFLTTLIFLCLILYLI >OB11G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2874631:2877417:1 gene:OB11G14510 transcript:OB11G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTDANAGN >OB11G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2878383:2883792:-1 gene:OB11G14520 transcript:OB11G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNHLNDNPHIVCSIDRGIFPCDPTPRKEAKHNSSPTYPYYIFEMTSGGGGGGAAGGLEERSDAEADSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNTLSFSRSSISVAKYCAKRVPVGCSVLAVNNGKIVFHKDAVQQEPYHSTSTVNETPRRSYRKLLTSMIGEKLRNDYEQDNRLISRAVTMPTSSPVPTKEVSLALVPVKVHRRESPEVATGWPFLRKKFLPDRKPALHDRSKISVVQWAMRLPSRYSSASPVRSEYRNTRPGTTTCASRILRDRVVVPSRSNSGKSSVVIEELDKEVPEELISLREKFPSVYSSFSYSELAKITSDFSPECIIGQGGTSRVYKGCLANGKEMAVKILKYSDEVLKEFVSEVEIISSLSHKNIISLTGFCFKDADLLLVYEYLQRGSLEEILHGEKGYGNLFGWTERFNVALGVAHALDYLHGNGNNCPVIHRDVKSSNILISEDFEPKLSDFGLALWDTDATSQITCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVILLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTVDHANKVERMTLAASLCIRPTPQHRPHIADVLKLLNGDSSILKWARSEVGLSYDSDGDEAVVTPPANNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >OB11G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2909009:2918827:1 gene:OB11G14530 transcript:OB11G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:UniProtKB/TrEMBL;Acc:J3N6L7] MALAAGAGAAAAPSLPSSPSILLVSSVNTAPGICPSSSKRSPVYSAWSKRRSPPARAPLSCAASTAPVSAAAARQPLRRASWRDLCSLNAWVVQDYRRLVGAWGALEPRLRGLPDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYMCDITYTNNSELGFDYLRDNLSRSKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVSAKVADLLMEGVHYTVELKGNNIDLTEEGVTCAEMILGTNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKIPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKDHNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVHRSERNEWSFQKAKSTIAEFVEMSHTIGMEKLQDRLSEESEMYPLCDTIGLAYLTVLRDCEIHCSAEGTEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHIYNLRQVILSGDSESLSEQIFQYMQAVVDDVILVNVDPQKPPNTWKLASLLDEFVSLGGTLLNEPFKEIQEKDILSSLEQIHGCGPVNVDNFTLPNMPMSPNLFRGIHKRASSMMRWLTICVDDSSKKGRYTYIVNVLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWTSPMESEEIFNTGDK >OB11G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2927530:2934663:1 gene:OB11G14540 transcript:OB11G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDSLRGEIVAAATPSIRRSAASMQPTQPRGMLAATATATVMSLLERLNRAEEEEEAAESLRASLSWFVEQLEMAKDDAEEEVAQLETMPRLAEKPVVVRPPKSMMDKIPDAFRQDIINKGIEEGATVDNMDPSCPFLVLEKFDWHLAAKWMDLKAYWVAKSIPNDIIIPDPTPETVQDAFDRIFPRLQILLWKDNVTGFLELFSISSSAFTYKFSITSETLTYMVRLNALRCAKVVLEGKAPELCYMHANPNCMNLYGSFPLHEATARCSVDMIKLLFRHGASANVRTLADAGIQGLLPLHVAVENTRLHKYLVDNLSPMQYHEDYIYKLIHLLCLPEMKVFLDPIRLLARKTDNLVDELWNYMKNGKLAQTAVLFLAAQKCMRKGKPNGFSIITHRIFEDVYSSPCGEGDTVEAPKQLDERKALLNCKCLLFNIISQAGEVLYNYIQAHSEVPHEEVLARVSSILKEFGFCPKEGYADTMNLYCSSCIQYNINILHVNATKGVERTSSLHAAEKKAARKKTLRVQDPTYARRGVFPLRRSVLAEQLPSKAAGDGKPLLDGGQHRNYWSNSMSNESWIPNNYIGFLGRIHQLTGNQSRRYSAAALKMLRRC >OB11G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2938141:2940094:-1 gene:OB11G14550 transcript:OB11G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTLNRLELKEEFEKDVRPYLASKLLLLHGPIEGTSLAYFDYLAHVTLKHAPVYVSSLDLTIRKRIFSAVVWEKLLKHVVDSNIFVCIDWYESYGNIQSLYHHGVSVPYEEDSFFRGESDASFNESYGIAKLAFGIMKDWKLLFIDIVENVKCTDINSAEAYAMLALLTKCHELNLRTGAVWTDNRIIFGVMSGEYTVSAGDPNQQLFSLLRFFMSKFDVIIPFWMPREGLFFPDGLIKALERKQHACPPPISISEVAKKSVFNSLRACSSYFIGVPLFRISNTREMSSNLADSCSKLRQNVNKNVEELDSNLTDSRSKLRQNVNKNGEELDHSWAAKVFYHCVQSEEEKMQFIDEILEALDPKFVIMIMGSSDGKPVDVQKRVASLYDRCGYNTETVDGCYKCYLNVGGSPCGIEKNLVLVFDASLLEKYYMHHQADSCIIILPLPHELQTLKKRGVIEISGETFVCFHGATFDKTKMKFQKK >OB11G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2950791:2951297:1 gene:OB11G14560 transcript:OB11G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVQAETSTKVLASDVDGDGVRDWRFPAHGFTLMAITTRFLARGEAVLGADGKPTASFDVHLDEPDPSGLPAGARLCHLLRRQLVLLRQLLLQGRSPRRVQQQLQRRSMPASQTSASRSSSAASSMRRSRLPPGAMTTSATYTPSHFQHGSWFDDGYCNKIDTAIGS >OB11G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2967194:2971895:1 gene:OB11G14570 transcript:OB11G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYASSPPCKVRDQPCPRRAAGLLAAAGAGVGPVRGGGRRRRRVLAGVRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVGLREDAAGRFFAPLVAAEPEDADGEPVAVQALALQGFSRLCSSPEFQVLLNQIRGKAVVFTGHSLGGAIATLVALHYLCTLSSSSACAPAPPVLCVTFGSPLLGNEALSRAILRERWAGNFCHVVSQHDVVPRLLFCPLNVTPAHIAVGLQLHQLPVRARRAAGVVATVTARMADTNQESLRQLILEHAGAAAIEQKLAAPVIRGGSPYRPSGAYVLCSPDGAACVDNLTAAVQMLYATFAAWCPPVTGAASPEAAHSCYGDLVVSMPHHLLLKRRLDTTATVPAASNYDVGISLALEASGIAGETTEAAPVRHWLKTSKRVCRSPSLNCASLARRLGRITPCRAQIEWYKASFDGDTGYYDAFKQRLSPKKFSNANMNRIKLAQFWDGVLAMLDTSQLPYDFHRRAKWVNAAHFYQLLVEPLDIADYYRNNLHRTRGSYITHGRERRYELFDKWWNQKGCTGVGTGDTSAAMAARRSKFAGLTQDPCFWAKVEEALEQVECAKSERDTAVLAMMLERLHKFERYSSELVENKDISIDVVAPQSSYSLWVNEWSELKLRDEVRTVLFQF >OB11G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:2997742:3001150:1 gene:OB11G14580 transcript:OB11G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAAALEVSAAEAGRGAGEEGEWRDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRSGDPCSGKRNYTYMDAVRANLGGAKVRLCGVIQYANLFGVAIGYTIAASISMLAIKRADCFHEKGHKNACRSSSNPYMILFGAVEIVFSQIPDFDQIWWLSIVAALLSFTYSPIGLPSGIAPTVASGGFSGSLTGISVGAGVTSMQKVWRSLQAFGDIAFAYSYAIILIEIQDTIKAPPPSEAKVMKRATMVSVATTTVFYMLCGCMGYAAFGDRSPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFCQPIFAFVERWAAATWPDSGFISREVRVGPLALSVFRLTWRTAFVCATTVVSMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIAQRGVRRGSARWLCLKTLSAACLVVTVVGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLDPRGRRLHRRRGRRAQGVPAVQRLAGPGAAVHHCRCQVGPTRYEIYIKKRENVFISELVCMAFCHVTPRRFLY >OB11G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3004771:3007235:-1 gene:OB11G14590 transcript:OB11G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRVEVDTARPFRSVKEAVAVFGERFLVGAGHSRRPSNGNGYAAVVDIAIANAKHEAGSSSSGDNVSSSPDAMEAEPEEEDAAPAVVPMYSAPSSPQSSPNGEEADDERDGGVVDAAGAMVVRSVKKLEAEVAETRQEVAQLKKRGSEMEMAVASLTAQLHRGLSKLAELEADRAARRSIGGDTDVMVATFRSERWGGIGGVLIRAIQDANQGDRIKLEDGKNLDEFGIVMRLGKNADIAPCLVH >OB11G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3034905:3036786:-1 gene:OB11G14600 transcript:OB11G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISLCLLLLLLLWPLGVSMAASTQQLPLQLNMSQENIMRDIMSSVSSVTNNWNTSSTPCEWSGVHCSSAASSAFVTGLSLSGYGLSNATIMESICHLDTLRSLNLSRNLFTDLPGQFSPCSMKAGLQELDLSNNRLSGHLGNFSGLQELEVLDLSFNSLNGGISTQLSDLPKLRSLNLSFNGFEGPLPTSMVSSLEELVFSRNNFGGNIPMGLFKHLNLTLLDLSQNNLVGDVPDRFLSFPKLRILLLSENNLTGKIPRSLLNATTLFRFAGNHNNFAGSIPQGITKNTRMLDLSYNILSGEIASDLLSPDSLEAIDLTANRLEGPIPGNFGSLYQLRLGQNMLNGSIPESIGSAISLVSLELDGNQLRGPIPSQIGKCDNMVLIDLSTNNLQGVVPDELGNLKQLVVIKLQTNNLNGYIPRTFSGMANLEVFNLSENSFSGEIPSTLVRLPKLCFVDLHSNKLSGVIPSSISSLHFLNSLHLGNNQLTGTIPTMPTKMSALILNHNHLQGPIPSSIGAISNLVLLDLSHNNLSGPVPSSLANLKGLMYLFLSYNQLSGHMPELPRGVTVDVTGNPGLTEGTENSDSQYNVARTQDDFRSTTWVAAVSFAVGFIISFYWVGIRK >OB11G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3039572:3044228:-1 gene:OB11G14610 transcript:OB11G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:J3N6M5] MAAPASAAAGLGAMSTDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHGILQEKLHTFGILGCVLCVVGSITIVLHAPQERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFTLIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDRQSPTQIVTELCGFVTILSGTFLLHKTKDMTDSTGSSLSTSRSKGGSQNRFAIEVIPLKYRDSVDDETLPLSLPKADDRYLMEDIPVRYKNLNTV >OB11G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3058523:3059785:1 gene:OB11G14620 transcript:OB11G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFPLLFNHTNNATAIFSFLQLRKFVIGWSKSSAVQQPASSVTVRQEESRYIKEIDTNRSKRLQLRFKDCNFRAIMEEFTFFPGLAGEGQCKIPAASSSPRRDHLGGLGSPPPWVFAAVAEDDDGGEDEEKMDLLWEDFNEELARTPPVCPLSPLNIKGGGLTPMAKDAWLSAVDGGGGFGVIVAGGGEQLAKRDRSMYSGSIVRRRRRWSLLLMLRLLKNLFLAKNTRNARTAPI >OB11G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3060515:3060775:-1 gene:OB11G14630 transcript:OB11G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIFQVFSDQFDKLQKYLCLGQFFFLKKIKKYDLIRREIINTNYMISSALGLLMPAFPEDEQMIVLWILVCFGCNIYEAEDLESSGTA >OB11G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3061778:3067276:-1 gene:OB11G14640 transcript:OB11G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGRRSREGEGEEEERAVAASRVVREEQGGRMEKEKRKEKGKGKEKERREEDKHFGRSFFRVLLTPQSLERMKIPSSFNQCLQNQPTGMVSLADRSGNTWSAELTSDSEGFCFVHGWKQFVRDNFIQCGQFVVFTYDKRSHFSVVVFDPSGIDKMPSHFTHLSKKLIIKTESDEMGIDNAAITSEKMAPLPKDNNRTAGKIAIDVDCHMEDRVLLKKSNEANVSGSAKRKRSRASLGKSKATSISHNSTKDSSNNMSDEDMSCSKSPKAPFLMRFMSGEVARRGKCMSRGQRQLRVISQRRPVTEAEKDHALQRAKEFKSKNPFVLQIMMESYVYVGFFMNIPCEFVRECLPRTSKRITLWDPQGKAWAVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPPKLQADKR >OB11G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3067302:3067649:-1 gene:OB11G14650 transcript:OB11G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTRRGPSCQEEEEAISARADRTDQFAPSLPGASDEGQDKNGSFAVFALCLCVPPASSGAGATPVPWRLRATRRLPARGINKPFLHGWRRFLASWFLAAVVAAVVAAAAVVDWW >OB11G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3079184:3083891:1 gene:OB11G14660 transcript:OB11G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSLLVSMRSRVCAEISQDLGGGEGLPEWNRSKNWKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGTSGNAGDAGVSDKGAVTSDPVVEEKGLPLDAGGAEKEATEVAEGGKGGTLYNEGGRKELEQYEADAMGAAGTGIREVDPDDEYDDGIDAQDDLDDSQLHSSDGGRKLGDSSHESTESKENIAHDSTDIKEKIASERHTETSGGHSDGGDVSDAADVNQKKVSGTGDKKHASKKKSKRKKPGSTCEMRFLNSTAQLVEPAKNDKFASFNLEYVGVEEKPLGSEFWEPRFAGHQSLQEREESYLAHDQQLKCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLQTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPLDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRTNPEKPFRLNMFKDCERRSIAKLFHHRSEERRSSPQLTR >OB11G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3084266:3085282:-1 gene:OB11G14670 transcript:OB11G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIWRGRCCVMRTMAMVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXADDAVRDRLSDVRAVVSEAERLARKIMSSTSSAGGDDDDDASGMVVVMLVAKITMAVVSMFVLQALTSPIIPLATDDRCTFGHAAVPVPELQPWRESLSVITDRFPRRPSVAEHERVAMVVRSMMVNTKTEGSETDENGKQQEDEDEMVRHVELLRTRSGELREGVEMFDCVLDEVFDEVIKGRNEMLGIFRDKALTLG >OB11G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3089987:3090379:-1 gene:OB11G14680 transcript:OB11G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLRAARCGDCAQLEALLLGTGAXXXXXXXXXXXXXXXXXXXXXXXXAQPPVLLLDGPTTPRGDSALHVVAASGDGEAFLRCARTIYRSAGHLLDRPNARGDTSMHCAARAGNAAMVRCLLEMAREEQ >OB11G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3090813:3105027:-1 gene:OB11G14690 transcript:OB11G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRLARLPGGDGMSPLYLAVSLGHDSIAELLHQQGDEELSYAGPAGQTALHVAVLRGVEMTEKILQWNKGLTGEADASGSTALHFAASADGAEIDLENSSLLRWIRLRWPCSCHGRRTSTQALLKADPSLPCRPDSNGEYPIHVAASMGNLKLVALLLRKCPECAGLRDARGRTFLHVAVDQRREEVVEFATDGDQLPAAILNAQDDDGNTALHLAVVSGVLNVFCYLLRNSRVFLDLPNNGGLTPADLCRSTIPAGLHYKTNARTWILWSLVVAKASGGNIRRDHFQQQYVPKLDESTESKKMTESAQILGIGSVLVATVAFAAAFSPPGGYAAGDGNGSLAPGTPALAGRYAFDAFMYAVAVAFTCSMLATFSLIYAGAAAVDWTIRHIYFKHSLSWMRKSTRSLLVAFALGVYLVLAPASRATAIGVCVFTAGTLLFRNREVVRMIVCARVLQRRMGVAVLAKIGVPIAFDLVKSNLVYLVIFGAPLWTPLCVLFFVWRLSPKILRYIHRKLI >OB11G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3121596:3123748:-1 gene:OB11G14700 transcript:OB11G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLIRLFKPFDELVVDSKNFDVSALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYHGAAEEFKDKEIKFLIGDIEASQGAFQYFGLKEDQVPLILIQDGDSKKFLKAQVEPDQIVSWLKEYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDVVFKSGKNVLVEFYAPWCGHCKKLAPILDEAATTLKSEEDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYDGGRTADEIVDFIKKNKETAGQVTEKAESASTESVKDEL >OB11G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3131944:3132756:-1 gene:OB11G14710 transcript:OB11G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G49400) TAIR;Acc:AT5G49400] MSGNDDKSQAAAERIKAQALSAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAPASSGGAYTQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKKMAAPVSYQFQNPDLEKEKEEERKLIKEKMKQEKSEKSKRKSKRKYRSRSDSDSSEASVFDSHSESSVTDSEHSSGSSSSYSSSSDSEDKKRRPKRKQQKRRHRRETSSSASSESDSESASDSDSDDKGSRKKSRKRSDRR >OB11G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3134346:3139940:-1 gene:OB11G14720 transcript:OB11G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNLKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPPAPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQNRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGTGAPVPTAANVNRGTAPPRPTGVAFSPLLNVHHEDDEPEDEFSVLSRRSARDGTAAQGNLLSAPKSERPYPSPLLPPPPSSKRPVYTEPSNVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNLPLSYDSRSENVSDDFINPTAVPSFSMPSRTMSESNRPSVKRQESLPDDDDFINPTALPSFSSSSNANKYGDSGEDLPKAPWEPTPPTSLPPPPARYGQRQQFFEQQHSLPSGNNGGGYNGLVSQTEGLSLNQRNTDNERGASVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >OB11G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3146419:3149619:-1 gene:OB11G14730 transcript:OB11G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGQRRQEFSFQGSSPSSMAAAGGAEFERIGVRMRSPLGNPMALREGEEESRWLQASQIGSPESGSGTPSPQFWGREMLDLVRGLPESAYELSLRDIVESPPAPPPPPPPHPLPPPPPTPPPTTTATTEAKIAAAAAGAMDDEANKKQSKKQGKSTTTTTKTKTRKQRTRSRSLDRSASLDTGLLIKLFLPLSVGGGKKKVSPKPPASGKKKTATKKKQQQEAQQEEEWWNKGEFSEAGSSSRTSSTNSTNSSSSGGGGHGSSGGNGHGGSNPKALTNRSRSRKRIGCYGFFKANKSKNGGTED >OB11G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3172285:3173729:1 gene:OB11G14740 transcript:OB11G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:J3N6N8] MDTGGNSLPSPSCADDKKRRVSYYYDPGIAHVKFSDDHVMVPARVAMAHSLVGAHGMLGDMRRLRTRPATEAEIRRFHSSEYVGLLRELTPEGYADDGPLQKKARRHGVGDDCPAFDRLWEYCRSYAGGSLAAARALVGGDSDIAINWSGGMHHACQDMASGFCYVNDIVLAVNELLGRFQRVIYVDIDAHHGDGVEKAFAESNRVMTLSFHRYGIRNGQAFFPGTGAVGDGDSYRVNVPLDYGVRDEGYHAMFKPIVTKAMEVFRPEAIVLQCGADSLSGDRLGGMELSTAVALGKERELPDEIPRHGYEDFYLNQGYKLHYKIPPAPAKKKCRNAAPGTMQQVLERLSGLELAPSVQFHERRSSSAAAAGDDLYYEHPPSLEDEDSAQRLHRLIMDKSRARTLDGTPDP >OB11G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3177478:3181832:-1 gene:OB11G14750 transcript:OB11G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRGGDDRLGALPDEALQHVLSFLPLPEAVRASALARRWRHLWRSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEIKLGTFRSQDDPLINLWIRHALLCQARLLQVHLSVDNNSFELEGLSLVSRYLTRLELCNVVLNNCFLNYSTCPALEELVMRSCHIESGRILSESLKRLSAVGCVFSSYPRTRISLPSLVALELTALWGSTPVLESMPSLLTGSIKLTDCDDQCGKEEFGGSCDYNVCDNCGGNEASTGHCVVLEGLLEAESLELIAIPRVFIFRRDLMWCPTFGKLKTLLLSEWCVANDLVALICFLQHTPVLEKFTLQLCETPVNWMEKEGGYNPTENPFASEQLKVVEVKCEKFDLRVHKIIMIFSTYSLNIEQIYVQRSVRHSEEPTDDSGAGPYLFQTPQVSPLENARLNSTIDQLLAQEELLAQRQKQQQALSQFQWWRRILCEIRAQLSEYSAPPLSHRAPQADLYPEDMSGNVMFRVFYGDGFVQYCDSGVDLTNFQYVDSSLNSSELVMFYDVLGWLHHLLGINPAERLVVKAVWPVRGETGWKWDLLEVKSNLIWMKFVATELSRGYGRGLLVQKRTADLTPGVSGDMARVGISYQPTATDYDTLHRRHYLHMIFPHISCQQPRRWNHCVSHLPQTQKMSNFFAAEPELQQWGLLKNIDTP >OB11G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3184188:3184502:1 gene:OB11G14760 transcript:OB11G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRVVPGPGREGRWPRAHEIKGPPKNIYICIYLYNKMGQRKYFVSGLMAHQPRSRRPRVKEKGLRNVAAELVKIAPGWQPHCPISRRRTRKLFLSFCHVLDSS >OB11G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3185709:3187574:-1 gene:OB11G14770 transcript:OB11G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18520) TAIR;Acc:AT4G18520] MLFFCPASPPPPVQIAFPLPPLRTNSFPGERGSRKPEHQRLRAQSSLPAERRSPLRARSHSGGGYGFVERVPFGDSSGSAAAPCLPDAEALASWLRSSSGAGGVRRVHAVAVRSLDCLGTFVANNLISAYAKFDEVLDARKVFDEMPERSVVSWTAMMNAYLKLGHYGEVVRLFFDMVGSGLQGNSLTFVCLLKSCGERCDAKLGQQVHCCIVKGGWSNVIVDSAVAHFYAQCGNVASASAIFDKMASRDVISWTTMITAYVQHGHGDQALRMFSEMVSEGFRPNEFTVCSVLKACAEEKTVRFGKQLHCAVVKKMYKNDIHVGSALVTMYARCGEIFDAQTVFDMMPRRNTITWTSMISGYAQSGHGEKAILLFRKMKMRRVFVNNLTIVGLLSACGSLQSLYLGKELHAQIIKNSMQDNLQIGSTLVWFYCKCGVYSYAAIILEAMPDRDAISWTALISGYNNLGHNVEALKSLDDMLWDGVKPNTYTYSSALKACAKLEALQYGRKIHGFVNKTQDFSNVFVGSSLIDMYMRCGKVDEARRVFDAMPEHNLVTWKVIITGFAQNGLCEEALKYMYLMQREGHEVDDFVLSTVLTSCGDLQWKSISFSGSLAGSVSARN >OB11G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3191013:3194358:-1 gene:OB11G14780 transcript:OB11G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRKREAVEGGGGGGGVDYIGALPDVLLEHVLSFLETKEVVRTCVLARRWRHLWASMPVLRVTARDSGHALHKFMDHLLILRNRSPLEACVFDFSVFSNSKDDMSFVNLWIRYVLSCRVRVLTLHIGGLRLINLPVVSGILTTLDLGSLSVYGMFLDFSSCPVLEVLNMTKCTIFADKISSMSLKRLSICECKFKSDMRATISVPSLLFLQLTAVKGRIPFLEDMPVLVTAEVILSDSSCKDRCRSNDPGYCPIGCAHCYGIDDGSAGCVLLKGLADAMHLELVADPEMFILRRDLRWCPTFNNLKTLLLSGWFESPVQSALICILQHSPFLEKLTLQLFKKPEINLQSKAIYNLIEQPFASENLKTVEVKCEDIDQRLHKLMKSLNSYGIPPEKFTIQQTISSYECFNFVWTGFIPRQS >OB11G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3197071:3200673:-1 gene:OB11G14790 transcript:OB11G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSEEEAPSAAGVDRIGSLPDTVLHHVLSFLPSQDAVRTCVLARRWLDLWKSVTALRIGDCEKDPWTVKGLQGFVDHLLLLRESAPLHTCVLRFIEFKEDSDDTARLNLWIRHALLRKVRSLQVYIQDGACCFHQIYLDIMPLVSPHLMRLELTGVRLAGGFLDFSRCPALQHLEFEHCELLCDKISSTSLKFLSISDCKFNQTSRIRICVPSVVLLRLDDFYGRTPVLEGMPSLVKAFVRVAHRTLDCCRYSIINSGDCGNLDCRSCYDIKYINGCVLLDGLSEAKTLALIDEIRSFIFNMDLKWCPLFSKLKTLLLNEYWCVPDDFSALACILERAPVLENLILQLYSEGPKHAMKIKGNCHPMNRSAAISGHLERVEIRCEAVDKSVLKVLKHLSTFGILFSFEEIEISEDDNDQGEEEEDDEDEDEDEDEDEDEELYGEEDGDDDDDDDA >OB11G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3202745:3204841:-1 gene:OB11G14800 transcript:OB11G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKKAKRASRRPTGGDRIGALPDGVLHHVLSFLPAQQAVRTCLLARRWRHLWKSATGLRIGEHNINLRSVKENQGFLDHLLLLRDSAPLDTCVLMFREGNKNMEDEDVARMNLWFRHALLRKARFLQLHVLYGKNDRWLVPIDDLPLVSRHLTRLHLYGIRLNDSFLNFSSCPALEHLVFRLCAFECAKISSNSVKLLSITNCTFSETLRVRIDTPSLVSLRLDDLSDRTPVLERMPSLVDAFVRIVDYTNDVCSDSDTGDCDREGCVPCYGIKDNNSVLLQGLSEAKTMVLINEHDSFIFERDLKWCPTFTKLKTLSLNEYWCERDDFHMLACILEHSPILEKLIFQFDYQGQKSKIKGVFNQMERSAGILEHLQMVEVQCVMVDDTVVKVLNYLSTFKIYFSFEEMEH >OB11G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3204657:3204884:1 gene:OB11G14810 transcript:OB11G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVADFQRWRHRRASRHVRTACCAGRKESTWWSTPSGRAPMRSPPVGRRDALLAFFPRGISSNRRRVPSTSPTP >OB11G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3211547:3212728:-1 gene:OB11G14820 transcript:OB11G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRSRLLPLLRATTSASPLRLRHLLLSSASAASFSALHLSRRRLSTATAFSVEEYLVDTCGLSADQAVKASQKLGHLKSATNPDAVVALLSGVGLSRAELAAVVASDPRLLCARANTIGPRVASLRDRVGLSDPQIGSLLLAGGAAALRSGDITSRLEFWIQFHGSFEALLKALKGNYRILTTDIEKVIKPNIALLRKCGLTVCDIVKVAGCSARLLTYHPKKVEAFVQRADELGVPRSSSYFKYTLSTNNCVPERKAAARMKFLSSTLGCSMDKLRPAVCRAPQILGLSEENLRSKIEFLVNKVALGPDYILQRPVLLSLSLVKRLAPRAYVQQVPAVEGLIKNDVDFYRSVCLVEEDFVARYIDRHESAVPGLADAYASVRAGKLPAQV >OB11G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3219066:3226096:-1 gene:OB11G14830 transcript:OB11G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRSRLLPLLRATCGTSTSASPLPLRHLLLSSAPAASFSALHLSRRLLLSTATSTVFSVEEYLVDTCGLSAAQAVKASKKLSHLKSATNPDAVVALLSGVGLSRAEIAAVEYLVETCGFSAAQAVKASKKLSHLKSATNPDAVVALLSGVGLSRAEIAAVVASDPLLLCAKADTIGPRVASLRDRVGLSDPQIGSLLLAGGAAGLRSGDIASRLEFWIQFHGSFETLLKTLKGNYSILTTDIEKVIKPNIALLRKCGLTVCDIVKMAGQAGWLLTFNPKKLEAVVQRADELGVPRTSSIFKYTLGVTACITEGKATARMKFLSSTLGCPMDTLRSAVCRVPQILGLSEENLRSKIEFLVNKVALKPNYILQRPVLLALSLGKRLAPRHYVLQVLAVKGLIKNDVDFYRSVCLVEEHFVARYIDPHENAVPGLADAYASVRAGKLPAQSKCNLNHQYVLMAAGPSANSLPIQPWHVEAQGWPSLLEAFYENDKLFVENLVCIAEYISQGLLSEDVAERLQAFWCKQIEEYIIIEFFKFMRNPLSWISLDGYDLNSNRTSHMVQISISRKLVPF >OB11G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3230166:3230717:-1 gene:OB11G14840 transcript:OB11G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSRWLLTFNPKKVGALVQRADELGVPRISSIFKYTLASTGCMAEGKATARMKFLSSTLGCPMDALRSAVRRVPQILGLSEENLRSKIEFLVNKVALEPDYILQRPVLLSLSLEKRLVPRHYVLQVLAVNGLIKNDVYFYRSVCLAEDNFVARYIDRHENAVPGLADAYAAVRAGKLPVQV >OB11G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3234996:3236174:-1 gene:OB11G14850 transcript:OB11G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRNHLLPLLRATFHASSYSYPPRLHRLLLSTASRPAAAGARFSVEEYLVGTCGLTAAQALMASEKLSRLKSASRPDAVVAVLSGVGLSRAELAAAVASDPMLLCAKADGIARRVASLRDRVGLSDPQIGSFLLAGGARSLRSGDIASKLEFWIPFSGSFDMLLRVVKGNYRIFTANIEKVVKPNVALLQECGLTVCDIVKMAGYASWVLTFSPKKVEALVQRAEELGVPRTSGVFKYTLGATGCITEGKATARMKFLSCTLGCSMDKLRPAVRRAPQILGLSEENLRSKIEFLVNKVALEPNYILQRPVLLSLSLVKRLAPRHYVLQVLAVKGLIKNDVDFYSSVCLVEEDFVARYIDGYKDAVPGLADVYAALRAGKLPVQLYPTSSD >OB11G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3237753:3242710:-1 gene:OB11G14860 transcript:OB11G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMDGGSWEDMLRRILPPGAPIPEAPPNLDYSIALEYDGPPVSYELPRVDPVEIPTAEPVSGPQRLGNGGGLPVAPIVEPIPLPVSRIARCAEPVSAHVGGSSDSVDSVLQNEEFDDDDDSRSLSHDSAQSSPGPQDRNHRMQDGRRGPTVTFGFTPDSNYGSREFGEMSEQYVAVTKKEKRRRACYRCGKRKWESKESCLVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPDELTELLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPERIISSNLGFTGKLQANASNGNTQVYINGREITKIELKILKVAHVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNCNETRDEVLYSARTVPDYLDQKRIQKLLLLGPDGAGTSTIFKQAKYLYGTRFTHEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTISEDEEAQQDGSKANGSNSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIDNPEAHSQSLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFSVALSDYDQVGPPVYGSNRSLLNKMMQAKELFESTIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSTCEWFSDFSPVRTHHNNQSLAHQAYYYIAVKFKDLYYEHTNRKLFVWQARARDRQTIDEAFKYIREILRWEDEKDENFGLEMDSYYSTTEISSSPFIRAE >OB11G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3256917:3264697:1 gene:OB11G14870 transcript:OB11G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS transcriptional co-regulator [Source:Projected from Arabidopsis thaliana (AT1G43850) TAIR;Acc:AT1G43850] MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGSGSMPGGGLPMNTLQQRGGLDGAGGDLVGVGVGGSDPLSFPSSSQTSLRNQLGSDNLHPPPHQQQQLDAMQDLQQQQQQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRNPSNVKMEPQQLQTLRSLGAVKMEQPSSDPSAFLQQQQQQQHLLQLSKQNPQAAAAAQLTLLQQQRILQLQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVNPLQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMNSANGPYTSGNNATIPKVNSTSSLQSNPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPSASSNLPPMQPPATRPQEPEPNESQSSVQRILQEMMMTSQMNGVGQLGNDMKRPNGLTPGVNGVNCLIGNAVSNNSGMGGMGFGAMGGVGPNHAASGLRTAMVNNPMAIGGRMGMNHSAHDLSQLGQLQQQQQQHQQQQQQHDIGNQLLSGLRAANSFNNLQYDWKPSQ >OB11G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3288509:3291835:1 gene:OB11G14880 transcript:OB11G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDVEVTAVKVPDASYALPGRTLAIDSRKQSPLLEEGRFFTNNQVLDHARLSETSVSPRKESYLLNLDLSNDRTAYCHGRKSTEIVFNTRVPSSPPSSKGQIPCPTSPVQSRAFGQCPGSPTAWQDDSRSSSSPHPLPRPPGPPGPPGSPCSSSRSVSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDSNSKECLRQLHQEIALLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAHTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTIIEMATARPPWIQYEGVAAIFKIGNSKDIPDIPDHLSLEAKNFLKLCLQRDPAARPTAAKLMEHPFVKDLVANRSFRSGMSKDLSPTSFDRKGGMVQTSNRSLSPLRDPDLTMRNLQVPTSAIPSISTRRISAINPSNVRMNMSLPVSPCSSPLRQYRQLNRSCMPSPPHPAYSAGAANYSPINNALYPTRPSNHLPDPWLEISQLKTPPFDSPRRL >OB11G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3291742:3293052:-1 gene:OB11G14890 transcript:OB11G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTCSMECRGKVKCLIRADAAFRVLAGGGLRGIHFVPGPTGGEEEEENAPPPSRRWYRAAYARMVRLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAWQRAAPPLKATSLSSLTLVCDVLGVSAQLRKSVRLTICPQVTQHHIWRGALEEVLRDLRADMAALGHPSAAAQMAEQIAAACFRFLSDTADAATSSSPSWMRPTPFNKPAEPPPPSKTWQEVLDMFTDLAKSLEADARLAGHAHKVSAMKEGLYQIRNVVVERDIAFKEARRQDCLVQKKLSKSLGHSSKCLYTLLLFYLYGTVRDVEVHAGKHLSGKGGKNVTVHAAMFLTDGDEPAIRSAVKQLSRALGVFRFVWEAAHADSGDHASDSGKGAMAKKGHGDDAKGLLKLQGHIWGLGVEEKQVAYRGDVFHVHQIQLP >OB11G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3295620:3296069:-1 gene:OB11G14900 transcript:OB11G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRRSITCSSFSSKFIPLWRKPMGVPSPIHGYYAGCVILRTLHVKVIKHCTTGEKGPTRMVGTVNERKQAIDYC >OB11G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3296648:3296854:1 gene:OB11G14910 transcript:OB11G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIIVIIIVVIIIIVVVIIIIIMFLYFLLINPHPPPPLHTHTHTYTRDHHKKKMQSLCCFMQVLRSA >OB11G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3306155:3308545:1 gene:OB11G14920 transcript:OB11G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTTNTSDGAVKPASSASSACPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTAFRRC >OB11G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3315547:3317014:1 gene:OB11G14930 transcript:OB11G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monoxygenase family-2 [Source:UniProtKB/TrEMBL;Acc:B9V0J0] MEMAEEVVVLVIGAEPAGLVTAACLARRHVPYVMVERESCSASLWRHRTYDRLKLHLAKEFCELPHMAYPMGTPTYVPRKRFVEYLDGYIDRFRIRPRYRTVVESAVYDDGRSRWVVSARDMAIDVEVKFVARFLVIATGENSKANIPLVPGLPGFVGEAILSSVYKSGKCYTRKNILVVGAGNSGMEVAYDLATHGANTSIVVRRPLNGNLNAANVIFGDMSKHGIVRPKMGPLLLKSQTGRSAIIDVGTAKLIRGGFIKVFTGISTINANSVVFHGGKEVPFDAILFATGYKSTNGESMFKDGFPKKGFPNHWKGEDGLYCVGFARRGLTGIAMDAKNVIEVLLK >OB11G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3323149:3324958:-1 gene:OB11G14940 transcript:OB11G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monoxygenase family-1 [Source:UniProtKB/TrEMBL;Acc:B9V0I9] MEAVEEAAVLIVGAGPAGLATAACLAKQRVPCVIVERESCSASLWRYRAYDRLKLHLAKEFCELPHMAYPAGTPTYVPRDRFVEYLDSYADRFGIRPRYHTAVESAMYDGGKKHWSVLVRETDTGAVARLVVRFLVVATGENSMPSIPLVSGLTGFEGKAIHSSEYKSGRDYSRKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMNMVQNLGLPVTIVDSLLVMAAKFIFGDMSTLGITRPKIGPLLMKSQTGRSSVIDVGTAKLIKGGVIKVFQGISKINTNNVEFHGGRQVPFDTIVFATGYKSTVNMWLKNGESMFTKDGFPKKSFPNHWKGEDGLYCAGFARRGLAGIAMDAMNIADDIVTTMDQVPR >OB11G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3328902:3331081:-1 gene:OB11G14950 transcript:OB11G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNCHINAQKISSPSVTKLVLVFCDFHPATRTHMSFPSLASLELKSCHGRAPFLESMPSLVEAIVRFDGYCADRCEKSAFGDCGDDSCEGCYGSRFDHTSCVCLKSLLEATHLELSAEVANYVFRRDLKLHLSYHTFAKLKTLLLGEWCVTPEFSELIWFLQNTLILERLTIQIPEAPKYSLDVDVSTPEWPFASRHLKVVEIECKEVNLWVCKCLMTLGRYGIAIERINIKRTSELYGYGCDTPVVFFI >OB11G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3331169:3331678:1 gene:OB11G14960 transcript:OB11G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDERQFRQCEASAPRPRGLQLQYSSLVDHRGLHDPVSDMHLPFPPPLLREIEVEFAVLQTRGDAAGAEKAVNEADEPGGRPRIPNGDDAGDAEARRFPPEVAPPRASTHVRTASCAGSSDSTRWSTFSGRALMRSGPPPRSSIPSNGCRASPLNTSHRTAYASTARRE >OB11G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3336088:3341309:1 gene:OB11G14970 transcript:OB11G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLRCSDSTLGLFRSFTHARDYSTQLSALIPIGSQDSKLTRIPSSHIELIAGWCSNHTTRNLSAHASNRVFNDRGYQQGELKPSLVKDDDVIIKRIQNSTEELKQGPVGKNLSSTEKRKFLVNTLLDLEDSKEVVYSTLNAWVAFEQEFPLVSIKQALVVLEKEEQWHRIVQVIKWMLSKGQGKTIRTYEQLVCALEKDNRAEEAYRIWEDKIAHDLQSVPWRFCRLMLGIYYRNNRLDRLVKLFKNLEACGRKPPSKDIVRKVEDAYEMLGLIEEKKELLEKYKELYNKPPSNGRKKGRRAVQKS >OB11G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3337875:3338226:-1 gene:OB11G14980 transcript:OB11G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIYYRNNRLDRLVKLFKNHEARGRKPPSNNIVQRVEDAYEMLGLIEEKKELLE >OB11G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3342817:3344803:-1 gene:OB11G14990 transcript:OB11G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family-1 [Source:UniProtKB/TrEMBL;Acc:B9V0I6] MSPKRKSERTGHGHASEDDGIDVLPDALLQHILSFLTADEAVMTSVLSRRWRHLWRRTAVLRVADSGRWKHWEAFNKFVNHLVLSRGSNPLHRFELGIEPCREEYVIDDDNAYRYVMMWVMYALVCHVRELKITNLMETYVDMDAGVALVSRHLTTLELSGLRFDRCSLDFSSCPALQALCFTDSCCFVSVKKISSRSIQRLGFRCPRFSKHHRTRVHAPSIVTLNLDCFWGRTPFLEAMPSLVTGFVRPDEDCDDWCGNTYAVSCEDGSCEGCYGMADEIGDGSAKCVLLGGLSEARDLELIAGPEMRIFRSDLRWCPMFSKLKTLLLNDWCVATNFWALACILERSPVLEKLTLQVSKETKPIIGIEENHSALVKPPAISKHLKVVRVHCKEVDEGVCKILKFLTTLDVEVIIKRMDRSATLFSFEEGEGHLGSNLDEESST >OB11G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3344561:3344824:1 gene:OB11G15000 transcript:OB11G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVDELVERLPVLPSSRIGDAQDGRASPEMAPPPGQDGGHHGLVRRQEGQDVLEESVREDVDPVVFTGVPVPRSLALSLRRHSAEQVVG >OB11G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3347212:3349692:-1 gene:OB11G15010 transcript:OB11G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain receptor-like protein kinase [Source:UniProtKB/TrEMBL;Acc:B9V0I5] MPPHLYTHLALALILLISSPPCASAATTDTLAAGAGQALAAGDKLVSRNGKFALGFYKPALPAGSKLVGNVTSPGWYLAVWFNMIPVCTPVWVANRARPITDAEMKLAKLKLSQDGGGNSSLAVVVSNGTGSIVVWSAQADAATTTMNSTTTAVLLDSGNLVLRAPPNVSLWQSFDHPTDLAIPGMKFGWNKRTGVERRGTSKKNLIDPGPGAYSVQLNSRGIILSRDDPYMEYWTWSSVNLAYKMIPLLNSLLQMNAETRGFLTPYYVNNDEEEYFMYQSSNESSSSFVSVDMSGQLKLSIWSPSAQSWKEVYAQPPDACTPFATCGPFGVCNGNADPFCDCLESFSRRSPQDWELKDRSGGCVRNTPLDCPSGDRRSTDMFHAIARVALPANQQRQDNAATQSDCQEACLRNCSCNAYAYKDSTCFVWHSELLNVKLRDSIESLSEDTLFLRLAAKDMPVSSANSSRGKPAAVAAAAAAAAGVVGFGLLMLFLIRRNKSKCCGVPLHHSQSSSGIAAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLSNSSTPVAVKRLDGLHQGEKQFRAEVSSLGLIQHINLVKLIGFCYEGDKRLLVYEHMVNGSLDAHLFHSNGAILDWRTRHQIAMGVARGLSYLHESCRECIIHCDIKPENILLDASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIVSGRRNLSEAQTSNNYHFDYFPVQAIGKLHEGDVQNLLDPRLHGDFNLEEAERVCKVACWCIQENEIDRPSMGEVLRVLEGLQDVDMAPMPRLLAAIAQRSHV >OB11G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3353509:3364195:-1 gene:OB11G15020 transcript:OB11G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITAAGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDVDGIDRVQEQCQVAATVQGEWPPLVRPAPPSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGVSNAAAAEDVGASGGKQPATPSSEDNKLLKRLSIGPKVRDAALFFRRSVEKDDDQDKEGFFKRLLRDSKDREEEDGDKEGFFKRLLSKEKEKENEEEEGDRDGFFHRLLKDSKDEDVELTPSSEGLLKRLFRDKEDRQGEDDEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFRDKNEERKDGGHSKQQDDKEKSVGNIEEDKRDGFFWQLFKEKNEEKKEGTTPNKKEDDYKGHRTMDDDNFFRRLFKDKNEEKKGASHDRNDDDKCEEGDKENFFRKLFKDKHERRSEVIDKNDDDGKGTSGIDEEENSEFLSFRGLFRVHPEDAKSGHIESGQPNSISEGSPGSESFFKRLFRDRDRSIEDSELFSSKILKEKNLVNNGNSDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSQSKGSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVNFSVEKLGRSRSIAISDSGRRSRQSTADSHDPSGDSEPMADQPMEWVKVTLSAVPGVNMDDVDDNEPIRKKDHRRVPSTIAIEEVKAAALKGAAPPGLPLKGVGQSAQNIDSKAIDGGDPKPTDALAGELWAVKRERIRRFSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNIASLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >OB11G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3375619:3378138:-1 gene:OB11G15030 transcript:OB11G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFSACSNVTGVLTDTRAVARLLHQHGAFACFDFAASGPYVEIDMRSGEMDGYDAVFLSPHKFVGGPGTPGNPLMRRAPHRLPPRPPTTCGGGTVAYVNGFSESDTVYHGDVEEREDAGTPPIVGKVRAALAFWVKERVGRGGAVALRERVYADAAMRWLLGNPNVKVLGNVTARRLPIFSFLVFPGGGGGGGDGTTPPRIRRRLPLHGRFVAKLLNDLFGIQARGGCACAGPYGHALLGVDDELSLRIRAAIVGGHHGVKPGWTRVSFAYYLSADEFRYILAAIDFVAAHGHRFLPLYAFDWATGNWSFRRAALKRQLMTHELLRRDHHHPAAGSSSSATSSDTDDGGGVAQNAAADKFEGYLESARRIARSLPEPDTYDDRPTAASVPEGVDLDIVLFRL >OB11G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3385472:3387450:-1 gene:OB11G15040 transcript:OB11G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:B9V0I2] MGRGGGGGPAMLLVAVVLVAGVAVCSGQLKVGFYSKSCPTAESTVASVVRQFADADSTILPALVRLQFHDCFVKGCDASVLIKGGGGGSSKAEVENNKHQGLRGMDVVESAKQQLESECPGVVSCADIVVLASRDALAFTGGPSFDVPTGRRDGKTSNIRDADVLPDVKDSIEVLRSKFAANGLDDKDLVLLSSAHTVGTTACFFLQDRLYNFPLAGGGKGADPAIPEGFLSELQSRCAPGDFNTRLPLDRGSEGDFDTSILRNIRNGFAVIASDAALYNATATVGVVDAYSSMLSTFFGPYFREDFADAMVKMGSIGVLTGAAGEVRKVCSKFN >OB11G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3397177:3397458:-1 gene:OB11G15050 transcript:OB11G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLSCLGAPAAAGSTGKKKVSPAKQQQQQQQPDSVQNQEQQKQYCSKEADAAAGEEEKKIGGDASKVERKKKKGGSAPILVHHFPFHSRPGLL >OB11G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3400398:3400688:-1 gene:OB11G15060 transcript:OB11G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein-RZ53 family-1 [Source:UniProtKB/TrEMBL;Acc:B9V0I0] MVLGLRCLGAPASGRTGKKVSPAKQQQQQPEDQNKQQQKQHCSKEADAAACVEKRIGGSDGGHGGVEKVERKKTKSGSSAPILMHQFPFHSRPGLL >OB11G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3402112:3405716:-1 gene:OB11G15070 transcript:OB11G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGSPEMYSFCSIVESVGEGVTDLAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARISGASRIIGVDLNPNRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >OB11G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3413670:3417471:-1 gene:OB11G15080 transcript:OB11G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGQSRFTIGGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGISTGLGATVNVAKPKKGQTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKFEQAKKFGCTDFVNPRDHKKPVQEVLIEMTNAHRRGRPSRRMHRQHQRHDILLRMRPRWMGRCGAGRRADKGGCVQDPPDELPEREDAQGNLLRQLQASHRPAKCRRDVHEEGAGAGEVHHPQGAVLADQHGVRADAQGGEPAVHHEHGGVREVVLKSLVTLACRFSALKRQKKKKKEQKRMRSLWFSM >OB11G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3424138:3428311:-1 gene:OB11G15090 transcript:OB11G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKDCPHCLSEESNLCDLLRINTDRGAMIADGRPRFTARGGQPVYHFPGTSTFSEYTVVHVGCVAKIDPAAPLDKVCVLSCGISTGFGATVNVAKPPKGCTVAIFGLGAVGLAAMEGARISGASRIIGVDLNPAKFDLAKKFGCTDFVNPKDYDKPVQQVIIEMTNGGVDRSVECTGNAAAMISAFECVHDGWGVAVLVGVAGKEAVFRTHPTNFLNERTLKGTFFGNYKPRTDLPGVVDMYMNKELELEKFITHSVPFTEINTAFDLMLSGESLRCIIRMED >OB11G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3440649:3448288:1 gene:OB11G15100 transcript:OB11G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGGMLTAAILRMAGDQIGAAVGGQMRMQWEFDGDLEDVKMTLETISASLRDAERRSIKSEEVLLWLRRLRDAAYDISDMIDEFELDTIIKLNAPTKIIQSLEVGVVVSRPVISKTSVVMIKLLGLTFRGPYYPSKCATIMCCLTVRPKIKMANNMKEIRVRLEKITKQHKDFNFILDSSSDIKEQNVLFGDRLTSPKVEEATIFGRNEDKSKIVNNLSKKMLMEDFIVLAICGMGGIGKTTLAQLVFNDKHFKEYYPVWVYVSQVFDLNKIERSIISQLSKRMPKMTDLDMAPPDMNVIIVLDDLWEKDGSKLEKLKAMLTVNKEGKMIIIATTRDESIAKRFSTIEPYHLDPLTDDMCWKIIKEKSDFVNRNDKDQLEQIGREIARKCGGVALAAQSLGYTLYSKRFDEWESIKNNDIWNESTSEDTSSPHHVLASLKLSYVRMRPGLKMCFGYCAIFPKGQKIVKDDLIHQWISLGFIEPSRVYSPIQLVETYATELLAMSFLQHPKSLSATAVHDENVTLFSMHDLVHDLARSVMVGEILVSSNQDSNPASSYRYALLNDSRKPLNSFSKFASKIRALRFADCAKTGLGDDAFSGAKYLRVLDLSECSVQKLPCSICQLRHLRYLSAPGIQDAKIPDCMTKLSNLVYLHLGGSSKLRSLPESIGEMHSLTHLDLSGCSGIQQLPQSFGMLKLLYLDLSNCSMLMDPFNVLGNLTKLQHLNLSYCKHAKMLGNLENLTELQFLNLSNTWFADVPEIYVLRAGTKLEYLNLSTEYTHIKGLTETMDNLIKLKYLNLSGWSQLEELPRSWRNLPNLMHLDLSDCGKIKGVPEALGGLSKLQYLNLSKCCWSNKNALRGLEDVVPRLTELRYLSLSNCLDSLITTIREKYNVGQIKDEGVCLSFLASLSSLSNLEELDLSNSVCLNSLPESISDLRNLHTLNLSRCRFLSHLPNVICEIDSLKHLNVSGCRDLDKSTIPKFDSTSILLPQFEVQVCNGESSSNLVLLENVKSVTELEIGNLENVVNVEEAQRVKLKEKEGICRLTLSWTSDARRFVEDEDVLGELEPPATLLQFMLKGYNRVSFPAWFLNNAHHHFPVLLRIDLVNLPNCTSLIPLGQLPKLEYLSLDRVNNIKKIDEESFGSTGAFRQLTKFTISNMEGLQEWQTTYSCGGFMFPKLRALEIHHCPNLILKPCPHKAYEWEIEGADNILSSWTGAGRFPVNNLTVKSCKLPFHRWSLLPQLTALNYLAIESCSDVSSSPGFTRGLTNSIQALLLKENDNKPVLQLPNWIVELNHLNSLHISSGCLELMASWGIMSQLTSLRSLTLFECGSLTSLPKWLGDLPSVQKLRICSCPSLNNLQGSIARLTSLQSLHLHSCESIAMLPESLGDLTSLKILEIAACTIIESLPESIHRLTNLVGLNIFECPELEKWCELENKTRLSNVLRCQRATCSSFCKFKVPSFITKPNQNRRFRKGIAIGLFDLEEL >OB11G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3453627:3454631:1 gene:OB11G15110 transcript:OB11G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSASMRNANASAPVLAAREREVAAKALDDEADGIPDVIDDQSETSDINDTISADLADMVMERDAGARTAWLAIETQFLGNRETRALIIDARFRSFTQGDLSIADYYHRFKKMANDLDDLGKHVSDRTLILNVIRGLSERFHDIGTHHRRGRPFPAFADVVSELTMEELTMVNRPSVPSTTLVATSQQAPNASRLPQQPQSASGSTFGAA >OB11G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3459642:3467112:-1 gene:OB11G15120 transcript:OB11G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPKIIMANKMKMMRTKLVKITNLHKDFGLTESVSCNVQQVTDPRASASKVEEAFIVGRTKEKQKVITILSEASTWQGTTILPIYGIGGIGKTTLAKLVFNDTGFKDYFQAWVYISQTFDLNKICNSIISQISGHENMMTEKQMINRRLEELLAGRKVLVVLDDLWEKGGSELDELKLMLKAGENSDIIVIVTTRDEGIAKKICTVQPYKLEPLTDNMCWKIIKQKSAFNDRDDKRQLEPIGRDIAKKCGGVALAAQSLGYILQSMTSDEWVSVRNSDIWSKSTSKGRSLPHHNVLASLLLSYSNMLPYLRLCFAYCAIFPKGYKIVQDDLIQQWIALDLIEPSDIFSVRQLSKNYISQLLGMSFLQHSKAPSTVGLHYEDVTLFTMHDLVHEVARSIMVDEVLYSRKEGNIAGTNCRYALLEDCSKPLELLTTTPNKIRALHFLDCAKIVPRGTAFSSATCLRVLDLSECYVHKLPDSIGQMKQLRYLKAPDIKDQTITKCITKLSKLSYLNLSRSQRVLVLPKSIGRMECLMHLDLSWCSQIGELPISFGKLKKLAHLNLSNCSEVSGVSESLGSLTQLQYLNLSYCRKIGELPQNLGKLVGLQYLNLSCSSYLDGLPTTEVLSTLTKLEYLNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIDELPKSFGNLRNLVHLDFSKCYRVGRIAEALHGLTKLQYLNLSSCCYGNQLHLKGLPEVIRNLTELRYLNLSMCLDAIFDRKSAGENQTSVEFISNLANLEHLDLSKNISLSSLPESLGSLRKLHTLDLSGCSRLERVPESIATIDSLKFLIVMNCWKLDRFRLSRFNDNSILLPHFMVQAGDGESSSNLVQLQDANPAELEINNLENVKFAKDAQIIKLLQKQRILKLKLQWTTGSRRYAEDMEVLKELLPSSTLEHFEIRGYNSTSFPGWLIGISSYLPNLVEIKMVDLIMCENLPPLGQLPNLQELVLQKMPAIKKIDADLCGGARSFPSLRKFILSDMENLEEWSTTYSCGESFVNQFMFPNLQVLETRDCPKLRLKPCPPRAVKWDIWSSDNAILSWGERETRSSADSTISCPVSYLVVIFCKVPLHQWRLLHHLPSLPSLSINSCNDLTSSPEISQELSSLRYLTLHGNYEAELPKWLGELTSLQQLWISSKYPELKASQESIAQLTSLQSLYLTSCETIETLPQWLGVLTSLQDLGISHCPKLTNLHGTMRLRSLRSLHLSYCGSIVHLPEGLGNLTALTELSIWNCGGIKFLPESIRHLTNLFILDIAACPELKSWCASDENVMKLAHIERKRFW >OB11G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3476462:3480872:1 gene:OB11G15130 transcript:OB11G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRGELEKITKQHKDFSFSSDSSSNIQQETYSDRRTSPKVEEAAIVGRTQEKQKLLDCLSDKVLMKDFIILAIYGMGGIGKTTLAQLVFNDKQFKEYAPAWVHVSQVFDLDKIENSIISQLSKRDPSVTHLEMVPPNMNIIIVLDDLWENDGFKLDNLKLRLKVGKGTKVIVIVTTRHKSIANRFSTVQPYLLEPLTDDMCWKIIKQKSAFEDRHDKELLEQTGMEIATKCGGVALAALSVGYMLHSKKVDEWESVRDSDIWNESASEDTSSPHHVLSSLKLSYVRMNPCLKMCFGYCAIFQKGQKIVKDDLIHQWICLDFIEPSKVYSSRQLGEIYVTELLGMSFLQHSKSSSATGVHQENVTLLTMHDVVHDLASSVMVDEILVSSKQDNNDESSYRYALLNDSSKPLDSFIKFANMVKALRFVDCTKTRLHDGAFSGAKYLRVLDLSECFVQKLPDYIGQLRQLRYLSAPEIQDETIPDCITKLSKLMYLNLRGSSKLRSLPKSIGEMDSLMHLDLSGCSGIQRVPRSFRELNLTYLDLSNCFSLKGVSEILGNLTKLQHLCLSFRHDIEKLGNLTSLMEQQYYHISTSFPRGQWQTHVFPARTRLERLNISTELTFSEIKWLPEATSSFTKLKYLNLSGWIWLEELPRSWGNLQNLIHLDVSYCVRIKGVPEALSSLTKLQYLNLSRCCRQNKEALRGLEDVVGKLTELRYFYLSKCLDTLFCEIEVEGACLNFLASLSSLSNLEELDLSNNDSIESLPESIGDLRNLQTLNLSCCWNLSHLPTIISKMDSLKHLNLSGCDRLDKSTVPKFDSSSILLPQFEIQPCDGESSSNLVLLQDVDSTTELEISKLENVATVEEAARVRLKEKEMIEELALEWTRDLRRFVEDRDLLGELEPPSKLKWFKLQGYSSVAFPDWLMNASHLHHFACLKRIDLVDLPRCTRLPLLGQLPGLKTLSLDRMNGITKIDGEFYGGAGAFRQLRNLSMSNMENLETWQTTYSTCGNGEGAAVLKRLRLYELEIHHCPKLSITPCPPEAVRWEVEGSDGVLSSWPNTCASSSSAVVRADELTVKLCKLPLRQWRLLHQLTPLKCLTIDSCTDLSSSPEITRALSTLRTLSLKGNDGDPELPSWIGELTRLPELRVTTRWPELTVNRGMMRQLSSLRCLILSECARMTSLPDWLEDLPSLRDLRIESCAGLSSLEGGSMERLTSLKWLALSCCPSIAALPESLGELTSLTYLGIFECPNIKFLPESIQRLTNLNTLTVSACPELKKWCQSKNRTVLSRVPYKTYE >OB11G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3482939:3494108:1 gene:OB11G15140 transcript:OB11G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLTGLTFRILEEITNGFSEERKLGQGAYGTVYKGELKNGDEIAVKMLHNTLEFDDSQFENEFKNLMSLKHPNIVRLVGYCYETHHKHTEYKGRLVFAEIIHRALCFEYMPGGSLENHLSDELHGLDWPTRYRIINGTCEGLKYLHEELSPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFSEEKTRITQTPVGTIGYLPPEYIERNVVSNKLDIFSLGVVMLNIIAGPRWRSRSAEMSSQEFINHVVGNWTTRLLATWDGSMFEAYCHQVQTCIEIALKCMEIDRNKRPSISDIMHKINEKETMIDKLQIKYEPDVLSNHQGKLPSYSNEFVTLESRLGSNLNLSDIPENKEADQHNNTSGVKENVEEHDMHQIIIPMEQPDYPIDVHPVKPWILTCNVLGSVDILNYDTQTAVGSAIFIARMEWFVVGHHNGFIRVYTDEPPIRQVKRFKAHSWNITCLDVHPTEPYLLSAGMMDVIKMWDWNKGWECIKTFDMQSFQQPNGILFNPHDTRKFAVASMMGIQVWNFRTSRREFTLSGHESLVNCFDYFTHGSQQYIITGSFDKTAKIWDCKSRTCVQTLVGHMDRVTCVCSHPDLPILLTGSFDETVRVWNSTTFKLEGVLDFELGKVISIVCLKGSKRVVIGHEAGLVITEIPHGQPGPSNRS >OB11G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3494781:3494967:-1 gene:OB11G15150 transcript:OB11G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSVLCHRALIFYITRVAGPEERLILSIESSIVALVIPCFLVFETEGAFVLTSICPGFIS >OB11G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3501376:3502262:1 gene:OB11G15160 transcript:OB11G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGSMLSSAILKVVCEQIGSAIGGQISLQTDFSEDLEKMKTTLETVEAVLKDAEGRSIKEERVRLWLRRLKHAMYDISDMLDEFEHDNSKAAARKIREF >OB11G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3508764:3520856:1 gene:OB11G15170 transcript:OB11G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMKSMRDKLMEILDEHQKYNFTSESSSREKNVNDERETVSKVQEGHIHGRAEEKERVLSYLYESINDQDITILPIYGIGGIGKTTLAQLVYDDKKFVIDGYIQAWVYVSRIFDLKKIGNSIITQVLKGDTESNLTGRERINKRLEEIIAGKKIMIVLDDVWENDPIKLGELKNMLKVNGSKVLVIVTTREECIAREICAVQTPYKLEHLTDEMCWEIIKQKSAFEERDDKERLVEIGKEIAGKCGGVALAAQSLGYLLRKSKNCKDWESVRDSHIWNVSPGQDSSSPLASLLLSYEAMAPFLKLCFGYCAIFPKGHKINKDDLIRQWISLGFIKPPNNQSPSQLSEDYIAQLLGTSFLQFSELPSVAVVHDQYNISFTMHDLVHDVARSVMVDEVFYGSKDNNTDDRNYRYAPLTVCSKPSKLPESLFAKLRAIRFMDNTKLELRDIGFSSSKFLRVLDLSGCSIQRLPDCIGQFKLLRYLNAPGVQYKNIPKSITKLSNLNYLILRGSSAIKALPESFGEMKSLMYLDLSGCSGIKKLPGSFGKLENLVHLDLSNCFGLTCVSESFERLINLEYLDLSCCINIGDLNETLVNLLKLEYLNLSSCSYIELMCREEVRGTLGYFDLSSNFCVIRRLPEALTRFNNLKYLNLSGWSKLEELPTSFGNMKSLIHLDLSKCSNIKGIPEALGSLTNLQFLNLSKCHNIFENELAIEEKAEAISNLNKLQYLNLSKLVQYHIKSTHVSFFGCIKTLSNLEHLDLSGNDYLESLPDCFGILRKLHTLDLSGCRILKTVPASIGQIDSLKYLDTNGCSYLEWSTLRQLNNSLVSLPHFMVQTNDDGSSSNIGLLQDENPPDLEICSLENVRSVKEVQIIRLVEKQRIEVLKLEWTKDSERSVDDVKLLGELVPPRTLKIFKITGYNGAKFPDWIMGMAYYLPNLLCITLMNIPNCINLPPLGQLPNLEWLILRNMESIVKIDGELCGGPSPFPRLKIFVLGYMKNLEVWNTTYPCDSEDGMSEYMFPRLCELKIISCPNLRFTSCLPRTEKWTIRGSDGVISSWAEGVLRNTGASSSLPTVTSLEVIIGCNVSSLNSLGLRSYGLQAVELPEWLGQLTSLKRLKIRCLEVEASLESIKHLTSLKKLSLSNCEALTALPHSVGDLSSLKELAVEHCPNLIGFPEGMGRLTSLKKLEICYCKSIKSLPNGIEKLTMLEEIHIEGCPELKQWCELEDIKKRLARVSTLQTTRTHNYDDVTVLTMHDLVHDLARSILADEFRHSGIQDNAQRSSCRYALLSDCSKPLESYTDFPGKIRALRFLNCGTVQLHEDAFSSAKHLRVLDLSECCIQKLPESIGHLKQLRYLNAPGVQHQRIPDCITKLYKLMYLSLRGSSTIKALQESIGEMKCLIYLDLSDCSSIEKLPGSFAELKKLVHLDLSNCRNIGELLGDLGNLSELEYLNLSGCSCIKKLPEDLSRSNNLTYLNISGLEKLEELPTSFGSIKSLVHLDLSGCHQVRGITEALGGLTKLLFLNLSHSYNIFEDDLHIRTKVEAIRNLHKFKDLNLSGLLDKNVRNKPTYISFFECISTFSNLEHLDLSKNRNLRSLPDCYANLKKLHTLDLSGCSYLEMIPESLRQIESLKFIHTNGCYRLENSKVCFLNKSSILLPNFVVQPNDDGSSSNIVLLRDVNHPNLIISCLDQVRSIEEVQSIRLKEKQKLERLILAWSRHPISLDDRILLGELLPPISLKDIEIDGYNGAKIPFWLMDIVSYLPNLVCVTLKSLSYCTNLPPLGRLTLVKLNKITKIDADLCGGPRAFPRLKEFYLQDMGCLELWNTTYSYDGDGSSEFMFPKLQILEIYGCEVLTLRPCPPRVDKWDIKCSDMVLSSWGESASDTIASSSSPMVTTLNVWPRVSPFRRRLPLNEWRLLHHLPHLKGLKITDCIDLTMSPKIIGALSSLQSLALLNDDKNVELLPDWLPQLTSLRELVIRGYVTKESQEYINYPTSLETLALSCCQNMTAMLRSVGELTALQRLTILECPNLNDLPESIRLLTSLKKLKISSCNGIKFLPDGIQELTKLEHLEISGCPELTKCYELEVNKTKLAHVKHMLMRLPASFLPPRSHLQSPFNSISEFRTKPS >OB11G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3524739:3527994:1 gene:OB11G15180 transcript:OB11G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVQWWEEWQLRILVLASLAVQCYLAMFASARKKHIRALFRFSIWLAYLGGDALAIYGLAMLFNRQKKPQYKAGSRDLEVFWAPILLIHLGGQAFSISAFNIEDNELWRRHIVTSVSQVAVALYVFIKSWSPSADQRLLAAAIVLFVIGSFKCFDKPSALKRSSFSSIVSTFNPSPRTESTDREVESEEYIQKARDFMQRNEETRRSSSPDSNSTISQEDLERLENLSTPDKLFVDFAYSFPNRLTKLESFWLLDTHKVYAYGALCKGLSKTFDLIYSKVWKDEDQNTPQLLCGDILSGFLWLITLIVPIVPIGLFHGSHKEAYRGIDTNVTFILLYVTYFLEIATTITWSYYLDNGWSNVVFQHNLIGFLARKKRHKKIMAIMHFLRCKGLLDQYFQLEPCYSSKDITDLVSEHAKDGWLNFIMDLQSYSRFNDSRGHWTLERNKCEEIFIQRSIEKPFDESIILWHLATDFCSHRMGASPDSDESANRCRQISNYMMHLLFANPEMLLPSSRRVMFTTAYSELENILQGEDVSLLDEKELTEKIIDRAENAEYSFISDSWTLAKDLMQLGDEKKMWRVIKGVWIEMLCFSAGRCRGYLHAKSLGSGGEYLTVVSLVMSYVGLETFAERQQRVQLWLSNEERVSIARQNIEDAKNQADADASAEVQVVVSS >OB11G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3537165:3539180:-1 gene:OB11G15190 transcript:OB11G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYIHGRSEVDVLGTLTKLEYLNLSSEYCHIKRLPEALGIFSNLKYLNLSGFQQLEELPTSFGNIRSLMHLDLSHCSAVKGIPEAMGSLTSLQFLNLSECHNIFENDLDIETKVEAISNLNKLQYLNLSKLGDEHKTSTFESFLDCMKTLSNLEHLDLSGNEILTSLPDCFSRLRKLHTLDLSWCTNLQTIPASIGQTDSLKYIHNIGCPFLKLSTVFHLNKGLVALPHFMVHLNDDSSCSNIVQLKDVNIPMLEISSLENVRSVKEVQIIRLVEKQRVKRLILEWTKDSERSVEDVEVLGELIPPRTLMMLDIAGYNGSKFPDWIMGMASYLPNLVRMNLTGIPNCISLPPLGQLPNLEELSLEQMRSIVKIDGGLCGGPRPFPRLKGFYLRYMKNLEIWNTTYSCSGEGMSEFMFPSLCEVHIDCCPKLRLTPCPPITEKWVIAESDGVMSSWEESVLGTTVAFCSLPAVTAMDVRRCNVPMREWRLLHHLSSIEVLCIRDFSDLAISAETIQALSSLQSLRLDNYRWKVVKLPDWLGHLTSLKELEICCYEVEASLESIKHLTSLKKLSLSDCEAMTTLPHSMEDLTSLEELTIVSCPDLIDFPEGMGRLTSLNKLEIRHCESIKSLPNGIENLTRLAYIRIIGCPELQHWYELEGNKKKLANVEKLV >OB11G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3539251:3542991:-1 gene:OB11G15200 transcript:OB11G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAVLSCVGQRISSVIAGQITLQWDFSDDLRRMKTTLESVAALLEDAEKQSMNEKTVWLWLKRLKDAAYAISDIIDEFEADTQWIQQPTEKMSTLKKGLLQLATMVPCLTVHPKIKLANKVKALRQQLKEITDQHTSFTLNISPSINMCNIPDERATSSTVDKTVIVGRTGEMQIIIHHLTKSLADDFTVFPIYGIGGIGKTTLTKMILSDALFKDYSRVWVYVSQILDSDKIGISTISQLSEEQSHLTEQQMIHNQLAKLLAEKKILIVLDDLWGNDGSKFDKLKEMLKSSKETNIFSTSQLGERYLKELLGLSFLQDLKSSSENSGVFNDDDDDQVTRLTMHDLVHDLAISVMADEFLDGSIQDNANRSNCRYALIKDFSKPLESYVYSPANIKALHFLDCGKIGLHEVAFSSAKNLRVLDISECCIQRLPDSIGDLKQLRYLNAPGVRHERIPDCITKLFKLMYLSFHGSSAIKALPESIGEMNGLMYLDLSDCSSIEKLPGSFGKLVKLVHLNLSKCSQVSGGVSESFESPHQSRIFELI >OB11G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3545539:3551173:-1 gene:OB11G15210 transcript:OB11G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWSPMSDSSLHIFGSVGLPFSSLPFFFRYFPLFQRLTPAFASRRVAAKILYFFLKKKGFLQLACNIPCLMICPKIKLANNMKMMREELEEITKQYKCFRLKAGPNTNGEIKLADLRETSSIMGDKALIVGRTREKQVILASLSEQVDQAITILPIYGIGGIGKTTLAKLVFNDTQFKDYCQVWVYVSQTFDLNKISNSIISQLSRKESQLTEGQMIHSHLRELLAGKKILIVLDDLWEDDKSLLDHLMDILSVAEGAKLVVLVTTRDEGIAAKFCTIRPLKLEPLTDDMCWSIIKQRSAFESRDDKEQLELIGEEIATKCSGVALAAQSLGYMLRSMTSSEWESVRNSDIWKISALEDIPSPHHKVLASLMLSYSSMPSYLKQCFAYCAIFPKGHNIVKDDLVHQWVALGFIEETNIFSTRQLSERYIKQLLGLSFLQHSKSPANAGLHHEDVTLLTMHDLVHDVARVMVDEILLSSKQGNTVGISYRYALLNSCINPLESSTGYPAKIRALRFMNCKEIGLSDGAFSSAKSLRVLDLSECYIQKLPDSIDQFKKLRYLNAPGVQYQMIPNCITKLIKLMYLSLRGSSKILSLPGSIGEMEGLMYLDLSDCSGIQELPKSFSKLQKLVHLDFSNCSCIKSLSQCLGSLTELQYLNLSCSSDLCLLDVEFFGKLTKLEYLNLSCGLELIVFDVEFLSTLTNLKYLNLSSKKSNIKILPEDLGKLVELKYLSLSGCGEIVELPIFLGKLKNLVHLDLSCCVLSTEHLVIGWPEVIGSLTNLRYLNLSQCMDFMFHGSDETDRFIDCISRLSNLEHLDLSNNAVLRSLPESIGSLKKLHTLNLSGSRILTRFPECLIKMESLKVLNVKGCNVYEDKLPQSNFLFTLPDFVVHAGDGESSSNLALLEHAKLDQVLALSKLENVKSVQEARSIKLMEKHGINHLELEWTRGTDRFVEDMNVLEEIVPPGTLKNLVIKGYSSVRLPGWIMRIAHHLGKLVEIMLWDLPKCNSLPPLGQLPNLEKIILGCMHGIRRIDGSIYGGSNAFPSLTEFYLLGMGSLEELDLGGFQEEGMFPELQYFETSDCPRLRLKSCPPRAVKWEIVSSDNVLSSWGERWHHAVSSSCGVTRVQISFSKLPMHQWGLLCHLPALIDLHIQECNNLTSSSPEIIRAFNLNPLKSLTLTKNVQAELPRWLGELTCLQDLSLSDIQDLDDLEGNISQLTSLHSLKILCCYSMTSLPGWVGELASLRYLELTRCTKLNEFQENLCNLISLKVLKLYDCPTIRALPERMGDLNSLKELEIIDCKGINSLPESIQQLTNLKKLRIKKCPGLLHWCTLDENKKKLAHIKEKIITWYLF >OB11G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3553918:3554562:-1 gene:OB11G15220 transcript:OB11G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGSMLASAVVSMLKKQIGSAIGGQITLQMDLSKDLRRMAMTLESVEAVLRDAERRSVGDAAVRLWLKRLEDAAYDISGMLDELEGHGEEGIQTAASEDKFVANLDWFGKERSIFRFFRFLLIVLEVWSGKKLNVYDRFD >OB11G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3563208:3569066:1 gene:OB11G15230 transcript:OB11G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGMLTGAVLTMVSKQIGSAIGGQVKLHWDFDEDLENMKMTLETLEAFLSDAERRSVAEESVRLWLKRLKNAVYDISDMIEVFQANTTRGSKIMIPCLAIGPNIEMAKQMKRMRDKLENIAKQHNNFSFMSESYSNRQQLLYSERRTSPKVEEAAIVGMTQEKQNILDCLSDNLLTQDFIILAIYGMGGIGKTTLAQLVFKDKRFKEYSQVWVYVSQDFDLDKIESSIISQLSKREPSMTTDLEMATPDMKIIIVLDDLWENNGFKLDNLKLRLNVGKGAKVIVIVTTREEGIARRFSTVTPYLLKPLSYEMCWELIKQKCAFKDRDDKEWLESIGIEIARKCGGVALAALSLGYMLHSKGVDEWESVRDSNILNEPTSDDVSSPYHVLASLKLSYVRMKPCLKMCFGYCAIFPKGQKMVKDDLILQWISLDFIEPSKVYSPRQIGEIYVTELLGMSFLQHYSKSSSSVTAHEGNVTLLTMHDLVHDLARSVMVDEILVSSEQDKNGKSSYRYALLNDSSKPLKSFTKFPAKIRALRFVDCAKSVLHDGAFSGAKYLRVLDLSECSVQKLPDSVGDLRQLRYLSAPGIQDTMIPGCITKLPKLIYLNLSGSSMLFSLPESIGEMGSLMYLDLSGCSGIQRVPQSFGKLNLSYLDLSNCSSLKGVSEILGNLTKLQHLNLSYCQYVEKLGNLGNLTKLRYFHFSSSGSPGVSEKDVFGGGTKLEYLNLSTEFTDTKIKRLPEAVGSFIKLKYLNLSGWTELEELPRSWGALQNLIHLDLSNCYKIKGVPETLGSLTKLQNLNLSYCCCYRETRCQLIGLEEVVGKLTALRNLYLSKCLDTLFFGIKGKYRDEQMEMYTSELVCQNFLASLSSLTNLEELDLSDNLSIKTLPESIGDLENLHTLNLSSCDGLCQLPRVMREMESLKHLNVSSCYHLDKSTVPKFDSSAVLLPHFVVQAWDRESSSNVFLLQDVNTTELEISKLENVVSVKEAQRIRLKEKEIISELSLSWTRDARRFVEDQNVLAELEPSGQLYEFKLQGYSSAAFPDWLMDVASHRFPWLKSIDLVDLPNCTCLPPLGQLQQLETLSLDGLNGITKIDGQFCGGSSGAFHRLKSFSMSNMEGLEEWRTRYSSSGNGRCTRKFMFPRLKKLKIHHCPKLSLRSRPPKAVHWEIEGSDNVISSWPRASASSSSAVPIYKLIIKSCRLPLHQWSLLQRLTIKSLVIESCSDLNSSSPEIAQALSCSLQELFLKWDDDNPELPSWMSMLTHLNSLHISTRCPELKVSGGVMKQLSSLRLLTLHECAAMASLPEWLGELPSLCELRIEKCPRLNNLKRAMDDRRLTSIRSLHVKSCESISVLPESLGELMSLEVLHIDGCISIKSLPKSIQKLTNLVSVRVHSSPELEKWCELEENKNRFSHLLNKHDDCDYNHGTG >OB11G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3571196:3584305:-1 gene:OB11G15240 transcript:OB11G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDITFRLLEEITDGFSEERKVGQGAYGTVYKGEFKNGDEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYRGRIVFAAIIHRALCFEYLHGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTDEKTRITQTPVGTVGYLPPEYIERNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTIRLQAAWNGFSLEAYRQQVKTCTEIALKCVETDRHKRPNILDVINEINETETMTGKLPISHGLDPDQFVSDNREKQSSYSNEFASLESRLASHLNLTDTHGNQEANSYCFREKEEDRQIIPMENPDVPVDVHPTEPWILTGNIVGSVDVLNCDTQEMTNLLQGSYAPRGMLPDSLITIDLNPTSAAKLIAREQWFVVGYNDGFIRVYTYKSPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGSFDPIKMWDWNRCWECIRMFDMQGFVAHGIKFNPHDTYRFVVASMMNTQVWNFRSSRREFTLLGHGAAIWDCRSRTCVQTLIGHMDCVTCVCSHPDLPILLTGSADETVRLWNSNTFKLEGVLDFELGKVAAIVCLKGSKRVAIGHQAGLVITEIRHEQPGPSNRSGHAPGTRSSISPEFSKGNGAVQWWEEWQLRILVLASLAVQCYLSFFANARKTHIRALFRLSIWLAFLGGDALAIYALATIFNRQRKPRYRSGSHDLEVLWAPIMLIHLGGQISISAYNIEDNELWRRHIVTSVSQVAVALYIFTKSWSPSADKRLLAAGILLFIIGVIKCIEKPWALMRASFGSIVNTFHPSPRTESIHREIEHEEYIQRARDLVQLHGNEEASRSSTSTQDIAQLENHLSIPDKLFVDFAYSFPDRLTKLRSFWCLSTDRVYGALRKGLSDTFDLIYSKIWHDEDQNRSTPECVNDLSVCTWLVTAIVPIVPIGLFHSIRKEAYRGIDIKVTFILLYVTYFLWITTPMAWGFYEEIGWSNVVFQHNLIRFFARKKKHRRLMAIVDWLQCRGLIDQYFHLEPCYSAKGVTDLVSGHAKDGWVNYILDVQSYWKFSDSRGHLTLERNNCEEIFTRASIEKPFDESIILWHLATDFCFHRMGASPDSDGVVKRCRQMSNYMMHLLFANPEMLLPSSRRVLFTAAYGELEDILQGDDVSLFDEKTLTQKIIGKAESAVESGFIREAWILAKELMQLGDEKKMWRVIRGVWIEMLCFSAGRCRGYLHARSLGSGGEFLTVVSLVMSHAGLETFAERQQRVQLRLSKEERVSIARRRIDGATNHVDAGVSTQV >OB11G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3588959:3595231:-1 gene:OB11G15250 transcript:OB11G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADLTYQLLEEITDGFSEERRLGRGGFGTVYKGVHKNGDQIAVKILHNDTEGIDDTQLNNEFDNLTRFKHPNIVQLVGYCYDVKYIPTEVNGRKVFVARICRALCLEFMPRGSLDNHISDEIDGLDWPIRYKIIKGTCEGLKYLHVELKPPIYHLDLKPANILLDSNMVPKIADFGLSKIFSDGKTKVSQTLGWTPGYPPPEFLQEKKVSNKFDIFSLGVVMLQIIAGPTGRAQSDDTSSQEFTDRVVGNWKNRLQKRRDGSLLEAYCQQVKTCTEIALKCLETDREKRPTIVEIISEINEKEAIFGELPVDHVLEELPSHKESISLESSFSSHLNLNGTKQNQEADHHNKDGSCSKEKEEDHQEDQIIPMENPDVPIDVHPSEPWILTSNMFGSVDILNYNTQETMNLIQGSYGPIVTAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHTWNITCLDVHPTEPYVLSVGSQDQIKLWDWNKGWECIKAFDSGLAYQIKFNPRDAHKFAVVSLMDAQVWNIRSSRQESTFSGHGSVVNCFDYFTHGNQLYMITGSWDKTAKIWDCQRRTCVQTLAGHTDCITCVCFHPDLPILLTGSNDETVRLWNSTTFKLEGVLDFELGKVTAIVCLKGSKRVVIGHDAGLVITEIRHGQPGSSNK >OB11G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3602471:3602721:-1 gene:OB11G15260 transcript:OB11G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCITCVCSHPDLPILLTGSNDETVRVWNSGILLPSSLRVYWTLSTEKLQL >OB11G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3618005:3620776:-1 gene:OB11G15270 transcript:OB11G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADLTYQLLEEITDGFSEERRLGRGGFGTVYKGVHKNGEQIAVKILHNDTEGIDDTQLNNEFDNLTRFKHPNIVQLVGYCYDRLMEEKFLLKEYLEGSASSICLAGALKTNFLMKLMALTGLYGTKLLKGTCEGLKYLHVELKPHIYHLDLKPANILLDSNMVPKIADSGLSKIFSGGKTKVVGNWKNRLQKKRNDSLLEAYFQQVKTCTEIALKCLETDREKRPTIAEIISEINEKEAMFGEVLGNWTIRLMAQWNGSSLKANLHQVKACTEIALECLETDGHRRPDYCHD >OB11G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3625712:3627061:1 gene:OB11G15280 transcript:OB11G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRCGARERARRVLDGMRAPSMHAYNVLLAASGPCDALRVFSRLLAAGLRPDGYSVPAVVRVCARLPDAVLGTALHGFAVRLGLLGNVVVAGALLHMYAMAGLLDDAVGVFDGMPVKDTVVWNCMVAGYARAGRAVEAFQIFIRAQVEAVNMANDLRAVPSLLNICAKEGELMKGREIHGRMVRCLAFDSDIVVGNALIDMYAKCGRVHVSQEVFSRMRERNVVSWSTMIHSYGIHGRGEQALKAYREMLSRGVKPNSITFTSVLSSCSHSGLVSKGRKIFESITKVHGVCPAAEHYACMVDLLGRAGAIEEAVGLIRMMPIEPCASVWGALLSACAMHNNVDVGEIAAYRLFELEEGNVSNYVTLCGIYDAVGRSDGVAGLRSRMRELGMVKTPGCSWVDVKGRAHAFYQGSIPRYLMTQMVWVLDRLLVDMANSDSEDDLADLYYE >OB11G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3628910:3632970:-1 gene:OB11G15290 transcript:OB11G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3N6U3] MAAALAVVTAAVVGCVLGFGGGGGGVWGFPEEDLVTRLPGQPIVGFRQFAGYVDVDEKAGRSLFYYFAEAAEDAAGKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDQRTANDMYKFLLGWYKKFPEYSSRGLLLSGESYAGHYIPQLTDVLLKHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAISNRCDFEDYTFSNPHNESKSCNDAIAEANSIVGDYVNNYDVILDVCYPSLVMQELRLRKYVTKISVGVDVCMTYERYFYFNLPDVQRALHANRTHLPYGWSMCSDVLNYSNKDGNINILPLLQRIVEHKIPVWIFSGDQDSVVPLLGSRTLVRELAHTMGLQVTVPYSSWFRRGQVGGWVTEYGNMLTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTNVPIN >OB11G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3646700:3652287:-1 gene:OB11G15300 transcript:OB11G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48480) TAIR;Acc:AT3G48480] MPRRRRTRTVDNVTIDLVDSDDECKNKRPRTRQKKAPRTARGSKSALPSCDDALTSNKRSNRIATSNRDKKNKDKLDTDIFESYLEDLWKHVDEEKRSAYAYFDSLWFNMYNSGHNKPNVLKWIKAKNVFSRQYVFVPIVCWGHWNLLVLCNFGKTDYLGTDKGPRMLLLDSLKTTNPTRLRSAIRRFIADIFKTEEREESEQYINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKILGEDFSQLFDEPELENFRKGVHSFQENRKKETEE >OB11G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3660301:3660948:1 gene:OB11G15310 transcript:OB11G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6U5] MRKQLRSDGPATLVYMHITAHAPNASSNRAQQSQLGHGFPIFLPLRLLPPPRSRRVLPSPRRCHHAPPLLHARRLHRPAPHPHARRVRLGADGTPPATATSPRQFGDIVVLNNALTEGPGAGSARVGTAQGFGVRVSERGVVTDLSMHMVMEAGEHRGSSVAVKGRIDVGVAVRESVVVGGTGRFRLARGYMVSRSYDYSLAGGGVVEIDVYLQH >OB11G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3666933:3667574:1 gene:OB11G15320 transcript:OB11G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLLLGSSFLLVAAVFLHHHIGASTTTHLHFYMHDAXXXGGGASPPGGSARRRRRRRRRPRIVAAAVRRHRGAEQRADGGPFRRQRARGHGAGVRGAGVGGRRGVGPEPAHGAGGRRARRQLGDGEGPHRHGRPRARIGGHRRHGAVPLRARVHGHQELRLQPRQRWRRRDRRLPAVLVTIVSVTSSICITSVLYYDVMSINLNINIYT >OB11G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3672340:3677139:1 gene:OB11G15330 transcript:OB11G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDGYPIPVRYPMGTDIGTGTNIIFYLRVRTIVGSRDIMATILGRVRQVRFVLCRLDSQLIEAQVYFKIVAAVPPGAEQGKCVVRALSDDKWDELMKDLQIFVWKAEIERDRLLGRMGDIHGGEQMEDATNSFVATDKKKGLSEDIFGLRIVSED >OB11G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3684628:3685293:1 gene:OB11G15340 transcript:OB11G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6U8] MQRGSLQPGCTEFFSAKSPISIMDSLSSLLLGSSFLLAAAVFLGHHDGASTATTTHLHFYMHDDYTGPIPSAMRVVSGRSLLQASSPASTTPAFAGTAPPPPPRPPPPRQFGDIVVLNNPLTEGPDAGSARVGTAQGFGVRVLERGVVTDLSMHVVLEAGEHRGSSVAVKGRIDVNVAVRESVVGGGTGRFRLARGYMVSRNYDYNLATGGVVEIDLYLQH >OB11G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3697276:3697815:1 gene:OB11G15350 transcript:OB11G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N6U9] MPLLLQLALALLAVAGDAATAAATTTHLRFYMHDTVTASASSGQEAATAVRVVKGAAALPNDPVNRFGDVYAVDDPLTEGPDAAASAAVGRARGLYVFASRTDSTLLLSATMEFTSGRHNGSTVAGLARDAILDDVRELPVVGGTGGLRGATGYALLRTHSFNPATNNAVLQIDMYLNV >OB11G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3701719:3703113:-1 gene:OB11G15360 transcript:OB11G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGPGNVYARGDQPEKSSGTRGALNGRSTNPGGGGAPWINHCTLVVLADTDTFSGVLLVGGGEEDDADVLDVAAIVVRRGGR >OB11G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3703112:3710872:1 gene:OB11G15370 transcript:OB11G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3N6V1] MGPHGSHRRQVASVASNRREALPFLTFFLPLHDSRTPPPPSHLRFDSAARRIGVEETLGGGSLPFPSLNQPSRSFSEGRGAKMQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPQSHSVEVIEECLTAGMAVARFDFSWMDATYHQETLNNLRKAAQNVKKLCPVMLDTLGPEIQVHNSTGGPIELKAGDHVTITSDISKAPSSEILPIKFGDLAKDVKKGDTLFIGQYLFTGSETTSSWLEVLETSGEDVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLRNSVDIISLSHTRSAEDVREVRSFLQSHDLQDTQIYAKVENTEGLDHFDEILQEADGIIISRGDLGIDLPPEDVFLSQKIAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAVSTVGRICAEAESVYNQLVHFKKVMKHVGDAMPHEESVASSAVRTAMKVKAAAILVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAVRGVYPLMGSTEEAETGGLTKEEYGIKLAQNYGRSVGMLKPFDRLIIFQKIGDSSVVKIIECDSS >OB11G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3710243:3712415:-1 gene:OB11G15380 transcript:OB11G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09350) TAIR;Acc:AT4G09350] MAASTPLTPLLHRRRASIGGHRRGGARAFVVVVAAAAAGGGGTPDAEPSPAAAAAGQGKKKTVDTRIHWSDPDEGWVGGNAKKGGGDGGGGRKKEPFGARFADLINNPSESHYQFLGVEAKADIEEIKAAYRRLSKEYHPDTTALPLKAASERFIRLREAYNVLSREESRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIQNYQSVPDMVDRLGGKNMKLSDQAMTALTIDIAIIIFCVLCLIYAVFFKEQY >OB11G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3713666:3718382:-1 gene:OB11G15390 transcript:OB11G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31390) TAIR;Acc:AT4G31390] MELCTASVSGSAQWSHASTFDRRLRLHGYASSSSWLSRRRQTSFHVTNAASTGALPPTKKLTPRTNSAAFRNVSGDKPSSALEQLDIERGVCIPFRKYTPEMVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSKTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLADFNFRIVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIVTSRQMEKKLDLTDTIKDGARMFLVDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVLQDLPSVARDFMLSWSDSILSDRQY >OB11G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3724333:3724527:-1 gene:OB11G15400 transcript:OB11G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNANSKCRVVLQCISFRQLTLYYVSAAVCRINEHEVFVREACVSCVRAADAGSKKGFLASWQTAG >OB11G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3726320:3729393:-1 gene:OB11G15410 transcript:OB11G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKISPDEILFEGCDYNHWLITMEFPDPKPTREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRNYRGNYQNSPPQGNYQNSPPQGNQGNYQRTVLHKEITRTVLHKEITRTVLDHMAHSKMEEAMPHSRIMHKLAKVVKAMLGTIIQIVQVTVDPLVATKGMQIHQAKVKVTTTHKSTGTSRRSRQAISGLVALQHLELMVNHLHPEVMCNHLNQLILEATKELLV >OB11G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3739536:3742843:1 gene:OB11G15420 transcript:OB11G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:J3N6V6] MGESCALQSIVYHRGSLRLLDQRKLPLEVAYIDVKCSTDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFTGTPAEAASFVSEKLEYLVSSRPTAVNLSDAATKLQSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVADNKAIGSYGAEFLKQKLGNSKHISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKNGRVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLSLPSGDEIVIEERSPKELLNTEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKVYMNVPLCCELLLLVDVCQEHCFIDQNFSHSYTGFSHKV >OB11G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3748326:3752318:1 gene:OB11G15430 transcript:OB11G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNGSAAVWEHRAYARVGLLGNPSDMYGGKALSFTISNFWSTVHLAPSTDGGPIVIQPHPQHDFVNFASLPQLVTRLQKEGYFGGVRLLMAICKVFYNHCIQHGITLKEQNFTLSYDTNIPRQAGLSGSSAIICAALNCLLDFYNVRHLINVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGVYTPLDVNILPPLYLIYGDNPSDSGKVHSTVRQRWLDGEEFIISSMEEIAQLALDGRKALLDKNYRELATLMNRNFDLRRQMFGDDVIGMVNIKMVEVARSVGAAAKFTGSGGAVVALCPDGDEQVLLLEKACQDAGFLMQRIQVAPSPLTLTEDDPPF >OB11G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3772576:3782990:-1 gene:OB11G15440 transcript:OB11G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDLNASPLPEEDDQPYEQQIEVDLAQEDHVESAVDTMRREREERRKKLKREHQDEGSRFHPQQIKSDYAPYNRAGRGRIKEAPHGWMNCPAFGEPIDKIIPSKVPLDETFNDSVPPGKRYSSKQVVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGTKHVKIPCKGRDAVPDNESVNVFVYEVMMFLDRQKQSKSPKYILVHCTHGHNRTGFMIIHYLMRTQVSCVAEAINMFAKRRPPGIYKRDYIEALYSFYHEVPEHTITCPSTPEWKRSSDLDLNGEAKQDDDDENGDLAPAHNEVEDKVITNDDVLGDAVPYDQQDALRIVCYRLLEMHPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNDGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDSVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGVKSNPMYKYDMELFSVRRKDFWLLSTVTRLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNDEDPSSISGRIVECSWNKEDGCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKHAQAKMAHHRRR >OB11G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3796325:3800034:1 gene:OB11G15450 transcript:OB11G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANAKLPKQALLPPRSPFPTAAPSPYAGDHHGPIARPQGAAHHRHGHHHQRTSSESFIDEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFALFDGSSAAGAYANGFEGMGGGGQAAPWGGVQEYYAKPGSFGRHQGRPWEQGMGNLVNYRQGGGPPMPAKEKVGGHHGSVSVLRDHDHGMDRRSSDESGHDQKVGAERKEGVPPKHAQSEADTKRAKQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQKQPQPTTPLNRSNSRDLDLQFANLSLKHKDPNSGRDAVSGSLRT >OB11G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3807194:3810202:-1 gene:OB11G15460 transcript:OB11G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein [Source:Projected from Arabidopsis thaliana (AT1G35340) TAIR;Acc:AT1G35340] MAASSLLRLLFPSAPSPLRARATRRRQAARAARCLTSTSSSPEPEPAPAPTSLDLPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVRYGCLVQIESVERLDIGALISIRGVCRVNIMNLLQMEPYLRGDVSPTMDHPCEGIELGLRISKLRESMCNLHSLQTKLKVPEDEPLQTNIKASLLWSEKEIFEEYNEAFIPGLPERLSFAAYQTVSGMSEAELLSLQKYKIQAMDSTDTLERVNSGIEYVERNIGMIAARLAIQNI >OB11G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3815064:3816266:-1 gene:OB11G15470 transcript:OB11G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKICSPESEHHTRSKRGESPAIIGAFLKGAHALRRRRTPHHDGPNSHMLVDRVQGQWSSGSSSISSILVPAKEQIQDTGELIEGHVVEETRGDCVQLPPTSSFANVVSVEAWISTPKSTMRDQHVHRQTKIHMDKKVAPSPLPHIASMMVKKRTMEESSLKNICDLTKVMQPSSWVSIGHQSQMNHTQGLEEAIQSPKRVCHKPHNNAQPVTEDLIHGLHKQDVINFSIQSPNIPLSGHLITHKNKETRVAKGLQDIMGISTGMAGTVSKLHSSARSSRRRLYVCSTCGMAFPTGQALGGHVGHHSSRKLLSSKRYGERPRGQVASSFAGVLLSPGTAIPITTASVQGSSHPGAFSALNKDEVKPQVMSGAHHNSINKGFRLFGVDIVGVPKEETMN >OB11G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3820603:3821386:-1 gene:OB11G15480 transcript:OB11G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFMAGGGSMGASPTSCTCRPASFVTPRSLFNWGRSANEAGPPPPPPPPEFRYHNVAPPFPASLVANTHLRGRELRCCYKATVDGFSATDFHRRCDFKGPCVVVGSTTARAAGAGGGPRFGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAFSARAVVREAAGRPGEPVRRRQQGRARRGARLL >OB11G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3824195:3829885:1 gene:OB11G15490 transcript:OB11G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) TAIR;Acc:AT3G56690] MVGAGLRPGCLVSVSLISSNGGQSDGSPLDSLFEETNKFFDLDVDNDLMSKEPGRNFVVATVFPSREVQKNGIKLSWDLACSLGYPSVGCSLFISPLYTSQAPKKTDSVDTLRVITCSNLYLSFVPAKVGSSSEINSESATHPIRNGMIIETPKKNSSVPSGRNESYDIVSHSGSSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYIPLLMCGKLSMFIVMGAEVDGSAPDVLHEEDKLTSSEDIFGKFGEAPVSFLVDRTTKVHLSGSVCLEELAFVKPGLPAHNSIRTDERNEDFIRSPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGVLLSGPPGTGKTSLATSCAYDAGVNLFTINGPEIISQYYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVATLLKLIDDMKHSDRVLVIAATNRLDSIDPALKRPERLNRKIEIGVPSPGQRLDILQNLLVGVQHSLTCGQLESLASATHGFVGADLAALCNEAALSALRRYIRLKKGSAQQLVYYDNNAENYDIREINDPLGYQVSSISSSLSKLTMSVDDVLCTRRGDNTENNGSNDKKDEMLLLVTVEDFEKAKIKVRPSAMREVLLELPKIRWEDVGGQARIKEQLIEAIELPQKNPEAFKNMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGHENDTVSVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDRALLRPGRFDRLLDVQPPDEADREDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCREAAIAALDENIDIPEVEFRHFKFSINRIKPSDVKFYQDLAAQYSRFVDPTSQSKQ >OB11G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3831990:3835860:-1 gene:OB11G15500 transcript:OB11G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRGGPGSSGSGSGSEAKRKLDDEHEILLLLAIFAATIAYVAGMYPPGGFWRSTAGGVVAGDPVLQGAHPNRYMAFFVCNSAAFAASLLAIISILAYTKLSDLTRRAAAVKAALYTFVVAAVLGLGGASAAGSCRDGKHTAYVACLVFPVLAYIVILYVAFRNRNPRNSKRGTPGHREPDEYQAKEGSEPAKEVDKICEYIQLLTLAATVAYQAGIDPPGGVWAEDGDRHRAGDPILLTTHPRRFGVFFYCNSAAFVASLVIMALSQSKRLVGRHHAVLEAAMILDLFGLIGAYAVGCCRDTSTSVYVIAMAGAVLVYVVIHIIFFTLDDGTSGSASATGGGGGGVNPGDEQLEKHREVLLLLAVLAATLTYQAGLSPPGGFWENDRSGHRAGFPVLLDRDRRRYEAFFYCNAASFMASVALIVLLLNRNLYRPGIQSYALITCMVAGMLGVMVAYAAGSSLHLWTFIVVLVLVLLVSVAVVYVAIYRYRNLKRKGAAAAPRPPPPPPTTGTNRYSSPATPPSPHQDVLKYLMLVGILAATVTYLTGLKPPGGLWRDSAGNPVLYDVDKRRYNAFFYSNSTSFMASITVIVLLLRRMLLGRRSGGGGGGKAFWAMHTAMVLDMIALLVAYAAGSARDWGTSKNVFLLLLPIQLFVGGLLFVCKKAGRR >OB11G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3837179:3839352:1 gene:OB11G15510 transcript:OB11G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASPLVRVEAVPSRCLGSARDACTVRQNAALFSLPLVSLRFRRQPGTRGWEAVDAALGGFGPSQHAAAGPPRVNRSNPRGFVGSDSGKVDAEVGRWHAVVGRPSVRHWESIGAADSAGPAIDLPVQAADLPGMAMDLRGCGSGLGRSFLTRAITVDHLCRRWCPLRQRIRHSCPSGPKLASELSILTGTSLAVVILSEACSAFCFDDPSVDAVLRRYAPPAAAATVAPSHVQGVASDDDDHHHHHDEEFRSAAKDSRSQVAAETSRLREVAKKIADAKADMHAVLVWEADVEALGRGGGAAGVRQGARETHGQRAQPRRQPAVRLGGQSEQ >OB11G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3843827:3844024:-1 gene:OB11G15520 transcript:OB11G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGSTSLERPSFICCNLSLLSFEASHACNANANLTSYVIYTFHLVSHAVSSFLGSVTMDDDHSGK >OB11G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3863794:3869371:1 gene:OB11G15530 transcript:OB11G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3N6W7] MMNLISFETPHLGRQESSSSITAAATTAKAKDAASSSSACFQSSHLDLSLGISLSPGSGGGGGDAGTKATASYGGSGGDAGGGMGGGGMLTAAAAAATASVLSVGHGKHCCHGCTTASSGSWTAAVMPSPTGFMHPWSLAARQQKAAAEQEQSIARLPSATYLPRASPAVMSLPTAGGWPPVHTARRNLVATMNVLKPDAEAIKRDRDRPTAARMFAGDETTAAAARPLNMFAKVHMDGHKVGRKIDLRAHRNYDSLRWVLTKMTRNFFCPADYPSTNVGEENCAKSDEFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIAKNPAPRSKEHDEIAKRQETEEATNN >OB11G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3876119:3877617:1 gene:OB11G15540 transcript:OB11G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3N6W8] MGEMKDRNNASAGAEVKPGMSPSRFVKVFMHGEPFGRKINLAVHNNYDSLSFTLKRLANNYSMSPLIEPEGLVNNEEEDAIEDSDFDLLYDDMDGVRSFLGEVPWEVFTVTVKRIYIVPAEQENENDGYQEEEDDNAAAAADEDGDGDGDIDGDGAAADDIGDAAAVDDGEEDHGYTSNDE >OB11G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3879372:3879821:-1 gene:OB11G15550 transcript:OB11G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMIMSPRARGCAPPSSPLLLLSRVSSIHECERLNVIGEGAFGVIYKARDLRTGEKVALKWILGDSVGEHGPFGMTALDREVCCQAAYSGHPSIVEILNEVQDTELGYMFLTMELADSGSLSDLISGPSGSRAPPGCQAAGRNVRAAL >OB11G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3888628:3893612:-1 gene:OB11G15560 transcript:OB11G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSCRCRCRRSEVKLGSSRPNPSSPPDLTKFLTLAQAWVLPCHVHVHPPGILLPPPPPILHGPMPHRLHGHGLLVFGVLLLLLLRPAASNTLSTFAMAKAESTTIVCALLPSAASPVLVDLNCTAAGGDHERQETYPSSHPFSALAGGDHFLCAVGPSAVKAGDVAMRWWDLSKNRTGAPETNGTKDGAGKSKRVYLGPPLQALSSGGYRVCGVLTGGELHCWRWRGLKIPDGLRFVSVAVGDWFVCGILAGGTKSIRCFGNDTEAVTTAPRGGSFDVVAAHGRRACALSMSGGAFCWGNGAPLTGGGEDAATTRYAALALGTDGVCGLRTNGTIRCFGDGVASPPGSLADSQYVDVQAHGRVFCGVLMANYSLVCWGGREFNATNRLVFDRVLPGPCVDMSTCNCGVLPGSANLCDTGRCICRDCAFEFNIATPSAPLGPGSGKSSSSRRSRIIWVAVAAGAFLVLLVALQFVLLLWCRRRRRRRDAQGDQAARVSLMLPRHGSSKGPGSVVEHFTLEVLQAATEGFSDERRIGSGSFGSVYRGTLPDGREVAIKRAEDQAKSSSSARPARRRDRETAFNSELTALARANHKNIVCLLGCCADSGERVLVYEYMANGTLHDQLHDRSPMAPPVSTWRGRLTIALDAARGIEYMHVYAVPNIIHRDIKSANILLDDSWTAKIADFGLSSVLEPAAAGGGDCEGSTSRPLYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPHILADEFFDTNGVIDQKVDCPLSNYQRLTVGLSNCQRFTAPCRTAKGVRGFLLKGFSRYEACFFASLSLLKLDRIAERMVYTLLLRVLISKNKNFNS >OB11G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3900947:3901402:-1 gene:OB11G15570 transcript:OB11G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLPDNHDGLFELTQKFFSEPMFLEQHLPTEKCHVGISVPNFTISFEIDVKDFLKDMRLELPFLRDAEFSDMIKQDDSGGPLFLSDVLHKAVLEVNEKGIEETSVSMGLGKPLPAEHFNANHPFFFMIREEVSGTVIFMGHVLDPSSRS >OB11G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3906736:3907134:1 gene:OB11G15580 transcript:OB11G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMATTKAVLLLLAVAAAALACDIHGAAAAEDSEPCDPSDISITTEKTGRVVGGLPEFRVTIANGCSCPQGDVVVSCLDGVPGGVDPSKIHVATKDGLCLVNDGLEIVRGSPGGFTYAATDAISLMFNAATPRC >OB11G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3917485:3925408:1 gene:OB11G15590 transcript:OB11G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENPFGVILDEEFHLQAGQLVMNQLFNPDLEMLDEHQFIDQFNILRMLPPHDNIAPYTGYLLLENNGEQVRLVFCNRQGIRLDDGDMLYRKDIDLELLPNFGQDWPIHSMIIKGITSGLRFLHEAIPDDPIYHLDLRLSNIFSEIDMQPKIAFFGRSRLFAPDPTLNMPAAGNNGLRPYGYVAPEYHNHGIICDRSDVFGLGILILEIIIQDRFKINEEDLPIDVETIQDHVAHVRVQWSNVHQIGPRYPHLDEDGILQVMGYIAIAIECLETDHTIRPSSAQVMNMLNDGQPFDRNLMRYCVNPNGIPPGKIGYMLSARGLNPEHPDEWTWHPTPGGGGIAWFAERAELQLVTFPTQISGEIATKHLMLHTRYTVHLVYALAPDHSGLDGEHRSVIRSVPVDQVNFDAAAEEHHVRLVGGGPPAPAGPEPDVAFPIVRADDRMEIDLGWFELVPEVPGQGPHTVVAELTVMNDGGGGQGGGYGHERAHRRGHGVQARPVHPALIFSDFTSLLR >OB11G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3926305:3929023:1 gene:OB11G15600 transcript:OB11G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCPRRVVVVAPAVCPRPASLGLPPSPGRPCRVIGPLCQLELNDMIDLSEDPGLQDFEQVYSVPLANVHRQLEEQVSRTLTFDVLHREKVTIRFLGSVLRDYSVVFHDGSSIPLRSRAACRRQHPIPRVVPPLILPSRVHVHHVRSLLKGNNLTMREVFNNLVHHQPLKSKSKNKI >OB11G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3933775:3935462:1 gene:OB11G15610 transcript:OB11G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLDRCLANIEWLNSFPNTSVFHLPLLYSDPILAKIDTARPCYRFCFKFENWWLRERDFGLTVSQAWASSTLNPFALRLKQLSDHLCAWSKKKKPLQQQLAKTEEDVLRIQESPNRHNLIDQEIKLSIVHDALLQKLSDYYKQRAKLHWSLQGDRNTAFFQHNQHETHTKPKSPSQQDILNIIKNMKTDAAPGPDGFNSAFYVSCWDWIKHDVTTLIHDFYTSQVLPPGINSTNIVLIPKKHNPCKPEDFRPISLCNVIYKIIAKSLAIQIREKIADCIDGSQQAFIKGRRASTNLIVVQEILHSFKLSSFHSSAFLLKLDLSKAFDRLEWKFIAHAMLSKGFDPNFINLVLQCISTPSFSVILNGKTTGHFTSQRGLRQGCPLSPYLFVLSVNVLAEKFQEQASLGHIKGIKLNGQGPTIHALFYADDIIITGQANASEASAIRSLLNDFCKICGQTPNWLKSSVIFSSHTSQSERDDVCNFFPVQMLNQSNLYLGNPIYSGTQS >OB11G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3945882:3947432:-1 gene:OB11G15620 transcript:OB11G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPMLSLAAVAVLAVVGLLAAAADPTAINGFNVETVCVKTTDVKGCQFLVETLPPNVLAKPDARSVAGECVKLGSLWTIQYSLGAVKALEECKKPNKCLSDCMKTFSAVSVALDPPGVGAEEMLPTIHRRLSVLLRGTAEQPRRRPPICKTCCDTVECPASMVQVVTQFRRVWALADFTDAVLDMLYPAAKEAKTETEAAYGEETKSETTPATESAAKKPYGEEPKSETAASPKETTEAAATSPATEETTAATPAIESVAKTTYGEETKSETPESPKEMKEASTTFPGTEEATTTPATEESAAKKPYGEETKSETPESPKEMKEASATFPATETAATTTPATEESAAKKPYGEETKSETPESPKETKEAPATETAPATETAPAPAATETATTTPATEAPTTSPATEIQTASKTSPATEEAAATTPATESSAKDAYGGETKSETAPASPKEATAAESTTAPATETAATAPATEKAPTESPAKDAYGGEPKSEKAKEESPKETYAQAPPVTTYD >OB11G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3951829:3955151:-1 gene:OB11G15630 transcript:OB11G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALAKIASYVACEAAMVAKSKVSNLMEVSYTVQRIRRQFLMMNFFIQKMGASYLSDELLKGWISEVRMLAYHVEDVLDSFSYHSYQFKKDKFLNKIAKGSFYTIVFNELANELIQIEKDIEHVSKLKDMWVHPVTQLVPTEVTISQQQFPRYSFPRLMKDENLFGMENNRQLLKQLLGPEISALTVISVWGMGGMGKTILAINVCECHKEKFNIYVWLTVSQAFSMEALLRKLLLEIRTYQLSTLPDGGSVNQRKRASDDIDNMEVSRLKTNLKDALKKKRFMVVLDDVWDRRAFDEVHDVFPDCKNGSRIVITTRRGDVAALAHHGCQLKLNPLEVKDALLLFCSKAFPNSNDSDCPLKLQELAIDLGKKNKDSSLTKCPPELKGLADDIAKKCKSLTLANCSHIKALACDIVNICEELLEEKLPSERQELANNIVKKCELLPLAKCSLEVQKIAVEIVKKCGGLPLAIVAIGSLLSARMQIEYVWKQIYDQLPCELEKDDQVRGVLTLSYYDLPGELRNCFLYCSMFPEDYLLSREVLVRLWIAEGFVVKKGDSTLEEVAEGYLMELVHRNMLQLVDNDELGRVSTCRMHDILRELALSISKAELFGTANNFSEMAQMSTNVRRLSACQLEQTRHDLSKMQFPHLRTVIALESSVDFVRSILSEPKYLTVLELQGSGINQVPASIGDLFNLRYIGLRNTEVKSLPDSIKKLMNLQTIDAKSTKIEALPSGIVKLYKLRHLLADKLSDETRMEFRYFCGVAAPKGLSRLEELQTLETVEASKELGEQLKKMIQLRNLWIDNIKAEHCAELFASLSKMPLLSSLLLCASDENEKLNIDTLVPTSTILQKLIIRGCTAERTLESQMFRDYGGRLKYLALSRCHLGEDPLEGLASCAPNLTYLSLNKVHSSSAHTLVLPAKSFPVLKTLVLRNMSDVNVLKIGVDALPCIEGLYIVSLSSLKSVPEGIEFLGSLKKLTLLGLHDDFKYDWDMYGMHEKMKHVTDLRV >OB11G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3958290:3959148:1 gene:OB11G15640 transcript:OB11G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSPELPSQFLREITDGFSDERKVGEGAFGIVYKGMFKDGTEIAVEKLRETSSFHDNQFKNEVGSLMQVNHKNIAKLIGYCYEIQKKVVEHNGKYILTETVEKLLCYEYISNGSLDKHIYGESSVLDWHTRFNIIKGICEGLHFLHKGSERPIIHLDIKPGNILLDDNMVPKIADFGLSRLLGE >OB11G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3957343:3964196:-1 gene:OB11G15650 transcript:OB11G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLSGFIKAILPRLVSLVDDKHMLHKGVKDDVRFLLKELRMILGAIDDDLSLDRGAAAAAAAVQRLSVEELRELAHGIEDCVDGVLYRTAREQQLPSSLLRRTARVPRKLHANLQVAQELRRLRRMAEEANQRKQRYTAISSSAAAQLLEQLAERQPEQLKVIAIVGFCGLGKTALATEVYNRVTGGGGRFERYAWVCAAHRSAREVLGELLSKLDTEGRSCSGGGVSDAGQLTVGIKQQLENKRYLIVIDDIQTEDQWKNIKTAFPVDKGIGSRILVTTTIQSVANACCSANGYLHKMSRLDKNCSMQLFSKKACPERFSHYKQPDSAAILKKCDGQPLALVTVGEFLQANGWPTGPNCEDVCNRLHYHLENDKTFERMRRVLIRNYTSLPGHALKACLLYFGMFPCDHPIRRKSLLRRWLAEGFVEPLSSSSNLDSTAAFDLLMDRSIIEPINVSNNHKVKTCQTYGMMREFILHMSISQNFVTFFCDEKFLRRYVRRLSLHGDTVVDDNFNSIDLSLVRSLAVFGEAGKTVLDFSKYQLLRVLDLEKCDDLKDDHLKEICNLVLLKYLSLGSNITKLPKDVAKLKDLEALDVRRTKIKIMPVEVFQLPSLIHLLGQFKFSDKVKQKTEVQEFLLKGKSNLQTIAGFASNGSEGFLGLLSYMNKLRKLKIWCKSSVGNTNWTDLREAIQQFILDEKEANIGTRSLSLHFTGCSEDILDSLKEPCYLSSLKLHGNFPQLPQFVTSLRGLKELCLSSTKFTTDILEALSNLRYLQYLKLIADELEQFTIKDQGFSRLLRLCIVLQYPTFPIIQEGALPFLVTLQLLCKDLHGLSDIKIRCFEHLQEVTLDSRVIPATRREWAKAAKEHPNRPKVLLLKSGDTAEGSMQTILLY >OB11G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:3968656:3977948:1 gene:OB11G15660 transcript:OB11G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPASFSLGTTGPLLRKLELLLTAAEFRLAKPRRDRVELLKEDVEEILAALEEQSMADSPSHRARCWMDEVRELSYDIEDSIDSMMLRLSSAKNKARSRPSHGRHKVGRVKIISGQPKKPKPRTWIAMTTELRALVRESIERHERYRLDDGLPSSSGSHTRCRALLAERRQAPPPLHGLADVVVGIDEPVTKLGRWLTAGEEGPGPHLKVAAIVGPPGIGKTALATKLYRDHRWQFDCRAFVRASRKPDTRQLLGGILSQVQRRRRPSDAACCTDNNTVQSLIDNLREYLLQDKRYLIVIDDLWDTTLWNIISNAFPDAISFSRIIITTEIEHVAMECCQSDYIIRMKPLGSHDSRKLFFNRVFGSEDQCPDQLKEFSNKIIEKCGGLSLAIIIIVGLLATQPGNPELWDHVQECLCSNLGTNPTLEDRLKEILNLSYQSLPHYLKTCLLYLNMYPEGQTILKADLLNQWTAEGFIIYNNETKDIKEVADSYFHELVNRGMVQPMQINKNGEVLSCTVHHIILDYVMHKSKEERFITSIDYSQATTGPCAIVHRLSLHFSSAKYASKPVGIMFSQVRSLAFFGLLKCLPCIMEFKLLRVLSLEFWGNHNGQRLNLTSVCRLCHLKYLKISSDIFVELPAQMSGLLYLETLEVSARVSAMPFKIVHSPRLLHLGLPDGANLPDEIGSMRSLRTLQFFYDGNSCIDNLRSLGNLTNLQDLHLIYSATSSKKNLKKDLTALASSLSKLVNLRSLTLSPSAAGMVIFFSISKAMDSISTFLQRLELLPSIFTFHRLPKWIRQLQKLCILKVAVRELLTSDIHSLTELPSLTVLSLRVQTVPEGKIIFREGALPVLTYFRFECGALCLEFRPGAMPNLQRLKLGFNTEQESYVNMLAGIEHLSNLQHIAARIGTDASVGEFDRRAVESVFKKAITKHHRCPSFSVQWLAPSKQEWHPSEKQQKSQEKGSSSGEYVNIKLGSAEDTDKPSSKEQSRAQHRSLAGQDLTEGSFTVLHRTSRKLQAKHMEPLDHNELSLDDLEVIQVIGKASSGVVQLIRHKWTGQFFAKKDLQVNIQESIRRQIAQELKISLSTQCQYVVTCCQCFYVDGTVSIVLEYMDCGSLSDLLKTVKTIPEPYLAAICEQVLKGLVYLHHEKHIIHRDLKPSNILINHWGEVKISDFGVSAITASSGAKQNTFTGTYNYMAPERIMGQQHDYKSDIWSLGLVMLECATGNNAYLPQESFYELLEAIVDQPPPSAPSDRFSEEFCSFVSACMLKNASDRSSVELLLNHPFLSIYSDMDIDLASYFKNAGSPFAIFSSEMHTEFSRQNEAEAKSQLTSESTLQLSQGITDDLSSEQEIEQDRFGAAYRDALYGNMGRWFL >OB11G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4005775:4007193:1 gene:OB11G15670 transcript:OB11G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNDSAKELPLASWQTSNTRDKELKNMVNAKLLLDQPLTCWRSAASEPLPTPNSNEIIVFASFFERGFSLPVSSFSHSLLDFYKLELNHLNPNFILQIYVFVYICESFLGIPPYFNLFHHLFMVRPQPSRLEPSIVRGGCRYSATQGMQEGVVHPSYMFLTEYVHAECSCLIHLHGFHVAKPSSDEPIAKRHASNPDIEPEATEFLEDTRVPSPPSSDMGLTRSIPRASAVQLLTSSEPNEPPTGGGADRTPQVISWI >OB11G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4010308:4010772:1 gene:OB11G15680 transcript:OB11G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHAIEIQHRKKDNVELETRCSQLTTSKTVLDAECLQLKKGKDTVIAELSLQVRQSTRAMVTDLETQLKAAKGTLDQLASTALTVFGLLQTMVTNSSSTPISEDL >OB11G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4026412:4034380:1 gene:OB11G15690 transcript:OB11G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLLLMPPPPHASAALSLPPATSGARSGSRRASQRQHLLGLAAAGLMALGPAASPCLTPLAVGGRRLGDSGHGAALLLGRSGRGAMAGEAVTGSHGRRQRERPPGEEIWRRWNLPESDLLQHKSIKNLIHNGISSHNMGPGGDRAETQWVSSRIEDATEDVMHEAIEETGGVDQEVGSDQNVAEVAIEDRIVRPADNAGDISIRDGIIPPANEGQADNVEDVTIQDSTIRPDARVDQAEGNYSLNFLMEITNQFSEKSEIGHGGFGRVYLGKLPDGNAIAVKRLKNGLLSINDSTQFKNEVNNLMGLEHENIVRLLGSCNDVRLVAAQTAGHFVQIEENLLCLEYLSNGSLDGKLSDQSGEFEWGTFFNIIKGISSGLCYLHEKKKNGPIIHLDLKPENILLDDNMIPKIADFGLSRLFGPNTTHRMTVHIMGTLGYIAPEFYEFQDRIKISAKADIFSLGIVILEIVTGRRRPHNEMLEVYITEVRKTSTFEKMKARYPSLNPDNLKEVTGCIEIGIMCLDTNPERRPTAGQIYYALSNITHLEDGQIMTHPR >OB11G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4044492:4044741:-1 gene:OB11G15700 transcript:OB11G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDMIYARDLGYMSTPCPSSPSGVDNLYQPEDLNDIIILHSTFIDDDINIINDDIYNFRYITRPPRDDAQSSTTRFKRLK >OB11G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4046749:4049088:1 gene:OB11G15710 transcript:OB11G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSLRMMTIYCGETAGFKGFLRVKPTNHMSYVYTHTAYSLGFTLPPYKNVIKINLGACHFLVVCWFHVTGEIPTKYLLADRTYEAYLIYMVRKQANGFQDREQSSSIRLRGERVLSNSMVCLCPPGDLVPDDNVTMPLKRKDGWFEIKLGEFTNNAELQEQEAVIADVYQTDDYSGAIDIIIEGMEFRLKSC >OB11G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4052393:4052837:-1 gene:OB11G15720 transcript:OB11G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSAAGFVRYMQDPDAIPRFSQPAPWPSHPGFTMLNVPYPPFCSQPPAMGMPRGPGTEEPESSSSSRTSKRVRANPEPTDDSRARMYYTQEEDLRLSCAI >OB11G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4057568:4059930:1 gene:OB11G15730 transcript:OB11G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWSAQPRWWRGGGGGFGRAFLLAARTKPVHASPPPTPLSSRGEKSRLLLGSADCGQKVDAAVRSSVYLTHHFAILTSRLAQSNAHTRTTLNARERQRLLEHMNRLFLKRSLVTTQRFPGDLFLLNLRRPAGGQQVSGKMPSKVKKIRFLDSQNEVVLPQTQDSGGQSSSMADRLNDCPLNYTPLVNLQPA >OB11G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4089045:4089209:1 gene:OB11G15740 transcript:OB11G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKTQKHFADGGQQNQRLIQSEQQFRKKEKRQLSLFEHILYTNGQICKTTKND >OB11G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4093077:4096187:1 gene:OB11G15750 transcript:OB11G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRNGGKPWGTLNSDSGLPDEKVSDLESSHLCQDISVEEVLTSINSMNPAHISEYTFIRVDKCTCHLSGLDESVVECNALAGIIYLFCVRFKAQGSFGIGQGQDSKIGYSKC >OB11G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4093102:4093293:-1 gene:OB11G15760 transcript:OB11G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGETTTDPNAIQRHIHHYYKALMLVSIIVYMRLFRFELKLALVRPIHPEEIALVTQFSWR >OB11G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4096706:4096924:1 gene:OB11G15770 transcript:OB11G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGPENFDEKRVVWRPPSSRSAAPVGHPMRPGAGGRMPPRPSLAPLLRFLRLSVPRNPPSAAGRRTSSPA >OB11G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4102091:4102972:1 gene:OB11G15780 transcript:OB11G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAGGASSAVARPVRRLRLNLVNPDLIGSGGRHRATVAPLSCRRIDARADGIRIQPKEFPYPHSRSCCSRSITAAHLLRHPTEEEPQGTAWHSVEGEEAHTIADRSKEGERQLWHRHI >OB11G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4102254:4102448:-1 gene:OB11G15790 transcript:OB11G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRHQSDLCSTCIKAAQSSSSDLGDVQNAEPVFFQQTIATMHDDTCCNNSWSVGRETPWVGSV >OB11G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4104892:4111683:1 gene:OB11G15800 transcript:OB11G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVTSFKAFSSQITSLAILPTQPYVLSASYDLIIKLRDWENGWKCRQVFKEEHSGSVMQVAFNPKDTNVFAGISKDMTLKIWSVDSPRSKLTLAGHASILRCLDYFTSGDKQYVVTGSEDGTAKIWDVQNKRCVQTLEGHANRVSAVCSHPELPILITGSRDGTVRLWNSNTFRHDLMDIWCKITLEGILNFGLRKVHALGCMKGSRRFSDKYVIITYKSGDSGQSEMKGESSTQNRAENKSQHRSTLPKNPPLKFFKDITDNFSGEREIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEAENLVELEHKNIVKLIGSCCQAETQVVQHNGKHVFIDVEEKLLCYEYLSNGSLDNYIYDESNGIDWPTRFKIIIGICNGLHFLHKERNEAIIHMNLKPSNILLGDDMVPKIADFGLSRLFGQEQTRVITKNVVGWIGYIAPEYYYRGEISEKSDIFSLGVLILEIVTGLKNDSSSQDISSRILIDNVHKNWLKTSQISSKYPSLEADGLLQAKRCIEIGLNCVDTNPKKRPAIGEIIVKLTDQGTDISDEAIIREQMEKRRLIVSTLTRNPKLDFLEKITNNFSREQEIGRGSFGVVYKGVLPNGEVVAVKKLLDSVAEINQDKQFQSEAGILIDLNHTNIVKLIGYCYETRKDVVEKDRKFFFIETSKKLLCYEYLPRGSLDRYIYGESSELNWDMRFKIIKGICQGLMFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEDKTRTRTLTVVGSRGYIAPEYCYSGEISTKSDIFSLGVLIIEIVTGLKVDSSSQDISSQEFIGNVRKNWSKMPHIASNYRLLDANCLQQVKRCIDIALSCVEKNPKDRPSIGEIVDRLNCKKGQTII >OB11G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4122683:4130496:-1 gene:OB11G15810 transcript:OB11G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVEVKEAPMSSCLGAMGPLLRKLDSHLMAAQRGLPGPLREGMELLKEDLEEIGAALLEQSTLASPSQEARYWMEEVRELSYHIEDCVDTMTLKLSSTTDATTMRSLRGVRVGRVKSLMIGRRRHRKKLTPCARINKIIRVAKLWEVGERRWIAELRNLISEAKNWRKSAHGRVPRRYWVAAELVRIAELRNLVWGARDRHTRYRLAAAAAGSVRAFTADGCWIHAPIRRVAANLVAVDEPKAKLTEMLAVTDEADRRLKVVCVLGSAGIGKTTLAEELYRELGRHFECRAFVRVPRSPDMGRLLGAILSQVQRRPHACTVQSLIRSLTKYLRKKRYFIVMDELCDTTTWDIVRRAFPEDDNCSRIMFTTEVEDVALDCCSYQPVNIFKMEPLRLDDSAKLFFDSVFGSEQQCPPEFSEVSYRITRRCGGLPHAVISMAGLVACLPRVIEVWTNVEKCLCSSVSTNGNLDVILKGIIKLSYDGLPHYLKTCLLYLRLYPDGSIISTADLLKQWISEGFVPVIHGKDTEEIAESYFYELVNRGMIQGVQVNYSNQVLSCTVHRTVFDLIIHKSKEEKFITAIDYSQTVPGNSSNAGRLSLFFTNARYATEAAGLTLSHVRSFAFYGLVKCMPSISEFKFLRVLVLEFWGDHTGCTSFNVARICTLFQLRYLKISSEIAIELPPKMSGLGYMETLEIDAEVTGVPSDIVHLPGLLHLQFRDGLKLPDGIGCIKSLRTLKYFDVGNNSEETVRSLGELTNLRDLHLTCSAESSKQQAKRNLSILASYTGKLSNLKSINFSPGNSGMGNSFFFYKMDMSVDRSRTASFLPVSVRTLELLPSICIFSRLPSWIGQLHKLHTLNLAVREVTGNDIDGLTGLPELTVLSMHVIKTPMERIVFKRKSFQVLKYFRFICGTLRLAFQAGAMPNLHRLKLGFNAHNGERYGDILVGIEHLFNLKKITVRIGGAAEAMESDRMAAEAAVKEAIRKHLKSLDYLDIARVHCIREEYNREIKIEDSISEKHGDSQKQHSVEKKAVPGKSMKNIADSGADDRAKQISSRSNSVAVDYDELGRVGTSKIHDHYYLSELAPCTVENERSGSAGDLCLMVQMDKYTRRLPSYEWRDADMSKLNFRLLRTPVLLGAVTTRRHLILTASNNLTVLDLRGSSITEVPESIESLFIIFGVIKSQPEPTAKTSAITTWDIKKTNTELGPPQGISRMNNKRYPRPRRYNTLTSVWVMDGSDSEGPFNIWMNQSDIQDEDDMLGVILN >OB11G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4135431:4140340:-1 gene:OB11G15820 transcript:OB11G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLSGLIRVAVPKLMSTASDRYKLHRGLKDDMSFLATELKMIGAAIDRELSSPSGGDHGAVLQLSMEELRKLAHDIEDCIDEILYRATREQQSSAVVRTLRSSIKKLHDDPKLGRRMQRLREKVEQANRRSERYRSSDPRNIHVSLVGVEAPQAELRQQLAEARKLRVISVVGFSGSGKTALAAEVYNHEREENCMFDKFAWACAARKDPGEVLSELLRGVGADDRSSKATTDVGQLCVDLRQQLEKKRYLIVIDDIRTEALWKAIEPAFPAHKGVRSRIIVTTTIQSVANCVCGFSDGYVLEISRLNDHYSKQLLCKKACPDQYANYDQPDTTAILKQCDGQPLALVTVGEFLRANGWPVGPDCDRISNGLQYYLRKETFERMRRMMMSSYATLPGHALKACLLYLCVFPSDHPVRRKSLLRRWLAEGFVEAEPQSLDSALFDPADAFHELINRKIIRPINVSNNCSVRTCKTYGMMHGFLLGMATSQNFVTLFSDQKPEPRYARRLSVHGGTVLHGDDSKGINLSLIRSLTIFGEASESVLDLSKYQLLRVLDLEKCDNLKNGHLQDVCNLLLLKYMSLGGEVTRIPSDIGKLEYLEVLDLRRTKVDVVPVQVFQLPSLIHLFGKFKLQPPDESKQATEVLQFLSKDKSKLETLAGFVTDGSEGFLHLLGFMKKLRKVEIWCKSSAGSTDAPVQQKRRTGVRTDLRTAIEKFILDDMVANPGRRTLSLHFDERSEEFLKSLTGSFYLSSLKLQGNFTKLPKFVLSVRGLVELCISSGTLTTRLLEALSTLVRLKYLKLIADELQNFIIRKTSFPSLVRLCIAVENPTFPSIEEGALEYLVALQVLCKKLKGLSDIKIEKLLNLQEVTLDAMVSTETKELWKKATKEHPRRPKMLLLNSLDTSEYELTHYCSASGHGEIVETESSHASGRPVQEMNIQKPLNQGLESSYVLKKQNNCAGQSSPNQLSSDTWLAQEQWLKIGSINVWLALMTTQKGHMTITEYISKKRALGDELAVAERTLMKIL >OB11G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4145122:4145370:1 gene:OB11G15830 transcript:OB11G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVLKNMPNVSQLMIGEDALPVVEGLYVVSLPKLDKVPENIESLGSLKKLWLLGLHENFKADWDQNRMNYKMANVIELRI >OB11G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4147965:4150439:-1 gene:OB11G15840 transcript:OB11G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTHTHITLQMAEEWFLKKYFIKTTHYVLVFSQIADEVVKIEKEIKQVIELKDQWLQLSQLVADPLTEMERQRSQDSFPELVKDEDLVGIEYNRRLLTEWLYTDELDSKVITVSGMGGLGKTTLVTNVYEREKINFSAHAWMVVSQTYTVDALLRKLLRKVGYTGPPLSGNIDKMDVYDLKEEIKQRLSGRKSLIVLDDVWDQKVYFQMRDALQNQQASRVIITTRNNHVVALASSTCCLDLQPLSSIHAFDLFCRRAFYNIKDHECPMELVKVATSIVDRCQGLPLAIVSIGGLLSSRSRTPYIWNQTYNQLRSELSKNNHVRAILNMSYHDLSGDLRNCFLYCSLFPEDYPLSRESLVLLWIAEGFVLSKENNTPEAVAEGNLMELIYRNMLQVTKYDELGRVSTCGMHDIMRDLALSVAKEEKFGSANDFGTMVEIDKDVRRLSSYQWKDSAAPNLKLIRLRTIVSLGAISSSTDILSSVFSHSSYLTVLELQDSEITQVPSSIGNLFNLRYIGLRRTKVKSLPDSVEKLSNLHTLDMKQTKIEKLPRGITKIKKLRHLFADRYADERQSEFRYFIGMKAPKDLSNLKELQTLETMESSKGLAEYLKELMQLRSVWIDNISSADCGKIFAALSDMPHLSSLLLSAMDENEPLCFEALRPRSTELHKLIMRGQWAKGTLDYPIFRSHSKHLKYLSLSWCHLGEDPLGMLASHLSNLTYLKLNNMHSARALILHAEAFPKLKTLVLQKMPDVNQIEIMNGALPCIEDLYIVLLSNLDKVPQGIESLRSLKKLWLMNLHKGFKTQWKDNGMHQKMVHVAEVRI >OB11G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4152797:4153334:1 gene:OB11G15850 transcript:OB11G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSEAALGVGLHRRWRITTSTSPVSQEDFGKEPLWIETQLKTELDRKVRIGWRQEGGRDIVQPMVSESDRN >OB11G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4169942:4173385:-1 gene:OB11G15860 transcript:OB11G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFILIDDLWETSSWDIVSSAFPKGECCCRVLTTTNIEEVALECCDYQYDDIYKMKPLSRDDSANLFFSSVFGSEHNCSEELKNVSYEIVRNCGGLPLGIMSIARFLANEVETLELWQHVKKLLSFSTATSHTSEDMLRNIVCLCYKSLPRHLKTCLQYLTMYPEGCIIWKADLVKQWTAEGFIRETEGEDTNAVADSYFDELVSRGIVQPNRKTYSDEVLSCTVHHMVFDVIKGISIEENFTTALDYSQSITKLPFKVRRLSLHVSNTKYATKPSNISLSQARSLNFYGLAGCLPSTLEFKQLRVLILQLWGDQEEFDLRGIFRLLQLRYVQVTTDMIVKLPANIQRLQYLETLQLNARVENVPSDIVHLPKLLHFRLRDVTLPDNIGCMVSLCTLESLDLSNNSEQNVWGLGEMTNLQDLHLNCSELSDCLKRNLTALASSLGKFGKLRTLVLAPSSGTSMYMDCSRVVSSPPLSLQRLELLPPICIFSRLPEWIGQLKKLRILKIVVRELLSSDVHSLAMLQELNVLSLDVQQSLEETIVFNHKAFPVLRYFKFRCAVVRLAFQAEAMPNLQKLKIEFKAHSGEQYGDMLAGIEHLLNLQEIIGRIGAAADAEESDKTATESALSDATKKLSSIPRFNIRWIHWVEEDVRVFPILDLPGRSSSSGNLLQSPVFSYINITIVQMRST >OB11G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4179662:4182406:-1 gene:OB11G15870 transcript:OB11G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLGAMGSLLRKLHVAEYQVTESAKEGIGLLKQDLEVVNAAMVDLSETETCTTMARHWMNQVRDLSYDIEDYIDNTMPSSSSSSYSDSSADLEPVDPGRIEEFRVSVREARERHQIYELGRCTCTPPRCGFVSPEQRRAAPAPDWKGTSLVGIGKSKIELLNMLSDEAEPKLKVVSILGVGGVGKTALAKQVFRELGGRFGCRAFVQASRMPDTKRILRGILSQVRRHGQLPADCCTVQVLIDEIRQHIQHKSKWFIVYTPILVAKRPKWVVLNASCFAQILLPDVYDTLDIPQKTHGEKDRRESIAPSSEIASRTNVARKMGESFSNNEKMGMEHGGKGMHDDNRIGFGNLRT >OB11G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4201172:4206304:1 gene:OB11G15880 transcript:OB11G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLSVLLKNLASRMLSLVDQKYNLYKGFEGDAKFLTMELAMIHGAIDEGLSAAATTAGNRGSSVLHLSIEEIRDLARDMEDCVDRIVYHETREQQASRIARPLNFARLQLAKEMQRLRKRAEEAKERRERYTVPVVLGQSPPTTLGDGESPQQAELVGIDGPREELLAHLGEAGGEGEKKKTLKVISIVGFRGLGKTALARELYNSDLGQSFSKRAWVSAAHRSPMEVLMDIIRQVSKTTASDASAVDLHQLNAALGDQLTNSRYFIVIDDMQRDLWSTIGSAFPKDGFSSRIVVTTTMQSVAKACRSANSYVYKIRRLDKRQSKTLFLNNACPEEYSDYPQPDSAQILKKCDGQALALVTVGKFVLENMEWPTGANCNNAHNYLRHHLENKSENCEDALQRMRQVLIHHYSMLSDHALRACFLYVGMFPSGYPIRRKRLLRRWSAEGFVEALPSGSTPDPAAENFNNLIDRNIIQPIALSSNEEVKTCQTYGMMREFILLRSISQDFISFCDDGKLQYQHVRRLCLQNNTAVDGGSLDIDLSLVRSLVVFGKAGKTILNFKKYRLLRVLDLEECTDLDDNHLGHVCKLFLLRYLSLGGKVTTLPEEITKLKLLETLDLKKTGVNILSTEVIKLPHLTNLLGKFKLLNKAKRINELQKFLSSVNCRLQTLTGFITDGSEGFPELMGHMKQLRKVKVWCTELSSSSSGFTNLQNAIQNFIHDENSASNDPRSLSLNFDNCPEDFLYKLKAQCYLRSLKLHGKLLKLPQFVVQLRGLQELCISSSPKLSAGLLSALSNLRKLKYLKLIADELDKFIIKDNGLPSLLSLCFVLIRPTFPVMKENALRFLKSLHLLCENLDGLSGININGLKRLEEVILHANVKETTQVAWESAAMEHPNRPKVLVLEKVDLTDGGHEEDESTVALQGQELGNGAQIDELNNATK >OB11G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4213370:4215023:-1 gene:OB11G15890 transcript:OB11G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAANSIVDRCHGLPLAFVTIGSLLSSRPVAEFVWDKIYKQLQTELANSDHVRAILNLSYHDLLGDLRNCFLYCSLFPEDYKMTWESLVRLWVAEGFVLSKEKNTPEDVAEGNLMELIHRNMVEVVENDEVGRVSTCKMHDIVRVLALSIAKEERFGSANNVGTMLLMDKEVRRLSTCGWSDHTISIVKFKRLRTLISLSTISLSPEMLSSIMSGSSYLTVLELQDSEITEVPASIGYNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLNIKQTKIEKLPEEIAKVNNLRHLLADRYADEKQSDFRYFIGMQAPKELSNLHELQSLETEQLKKVMQLRSVWVDNISSADCANLFASLSSMPFLSSLLLSARDKNEELCFKNLRPRSTELSRMVIRGQWAKGTLDCPIFRGNETNLKYLALSWCHLGEDPLGMLASHLPNLTFLRLNNMHNANILVLSAHSFPRLKTLVLKHMPNVNQLNIMDGALPSIEALYVESLRKLDTVPEGIESLRTLKKLWLLDLQEDFNTVWYNNGMIQKMPHVPEVRVSG >OB11G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4221678:4222601:-1 gene:OB11G15900 transcript:OB11G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNYAEPAQEQQAADEQQPAASTQIPGDYFVGRPGNQQQTPPPAPAPAPARPSFLAKLYSNLFLLFFFLILR >OB11G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4232993:4233986:-1 gene:OB11G15910 transcript:OB11G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPPGYFIGRPANHEEQQASRPVEEQNAQIPGYYSGHPLRPNGTNEQAKKPSFFERLFGCFSSRRNAN >OB11G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4237246:4239957:1 gene:OB11G15920 transcript:OB11G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAIAKLSEKVTNLKELPRKVEEIENELKMMNNVIKQISTTHLTDDVVKGWISQVRGVAHHVEDVMDKYSYYALKLEEENAMKKIFTKGNYVAVFSEIADEITQIEKRIENVVKRKERWLQQSHFNPNPLANIERKRSQDCLLELVQDDLVGIENSRKLLTEWLDSNEAGNTVITVSGMGGLGKTTLVRNVYEREKNNFQDSTWIDVSQKYDELDLLRKLLRKIGPPEQTQLSDLDAHELKRTIKQRLVDSRFLIVLDDVWNREAYNQMADAFQNLQAGRIIITTRQGDVTTLAHPTRQLIVKPLEKSDAFNLFCRKAFYNTMHSNCPQDLENVVHNIVDRCKGLPLAIVSIGGLLSSVQPEYHVWNEVYKQLQDELVNNSNIQAILNLSYQDLPGHLRNCFLYCSLFPEDHKFPRETLVRLWVAEGFTVRKRQNTPEEVADRYLRELIQRNLLEVVDYDELGRVRSCKMHDLVRDLALSIAKEENFGSADDYGTMIEMDRDVRRLSSCGWKDNSAPKLKLPRLRTLVLLRTISASPYMLDSILSESKYLTVLELQDSEITELPKSVGNLFNLRYIGLRLTKVKSLPDTIENLSNLHTLDIKQTKIEKLPRGIVNIKKLRHLLADRYADEKQEEFRYFIGVQAPKDLSNLKELQTLETVQASKELAEQLKKLMKLRSVWIDNISSTDCAKLFATLSDMPLLSSLLLSARDKNEALCFEALKPKSTQLHKLIIRGQWAKGTLDYPIFHDHGTQLKYLALNGCQLGKDPLAMLASHLKNLTYLRLNNVRGAKTLVLSAEAFPLLKTLVLKNMPDVNELQIMNGALPIIEGLYIVSLSGLERVPLGIETLRTLKILWLLYLHKNFKTHWIESNMHQKMQHVPELHM >OB11G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4254377:4257082:1 gene:OB11G15930 transcript:OB11G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAITELSEKATNLKELPLKVEEMEKELKTMNNVIKQMSTEHLTDEIVKGWIADVRGLAHRVQDIMDKYSFHALKLEEENAVKKLFTRTKYLTVFSEIAQEISNIETQIGNVVMRKKWWLKKSHYNANTLADIERKRSQDCLLAPDDLVGIEDNRKLLTDWLYSGEQDNTIITVSGMGGLGKTALVNNVYEREKTNFKACVWIVVSQTYDVVDLLRKLVKKIGSPQQTQLSDLDARDLKNKIKEMLKHGNFLIVLDDVWNREAYTQIVDAFPPCQGSCIIITTRQGDVAALAHPTRQLKLHPLEHSDAFDLFCRRAFYKNYKCPQNLEKLGNDIVVRCQGLPLAIVSIGGLLSSLPPENHAWNETYKQLRSELTNNNHVQAILNLSYHDLPGDLRNCFLYCSLFPEDHQLSRETLVRLWVAEGFVVQNEENSPEEVAEKHLRELIQRNMLQVVENDELGRVSTCKMHDLVRDLALCIAKQEKFGCANDYGTMQRMDKEVRRLSSCGWKGKAVMQVKFMRLRTLVAHGMTAPSRHMLSSILSESSYLTVLELQDSEITEVPESIGDLFNLRYIGLQRTKVKALPESIGKLSSLQTLNIKQTKIQKLPRGIVKIKKLQHLLADRYEDENRSQFRYFLGVQAPKELSSLEELQTLETVEASKELAEQLKKLMNLRSLWIDNINADDCANLFATLSKMPLLSSLLLSASDENELLCLEALKPESEDLHRLIVRGCWAAGTLEYPIFRDHGKNLKYLAISWCRLQEDPLLLLAPYVPNLAYLSLNRVSIASTLVLSAGCFPHLKTLVLQRMPDVNHLEIIGGALQHIECLYVVSLPKLDKVPRGIESLGYLKKLWLQGLHTNFRFEWEKNGMHQKMQHVPELRVKG >OB11G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4263044:4264215:-1 gene:OB11G15940 transcript:OB11G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSDESMAASKRSSSSFNAGGEAPKAPWEIDLSDLEINDFVKQGLHGTLFCGKYHGRDVAVKLLEWGRDGHSTSEEIARLGESLRKVTDACHEMDHPHCQQRLAHSLNTVNFALAMARGLSYLHSRKIVHRSVKTENMLLDDKLNLKIVDFDVACIDSDPNDITALTGTPCYMAPEHMGK >OB11G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4265395:4271159:1 gene:OB11G15950 transcript:OB11G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHANILPHSPATSVTAVTVDNEVLTNNDSTILRSLLPAMQSLHAALAACNLTARVAVTTAHSLAVLSSSFSPFSVAFRRELLPYITPLPTFLAKTNSSFLIKAYPYFAYKIDGNRVDLDYVLFEANADVSDPATGLHYDNMLHAQLDTAIYKANYGKPVDIRVSVTRWSSQGGGDEAEATPENVVRYNGNLMRLGAHGKETPAAPDEALQVYLFALFKKDMKLGPTSERHYGLFKPDDTPVYDVGVKALTMGGSWKEKGNGTSGGGAGGWLWRKGSEGRTVQDPKLDSTQCLQLHTRTMMLPDLFSRFRRSSIYLMASVRSSSAIPSDLPTLDRAPADAAAAVYGLQQGCFEFDIRLCIWELDWGVFIKSCKTNLQKNKTMTGSISQYHDKFKFKVDIKQNIKVFTEDEIKRITSNFSVPIGRGGFGEVYRGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHKNVVELIGYCIGESTLMIVTKYISKGNLDDILHNSDISIPLDVRLGIAIGCAEALSHMHSMHLSSDSLVCHGDIKPANILLDDNLTAKLSDFGVSRLLSGGVTQYTEHIKGSTSYMDPIYFQEGCLTPRSDVYSFGIVLVELIARKRVRKGDVNLIGSFNKACASGKDREIFDSAIANKKNMKILKEMRKLAIECLSLDIHKRPQMNVVEKRLRILKKELKNIHEKYSESILASHQAWHKNYNQGITMPSYNSRTQLKKSLSIFKRNLSSSKILLELGNVRIFTQEELNEVTQNYSYLLSGGTSGKVYQGTLEDNTVVAVRRFSEVFEGFEEAFINGGMILSQIVHRNIVRLLGYCLDADCPAFVYEHAARGTLSDVLDRHEDFPLHLRVKIAVQTAEALEYLHSSPTGIIKHGYVVPSKILVDDNFTPKLTGFSWAQRLIKASKVTIDDDVICNGFNNGAFHDRCVSLKLKTDVYQFGVLLLTLISRKNFVFYADHDHLILQLRAAYKTDNSGRAFFDDDIAARSEDITLLEVIGRLSLNCVSEIDQRPTMKEVAEHLRIIRRSWKNNGRADGATLVSEAVTKSRITGAEGTT >OB11G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4273741:4274793:1 gene:OB11G15960 transcript:OB11G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARAVDFRGRPGEAVDQINAWVKKETNELIDSAIDGALPADADVVVANAVYFKGKWEVPFKKSLTITDKFHRLDGAAIDARFMRSFRPRHHIACHDGFKVLRLPYEQGHRPASPPSLFSMCVFLPDARDGLWDLLDEMASTPGFLQDHLPTKTVRVGKLRLPRFKLTFSDSIAGVLRGLGLEVTFSDGEADFSKMVVDDGSGRTLSMSSLVHKAVIEVNEEGTEAAGYTGGVMCGAGPMRPPPVLVDFVADHPFAFFIIEEMSGALVFAGHVVDPSSAAGALDDDDDVVDPSSTPPRDFAADFFDLEMDEHHLGIMGCLRVLCYCCICYYCMSLICVGFRNLVTFLLG >OB11G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4276907:4277677:1 gene:OB11G15970 transcript:OB11G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTTLSEMLGVMAVGRPPPCPGLTELALRLARGMPARAEGANLVFSPLSVYAGAGGETRAELLESLGMGSLDELDGLVGRLADRSSAGGPRVSFVSGVWHDVSTTLAPCFRDAAVGSFMAETSAVDFRTKVGTLTHDLVVNALMLVLSFCNAARGSSASDQCVAKKATNKLIDSIIDGGLPADTDVVVSTAVYFKGSWEDPFTKRSTKTDKFHRGVFAAAAVAVLYVHIPAGHPRRSLGPPRRDGLNARLPAGN >OB11G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4277689:4278105:1 gene:OB11G15980 transcript:OB11G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVRHFMLPKFKFTFSDDMAGVLHGLGLELTFSDVKADLSNMVGDDGSGSGRTLWMNRVVHKAVIEVNEEGTEAASLLADARCGMSMSESPPPVRVDFVADHPFAFFVIEVRGDVGRRRLRRPRSGPQLTGRSFGR >OB11G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4279954:4280341:1 gene:OB11G15990 transcript:OB11G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKGHNRSDRHTGLHGPEVLTEKSYNYKCDVYSFGICLWEIYCCEMAYKDASFADIKSAVLNKHLRPKIPKCCPRDMARIMRRCWDAEPASRPEMQEVVEMLEKLNTKKGHGMVPAGEPSGCFCFSIRRR >OB11G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4283692:4288036:-1 gene:OB11G16000 transcript:OB11G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHILVCCCLHLTTLLSLLLSSSCQPDHQIQALLELKAGLADPLNNLQTWTNATSPCRFLGVRCDRSTGAVTELSLSSMNLSGRIPPAIGALAALTRLELDSNSLSGSVPPELGNCTRLRFLNLSCNGLTGELPDLSALAALDTLDVENNGFSGRFPAWVGNLSGLVTLSVGMNSYELGETPASIGNLKNLTYLYLASSNLRGRIPESIFELTALETLDMSMNNLAGVIPAAIGNLRELWKIELYGNNLSGELPPELGKLTKLREIDVSRNQLSGRIPPELAALENFEVIQLYRNNLSGPIPAAWGELRFLKSFSAYENHFSGEFPANIGRYSPLNSVDISENAFSGPFPRYLCQGKNLQYLLALQNGFSGDLPEEYSACDSLQRFRINKNKLTGSLPAGLWALPAATIIDVSDNGFTGSISPAIGKAQSLNQLWLQNNRFDGEIPAEIGRLGQLQKLYLSNNSFSGELPPEIGSLSQLTALHLEENALTGRLPGDIGGCARLVEIDVSRNALTGPIPATLSSLSSLNSLNLSHNALTGTIPTQLQVLKLSSVDFSSNRLTGNVPPGLLVINGDVAFAGNPGLCVDGRSDLGVCKVEDNHHDGLARRSFVLVPVLVSAMLLLVVGILFVSYRSFKLEELKKRDMEQGGGCGEQWKLESFHPPELDADEICAVGEENLIGSGGTGRVYRLALKGGGGMVVAVKRLWKGDAARVMAAEMAILGKVRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRRETKGGAVGAAELDWPRRCNIALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDEDYEAKIADFGIAKIAAEDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELVTGRTPIDPAFGEGKDIVFWLSAKLAAESLDDVLDQRVAAPASARDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGAARRRLRRGPAPGARAAAEF >OB11G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4332840:4335390:1 gene:OB11G16010 transcript:OB11G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRMRSCRPARPVSLVLFLLVVLLHCAFLPTNGDDGGGGGGDDALHGDALALVRLKATLRCHPHALRDWSVGNVAAVCAWNGVRCAGGRVVAVDIANMNVSTGVPVAVAVAGLDALANLSLAGNGIVGAVTASAMPALRFVNVSGNQLGGGLDDWDFASLPSLEVFDAYDNNFSSPLPAGVVALRRLRYLDLGGNFFTGEIPAAYGGMAALEYLSLNGNNLQGAIPPELGNLTSLRELYLGYYNVFDGGIPPEFGRLRNLTMLDISNCGLGGRIPPELGALAALDTLFLHTNQLSGYIPPELGNLTALTALDLSNNALTGEVPGSLAALTSLRLLNLFLNRLHGPVPDFVAALPRLETVQLFMNNFTGRVPAGLGANAALRLVDLSSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPGALGSCSSLTRVRLGQNYLNGSVPAGLLYLPRLNLLELQNNLLSGQVPANPSPATAAGSQLAQLNLSSNQLSGPLPSSLANLTALQTLLVSNNRLAGDVPPEIGELRRLVKLDLSGNTLSGKIPEAIGRCGELTYLDLSKNNLSGAIPEAIAGIRVLNYLNLSRNQLEEAIPAAIGGMSSLTAADFSYNDLSGQLPDTGQLGYLNATAFAGNPRLCGPLLSRPCGYGGAAGGSPVVTRQRATAGDFKLVLALGLLVCSVVFAAAAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPGSGRRSAPWAASATGTSSASWPSAAAATTRRRAAAAATCWSTSTWRTAASARCSTARAAGSCRGSGGTGSPWRRRAGSATSTTTAPR >OB11G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4339689:4343190:-1 gene:OB11G16020 transcript:OB11G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAYGVGAPLKLAARHHGVFARHCAGWKSSVSWPVSQAWMGTCSSVAMRKVASGSPLIVQASKNGDSSLKSSLADANLLAEQRITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNIGIAQSGDATCISDLDISDSDAVIAFCLKRGVGMVVVGPEAPLVAGLVNDLVKAKIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPTEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDCMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHIIMERIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGDVSLTWSPEMAMVVVMASQGYPGSYKKGTVIRNIEKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITSKGKDVEEARTRAYDAVDVVDWPEGFFRRDIGWRALKHKQVANF >OB11G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4353106:4355683:-1 gene:OB11G16030 transcript:OB11G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHETRCPSCNHVSLSRDDPEDWDYVQLESPGHLLHGIVHENGFGHLVRVNGREGGSSVMTGSQLMDFWDQLCRNLRVRKVSVMDVSKKYGVDYRSLHAVATGCSWYGLWGFKLSSGSFGITPEAYCKAVDSISSVPLSNFFPHSRSPRNQLQNTIAFYQSLSSYPLRTIRELFLYMMGLATSNSVQHHTHPVHKKKQADAVHCQEMACAAHYQEEKWPEEELQRTTDVALKILRAAGRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTNDGMVVAVRCNSEMNTLEYRLTAETLFPANNICTLTQDHLSRDIKFLYDALLYPYTMHPCRPEENYEQTRRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELAEQVDDPPCIPVELLTLPQTATVGDLKAEAVRAFRDIYLMFQSFVADQLLDCATASETTQINLLFGSKGVVHIKGRCIGGERRFAVYRMERGVDKWTVICPCGAKDDDGERMLSCDSCHVWMHTRCAGISDFDRVPKRYVCTSCKLTHKPKSSGSRLMYSSTAPYKRCKTSTGSFSNAAKGLLRPHIH >OB11G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4375335:4380308:-1 gene:OB11G16040 transcript:OB11G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRQGPKVDTMAAASEVSVDWRGRPCSPHKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACEMMAAAGGCEEAGGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGGGDGGGGKQLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVCLVAGVFFYRNKPPQGSIFTPIAKVFVAAVTKRKQVCPSSSSSSSSSTAASLAVTPAGINKFRFLDKACVKAQDGRGGGGEGGKESAWRLCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLGAGAAFSSHVPPASLQAIPYLVLIALVPAYEAAFVPAMRRATGVDTGITPLQRIGVGLFAATFSMVAAALVEAHRRRAALDEGRLLSIFWVAPQFLVFGISEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNKVTSGNNGGGGGGWLSDNDLNKDRLDLFYWLLAALSLLNFFNYLFWSRWYSKGVETVQVAGVGGGGGGGGEQEEKGVSEINTGH >OB11G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4398983:4400850:-1 gene:OB11G16050 transcript:OB11G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPGQGNTIEVPTDAELLQAQADLWRHSFSYLTSLALRCAVKLGVPTAIHRLGGAASLADLMAALSLPASKLPFVRRPXXXXXXXXXXXXXXXXXPPRLPPLSRILVDGVAAPPPHVQTSFVLTATSRQYLEAALALDEWLRKDVALAPLVLHFWGDDDCVKILGECKKAIPPRDKGGKVIVVDILMAPDSLEPAMFETQVLMDMAMMVNTRGRQREEGEWRDLFLGAGFSDYKIAKKLGARAVFEVYP >OB11G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4410297:4410875:-1 gene:OB11G16060 transcript:OB11G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYPRTPAVVITEPSPTRIYVTANPSTFKELVQRLTGQPTGEAVAQDGVAPATAAPPPAAPRRGSITMRRMATAVHDPPTFKAAAPRRPIIWTARPWLAGLASPTARSPSPSPSPYGPDGPCVLNKQGELPPSPPSVSTLAEEAMEDAAEEKDMQQSPPPLRAVRAREPKLLNLFPLTPLPTPRIFEKSQR >OB11G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4416021:4419283:-1 gene:OB11G16070 transcript:OB11G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71340) TAIR;Acc:AT1G71340] MRERRCGWIASPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGALFALHDRDLQRMSGNSSAKVGHWSSNEIKALSTSFQLSKTVQNQEVPKAQDVLPLISQSVRQVILDIKVGPPSFEKDLAEDVLSIISTTQCKNCLIWAKSDNVARDVIKLSKDVTVGYIVMVDKSTSRTTELVRIEGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDADSMKRMLYEHVDAIVTSNPSLLQQLMQETRIECMEDGFALP >OB11G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4424256:4424598:1 gene:OB11G16080 transcript:OB11G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLVCRSGAEQSREQLAADPDQMAALRRSAAARAWPSASVTMLGESMATTSSVVNPSSSRRSSSLPAATSPGRITVLCSPGRRRSLSVSPFSPTSTATLDRSTPHCIDRYL >OB11G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4425120:4431872:-1 gene:OB11G16090 transcript:OB11G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G10120) TAIR;Acc:AT4G10120] MAVGNEWINGYLEAILDAGVKLREQQGPAVQLPRLQASPLLPAEDAAAATAATYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNSRLENLCWRIWNVARKKKQVEWEFSRQLARRRLEQEVGSREAAADLSELSEGEKDGKPDAHPTATPEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVIHGHYADAAEVAAHLANALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYKIARRIEAEETGLDAADMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLADGDAVDLQLLISPTKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAGITAALLSLLADKARWSECRRNGLRNIHRFSRPHHCRLYLSHVAANCDHPAPHQLLRVPPSPSTSASASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRHDHPRGRRRAQVLRRRPGRLRRADLQ >OB11G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4438702:4439687:1 gene:OB11G16100 transcript:OB11G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKKTYSIEHDALVLCGIDLIPARSGREYVTSIANYITCSSKVIPFAISEGNQTISTPMYGSPFLDVRRPLVKITRAFHISCTVSRCEIHSKSEAILFAAVT >OB11G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4443363:4445809:1 gene:OB11G16110 transcript:OB11G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLLGMLLEVEGIRQLPDLYDDRQLINRLRECLVNKRLQKFVPDKNFCSKDKFPVQLEWIMDEILQKCDGLPLAIITIASLLADKPKTKEEWTRVRNSIGSMHEKDVELEVIDKILSLSYFDLPHSIKTCFLYLSIFPEDSEISRDCLIWRWIAEGFIVGKHGYSLKELGESYFNELINRSMIQPVHMEYGGMPTSCRVHDIILDFIITKSTGENFVTILDGEDLSTSSSDKIRRLSIRKKKKIIPENVEISITNDNMDSFLWHTEFDEGTLLQGTRSFPHLWSLTLFGPVKWMPPLLDRLVLRILDLYDCRYMINDNIEDIANLCQLRYLSLKNTHIKRLPVQIRKLEFLQTLDIRHTGVQELPGSIIHLKQLMRLEADPIGLPDGFANMEALQELSWVHICKSSKNFVQDLGNFSNLRVLKIIWQTQFLTHCLETYQEALVSSLCKLGEHNLRCLHIKYNAGELDFLVDSWCPPPHLLQKFVMNGFCYFSRFPKWINSSLYELSYLDIDVKVMAKEDLHMLAGLPSLRVLRLFLNIIPEEGFTVRSSGFQCLTELHLHNSNGPGIKFEAGAVPKVQVLIFRFHAYESVQVYGDFDFGVQHLSSLGRISVEICYTDERSVFLVEVAESAIENEIKLLPKHPIFKIKRWYNENSCLF >OB11G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4447795:4448247:-1 gene:OB11G16120 transcript:OB11G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGLRLPRGGIKLLLLLTALLLAAMHRGGCAVTAVAAQGEGRRVLRGDRRMATLSPPIPHPPPQTLDTPPSPICCRHGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPATTARRRRRRCRLTGRPDFHFVPTYICC >OB11G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4457322:4457531:1 gene:OB11G16130 transcript:OB11G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFHAQTHKNTHQFLKQHMGRSNALNRVARSKRGFGAAQTELKTKTQSGTSCSTTGEIKKKKEAARM >OB11G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4457600:4457830:-1 gene:OB11G16140 transcript:OB11G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIAFGRPGLRLPRGGIKLLLLLLLAVVMHCCCKVAAEGGGGGEAGRRMLRGGTLPPPSPIPHTGSSPTPFPCC >OB11G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4462449:4462796:1 gene:OB11G16150 transcript:OB11G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWFGLFEQSYNSQEGSILVLYLNSLQLFLSYSYNIRELQTYILASGHRIHRTNVRDTINISRCVPSKQRRRKNKQGSIGTCDIYDARSLHANESWSSSANSSTAERTPGAMWRRRR >OB11G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4469713:4472150:-1 gene:OB11G16160 transcript:OB11G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNRLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQLCILSYDYGVLSPDTVAN >OB11G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4476260:4480064:-1 gene:OB11G16170 transcript:OB11G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAVSALAGVMSPLLGKLGSLIEKEYAELRGARKKLEQLRKELMAINLALEKYSAMENPDVQAKAWASEIRELAYDMEDAIDLFTCRAEHDQPAAAAMGAKRIVLKILRKARRIHHRHRLAKQMDHLQVLANEAYMRHKRYKLEQDDDTASSSSSSMVIDPRLPALYVEAEKLMGIEGPSNEIIEQLVGGEPAGTKQQRRVVAVVGSGGSGKTTLARQVFERISSQFSCAAFVSVSRKPNVSNILRELLSRIWSNHHGSSGAIDLYSDEQLIDRLRACLENERYLVVIDDIWQKSAWEIIQCALPKNSHANRIITTTRIRSVAEFCCTSCEGFVYQMKPLSKSDSENLFLKRTFGAGEKCPSHLEGVINKILYKCDGLPLAIVTLASLLADKPRREEEWERVLNYIVSMPKKDNELEVMDKILSLSYNDLPHHMKNCFLYLGSFPEDHHIGKDILVWKWIAEGFIVAKHGFTLEEVAESYFYELINRSLVQPVNMVHGVSEQGCQVHDIVLNFILSRSAEENFLTILDGHHEQLPSSRTKIRRLSVWNKQECATLMSQGSKKLPHVRAISMFHVDGWTVPPVWDLPVLRVLDLEGCHALRNDHLNCIVNLFHLRYLRLCKTSIDSLPVQIGKLEYLQTLDVSSSLVRRLPESIIQIKRMTRLVGDELVLSDGFGNMESLQELGVLDGCSCSLNFGKDLELLTKLRVLRVMFRCEETGDLDTRKKHLMSSLCKLGGNSLRSLYIQSSVTGVDCSADSWCPPPILLQKFEYRGVRYFSSFPRWIKPSLAHLAYMDFRIQQMEQGDLRVLQSLPALRVLCLSVKQVLGDGLIVSHGAFHSLTRLGFCNIDGPALMFGADVPRLEWLKLEFNASRAQSTYGSLVAGVQHLSSLKCIDLTVGMISETEDESDPTGVKSIISRQVKMLPNNPKVNITFL >OB11G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4485665:4488265:1 gene:OB11G16180 transcript:OB11G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVMEQIVVSALTGVMSPLLRKLANLIEKKYMEVKGVRKKLEQLRKELTAIGVAMEKYAGMEDPDMQVKVWMAEIRELAHDMEDAIDHEPADTTTGVVKKVLHKALRKMKKLHHRHKFAKEIEDLNVLVSEAYERQKRYRIEEGASGKFHREIDPRLPALYVEAEKLVGIEGPSKEIVDWFANDQDGEPTGQRKVVSIVGPGGLGKTTLAKRVYDKIKGCFSFSAFVSVSQKPDMDNLLRELLSQIKSSQPTESYSDQQLIDKLRTCLQEERYLVVIDDIWKRSAWETIQCALPKNKHKSIITTTRIKSLAQYCCASDESFVYQMKPLSKSDSEKLFLTRTFGTENEFPSHLEGVINNILYKCDGLPLAIITLASLLADKPRRKEDWERVLNYIDSTNEKDNDLEVMDKILFMSYNDLPHHMKNCLLYLSMFPEDHKIGKDVLVWRWIAEGFITEKQGFTLEEVA >OB11G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4499713:4500795:-1 gene:OB11G16190 transcript:OB11G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTATPPQQRKTKPKPPIPGSGVVRIDAARCYLAGDSAGGNIAHHVARRYAAEAPAFRNVRVAGLIAIQPFFGGEERTDSELRLDGAPIVTVSRTDWMWRAFLPQGCDRTHEAADCTAPAAASGVDSPCFPPVLLAIGGYDPLQDWQRRYAEMLKSKGKDVRVLEYPDAIHAFYVFPAFDDGRDLMIRIAEFVAESAAAGSE >OB11G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4511160:4511351:1 gene:OB11G16200 transcript:OB11G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNESAHPHLPQINYEPYLFLSSPKKLLVSSQLHVATVAAVQHTTMRQEDTTPHVIFLLAYY >OB11G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4536408:4553307:1 gene:OB11G16210 transcript:OB11G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAERLIAFAILHQGYSSQLENPFVPLLIHAACDETSDKPERVFLQLLLNSTNGDNNREILRHSAADCLEESVYASQVLLTREQLESQYSCSEVEAQSCTGSFGASTVRSAILDPDVSQICTDPSEVSQPKSNRDNLVTTLLQQTSLNGIGPQWIRPPPPRLEILEGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKASKGPLSLAQQEQVLVELAKDWKLVYHCGMTPQKLPELVEHNPLVAVEVLSKLINSPDMDAYFDILVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >OB11G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4569914:4570453:-1 gene:OB11G16220 transcript:OB11G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFLVAALLLAAAAAAPALASSSSSSSGDTNNTAAPTAYEMVERYGFPRGILPEGVQSYVLRPDGSFEVLLSGDGVEGGGGGGDCEFRVGDGGAYLLRYGRRIAGNAREGSIRSLEGVSVKVLFAWLGIGQVDRAGDQLRFFVGPLSASFPLANFADCPRCRCGFDCAGGHAIAAAS >OB11G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4573644:4574219:1 gene:OB11G16230 transcript:OB11G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSLEELDQATNKFDQNRILGDRGTVYKGILSDQRVVAIKKSNIVVQREIDQFINEVVILSQTNHRNMVKLFGWCLETEVSLLVAASISVFRRDIKSANVLLIDNLTVKVSDFGASRSVLTNNTGIVTAVHGTHGYLDPEYYYLID >OB11G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4577903:4578355:1 gene:OB11G16240 transcript:OB11G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVSSRRPAIDMIGALTLFQTILATAAALAAGRISLPGCPESCGDVQVPYPFGIGQGCFFHEHKAAGFNLICNETLHPPKLFLAAAGAEVLGISLPDGTVRVGGDVRRWPGFVYDNTWYAPYAAGSFRVSSARNSFVAFGCNVVAQLIP >OB11G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4580167:4581183:1 gene:OB11G16250 transcript:OB11G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSLEELEQATNKFDRNRILGGGGHGTVYKGILYDQRVVAIKKSKIVVQREIDQFINEVVILSQTRHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHRQIENPLSWKDRSRIALETARAIAYLHSAASISVFHRDIKSENILLTDNLTAKVSDFGASRSISVDDTGILTGIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELITRIKPVFSYHSSEVTSLASHFVSMRRNNRLLDVLDPQIVEEGGAEDAEVIAKLAEACLGLKGEERPTMRQVETKLEDVQGSKVHPNSQTTRISQNAQKDESASRNKSGEGTRQYSLEKEFIQSSEIPR >OB11G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4585563:4586027:-1 gene:OB11G16260 transcript:OB11G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFLLAMVASALLILAAASTASSPDNSTSPSPSSPDPTAYEMLQRFGFPVGILPEGVQGYRLGEDGSSFEVYLAGDCQFRAAKRYVLRYSSRVAGSVAAGSITSLEGVKVKETFVWLRISQVDVDGDQIRLHVGPFTKSVAADQLAVSPQCK >OB11G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4587503:4587838:-1 gene:OB11G16270 transcript:OB11G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQYGFPAGILPAGVQGYTLNQDDGSFQVTLPGDCVVDIDGYRLQYRSQIYGNVRAGSIDGLDGVSVKIAIVWVGIHDVEVDGGDITFHAGAISKSSPTEGLVRDIDAYG >OB11G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4599979:4611267:1 gene:OB11G16280 transcript:OB11G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:J3N742] MVENGVAEQPDGVSRNRPRAQLDDDPVGPGYLPELTWEHKLSNTGYDLPSFRLTWRETFQLAGLGFRLGRHILEETSKGRASVIDPMKKRIAKSGQGVPLGGIGSGSIGRSYKGDFQRWQLFPGTCEEKPVLANQFSAFISHKDGRNYSSVLHPGKPDFPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYKGEPDPDVNIVCRQISPIIPHNYQHSSYPVAVFTFTVTNSGNTTADATLLFTWANSVGGKSEFTGYHSNSPMIEKDGVHGILLHHRTANGQPPVTFALAAQEKEDVHISICPYFVISGSSDEFTAKDMWNHVKENGSFDHLDLNKTSMYSRPGSSIGAAIAASVKLPAQATQNVSFSLSWACPEVKFSSGKTYHRRYTKFYGTDSDAAASLAHDAILEHNSWERQIEEWQNPVLQDERLPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGEKKFSLDMQNGEADDVNGIIPRNNTAGDILNQMASILERIHASMASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVMMHDPEKLRMLHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASERLFWDKYEKAKSVYGKLWNGSYFNYDDGENIMSTSIQADQLAGQWYAKACGLFPIVDKDKAQSALEKIYSFNVMKFKDGKRGAMNGMWPNGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSAPKLHKEAPADIPQDSFPKNQFSYARIAKLLQLPEDDSPKSFLRVIYEIIWSRYKS >OB11G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4619250:4622164:1 gene:OB11G16290 transcript:OB11G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLCCSPVPAATAGHGAAGGGVVQPFAPRRKAHVCPAAPAGAMKDDPSSASSSPAGVAAVSPWCCDGGGAGEGAETTTTTSLAPAKKKKKAAAARAEKEEVVVEGEGFWLASRRGARHAMEDGYGVITHKIAGDSQLPAPSSSSPPAAAADHVAAAIRAAYLATDGDFLSQGTRGGACAATALVIDGDLYVATLGACRAVLSRDGAATALTSDHTAARDDERTRIETSDCLAVTRAFGDGGLKRWVVAEPEVTRTALAAGCEFLVIASDGLWNKVPNQEAVDVVAAAAAPPVRGLVPAAGGHGAAEREQR >OB11G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4631835:4632323:-1 gene:OB11G16300 transcript:OB11G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAAAHDVAALTLRGREARLNFPGLAHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALEWDVLVGAGDELEARSPNMWAELAEAMLMAPPVWEGGVADNDEWAHQASSLWDPSVWDY >OB11G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4646606:4650662:-1 gene:OB11G16310 transcript:OB11G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske (2Fe-2S) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G71500) TAIR;Acc:AT1G71500] RVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTYDLRTGEIKEWYPKNPVLRALTPALRKLFVYPVKTDGENIYISIRGADSSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTSANELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >OB11G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4653570:4666137:1 gene:OB11G16320 transcript:OB11G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTRKPLQSLIHIQFLRSSGRALHGLIDQLCSKCTKHDDGVTLQSETTSSKCKCVYRFFTPSIKGDTAGIHNAPGNGGNTEVLTIQSKENGPISSNILDDGEKSVLSLDPSRKLSAHHRLKIWISSGQSGIIGRYGKKLELYFADVSKELRSEHVNTLWPDWLVNVAPEAVQGWVPLRAESFERLSKIGQGTYSSVYKARDLKTGKFVALKKVRFASVDPESVRFMAREICILRKLNHPNVIKLEGIIASSASQSLYLVFEYMEHDLVGLAATPGLKFTEPQVKCLLQQLLSGLDHCHSNGVLHRDLKGSNLLIDDNGVLKIADFGLATSYDPNSQQPLTSRVATLWYRPPELLLGSTKYGVSVDMWSTGCILAELLSGKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIAAHFKDFPQPAILLLDNLLSLEPEARGTAASILQSDFFRTKPLACSPSTLPKCPPSKEYDARLRLEEARRQRKVEGSKSVRPGRENPRTNRGNGPIRPKKHTYNSANLNPGADPRALATGVQSPGHDSTWNNKGSCTDHWEVPRRKYSSVQKANSTVLMARSSDFLQPGYTDVRNDTHASRKNDQGGKGPTGGYRVKSRTQYSNSLVKPEGNIEEMLKEHERNIQAAVRKSRLRNSKEL >OB11G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4668526:4668825:1 gene:OB11G16330 transcript:OB11G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETSRYQGDEALCHPPFPWPTPSSRPKAPATEALRPCRSRLQGLFRPSPRPEIWPSRFRCLGPDPDYYCFYLSVFSHSESGFASPTFSLTSACPRHRP >OB11G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4672559:4673578:-1 gene:OB11G16340 transcript:OB11G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMHARRAKLRSHLVSAKAKLKHHLTPRRLLLLSAASASAFLLLLILRTLSAAASAPVVVHGAQQQQHRHQPAREECDQGVPADVAEALVHYAASNATAWRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTTWPSRCRTGSRRRSCAAATSRRRLASSGTSPSQAARKACHFALEKYTVFHLITNMHIINILVIIYLFIYVRGNSNM >OB11G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4677982:4682022:1 gene:OB11G16350 transcript:OB11G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G10330) TAIR;Acc:AT4G10330] MGSAKKSRSGSGSGSGSGDPGKPLLWRLPELSSPELGKIGPAFGLGVGCGAGAGLGLFGGAGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDENKRYSNVGKMFQEAPSLPMDTFTGLVDELVVNTKKFVRATSKEIEKWR >OB11G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4682368:4684637:1 gene:OB11G16360 transcript:OB11G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex of photosystem II 5 [Source:Projected from Arabidopsis thaliana (AT4G10340) TAIR;Acc:AT4G10340] MAALAPTKMLGTRLNFAGSSRYATVAPTAGAQKIVSLFGKKPAPKPKPAAVTSSSPDIGDELAKWYGPDRRIFLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLSKKPEDFSKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLIVAVVAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGDGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >OB11G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4693422:4694110:-1 gene:OB11G16370 transcript:OB11G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWWMYNSKFSSKEYIQELAIYVSTTKDFMVQNKTDCTLCPCVDCKNKRRLSIAQIHEDLMTRENGLEQMLDDGREDEYKMDNGERQKFDRMRQDNETLFFGGSKVSKLQAVLSLLHLKASNNWSDNSFTDLLSFLKDILPDDHRLSESIYQAKKVIYPLGLEVQKIHACSNGCILNLP >OB11G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4709045:4709506:-1 gene:OB11G16380 transcript:OB11G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILQLQTRTEAARRIFAVRRQEEEEEEFEVEVEERMDLSGTQGELPIPMHAAAVASPYAAAAIGGSGGHVMELHHHNEHVSNNGGGHAQSHALQSPAAAAAGESSPVAKKRGLLVAAGTGGGGGGAGAGAAAVKYRECLKNHAAAIGGNATD >OB11G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4717440:4721028:-1 gene:OB11G16390 transcript:OB11G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYLPLSFFDIMIHLPVQLVKQTKLCGLAFLREMWSLESWVNIRTGVKVDKEGFTLVDLAKVGYTDEPFVLAKQMLYDWSEIKGALNKAWGQYVKKDMRHKEDKSILGHKKDFLVSQQLGDQSGFQLSFVGFDANQWEWDMGTIVDTDAAAHSFEMNNHVLVMTTLKPLDFKFSAIDFCPEGIPAESLLLRGTTRIVAIWCRDAGLVCVTVV >OB11G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4735102:4738011:-1 gene:OB11G16400 transcript:OB11G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08820) TAIR;Acc:AT3G08820] MSADAIGRLLLAGANSGNRLPPLTVKLLHARLLRLDLLAGLSPLLLRALSSSSLHLHALRLHTHLPPPSHRLTFPLALNAASRLPGPLHLHAGEQLHARSLKLPSHATPHVLTSLLSLYARCGLPRRARRVFDEMARPTTVSWTALITAYMDAGDLDEAVRVARSALASGMRPDSFTAVRVLTACARVADLVTGETAWRAAEQAGFAQNVFVATAAVDLYIKCGEIAKARDVFDKMQEKDAVAWSTMVGGYASNGHPREALDLFLAMQAQGVRPDCYTIAGALSACTRLGALHLGRQTIKMVDWNEFLDNPVLGTALIDIYAKCGSTAEAWALFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGVKLNDSTFIGLLCSCTHTGLVQDGRRYFHNMTRLYHISPRIEHYGCMVDLLSRTGLLQEARQLIDDMPMQANAVVWGALLGGCKIHRNTELAEYVLKQLIQLEPWNSGNYVMLSNIYSNRGRWEDAAKIRLDMKVKGVEKIPAYSWVEFDGKVHEFRAGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLLHHSEKLAIAFKLLVTGPGETIRVTKNLRVCSDCHTAIKLISRITHREIIVRDNNRFHCFRDGSCSCNDYWGIHWAVAPFSSTCFDRVTIRSLKHSAKMDLDEDTDLNRILYVEGQTPSASKDAEVSVINPLQKFNFTNQCLGGRSLT >OB11G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4742140:4745109:-1 gene:OB11G16410 transcript:OB11G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSFVGFCIKKVHDIVMEEAISILGVDEELEELHRRMKQIQCFLHDAEQRKIEEQAINNWLGELKDAIYDADDIIDMAKFEGSKLLANQPLPSRLQIKSIFCCDHSVLSCIHNVQTRRKIALRIRRVNHKLQKISIDRTFLTLENVKATYRVVAASKRHTSHLVEPNLVGKEIKYATSRLVELVLAHKEDKAYKLAIVGTGGVGKTTLAQSMYNDRRVKGNFSKQAWLCVSQDYSEVNLLKELLRNIGVHERQGETVGELQSKLASAVKDESFFIVLDDVWQSEVWLNVVRTPFHSAAKTTILVTTRDELVAGKIGADHLHRVDMMSTDVGWELLWKSMNIKEEKEVETLKSMGIKIVKKCGGLPLAIKVIASVLATKEKIENAWEKVLGSSAWSMSKLPAELRGALYLSYDDLPHNLKQCFLYCALYVEGLMMHRADLVRFWVAEGFVEEQEGELLEDTAEEYYHELIYRNLLEPDPFYFDHYRCKMHDLLRCLAQHLSREECYFDQLPLETTTWSKLRRISVVNKTDIVSSMVEGHYKVRTLHFCMSPKIDSVVYMRFPHLRVLDLTGSLVQRIPDSISSLIHLRLLDLDATDISCLPESIGSLINLQILNLQRCYALHSLPMAIIKLCNLRRLGLDDTPINQVPTGISRLSLLNDLQGFPVGHSFVSARMQDGWNLEELGHLLKMKRLDMIKLENAIPCGTSSLLLDMKHLKFLNLRCTTHTKESYTEEDINNVENVFEELNPPCNLEDLSIAGSFGQRYPTWIGANLSSLKILRLIDCTSWAHLPAVGQLPNLKCLKIMGASSVAKIGPELLYDKTANPWFLEAIAFPRLEWLVISNMPNWEEWSFTEEIEASDGMSCTENNRMPLQVMPLLQKLELGDCPKLRALPLQLEQATSLKCLHIEGAHVLKVVEDLTSLSDSLLLNKCEGLESLSNLPQVRTLYVSDCPALRQTEKLEHLQQLWLSKDLQMESPLWLSLLKQRH >OB11G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4749979:4757298:-1 gene:OB11G16420 transcript:OB11G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVEGCVGPSELHLKKELTALRKARFLRDPETCSSWRSPLSSKSFMTTSCVIHPNEIGSSVSQKNIEPAAAPPKSEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVESRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSFSKKGAIRNSTVSKLLELHVNSGEQSEDTDNYNSENPELLQKGGYLSRSTSPLFAASGCLSSSNPSKLLKIPREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCGIPCYWSKRNKQKGSERSFSPSLSDTLRRKGSSLLCGGQTMHRRKRSSGSYLTKSSQGVPLLDDSCHFAYSSFDSASDEVSTIYGELDLEALSRLDGRRWSSCKSQDGIALPVSGADHAVSEQRSLSQKYRPRSFNELVGQNFVVQSLNNAIIRERIAPAYLLHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAMKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDTELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHSSDIVQSRSSSKQSAKATSETMMDAVRESSASRTTAHPLFTLRGSKKIVDLRTASGHSSPQGVVSVSSRMRAKDNLKYGECRSADRVLQDSAQTSNSSERRPMTNGGSDNLARIWRKCIDNCHSKTLKQLLCDHGKLASVKDCGGYYIAFIAFEGSEIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPEFLAGGLKLQEDLDERVEFDVLSCSTNSDRLKGILNPSRNLDYSEEIEKKLEKFSCASAASGGLQSSTTEGNTGMHRTRGKEIPVEQSKAVTVEEQRLESAWLQAVEKHTPGILNQMRPERNQVVPQISGEQYHRKSSMDTILPSREFDKELSNGLKSLNISSHGLHQNGQMENGYAISPSLLHSNNHLANCDNESVVSESGAPGCHGLFPCWKAEKSKRRAKGQTRLKSS >OB11G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4763703:4764893:-1 gene:OB11G16430 transcript:OB11G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAALGSLMDHAAAATASGNTKASSAAAPDRALLADIEAAVERCTGGAGGGGGRGGGGGGGGGDHDDRHVHEILFLVSNAPGAITFLSRRITARLEAARAPAAALRQRLLDLAIQLLPDNNTSAASAAARSAFGIVLRESFKVYDAFKDGLDALLRSRAAGAMASKASRASAHEILKKACAQTPELKEFYHKCKRSNVSKSLDYPVVRVVTSAMELIMPPVDEEADADDRCCGEEEAPGGDDDDGVSAGGAPFATKLETTISTVWVEFDDGDDGLQHDAAAAGGGGDHSLQPTYSL >OB11G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4770022:4777702:-1 gene:OB11G16440 transcript:OB11G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQTKEKTPKSSGKSNKSGTKPSRQPEPNSRGSKMPPPKDEDDSGGEEEEEEEDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >OB11G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4780752:4782578:-1 gene:OB11G16450 transcript:OB11G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAGGEAAADSSAGAAAEEEEELLPAAGMEFASPEEARAFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAPGGGVAQGLLDHFRKMQLDNPAFRYAVQLDRSGCVANFIWVDARAGSLYRWFGDAVVFDLTCTRNRRVVPFAAFTGLNHHRQIIVFGCALMTDENEDSFVWLFETWLAFMGGKKPMSFTIGYNRAVEMAARRIFGDVRCRFCRQDIFSICKQKLAGLYSEHSTLKQELKECVIESEMIDEFESTWRMLLSKYNLFGNEWLQTIYNIRRQWVPAYLKDSFFGELLNAPKLEVMFKFFQRNSITATTLRDIAFQFDKAIARDYQNELQEDFATFSSKPVMKTSHPMEKQASELYTKVMFDFFQDELIESSEFSVQTVESGDISKFEVTRAENDNKRYSVVYNEPGASVSCSCHKFEFVGMLCRHALRVLMAIGMPLLPENYILKRWTRNAKSNILSQVPENTKGPLAWRCNDLCRDAMRFAEEGATSVEIYKTAKEALQKAFAEILLQREVQ >OB11G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4788237:4790702:1 gene:OB11G16460 transcript:OB11G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLKLYSFWRSSCSHRVRIALHLKGLEYEYKPVNLLADEQSDPEFERLNPIKYVPALVDGDAVVADSFAILLYLEDKYPQHALLPQDPTKKALNIQIASIVGSSIQPLQNYPVLNFIEEKLDSQEKIKWTQYHINRGFTALEKLLKGCTTTYATGDEIQLGDLFLEPQIYGGIKRFGIDMSNYPILARLHEAYMEHPAFQAALPEKQPDAPSSPEF >OB11G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4795880:4801801:1 gene:OB11G16470 transcript:OB11G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPTSWRIIHLLVSLIIIHRALIISADTDPQDTSALKGIAASWDNAMSKLSDWVGNDPCGQKWPGVSCIQNRVTSIRLSSFGLSGSLSGDVQSLSELQYLDLSYNNLSGPLPSTIGSLSNLESLSVVGCQFSGDIPKELGQLPKLRFLSLNTNRFNGKIPPSIGNLSNLYWLDLGENHLTGSLPVSDGTNTGLDNLTNALHFHFGDNQLSGTIPSQLFNSNLKLIHLLLDNNNFTGSIPPTLTLLTKLEVLRLDRNYQLTGPVPANINNLTKLQELQLENNKLTGPLPDLTGMDSLYVVSMGNNNFSASNVPTWFTALSALTTLYLENLHITGELPQTLFKLPAIQTLGLRGNNFNGTLNIGSDYSSTLSLIDLQDNQITALTVSGTPYKKNLILVGNPICDQGNSGASYCKTSQQANPAASPYSTHLNCPGLQPTCLSDQYISPNCNCAVPYMGTLHFRSPSFSDLNNDTYFILLEENMKEVFLDKQLPVESIALANPAFDPTNNLEISLKVFPSGKIRFSKEDISYIGFMLNNQTYKPHVPGINYGPYYFIGQSYPFAEKISAPGQTKSNRALVIGVSVGGAFVVVSLLLVFTVFFFMRNRRPNLQPQPRSPSYASWDIKSSSISSPHLQGARVFTFNELKKITNSFSDANDIGTGGYGKVYRGVLPNGQLIAVKRSEQGSLQGTLEFRTEIELLSRVHHKNLVSLVGYCVDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWRRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNILLDTNLHTKVSDFGLSKPLNQDARGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYVVREVKGTADRSKDLCGLHELLDPMLGPTSLAGFEQYVDLALRCVAEAGMDRPPMSEVVTEIEKIMKVAGMNPADSASNSLSYNSRSPRHPYNGESQFDYSGGIPSSSRVEPK >OB11G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4805367:4805888:-1 gene:OB11G16480 transcript:OB11G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILQQWESELVDARYIKELGDDLSIIHLKLGDASKRPAGLSRRRDVVVYERRQTMDDGTLVVAVASLPKEIAAGLLPPDASSRACGRGLLLQSGWVVEKQPDGGDPSCCVVTYVVQLDPAAGWLPRCFVSRLNSKLVIMIVAKLKKMALATMHSGGIIAADDEGDELPCMRN >OB11G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4810525:4811598:-1 gene:OB11G16490 transcript:OB11G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGAGHGGDGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRTCSGAGGCCTPSRRGSSWPPPTPSTPPRYVLMN >OB11G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4821425:4824493:-1 gene:OB11G16500 transcript:OB11G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQQIAPSAGQKGEEQHAIDVGTGEHGDGGGGIAGDAAEASENERLALVEEPGKKKSTRVAALDAFRGLTIVLMILVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGIAIAFALKRVPKLGATMKKITIRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPYAIFHAYRWQWLGGFIAFVIYMVTTFSLYVPDWSYVYHIDGDVNDGKQFTIQCGVRGHLDPACNAVGHVDRMVWGINHLYMQPVWIRSKDCTFSSPRTGPLRTDAPSWCLGPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLLMGFSLLVLGILLHFTNAIPINKQLYSFSYVCFTAGAAGVVLSAFYILIDVWGFRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGNTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVVSGILHKLGIYWKL >OB11G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4829972:4830211:-1 gene:OB11G16510 transcript:OB11G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASVSSRWMGKPTLPLIQCPQCELKMIVRRKANKSENYERIFYTCPSYQICQLGFILFNWVQRDGTRCDFWYWEE >OB11G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4830874:4848218:1 gene:OB11G16520 transcript:OB11G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVIHLLLEMCHRDGKVSELIGVDGAWDVDKINTTFLPVDASEILQIRISNRGDDDCLAWHPEESGQFTVRSAYRLAVNLRELELGGASSSISSDGQRKVWDAIWKCHVPHKVRTFAWKIATDSLPTNVNKQRRGLLQTGRCSLCGIEDEDAFHALSCQSIHQSSSALESEILAFKEGITKALQWTLLPLVVESDCSMVVSLMTSREFDRSQLAFVIQEAKCILDGDREIVLGRLIAPRIDFNIWQIQMKAILTQVGVRKALGTRPDGMTDDKWEDLDQKALSAMQLSLSTDVLREVINETSVFSLWKKLEALYMKKSLANKLRLKKRLSTIRMAEGTSIRSHLNEFNSLIIDLEKLDVKIDDEDKAILLVVSLPATFKHFKEIMIYDLFKRVILLDQSPDLNQEIDWFSTYDSVDTGEVVIDDGSTLEIAGIGSVQIKTHDGIVRTLSNVRHVPRMKRNLISLGTLESLGYKYVGDNGVLKISKGNLVVLKGNRIDSLYFLQGSKVTVVIGDGSPLEIAGIGSMQIKTHDGIVRTLSNVRHVPRMKRNLISLGTLESLGYKYKRVSFSTATHQTEGILDYVHSDLWGKSKFRSLGGCLWNKRALWAETISTTSYLINRSPNSAINFQIPEEVWSVPLLQNKLLVLHLLVTMIIVLLETDLEGMLLFLIDIVTLMSLIDQLKAQLSHEFEMKDLGPAKKILGMEIQPDRKCPINEDGKDYMSRVPYASAVGNLMYAMIYTRPDLAHAVSVVSRFMHNPGKEHWNSVKWILRYLKVGKLSLQSVTALSTTKAEYISATEGVKEAIWLRGLVSELGIRQGQVTVGKIHIENNPADMLTKSLSNTKFKHCLDLVDDTEASGDIIYSTSAIVGHGAGKTTLPQYVYNDKRVVDRFDVRMWICISRKLDVHRHTTEDQGVVESATMKNQGVQFPNLNNLNNLHCALRGVLQESQRFLLVLDDVWFDNFQDEEEWAKLLAPLVSQHPRSQVLVTCRSRRLPAPLRCKQVFPLQNMEDTDFLALFKYLAFAGEQQHKHEKLQDFAGRIAQKLCKSPLAAKVVGSQLSRNMNVSAWEDSLKSDNLGEPRGALLWSYQNLDPNIQRCFMYCSLFRKGHMYEVAELVHLWVAKGFVADSSNQSRTLLEDIGRVYFRELVHASFFQEVCTNSKNTSYYMHDTIHDLAEALSRGDCFRLFEDGIREIPHTVRHLSVYVDTMDHHKQSICRLIHLRTVICMEPVMDDANKLFHEVLRNQKKLRILLLCFHNSSKLPQSIGEFKHLRYLNIHKTSISELPGELCTLYHLQFLRVHQDVKNLQIKLRHLERCARSRSSSGFIGQLPVPQIPYIGKLTTVQRLLEFLVAKQKGHEVQQLRDMRELSFTLNILNLENVRTKDEVQEAMLHDKGRLHSLHLGWSCTDDYMDNSLHLDVLEGLKPPHELSIEGYRSPTYPSWLFEDSHLVNLRSLYLSSCTALENLPSMVQPVKQFIRISLHNIPNLKTPLLIPGGLESLDIMGCPLLRFVSSEELGKHEQHINLLKAGNLSSKLSMMWETERGSQIYRYNIRDTLKSEYSSLEQLMALMDDDISVQLRTMKNASESERDEVLVQEDTMKAWLYCQEQRIKLIYARPTENLLFLPSSLRLFTLSSCSLTDGALAVCLQGLTSLYGLRIEKIMSLTSLPSSEVMQCLTALQTLYIRSCWCIRSLGGLRKVTSLSRVTIDSCVSLELVDGNEIDTIPSFIEKLSISGCVLGADFLTTEFPRLRSISITSCRSSSSFSIGHLHSLESLSLNNMPDLCFLEGLSCPHLQDIHLINVPKLTAQSFSQHHAWTSLAISSSAMLSLILSTDNIMLPEKLCFEQYNEPSITFEISAKFASIKFLEFSNSEVMSLPSSLRNMSCLEGIKFIKCPDLSSLPDLPLSVQKMEIQDCECLKISCQAPNGENWPKIEHIRWEADRVFQTQRKHNVVQYTGMIEMETWNSCLPKKLQPGQSVSWSAKMGYSWFESPSPGMSVPGSVPMGFKIADICKWLTEISKTLIDVAGKIPAKWLTEISKTLIDVAAKLQALVFNLGLVRLAGRMLPGAKKRNMIN >OB11G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4863980:4865104:1 gene:OB11G16530 transcript:OB11G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVGECLGSSDADGGDGEGEAGELGRRAHDGPVLGLDVEHPEGVAAGAAVGVLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSSTRGTPAASPCTTRAARAPGSPRRRRRASRG >OB11G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4864013:4865165:-1 gene:OB11G16540 transcript:OB11G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSRALERDAAAVEHVELIPGMPDDVAVDCLARVPHGSYRAMRRDADGGSGRHALWVFDVKTKNWTVVRPPPEFAGFAFSVAAVRV >OB11G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4905158:4905658:1 gene:OB11G16550 transcript:OB11G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVDGETTVRNKKVVLRRYVTGHLAVDDMEVVGQLAKIAGCYEKVALLKTKLGFDDAFNYKTEGSGGGGGIDVYFDSVGGATLDAALLNMRLGGRVVVCGMISQYNLEEPEGVRNPVLRHRQARPDRGVLFRGPPEGVREVRAGDGRVPGGREGGRRGGRGRGN >OB11G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4914209:4922573:1 gene:OB11G16560 transcript:OB11G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G27660) TAIR;Acc:AT5G27660] MLSYAAEGILPGAGHLLSPGLSPAEEDLSVFNMCAGFSILNSFTSVSNWSAGFPIQNSFASASVPPTNFSNQSSDGNSDDSKCCAGCIGRNTIAKAAAAVGPAVVNICSTQDTHGWVLEKSIGSGTIIDPDGTILTCAHVVVDFQSTKPILRGKVSVTLQDGREFEGTVLSADRHSDIAVVKIKPNTPLPSASLGLSSKLRPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGVRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVLAADGLSFAVPIDSVVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKKGVLVPMVTPGSPAERAGFRPGDVVVEFDGKMVESIKEIIDIMGDKIGVQFKVLVKRANNVTVSLTVIPEEADSSR >OB11G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4937150:4940886:1 gene:OB11G16570 transcript:OB11G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAAAKLMEEQLASPEMDMDELGVAEGGAVDGSNGGGSKECPLPPPARDSRGAAKGGVAAAAKKPRSVSSDFGDELDLELGNGDATTKEGGRQQERKLSRHDRVELSRSFQHAVSSHDWESAEGLVSQADAQGLNDVLCVAVDAIWFLSDKEELHAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTMIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLETMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRTFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECMESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILKHHLPCFMIQT >OB11G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4945161:4945511:-1 gene:OB11G16580 transcript:OB11G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGATRQRRNPLLVRPNNASKRHLHQYHHRHQQQQANADANAADKKVATSNYFSIEAFLVLVFLTMSLLILPLVLPPLPPPPSLLLLLPVCLLILLVLLAFMPTDVRSMASSYL >OB11G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4952145:4952426:1 gene:OB11G16590 transcript:OB11G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVYPSWYGSGEIFIPVTGMGILMVRNFIGGYGSRVPIPDGYFYPLPSYLRPYLPLGFAQPFGIVVAFSCNMVTTIKHDKFKIPNSVLTRVH >OB11G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4963656:4970597:1 gene:OB11G16600 transcript:OB11G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:J3N774] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPSYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDDGDEGDDY >OB11G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4965616:4965954:1 gene:OB11G16610 transcript:OB11G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISN >OB11G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4966552:4966995:-1 gene:OB11G16620 transcript:OB11G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKMFQTDRTQRQITGFKQQQQQQHEEDEASNLVVVTLVAVVTLIKLGADLLVVLLQRGEVLAGLGELPLLHALANVPVDEGALGVHEVELVVDAGEHLDDAGGVGDHAHGPLHLGQVTAGDDAGRLVVDAALEPRRAPVHELDGA >OB11G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4966231:4972350:-1 gene:OB11G16630 transcript:OB11G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial hemolysin-related [Source:Projected from Arabidopsis thaliana (AT3G25470) TAIR;Acc:AT3G25470] MMASRLRLHQLRLVGARPSCCSPSREFAAVRSQKIQLPKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVDGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDGKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHEQVSVIERTNLRHLTELPQLVDLVTLDLSFISILLVMPAVVKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNKEFLACFNRIPTPELLPEEAEST >OB11G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4977801:4978537:1 gene:OB11G16640 transcript:OB11G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSVLAGCFHPPSEAARGARSQQRKAERRRYEAEKRRWVEEQVALHLAAMYTYDGGRGGSVDVSRYGGIKHQPGAGPLLRVRPQVEIRSDHAPARPGGWNDERRRRQVIPSILSSFQIVDVLGCELKDANS >OB11G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4979536:4984691:-1 gene:OB11G16650 transcript:OB11G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFITKDPEGYVPISVVAGFKKIKALIQGNAMLASALRTSSKLVVSDDGTRVKREQQFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTVEDAEKAIVELNDERNWRNGLRVRLLNTCMTKGGKGKKGCHDVSDGHGVEDDVSTSNQSNEKHGEEAQQPDGQGEQLPDESAGDMGRGRGKGRGRGGRGRGHGYHYHNNNQQHYHNHQQHNNHQTSNSRSSSAHPVGTPPSNHPAKNEQQQQQQQQQQQQQQQQQQQQQQQQQQQTQTQPQPPAGASKQPPGPRMPDGTRGFAMGRGKPQTSSSSPSASASEP >OB11G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4990138:4990857:-1 gene:OB11G16660 transcript:OB11G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPDDTQEGAIENLELVVGYNGIDSADTQDGSQGGQETEHSRLSIGDTISLSSSHTEKGTPKDGNNEHSKLLAHCTGTSIDHRESQFQEDGMENSVRPINCNGIIISDTHENSTGEEICRGNSTKGDKEQEETSAADGFVAIRRKKKKPEQETKTNRTLKPPMKRENARSQEKHDIVKQKGRNGRSLLADKTNVSEPEVTAAISAELRGKWKCPRKGKPYVGPPLKQLWLEQWVHRGD >OB11G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4991470:4991730:1 gene:OB11G16670 transcript:OB11G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGGNESGCELHPCACRKNRQREGSASQRVDQFRRRVELDGIAVKKARAWREPTREGRRKGMYRDCGGGFLGDLGHGGTGGGSP >OB11G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4992307:4992597:-1 gene:OB11G16680 transcript:OB11G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIRFHGPCCKTGNGGGAICVLSCRIEATLLCYPPLLLRGRQMCPNRCEGIAMRDVRLRYRPPEGEEKQPAESVCRNAQGVAFGENDPPSCLTE >OB11G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:4997103:4998708:-1 gene:OB11G16690 transcript:OB11G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYAYDPAKLLKLYACTRGYLSCVGLAGHSFLECTAHDDDGDGDAALRINQFGGRRYEREVSGTRDLIPGSRSTVERGGKRKQSLRSLFGDGFLDSVGKPCGTESHAMLPLPDCSGMCLPNDTQEGAIENLELVVGCNGIDSADTQDGSQGGQETEHSRLPIGDSISLISSHTEKGTPKDHNESRFQEDGIQNSVSPINCDGIIISDTHENSTGEEICHGNSTKGNKEHEETSAVDGFVAIGRKKKPEQETKTNRSVADGFVAIRRKKKPEQETKTNRTLKPPMKRENARSQEKHGIVKQKGRNGRSPLADKTNVSESEVAAAPSAELRGKWKCPRKGKPYVGPPLKQLRLEQWVRRGD >OB11G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5005951:5006635:-1 gene:OB11G16700 transcript:OB11G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSSDVWIEAVTCGPGHGISIGSLGDTPEQVAVRNITVKGAALAGTTNGLRIKTWAKANSGAVSGVAFSGVVMSGVRNPIIVDQNYCPGNVSCPTEGSGIKISNVSYTDVEGTSATAVAVRFECSPSQPCEEITMRDVRLRYRPPEGEEEQPAESLCRNAQGVAFGENDPPSCLTE >OB11G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5010061:5011473:-1 gene:OB11G16710 transcript:OB11G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMVVAVVGVVVLVVVALATAAAAATEYSVTLDISQSNNVSVKRVTLVDSKNVHVSIFDCAGVTLQGLRITAPADSPNTDGIHVALSRDVSILSATVGTGDDCVSMGPGTSGVVLRSIRCGPGHGISIGSLGGGAGEGEVRNVTVESAVLTGTQNGLRIKTWGKPNAGRVAGVRFTRVAMRGVGNPIVVDQNYCPGNVNCPGQSSGVKISDVEYDDITGTSATEVAVKFDCSGSNPCTGIRLKNINLTYDGKPAQSFCKNAGGGGGGGXXXXXXXXGVRRRQPAELPLTARARP >OB11G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5019861:5023950:1 gene:OB11G16720 transcript:OB11G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFPFTTIVQLLICFMLCQSCYGTLNDINCLKRLKESVDPNNKLDWTFGNTTEGAICSFNGVECWHPNENKILSLRLGSMGLKGSFPDGLENCSSMTSLDLSSNSLSGPIPADISKKLPFITNLDLSYNSFSGDIPESLSNCTYLNTVNLQNNKLTGTIPGQLGILSRLTQFNVANNQLSGPIPSSFGKFSSSSFANQDLCGKPLSNDCTTTSSSRTGVIIGSAVAGAVIMFIIVGVILFIFLRKMPAKKKEKDVEENKWAKNIKGAKGAKVSMFEKSVAKMKLNDLMKATGDFTKENIIGTGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGNVRQRNLVPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTGEKKSLEWPLRLKIAIGAAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPNISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDYDAELLQFMKVACSCVLSAHKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQTAEAQQLDELIVAN >OB11G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5031269:5031670:1 gene:OB11G16730 transcript:OB11G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERSSPREVRAEMARCFDLVGRLGRGAVYLGSSRVPATHPCYLQATELAREAGVVPARSRAAAAYDCRGSCCRPRLRVRRRLHRLHRQAAGFARRCCLLPLAGIRRGG >OB11G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5032196:5036954:-1 gene:OB11G16740 transcript:OB11G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRQQSEEPEEQVDLEGDDDTMGDDNGYRRHRRREDSEEPEVEEEEDPAEGDGRQEDAGPAASEGGAGEEMDKAAGDGPEGEEEKRKWDELLALPPQGSEVFVGGLPRDTTEEELRELCDSFAEILEVRLMKDKETKENKGFAFVTFTTKNAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVPKGMSEEELRNIIHGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYARQKLSAPNFKVDGSQLTVSWAEPKGSSDSSSAAAQVKTIYVKNLPENASKEEIKEIFERHGEVTKVVLPLAKAGNKRDFGFIHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPIADKKPDHSFKPAGAPNFPLPYGGYMRDPYGAYGGGGPGYNQTMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPLRRGDQRDGGSRGGEGSHGRRYRPY >OB11G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5057570:5062831:-1 gene:OB11G16750 transcript:OB11G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIATTSSPGTRMERRLLEAAMAGNARSMKEMAAQNPSLLLGTTPQGNTCLHISSIHGHEGFCKDVLMLNNSLLTVANMDGETPLLTAVTSGQTPLASVLLEFCQTLGFSEAILRQDKNGCNVLHHAIRNGHKDLALEFIEKEHALSQAVNKYNESPMFIAVMKDFTDVSEKLLEIPESSHVGTYGHNALHATVRNGNAVIAKKIVEARPWLSRQQTRDGKTPILLTVLWDKIDVLRVLLEHDVFLGYEASNNVAAPLSPLLVAAAYRGHVDVAQELLDHCPDAPYCDKNGWTCLHQAVNEGQTEFVEFILRAPQLRKVINMQNNKDGQTALHQAVRMCNPKIVALLLLHKDTDFTLYDHKGGQSVIWQLWRASQHAKTLNWNEVSMLMIQADPEAATTLHRQVAKKRVTDESTKDVRSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSNDSGSEGLPVMARKLAFQAFLVSDTMAMCSSLAVAFVCILARWEDLEFLLYYRSFTKNLMWFAYMATTIAFATGLYTVLAPRILWLAVAICFLSVLLPILTKLLGEWPVLKLRFRLGRTFKSDLLDMV >OB11G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5071344:5071780:1 gene:OB11G16760 transcript:OB11G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCNPCHVPMEARLKLSNESHGDTVDVAENRSLMGSLRYLVNTRPALAYSVGYLESIHGETNSWPPGCCKAFVGDASMPGRRMRGHCQMASGDSDMGGDLDDRKSTTGTEGSGIILLIVRLSIVHATY >OB11G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5072088:5076822:-1 gene:OB11G16770 transcript:OB11G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNFLYSLFIFTQDNKMAKSKVGESMDAGEPSKHAPVDHQGKPLMEQKLLKAATLGSFQESDGTTKVKGSLNRSMLLGRTAQGNTCLHISSMHGYERFCSHVLELDDSLLAAVNSHGETPLLIAITSGHPSLASFFLSRCNINPGLSGSILTQDCNGCNALHHAIRSGYRDLALELINAQPDLSKGVNIFKESPMYLALTKGFEDVFHKLFAIPDSADSGTYSYNVLHAAVKNGNPDVAKEIVESREWLARQADETGNTPVHMAIRWGNHKMLRVLLEQDWTLGYLWKINKKGETEPLLVCAAYQGHVNAAEVLLEHCPDAPYCREDGWTCLHEAIRFGHLEFVKFILRHPQLGKLINMRDEKGKTALHHAVCACNPKIVAALLRKRTRIDYTMLDKQRDPAIWELKEVIKQAKTLNWSEVSMLILEADPGCKSTIANLHKKAKREVTKAARNNAKDLTKMYTNNTSVVAILIASITFAAAFTLPGGYSSTSGSEGLPIMARKIAFKAFLVSDTLAMLSSLSVAFICVLARWEDLEFLLYYRSTTKKLMWFAYMTTAVAFATGLYTVLAAQLMWFAIGICVLSVLMPILTKVLGEWPILKLRIQFGPSSVPESFDMV >OB11G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5079278:5079463:1 gene:OB11G16780 transcript:OB11G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGTVSRTQFNHEPIKHPSGQDKKLCLESFSMTQGGPMQKSRLPTADSEPGKNKELPLEN >OB11G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5090891:5091295:1 gene:OB11G16790 transcript:OB11G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLSKRKMGEKKLSLSPKSMGTYPVAFNDSILKKGVLSKPISIERCGIYLIICTTIPATISHSFFGHPGCAKCYSFFGHPGCAKCPMTTTTPSVGTKTQDAESKQHGQSPGEDCSCVMRRCPCYTPQPARGLQ >OB11G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5093841:5094512:-1 gene:OB11G16800 transcript:OB11G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSSPRRCPLTSAYFDVFATLPPLLPRAALSLAVLGFGAGSAARAVLHFFPDVSVHGWEIDPAVVSVSRDFFGLTALEEQHAGRLFVRVGDALRAEALPGGFGGVLVDLFANGSVLPELQEADTWRRIGGMVAPGGRVMVNCGGPCVEAEEEGRDGEAVKDATLRALTAAFGCEMVSVMDEDESWVAMTGPAVSAPVEVAAWKAALPPELRRYVDLWRPCLP >OB11G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5116103:5118788:1 gene:OB11G16810 transcript:OB11G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSDLVWGAVLQQQSSLGKFINFDLVNSEKVLKMEGKTEGTSSTPPALAYIPRYDKDDEHRPAIICALTALPIPPAAAQTAAEASASAHAKWQRRTFWTECGSCREKKKLPISDMNSQLTCPACTETFTAVEVARPRSVAASPVAENSSQSSSAALVPASDVVVVPFNLNARAAREGGQLSRAFPKSEVDKLLKERMKEIIELKLKEGKKGDGNGQ >OB11G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5131238:5134351:-1 gene:OB11G16820 transcript:OB11G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGEPSNHAPADHQGKPLMDKKLLKAATLGSFEESDSAVKVKCSLNRRMLLGRTAQGNTCLHIASMHGHERFCSDVLEIDDSLLAAVNSHGETPLLIAITSGHPSLASFFLGRCNTNPVFSGSVLIQDCDGCNALHHAIRSGYRNLALELITTQPALSQGVNKFNESPMYLALTRGFTDLFEELFAIPGSAHSGSYSYNVLHGAVKYGNPDIVKKIMRSRPWLAREENDSGHTPMQKAVHWDKGEMLGTMLEHDYSLGYVGSSKTGRPLLVSAAFQGHVSAAQELLRHCPDTPCCQADGWTCLHEAIEFGHANFVEFILGAPQLGKLTNMRDGKGRTALHHAVRKCNPKIVAALLRRGSRIDCTMLDQNRDPAIWGLDEAIHHAKTLNWSKVSVLMLKADPGNKSTVDNLYKKAKRNVIEASRKDAKWLTEMYTTNTSLVAILIATVTFAAAFTLPGGYNSDSGSDQGLPVMSRNAAFQVFLVSDTLAMLSSLAVAFICILARREDLEFLLYYRSLTKQLMWFAYMATATAFATGLYTVLSPRLTWLAVGICILSVVMPIVTKVLGEWPMLMLRMQLGSSTPEFLDMV >OB11G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5143164:5145766:-1 gene:OB11G16830 transcript:OB11G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRCLLLAVVVAVAAAPARGVPFTESDLASEESLRALYERWRSRYTVSRRPGGGLAGGAEGDGEARRRFNVFAENARDTDDRRGLKGSCWAFSAVAAVEGVNKISTGKLVSLSEQELVDCDAGDNQGCNGGLMDYAFQFIKKNGGITTESNYPYRAVQGRCNRAKKRFGTARYWYLKILFVGLEQISLGNILPPFPFPNASSHDVTIDGYEDVPANDESALQKAVANQPVAVAIEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGDEWGERGYIRMQRGASSSSGSSGLCGIAMEASYPIKSGSAQHEAAAAAANESVVRDEL >OB11G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5152291:5153927:-1 gene:OB11G16840 transcript:OB11G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGDGETVRNKKVVLRRYVTGSLTVGDMEVITSAVRPRVPPGTPAPAVMVKNLYLSCDPWMRGRMTKHDDGDDVALATDFALGEAMVNYTVGKVVDSTHPGFAAGDLVWGMSGWEEYSLVTQPAETLHKINYPNLPLSYYTGVLGMTGLTAYAGLFEVGKAKSGDTVYVSAASGAVGQVVGRLAKIAGCYVVGSAGSDEKVALLKTRLGFDDAFNYKAEAGDLAGALRRRLPGGGGIDVYFDSVGGATLDAALLNMRLGGRVVVCGMISQYNLEEPEGVRNLVSVIAKRVRIEGFSVFDHFGAYARFEREMAGHLAEGKVAVVEDVVEGIDAAPGALVGMFSGRNTGKLSVAVAKE >OB11G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5172347:5172634:1 gene:OB11G16850 transcript:OB11G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLMLALLAAPSPAAAAEEDGRLPQGLAKRRSLLQAPLLPAAAPCFAFPSCGTPCEAPCFKVCFTRCVFFLNLAPAVCQRQCFTIDPLWCGN >OB11G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5174196:5174527:-1 gene:OB11G16860 transcript:OB11G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGRICLGVGVGFGNQAAPLLLSEIAPAHIRGALNILFHLDVTIGILIANVVNYFTSNIHPVGWSAIPRITGDHQDADEPRRAGVPRAVDGSGGQCV >OB11G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5176132:5178301:-1 gene:OB11G16870 transcript:OB11G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDLHMMKGDGEYSYAKNSMIQRRAVLATRPILQKAVREVCRDLHPQLMVIADLGCSSGKNTLLFVSEVLNTICESPTSTLNKEESPMEVQFFLNDLHGNDFNHVFQSLEQLEQSTRQECACRGLQPPPYYVAGLPGSFYARLFPCSSVHLFHSSMSVTWLSQVPEHLGCLNEGNVHVGATTQPAVARLYQDQFEKDFSRFLHMRCREIVAGGRMVLTLVGRKREDVFDAGRTTKIFELLSQGLRTLVSEGRLEKEKLDSFNIPVYCPSTEELKQLVQQNGLLHITDIHLFEMDGSPTDESPESAGAAVAAAQAAGESMSATLRASTEPLIVSHFVESILEDLFALFARNFTSYIESEDEQSGIFVITMSLEAKH >OB11G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5186525:5186767:-1 gene:OB11G16880 transcript:OB11G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding PENTNSINPGGGRRAVSRSVVKGAGSGRRAIGNVLRAAAHGASAGKGAGGGRPRASLRPKTVRRVAAELAATVVSRFWSN >OB11G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5221159:5222903:-1 gene:OB11G16890 transcript:OB11G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGRAVTWTEFRNAFGAVHVPKGIMDLKQREFLFLTQGNKFVTEYLSEFNHLARYGRYQYRCPTVNRSVVVESKMKNLENESKRKQATQISATGVRTNNCYNCGQYGHFLNQCSYPRRAQQQGPRPAAPCPNPPPRTAPQGQQTQKWGHVNHVTTEELNEASIVPHGDDVIHTGPLLSLLTLKCCHVRSIGAQCCWSKPLWWCMMRIHALVEEMPILPAIVAVVGTDCRANDLLISANRKCDTLLSPKSIAKFRPSDVMADRSHDEESILLITGYALELFSRQVGLLSVGAADKVQLPLDASEQQGLSVLKFSKSPGGIMPTTAGTLSQVGGSSSCTSGDLLEDRGLGLTVALHNHDN >OB11G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5229585:5236688:-1 gene:OB11G16900 transcript:OB11G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTLLRAHTHSHTHFTEDTLMLYVRMRAAGVVPDHYTYPIVLPTCAATCARWLGRAAHGDAVRFALVGDGFVSSALIAMYCQEGEVADAECVFADSGDARTVFSWTAMVAGYVQNCFFGEAVSLFGTMVARGVLPNEITLISFLPCLLGQEWLPIGEMLHGFIVKLGFDANIPMVNALISMYGKCGNAPVAKALFNGMSIRTLVSWNTMVAMYEQQGDGVQAIKFFRRMLTEKVGFDCVTLVSVLSACACSGALETGKWVHELARSHGHDTDARIHNVLVDMYAKCGEIDYAREVFDGFRSRSVVSWSAMINAYANHGEPEEALKLFSLMKDEMVRPNSFTFTAVLMACCHSGLVNEGLKYFNSIATDYQMSPTLEHYACMVDMLGRAGRLVEAYGIIRRMPIRPDKCVWGAFLGGCRLHGMVELAEYVAKELLDSGSNDATFYVLMANMYFEAGMLKDAERIRVAMKEMELKKTAGHSLAPSIRIDLDYNHFPNQALVLAFFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGENAPFWRFSAASYPDLEVAMLSKSHVSTGVKTASQNSEESSQGDVYPVESYKSFTTNQILDAHWGVMADGDPTGFCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALRRESGVDSNLSVGNTSSSKGFQFNLQVIESIESHTAGKRLGGRTDCHWRGGGEDAIATGSLSLRGCRCAASPHRRVGRVPSADVERESEERANGGAPARLLLRCHSMAARFPWENVRADEEPCDGAAMPCHSLRKKRG >OB11G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5251462:5254248:-1 gene:OB11G16910 transcript:OB11G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTGSMEERVVTDRIRRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLSANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKLKTHATEELESCVNRSIDDSIRVLPHLVDQIKSSLSIN >OB11G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5267815:5270027:1 gene:OB11G16920 transcript:OB11G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDLHMMKGDGEFSYAKNSMIQRRAVLTTRPILEKAVREVCRDLHPQSLVVADLGCSSSKNTLLFVSEVLNTICETPGSTLDKGESAMEVQFFLNDVPGNDFNHVFQSLEQLEQECACRGLQPPPHYVAGLPGSFYIRLFLRNSVHLFHSSMSIMWLSQVPEHLGCLNEGNVHVGATTQPAVARLFQDQFEKDFPGSCR >OB11G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5271131:5275521:1 gene:OB11G16930 transcript:OB11G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVEKEKLDSFNIPMYWPSTEELKQLVQQNGLLHITDIHLFEMDGSPTDESPESAGAAVAAAQAAGESMSAALRAGTGPLIKCHFVRLEFRIVQNALLSTYCHVGPSRNPGATCRPPKSTVTAIRRGDGKGGRGRLVVLAVRDEGDDDDDHRSFRPLRLLRLYRSAFGLSPHPVAVSQTPWLTSLHTAEAQVLDSTRPDAADRLLRLLMLTPARALPLHLVARLRLDLGLAPDFPRSLLPNYPDYYFALSRDGALLDLVCYRKDLAVSAMQSYAQRTGGYKVGDAVPFPLSFPRGFELDKKLPHHKGSVVKHCFWQTAGARLRKKTHLVKQTLKQGASHGVP >OB11G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5277051:5282095:-1 gene:OB11G16940 transcript:OB11G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTCRVGPCHAGRQAALAAQVKNSDLEMTSIFDGLYFMPVTNMVFVMGYNIFCDRKQLDLNAIKKLLLFPRPSDRYLAGVEGFLDFAYREKNPNDKIRCPFAHLATEAKIGGPVCYRLTYFVERMHAEEIRKTYRPGRITLNIIERTQNEKFHEWFKAYVHPIVMVEN >OB11G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5277687:5277854:1 gene:OB11G16950 transcript:OB11G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTKEKRNKFSFQFKRSKANNVYELEIISKVWIDIWAIEKHISMCLDFSQTTYNK >OB11G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5294314:5299153:-1 gene:OB11G16960 transcript:OB11G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMRNASSMNSENLSDKRYFFIIDDIWSASAWRTIKCAFCENNLGCKVLTTTRIISVAETCCLPDWKNIYEMKPLSDVCAERLFTKRIFGCEDQCPAYLKDLSVDILRRCGGLPLAIISIASLLASKPRTKVQWERCRNTICSGSDNVSSVSNMQRILSLSYNELPYHLKTCFLYMCTFPDDVTIQRDPLVRRWIAEGFVTATGEDGQTLEEVGESYFNELINRSMIQPEEIQYDGQAHTCRMHAMLLDLIISKSVTENFITLFSNNHVGRQDKVIRRLSLDCRDRDIIVPSAMVLSGARSLVAYGSTEHMPPLSAFHVLRTIVIESNDTLQNCYLKDIGRLFQLKYLSMRYVSISELPEQIGELQQLQTLELQSASIQVLPKSIVWLKNLVYLIADGIILPEGIGNMKALQKLLGVKVDIRSPPDCLRELGGLTDLRWLWIIWCVSDEYSDNKAYTDGFISCINELCTSKLRYLKVGCDVTNNSSLDFLLDPWSHSPYPLYSFQMITYYCFPVIPEWMATLSNVAFLDINVTCVGEEVLQVLGNLPSLLSLLITTKRIVSERLIFCSSTGFQCLKEFYFHSWHDVVGPSFFEAGAMPRLEKFRFNLHARIAGSQLDSNFYVGLQNMVRLKNLVVEVDCRESRAEQVEATEAAVRNAIANNPLPSHVIVQIRRNWAHEIIKDATTAN >OB11G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5314944:5318167:1 gene:OB11G16970 transcript:OB11G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVCMNRDENDRNKSETIASYISFEVMKIERDLHMVKGDGEFSYAKNSMIQRRAVLTTRPILEKAVREVCRDLHPQSMVIADLGCSSGKNTLLFISEVLNTICESPSRTLNEESPMEVQFFLNDLPGNDFNHVFQSLEQLEQECACRGGLQPPPYYVAGLPGSFYTRLFPCNSVHLFHSSISVMWLSQVPEHLGCLNEGNVHIGASTHPAVARLYQDQFEKDFSRFLQMRCREIVPGGRMVLTVVGRKREEVFDAGGTTKIYELLSQGLRTLVSEGRVEKEKLDSFNIPMYWPSTEELKQLVQQNGLLHITDIHLFEMDGSPTDESPESADGAPKGIAALAAGERMSATLRAGTEPLIVSHFGESLLDDLFALFARYFTSYIESEDEKSSIVVITMSLEAKH >OB11G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5335268:5336948:1 gene:OB11G16980 transcript:OB11G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDRDFYVIKGDGGTGYAKNSSMQRRAIGLTVPMVVKAIKELMAMDSPPQTMVIADLGCSSSENTRLLVSAAISGICENTTNTMEVQFFLNDLPGNDFNQIFRSLEQFERVTAQNCARRGLQPPPHYIAGLPGSFYNRLFPSNSVHLFHSSFSLMWLSQVPKHIDGNMNRGNIHIGENTAS >OB11G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5341948:5346904:1 gene:OB11G16990 transcript:OB11G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPHPHNHIELSIYISHAWSVHAQRHTTILSNKVLRVEQFRNRVIDLAKPSQAFLDMKMERDLHTIKGDGDSSYTKNSSIQRKAILATEPMVEKAIRGLRTDLQPRSMVVADLGCSSGANTLLFVSKVIATISEDSPTDSNIRECPMELQFFLNDLPSNDFNHTFQSLEQFKQLTAQDYARRGLQPPPHYFAAVAGSFYTELFPCSSVHLFHSSFSLMWLSQVPEHLHSNMNKGNIHIGVTTSPLAAKLYLDEFEKGFSHFLQLRCRELVPGGRMVLTILGRKNDDMIFGGGTLSSTLELLSQAMRTLIEEGRVEEEKLDTFNLPIYSPSPDELKRLVEQSHLLDIMDTQVFDLPYDPMDQNYSKPDQEGAAAADDAHEAIGRKIAAGLRAVTEPLLACHFGESIIDELFAVFACNMTRQLESGGEGRAVTVISMLLQAKVLQ >OB11G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5346724:5346879:-1 gene:OB11G17000 transcript:OB11G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTQSIKMIKFDEHIFSHRSLNCIPIYVWLGRILPLKSTRGQISILIHAM >OB11G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5348684:5352495:-1 gene:OB11G17010 transcript:OB11G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVICRFGQILPVYHPLPGVVSLVLEKISTLIEKEYSKLKGVRDEILSLKDELSSMNALLLKLSDIEDLDVQVKEWRNQIRELSYDIEDCIDNFMHQVGSSSNNSDMKCFCRKIIHQVRALGACHAIANNILKLKARVDSASERRMRYNFDGVISSSSAAAPADPRLPALYAEVESLVGIDEPTNDMIKWLTEGGDSVQKLKVVSIWGPGGLGKTTLARQVYDKIARQFDYRAFVSVSQKPDMRKIFRNILTSLTGVEHVGIEAWDEELLINKLRDFIDGKSFTNICFHGKMHNRYFIVIDDIWSTTDWQTIRCVLLDSNIGSRVLTTTQISYVAESCCLSDQDKVFEMKHLSAIHAEKLFLKRIFGSGDNCPPHLKEVSDDILRRCGGLPLAIITMASLLVNKPQTEEQWEKYRDSIVENDPIVKKMQEILSLSYTDLPHHLKTCLLYLSTFPEDFIIERDRLIRRWIAEGFIATEGGRSLEDVGEHYFNELISRSLIQVVGIKYDDRSNTCRVHDMVLDLIVSKSIEENFITFIGYQNRVCGLQDKVRRLSLKCHHRDGHARPSTRDVSCARSFTVYGSTNHMPPISEFQSLRVINIENNDTLDNHYLNGIGRLFQLKYLRLIEVSISKLPEEIGELQQLVTLELEHTKIKELPKGITRLKNLVFLRADYKSLPKGIGNMKALQKLSWVKVNSTAPSVTLQEMGDLTELRYLDLSWCIDDMYSGMKSYTESFVSSIIRLCQHKLQYLRIRSDVSKGCSLKFMLDSWSSPPHLLQKFDMFTDYYFPRIPEWMASLSKITFLDISVNPVGKDTLRILGNFRSLNALRLWTKTVASKGEFIVRNIGFPCLEEFYFGFWRVQMGPITFEVGAMPKLKKFLFDIKAQGAGPPSGDFDISIHHISSLKYLRVGIDCREARACEVEVTEAAVRNATSVLHNNLHIEIERHWPGQMVKDETGSTDDHEQDRS >OB11G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5354963:5356933:-1 gene:OB11G17020 transcript:OB11G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMGGLVQLWNEWEIQLVVLLSFILQIFLFVTGSIRRYNINIFLRLVIWLAYVGADMVAVYALGLISRNVQSANTSDGSGRSSNRLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLSIQVYLALYAFWKSTGRHSLQLLAPAILVFHAGIIRYGERTWALKCGSRDGLKETSWQLPKLNVEIDKGSYLDTICYVLKSILCVHDLFSGRTISQMKERHVFRFQGDRPLEQVSKLLEVELAMMYDDLYTKAMVLRIRSGFILRCISHVFMIAAFVLFLTACSKQQYNRADIAITYVLFIGGFVLDVCSIFLVAMSPWTWAFFRAQNCHRLAHISWLILCSHIGWPEKRPLWSNSMGQFSFLSSCIGFDEPRSSSKMLTILRKMLNAVNKKLWFRKIWHTKHAKVNKDIMENVVTWVRRLAREEFTRIIQEQNWVNLQPIINCTLNIPANSFGNNIVLLHIYTDLHLRKQPDLNVIGSEATSTSTADIMDTCRKISNYIAYLLVMQPSMLPLSGSAQDTIASFYEKIINKGSRKQDVLGTCYQLVEDHLEFGYEECLTEQEEPRAWLETLVEIRDMWMRLLIYVAGKCQVELHAQQLGRGGELLTFVWLLMVHHDIGAVAQQVDLITSSKTMSGNFFAFYCPKELAEQSTA >OB11G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5359829:5373745:-1 gene:OB11G17030 transcript:OB11G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVSAPTACALIFAAILALHGPCFASPEASGEAKELYIVYLGERQHEDADLVTASHHTMLASLLGSKELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVVSVWMNQMHTMVTTRSWDFMGLPYNQKNGLLADANMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFSAKNCNRKIIGARWYADVVNKSQLEAEFLSPRDFNGHGTHVASTAAGNVVHNISLYGLASGVAQGGAPKARIAVYKACWSVAAPPAAATCSEAAVIKAIDDAIHDGVDILSLSILSLTGHIPAFHAVAKGIPVIYAAGNYGPYAQTVYNVAPWLLTVAASTMDRLFPTAVTLGDGQTIVGQSLFVATGKANHFHKLKLYVNDMCNLTIANSTDMEGNIILCFNVNSVFTPTQLLNLASAVIKNGGEGFIFTQQSSDFLAPWQFLALTIPCVAVDSEVAFKISEYFSTAQNPRAKISLSQTTTGRGIPAPKIAAFSSRGPSFIYPTVLKPDIAAPGVNILAAAPQVGIYKELGLPYFFDSGTSMSCPHVSGIVALLKSVHPDWSPAALKSAIMTTAHITDNNGLPLIADGTPNKIADPFDYGAGFVNPTQASDPGLVYDIDPSDYQMLFNCMIGSNANSSCTTIERSLSDLNLPSIAIPNLKTSQTLSRTVTNVGQPDAVYKAFLQPPAGVDMLVEPTMLVFDKATRSQSFKVTLKAKRRFQGDYTFGNLAWHDGSSHWVRIPIAVRVVIEDFYSTVS >OB11G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5386638:5386850:1 gene:OB11G17040 transcript:OB11G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLEITKTVQIRSLGSIGGGFTDVTSQSAKNILKKFMGPAKQIDRSFGLYCSGFERLHGTSQSMTAWIN >OB11G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5393844:5394659:1 gene:OB11G17050 transcript:OB11G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGDFRFATPQGLREFSAWAGWYRAGLAIKRRCAGLDPKNPFLGVPKAFPGVRPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGERGANAAAPEYVRGRDAVVWLRRFSDGVNCDCQRLEGVLEMIPGAKRMIMGHTIQTEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGKDVRVITTDPAEAWQYRKREAEKTTITTAVKEKKGEVKDGLALLVRESHGLKEVQAKA >OB11G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5393887:5394629:-1 gene:OB11G17060 transcript:OB11G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYQQCKPILYLPLLLLHRRSDGGFLRLPLPVLPGLRRICCDHPHIFATTIDLKNLWQPVPAASRQSYIHPHRLRAAHRIDPLGLNRMPHYHPLRARDHLKNPLQPLAIAIDAIRESPEPDHGVSAAHILRRGGIGAPLTADPLADLRIDALEAVLHIGLEEAAVDEDGVPHDEDGGEVGEEAARDGPVGAERGEAGADAVPELGPDAGERLGDAEEGVLRVEAGAAALDGERRGTSRPRRRTP >OB11G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5408573:5411456:1 gene:OB11G17070 transcript:OB11G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKCENSHLPFLIAATKFHSDAMNMFDRMPAKSKKAAMAGGGGGAAADHISALPDELLQHLLSFLPSREALNTFVRHLLLRRERVPLHECELDSFRNGEVARWYRYAVSCRAQVLRVDTAHSADYSRLPETAIASDRLTRLEFGGVQLGGSSLDLSGCPKLEALEMQGCKITVEKILSPSVKRLSITRCNFELDSRTRVSAPSLVYLELADIRGWTPLLGCMASLSTAFVRLDDRCEDYCLHSYYGDCGDTVSCGNYCTQFYDVYADDCVLLGGLSNVTNLELLTSPEVFIVRKDLLMNPTFSKLKTLLLNVWDADANLGPLVYILRHSPVLEKLTLHLHEEPKAKVEMDESNSPDDELMASKHLKVVEVRYSKRSVLRRVLRILNTYGVPPEKINTERMDLGPFGSYFSFEQTE >OB11G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5420167:5423389:-1 gene:OB11G17080 transcript:OB11G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTDHSIELERLEQEEFMHLFEACVFGERTAPWQDHNELLDTGKNIISKLKGFPLAAKTVGRLLRNNLTLDHWRKVLASKEWELQTGHHDIMPALKLSYVYLPFHLQKCFSYCGLFPHDYEFDSGELIHLWMGLNILCSSGQNKKLEEIGLSYLNELVDHGFMKKSEKDGRPCYVMHDLLQDLARMVSSYECFTIDCSHERLREIPPDVRHLSMIMGGVEEDLSVSETFSKDLLSIMKRFKVENLHTLMIFGNYYRSMANIFGDVFRKTRALRVLRLSTMYFPVEHMLPNFSALIHLRYLKLGTKYDKICPPSCISGFYKLIVLDLKDWTSYTSLPVDMINLTRLRHFITHSKICDVGKLQNLQELRRFGVKREKSGFELKQLGHLRELSGSLIICNLEKIQVKEEADEVNLSSKDCLKKLTLEWDIHRSNSNEDPVKEDHILNVLRPQDNLQELCIRGHRGHSCPPWLGHKLSVKNLRSLHLDAVDWAVLPPLGELWLPNEPGQEYPHSIGEQRFHNLKTLELVGLVRLKRWVHNDTYLLFSLLETFIIRDCPQLVELPVLQYVSQKFKQDMKNNLFPKIQELRIADCPKLESLPAIPWTDTLHTVDIKNVGSSLEQLVYSTKSSSSGLTVEIKENHHLQCLDEMVAFHNLSKILELEVSKGPPLMYKHLHGLTSLKTLKIIDSSNVVQLLGGPGDANHLLPVERLEIQNSNANGKELTQLLLQLPNLSFFRMSSCRNITRLGVMEELAAVEPTSMSSSSRIETGPQLQMEEVGDEVGLMLFPQHLSTSLRELDITMNPELSLMASFPPQNSSRPGGGLHGLHSLQYLFIRGCPKFLSAYSSSSSYCCPFPSTLDRLRIEDVEDMHTLVPLANLTSLTYLFIENCGKDLSGEGLWSLVTQGRLTHLCVYRSPNFFDNSVSWIVATESEQEELRAYCKIDMLRTDDIAGVLVAPICRLFSSSLVELALSSNKEIVCLTKEQEKALQLITSLHVLCFFNNERLQFLPADLHGLHNLKTLEILRCPAIRSLPKYAFPNSLQKIDVDHLCSEELQHQLILLEGVTINIEQPLNL >OB11G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5424807:5425055:-1 gene:OB11G17090 transcript:OB11G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVARDQTIAATILRQGACAAPPKRRPTPPPPPPPAPSRRHGFAGDFTVLKKNSERHRIFLLPISWRKKINFLIQKDQRN >OB11G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5442132:5442476:-1 gene:OB11G17100 transcript:OB11G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRTKSQLHEIAKILYCQVWKDDGVPVDVRQDDRRSVEWGPVDRAHHLTTARPVEPAPFDRPVGCSTGQKNRSTAPCESVGWWLFGRLFKARLHYHFDAASFLPLFTIIFLF >OB11G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5454844:5456731:-1 gene:OB11G17110 transcript:OB11G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSVDPLAALLFASFATLSSTLTVGFKLLTDNPKVVKELKEEHNKILNRRKNVNSGFTWEEYKSLIFTPQVINEITRISNVAPGIFRKAITDVQVNGYTIPAGWLVMISPMAVHLNPKLFEDPLEFNPWRWTDEEKRTELLQNYMPFGGGIRLCLGADFAKLFIALFLHILVTEYRWKEIQGGDVVRISEIIFPQGYHIQLNSVTPN >OB11G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5463489:5464634:1 gene:OB11G17120 transcript:OB11G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDSVENYINFLSDDCLFSIFNKLESESDRNAFGLTCKNWFKVRNIAQKSIIFHCSFNPKVYKEYADCLPKLLARSPYLNLVSLAGLAELPDAALYQLTISGASLHSLSFYCCSGITDDGLEQVSIGCPNLVSLELYRCFNITDHGLENLSKGCHALKSLNLGYCVTISDRGVAAIFRNCPGIRTIIISHCRGLSGVGFRGCPSTLSHLEAESCMLSPDGLLDVVSGGGLECLNLYNLKSSTGLDVLDRVGYAKNLRFLNLKMCRYLTDDSVMAIASGCPLIEEWSLAVCHGVRLPGWFAIAMFCSKLRILHVNRCRNICDQGLQALRDGCVCLEALHIHGCRKITNNGLALFRIARPNVKQRVDEVMSIGPSIEDLFRLQ >OB11G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5466154:5468798:-1 gene:OB11G17130 transcript:OB11G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFQSYTRNQKLAFLEIFDVLRTVCEAHLLPLALAWIPVCFKRGVHVAAEYGDQGAKFGTRNKQVLCIHESACYVNDTRMCDFVQICAEHPLEKGQGVAGNAFLSNNPFFSSDVKDYDMHAYPLAIHARKFGLHAAVAIRLRSTYTGNDDYVLEFFPVLCKGCEEQQLLLDSISATMRKVCKSLRTVSDAEFKKDATTKPSNDNGSGKRCSSPINLIYSGQNIDVSDQIKTNMPLGYQIESTNKQLADKNISNKLICSNACGGEKRRSSTEKSISLNVLQQYFSGSLKDAAKSIGVCRTTLKRICRQHGISRWPSRKINKVNRSLKKIQNVISTVHGVDGLLKYDLSTGCLVSSSIEPVLVNVEHKGSNPLPNESEVSHLKFKLDRDTYQREHLGQDVMHNGQNGEIDFDMDDGPLCEDMSTCAAKTGARTERQEHKPVSRDYSSAPEQYRMESDTDKSNKNVEQSVPSSSSMTDCSSGSASSDGTFKSLKSQPVSESSMAIVVKATYKNDTIRFKLLLPSAKYEELLEEIVKRLKLSLGTFHLKYTDDEGDSVVLESDDDLHECLEMLDATRLHILKLQVQEALLEVRQVAVPC >OB11G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5470350:5470902:-1 gene:OB11G17140 transcript:OB11G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTFSAQRGPGLFAWLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYAARHEVRGSLAMPVFNSGGGSCCAVLEVIMTREKDSFCSDMVNVSNALQGNLDQCASLDQLDVLVCLQNIYSKPMWYTHLHKSLMV >OB11G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5486070:5489396:1 gene:OB11G17150 transcript:OB11G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLEQLVFLVCCFAAITCSLHVQAQGQTTQHHLKSSPHNGAVGRILSEMANRSDSSLSRRTRRTDPLNSLRKYEGGYNITNKHYWSSTIFTGSPGYVIAALWLIGGIVFVGAFIISKIFFAKRNEGYGDINYFLARFHICSMIVFILLTVFVIVASAITLRGAVRFHSRAESVKEIIGRTALEATATIYNITEAIEKMQNTSKLYNNNSKAFDHLNSTVLALNSEAVEIQSKAEKNMSLVSKGINILEAVTILTVTLNLVAVLALLVVKPLRLQKLCNICIAICWILTALTWMYFGLYYFLDEFAGDTCAALEEYQLDPKNSTLGSIIPCSGKLSGNVILHDVGAGIHDIIDQVNSNIYTIKSEYGVKQLDYICNPFTGPPEFRYRPENCPSGAATIGDIPQILRRLTCSDLGGGPHCAAADLSSAIDYDKVETYTSSVQKVLDIFPGTERLVSCELVRSGFADIVGNQCAPLARGAXXXXXXXXXXXXXXXXXXXXXXXXXXRTAPRRRRPPLGAAPDVVVQLGDIGGGLRRDARQESAGPRPDRPPTGVSSVHRSNSSFLRCFLADFGGSIHAARSPPPAVESDPGEIIHRRPGRPAGFAPLTRKKRFVFFFFGLAS >OB11G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5491606:5497008:1 gene:OB11G17160 transcript:OB11G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPRPLLPLLLLLAAVLSLSLSLAAAAFQCIAAMRNPKEGCVFLNKTTLTKLRFTRNELTGDEKEAFKKLLNEDGFYSIRLLSNVLDPSRKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCQYPRPVKMPSKWIFNSYTVLKTSEQAPRTPSFVDQLLEAENGLGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEQAGGQGQPGAQRAPNAAPRRR >OB11G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5508838:5510739:-1 gene:OB11G17170 transcript:OB11G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 [Source:Projected from Arabidopsis thaliana (AT1G06110) TAIR;Acc:AT1G06110] MYPLPLVRRVKYFWSSLKSWLSDNFPEALKTLSKGVSEAQIQSAEDDLGFKLPMPTKLLYRFCNGQLPFSEDLSENVRMAPLGIIGGYFVYDHCINVHLSPLEQIVEETKEFYREYNDRGVFNTMKLVVVATSWYSPKTFLLNCSDGELYVGTINLPDGQMLPCVPKSLIRPTNNDMPQDGLLLWLEEHLRRLQNGMIKTRMVKTSRYISLFPEAPPLCTSAMTNGVKVRASAVFAPEHPDSWRPGGRHTYMYTYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVISDVNGEGVIGKYPLLTPGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLGRPEGKRFEVTVAPFPLEKQEYIF >OB11G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5519887:5523194:-1 gene:OB11G17180 transcript:OB11G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKKPALRRRETTAAAGGARDVKKMSSSLNVSSSSLRSSSSELDHLGRESYGAAPHGAAGRRPAATVRFAPTPTTLSSSSAAAARRAGSSSHVVSSSSSQLQRAGAGVARPATARPASVSGPRARTSAGRSGSLRGGGSPELGPKGLRRSWGWGTGGGGDVEEKASVDHRRPRDDTIAVGEIKSQARSNSVTRKSPTDQEKQQQKRESKTKITSKTMASSVSPPKSAGDVSMERRSPSSTAATRKTTDKAPSSVSHMNNMVRASPPPRKTTLATMGASWESLPPDLQSIGLEVMCYRDAAEVAAVEALQEASSAEILLRCLSAFADLAAVAAEQSPQQTVDEFLALQATMARSSA >OB11G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5546254:5551413:1 gene:OB11G17190 transcript:OB11G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALPLAGAAAVAFLLFKFATVDGDLTLVSRGAPRREKVDGKVVWITGASRGIGEVLAMQFAALGAKLILSARNREELERVKHKIINKHPDSRVEVLPMDLSSGEESLKEHVHAAESLFSNAGVDYMIHNAAFERPKRRVLEETEKGLKATFDVNVFGTITLTNLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIETPQSSSATSSSQRPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKIGAKRLDVAAKKGNAYSWNLLFGGKKSA >OB11G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5551437:5557072:-1 gene:OB11G17200 transcript:OB11G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSETPPAPPSPGTGAVPLSSAVVGLLRFVLSSHLAAPDPALPLSAPFCSRLLLDDDSLLEKLATSLARCVEEGRLPXXPPGPAAAGMPAGEEGSEEREWEAVVLEKGAELKRMYDAVKFELHVQEPYFTQLRAGAKKVEGRLAAGNYNRIAKGSLLLFNKCLLLNVQAVRKYGSFSEMLQSEMISEVLPGISSIEQGVEVYRKFYTEEKENLYGVLAISVSKPTAQPYITMTELIAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARALAKHVHRSSNGWWGSFHGSDVKKNQLASEIIDRLMCECCWMNIHLTQPYGPVYEIRVQEGYGARWSQDGSKFIGFLEPYSPDGFSKGWKH >OB11G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5559204:5566009:-1 gene:OB11G17210 transcript:OB11G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSVAEVTKTSILHLVVGDQIPEAPANILVASAPKKYKSLKRKYLASKDYITQLKEDNIHEPNTRNIEKENQKFDK >OB11G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5561433:5563549:1 gene:OB11G17220 transcript:OB11G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHPSSGDNNKKRQAVFQFNAPLPTKPRARPRDGTSTKSIVTMLRRTLEELVEQRHSRFHKAIETSIKTKEEKHYVDMYWALWLYEAGIPFYIVASRQFEIALEAIAQSGSGRRRHLINFLLNSPKGTYFLESIDASSEVHDAQMLADLLEERIEKIGKNKVVVITDNGANYKAARRVLMERIPTLFWSPCAAHCLDLMLEDIGDLKQFKKPITRVKHVTTFIYRGRILSAMREKTGGNDLVRSTATRFAASFLTLKSMYKHKDILMFLFVFEAWVGNKLSRIKARQDVHDIALSMEFWNPIEECLRASAPLLIVLRVVDGDEKPIMLDVVTLMTHAKDKIKASFNVQSRNRLVKNIMDIIERRWMTQMDHPLLGAALYLNPGKFFKLVKEDDATIRHLRGSFLDVLGKTVEDEEVRDKINAQSINYECQIGDAFSTKMAKQNIETMYPLDWCCSYGGRAVELQRFARCIVSLCASSSGYERN >OB11G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5566819:5573120:1 gene:OB11G17230 transcript:OB11G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diphthamide synthesis DPH2 family protein [Source:Projected from Arabidopsis thaliana (AT3G59630) TAIR;Acc:AT3G59630] MDDIDARYEVTRTAEFIRGRAYTRVALQFPDEMLRDAXXXXXXXXXXXGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKSPLDTNACGRSLLECLRESDKRILVLYGLEYAHALSDLKKVVVELYKSHSCSVEVQYADVQCSVMSPSPAAEREHTQSDGNTCGDDLSIQSDGVATFVNNCCDVEGSTRKYSLGGLTWAISIDDNMEDYLLYWIGQDNSAFANIVLTFNKCDIVRYDSVANQPSRDVSHLMKILRRRYYLVEKAKDASIVGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITTDKPEISSKAEEARFSFIKGGYVEDNGLEENEEHSETSLALAEVTEKALSIKNQNNDAVLYQGGARSAIDYLKARSYRGLTGQYEGPAPDSVLTGRTGRAASYSHEKTKSAE >OB11G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5585346:5588491:1 gene:OB11G17240 transcript:OB11G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVIASTGAMESLLCKLSSMLEKEYAKKKAVGKDLLFLRNELSSMNTAMHRYAMANEPDPQVKAWMKEVRELAYDIEDVVDAFVARNEQSDGATGIKLFIINNVRKLRELLPSCAVSQAIGELKNQVLEVNDRRKRYKLDASVSIAGCGYIDSRLPSFYSKIGALVGIDGPRDKIIELLMEDSPEEDGGLVNQLKMVSITGFGGLGKTTLAIQVYQKMKWRFDCAGFVFVSQMPDVKRILLDLLSELGASGNVWDNERQLIDKIREFLHDKRYLIVIDDIWSMSDWEILKCVLLENNSGSRIITTTRILDIATLCCSSFKGSSVYRIKPLSDTDSKRLFSKRIFHDENSCPSHLQELSELILRKCGGLPLAILHIASLLATKSNSKEEWELVLNSIGSALENSHTLQGLKRILLLSFYDLPPHLKTCLLYLSIYPEDWKISSKILIRKWISEGFIAEDRCKRLDQVAESYFNELINRSMILPVDISYDGGVQFFQVHDLVHSIIVSMSKEENFVTVIDGQRCSSLPEKIRRLSLHFNDFKDVVMPANMTNRNCIRSFNIFGITKQVPYFMDLQSLRVLDLGYCSLLENHHIECLGGMLQLRYLVLHSQFITELPNQIGNLKHLEMLDVTLCSILTLPETIVQLRKLACLNVSIITKLPDRIGSMQCLEELSHISSNSIRLVEDLKCLTKLRNLAIAVEDPVGPESHRLRYRGAVLSSLNELGRHNLRSLSLNYKGDESFILDPSMASCFSTRHLRKFTIERTLSRVPKWMSTFDNLMRLELYISRMEESDMNILKEIPTLLFLRLVFTGHAPHGRMVIDSHGFQSLQELYLLCFIPGMWPLFLPGAMQKLQKYHLTFKLHKVGCNGGVWDFGLQNLPSLQHISAIIVPFGAAGEDALDAEDAIRSATSIHPNQPSVEIFVQ >OB11G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5591346:5591783:-1 gene:OB11G17250 transcript:OB11G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRNREFSRVLFRKVPCSTVYPPRRGRLSKPGFSRQSVIKMSFQQEQAVFSTPTPPSPSGSPPPTALTHCLPRHMRDSLTLLLLLLLLQGMHGLAGRMATRSSSSSRSWCSTTRRSSSPRATRGSRGWSTSGLARAWSVSGEA >OB11G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5593174:5597075:-1 gene:OB11G17260 transcript:OB11G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPRSLSSPSGSAAAVNPHKRKRPAAMAKTGKGKPGGRLAKMPRRSDEPADRPRRRGKPSDKFLRLLRKRARDYNSDDDDEEKRLEEEEASSSGDDEAAGAVTRFEEGCRAFRVAFSKIMAKKLPDDPLGPILSAHKKLVAAKLAEDVEERKPKGEGRKDKRLAAEKGHVIPTNHLDSKEKELIKIATQGVVRLFNAVSKAQNSRKGLNPSRTKDAKALAKETKQTFLTELKKYPIHQKGQASSNFSKHDSKDEEEPGWAPLRNTYMLGSKLKDWDKMQASDVANKQTETPLDDFSDEE >OB11G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5600133:5602559:-1 gene:OB11G17270 transcript:OB11G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLDFNGFEGRIPSSIGNLSQDLKVLLLTENKLTGEIPLEIGKLSSLTALSLQSNMLTGHIPDTVGDLQNLSLLSIADNKLSGEIPQSIGKLDQLTILYLRENELVGRIPASLAGCKNLLELNLSSNRLNGNIPRELFSVHTLSIGLDLSHNQLTGNLPLEIGKLINLNLLSISNNQLSGEIPSTLGDCILLQTLHLEANLLKGGIPKSLVNLRGIVEMDLSQNYLSGEIPEFLGSFSSLNILNLSFNDLSGKLPNGGVFENSSAVFVEGNRKLCASSPVFRLPLCAESESPSKRKKIRSILVICIPVAAIALITFACVMVLLKKRYSAAQPTNESLKQLKSFSYHDLSKATDSFSSENMIGSGRFGFVYRGCIKSDACTIIAVKVFRLDQFGAPNNFAAECEALRNIRHRNLIRVISLCSTFDPAGSEFKALVLEYMANGNLETWLHPKPDKRIPKRPLTLASTISIAVDIAAALEYLHNRSSPPLVHCDLKPSNVLLDDEMVAHVSDFGLAKFLYSDSSMMSSTSDSIAGPRGSVGYIAPEYALGCKISFEGDIYSYGIILLEMITGKYPTDEVFKDGMNLHKMVGSALPHRIGEILEPSLTKEHLGEHTSHELVQMQRPFMQLALLGLRCSVTSPKDRPKIEDVYKEIIAIQNMFSALHN >OB11G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5602826:5603084:-1 gene:OB11G17280 transcript:OB11G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFLSLTENSLTGEIPASVGNISSLSSLLLTQNYLQGSIPDTLIITSL >OB11G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5625122:5625385:1 gene:OB11G17290 transcript:OB11G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSNSHQIISLLSFYSHIILLCGGGFFFMRNDFFIFFPLYSLTVLPHKIFIYVAIYLLLCKLDDIYGGLGWRHLSQVGTISKASH >OB11G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5643829:5644140:1 gene:OB11G17300 transcript:OB11G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLECHYVNGLRPSNSCPVCYFIILAVNFILVHCFSNMPLPTYCLHMCHTYAIYSKNFCIVQEAHKVDTLRFFLIVHDGIFYFFYLSHAYFFLHSHCIFFIY >OB11G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5652697:5669896:-1 gene:OB11G17310 transcript:OB11G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G17680) TAIR;Acc:AT1G17680] MSDAEEEEEERRSAHAPVGAVVEEEEDANGQEEPGREECDGDEEGEGDGEEEEEEYEFGDADEAMQCVEMAERSAPNAGVQDYEVLAARKRKALADERTERDASSKKPRQGELSEAEAATVFDQLMEGFGLRRKRRSKDAKKRGRRKGTRNKYSPEVTKKLGDATLLFTESRFKEAIPILHEVVRIAPNLSNSYHLLGSIYKECGEVDKALNFLILAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRAFRDYQKAAEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDKAISLLEDYVNGQTTNIDSSLLDLLISLHLRNDAHSEAMRQIEKAHLVFGSQDKLPVQLQAKAVICHAYLGDMEHAEVFLQNVHLERSKDNTDVIKEVANTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGECYVVIGEKRKAIPYFYKALQRMEDNVDVRITLSSLLVDEDKSDEAIVLLSPPDNSDKPKPWWLDGKVKMHLAKLYYNKGMLENFVGTILIPILETLNIEYANRKVRKSKKLPTNVLYERAKVLAEQRPESVFQGLRPIASPAELQKANRAKKLLEKRAASNEDMIKDDLQRSKQVPPISGLLTDAENHQLVLHLCQTLALLHRYWEALQVINRTLKLGNDTFSDENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIVISGHRFTAISQHQSAARDYLEAYKLNPENPLINLCVGSALINLALGFRLQNKNQCIVQAFSFLYRYLRLSDNSQEALYNIARAYHHVGLNTLAAIYYEKALAIEVKDHPIPRLPYEENSCAQQDLKPGYCDVRREAAFNLHLIYKKSGANDLARRILRTYCSI >OB11G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5674959:5675969:1 gene:OB11G17320 transcript:OB11G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enzyme binding;tetrapyrrole binding [Source:Projected from Arabidopsis thaliana (AT3G59400) TAIR;Acc:AT3G59400] MHTHTPLQSHHQQNVHKPLTASGQVNNPLPQLNPIHFFIILSLPFLPSFPFPGAAWLLPSSLSSSAMANASLRSFLLHHHHSFLSSSHEGSSPPVVLRLTANTNTSTSTSISFKLFSNTTSSSSVTTASSPNSSAPTPATPTTPPPPSLELLGSQLAGRDYRGADETTRALLIELAGEPARRRGYVFFSEVQFISTEDLRAIDALWREHSGGKFGYSVQRRLWEKSRRDFTRFFIRVGWMKKLDTEVEQFNYRAFPDEFVWELSDDTPEGHLPLTNALRGTQLLGNILTHPAFGEGQEEEAELESETPAATGQSKDDNKGKERPKFMRDFKPDYSF >OB11G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5674625:5681443:-1 gene:OB11G17330 transcript:OB11G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPGSPLLLLPARIVCAAVFFACLPGLVAGIRKDIGFALPIMCRSTVQGRHLISDDNGYVCSSLSIDPWSGCCPRTGSHFSCQGCKLDLQCCDSYEYCVSCCLNPSRTKEADVLKLKVAKPVTSGTYKNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADFGSGPRLDGVNISIGRRGESCSSVCRAKGQSCVPSRLSALNKCEILQKYMRCKSGCSSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLCPCA >OB11G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5687940:5693122:1 gene:OB11G17340 transcript:OB11G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:J3N7E8] MPLALLARAALFCSVAMPPTTRSSRMLRAAHAELNPDVKEVKCESSISFELSKPEVVSSVKRKNVKRVLEVTEENLKKKVGIVPDIEDFRYGKASPSLVRLEKKVRVSSVIKAGAPENWEAILKGIKNMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLVSTMMSSQTKDEVTHAAVERLSEKGLLEPNAIVRTDEVTLANLIKPVGFYQRKAKYIKEASKICLERFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRISLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICLSAFKESSSPNPKQKKIRSS >OB11G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5737379:5740645:-1 gene:OB11G17350 transcript:OB11G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLVSSSTGVMGAVLTKLAAMLSDECKALKNVRGDIEALKQELEAMHGFLQKMTNVEEPDHQAKLRVRDVRELSYDIEDNIDKLMVHIHHESSPKVEDASELVGIDAPTEELIKWLKDEEDESPDQLKVVSIVGFGGLGKTTLANQVYNSLGANFECRAFVPISRTPDITKILGSILSQITMKEDAIVTAGDQHEVISKIRDFLKYKRYFIVVDDIWDPQAWEIIKYAFVKNSSGSRIIITTRVTGVAESCSSSHGLVYQIKPLSDIDSKKLFFKRIFSSKEECPSDHIEASDEILKKCGGLPLAIISISSLLATRRTEKDLWNRVRRSVGFAFGESSDHVDTMRRMELPYHLRSCLLYLATFPEDYEIERTRVVHGWIIEGFIHGEDGQDLIQLGDKCFHELINSSLVQPLSIGYDDDSDGDVNISPKQNNTSHLRSLSVFMYHKQVLSCVMEFSSLRLLDLQGCSFLENHHLKNIARLSQLRYLNVARTPITELPSEIGDLQCLEILNAEYTRISVLPQAVTRLKRLEHLLVSLDTKLPDGIGNLKNLLELNPIIILTYSLDFVEELGEVTNLRCLGIRWLSYDTEGDKAYKEKLMSSLCKLRNLQKLSIWLRTHESIGVHDFLPALNSIYRVAVFVPKLWKVLREWLKSLVKLESLSILLSEPMEQEEFEFVGSIPGLLNLVLCIDGGLSKTGHISIVRPGFQQLQRFKFASTSYGAFVIQEGAMPVLKDLQLYIN >OB11G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5744784:5748876:1 gene:OB11G17360 transcript:OB11G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDAGLHHLDLRQRGFDPLTSPIVLEVIAELPRGVTQSTVEVVILLVTLFEAVDLVLALLLALAPVATTGVVLLLVHGGGDDLPVLHPQVPPLLQHEPLRVPVQEAGNGLVAPLLTVLPGIRGGSGCQAQENAATTLLAALAAAAAVGEEGGAVVADGAGRRLGLLVPVGRRQAAGINEARGEEGRAMKEVGVEAIAMLMRRRRGGRRAWSGFGGRPGSGAIVIIEAAEEIVVDSGYRRSLCGIDGRAAADGQPAGDRIGGRREGLYPSNSHKLQ >OB11G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5749427:5756781:-1 gene:OB11G17370 transcript:OB11G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPPRTIDETAELDYADDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLRDDIISRAWISPEDSVGAPSFTVSKTAGEMASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLEEQLELLAQSLNARDDMIGIEHLEEDSDGKALIKARRSAGSMSAFSGSGGMVYMEYSTGKGKAAFKKPKDPSKRHYLFKKRYQ >OB11G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5766791:5767228:1 gene:OB11G17380 transcript:OB11G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTEKARVIREGRTVVVSAANSVALANISRARPQRPQQHKQHHGCGHPLNVVVVSAAKSAALATVLVLVPSGPTAPATHQQRRGPGEWEAQPPGWAEEGAERGGDAAGRAEERWRAARPPTVRNKLNSCSCQLKLESADYQVK >OB11G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5795119:5801584:1 gene:OB11G17390 transcript:OB11G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:J3N7F3] MQSLSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRWKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFDFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVEHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDNRPQSTANITEDLSKCVLKDNTQEMQQASASVSANGVPQGGVARPGKVVGSVLRYGNCSTSTAEQYEHRQAVRNPNPALATNSVSPRGSYPRRSPSCKTETGES >OB11G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5807395:5808660:-1 gene:OB11G17400 transcript:OB11G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVKKIRFLDFQNECEVVLPRPRAVLDNPHKWQQPEAKRPNLMHCSLALAAEAYRELFNKNHEVLEEIRLDVVACMTLYSLEKPDLIRWLSAPILTGCKMSKGIAFSMPLTVTTISSSIDGHNGNGFGEVGGGSKDPTNETLLASHNLLSTLSATQLLARHH >OB11G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5809703:5810680:1 gene:OB11G17410 transcript:OB11G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENAPSNNQGPTSGTEPMVNQPSATPFDTTGVESMKNPPSSPHKEAMSSLESWRTSIDPFQLELVQTALERLEDDLDYIPIEQAIRPKSSISNEHLYEWGTPKKQRGERSRNQLPKETYYIAALNDDGKPVEPLHVMIKFSRGCGTLARLLGPLNVDEWKDVNMHLKNLMWDELQKKTRLLLSKTPFEKYGSIMQIEWDKFVTRMTTPEAIARRKKMGQVDMTLMWPNGAL >OB11G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5815358:5816224:1 gene:OB11G17420 transcript:OB11G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMVRINMDGPELKDKTMDEKREYVNKLHKKKRIDMVTYLGQAMLLHTDKRGLMVPYHLTGHDYYRRHGRFVKDQKRKKLLVKGRFPCHKQPSGTNLCEYYVCKMLRVSERYRTELQISQVSHIPQTGSTRKHFLTYAETYASSFVVISATI >OB11G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5819048:5822686:1 gene:OB11G17430 transcript:OB11G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLVSSSTGAMGAVLMKLAAMLSDECKALKNVRGDIKALKLELEAMHGFLEVMANVEELDHQAKLRVRDVRELSYDIEDNIDKFMVHIHHESSPKAEGLKLIGKCKRLTNSIKIRHQITKEIKNINSQVKEASERYARYKINEASYMTRKPVVDSRVVAIFKDVSELVGIDGPRDELIKWLKDEEGESPGQLKVVSIVGFGGLGKTTLANQVYNSLGANFDCRAFVPILRTPDITKVLGSILSQTTKKEDAIVTAITARDQHEVISKIRDFLKDKRYFIVIDDIWDAQTWEIIKYAFVTNSSGSRIIITTRVTGVAESCSSSHGLVYQIKPLSDIDSKKLFFKRIFSFKEECPADLIEASDEILKKCGGLPLAIISISSLLATRRTEKDLWDRVRRSVGFAFGESSDHLYTMRRILSLSYFELPYHLRSCLLYLATFPEDYEIERTRVVHGWIIEGFIHGEDGQDLIQLGDKCFHELINSSLVQPVRIGYDGKAKACRVHDTILDFLIHMSTEDNFCMLLSCHSKLLHCQDIKVRRLSVMADDSDGDANISPKQNNNTSHLRSLSVFMYRKQLLSCVMEFSSLRLLDLQGCRFLQNHHLKNIGRLSQLRYLNVSRTPITELPSEIGDLQCLEILNAEYTRMEVLPQDVTRLKRLERLHVKDTKLPDGIGNLKNLLDLNPINILTYSLNFVEELGELTNLRCLGIDWFTYQTEVDKACYNEKLMLSLRKLSKLQKLSITITFTTHESIRVHEFFPVLDCIHRVAVFVPKLCKGLREWLKSLVNLESLKICLYEPMEQEEFEFIGTIPGLLDLELSIQGGLSKTRQISIVRRGFQQLQRFGFRSTYYGAFVVHEGAMPMLKDLQLYINQYDFISPSGGFDFGIQHLSRLARIDAYFINKSDDTHGAFKSMVEAHPNRPTLTIR >OB11G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5829277:5829555:1 gene:OB11G17440 transcript:OB11G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEELVGKLSTVDNWSDDEEEGASGIVYITEQQWLAHMKRKEGNGFSTNNAFMVSIPRDTNILTRAIQPTINLVSRGTM >OB11G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5832398:5840429:-1 gene:OB11G17450 transcript:OB11G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLSKFGELASREAAVLVQVGNDIMLLRDRLEWLQAFIRDGDRKRRLASDDFTRVWVRQTRDVAFEAEDALDDFFHKVDLEAQGYRGWRIWRRYITGCGTQISVRHDLSGQIKRIKSRLDQISENHKEFKIEHTPGAWTSSITEVAAWDNTGGNPVGFDAYVTVLKTNLLSHEHTPQQRFISILGESGIGKNTLMIEIWREINDRHKDHFDVLIWYNMPPNSSANDLLKQVYERALAALSKVPEGEDNDITKKLRSFLHDKRYLVILGGINSIRVLNCVKASLPDNRNGSRVVLILEPESQEVARHAQTLDTKVGEDLKNISGSTVQIGRLNESQSAELFCRRVYGERYTKPKGYKLSYNEQIFMITGGHPLAIVVLAGLLRSKEMPVEWDSVLQQLMPGVEAVESQGNKISGVLLTKEKPFEWDALLQQMMPTTEAKLSNRMTIERIFSTSFDDLPHDLKSCFLYFAAYPTNITHPADQIMRMWIAEGFIKPEKGKSMEDLGQAYLKELVSRCLVEVKTWNECDRIALVQVHNRLLRFLQSEAREASFIEIHDNTDVLAPAAVRRLSIQNDSGNYIPFGNKFLKLRSFICRVEEGDGPCATLDLKNDSKKIPNKEPLKFLYGSKFLRVISIGGIRLSELPNEIGDMIHLRYLGVTCHDLQNLPSSIGRLLNLQTLDIRNSKVKSIAPKFWRIKTLRHVIANQLQLPNSVGDLNNLQTLHGVKPAENWGGLMCPLDMMTNLRSLELHEFNDANHGVALERSLQKLELLGHLKLTGDKIPLAVFTAPSLRCLESLVLEGSVKWPESSSNLCNQTQEDSLGICELRPNLVMLKLNSLSKKLEDFIEKLIPQLAAHEWPRQTDV >OB11G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5843378:5844064:1 gene:OB11G17460 transcript:OB11G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICELSFQNGIFHLRLGGRGDSYRTDQFIEELEQKLKEIRGRARPSSKGLVTTFAPGGGSFCDGVTAKEDPVRHRTAGVVHALFDMPFPTAAAVAGDVRSSLALALVLAHDDMAVWKGAGFELPEVREGHPPAPPYLAALLRDKAPYPMMRSKLVLRSEAMDGATFGGYWYMTDSRCDSKDDVTGEAMGIVATSIGKVRDGEAYIATRKSFFPESWKAVSEFLAVKP >OB11G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5852828:5853232:1 gene:OB11G17470 transcript:OB11G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLTVCAATGGAASLGLALALAHDDLVVLSDGYYKLGNVEDGVAVPPHVAALVREKTDRWYTLTTIKSRPRSGNWMRRWYFADGEAATREDVLHEAERLVGEWAGEDGKVHVEIRRQLYRESWEAVSAIVPDE >OB11G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5854946:5855596:1 gene:OB11G17480 transcript:OB11G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCTLQPSPIKDILVLTMASSDGHQYLTDDAITELIGSLRTARDTPGLRGLVTTSRLGSFCDGLDDGAGAGRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARGRPLPGYVAALLRDKIAYARLRKLLMLRAEACTGRELVGTWDSANDPLGERPCRRRQGGGGGGGVRAARRDRRRRRQELRGGEAGDVAGELRRRWHRHHPATAAVS >OB11G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5883593:5892964:-1 gene:OB11G17490 transcript:OB11G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(37)-N1)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N7G3] MARNGKDKVYVVEVVGEGMVHGDEWMGLVGEEGFGRSAWRGGPTRLLLLDESYANRTVDELPDAVKVVLDHETNKDGSSACELVQCQVTLFYDYWPMNEVLEELLPEGIITPTGFETVGHIAHLNLRDEHLPYKKLIAQVVLDKNKPKIQTVVNKTDAIQNDYRIMQLEVLAGTDSLVTTVIENGLRFQVDLSTVYWNSRLSTERQRLVDHVFKNSDVVCDVFSGVGPVAISAARKVKYVYANDLNPSAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHMHAVTQVVMNLPNDAAEYLDVFRGISRHIESGLPCVIPRIHVYGFSKAEDPEYDFHERINLTLGENVTDVEMHRVRLVAPGKWMLCASFTLPESVASAKPNYIAC >OB11G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5893345:5893521:-1 gene:OB11G17500 transcript:OB11G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLNFHSHWLLSCLGCPTPGRLVPHLRLKPLSFSYTTITGTCTSSSQAPRVPPRLH >OB11G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5904369:5904933:1 gene:OB11G17510 transcript:OB11G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSFGGGGMLGSRVQEVRAWGNEMSMMTAGVEITRARGGRRRQWREVAKSSGERKLIMQASKKSRYHRVQRRAEAYNASKQKESLSQCAKTRDPLRLYRYNREGSNRLETGKLGAMWSQPIESRSDKDGSLIGPKAWR >OB11G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5907930:5919609:1 gene:OB11G17520 transcript:OB11G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKAAETVAMCMRVAKGRGVWGKAGKLGSRHMAKPRVLAITTKAKGQRTKAFVRVLKYSNGGVLEPAKVYKLKHLSKVEVVQNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRLLMCILNMCKEILGEIPKVVGMDIVEMAMWAKENTPVKVTQVSTKDGPIESVVGEGDSQVAVEKDLVSQAEEEDIEALLGTYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLEGLEIATICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSVSNVALSNELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNVDPIYVKLRAIREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQYIKSLDKSCLTPLRKAYCHSLNLLIRREAREFSNELRSGSKASKSSTPLFEGPASANQSISITDTSADAYCKMITVFIPLLVDESSFFAHFMCFDVSALAPSDESDNNNPVAEAKSTSLSTLEPSGTVAKASNSSAELGVLNQCLQELLDGIQEDFYAIVDWAFKLDPLSCVSMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARRIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLIYKIYPSETVISVNEMRDTLASL >OB11G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5924331:5924678:1 gene:OB11G17530 transcript:OB11G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRPAVHTVAGPSPRHLCPRPAKMLATNSKAAAISREGRQGDDDPPADDLRRRRSHSAVSLPDLRRRRPARTSEEEERKRREGVVSSRRTRGRKNNEGHFRPFSPLQVTKMSK >OB11G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5927031:5931463:-1 gene:OB11G17540 transcript:OB11G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent (S)-NAD(P)H-hydrate dehydratase [Source:UniProtKB/TrEMBL;Acc:J3N7G8] MWAASPAFRRQLFLLRSLSPPSPSPSPCAAALSTTTTFSSSSFGVHAMAAPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGERESISSRILTEVAKWMERFDCIVVGPGLGRDPFLLDCVSNIMRHARQANIPTVVDGDGLFLVTNNLSLVEHNSLAILTPNKYEYKRLVQKVLNSEVNEENASEQLTALCQKIGGITIMRKGQEDIISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWAQHFLLSNEYPTEKSVNSMILGCIAGSILLRKAASLAFEKNKRSTVTTDIIEFLGKSLEDICPAGH >OB11G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5973941:5975244:1 gene:OB11G17550 transcript:OB11G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding XASAGEDARPGEGGLSREGSGFGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDEHHHSPPPTSEKKEKAVPAPVAPASPAQPIANWQISSPGDSPEEVKARLKYWAQAVACTVRLCS >OB11G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5978734:5979334:1 gene:OB11G17560 transcript:OB11G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKIFATWRKIFTTWIKNIRDVEKNIHDVDKNIHGVDKNIRDVDKNINGVHKNIHNVDKNIHDMDNNIRDTDKNIHGVEKNIRDVEKNIHDVDKNIHGVDKNIRGVHKNIYG >OB11G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:5989556:5994764:1 gene:OB11G17570 transcript:OB11G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGVRASIFIHMLVWLSNVANIGNMTNLVSYLNGRMNMGVAQASTTSTGFVAMMQVFTIPAAFLADSYLKRLYTILFFAPVQILGYIILAIQAHVPSLHPAPCPAGAGATPPPGICEPVHGGNLSLLMLGLYLICVGEGAVRACLPALGGDQRSVSSPTVPMSVFWLTPQFFLLGVVDVTSFVGLLEFFSSEASDGMKSIGSSIFYCMVGLAAWLNTMLIELVNRATRRAGAGGGWLDGADLNRSRLDRFYWLVCGVELVAFVAYLLFAWRYVYRNDQRVAADGGVHQHDDMKPASAGVDLGQF >OB11G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6000396:6000686:1 gene:OB11G17580 transcript:OB11G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLLWGAAATAAVAFSHRGAVATTFLLHAATAAVAFLLRPATMVVFSVWAAVSFLVQAVDAGGGALAGVVVSGRRQWSRCQRRQIYGWVLAAE >OB11G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6012342:6012531:1 gene:OB11G17590 transcript:OB11G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYYEKMLDETFVAVQEDSDVEFEDNEEEDNDKSIPRVLKNLTMRTRSYG >OB11G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6016705:6016860:-1 gene:OB11G17600 transcript:OB11G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAIEIKIFTCKPLSICGKKGIHNNAFIKANITYTPFIFFIYDTVSFSIYV >OB11G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6018770:6019639:1 gene:OB11G17610 transcript:OB11G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLVDWRGRPVDTKRHGGVRASIFIHAMVLLSNSANIANIMNLVSYLRGPMRMGVAEASTTSSNYFAALQMFSIPAAFLADSYLRRFYAVLLFTPIEILVR >OB11G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6034096:6035966:1 gene:OB11G17620 transcript:OB11G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFAAAILSYIPVPLILTLTVQQGNTMDTRLGSVHISPATLFLIPTVFQMATLVVYDRVVDYRGWDAGFAVCAAVVLLGLLVWAAGIPTYRNKVPAGSPITRIMQVLVVAFKKRNLQLPSNPDELYQPTEHDSLTGHEILQRTPGLKCLDKAAIVEHGSSSGGGNGGGRGAWSLCSVWQVEETKIVARMVPIFLTATLGYMPVSVILTFTVQQGNTMDTRLGRIRVSPAMLFAIPTVFQMAILVVYDRAVVPALRRATGRVGGVTHLQRIGVGFVFSMAACAAGPHRSRGGGDKEEGRRRPDVRVLADAAVLPPRRRGRHLLRGAPRVLLQRGVHGDEVHRQLHLLLHPRRVGVARQPAHPGHQPRHAACRRRRRGRGVAGRGQPERRQARPVLRPPLRHRGGGDGDLRVLGEEVCVQE >OB11G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6035441:6035738:-1 gene:OB11G17630 transcript:OB11G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMDFIPMDASLQKNSRSPTKEVTSTTPRRKNCGVSQNTDIGAPAAFLLVSTTAATVGAAQAAMEKTNPTPMRCRWVTPPTRPVALRSAGTTARS >OB11G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6049478:6057313:1 gene:OB11G17640 transcript:OB11G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSWVMEMEKMERETDAAAEMALWSKHSIYKVPEWINGVTRRRAYVPFLVSLGPFHHGDAALAPMEPHKRRAMLHMAKRSGKPVRAFVAAVEAVAQRLEDAYEDIGEEWRAGSGGGGRAVTARFVQVMVTDGCFVVELMRMNKLGGMLRGDYPTNDPVFSEHGYLYLMEVMRSDVLLMENQLPLLLLQRLLAVQHGTTPKNPKSIIAQLMGLLTSARREEALTGESHLSVGERGEERLTGVTLGLHPLDIFHKSFCGVGQDYTWTQRQEVFMPSAVQLHEARVHFELRKSNGDNLQGVQFERGVLTMPAISVDNSSEKLLLNLMALEQLHPEAGNNVTAFVFFMDNIVDTAEDVALLKSRHILRSALGSDEEVAKLFNNTINKVAVMGLSSRLNNLYRQVNAHCSKPWNRWWASLLHTYFSNPWVFISLLVAFILLVATLMQTICSVMSLYKR >OB11G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6058485:6062169:1 gene:OB11G17650 transcript:OB11G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPQGEGPRPPSPSKCASVTPSSSAGAATCTRSARIAPSNVGRSRGSGTAIGAPGAQPLESTSRANVSMSVEMDMVVVPDEGEDEENSFDMNDEMSMSLPSSRSGSEGDDGEEKSKSRKRGAKRTKGSISLSPSKGKVTRGKRAGCWKYFKVINVPSKREKGKMECKAKCRFCHHSYGYHPGGTTTTLNRHLDKCTIYLNKLAKAKAQGTLDFPSVDGSMVVHPTEYDHDHTKLLIARMIIQHDYPFRIVEHKGFNALMKWMNSNYELLGRKAIKNECMKFYESEKKQLRKVLREAETISLTTYIWTSNQNLRYMCLVAHYIDVDWVLQCRVLNFVEVDPPHTGIVIAQAIFDCLVDWKIEDKVMTITLDNASNNDTVVSNLKSKLAARKKSQFDPDYFHVHCAAHIVSLVVNDGLQPIQPLISNLRNTVKYFKKSPARMYKFVGVCNSYSIRVGRGLSLDVKTRWSSTYRMLETGIEYRNAFDYYAETDTKYEWLPIQSEWDVFDKIQPILGVAMADAMLEKFCKYLENTNNIMIIATILDPRFKMRYIKWCFSEFFDEIRCVTKVAAITAEMEKLYNKYEAICRHNQGGNNTHTGLSASSSSSTTTSLASIIPSGFQSFLQSNAKESSKSELLIYLDEPNVPLDDNTFNLIIGASSVSSESTFSTGGRILDDYRSSLKPERVQALVGEDGDDDIEIVEFPNCVVASN >OB11G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6076903:6078682:1 gene:OB11G17660 transcript:OB11G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGSTEIIFLLLCLLTFSAEPTTEDIDNYSTIKTVQPTLKHLLLRNISSQLLMQPGFSAHRTEGHKGSKYYISSADMSKIGCPYGTVPILTSYNSSMRATHFNKKIAHKDNGNNGGYGHSSISVWEPDLGTGRPPRYTGAVVEVQNEGIRIGTGWYVDPDMYGDNHAHFEIGWTDNDKSCTNLRCEGFVQLSTRIVPGAVLKPVSKITGKQYLMMVSIFKLFTNMSGSANMIGWMGVSNAASGEPYPPMGSGQPAAEGEGRAVFFTDVKVIDASMEYVSPYLTEIFTSMISPDCYRVGTPSTDDMGLHFYFGGAGCSPSH >OB11G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6080474:6082334:1 gene:OB11G17670 transcript:OB11G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWPAMRYKGWTIKTLLLRLLILSVDPTTEEIDNNLIVKTVQSADGQTFACVDFKSQPSLTHPLLKNHTRQPMLPVSFPDSTDGDKGPKFHISDVEMSNIDCPPGTVPILTSYNASMSPRCLDKIIGYKYNEIGNNKEYTQTAAFATVASTFYGLQSSISVWEPDLGTGKEPRFSGAMAIVENEGSRVAAGWSVDPYLYGDNQVHFEIAWVDNEKSCVNVRCAGFVQTSKKATPGIIIKPVSIINGKQYIIRVKIIKLLGAWILKVGEEIVGYWPSKLFSHMSESADRISWMGVAGAAPGDPFPPMGSGQPPDEGDAKSACFSDAKVIDASGRGVTLALNRVNTVVTAPKCFDVGRPTSTEDGGLQFYYGGTGCSPSLPIE >OB11G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6088955:6091047:1 gene:OB11G17680 transcript:OB11G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKAWTMKTFLVLHFLIFSVEATTEEIDKNSNIKTVQTADGQSFAFVNFKSQSLRHPSLKNHTGQPIPPASSFDSIYGDKGSKSNTSDVEMSKIDCPSGTVPILTSYNGSMDTTSFDNITDFKYFYNENANEKLHMAAVATVPSTFYGFESPISVWEPDLGTGRPPRFSGAIIVVQNEGSRVAAGWSIDPRFYGDNHVHLEIAWVDNGRSCVNTRCAGFVQMSKTAAPGLILKPPSTIDGKQYIVRVKIVKFLGDWVLKVGEEIVGYWPSALFTTRMSESADRVVWMGVVGAAPGEPFPPMGSGQPPDDAAETNAACFGEARVIDASRSPVTPELSGIYTAVTAPRCYEVGRPVASDGGLKFYYGGTGCSPGQSVE >OB11G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6092720:6095143:-1 gene:OB11G17690 transcript:OB11G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:J3N7I3] MATTSGGGSIGGRRIWGTSLAVLALAVAVALASFLSLRSPGMAEADDLPASVHDISVKDIKGNDVKLSEYAGKVLLVVNVASKCGLTNSNYKELNVLYEKYKEKGLEILAFPCNQFAGQEPGSNEEIEQTVCTRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVDKDGKVVERYAPTTSPLKIESDIQKLLGTS >OB11G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6108732:6109548:-1 gene:OB11G17700 transcript:OB11G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRKDEAVAEQLRRRRKDEAPRRNISRCRSVPPSPSTAVLRRLLAVAIAVVFAGFDPVEASFVLKVVAEVTRGSSKASGTLL >OB11G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6109646:6110507:1 gene:OB11G17710 transcript:OB11G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWRKIGASVSAAAVDAVTSLFCFSLLNIQASPPDVKAAKSTADCITVDAPINLIYAETSNDGNC >OB11G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6110723:6110926:1 gene:OB11G17720 transcript:OB11G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFHLRSSYGTEDDYTHLKHKCETGSHLAFKNHQTCLFDSSLQQLETLFFVKLPNVILGCLYGRMF >OB11G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6111462:6113559:-1 gene:OB11G17730 transcript:OB11G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHLQRFKACCHLRSYSQGIVVYVDSGSPHAVNTSWAMLALIYSGQIERDPTPLYCAAKQLINMQLETGEFPQQEHVGCFNSSLYFNYPNYRNLFPIWALGELRHRLIASKD >OB11G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6117060:6117350:-1 gene:OB11G17740 transcript:OB11G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSAGFFFPVPPTISTLYFVITAVGRTTILSSPWHPHLFHTHILIRLIIRVGSTALLCWPHLCHAVLCSAALQWCSNHHKFHNLFSLIITSLLS >OB11G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6138296:6140532:-1 gene:OB11G17750 transcript:OB11G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLKVADSGGGSPLLCTGNGFLGRAVWDFDAGGGTPEERAEVERLRQSTRLQPNLSAVVVQDNQDVTEETILSSLRQALNQYSTLQAHDGHWPGDYSGILFIMPLLDY >OB11G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6149717:6152014:-1 gene:OB11G17760 transcript:OB11G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKELSQAVCLSNHIGNNPIFGLYTRAGDCIWCHLSCCSLRTEIIGNFNNSMFFNYTSYRNLFPVWALEEFRRRLLAKKG >OB11G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6153791:6153982:-1 gene:OB11G17770 transcript:OB11G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCVNYATLRLLGEVLDEDNDALSKGRAWILSHGTATVAPQWAKIYLSVCNQMKRDDVKQI >OB11G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6169754:6183665:-1 gene:OB11G17780 transcript:OB11G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:J3N7J2] MGSPGGRCGSSSPAPARRRSAPRWRTSAATSPATASRAGRATTSSCACRNYARQNKAVTTLAVNKIEDCSEITEEVVLTVLRGVLNQYSSLQSEDGHWPGGYCGISFILPLMIFALHVTGSINDVLSSEHIREICRYIYNMQNEDGGWGTSTLGPSSMFGSCVNYATLRLLGEVPDEQNEGLSKGRAWILSHGTATAAPQWAKIYLSVIGVYEWKGNNPIIPELWMLPHFLPIHPGNFWGFCRMVYMPMSYIYAKRIVGPITPTIMAMREELYSVPYNKIDWDDARRSCCKVDMIYPPSWLQKVTMASLHKFVEPVFNMWPMNKIRQRALTNLMDHIHYEDENSNYIGLCPINKVLNMICCWVENPKCSGFKRHLPRINDYLWIAEDGMTSKVYSGCQSWEMAFIVQAFCSTGLTHEYGETVRKAYDFLKNSQVIQNCPNYKSFYRERSKGSWTLSNGENSWSIPDTTAECLKALLLLSKIASNDIGVPIKEERLYDAVDVLLAYTNKDGTLSSIETKRTTSWLEFLNPSESFRNIIVDEPHPECTSSLIQALVSFKEIYPGYRHKEIEKIIKSGALFIEKTQRKDGSWYGSWAVCFTYGTFFAIKGLVAAGRTYQNSSSIRNACNFLLSKQQTTGGWGENYLGCQVEEYVDNGRPHVVNTCLAMLGLLYAGQFELDPLPLYRAAKELINMQLDTGEFPQQEIVGNFNSSLFFNYPNYRNLYPIWALGEFRRRLLAKMG >OB11G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6213809:6215107:-1 gene:OB11G17790 transcript:OB11G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNCGNYTQVHAVGAVQQGKLTEKDIDRALINLFAVRVRLGHFDGDPRSYGLYGRLGGADVCSPAHRSLAVEAAQAGIVLLKNDAGALPLHLSSVASVAAIGPNADNLGALHGNYFGPPCETTTPLQGIRGYVGGRATFLAGCDSPAYSKFSYHLLTSSGDISMSSNTTPLAGITDSPTGTGDDGVSYLVKEIGVETCSQLIFPAVVEVQNHGTMDRKHSVLMYLRWPTSSGGRPATQLTGFQSQHLRAGEKAKLKFEVSPCEQLSWVREDGEKVIERGSHFLVVGDDEWEVSFGI >OB11G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6222771:6229891:-1 gene:OB11G17800 transcript:OB11G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNGTVRGITSFPQVLLTAAAFDDALWFRIGQCTVLKLLKIYMSKLLYTLDIDNTAYMQAIGTEARALYNLGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPTTASKYAVAFVRGLQGNSPATALQTSACCKHATAYDLEDWNGVARYNFNARETTVVAYDFVCNFICSKSFESMWPTRKAGVTAQDLADTFNPPFKSCVVDGKATCIMCAYTGINGVPACANSDLLSKTVRGDWGLDGYVSSDCDAVAIMRDAQRYAPTPEDTVAVAIKAGLDLNCGTYTQEHGMAALRQGKMSEKDVDRALTNLFAVRMRLGHFDGDPRGSAAYGHLGAADVCTQAHRDLALEAAQDGIVLRFAATGQAAALASSSDRVVLVMGLSQDQEKEGLDRTSLLLPGKQQSLITAVANAARRPGILAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYQGKPVYKFGYGLSYSKFTRRLVTDRPGRNLLAGVAPRPAGDGGESYHVEEIGAEGCEQLKFPVAVEVENQGPMDGKHSVLVFVRWPNATTGESRAARQLVGFRSQHVKAGEKARVAMEVDPCEHLSRARVDGKKVIDRGSHFLMVGGEEDAGGDHWEISFDA >OB11G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6242540:6248952:1 gene:OB11G17810 transcript:OB11G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAVTRTRYTRGELEALRAAPSEEAQARVWAGVCAALAAAGFSGEYDALADAEGASTRKAGRKGKKATGGGWRQHDAAAPLLETDEIGGWRSGDSGLRYEQYGDEPRSVLQGIEEPFDQGGNMEYEDNDSDDDYDGILKPAFAVDGEPDFESGDPVDGFEYLRRVRWEANQIPRVKVAKIDLSTPRNEQTTYMPEIPDIPKCSPDLSASKHWEDTFITYFSETRLAFSELDSSDGPSVSGGMKNSLKLNNKSEPQTDPTLTMIRNMDAVSRAATLRNYIYMIQSLDKLSRNDCLWLFALCVAVDTPLDAETCASLRSLLRKCANILATKSVMDDEVAMLNILIAIAGRFFGQYDNH >OB11G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6257011:6258954:1 gene:OB11G17820 transcript:OB11G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVGPSVISNTIDGPLPGRVQATTGCHTCDGIRYNPSKVMDSTDRAVGLSVVSRHSVLPMEVTRKMPASVKRIRFADSQNECEVVLPQTVPSDFLLFLIKIIVPILHNSHWSLYAINSDHKRVDIMDSNNYQLIRIAFNNHHGALSKRIVKRFIDALQTVVSKSFCRFGGFSKNFMDCPKMQICSSDYAFFVMRFMEAFDGNKEPIESLYILVTSYTFHSHFGAKPHRCYPNS >OB11G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6265680:6266488:1 gene:OB11G17830 transcript:OB11G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIIFRNDSTYLIDHVTFSDTCKIITSSLMMNLTVSVANKVGDLERWSQELDAHEHALQQASCSASRLFGSLSSSPTRVDLEATIETLQLKLKGGLPMVSALVECVVEAARVAGAKVLTQKVREGREFGWLSRRLDQLAVGLRAVSDDVNKMTQWSSIDLARKVAEGILMSFLARNSDLDPFFPLDNFPAGTDVKTVRSAIGNVACKIVVGFRGLAPKFSLAPPATKESGLECTSSGSDGDDPEYRQLDPNLNAPKA >OB11G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6267013:6269885:-1 gene:OB11G17840 transcript:OB11G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLLYIAVTPHVISAALVVEHGGTFSTPAVSTNPTLEAERPRSELAKEALGPSNGLRPHRGLRGTSPPCPVASDASSPQRGHEAPESLSSPMATDPVRNCPRSMEARDGPDPTLVEEQPRLESAGKALGSSGHLRPPQSLKGTSPPCPVDSVAPSARRGHEAPGRPSGPKAPDPMEACPRSMEASNGPEPEPPEHCAPDALAHALPKGQRPIYFISEALMDAKIHCPQAQKTVICSTCGFKKTAPLLPSTLGNGGRERVARISKRYVLVQGTLYHRDANGILMKCIPRANGLELLAEIHEDILGPFRPARGGNKYLYVAIDKFTKWPKAYPIWEIDRHLAIRFIKRITARFGVPNRIIMNNGTQFISELFGDYCDDMGIKLCFASPAHPKSNNQVERVNAEILKGLKTKMYNVLKKHDDSYTEELKAVLWANRNAPSHATGETPFFMVYGAGAILPPEGEPWNTHNLTKINYDAMTSSTSRKEGGVQCYAQPYTNKTCVATISAICSASITSVTHKIEQIIPHVGGTFQGDRDTPTRSGQAGHERRYAAAKPEKHNAPAQVLPISRNGVMYSGLRQTHGPSRAPWVGQGLP >OB11G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6270877:6273674:-1 gene:OB11G17850 transcript:OB11G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQENRVLPPPRDLGVVVDIEDDSDAAERVDFDVADLSLRYNVMLGRPALVKFMVVPRCAYCQLKMPGPNGPITVHDDVKMALACAEMRANALATATTTASTDQGPEASASWAPKKRIISSDEVPIKLIQLGDDPSKAAKIGGSLDAK >OB11G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6290497:6294781:1 gene:OB11G17860 transcript:OB11G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFFNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTLYTLVMVDPDAPSPSNPTKREYLHWLVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFSELYSLGSPVAALFFNCQRIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFSELSSLGSPVAALFFNCQRENGCGGRRGRIRAALNSEYLQQYFVTQPITPMVWAFKMLGVLKLYGLDSYARIYVPLPTHHGLRLGPDHFQKFLF >OB11G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6295075:6303893:-1 gene:OB11G17870 transcript:OB11G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43210) TAIR;Acc:AT2G43210] MEMTLDSLTYKGSIPGAINQSRRDKKLFVVYISGEDEASSSLEQSTLVNESVAEVIGNCCIFLHLKQGNVDASQFSAIYPQKAFPSISVIGLNGVMLWGHEGYIGSKDLKENIEKAWATLHVQETAAAFLTASLTSRMNEHVNTSSTTLPTQGGSSAAENPSSSSNQSTGTSGPSGFANSTGSAAQPPRSTSHDEIRRTSEKECSNLDLGPGNKTVKEKPDSDSAQVKGSMSDHPRSSNMEGHANPDQTSNTTSLKQKNKINDGCTKVSSESAPSTTASRAKSSKIAAVQGKATTTSIPIKPARSLVKSNDIQLVIRIPDGPSLQIKLTKDDNLRKVKNFVDENYANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTVVPHHKPIRAAKRQSSSSPAHDVDINMDGDSSGGWGYVGYLRTALSFVNPLSYLRANAAPSNPDQLVNQGSQQYRPSSGPWRSHPGVETASESAAVAGNGSQDAARDSSSGSTLRRRPRQFGGNIHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >OB11G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6322245:6322511:1 gene:OB11G17880 transcript:OB11G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSICSWTDVLSKSGRQKLLKKSGQTSGKLVHLFAKNGAINHLTTYPSTLNGAARSHCSVVKELLPLKKRQINQGLSLVSSSYTSCKL >OB11G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6328500:6329541:-1 gene:OB11G17890 transcript:OB11G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDGCKNLQLGHAGEDLQSMTRRWQLMHGMATIEPTEPRPPLQLASSSSSSAMVPGLALPSPLPKVHGIVEMLSSRSRVLPCSSMGLTQLFLMVFLFRSRHCSQSRGRGSLSWSSRLAWDTASSQAKCFLLFLLPSSLLPSDSDDELLPPPNRSDLGPRESSGCTSIGPIL >OB11G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6328434:6329972:1 gene:OB11G17900 transcript:OB11G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPRSLRFGGGKSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLELQLKDPLPLDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGSTLDLELNISTIPCTFGNGDGKASPGTIAEEDEDDASWSGGRGSVGSMVAIPCINCHLLVMLCKSSPACPNCKFLQPSVPAMARTPPPRRLEATVKPLETLSLLH >OB11G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6332855:6333067:1 gene:OB11G17910 transcript:OB11G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYVPLHWHGHPKYLPTKTLGLSGIFTYTKNQDSSSVSLSSPSLNSSKLYLHIYVFYRNRSSIATTIKA >OB11G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6333274:6333801:-1 gene:OB11G17920 transcript:OB11G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASHEAAAEGRLAAIVPHVLLRFLERSGRLRMQDGVLDAGFAACELSACQHSARHCYLEEMKTVVVLKLKQSVM >OB11G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6339809:6342927:-1 gene:OB11G17930 transcript:OB11G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRNSEADRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVTLPVPENPDLEKSDLKSKTDDQQESLEMDEYKSCEKGVPEDNPDEEDVCPICLEEYDAENPRSLTKCEHHFHLSCILEWMERSDTCPVCDQITLIDDMYE >OB11G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6357385:6357975:-1 gene:OB11G17940 transcript:OB11G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDKHGNVPRLDNELKPQDADGNLPASFMFGPNSVNKTCINCAHRRVVSLFLEDLQRQQPFSMGRYGSVRKVYVVCKQDRAIPEEFQRWMAASGADHMAMLSAPDEVIVDVAERYH >OB11G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6372112:6372993:-1 gene:OB11G17950 transcript:OB11G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVADLASPDGRSLQRLASAFAEALALYVIVPFHGLCRLLQLPQAAPPGEVAAARHRFRGICPFVRIAGASANLSILEAMDNEEGVVHVVDLGGSDINQWVELVRLFAARPRGPPGLLRVTVVNEADDVLSAAEGYLTAEAQRLDINFTFRRVQSSIDALTGVGGALGIVAGQSLAVIANLQMHRLLAYRKEARNGKGPAGEQSAQHTMTTKADALLRAIRGLSPKLMVLTEQEADHNVDELQPRVWNAMNYYAALFDAVEESAPLVSPGDRASVERWLLGEEIRDIVVCVGS >OB11G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6380525:6382210:-1 gene:OB11G17960 transcript:OB11G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHCHCQKMECEGIPCSHIFVVLKFLLIDIIPHCCVIVRWTMEAKETFSNMIKEFEIQMRLEGKVILTTLLKVYSRGGLFEKARELLTELEASSFAHDEMPYYILIDGLLKEWKIGEVVILFNEMKEKGVKSGKVSNNIANRRVSIDTMLIIHYNVEKSIYVTRS >OB11G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6403290:6405819:1 gene:OB11G17970 transcript:OB11G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPGLRRARRHQLPAGAPHRRRLRRRPLVPHRQGHRHGGEGAVQPGAGGGADHLVPQRQHLQGPTVGPRPGDPRRGPHHGEQVRRRLRPGPAGELAGDGAADLRLLQARHGVRPRGLERRPTLQLQRQGGTGISMICGANMTQ >OB11G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6405991:6407626:1 gene:OB11G17980 transcript:OB11G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYTGINGVPACANSDLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTPTPEDAVAVALKAGLDMNCGTYVQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNGARPQEQRRVRRAGCRRHLHAGAQEPRAGGSHGRHRPAQERRRHPPARPNGGGLGSRHRAQRQRRPGAHRQLLRPAVRIDDAAQRHTGVPQEREVPRRVQLGRLRRRGHGGGRRAGELLRLCLPVHGAQPEAGERRARQDEPAAPRGAAEPHRRRGRRRQAPRHPGAPHRRPRRRHVRADEPQDRRHPVGRLPGASRRPRRRQGAVRRPQPQREAAGDMVPGGVHQGSHDGHADACCPGHRLPWPELSLLPGQDRLQVRLWPQLLQLLSPACLRR >OB11G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6407737:6411567:-1 gene:OB11G17990 transcript:OB11G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP [Source:Projected from Arabidopsis thaliana (AT3G24730) TAIR;Acc:AT3G24730] MKGPGPAQVGFSGAHVTGPYPHFLGARPPPAACSCGGGSPPRRRHLPFYSGEEEAEEGRMGSVLLPTLRRKREVDAAIRDTLDKVLVLRFGRAADAACLHLDDILAKSSWDISKFATVALVDMDSEEMQVYIDYFDITLVPATLFFFNAQHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >OB11G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6421717:6427922:1 gene:OB11G18000 transcript:OB11G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G16740) TAIR;Acc:AT5G16740] SSSAKAKLARSLAHNENGERVVVLVSVRQAEAGGERERARRAARAAAADGGQALRRQRXXXXXXXXXXXXGQHHHHGKPTSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLVGLGVMCAYTAHIIGKCLDDDPSSKTYQDIGERAFGGKGRVLASAFIYLEIFFALVSYTISLSDNLPLVFAGARLHLPWAHLTTTQLLTVAAVLVALPSLWLRDLSTISFLSFAGIVMSLLIFVTVVCVAAFGGVGLGGHIPALRLERIPAVSGLYMFSYAGHIVFPNIYAAMKDPSAFTKVSVASFAVVTALYTALAFVGASLFGPAVSSQITLSMPPRLAVTRIALWATVLTPVTKYALEFAPFAIQLEHHLPPTMSPRARTLVRGGVGSAALLLILALALSVPYFQYVLSLTGSLVSVAISVIFPCAFYLKIRWGRVSRSAVALNAAMIAGGVVLAVVGTASSAKSLVQSIQRGHAA >OB11G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6434094:6434435:-1 gene:OB11G18010 transcript:OB11G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASLAGGPSEASGRAWCDRERCARPLTSGPRPQVRACLASGGRSSPARDTRPILLRRSRAFQTEGDVWQDCSPVRAGWRLDLLRFNGSRCGKLRGAFWSADSQSDGCEDLE >OB11G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6435860:6447016:1 gene:OB11G18020 transcript:OB11G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ribosome biogenesis; LOCATED IN: nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: AARP2CN (InterPro:IPR012948), Protein of unknown func /.../UF663 (InterPro:IPR007034); BEST Arabidopsis thaliana protein match is: P-loop containing nucleoside triphosphate hydrolases superfamily protein (TAIR:AT1G06720.1); Has 2741 Blast hits to 2088 proteins in 291 species: Archae - 2; Bacteria - 131; Metazoa - 833; Fungi - 650; Plants - 171; Viruses - 49; Other Eukaryotes - 905 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G42440) TAIR;Acc:AT1G42440] MGGARAQVNKAHKTRFASKASRHAHKIDKVKTGKPEGSHRAAVKGARAARVQRSKAFRDQKRAALLKEKRSSVGSSSAPRVIVLFGLSSLANVRPLAEELLTIASGEGNPTSSTVASNTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVLSANSLYNCESSSPIDDFGSQCLSVFRAMGLPSTAVFIRDLPSDNKSRQELKKAAISFVSPELPEDCKFYATDTKDDLHKFMWLFKEQHLSSPHWRNQRPYVMSEEASIKRDDSSGLCTLLLSGYLRAHNLSVNQLVHVSGAGDFQLGQIDVLKDPFPINERKNSNAMDSEDGDIQIVDTFVPDPSNQEPLLFENIPDPLEGEQTWPTEADMEEAYLNNKQRKLAERKLPRGTSEYQAAWIVDDTDDEDGDSENDNQDVAGMVIDEQGHSDHGCDSSDMDTVSQFTEKFDEETIGGTEMADDENLTKEQIEAEIKKIKEANAEDEEFPDEVETPIDVPAKRRFAKYRGLKSFRTSSWDPKESLPSDYARIFAFDNFTRTQKHVLAKSAERDEGTSKDCVQRGSFVRLHVKDVPTDIASKLVHPSRSLPVVVSGLLQHESKISVLHFSIKKHDSYEAPIKSKESLIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNRDGEQPAIAAVGSLKTVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHNPDDVKWFKPVELWTKHGRRGRIKETVGTHGSMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYRI >OB11G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6462489:6465628:1 gene:OB11G18030 transcript:OB11G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTPLDNQIIANARCSCSILDCFFNLVPNEVVLMKMVSWVVQEVKLFLDGRQSIIRLDRVGVLTGWRWRWRVLPLILILRCGSGNSDNLLSLLLHFFHHKVHYLVYEGPASMVVVDIGMNNTKSFDEHIEHIKCVFAVLSKEHLYANLAKCIFCTDKVVFPGFVVSGQGVSSIAAPINKLTKKKVSLHCGEVQGMTFHDLKMKLTTTVLLALLDFGKTYEIELDESGVGIRGMCRQERKIYCIF >OB11G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6473670:6474662:-1 gene:OB11G18040 transcript:OB11G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVGMAGARYTVTKYIYAAPDVALPFGVGSCSCAAKSRWIGYVAVASSREARRLGRRDILVSFRGTVTGSEWLANFMSALAPARFDPADPRPDVRVESGFLSLYTSDDLSGKFTCGSCRNQLLSEVTRLMSKYKDDDVSITLAGHSMGSSLAILLGYDLAELGLNRDARGGAVPITVFSFGGPRVGNLEFKNRCDELGVKVLRVANARDPVTKMPGVVFNERARVLGGRFELPWSKTCYTHVGVEVALDFFKASDVACVHDLDAYINHLLKSYPRYDDDDHDVGDDDVATLGVSGSAAGAPSLPTTMESWRWQMAAMCAAELLQALGI >OB11G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6524838:6525044:-1 gene:OB11G18050 transcript:OB11G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAIDGPQYESEPRWEMGDKGREITSMAAGGGREITGRAGGGLSTPLKIWRRRWRHLCERAQEMARG >OB11G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6525093:6525850:1 gene:OB11G18060 transcript:OB11G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLTKTDSRRGRGVPRTHPQGAATCAQPAGGWWPASSPSEGLYPPGGFTDYVQSIPFPNHSNGNENFHFVGAAMSQSSMSPIDLNATRTPSPAQQSDYVDEQETETINVDEEFITDKRLNWSVSAWLHNSKDPVDGIGRKAYKYWVDVTEEYNKTT >OB11G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6540822:6541556:1 gene:OB11G18070 transcript:OB11G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLAPARFDPADPRPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLINMYKHEDVSITLAGHSMGSSLALLLGYDLAELGLNRRAGAGAAVPITVFSFAGPRVGNTAFKNRCDELGVKVLRVVNVNDPITKLPGIFFNENSRVLGGRLELPWSSSCYTHVGVELALDFFEARDPACVHDLEAYLGLLKCPKMSKVMKEGEDLLSKAKKFVVEQSFDTWRWQMAAIQVGDLVQALGM >OB11G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6606493:6611642:1 gene:OB11G18080 transcript:OB11G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPYLASSISRPTTSSSASPLRPPYMSTTNSGPASASAAAAAPADATRPRKLPVLLFDVMDTLVRDPFYHHIPAFFQMSMKELLESKHPTAWSEFETGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILISLKKNDYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLSVDPASCIFIDDRMTNIEAALSVGMVGLHFKNAEVLKKDLCSLGVEFTPVHEDEIKVQ >OB11G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6618058:6619136:1 gene:OB11G18090 transcript:OB11G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQLLDLSSNSLTGAIPPELGKLAALAGTLNLSRNHLSGGELLRSSACVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERYKEFAAEAGAIGRVRHANVVRLRAYYWSADEKLVVTDFVNNGNLATALRAEKCSLL >OB11G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6619608:6621392:-1 gene:OB11G18100 transcript:OB11G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPLSLHCPLLIPLSLPAVGPRWRATGWGRRIGGVARGGGDGGRSTDGDDRPGAVSGEALGVGVLGAADLGGNMGGPRQRWVGGGSRRILVGISKTPAGVAAVADLPMAAELSWRLVGGGGSGGGARSGAAEERAARASRAAAASCFSSRAGCGGGLRFRSPQREEEREERGREKGKKRERDWQWHAEQNGPCTFLPGLLGSFFLSLLHYCNSSDSFAVLEPLARSLGAEAVFGAFLVFMLYLLQPK >OB11G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6623356:6626662:1 gene:OB11G18110 transcript:OB11G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLCGAYGWTAFCLWYKSGRSGQASTLSWSVRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNILLDADYNALLADFGLARLLAINQYGQTSKILLFQ >OB11G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6627113:6628685:-1 gene:OB11G18120 transcript:OB11G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRERLSSEIDDGSDHTVTFHCAICMEHKPTHSRRFCCGGCPHYFCFSCIVDHIGYRVLGGGDIHVPCPEPGCTIGELAYGKWYEHVTADVRRAWEVAILRDSAMLERCGSCGKFLEGVTMEGMMEGVKDDHHMDPLHSLAAAKGWRSCPRCGMLIELIGGCSIITCRCGCLFCYACAKISPKREDTVLQENQEMEEDDKSLIQESLRKHQEEQQNVRKANLVYKRNVRSKKDMPAGDNGSTSC >OB11G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6633887:6634399:-1 gene:OB11G18130 transcript:OB11G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRERLSPEIDDGSDHTVTFHCAICMEHKPTHSRHFCCGGCPHYFCFSCIVDHIGYRELAGGDIHVPCPELGCTVGELAYGKWYEHVTADVPRAWEVAILLDYAMLERCGSCGKFLEGVTVEGMMEGVKDNHHMDPLHSLAAAKGWLSCPRCGMLIELIGGCSIITCQ >OB11G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6650737:6654691:-1 gene:OB11G18140 transcript:OB11G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHTNNFEPSSHLLVLFRIFKRRCVTRKLFTVTTIKQVLGREIRSPEHISNMESKEEKALVEIDGSDGESFLCGICMEYKPMHSRSYCQGCPHYFCFNCILDHISYRVLGGGIPVRCPEPGCTIGELTYGFWYKHVMEDVHNAWTSAVLRDVSILRCGSCGQFSEGMTKEGMEDVEDDRLDALQRLAIARGWRPCPDCSIFIEWTGGCSIITCWCGCEFCFSCAEMFPPRGNIILKENEQMGKDDKLIQESTRNNEEDLNYYLTTLEAMRRQQFSFKAKFDALHNVGRTKESTPAGDNAATSSQGPDARSKGA >OB11G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6674830:6681391:1 gene:OB11G18150 transcript:OB11G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclopropyl isomerase [Source:Projected from Arabidopsis thaliana (AT5G50375) TAIR;Acc:AT5G50375] MARVPHLTFSLSFLFLVLPGSPAAAGGGGEGEEWARGREDEPRFTELEYLVVGLVSTVPAFVIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFIRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNESI >OB11G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6687728:6693872:-1 gene:OB11G18160 transcript:OB11G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRRRPPLLLRLLRPPTSSVLESCNGYVSPRVQLQRFSAEGKDQAKSIEDDYSEANIKKKNFALQQALDQIKSAFGEESIMWLNHSCGSKEIPVVSTGSFALDIALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGCCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKAELDGEMGDSHVAVQARLMSQALRKLSHSLSRSRTILVFVNQVRSKLSTFSGFGTPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTVNLEIEFGKGLSRESEIIELGCKHKFITKSGVFYHMNGQNFHGKDALKCYLAENKDVLESLMSMIKESIIQQESRPDRNKENANPDTSITEFVSSTDEVPEEVEG >OB11G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6725401:6725950:-1 gene:OB11G18170 transcript:OB11G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNSDIMKRKFPSGSTFRFGSIGLQTDQFGALSYTKSSSSNFDKEVCRFEPDEKTSGLSRTSQVFIPVYSSQSVVNPSQMASSFTRGYIE >OB11G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6729384:6735894:1 gene:OB11G18180 transcript:OB11G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFHNEGWWSGVVTGLPLPLDVEPRRRVYAVAFPTSREVMEFEQAALRPHRVFRRGRWVPAADADDESPAFRKGGLVEVSRSAYRRIGEDGELTTEIVDSQYIRPAHTITRMDSKYRFSPSSHVEVFHDSSWWPGIVLDASSSVFGKMYTVKLKSHMTGMDDVECVDKLTVENTRLRPRFDWDGRKWIRCVTAAKKHATEGQQSTSRKRPIPAALASCHDNGEIRDKPSSHFDKIKDLSSYPKGTVNQQSAVLALASQIALPLDSSLILGSPIESSSSRMDIMPSVPQNGELKASLFGMFGKLRPIPQGPLLGVQSHNPDFSIIEESKRTSTDEGCFLISCAGNNLNFGSFAGIDMSRKRKGCIYFQAPEGSGMNLESIKKCRVHKTIEGTNKIAPTFEERTKVIFGDEHDVLSTDVAGSGTPSAKKSVSCIDQTALEYSKGPHESSIVDIIKLSEIGDLHQEENLILPATSGDVNFQDSREDFCQRFLVRPEDTTVDLFPSAKSCEAARHAHLVCKDSLGAIVECVTNCTVPTENLSVLSPAMFDDVVPNQSSVSDNCEDNKKDGMYEVDHEANEMELAIITPKTQHASVGGPFSTTSLSAVRGEIVLAQSSTWESTLNEQTRVSQQGHSSPMVGSLECVAESSQSIDYSTITQLSSFDMSQSIDAELGSSLIVSNNVQDTPISKYVARTQDPCCPLMQKSLHVHEIIMAGQPSEALAIVEPPFVRTSPLWAQIEAMEIFSKVPQRPHFHQLWKHVPELREGMALGLMFSFANLADSIKKLNVHDNNAVFEDKMKGISLLEADGFDVRHLRSRLETLLSLKNSWSKIQDMMEQSDRKIAQEETDDQKLCTEVSMLSMVLRQFETHAHLFRCMKQHAISQKMSHAVEKSRLRVDASELKRSSLSTEQQFSSVVGAPW >OB11G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6750497:6750792:-1 gene:OB11G18190 transcript:OB11G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHWSDEDCVRILAQCRRAIPARGGGGKVIIIDIVVGSASGGPMLESQLLMDVAVMLVTKGRDRDEDDWLSIFTRAGFSDYKIKLGPRCVFEVYP >OB11G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6751575:6752478:-1 gene:OB11G18200 transcript:OB11G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGERTVSPRCPSSLMDGRGPAGAVGPKPADVGLTRRKPFYTSKGGLPSSSALPPSPFEHKHGVTPLEGMGELDPDSAVLFHEGLEVYDGSGFAAVLRECGGDVFAGVESLTDCGGGEGHH >OB11G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6754983:6755364:-1 gene:OB11G18210 transcript:OB11G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFEDWHEEFTVLPLHKFWVSQPTPSLNGEDDDVVYLMASPKCFLPKAWALALHMRNTVLLDVAEFGTETGVTYLPSTISNYMSLPANFVML >OB11G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6757010:6758273:-1 gene:OB11G18220 transcript:OB11G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLRVLALAALLLLAVVTVAVAQADPKPGKGSPEEKGKLEKETPEPKPAEEEKPEPQKPEEEKKPEEEEKKEPKPEKGKPEEGETREPEPEKEKKKKKKPMKVKCQENRKLYPYCSGRMMECPATCPSSCYVDCESCKPVCVCNVPGACGDPRFIGGDGNAFYFHGRKDADFCLVSDRDLHINAHFIGKRRADGMSSRDFTWIQAIAVLFDGHRLYVGARKTATWDDDVDRLELILDGEPVPLPKEMEATWTSAALPALSVTRTKAANGVLVVLDGQFKVRTNAVPITAEDSRVHRYGMTADDCLAHLDLAFKFDALTGDVHGVVGQTYRSDYVNKFDVRASMPTMGGGHSFATSGLFAADCAMSRFGQRRDDNDGAAAVALAA >OB11G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6771060:6776106:-1 gene:OB11G18230 transcript:OB11G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPRTCQPPFVVLLASFGCHGEWLTGVVVRQARVAVAAEEVERGAAGAMGSEEAGGEGGGGEDDRGGDGGACQVPHCVWCRQVLGLLALSPGAGMLAIEDRLEAGATYLVLPIDRLPQGRDVITVTSLVALNYDTARGSAFGGNGDIDEEPPCSAGIGKVLKSPRRGRPKNQPSPPLKQEEKDEGNDEHVRYDCAFQDEEDHAFAPPVLVWGKVRSHPWWPGQVFDAADASELALKYKRADAPLVAYFWDKTFAWSDASTLLPFCSNFTRLASQSTMSGFVSAVDAALQEVGQRVEIGLSCTCFGSGIGKRQEIQNSGIREGAYGAVVDGAYMRDTFRGRPFLDYILALGMNPLAGADRLELTTAKAQLRAFNCSRGTRHLPEFVIFEGIEDVSVVTPHTKRRRMKGSGGDDVVDTKKKPRRGENSSLKKKALALSEAAKNEVVDKEGSVPSIGATDDTSSKTNKSKNKKSATKKNKNTSKDANVLETVGPGKRLSKKAVDKMLSESKLVHTPRSTRMKRGTPMALKGRGKDGGADSLKGDEKNTAFLKQNKLGRRAGSAHRKDKIIWDGDGHEDGSANVSVSPGKKRSGHGKTVATKEPISEQGRKKKKLSELMAVADKPDSSSGDKSKARSKHFTHASDEKLEDPDHALKDTMNTRKRKKHASVEKLEDPARDLKDTMKTRKRKKLDTLADLSSQPQRASRKSTTKVGKLMHKAAGQMSQTPPVLKANGAVSQKKSRRTKVRKANSGEKSVHPLKVNKGKTDALTENSLHCPEILSQLSLAAFNLKKREKFATASMNFFTDFRKYSYASRLDVEQEIYNKIDNVDEDMPEKAACTELTPSEEPLADHMQDDYWADILISVEEPLSSLKKKKDKGVSRTSKKAQHVKKSAMKSPISLGNVGEPTVEPRQDLENREQPKVETQPSIANGAKVSSEEVENSSLAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVIFKRRMDAEAAFAGAGKISALGPALVSFRLSDFPATTSGNDPRQGASKGE >OB11G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6807816:6809779:-1 gene:OB11G18240 transcript:OB11G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAPSMVAPTDDELLLAQADLWRHSLYYVTSKGFQCAIKLGIPTAIHRAGGASSLRAAAGGGPPGPPQPLLRHVQGVPVRHQARHTHRHPPRRGRLVASRPRRRAVPPAGQAPVLPPPHAAAGLHGRLRHRRDHRGRRGVFRLTPLSWLLVEGAAPMVDSHPCQVPVALAATSRHCVEAAMGLAEWFRKEAAPSAPAPPSPFEEAHGAALFEESMAELDPESDAMFNEGLAAHDHSGFATVLRECSGVFQGLQSLTAGRGGDGTATRAIVEAFPGIKCTVLDLPRVIGEKRADGAVSYVPGDMFLSIPPAQAVMLKLVLHHYNDEDCVKILAQCKKAVPSREAGGKVIIVDIAIGAPAGPLLEAQLLMDVAMMVVTKGRQRDEDDWRVLFGKAGFSDYKIVKKLGARAVFEAYPYQVLPNTTLICGFCL >OB11G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6817620:6817811:-1 gene:OB11G18250 transcript:OB11G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPVPSVLLAPTDDELLQAQADLWRHSLYYLTSMTLRCAAMLGVPTAIHRLGSSSTFIYE >OB11G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6836279:6839267:1 gene:OB11G18260 transcript:OB11G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYDRWIWHGEGVEVTSDAIEINEDEHEEQEAIEHMFVSSPLGGERFNVDRNALDAMLRDVEQKEYNERDYEKFTRLVSHAETSVYPECKTKYTTFSTILELMKLKASNGWKTSSIDGKKSKKGGPAKVFSFLPIVGRVQRVFANSKEAKLVRWHDVDWTKDSMLRHPVDSVQWRNINNIFLDRLEDPRNIRFGLSTDGPKHPGNDIDVFLQPLVDDLHVLWGGVDTWDVYGEENFQVHVILFNTINDWPSLGNLSGQTIIGKCACSECMEETHSWWFKHSRKMVYMDHRRFFRWNPPYRSMTKPFNGKKELREAPRSLSGDEVYNKVEDIENLFGKRNKTSKRWVQCTEGRVNEDTGKVYLPPSCYTLSDLERKSFLDCISGIKFDMSDNQDITTSAGTSGTTRGRPTSRNRLLESKLTITVVDLNGNPTQRPNIASKFDSSCGVVPQECIGILHKSFKEVSDEEKELAWEKLKEKIDYSPVARVDPPIDPTGEYPQLTTEVWKEFLTLKNSLEFVNISDDHKRLQARTYIHTD >OB11G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6848074:6849704:-1 gene:OB11G18270 transcript:OB11G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGDEGERDMAATAGWEDLVAATTEETGGGDDAKKMAAARREEERKGSGDKGEAIGGEERRGGVTRTAPGHNERRRSSAVARGDRERGAKGSDDAGRRGFKGWGGGGVREMRPTVAAFTAHPLAGTGGAAADACNNWQHGLGAVWPARAEEALQLAMTAPHHRHSRRWTEPHFNLQNNLLLTKALTLALVGEKLSRAEYKPPYSTSNTQERENK >OB11G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6852810:6854088:1 gene:OB11G18280 transcript:OB11G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLEEERARNWARARAKQNLDDTLMFPNQVDVDVFRQMQLFAVSDQSSQSEVELARLPHVDVSPTGLSSSCVSTGMDAQDRVVPSAVNHMNEDTAPCMLQDRVTAKFSSDAAEGLVFNPLETIRVHGAQLLDGHAKVQVDRVLDGWVTFPLEHPPNDEILTLGAAKGTYIQWPKCDIIIRMKPKAPPIPQPKDSMPPPNEPNVEVFIGQSLTNPHFVSGLALEVEDVLPIFPPIKTVVRASSSPSMMYQKKDTKGRRRGKGSEKPALAKKLDMGKRLIPSGVSNKGKAKHFLLGWQLVDDLTLKSVG >OB11G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6861237:6864888:-1 gene:OB11G18290 transcript:OB11G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAHTIEVPTDAELLQAQADLWRHSLYYLTSMGLRCAIKLGIPTAIHRHGGAASVPSLMSKLSLPASKQPFLRRLMRVLVTNGVFAADHGDGGAEVERYRLTPLSRILVDGAVADEHHSQTSFVLAATSRHYLEAALGLDEWFRKDVAPPPPSPFEDVHGAALFDESTPLLDPELDAVVNEGLAAHDNLGIGTILRECRDLFAGLRSLTDCCGGDGTTARAIVKAFPHVKCTVLDLPKVVDKAPKDDVGVTYVAGDLFHTVPPAQAVMLKLVLHHWSDDDCVKILTQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDERDWRDLFMRAGFSHYKVVKMLGARGVLEVYP >OB11G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6912313:6913980:-1 gene:OB11G18300 transcript:OB11G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTQTIEVPSDAELMQAQADLWRHSLYYLTSMGLRCAVELGIPTTIHRLGGAASVSDLMAALSLPENKLPFFRRLMRVLVTGGVFAADGSGDAERFGLTPLSRILVDGVVADEHHSQRCFVLGPTCRHSVEAAFNLAEWFKKELASPVPSPFEDLHGARLFEESTPLLDPEMDAVVNEARAAHDNLGIGTLLRECRDLFKGVNSLTDCCGRHGETARAIVKAFPHINCTVFDLPWLVNQAPRDGVVNYVAGDAFHSNVPPAQAVMLKLVLHHLSDDDCVKILGQCRKAVPSQKEGGKVIVIDILVDPSLGPVMFEAQLMMDMLMMVNTRGRQRDENEWHHLFTKAGFSDYKIAKKIGARAVFEVFP >OB11G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6969776:6974437:-1 gene:OB11G18310 transcript:OB11G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTTLVTALLSLTVPAVLIYLLAERLRRCRRSPYNLPPGDLGVPVVGHTLSLLDALRRNADLEWFRARVRRYGQVSRMSVLGSPTVLLAGPAANRFVGGGGGLVLTQTSALRALVGRSVLTFAGDELRQVRGALQAYLRAEMVRRYVCKMDGEVRRHIDVSWVMPLARDLSFGIICSVVFGEHASLVRDALGEDFVALGKAVMSFPVRLPFTRFSKGMDASARIRRAITAMFRKREEASSLLPQGAAAAPSSDGTGDTDFITYMLKLRSQGGHSLSLEDIVDNAMGLVIGAHGTTSVLIAFMTRYLANQPHVLDKVTREQDEIAANKGPDDALTWNDVARMKYTWRVAMETQRIVPPVFGSFRTAVRDLEYQGYHIPKGWKVFAAQSITHMDGNFFHEPTKFDPCRFEKFVPPYCFMPFGGGPRMCPGNEFARVETLVAMHYLVRKFRWKLCCTEEAYIRDPKPAPVNGLPIELEPRRI >OB11G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6982836:6983139:-1 gene:OB11G18320 transcript:OB11G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPDGHMSLIEIFEFVRDVDFYPNVSIAYRILFTIPVTVASAERSFSKLKLLKNYLRSGMSQERLNGLATLCIEKGMLDQIDMNTVINDLKSKNVRRNHF >OB11G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:6985597:6986172:1 gene:OB11G18330 transcript:OB11G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N7P7] MATSISSIFLLSSAVLLAAAAYFFHGGTPATAGTEHLHFYMHDEYTGPRPTAALIVAGRRPTAANATAVGGDVTTTTERRRFGDIAVMNNALTEGPERGSARVGTAQGFTVRVAERGAVNALSLHLVMEAGEYGSSSLAGNGRGDTDADVRESVVVGGTGRFRSARGYALSRSYDYDLEKGGVVEIDVYLH >OB11G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7019319:7024175:1 gene:OB11G18340 transcript:OB11G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLFKPRRCPTIAAAATSSISKDGDCAAFLPWLRSKAGTCISSVLSLGTSAFGRSLFASEPIQEGDCLMQVPYHVQLTQDKLPQQIRTLLAHGIGDTAKLAVLLIMEQHLELESRWAPYIKSLPTKDQMHNMMLWDPNELNIVKNSSIYDEAIEKKEQARKEFSALKPVFDHFAHLCGEVNLGDFMYASALDFLNHDGVSGSVLLYDEQKDVCEIVADRNYAVGEQVMLRYGKYSNATLALNFGFTLSINRYDQALIRIDMPVKDPLYKYKLDIWQKHSLPISEDMYSSSDATCFVIKY >OB11G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7027994:7042036:1 gene:OB11G18350 transcript:OB11G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAATDACPSPKLHTRLRLWEFADRYIFEPVDGLADLFLSVDRTNGSMKLVEELPPRSPATNPKVRIVFGVVGVLKLAVRSYFLVITDRDCVGSYLGHAIFRLTGLKVLPCNKSVNASAEQKKMETEFSELLDAAERTIGLYFSYDVNLTLTSQRLHDLGDEFKSLPLWRQAEQRFLWNSFLLEPLIENKLHQYLLPVIQGSFQSIHAEVGSEKVHVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHGYFLSNSKSEKMKGQTGTVRTNCVDCLDRTNVTQNMIGRKILESQLQQIGVLVDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMATPTKGLLENCRSFRLVFALLLAAMVFMIMSLKKARNDVFHLVLSLLWSGFCFGIMRYVKTNGRKFTNRPRFHLSRH >OB11G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7092306:7095133:1 gene:OB11G18360 transcript:OB11G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSENPGSLTRTNHKPKWWFGSVATWFNVGQRVDPVAVWCNAGQRNWCKFLGVTFNLDKAELHAPKAGNNNNANGKRKRAAFRDEKVILLSNTTGAINNVTTAIRETIPKVVHPELYHVVMDTPGFTQEALIVAFSHLLDNKAQSQGFVGMTEEHRVLWLRTYLSKNYYN >OB11G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7100025:7102615:1 gene:OB11G18370 transcript:OB11G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERLRQRRIPAFGEWNYDHDGHGGGCYGYGYRDGDWPVTQYFDSAMQAGGMVMSFPPSPKPAKKAVKWFDSGALGEVDEKQKQRQHKVVVVSAGEHGAAVKQGKQSRVADAGAHAASTGRSKACKPPPAGVKAGDRDLYDIPPDMLCRKPRKRVTRSLWMGCLGLGCVA >OB11G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7102709:7102918:1 gene:OB11G18380 transcript:OB11G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIMIDACCHHGRTAQTFAFFSLTCHLSRPLINCSSVFGWCLCAFSTTSSSRWVGVVKRDGHGRRPAT >OB11G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7117747:7123362:-1 gene:OB11G18390 transcript:OB11G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLTAAERRAMEGATAPVKGEGGRRREEEAPGRIAGSGAGNVACLFTRQGRKGTNQDAMVAWENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPSRLCDLIYEDYGDSPTSNSDVSTLEENLSPYADAECRSPTLAGHKEHQEFYNAMKESFRKAFKNVDKELKLQRNIDCICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLIAHQLTVDLKPDHPREARRIRRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVAYRRITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGRFTAARSVVDLANETWRFKYPTSKTDDCAVVCLFLNKYDVASGLSGHPGYNPRIPALSGNTQPNSKRVTPVDADDGSDSNVSGDERSLDGFTRLNTLVALPKFGDTSPTKK >OB11G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7123181:7124199:1 gene:OB11G18400 transcript:OB11G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLVPFLPCRVNRQATFPAPLPAILPGASSSLLLPPSPFTGAVAPSMARRSAAVRHDPIXXXXXXXXXXXXXXXXXXXXXXXAAATAAAVTAAVLAPLRHGPTWKEVPAPQCVPEIFDPSTPPNPSLPQDSISGSA >OB11G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7136167:7136503:1 gene:OB11G18410 transcript:OB11G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMASPPPAGFFSFLKHGVFVPARGAGVFLLLFTLTAALAGALLLANSLVMQPRAVDVLLDANALIRANPAPAAYPKLVRKFHHDPPRLLVDAACCVAAVVVLGSAMKIATV >OB11G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7142896:7144809:-1 gene:OB11G18420 transcript:OB11G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSVSPSAAPAVDVVAAAPGVQRWMGGSAENAVAVMGSAGEADTAWCKVEILGHPLHEQLLSAHVARLRITIPWYQSLVVMARDKSPVGTPQGGGSSDGGSGVHGGAVVAARKVVSMHYPILSDTNYGLWAVKMKLILHHLSAWVAVTGEGSSASEEKDTEALVAISQAVPDAAMMAIADKDTAKEVWEAIEKMNISEDRVKKARLQALKRRFDRLYMEDSETIAEFSPKLTALVGEMRSLDGKVKDSVVVEKLFSAVPDKFLQIVGTIEQWGDVSKMSMTEIGICCSLLRWESLSLKGISLKGKKNHNRGGGHGKAGGYHSGGHGRDNSGDGGGRGRDNNGDDDASDDSNDDERKLNRKKMKCYNRGIRGHLAKDCRKPRRSRHYSPKPTTSQRFCRSERAGSGLGNDC >OB11G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7144589:7144987:1 gene:OB11G18430 transcript:OB11G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRRRATCADSSCSCSGCPSISTLHHAVSASPALPITATAFSALPPIHRCTPGAAATTSTAGAADGDTDESDIVCRCTKLPSLYLIHARAPLAPPPPIPPAAAVAAAASSVCDHLPGHLVQEVSVERRFWI >OB11G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7150355:7158788:1 gene:OB11G18440 transcript:OB11G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAGELVVLLLAIAATETTRADEEVPRLFLQEIASDYDDGVGGTAGDKGAKTIAGSTIVAGVMNDRLKALTSSFAKALGDKLDYCIKDTDTEWNAAFNFSKDTTFLTNCMKQTDGDLQQRVCTAAEMKFYFNSLLDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACSVGKEQKINLQDSKDIPLRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPAGNPNHTCGGADNWADVGSTDDIFCPPGFYCPSTTQKLPCSSGFYCRKGSTTPTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGVGLQSQLSRTFSRKKAVQTPKGGSGGLPSNGADAAGKKNLTDMMQSLDDNPDNDEGFNLDIGDKNLKKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPAMSAGVSVKDLPLRWMLHNGYDVPRDMLQSFSDSESSSFRGSTDPASGDASVAAEVWGNVKDIVGQKKDEYDYNKTTQDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFLSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVIANAQNYSGVWLITRCGSLVKSGYDISDKALCIVVLIANGLVFRCVAFFCMVTFQHH >OB11G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7162661:7162840:-1 gene:OB11G18450 transcript:OB11G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRINPMLLWPGRMDMHVYMGYCGWDTFKTLVHSYFVTTTTNIVFYDDFLVTFELFVIM >OB11G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7169199:7176970:1 gene:OB11G18460 transcript:OB11G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPYSVLDCAAQREAVLPSVLYIQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITEFFKEYLKENTLDDLIALLKKGKMEDNLLEFFPSTKRTSEALSEHFTKEGLTSLVDYNEKKMFEVKLKEIKSTLTTMINEEAEISEVTEAVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCTSGKLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >OB11G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7182030:7183179:1 gene:OB11G18470 transcript:OB11G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIADRASVFAVLLIVASALSVLATGGRELAAQEKIEKGHPTGAYGDHGATSSARNLMVKTNDYGRYDPSPAFSKPRFKLIPN >OB11G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7196858:7197010:1 gene:OB11G18480 transcript:OB11G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLHETCQKKKNHKKPVPKVKAELQMNKSSDPSESNDLNHCQMRFLYI >OB11G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7197240:7197410:1 gene:OB11G18490 transcript:OB11G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFLAAFFLAVLQDCFRPPILSGDRMVGITLTSNNARDVKERNKSNITLAIDKH >OB11G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7198138:7199604:-1 gene:OB11G18500 transcript:OB11G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40470) TAIR;Acc:AT5G40470] MDTALCDDLLQEVFRLLPPAAAPAVSLVSRRWYALLRASITSLTLRLPVSSDASVVAPLAALLSRFPFLSALAVVSTAATDQVADAILLLVASSPSAARLSGLRFLPDSAISPAALIAASPAFYGLTSLHLTALRPLSFRWIALLPRLKSFYLVNSAAAATAVDSAGWSSDDVDGNGETVGPLPLEKLSLCGIRSGDRGLGWLWRRCGNLQWLQLRACDGTGDGPSSQFLAGCLAHLLALELRACRSVSDRVLLLAADRCRELKSLLVYDGGSREALHRFIHQRGAALRTLDLRLPLDLHNDHLLAIGAEQDQQNQNATHRLGALRLQSCVLITGDGLRSLARTATGAGIEELALVNCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLTDKEVGAMLSSCHNLIDIRLRGCRCLTRASLLSLLRYRGRSMEVIDITHCLSISTADVELFAQEATRLIQMIIEESLVSEELRAIARKKGISVGSLPCD >OB11G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7205998:7208559:-1 gene:OB11G18510 transcript:OB11G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G32800) TAIR;Acc:AT2G32800] MSLPHLCFVLPMDADEVVLAAAPGEGSPRGMRGRSKLASYVRGNVGRALRWLRCSFCGCGCGAEYRCHDSSGVGRFEDIAGVYDFDARKLGGGAAGSPRIFSYSELYIGTNGFSDKEILGSGGFGRVYRAVLPSDGTTVAVKCVAGRGDRFEKSFLAELLAVARLRHRNLVRLRGWCVQDEEEMLLVYDYMPNRSLDRHLFGPAATASSPALSWERRRCIVSGLAAALFYLHEQLETQIVHRDVKTSNVMLDSEYNARLGDFGLARWIEHAMSGEDAPQMEVSPSPHSVRSSSFASANYQFRLMDTSRIGGTIGYLPPESFQRRAMATVKSDVFSFGIVLLEVVTGRRAVDLAYPDDQIFMLDWVRRLSDQEKLIDARDRKLPDGSYPLSDMGRLIHLGLLCSLHDPRSRPSMKWVVENLSGNCPGDLPPLPPFLALPKYVSLTSSSDSGTTTNGTDSTVTSMSKLYCTAEGTTIYLTAENGGSHHRSTGLFDNSGSSSHRSRRPVVIPSFDTPREISYKDIVAITNNFSESQMVAELDFGTGYEGFLDIGYGTRRLHVLVKRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDYSPGNLLSHQLIRRDGAAVLSWRHRYNILKALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRNPRLGSFALAEFLSRNESHGGGHHRVALSTTSARGIFGYMSPEYMETGEANAMADVYSFGVVVLEVVTGKMAVDVRSPEVLLVRKVQLWKEQSRPVEAIVDRRLDGQVDRQELERLVRLGIACTQSDPSGRPSMRKIVSIMDGNDEVLMKFEHRKQSKEEWESRNAAALSLVRRLHALAIH >OB11G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7208819:7209028:1 gene:OB11G18520 transcript:OB11G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLANVLANNYSVCLIHLDFVDDVARGIKVGGGRWYVIYRASVDFGFGFGQQLMLCFQKDFGLRKQPL >OB11G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7254515:7257656:-1 gene:OB11G18530 transcript:OB11G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWLSSSSCTSSLGSLDEDVFAVSCAVNTANAAAEGSVKFLCSYGGKILPRHGDGALRYVGGDNRVVSVDRSLPFYELQRKLREMCGWEAVCVRCQLPTEDLDALISVTTDDDLTNLLDEYDAASRDRLQPLKIRAFLFPRTTTTPPPLSRSPPPFSRSSSLSRTTVPHAHYHHHTRSAPSCASRWAAHQASSPPARVLQQQQNYDLHGGEMRTHRYLVHSANQR >OB11G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7257908:7258225:1 gene:OB11G18540 transcript:OB11G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPSSLAFRFPSYLVSLSLSLFFFFSNLFAFCALVCSPPCHHIVKDKHSASHGGPRISHYNKHSHIGWASVLLIRPRDNHKDDYITYYVDIFIMYYVSKVYNLL >OB11G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7272343:7274463:-1 gene:OB11G18550 transcript:OB11G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLFGVDPHYSQVPALHHASHSDVHDFIHERQQLSAFLQHQLARAQLRMKNQVDKGHSNRSFSIGDSVFIKLQPYAQSSVVNRPYPKLLYKFYGPFVILEKIGAVAYRLDLPDSSLTHPVFHVLNSKSTYLIILLYLSPYLRLLFWMLRMWCLKEHIPDHTPVFDHRLVKKEAILDHRLVKKDSSDRRNYPVTSYTKFYLGLIRATRMCITFKNFIIQAGTDTPLVPTDMATANSAHGGEAEHRCLVAG >OB11G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7282502:7293057:-1 gene:OB11G18560 transcript:OB11G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIAQALGERTLKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAYVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFITVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRAIYGQLCQDDMPMVRRAAASNLGKFAGTVEQNYLKAEIMVIFDDLTQDDQDSVRLLAVEGCATLGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREHLVPAYARLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLLIFLALLKDEFPDVRLNIISKLDQVNHVIGIDLLSQSLLQAIVELAKDRHWRVRLAIIEYIHLLSSQLGVGFFDDELGALCMQWLEDKVFSIRDASANNLKRLAEGFGPEWATQHIIPQVLEKINNPHYLYRMTILRAISFLAPVMGAEITWQKLLPVVIKSSKDRVPNIKCNVAKVLQSLIPTLDQSVSTSSVFVMIPFLCSATLRLQVIGEYYEYLLCRSWIRP >OB11G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7327215:7327433:-1 gene:OB11G18570 transcript:OB11G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMSSFSCICNAFEIQWVSALAVLTLLYSLVPTSVTSCIPRAVLQVTTMRTLFYFAHVVPYRFYSCCFRR >OB11G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7330112:7331696:-1 gene:OB11G18580 transcript:OB11G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIMKNDKITAIVWSCSAETSLHSFGNFILTIRLLLRFLLLQINSIFREFRLDTSSSPFLPSLELDTPPQSPSALPSPPTGCASTASYKRDLFCFWRVNAASVPAVKLPVPWEKSARSCI >OB11G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7340605:7345127:-1 gene:OB11G18590 transcript:OB11G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYSTCTEIYLNQTWIRSSSKYGFFLSVILEVMDTYQQEIDIWCWLNPSTGPIYSSQGFCSVWPGFCYYPLPSCEPNLKHITGVWKLAHVVHDNKSTNYNRLVSVRVLVNNLVLRELAYFDVHNFVPMLLEDIKWLLGCHLLSCISRFLPWLWRGVLMATGCSPTIIAFIKYWGKRDEALILSINDSISVTLDPDHLSATMSGPQLRALNSLLQNLEASSGYSKSSEKLKSR >OB11G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7346768:7347469:-1 gene:OB11G18600 transcript:OB11G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWISNQFKDTSGLVAHANILKLEVSIEEIAENGKKWMTEEAFKKYIEGKSDLAGDTSVALNFATDALVWKTIKFPHYNFNGKMKKHNSDDCTDTMYLAEVKMIFRRKYYFCCPLEPLENDIDSFQRNQILEHMSVYEVLM >OB11G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7359817:7360494:-1 gene:OB11G18610 transcript:OB11G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFISPAGQTLQAIWMAKSFPHWSNLASLLPLLFTCQIWAPPSSFLSSLTMHRHSNNNGKPAVGGGRVARGSRRRAHGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARHHLERRSAAAARRLGRRQPRHLPATGWWLAGWRERGERKREGERRGRRVVRG >OB11G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7378244:7378468:-1 gene:OB11G18620 transcript:OB11G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLHIAEPKLRQLKIEVWDSYIYHQSTYMCTLVFLIEYQLDIYPCSYYEQIGLNLAMFWKPLFAICVSVHYY >OB11G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7380084:7380585:-1 gene:OB11G18630 transcript:OB11G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVAGKRPQRGRCNCFHGSNYEEGQAGGALENLKGFVQNASVVERNLLAGTSRIAERCGKKCKARADYRRMVADGLRPLGYHGGVCR >OB11G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7388598:7388861:1 gene:OB11G18640 transcript:OB11G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVTRRRAGWPVAGGPAMGGADPVAERSLPLSPSSSTSTAADSRCSRRRPAPSTPTAAQPHLCGGVNAVVSVDYHLAPKHRFPAA >OB11G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7390491:7391333:-1 gene:OB11G18650 transcript:OB11G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNGATLVYVGGGDRSRFCLVQCLSVDDRQEGIWKESMPKCRRHLLWITTFSPKYDNHGDPRVAKCHHVGSYRLHDIARVYYDQLERLVAFCI >OB11G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7391386:7391580:-1 gene:OB11G18660 transcript:OB11G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSMAKFSFDLESSRWTRHGTWNVSFKGQGFFDRDLDAWVGLSSNPDRLGHLCACDVFIGRHQ >OB11G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7409790:7414398:1 gene:OB11G18670 transcript:OB11G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGLLDEADQPHWVNPMDEMPRARRKLVCLFEVGVDLCAFSSCMQALHAGAAAELRRVVFAVGGGFLGEVGIVSVGGGANEHYNPHDDLYLCLFLQQDGIGNSRYFLLDWSKSHVMGFYWLPEEFHKKMDQIRGNFYWQGIGISRYFLLDWSKSYVMGFYWLPEEFHKKMDQIRGNFYWQGVGKKKKYHLIKWQALCRSKDYGGLDFLDTRIMNICPLRLMLHWFGQFRNLVISGFRVEGWKSDSSTRVMFVIVPRAGAWGDATGRLVEVSCSYRGMETRRTTSVADDEEAVVHRPSWAEASVAGRSMEVDRELVWVEVIDDIALAWANANRSCRRAVAREREKREERKGRKEREK >OB11G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7411160:7411525:-1 gene:OB11G18680 transcript:OB11G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILLQEEAQIQIIMGVVVLVSTSSNRDYANCNIDDMKAAAKHPQPQETWWKRRQQRPCSLSPYTSATCFESSKNKQQQQYQSRPKKRGEKVTKITITLSSRWLGARTLPKKTTTNSKNN >OB11G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7414794:7420696:1 gene:OB11G18690 transcript:OB11G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSSSDRHRDKEKDRRDTHRREDKDHHSSGRRDRDKDREKDKDDRREKDKDDRREKERDSERGRGGRDRDRGKDRDRGEPERDKERERKDRDKEKSRSSRDKSKDEREDNKDREKSSRGKDRGGDDVGDLSKVDDGNQKKRVDAPVEAEKPSTVELRERISRSREERLNDKKQEGILDDNDDADEILSWVGKSRKLDEKREAEKEKALRRARALEEQDNILAENDEDDDDEEQQEDNRVGEHLSGVKVLHGLDKVMEGGAVVMTLKDQSILADGDINQEVDMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPFSKKPILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGHVQKKTEDLTSAAKMASDYYTQAEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGVGDLGSRNDAKRQSAREEEQKADAEKRNYGYQAAIAKAEEASKALRQEKNVSGKQDEPEKLVFGDDYEDLQKSLEQARKLALKKQEETAASGPLAVAELATARLGQKDADAAEGEGQQNKVVITEMEEFVWGLQLNEETRKPEAEGVFMDEDDDMILTDTVAKDDMNGLAVVKEETNIEGPVKDEEEEEVKPDDIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >OB11G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7441605:7441799:1 gene:OB11G18700 transcript:OB11G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVPGNTTNAVATQERLDLAIKTPNKCLNELEHCMQSLFGQGKESGAHRTGRNKANGSRTRLR >OB11G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7468931:7470405:1 gene:OB11G18710 transcript:OB11G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWPGPLGYELEDEYLNPSVVDYYQEQLESDIIGFQALFPSMIRGFMLAILSFVPCASRLPLLTSCFCFRHMFSVRLLVWGLSTTMTTPSPATSAFLLRSSWGNTSGPLYIASTFFSALFLVALDYAVIAAPLPATSALLLRSS >OB11G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7482470:7482676:-1 gene:OB11G18720 transcript:OB11G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSPQTYPLLISACNRQRLPKVWVGFTYTFVLTHLLLLFLDINAGNEMPSDEYFAPGTTGFEDGSF >OB11G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7500143:7500337:-1 gene:OB11G18730 transcript:OB11G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQDDGNAAREMETGAGGGRIREERGADDEGTGRLIWAESVARARGGSSGTPVTALAAGVGNG >OB11G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7500520:7505541:1 gene:OB11G18740 transcript:OB11G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDAAKEYAAGCAAGIAQVAVGHPFDTVKVKLQAHNTTAHGKVYRNAFHCTRRTLVEEGIRGLYKGGSSSFIGIALESSLFFGTYSQAKQLLKGKSEDSRPQLQVIIPSAACSGALISCILTPTELTKCRMQVQGKDAMHAARYSSPLDCAVKTLQSEGVCGLFRGGLATLFREAVGNAVFFCTYEYSRYWMHNFLDSPWFSSGNHLVLAKDVGIGIMSGGMSGMAFWTATLPLDVAKTIIQTDPDPHLSRNPFQILSMVYRRAGMGGCYAGLGPTLARAFPANAAAIVAWEYSAKILGIRRD >OB11G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7507773:7508342:1 gene:OB11G18750 transcript:OB11G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTRMNKNLIKWTFWWHLCGGGKKKRADGNIFLQWVGMVAWNLLMDALAVEGAAPCRGVQWSGDCPGAAACPGRYQQLSGTSTAFRMLKFLCAKLGLALAECIGPP >OB11G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7514145:7514717:-1 gene:OB11G18760 transcript:OB11G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPVGSGGDLAREKEGKESNGCGDSDDYLDFGGWESVVANSEEMASAARMEPTASSRWRRQFRRRWHLQVRGEDEGRQGLCFLCGWRLEKRDEEEVWRETNVVARRSGGEVMTTEQYDFQSVWLRRGGGGGGRTTATRGRQRGSSSCVVEAAARQGDKDGNDNAAIGCGCSCLLCLAGNRGKELEVV >OB11G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7521107:7522547:1 gene:OB11G18770 transcript:OB11G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETCNLACPYSTYQGKGKRDGVWTGNHGVTKASTTSGFTKAQVGTARLGVKEGVKPEVRCVASLQRTSAFAAAVVSNFGRSSVKSEESSSATGEESDIGNFKRRSQDEDGVDQESSFVSSAVAAAFEP >OB11G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7529706:7530059:-1 gene:OB11G18780 transcript:OB11G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAREKKLAAASSEAGAARGSGATCAGKGRTGKRRPAVALVGSGGDWARKSEGEEAHSQGEGDGCLDLEEETTRPTNFEKRCRRLHCDRRRHGVIDGNSGGARFAGSRGRRRED >OB11G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7530126:7530326:1 gene:OB11G18790 transcript:OB11G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLVAVVIPSSGHCFSWVSRPVGHIMPRLSTSFHYLDTGGPCPPLLCAHVIAREEDEQCQTDTVPI >OB11G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7537379:7542949:-1 gene:OB11G18800 transcript:OB11G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3N7U4] MSVRLACLLLCCRILAAADRTNVATLPGLDGALPSRLETGYVRRRPSVILPPYVTVDEEHGAELFYYFVESEGDPGTDPVLLWLNGGNRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVTSIIFVDSPVGAGFSFSRDPGGYNVGDVSSTLQLVKFVNKWFVEHPEFLANPLYVGGESYAGKLVPFLVQKISEDTEAGVKPVPNLKGYLVGNPSTGESIDHDTVVPYAHGVGIISDQLYELSPSCMHFDRRNQDDIGELRERGLCQSQERNLRSSNEQLIGEVWGAHILYKNCIDASPKPDDATAGRKILKEEIVVQNRLPPRPAMDCQTYPNYLSYFWANSNITRETLRIKKGTVDEWVRCDDDGLLPYSGDLGSINKRLFIQLNYYFSFMYSGDHDSIVPFLGTQAWVRSLNYPILDDWRAWHTDGQSAGFTVTYANSLTFATIKGGGHTAPEYQPERCLAMFGRWISEKPL >OB11G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7549295:7550007:-1 gene:OB11G18810 transcript:OB11G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGACARVSRRSFKAMKRKLLATVSCHEASRRSRWSMVPLTFEQSDHPMSVTSSAHLALVTSPTICNVNVGRILIDDSAALNLLSPKAFETIKATGMHLEPSLPIIRAGVGEIHGGHQLHLPLAKDAGSIRADTLGAATAIESTDHGPEAIAPRAPKKRITSGDEVPIKLIQLGDDPSKTAKIGGNLNDK >OB11G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7551872:7553229:-1 gene:OB11G18820 transcript:OB11G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLENRIPPSPREPTENVVVEDVSNDIDVAGEGALKVLAPPNEKYDGSVNLAEFFQMYSTIIEAAGGDDRVMANFFPMVLKGQACAWLMNLPCTPWRTCASSSSRTSKACSTARGRRRNYTRCSDGTTIPFASTSSSSARYGTTSREFLLMQ >OB11G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7559903:7562110:1 gene:OB11G18830 transcript:OB11G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGLAHILEICYVGPEKNACTWLAYPKSQDFDVPSPPPSPPRLLKRKKKLTRATKKKVKTLGVAIPVTTSSAELDATIDAAADEGSEEAIKQKVISHLLPFQPSASSDQPLTQFPVPDLAPSPATNKELPQISEALILSANLELKPQQPIVHTSLDLQNLFSFDIGRYVDPAEVNPNISQVSPLSDGVKSQLKDILPRLNFPIETLINDVGPIISRIEEIQTSACHVQKFLT >OB11G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7577704:7584166:1 gene:OB11G18840 transcript:OB11G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYKSPSSDLQENSRKHERSTKSSTTMWNTQDSFRSRNEEPQIWPTLSTTCPSGLIISDMSPKGENLLEGQGISADISSDTGKGLLEPAPYWSVNSSYHSIPVSALPPKRRCLRELLLNQASDSEVRPPVVPVASPAQVPLIGSNNGASLGRKSYYQDRSLGPINWRSARWWNNYRNRSLDDDADTAEKKNSVNSQKVGDTTARKSNRVEWGYGLAKYEREKNQGSNNLHIDGDDADGGINNKSMAATMDCAATTTASSLGSNVPPASTLTNPIEGVKESVQQKEKQSSKFSLDNSFLRNSPSSELGITSIQLEKQKEKENLDEVSIVDCVASEVCVGEKDYEATDVVAQMFDGPPALGIISPFTCFGSQVEGMGLSEMDKPLSDDSVKNISSGSEEEQDKETERTDDEQCRFMNTMNNDTFDLKSIPNYVGARSIQECSHFGTTRTHKENEKAILGGEVTNSTYDNGVFPLQSAVDSEDCSMGNAGDERPSIQDHGDTNAFLVNMSIAHDTIDDNQMKSCENNPQLCVTSIIEGNHYSSGCQDFVRSSVASEKELNPISNDNKVTAETQSPNSPQKNPELIIHSSESNGMEIHCTELSFSDKVNINFNTGLSSTTSVVDYSPCAAVPFDLNLPPIVDYIQLGTCHTEAIDFSAPTPSATNVLKEDTIKHSTQKLPENCPKPSEFQQEGQSVSIMQISTMDGSSCSQEDDIITQVQKIQHPQTNILETNKGTSKKPSFIKVFSKIIFEDSSMVVTNDSRKDENVHRQTSNVTTSMKHPNAMSANGMKLPNTMSGNVPIIPRKGNNDLIGNQQVESSKIIPSSKTRGDVASHSWTAPHNISNLHEQPIKVSNPEGSSRMSNGYYPSLSDWTRMLTSFQVLRSSGQLSKNEGGSRRNNMALLGSQPQSATNEGANDTSWEADNSGRQLIIMDADGGSTINNAMPLQEKMELTAFVTIDIRSRAIWAAMLVEG >OB11G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7590137:7590641:-1 gene:OB11G18850 transcript:OB11G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVKARTMRRRRRIPAFGEWNTTDCYHGGSSGREWPAAITPCFEFELAKPWIPPCTAEVTAMRSSGAVVGKQQRKGEARQGRRIRRVPDVGPNAASKVYYISVVDDDDLYEITPSMLPHKSMEVRR >OB11G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7626472:7631003:1 gene:OB11G18860 transcript:OB11G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSVSPSLSLPSTMSTTAFARSPAALLRPRGPSPSAVVCHAAPPKAPLPIASPASLGDDPSKWDPAECDALLRGGEQVASVLEEMLKLMEDMDMDGTFEPVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAQKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLVESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLSTLPESEVNFLSKLVSLKPGKALERMIKDVMNGKAEGADNTESGNAESDSKLERQPGISGRGSVTGIKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVHQTTLKILQEMAF >OB11G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7633295:7634485:1 gene:OB11G18870 transcript:OB11G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTLSSALSSMEVMLDALMQRGVGKPEEKPKEEAPPALPTRPTVRGRLPSVQRPGAPAPGSPPPRQPPLPPPQEEEERCAVNLELERRAMLAEEAVKHRDDVVRQKDDEIAALRQQVEHYESRLSECEARMKSVEDELQKQITTLQMAQSNAVRTGGSTARHREEPSTTSGAAGAPPAQSVGKQQARVSAAGPAAVDERQTEDTVSRLATELRRESEAFEQGARAATDPPPPPPPAKSVDELRRLKRQFGAWKKEYEARLRKAKAELKKLVRSERGASSQGSRRRCCSWKIKLPKCRLLPKCCALRLPSPPSCSFCCCFRRCC >OB11G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7658730:7666088:-1 gene:OB11G18880 transcript:OB11G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFVLNAGNAMMVRVVASKCQFEESWGTKSVDFAASLLDSDSEEDYMTGARMLVSFLEKQKLPVKLLIRSSRMRTQKLITTLGWTDPTDREMRELAATIVGHLAGDISLAQFPGALQSITSLIDPSDDPSFYDLADQHDHANGRGRCQLILHGLLIAERLTRDNDYCVLMCKDYSLLVAMCTPMSWTEMSNQGLCSMFPDLLRGSLRALASMMSNTTKIAPDKLDYIFERFPLMAITAQETCPGIQVAAIGLYTQLVYILDGQLPEDQPFTEIMVPLFLSCGGMKQGEEINTAEAKVKVMAGEALARLSSEKSHKRVRDDGTMNADQTFDGLTGLLVVPNRTVREIAAEIMENVYCCRLTRRLRITEKIQVDASREIQGEVDGLVRRLDTGVTLTDQKQNIMFCRIQKKITTVEPHRGGDSHAGRWVLVASKRYFYSGRRHVHEVSVDPMDVHTRGWEDTEGGSSSGCSGAGWTAHPTGGTTSTPAWQDTAGGSSSGQASGDFDDFEADQDVIDTSQLSETLLPT >OB11G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7666127:7668659:-1 gene:OB11G18890 transcript:OB11G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPKPIRVRLPPHHWLPYHSWLQIEDVTYNLVTLTRIFNVLADEQYLYFLNVLVGPIIRAFGRRKNGRFTSMWQLGWQASGEEAKKSFGKGFMFKLMTTVLVLHPLLVFYWCILVPAMRLSQQDYGQGDGDSSKANLKPAMM >OB11G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7670591:7672441:1 gene:OB11G18900 transcript:OB11G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSELDTDRGGFVNLGEFTVFHGRGHGDAEQEAELRTAFDVYDFDGDRHVTMVLQRLQPRPDYGLTFEKVPLFSNNTSAINIAKNHVQHSCRKHIDIRFHFLRDHVEKGDVELTFLDTKLQLADIFTKPLDSSRFAFLRGELGIIHPFGMV >OB11G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7695653:7696941:-1 gene:OB11G18910 transcript:OB11G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGERREERERERERERERERERERERERERERERERERERERERERERERERERQRENPCRRRRGRRLRRAKPGSRARRGFRKMTRGNQRERDRERAQARKPNAKGSQDGLTPEQRRERDKKALEEKAAKKAAQAAGGGGGGTSTDPKNKAGGAKK >OB11G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7743260:7747956:-1 gene:OB11G18920 transcript:OB11G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDHHDELGRADTREQGGLRPIPVIIGNVFGYTNEVSERIVSASVTANLIIYLTTKYHLGAATSAIIIFVYQAAANFLPVGGAIVSDALLGRYLMVTLTLFSCTIGTSMLFLTSLIPNLTPRDCASPTPLQLLVLCASLGLMALGASGVRPCCLAFAEDQIAHWDDGGGGGARKRRALRALFSWYYVSVGFAQIVAVTILVYFQDQVGWKVGFGISAAVMLCVTLVNLAASPWYVKVKPEKSVWVSLLQVAVVAAKNRNLVLPATRQGVRFHNAPGSRELVPSEKMRFLNKACVLGDHAASANDGGAASTSPWNTCTVEQVENLKSALSVIPMWSAMIMTFLIQSSSFGVLQAATMDRRIGTKGFQLPAGSVSIFEIITFTMWSGCYDRYVVPLLRRATGRRQQVLTLKQRMGTGVFLSVVSMAVASAVETYRIRNAAAARVDGGSPPGAPQAMSVLWLAPQYAIVGLAGAFSSIGQIEFYYAVLPKSMGSFVLALLFLGAGVASVAATLVVKTINVVTGRNGMQPWLSNNLDEGHYNYYYLLLAALGAIDLVYFVVCCYVFDERTLNMSLETAGDAKEMVEFQEASSEHGCGN >OB11G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7764862:7769845:-1 gene:OB11G18930 transcript:OB11G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQKKNLELAGLSFQEELRVANMLNFPRGKFPMNYLGFPISPDKISKNQFNYIPEKIEKKLSFWNCDTLSYGGRDVLINSCLSSIPIYSMGCYLLPEGIHQKLDSIRGRFYWSGIGDKRKFHMAKWRDMSFPKEFGGLGFTDTRYMNFALLSKWIFDILSVKESLCLNLLRKTYLSSHNFFSKNGVGASQFWKGLMQVKRWFWIGSSWKCATGENIRFWSDVWYGDVPFKVKYYKLFIIWSSKMF >OB11G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7770468:7771190:-1 gene:OB11G18940 transcript:OB11G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPAQPHLQGARRDGVGGSASKPKCFRCGQDGHHQAVCSNPPACQQTGHISAKCLVMAAKFSKRGVRLCGYGIPGQGFFSLKIDLPDKELAKIPVRGVLTVREGVASVVKVENELKTVFAKIKWDWKVKQLNSKDFLITFPSEEARCKVTDFKSFDFKSGDIKASVVPTWMTEEAVYELVDEWVKIHGVPKIARNEITIKEIAELVGEFVELDNSSLRKDDDKEKEDDNDKRDDIRQKR >OB11G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7773508:7775091:1 gene:OB11G18950 transcript:OB11G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGCCASSLLAVWLVVVVCSTTAAAAESHVVGDTKGWGFSVAYDGWASGKIFAAGDTLVFNYQPGVHNVVAVSAAEYRSWKVRDSADAAATAAGSARLDLKKGANYFICGLPGHCAAGMKLRVVAN >OB11G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7797574:7798093:1 gene:OB11G18960 transcript:OB11G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFRFIYCVESLVVDVKDIEWDSCFMKLNLDTKSENSHVLSLKYGRQTDTLQPPHKYVPWVVIDGRPLYEVRSMAAPIMLALPLPRQRVAPAKLALSPCHVSRDPTPAGLRGIRTAPMSLAPPY >OB11G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7801903:7802130:-1 gene:OB11G18970 transcript:OB11G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAQLHGSGGGNLNLRMHTEMSSLRRRASTGGRGGAACPASLAGDVGCRVEAELGTERVHLTSARQQFGVGA >OB11G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7824608:7826080:1 gene:OB11G18980 transcript:OB11G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT5G50300) TAIR;Acc:AT5G50300] MAYIISVNAAILTDSGGPCTVGDCTPVVVGNSTATPGPECTVGSNPGYEQCLGRAKSDLIVATAVAAMAGAVALGALADLPLGLAPGMGANAYFAYNMVGFHGSGSIPYGTALAGVMVEGIVFFALSAVGLRSRLARMIPRNIRLSTAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLAACSETDPATGACIGGTMRSPTFWLGAVGFLITATCLARDIKGAMIYGIVFVTVVSWIRGTAVTVFPDTAAGNAGFDYFKKVVDFHMIKTTAGQLSFGGLRHGNALLAVFTLLYVDVLATTGTMYSMAEYGGFTDGAGGFDGEYRAFLVDAGSTVLSAALGSTTVTTYIESTAGIREGGRTGVTAITVAACFLASLFFGPVLTSVPPWAVGPSLVLVGAMMMRVASDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYVALHCYDWTRLAWRRASRVLDERRNQIAASSTSEAGGGGIPAAAAHEV >OB11G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7837293:7842713:-1 gene:OB11G18990 transcript:OB11G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3N7W3] MARCWLLLLTCVVASVASSGHAAVVEHTFSVGNFSIRQLCKPPMVITAVNNQLPGPTIYVHEGDTVVVHVDNNSPYNVTVHWHGIFQRGTQWADGPEMVTQCPIRPGRRYTYRFSTAGQEGTLWWHAHSSLLRATVHGAVVIVPSSGDRPFPFPSPDKEEILLLGEWWNDDVVRLERDAFLTGVPVRPADAYTINGKPGDVFKCSGSNRESEMYKLKVQANSTYLLRIINAAVNTPFFFKVAAHTFTVVAADASYTTPYKTDVIVVSPGQTVDALMVAAATPSRRYYVTVSPYQTSFPPQPFRDTVATAVVEYADGGGSAGNTSPAPPRRRPVRAPTPKVHDVGTAHRFLSNLTALLRPGQPTVPLAVDTRMFVAFGLGLADCRPGQTKCRRSHAPALAGSMNNASFELPAAVPLLTAHSENISGVYTRDFPDAPPVPFDFARPPGRLAFTSKSTKVRTLRYNATVEVVLQNTALVARESHPIHLHGFNFFVLAQGFGNFEEGEGAKQYNLVNPQERNTVAVPAGGWAVIRFVANNPGMWIMHCHFDAHLPIGLAMGLRFRTDQLQIVPCHHHQGTCLTADSLIEIFSFF >OB11G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7844146:7844328:-1 gene:OB11G19000 transcript:OB11G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWAFCIWRGNYVQRRQSAYARVRTTLRMNDACPHRTIIKMTEATKLFSQQFHRQSHFL >OB11G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7846131:7846954:1 gene:OB11G19010 transcript:OB11G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTLLLVLTLILIFILPMVATILEASLIRLQTKGGCRNTQVVSSVNGGHEGDLYDECTLMRNDLMVQNYYTQVPNSSSTTQDGDFMVLPGVFTTLMHHSMAR >OB11G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7851836:7852660:1 gene:OB11G19020 transcript:OB11G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTLLLVLTLILIFILPMVATILEASLIRLQTKGGCRNTQVVSSVNGGHEGDLYDECTLMRNDLMVQNYYTQVPNSSSTTQDGDFMVLPGVFTTLMHHSMAR >OB11G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7851950:7856095:-1 gene:OB11G19030 transcript:OB11G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPILDFTIDIEEPPCIGSMAIHHLCSVESGMIGESREVDKEKTTAHTEEELNDVLSTGSDTDDISLLKKKYKSLKRKHLKCNKFIPNAKIKDGIASALDNFHEEH >OB11G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7864402:7864773:1 gene:OB11G19040 transcript:OB11G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIYIYMVPSVFVHATPLRTRLHSTPPPTPTRRSATTTAAARTPPLAPAPRLRASTAWTKKDTATQTEKTTVVASRSKKATAVVAARSKKVAATGSQEQEGHRRSSDQERHHPPEQEAHRRR >OB11G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7872067:7873330:1 gene:OB11G19050 transcript:OB11G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSLGLVVLGLLALAFSTTVMAETHVVGDSKGWDYSVSFDSWADGKVFATGDTLVFNYKPGAHNVLAVDAATYRSCKVSSPDSVAAATGTASFLLKKGANYFICGVAGHCAAGMKLRVVAN >OB11G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7879677:7879904:1 gene:OB11G19060 transcript:OB11G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRLILEFNLVMNALYNCSCPYRLLIYLGDKVKAVVPPSFHRRHLQWLCQGGGEEVGTRAGWSTISTFLLQFSW >OB11G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7881338:7881499:1 gene:OB11G19070 transcript:OB11G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLILVQDNQMRPDKQSRSSTGNRVLVLKWHKHVIYPTKTCAYLYKYLSSRNCK >OB11G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7882198:7894366:-1 gene:OB11G19080 transcript:OB11G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWFVVLLVAGFAATAADSTSKPTYVTSLPGLDGPLPSRLETGYVTVDEENGAELFYYFVESEGDPRRDPVLLWLTGGDRCSVLSGLVFEIGPVELVREPYDGVSLPRLRRNPSSWTKVASILFVDSPVGAGFSFSRDPSGYDVGDISASLQLVKFLRKWFSEHEDYLANPFYVGGGSYAGKLVPFITQKISEGGRIPASFVHQNSPHQNRTQSLMCREPYHLIPVITPTEIDLENICSANCIEAGVRPTINLKGYTVGSPLTGDSSDFDSRVPYCHGVGIISDQLYKLFDEISAPHILYSKCIAVSPGPSNARTTSGRKILKEEVGLLQNPPPRPAIECVIKPNRDSTKLNMSNIKYQTNVDHPKWLTRSTQDTEVTQAETDTEYTHYLLYFWVNNNATLETLGIKKGTVNEWVRCHQGDLPYDEDIENSIKYHRNVVSQDYRALVYSGDHDAVVPFLSTQAWVRSLTDLVVDDWRAWHLDGQSAGFTITYGNNVTFTTVKF >OB11G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7890565:7892613:1 gene:OB11G19090 transcript:OB11G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYMLTLMIVSYFDVENFDAQNLLEFDLLMWRILMHKGKLTQLQHQVVDLVLWYLMESQGGECDSFKHHSEGAPCQKSLTDTCQPYCARKSIPKE >OB11G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7988566:7988961:-1 gene:OB11G19100 transcript:OB11G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAASPLLWKMKRLLNIGSETEIKKAIRLINGLAAAMIQEHQKLGVGSSHDLLSRFMASAGDAHGAAEDKFLRDIVVSFLLAGRDIRAHDALRAPVQAPRPFRRSPTPLLEPSAPSSSSPPQLPSYRRW >OB11G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7989957:7993432:1 gene:OB11G19110 transcript:OB11G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLHTFHGSSYPSTPPIPPVTDSAAGAIGSIVVFASAAAVLPEAVMSPTEKEETEENGRKKAHGYKSDGAGAGGLPAPSRPPVAKLLYYKWSVSQLKIDLTRFSKIKAPFDPEGSVESSRTALDWPARLHIAAKVADGMAFMHDALCGDGANANLSFSSSSSSEESTTSPPRSAAAPPRTTTPKASNVLFTATMEPRISEYGVTAPPLPPPPSQSSGPSEIAMRCIDASSLPPTMREVAGMVNAICEEHDRSISSEA >OB11G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7997162:7997344:-1 gene:OB11G19120 transcript:OB11G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRDTEYCGSGKIKISMEWILSCAATSKSLMLQNKNSAKYLRGVMWTRVPDLPIDFHNHSI >OB11G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:7998450:8008919:-1 gene:OB11G19130 transcript:OB11G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLFVAICLLSGAIAAASPPQTRTHVDSLPGLSGALPSRLETGYVTVDEESGAELFYYFVESEGDPGADPLLFWIPGGDRCSVLSGFFFEIGPVKLVIEPYNGSLPRLRYHPYSWTKVASILFVDSPVGAGFSFSRDPGGYDVGDVSSSLQLVKFLSKWFGDHPEYLANPFYVGGCSSAGKIVPFVAQKISEDIEAAVRPSLNLKGCLVGNPVTGERIDYESGVPYCHGVGIISDQLYETIMEHCKGEDYENPKNLICKQALARFEELKDEVSAPHILYRRCNYLSPNPNIHTIDRKILTEEFAVLNHPPARPSIHCRNYASYLLYFWANNNTTRESLGIKKGSVNEWVRCHDNDLPYTRDVKSAMKYHRNVTSKAVTTIL >OB11G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8013032:8022296:-1 gene:OB11G19140 transcript:OB11G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAVAAVLLCFCAVSAAGCLTRTKVTSLPGFDGALPSRIETGYVTVDEENGAELFYYFIESEGDPGTDPVLLWLTGGDRCSVLSAIFFEIGPLKVVIEPYNGELPRLRYHPYTWTKVASILFVDSPVGAGFSYSRDPSGYDVGEVSASLQLVKLLREWFSEHPEFLSNPFYVGGDSGGGKIVPFIAQKISEDIEAGVRPTLNLKGYLVGNPVTGEKVDYDSRVPYSHGVGIISDQLYEMIMLNCEEEDYKNPSNVICQQALARFNSLLDEISKAYILGRECIYVSPIRYHETLDRKMLEKEHGVLKHPPAQPSIECGVYANYLSYFWANSNVTRNTLGIKKGTVNEWVRCHENDLPYAIDIKSSTKYHRSVTLKGYRALVYSGDHDAIIPFLGTQAWVRSLDYPIVDDWRAWHVDGQSAGFTIAYENNMTFTTVKGAGHTAPEYEPERCFAMFSRWISNVPL >OB11G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8045250:8051575:-1 gene:OB11G19150 transcript:OB11G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHAVAAVVLFFFFFFGRAAAGSLTRTNVASPPAFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPGTDPVLLWLTGGDRCSVLSALFFEIGPLKVVIEPYNGELPRLRYHPYTWTKVASIIFVDSPVGAGFSFSRDPKGYDVGEVSASLQLVKLLREWFSEHPEFLSNPFYVGGDSGGGKIVPFIAQKISEDIEAGIRPTPNLKGYLVGNPGTGEKVDFDSRVPYSHGVGIISDQLYEMITENCKGEDYDNPSNVICQQALSRFNSLLDEVSKAYILGRKCIYVSPIRYHETLDREMLEKEHGVLKHPPAQPSIKCAEYANYLSYFWANSNVTRNTLGIKKGTVNEWVRCHENDLPYAFDIKSSIKYHRSVTLNGYRALVYSGDHDAVVPFLGTQAWVRSLGYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGAGHTAPEFEPERCFAMFSRWISNMPL >OB11G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8054266:8056071:-1 gene:OB11G19160 transcript:OB11G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAVAEVEAYVSTKLVATTLVHIISNGLILLPVTGKVFGHRSVVTDDIEAPVTGKDLSKGYASAALGKVAVFALVQALVYHIIRKSSGVFSMERRELTRARSISFRPMRSMSVRRFLAALSDDPVGVTKDDSLSAP >OB11G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8065546:8065986:-1 gene:OB11G19170 transcript:OB11G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGWMTQVASIPEAPPLAKGFTVFHTPLDASVAAAAGWLGGGLTERRKRWWEGGESDAVQSPGWLDR >OB11G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8065577:8070184:1 gene:OB11G19180 transcript:OB11G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQQPPAAAATLASSGVWKTVKPFANGGASGMLATCVIQPIDMVKVRIQLGEGSAGSVTKKMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAVIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFKDKLGAGEITTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTLQSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNEIQKFEKRLGV >OB11G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8076597:8088417:-1 gene:OB11G19190 transcript:OB11G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLTSLLVVVLLCFVPSLFPRRLVSGADPDHRTTHVASLPGFDGDLPFHLETGYVTVDEESGAELFYYFIESEGDPGADPVLLWLTGGDRCSVLSAIFYEIGPVKLVVEPYDGSTPRLRYHPYSWTKAASILFVDSPVGAGFSFSRDPSGYDVGEVSTSLQLVKFVYKWFGDHPEYLANSFYVGGDSSAGKLIPFIVHKISEDIEAGMEPNLSLKGYLLGNPGTGELQFDVGSRVPYAHGMGIISDQSYETITRDCQGDEYYNPKNLICSQQMNRFYDLLNEISTEHVLYKKCIEVFPGPDDNTHNRIMLEGTAELEHLAAAPPLDCITYGYYLSYYWVNRDITWEALGIKKGSIKRWVRCHQRDLPYSEDMGSTIEYHRNLTSKGYRALIYSGDHDSLIPFVGTQSWVRSLNFPILDDWRSWHIEGQSAGFTITYSNNMTFATVKGGGHTAPEYQPERCYAMFRRWISNEPL >OB11G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8100231:8103804:1 gene:OB11G19200 transcript:OB11G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGCDGDHGIPEHLFICPELYMAAFHGHTDEVISLLEGSSGGGGGAAVDAASSRPSPAAQTTANHHAACNIHEVTADRSTLLHIAARRGHHELISHLCRRDSSLSSSVTSSGDTPLHCAARMGLAGAVGAIARLARDNVEEHRLRELLRSRNVDGDTALHLAARHGRGKAVEQLMKVAPETASEVNGAGVSPLYLAVMSRSVRAVSAILSCKDASAAGPNSQNALHAAVLQSSEMVKLLLEWKATLAIDIDSNKSNPLHFASSDGDLSIIEAILTCSPPYAPHMQDNQGLSPLHVAALMGHTAAVRLLLQFSPASADILNNQGQSFIHAASMNGHSSIISHVVKNDMLEHLLNAQDKEGNTPLHLAVIAGGYKVVSMLLSSGKVHTNIMNNAGHTPADLVRNCKAFYSMVSLVVKLCVSGALFQPQRQDLIEKWNAQDMMKWRDTTSKNLAIVSTLGKGFPSRSSLGT >OB11G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8128168:8131316:1 gene:OB11G19210 transcript:OB11G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVLPRLVVFDLDHTLWPFQCDRLPKDEVPYLYPQARGILNALKDRGIEIAIASRASRKKGVAKAFLEKLGIHFLFGAQEIFYTWSPKSEHFQSLRRKTGVPYKYMLFFDDEIRNILATRKLGVSCVLVEKGITLDKLRTGLSTCYAKSSASPNAEPAEC >OB11G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8136841:8138759:-1 gene:OB11G19220 transcript:OB11G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQVGFHSPSPHRLLRRRRRLASLRPPRSSSAFHHAEADPRDQGLPPDGEEEGRAVGPDQEDQGRRQVQGAVLQVPLHPLRLRRRQGQQAQAVAPARFDCPGGLSTKTQTMLFVAAGMFAPLRFSSQ >OB11G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8146619:8158903:-1 gene:OB11G19230 transcript:OB11G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPPTAGVNRFLLPVSECECTLSTLLDELQPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKGSIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPELYIQFVTSYQHPEGQMRIRVSTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQSVPQYLSVPPLQRNVSCDFLSMASVAPTVATYNLLLQAYCDCRRPDTALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQAIELKDGMLERGLVAPDPQVYAFVMGGFVDAGDGDTVVSLYEELVEKLGGGGGFFGMVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGKLDDALQLFDRMCKEHDPPRRIAVNLGSFNVMVDAYCRAERFQDAIEVFGKMGEKRCAPDALSYNNLIDWLGKNELVGEAEELFKDMGESGVNPDEYTYVLLIESCFKVDRVDDSVSYFNKMFDAGLRPNANAFNKVIGGLVKVDRLDEAQGFFEQMPEKEVKPNIGSYELLLRAYVDASRLDDAIKMAKGILLDESVVFSDELKALLEGALQKEGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKKEAENDDSTGNVEEAQVVESLSDTNDITEENEGDDQKVVESLSDTNDIREENEGDDQKKQESGDALP >OB11G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8177893:8182474:-1 gene:OB11G19240 transcript:OB11G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLPQTSSSERLPQMEPKGLLSMTMVSFSAVTAAVTAVTGLTAEARYAPRRPYAVSALPETVSHLVRIGGYSRAKKVPNGKFVEARRFTVGGRTWFIRFYPNRHGPGEAGAVSVYVGMDCCEDANGPTVVANVRFSLLDCDGKPVPTFVEAMSGVDFSENDFGMKMKRRELEASGHLRGDGFTVRCELAFVNSGAGGHLKEERGVKVPPSNLHRHLADLLRQKQGGDVSIVGQGKTFTAHGWMLAARSPVMAAELSSHHDDANNPPPPTTLLRVDDDMEPEVFGALLHFIYTDTLPPDMTTKEAAAAAMAARLHVAAGRYGMERLQLMCEDALCRSVSVDTVASAVVFAENHGCRVLKRACLDLLSCRRKLRHLTNLDDQFRLLTSCHPVVKELFLQIWTTSYQLFWFL >OB11G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8189977:8202024:-1 gene:OB11G19250 transcript:OB11G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRLARRLPAAAVLLLVLLAGGAAADDASSDDDPGVPPSPGCSNKFQLVKVKNWVNGTEGTTVVGLSARFGASIPRDIHKAQKTFAVLANPLDCCSNSTSKLTNYIAIAQRGECAFTAKAKIAQAGGAAGLLVINDNEELYKMVCSDNDTSINITIPVVMIPQAAGKNMKGLLDHGAWLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKDGPNSGTSNREDKDIFEISAKGAIVFIIVASVFLLLLFYFMSSWFIWLLIVLFCIGGIEGMHVCLVTLLTRIFKNCGQKTVQLPFFGEVLTLSVVIVPFCTVFAILWAVYRHTSFAWIGQDTLGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRACKKGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWLRGELHDLWNYGRNQTESLVDEP >OB11G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8202756:8204122:1 gene:OB11G19260 transcript:OB11G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTAIASAETKWCSENGRPYQECSTKVEIKLTDVPEDGDCKKKIEALLAPLCDVQAYRYDASRKEHWGTYTMVDGTTIVVQGCRKRCYHPAQRQNGIIRKVKSDLPMLAGPNTTLVSRYGEVSNSDENNTACGNLCNDGWYMLAVGGDDEEIDDPNVVKEAFEIQHMLNYIGCIVDEEKSESSTYGSIGTDIDSDWN >OB11G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8256770:8258407:1 gene:OB11G19270 transcript:OB11G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35030) TAIR;Acc:AT2G35030] MVAAYVRQGMLREARALFDRPDARRNVVTWTALMSGYARAHCVDEAEALFERMPERNIVSWNTMLEAYTTVGRVQDAYALFNSMPVRDCGSWNILLAALVRSGNLEKACQVFERMPARDVMSWTTMVSGLARNGSVDEARVLFDAMPKRNVVSWNAMISGYARNHRIEEALDLFTKMPVRDVASWNIMITGFIQNKDLKSARELFDEMPKRNVITWTTMMNGYLQCMQSEMALKLFNCMLVEGIRPNQVTFLGSLDACSNLAALCEGQQIHQMIYKTSFQFDTFVESTLMNLYAKCGEITLARNVFDFATEKDLISWNGIIAAYAHHGFGIEAMHLYKKMQENGYKPNDVTYVGLLSACSHSGLVDKGLKIFESMVKDSSIVVRDEHYTCLIDLCSRGGRLDDARRLISWFKIKPTSSSVWRALLGGCNAHGNESMGNLAAKNLLEAEPDNAGTYALLFNIYASAGKWKEAAEIRSEMNVRGLKKQPGCSWIEVTNKIHVFVSRDKSHGEYVLINDLLQDIHHIMRVSGTVPREHMLIDEELVGI >OB11G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8264706:8265110:1 gene:OB11G19280 transcript:OB11G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKAYLETLYAATAGHHDARGMLDPCEDAARVDRHDDVEVGEGAHDVNVVDDDVEVAVPRHREFNDRGDLNYKLTTEATTTTATSAADGNSWWESMTAATSATTMMAVTAMTTVATTMRIRYW >OB11G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8264724:8265125:-1 gene:OB11G19290 transcript:OB11G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVISPIANPHRCRHCGHRCHSHHSRRRRRGCHRLPPAVTVGRRCRRSRCRLRRELVIKVATVVELTVARHGHLDVIVNNIDVVGSLAQRTLGLLDLTDFDIIMAINTRGVLAWVKHAASVMVPCRSGVQRL >OB11G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8268777:8269148:-1 gene:OB11G19300 transcript:OB11G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDSFAGTEPSHARRPSVLAPCSMESALRSERRNAGGGREKGEGNECTSPPSWLRPSPFYSLLTPCSHGDCAVRSEPSAAMEASLSDLSSREWGREGRGEEAEDVPAEPPVAGCGEERIG >OB11G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8271511:8274053:1 gene:OB11G19310 transcript:OB11G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRVTQPPARAPPRAAAALADGANMSDSALKDLNLAQSAELGKTNDNSAKSCITKPALNGNKCASTEENAPPICPDALTNGCEPAIADVEYIDSENLTDLPDAGASLSTLVGRLDSKDWVMTCEALNNVRQLAIYHKDRLQELLETLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDIMVDLIDPLLVQLFLKSSQDKRFVCEAAEAALVSMTSWIAPSVLLPKMQPYLKNRNPRIRAKASMCFSKSVPRFGVVEINEFGMDKLVEIAATQLSDQLPESREAARKLALELQAFYEKSQTLSSGEVDTTPATSPDSESWESFCQSKLSSLSAQAILRVTSRV >OB11G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8276685:8278549:-1 gene:OB11G19320 transcript:OB11G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGAFIGCVEKFNRGDHGGELSPLPDDFPFMPLSTVVAASRDQLSHVDRPMHSLSYVYVVSLTRRPHLVDDAKILLKSHNPTLFLVYIRMLPSGVLKTTHHIGENAFRSLVLLDPPLGITLPFSREWYVDQLSRSLHVEYKRRGVDVQCQIPLYVATKMSPVKGYSPFIPSPEEYAEAAVRCVGYEPRCVPYWRHSVQWFLLSMLPDSALNLWRLRVGISKRNERKKALLGVGESER >OB11G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8282496:8282663:-1 gene:OB11G19330 transcript:OB11G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNYSSSVNNLRPNKSYQNTTKAMTFVAKSNGGARGATPQDYQDYSKHNCKGC >OB11G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8286116:8286766:-1 gene:OB11G19340 transcript:OB11G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAGDQAPLWFMVLVAVGLHAAVMWAGTFLAWLRRAFFRPGKDLGRRYGEWAMVTGATDGIGRGMALERARRGLHVVLVGRNPGKLSAVSKEVRAAAPACKVRTVVFDLAAGDDAEMSRGVARVEAAVEGLDVGLLVNNAGATYPCAAYFHEVPDAVWEAVLRVNVDAATRISRAVVPAMAAKGRGAVVNVGSGSSVVLPAFPLYAVYAATKA >OB11G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8302094:8304064:1 gene:OB11G19350 transcript:OB11G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARREAIADRMGPLGSDSGSTVHRGPSPRAGSKRNGVTDMRAPHVRWATPIGGWEKGRRIGLRREREGGWGVSGPEGKEEGVGLRVRGRGWPARGRKEEEWAGAVGPAGEERRGEERRGEERRGDGLLPQPAGKEKKRERKKRKREKEEREKERKKGKRKGKEKRTF >OB11G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8320880:8323126:-1 gene:OB11G19360 transcript:OB11G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGRRVVPVAWAANCVVSTATFPLPTTEALGSASSRTTRRSWTSHTTCNGRVTPTDRPCHGLVLLSSIAAHLYFVCNPTFGQVTALCDGCMAGYPQLWYDYATIGLSYDVCTCMHKAVRLLYHHGEPEGCDVYHITGANSTGHWRQEIESNMNALNSRKKKNKTRPSNLQLQLAKTAPHHRHSRRRTEPYFNLRNNLLQTQALALALVGEKLSKAEYKPPYSTSNTQERENNECNKVSRIG >OB11G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8353838:8360066:-1 gene:OB11G19370 transcript:OB11G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGCDGDHAIPEHLFMCSELYIAAFHGHTDEVINLLEGGSGGAAVDAASSRPSPAAQTAANHHAACNIHEVTADRSTLLHIAARRGHHELISHLCRRDSSLISMVTSSGDTPLHCAAGEGHAGAVGTIARLARDNVEEDRLREMLRSGNVAGDTALHLAARHGHGQAVEELMKVAPETATEVNSAGVSPLYLAVLSGSRRTVRAILSCRGVSAAGPDSQNALHAAVLQCSEMVTLLLEWRPTLATDVDSNKSSPLHFASSDGDSSIIQTILTHSKTSTPHMQDNKGLSPLHVAALMGHAAIVRLLLQFSPASADIRDNQGQTFIHAAAMKGHSSVISSALKHGMLEHLLNAQDKDGNTPLHLAVIAGEYKVVSKLLSIGIVKVNIMNNAGHTPSDLVKNCNRFYSMVRLVVKLYSFGAEFKPQRQDLIEKWNVEGIMNWRNTTSKNLAVVSTLIATVAFSAAFNVPGSYREDGKANLAGDPMYIAFLILDTFSMVTSVVATILLIYERATRSKHSWLCFVIAIHFLWLSLNSMVVGFFAAITAVMSKKKSIRIAMSHMVYYGIYVLITILSTLSMPGSFISTLKFLVDVCHPIDEPVPSSQQSTTTSADQVSRPKSQVLPEVKSQVCATPSVALKLKTSRSRVKRCFFSRRSRFEP >OB11G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8370580:8371062:-1 gene:OB11G19380 transcript:OB11G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAPFLHLMIRRATGFYFKGECEHYAYRPKIPQAPKPPRASSPSSPIKKALSAIFGICKKNVVKIKSNERNINQILRDSGHEIPPKSEDKDYIDPFVAYEAEVAARAAGASSSRAPQDSDEETKEEENEDAEEEEDNDDDEEEEE >OB11G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8371611:8375898:-1 gene:OB11G19390 transcript:OB11G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMLDEYKTQDVFWAEAVNTACHSLNRLYLHKLLKKTTYELLIGKKPNVLYFRVFGCKWFILSKMPRSSKFASKVDEGFLLGYEANSRAYRVFNKTTGIVEVSRDVTFDETNGSQVEQVEVNDARIGISWENIDNTAVGDVRPREVEREQEASPDGGEWCCRSGPPTRRGPTPNPRSWHICNLHGAMEVRNCNACMDIKKEVSVLRALKFIFVPALKIVKTGPVCERVKVEDVLEDPDCEMAMQEELNNFKRNESAFLNGPISELVYVEQPPGFKDPKHSYHIYKLHKALYRVKQASRAWYECLRDFLAENGFKIGKADTTIFIKKVKNGLFVCQIYVDGIIFGSTNISFSEEYNRIMTKRFEMSMMGELKFLLGLQVKQLKDNTFISQTKYFKDVLKKFDMDGAKPIKTPMPINGHLNLNINGKDVDVKYPPSRRIGKKKVDEVSPSRRRGKGTANRGRPSSGRIEEPSSSPPTRPAYCPCDAQHPNHEAAEGAISLVPRKVQIFTPAYETPRRRVEYLRNMTKAKTDRGKALEAQLECNLDYRFYTDVQVDWYNSIIMGRKKPAITEMKWID >OB11G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8382325:8384767:-1 gene:OB11G19400 transcript:OB11G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNILIYLIEKIMIGYFKFIGSTSSSNYCEIYMSSNLINVRNLGYVEWVVNTDDLYFLCANMNSFSLHTCSTLHILLGQLTSSKTTSLLFVEMVSLLLQWKPGLVTDLDNNKSSPLHFASSDGDCTIIKSILAHAPPRAAHMQDSQGLSPLHAAALMGHAAAVRLLIQFSLASADVRDKHGRSFLHVAAVKGHCVIVSHALKTRMLEHHLNAQDREGNTPLHLAVVAGECNVVCKLLSSGKVQTHIMNNAGCTPSDLVKDCRGFYSMVRLVVKLYGSGAQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSFGNDGKAVLSGDRMYDAFLVLDTFAVVSSVMATILLIYGRASRSNRSWVGFMIALHFLWMSLNSMVLGFFAAMAAVTNQKKGMRTVMSQVIYYGMYYLVMLLISLATPGSLTGNLKFLIGSCRERQRRIKRRISRQYPFAISYTFNVVMFIVLSCVAITSVDVTRTFLDG >OB11G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8385213:8385434:-1 gene:OB11G19410 transcript:OB11G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAQHDGACDIHEVTAERSTLLHLAAAQGHDGLITELCRRDSGLLSTKSSSGDTPLHCAARAGHAGAVGAIGRLA >OB11G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8386693:8390314:1 gene:OB11G19420 transcript:OB11G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKMASSQVTGKSARLTQYEVERDEQVARNKEIMRALNIGRIVKELNGLQGASSSNPSKKRTKTTHTTSEAVLAGPVLRPRPRRDDIDNEQEMMFRILVMIPSMTLKSKYEVDQGVLNFVMATAAKKWRDFKGDLKKNLFDPTLSDEELIARRDERVNDDDWEWLISFWRSEKSKTRSKIGQQNHAQQSQPHTFGSMSFACVAHEKSSSTQPCLGEEEHDHYIEVGREVILYSTIAPAAPVAKATIISTDPTTIVGGESLGQQYYEVAVNVVIKRDVVLPHPYDGVEIMADALYLSIAWPFTKVSQDIGNTAKVLLKLSATDLWKTTIFGQSFVNLPMFCYICPFVEELISYSYI >OB11G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8393671:8404169:-1 gene:OB11G19430 transcript:OB11G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISAGCKNNIPHHVFMCSELYVAAFDGQRQEILRLLGGSSGDGLEAGTSRPSPAAQATGILYCTPCNIHEVTAEQGTLLHVAAAQGHCDLITELCRHDRDLLSSVTSSGDTPLHCAAKAGHAAAVRAIIAQLAARESVEEDRLGEILRGKNAAGDTALHLAARHGHGAAGSALMAAAPEAASDVNGSGVSPLYLAVMSRSVAAVTAVLSCRDASAGGPQNALHAAVLQSPEMVSLLLEWRQELATQVDSNQSTPLHYASSDGDRSIVQEILKHIPPSAVHVRDSEGLSPLHVAALMGHAGTVRLLLKISPASAGVRDNQGRTFLHAAAMRGQVSVISYAVKNRMLVHILDEQDNEGNTPLHLAVIAGKYKTVSKLLYSGKVQNHIMNNAGNTPSDLTEKSTGFYTMVRLILKLYVFGGQFRPQRQDHIVKWKGHDIMKWQSTSSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNDDRLYHAFMVLDSVAMTTSVIATILLVYGRIAQSHRSWPSFVIAMYSLWLSLITMMLAFLTSVMAVMDKNNSIRMALTRELYQGLYVLMILLIRATMPGSIKGILMFLIGGFLDQQRRVKRRISRQYPLIVFYIFNIIVFAVVTIMALTAIDVTGNLRY >OB11G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8441073:8442449:1 gene:OB11G19440 transcript:OB11G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSGLIHDFLDAECSSVSVAVYDGDCDGDPADSKAVDALAMAEKVRKAARQLGALLDRGRTADDPFLMMLAGDVARAAEEMAALRGAGRPAFRRAVARRLREVGYDAAVCQTRWRATRDVTAGNYEFIDVVTAVPASASGDTGKWFIIVDWSYVCVFVCGFMD >OB11G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8450454:8451014:1 gene:OB11G19450 transcript:OB11G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRWMKEVRWQEAVRGRPTLESGMVVVIVDGSGRREVQWDPKSPARASREGPARRRGISCAGIYRSPKGRGKG >OB11G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8457412:8457603:1 gene:OB11G19460 transcript:OB11G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFAQPTMTQMADQNLPFTFAIDRRNRCVLTENGESAGQHHETTAVHTDIVTTVVHAQAAQL >OB11G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8471991:8474117:-1 gene:OB11G19470 transcript:OB11G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSIEQRTSKRSVNPFQTETRKCSSARLDVLSNVFGDDILPTLMPLIQLYQFNAYFSVADTIDFSQYDLPLKIVSYKDLYGWTMDDIVKAIALKNNCTFCGVFRSQIVTGHNTDDIAETVLLNILRGDIARLSRCTFITNDEDGPIPRCKPFKYTYEKEIVIYPY >OB11G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8526260:8540052:-1 gene:OB11G19480 transcript:OB11G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCPSSRTKRAPAPLDAAARARLAVVVVPGSAESSGSEHEGGGAAAALSSLVNEYLLEADDATVPSAAVLAAGGSSEVEDDEDDLDDDSAAAAEEVGEIRRVLEAAGTGDDRRRRIFADVADAMRELENVRGERSAFRRAVMSLLRERGHDAGLCKARWDKTTSMVAGSYEYIDVVVTAAGAEAATRYIVDVGFADEFDVARPTESYVAVRSALPEVLVARPDDVRQIVRAASSSLRRSLKHRRLSVPPWRKRKFMLAKWLGPYRRTVNAVPTSAGTAMPGGGGASGVCRTVHGFEAPPRAKAWSELCGLYIDIYIHVYIVILSSGYF >OB11G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8546808:8547806:-1 gene:OB11G19490 transcript:OB11G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLCRLHAGALGFIVLMVISFVIVLRKEKRKTREFYRKNGGPILEKAKIIKLFKKEELKPILKSSNFIGKGGFGEVYKGHLTNELVAVKKPISGSVLEIEQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLSKGSLEDILHGDNKVPISLDLRLRIAAESADGLSYMHSKTTTKILHGDVKPANILLDDKFTPKISDFGISRLIQRDKEHTHSVIGDRSYMDPIYLQTGLLTEKSDVYSFGVVILELISRKKATYSDNNSLVKNFLQADKKGTKATEYFDKEITETRDLDLLDRLAEIAVECLSLDVDQRPTMTEVAERLLKLSRSRG >OB11G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8560284:8560484:-1 gene:OB11G19500 transcript:OB11G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARQLYITVQNHTWVNPLDPKITLIIIYLGDKIYKHIDAESQLPMQSSKTGDRTGNIKLILPPLHHRD >OB11G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8583993:8584408:-1 gene:OB11G19510 transcript:OB11G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAIGAGGAYPLGEAVAVGGLAAAGAHVDVVLGRLDGDVLPLAIAGVSGLAGEWFRVLVCRGVSGELAFLGLLLRLRQLRKIDRCRAGWALRFRWRNRARAEEYLCQGRRRRSVLPFALGAGRLPLPAGSVAARPIARR >OB11G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8574276:8585933:1 gene:OB11G19520 transcript:OB11G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGFHALQAPWPLPPPLPEPEKKAKKCKLSADATANQDTKPLAGEAGHAGNGKGKDVAVEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFLPKDQPSEPSLPAPLSLPPPQPPLSYSPECATPAAIGYSPSQGTAMQSVVASTKGFEMAPTFASHGIPAPSSLDAFHSANSQAGSCMQMWEDDLQSVVQMGFRGNAA >OB11G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8604033:8604353:-1 gene:OB11G19530 transcript:OB11G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVTAGTLEVGGDGRNAEGSAPLGPRWRAAGRIDVVAGEARGGRSTLWPMRLGAAHLPPSTLSTLRWPYPPSASIASLRRPHPPSAGDTPSLRRRRPVPSAPIH >OB11G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8627346:8629380:1 gene:OB11G19540 transcript:OB11G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAARFRVYMAYRRLSEMGYLPVQESNMDKPVDELPPLLVRDLHARMDTARHVAYADLLDHIVAGVRQSSGLILNTFDAIERADVEHIRRDTSIPVFPVGPLHAISPVAAPQQSGALPEEDRSCLAWLDTQLPGSVLFVSFGTLVSIDADELMELAWGLAGSNRPFLWVVRPRLVRGRDSVELPGELLQEIRGRGVIVRWVPQEEVLSHPAIGAFVTHCGWNSTLESISKGVPIICKPCGSDQLGTARYVCDMWKVGVRVEIKDKVTREGIQVAIERLMDGKEGEMVRDRIIEMSDVVSKCTMKGGSSELAMNDLVDFIESS >OB11G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8655892:8664164:1 gene:OB11G19550 transcript:OB11G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAVLRVLLLVALAVSSCADPVVLGRKGGLVSGGAADDASSEDEDLQQLAPETAAAKDKYAVIFDAGSTGSRVHVFRFDEQMDLVKIGDEIELFAKVKPGLSSYAGKPEEAANSIAPLLEKAESVVPKRLQKRTPLKLGATAGLRLIGDEKAEEILQAVRDLVHSKSKFHYKPEWISVLGGSQEGSNLWVALNYLLGRLGGDYSKTVGVIDLGGGSVQMAYAISADAAANAPPVPVGKDPYVTKEYLRGKDYNLYVHSYLLYGLQASRVEILKIKNGPFSYCMLRGFNGTYKYNGEEYAAAASPEGADYGKCGEEAAAALGLDXXXXXXXXXAELYVASYFYDRAEHVGIVAEGAPSAVVTPAAYAEAAEKACSLSSGEAAAAYPTAFDVQFLCMDLTYQYTLLVKGFGLQPTREMTLVKQVKYGDYYVESAWPLGTAIEALSSQTSHQSA >OB11G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8670285:8674076:1 gene:OB11G19560 transcript:OB11G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRTSASAYTWPKMQERARTLEVLVINRSIMGLLPPRITTLLTMAITSCTLLAAMAADHRSNDTDLAALLAFRAQVADPDGILAEGWSENASFCQWVGVSCSRRRRQRRVSALVLPDTPLQGSIAPHLGNLSFLTVLNLTNASLTGSIPAQLGRLARLRHLDLGLNALSGSIPSTIGNLTRLQSLILVRNGLSGQMPSELQKLQDLRQINIQANYISGTVPNYLFSNSSTVLRLINLGNNTLSGPIPSGVIGSMPMLQAFVLQFNQFSGSLPPAISNMSRLEKLYATGNNLTGPIPFPAGNQSSGLPMIQIVSLSLNRFTGRIPPGLAACRNLQRLDLSENLLADRVPEWLAGLSQLSLLSLGGNSGNDIAGSIPAALSNLTKLTILDLSFCNLNGTIPVELGKMTQLTYLSLLANQLTGPFPTFVGNLTRLTFLGLERNLLTGAVPGGVFGNLRCLNFLGIGENLLNGKLDFFAALSNCSQLRRLIIEMNSFSGTIPEGLLANLSPNLESLYAGSNNLTGSIPATISNLSSLSTLILHDNQISGTIPASIVSMENLELLDLSINSMFGSIPTQIGTFKSIEVLYINHNQFTGSIPMGISNLSTLQYLSLSYNCLSSGIPASLANLTNLLQLNLSHNNLTGTLPSNLNPMKAIDMLDISANNLVGSLPTSFGQLQLISYLNLSQNMLTDSIPNSFKGLVNLETLDLSCNNLSGGIPKYFANLSYLTSLNLSFNNLQGQIPSGGVFSNITLQSLMGNAGLCGAPRLGFSPCPGSSYSANRKHFLKFVLPAIIVAFGATVVLLYIAIGKKMKRPDLTAAFDIADVISHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDEGLVVAIKVLNTNVERAMRTFDAECHVLRMARHRNLIKILNTCSNLDFRALLLQFMPNGSLESYLHSESRPCVGSFLERMNVMLDVSMAMEYLHHEHCEVVLHCDLKPSNVLFDEDMTAHVADFGIAKMLLGEDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGIMLLEVFTGKRPTDPVFIGGLTLWLWVSRSFPENLVDVADDHMLQDEETRLCFDHQNTSMGSCSTSRSNSFLASIFELGLLCSSESPEQRMAMNDVVAKLKGIKKDYSASMLAMQRHRQ >OB11G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8674320:8674511:1 gene:OB11G19570 transcript:OB11G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFICLTRFLGEFLVQVARPTHDSVSWFVLTSLVNQTYADMHDAGLSNDWLRSLLENVVCVD >OB11G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8687281:8688400:-1 gene:OB11G19580 transcript:OB11G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSDDESWGDPRWRRRMGGQGRHTTKPRNMDGNGSGQAWDKTPGMTPCEIYIALWKQGRIYY >OB11G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8689218:8690064:-1 gene:OB11G19590 transcript:OB11G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCLTPFPEEAQLQKFPERLFATPPRILQGRTPGVTEEIYEEDNKLWKKYISTYKRINKLIGSSRYRNIMDMNAGLGSFAAVLESPISWVMNVVPAISEKNTLGIVYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNIEDILLEMDRILRPEGAVIMRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEESGS >OB11G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8705800:8707936:1 gene:OB11G19600 transcript:OB11G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGKILAMVKKIRFADSQNKCEVVLSQTVANEEAQTDSTLRHPATAKQTMTYNIELDRSFYKIFFRLSSKTQKYRNIDDGLLVYRIDRYDALQQPNTSGPTPTCPTTKHQRRLAGPAVTTRHELANADRPNMGHGPAA >OB11G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8720211:8725080:-1 gene:OB11G19610 transcript:OB11G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPPEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPSPTRRRRRRTRTVAPRTWRST >OB11G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8749280:8751115:1 gene:OB11G19620 transcript:OB11G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRRTWKMLLHGLLKKLENGETVFVVTKGEVVFMVEAETGLQETITMLNLMKRIMVMMSTIVLVAYHGEEIMMKKGLMLILHGNSRLIKYSEEKKLAMASLEFEDYAIIWWEQLVNERQEAGQDEIATWAEIKAAMGARFISRRYRRDLFDRLQNLKQASKAECKLQQDAKARKSSSFSARVTPSGNKFMPRANVNRGTTVNSSGGIRSNVSGFSSGKDAAISSVKSKPTVSSSTSIGSTSGSRDIQCFKCGGFVHVIREFPNNAAIVLIEQGEYISASEVEEDFLDDATEDVVGEEDIQYEFEQDKVEEKVYKVIIDGCSCHNLARKKMCDKLGLKLLRHPNPYHVQWPNDSRNIKIGHWVKVSAKIGEYEDAVLCDVVPMTVCYLLLGRPWQFDRSSQYCGRTNQFSIKRGRNFVLKPMTPQQIMAEHMQKCSEVRIESEKEREQNKLSKIHKSMSKSHKPNVSDKQKREGENLVMLATKSEMRDVRNNPAQVLIVLVYKEVML >OB11G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8755321:8757151:1 gene:OB11G19630 transcript:OB11G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRFSGNPEGLTRTNHKPEWATERNNMDASKRAAYVKKIHERTKEEIEKKTHSNAAKVYKHRKKVVYEPIHLVWVHLRKERFPERFPERRKSKLMPRGYGPFKLLAKINDKCI >OB11G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8785080:8785880:-1 gene:OB11G19640 transcript:OB11G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDRSSGAMIGDWAATMNFSRPTEEKMEIGGENGGVHCVCLCWSGIHPYIKYMISMHARYAMCILRVRVTPTWCSDAAEGQGYKPTDTTKVHGVDLVAGHAKVQVDMVKDDWLTWSFVDAENNSQGSHV >OB11G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8794788:8795913:-1 gene:OB11G19650 transcript:OB11G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEEPTKGSDVNSDNLIAITVDDLSKEDWRELERELPDENLQRVKEKLACFQKMRSGTFKKCTAGVLPSPVVPTISQSSWNLTNISAIGSASNSTRNATNSIVRAINYSHRIAILFPEVSTPAELGTRHEVASI >OB11G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8800162:8811031:-1 gene:OB11G19660 transcript:OB11G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNSTEWAGVAQSGPEWARIGESTQISLFDFYDPCAQRKGYPFNLELDVGPVIQFQNFHVNNIGDPFMESNYGIHSRKFEVAVLDWFANFWELPKDQSWGYVTSGGTEGNLHGLLVGRELFPDGIIYASKDSHYSVFKAAKMYRAQCIKISTSIYGEMNYDDFSYKLLQNSGRPAIVNVNIGTTMRGGVDDVDEVIRTLKTCGFDSRFYIHCDGALGGLMLPFIKQEPKLTFKKSIGSISVSGHKLLGCPTPCGVVVNRLKDINLVMSTNIEYVASRDATITGSRNGHAPIIMWYTLKCLGYNGIRMKVTTCIRKAEYLEFLLKKKGVSTLLNPGSSTVIFERPKDEVFVRKWQLACEGNLAHVVVMPNVSIRHLFRFVDELAENRYIMLHDKEISTPCVAADIGPDMKYYHSVLLRNISYMISGFRQSIFKEARVHITRTSLGNPILAD >OB11G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8816265:8816546:-1 gene:OB11G19670 transcript:OB11G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEDINSVLVDSVRSSATSATDTYDIDEPPKDEQAATQRRLRIDNLLVNFRKQLQERSAYHLEHGKPHSQWYPPFCHYKS >OB11G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8853591:8854492:1 gene:OB11G19680 transcript:OB11G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLNARGKWKRNPPIPQGYYGCGLVFPVAEIMVTDLCEKPLGYALDFMRKAKFAVTDEYIKSNVDMLASHRWPSLVIDRTYVVSVLTSIGDDKIDFGWGKHVGGGVPMAGDIMSKLLSYFIKCKNANAPMFDCVVVIVYLYIGFFTHVGMNFVSNKRTRVLTLGERKLHSIGLVHINLDQVAKNGNMKFDKD >OB11G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8873981:8877342:-1 gene:OB11G19690 transcript:OB11G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISIVVLSCSLRWRYIWNKVRDLPDLSDLQEQPRSRRVKRKGFTFLEQQRSMFS >OB11G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8877536:8877865:1 gene:OB11G19700 transcript:OB11G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTYYLNKREASRAREWDGLRYGATDLERPAARVEAGRGGDGGDGVVNGRGGVGGGDGVVDGRGGCRAGEAAMEADADLRRRRLPSNGGGEGLPSDEGGDFRWGRRW >OB11G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8878824:8880383:-1 gene:OB11G19710 transcript:OB11G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFAPQVIISLPLSTHCLSDLRGEPEGGLRGGAGQREEQRRPRTREERHQHSPMASPGRGRLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGCGGGGGED >OB11G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8886587:8905798:1 gene:OB11G19720 transcript:OB11G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 2 [Source:Projected from Arabidopsis thaliana (AT5G44240) TAIR;Acc:AT5G44240] MKRFVYINDESYQNDYCDNRITNTKYTVINFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHVQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPSTCLGLDCEQLHKIKGVIECPYPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVLVLGSAGNLWKDNEARKQWYVKYDDDEPWYQIFVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSGGAILYKAQSQDEDALVNAASNLHMVLVNKNGNNAEIHFNRRVVQYEILDILEFTSDRKRMSVVVLDCESGKIFLLSKGADEAIIPCAYSGQRIKTFVDAVDKYAQLGLRTLCLGYRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTEDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITIHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFMQFLAIWGNFVAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSGINILQKAERSRGPMYTLVNLESQLRSDMENTNVSISTTPVKNKNSVYEPLLSDSPISSRRSLVSSSFDIFQPAQSRTTPSYPRNIKAN >OB11G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8931208:8932567:-1 gene:OB11G19730 transcript:OB11G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVVESTAAASCHGKSGAKPRVLVNTFDALEPEALASVPGIDLLPVGPMVTDAEADDGGGDLFQQDDNNGYMQWLDKQDDASVVDDARAIRHRARQWKARAAMALSVGASTDIDGNATAARNLRRFLQGVDREQASSAELTRGQRGNLPQVKG >OB11G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8954811:8956285:1 gene:OB11G19740 transcript:OB11G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMHRRRPVVCMARCAVCRFRDGEAEVRGKMGESRRSRIEDRTDELLGMLLGTIRAGKYLKYTSQLLGDYKLNPSNPTMGHFPSSTGNTRQTTHLSSFEAGFGLD >OB11G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8978183:8985046:1 gene:OB11G19750 transcript:OB11G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41670) TAIR;Acc:AT2G41670] MRGLSRAGKRAGEMAFNAGGGVVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISISAHSSSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRFPVQDKNKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLYRREELDEDAFNSNEKDYRDSPQRRRRPNNSDALYVQDMVMEVQRTLCSTFMDFTGNPEEENELESLIDLQLIALRKVFRIPHKPFDETHGPASKKLLTLFRSGKLGPFILDDLPDQ >OB11G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:8987958:8988119:-1 gene:OB11G19760 transcript:OB11G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDYMRVWRFGIQRHRIDWYYQNRYIFGSYFVPIRLVLMYVYKGILNPSHWI >OB11G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9014176:9014526:-1 gene:OB11G19770 transcript:OB11G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEGRLARTAGKAPVMEALEAEKVSREEQSAMASGTDQGRSGRSSMLRYCSEVSPLRKSPGREAKAFPSSARRVREPIPAREGTSPESAFPCSCSAVSEVRSESEAGSVPASPLSARL >OB11G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9014297:9020791:1 gene:OB11G19780 transcript:OB11G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRLALDGNAFASLPGDFLKGLTSLQYLSMDDLPLRPWSVPDAIADCSSLDTFSASNASITGAFPAVLASLPSLRYLRLSYNNLSGDLPAALSALIAMESLQLNNQKSDDKLSGPIDVIAAMKSLKLLWIQSNRFTGPIPDLSGSQLESFNVRDNMLTGVVPASLTGLATLKNASLSNNNFQGPRPVFAIQVDMDTGNGFCQISASTPCAPLTTTLLEVAKGFGYPYELAKTWTGNNPCNPAWSGVVCASSDVVTINLSNKNLSGTISPAFANLTRLGRLDLSNNNITGVIPDALNTLPSLTVLNVANNGLTGQVPKFKPSVSVLADGNRFGQSSDSSGGGGGSDGGSSSSSSAGGGKPKSNKGMIIGIIVAVILLLACVALLVHHRKKKKNVEKFRPVSTKTSPAESEMMKIQVVGTNGNSNGNSTVPTELYSHVSTANSANIAEMFESHGMQLPIEVLLKATNNFSEDCILGRGGFGVVFKGSLNGKLVAVKRCDGGAMGTKGQQEFLAEIDVLRKVRHRNLVALLGYCTHGYERLLVYEYMSGGTLREHLCDLQQSGYNPLTWTQRMIIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMIAGRKVLDDSLPDDETHLVTIFRRNLLDKEKFRKFVDPTLELSAEAWKSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNVDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTSTSFNIPRKYN >OB11G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9025405:9025578:-1 gene:OB11G19790 transcript:OB11G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRASTSLCNSLAMSSWCNGRVVENIRLGASFRKEKSCILRESTLYLCTTDFVLV >OB11G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9028072:9032506:1 gene:OB11G19800 transcript:OB11G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:J3N844] MACLAPHFQWAPCPHAHHHRHPHNPSSSSTSAPSPSSSSSSRCSSTRCNPFRVHCAVAATSAAAPVVVEAAGAGMRLAYASRDAATALQKTIQSALASEALLNEEAVVTAAAAEAVALARAAAEAAQEVVRMVQNNKKEPKARPKKAAVNYLAKEILCTEMKPYSLDKYSDGILSEEIYSYGILSDDAELDSDNQDIPSIAVKSARQTERKARRTRAAIKAATAVRTPQKPSSSSKKKRSKGPSSGTNPLSSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFSQWATAAGIDERTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECMKRLRRKLKRRPTNEEIAEDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPTAETAEEMLNRLSMKRDVHKALDTLSARERQVVTLRFGLDDGRIRTLQEIGNTMGVSRERIRQIESAAFRKLRSKKRVNALKDYLVPVGNW >OB11G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9036461:9036811:1 gene:OB11G19810 transcript:OB11G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMKPLLLAVAVVVVAAAAVDAGVGGSGVGVFKAAEVQTLTLPLRRVADVEDVVSFVEEEEQAAAYPRRRVLYDARYASYNGLAENKAACYGSCPGRGQPYSGRGCQSIYQCNGG >OB11G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9044356:9046877:1 gene:OB11G19820 transcript:OB11G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLLHISVLVTSFLLSSSGTGTGSLIAAHGTTALVEELTVETTEAAAAVEITAAVYPERRVLQGSGKNIGYMTLDKSRPACPNPCSPARGGRYTGRGCKSRFQCIRCSSIQGGENPRNLNDTIRACTKAHVFFVIGIKICKGDRCVSRPSERN >OB11G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9048562:9049642:-1 gene:OB11G19830 transcript:OB11G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGWRSARSASSTAASSGVGAAAVRAPRRAHPRCMLLLPAVACRLPAVAGGAGSGGSGDARVHAALLMQPLPRENELFINYRLNPEMITRSNCFYPKVDNAWTHYNVPDVSVPSASTISPKASTEQTLNGWPPKSVASPPVAHEHQAAGPHRARLPVEIKFLKRLASGGLPMALGSCGPHRPRRLAISPSACHRASRPIRRVRTWRLSRRRAHGGEGEPGAHLIFSHAIELGPLPYLSHHRWLYCGKLGRPHTTS >OB11G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9053449:9053850:-1 gene:OB11G19840 transcript:OB11G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLQHTPQGIQLFCLVLLVCSSIPEQITGQTTNKIRSNMPMGVKDTVRFGGVKLNVCYQAPNGFNFYCSKDNKCYPTVQECLSKCTYNKNVRRGLRD >OB11G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9066897:9067355:-1 gene:OB11G19850 transcript:OB11G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGGTVEDGRDGTTAAARDTARACGWRKMTGLIGGDHQSVSREERGVGLDLGALTGCGLGWASARRAQRGRGKEERGKGGELACPAERGKQAEWRERAGWLGRWPTGREEREKEEWAEGGDGDFEHGLGHGLGNLRTAQYSHNKWKMCMN >OB11G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9072174:9073099:1 gene:OB11G19860 transcript:OB11G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALGDVSAARSAFASLPDRDVVAWTTLIGAYSNAGELGEAFELFESMQEGGVRPDVISWNTLVSGFARNGDLRAAQHLFDEMRPRGVNSWNCIISGCVQHARLTIDELEECTLNVESLVMREVCLQPLRRRLNVYIGSSLIGMYSECGEFGYARSVFAAIEEKNAIVWNELIRSYINEGRMDEA >OB11G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9081212:9081835:-1 gene:OB11G19870 transcript:OB11G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCVNHTHQGLLLFYFVLLVCSPIPAQIRGQTTDEIGRKMGVKNGISFTYIRRDGCVETRGGFYCCSLDQLCYITIEKCIPKCHYKKRLDRGLTGLTALQMRG >OB11G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9097245:9097612:-1 gene:OB11G19880 transcript:OB11G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSQQGLLIVCLVLVVCSSITEQIRGQNANKIEGNMQAEVKDAVESAGDKLNVCFAAPGGFYCCSKDNECYPSIEACLPKCKYNEN >OB11G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9106703:9107785:-1 gene:OB11G19890 transcript:OB11G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQGQQHGHPAARVDEYGNPVAAGHGITGATGTGSYGDAGGYGRQAGYGATGTGAHDAGGYGGSGQPAYGATGSGIHDAGGFVPGHTAGHGATGTGVHHGAGGLGAGQTAAGYGTAGTGGHHGATGLGTGQVAGYGTTGTGAHHGAGGLGMGQPAGYGAAGTGAQHGAGHTGTGGPTATPDTMAYESTGTGPLGATTGTGAYPHGGGQFQPAREDHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGTQQQPQMSTTTAATGGYGAGAGPGYAGTTTTTGTTGGTTYAPAHAAGTHEKKGMMEKIKEKLPGGHR >OB11G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9113586:9114067:-1 gene:OB11G19900 transcript:OB11G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHGGHATGRVDEYGNPVAAGHGTATGMGAGHVQAPAREDRKTDGVLRRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQTMGGTGAGATGAHGTTTTTTETGEKKGVMDKIKEKLPGQH >OB11G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9127597:9128174:-1 gene:OB11G19910 transcript:OB11G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYTADRADVYGNPVYDGAPGGGPAVGMGAAGHGAGGGQFQPARGGRKPGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGTQQQQMMDGDTGGYGAYGQQGHAGMTGGGTGLHGAEYGTTGEKKGFMDKIKEKLPGQH >OB11G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9113663:9133308:-1 gene:OB11G19920 transcript:OB11G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHGHVTSRVDEYGNPVGAGAGHGQMGTGGMGTHGTTGGMGTHGTTGTGTGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGQQHTMGGTGTGAGAYGQQGHGTGITGTTGTTGAHGTTTTTETGEKKGIMDKIKEKLPGQH >OB11G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9150131:9151783:1 gene:OB11G19930 transcript:OB11G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMHCFTRREEGVLEDGGETGDRCSDARFSQVICVNPITVEAAAREVTGVGRDRSKNRVPEELSVLTESEGIRGVFYPDVESIESVEQEYMVQSEGTQVMNDNQEGLDDDVEIYYDN >OB11G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9176118:9176411:-1 gene:OB11G19940 transcript:OB11G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVCFVSVFGTHAWVSSSRDDVVSRPESCSASIVRVRVGRQLASELSILTGTSLAVIVLSEARSAFYFGDPSVDVVLRRYALPAAAAAAIVAPSH >OB11G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9176609:9176773:-1 gene:OB11G19950 transcript:OB11G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIAKHQQAKRIRLGMSVIIHNTHHPILDKVPPTHSITPLFNDILCTDNLLLA >OB11G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9179151:9181182:-1 gene:OB11G19960 transcript:OB11G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQQKQVVFNASLMRGQMDIPSQFIWPPDETPSSLPADDLDVPLIDVGAGVDRHEVVCLVGKACSTASSSWSTTASTPRCRMRRTAAWTPSSRCSWSTSSPAPARATATPAASRGSLRPSYRGRRCCRLGTRRWATAKKWRNTWWGSSGRSTGAAGGGVRALLWRDEPAVARADGGISTRRFIDVGINRVIYDRQILHQPGIITLVTSYTTYGVQPKIGDPTSTTFSNICKMTQGHPLTSAKTLPMASSDICKVSHL >OB11G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9187087:9187323:1 gene:OB11G19970 transcript:OB11G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLRIGRVGLTVRFVFSVALVAMATAAVLTGGLVAAAPNGLSYKTVIRSNAACSGSCVPGRSNLPRRDCLKIYHCH >OB11G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9190496:9195990:-1 gene:OB11G19980 transcript:OB11G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQDIKPLFLPIPINSIPGGHSGFSAFQLLAPAPAAAAASLDQRGTRGAAAVQRQQHQQQQEAVICVLNRAASQPPEEEGEGGGGGDLRRRPGESRVGDSAHRPSLEAAVPPRISKRFWSAGEYDVAGGSSPVQPPRNLQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKVVNNCNGSPALLVQDDGGGMDPDSLRRCMSFGYSDKQSGSSIGQYGNGFKTSTMRLGADAIVFSRCMKNSVPTQSIGLLSYTFLAETDQKDVVVPLVDYKYSLLTGEAEPYYRLGPDQFSSNLSVLLKWSPFATEEQLLQNFCDIGPHGTKILVFNLWSNDDGDLELDFDIDDKDIRISGAPKTAETNNAAKAMNESHLANQLRYSLRVYASVLYLKLPEYFKIVLRGQEVKHQYIASELRHYQCIRYIPQTYGKKEEKVDTTIGFLDGAPTISIHGFSIYHKNRLILPFRRVLSTASSKGRRVAGVLEADFIRPTHDKQDFEKSHLYQKLINRLKEMTTEYWDLYSPLIGYHKVPRAASGSPACRALVPTLSGTAATSTSSSSSEQIPADHHVLAHVPSNPNITHHNPVPIAFCAHPGGSNAVFSQPGMQITGSATMATGTNLADTNLADTRKRKLEPLVQMDGPLTKHSKYDSVVNTVEASNQICQYMGERELNEFSFLKQENVLLRQECSEFELAERDLLLKEQKLRLELEQAEAQYKSLLKEFISVPAPVRTPRR >OB11G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9210921:9214015:-1 gene:OB11G19990 transcript:OB11G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:J3N863] MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWGTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKTGKVPNPDSTDNAEFKIVLTIIRDGLKSDPSKYRKMKERLVGGSAGTTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKSQADYISVPVEGPYKPAHYRY >OB11G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9213213:9213971:1 gene:OB11G20000 transcript:OB11G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERRVKPHLALGDGVVDVNGGEEEGSGLLHLVEPLDTGGGPRGAADEPLLHLAVLAGVGLEAVADDGENDLELGVVGGVRVGDLAGLLELLLGLDTLVDEQRGVTAVVDDEVLHLVLPPRRGLLHGERHSSSGGG >OB11G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9236265:9240098:1 gene:OB11G20010 transcript:OB11G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N865] MDSVASWGLTPLAAADPLVHDLLEREKRRQRSGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDPASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTPRGEKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKVEVEKFATSFDMPGFTLDSMKYKE >OB11G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9246908:9247258:-1 gene:OB11G20020 transcript:OB11G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTKLFLLLPSLLLLLLLLLGKHGVHCLKSLEMDMGMKMEFEMDSEAHTRMLWEARSSSSSSSNRRYISYDALRSDVVPCSRQGVPYYNCRITTTANPYTRGCESITRCRDVDP >OB11G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9248791:9250819:-1 gene:OB11G20030 transcript:OB11G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWKSKCSTRSLLTTVAGAKPDESEFESVDAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSSQRKDTLIRWEHSIGSPEDCLHRRIAEMKDQECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNRRLQIWPTRETELESIHGPETLGLIREHLTSIIRWVHRNGPKINHSTLRIKRLQFSRIYSASIMYGYFLKSVTTRHRLELILARSQEFCPPIQFLSAQFNITQKQEQEEAIGGSTEMSSSSKPSSVVDLRDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRGSMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >OB11G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9252430:9252687:1 gene:OB11G20040 transcript:OB11G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSGAAGWVGRRGDKSRITWCAVSSRQNRSSGVASLFSSLLFSSLSRRLVEMDGHVVAVHARHSPCHRDKRLFLFFRLPYFKI >OB11G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9257092:9257482:-1 gene:OB11G20050 transcript:OB11G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSGAKDTTLEVWNTLRTMHMGADRVKEAKIEMLSREIENLHMSDTESVDDSMSKITLLVAQMQALGEKVEENNLIKRLLRVVSDKYDNIVTMIEYFGNVNNVTFKEVVGSLQL >OB11G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9265005:9267005:-1 gene:OB11G20060 transcript:OB11G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLCGDSGGDGDAAPLALSVLHDLLGVAAFVASHPLHAAYALFFARHLLALACFFCPLLLTTSLLLAVLVTVAPYVGGGGGESPPPPGVRSSLGWTCGIAVGALRAELRPDGAGTRAGGGAVALLGQLCSFVLGPGDAAAVHRVGEIMGELCDIGDSCFIPQDKSMMFDHTELGLPWHHPAIDGEISMDQEALDDEIKDGIEVKKVVLELDAPHFPSQSCSASDTSLQDMDEHEQNAIQDQSSGSPDVDGLSDGVEEKRLECDPVSVEIKKCEPAARPHSSVSRRILQWEAQASGNFKRALDEMEDNAVEFCSEESPLMGFKECNKLEDAEKRGKKSEVEEATPVAQSCGHQEEQEFKDVKECVPSEAENCSKIQQSEESRLAVLSEQEWQEEKLKIVLPEPELQDQEYKDVEPVKESQGQEQRFVQSGEEKQERQECSCVQPEEEQLQEQQECDNGVQTEEEELQEEFKDADQELAREDPLRPSTSIARRVHSRTSSENLVVGGEGSPRKEKEWKRTLACKLYEERMQLKLCRDRAVVECSDNMDMLWEAYEVGVGGGGASSAAATKRGGSKTKRGSSSKQEHSIAKGTQEQVEAEDEDDGDGDGDYDEEGSVRQLCCLQALKFSTRKMNLGGGKPSLAKISKVLRRVAALSRSGSRRSTKG >OB11G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9267013:9267336:-1 gene:OB11G20070 transcript:OB11G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRESVPSLQITSKPTPSFLSPNSKIGLFLLSYTSSLSPPRLHPICGEELHAKDRHSAALTWHHRRAATRHAASTHCAACVHGRAPRLVGGTAVPDDEAGGVGGRSEE >OB11G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9282586:9282873:1 gene:OB11G20080 transcript:OB11G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFVADDFVRRSGADAPDGQVHHPPGHEAPPHHLPRRRRRPGSVAEAVDRLHHQPVERLRAGVRVPLDAPRSRPEPAVQDPPCHLLPPHRPVRA >OB11G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9283311:9283850:-1 gene:OB11G20090 transcript:OB11G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPLVAEIVGSAVVGEAVSRICSYLIGRAAADEAVGDAEQNEERMEVALLRIQAAVEEAGSWHITNRPVVRWRDRLKRAAGEGECVLREHRQRRRRVADDDDAHRHRLWLPRCVARAAGRKLFAAVGGGDGQRLSGGTVLRFERLADGVGDFVRLVESGGRAKRFVPFHPVAASLLPPR >OB11G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9305987:9306676:-1 gene:OB11G20100 transcript:OB11G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKIHHFLATDVGFMKDTCLPLDLAHHFSRNSGIALELEQPSSSNDILSLLDSLAGSDQWKLLKVLDLEGCKGLTKKHLKNICNILLLKYLSLRDTDATQLPKQINKLHCLETLDIRQTKTRACATNSIFLPMLKHLLAGNKVVSHRFMDMVETVQLPSGTRRMKRLEILSYVDASRNVDDLIDIGQLLHLRKLGVILDGRRTGGLAVLFQQIEKLHGCLHALCLNKS >OB11G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9306987:9308396:-1 gene:OB11G20110 transcript:OB11G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSALDSLLGRLTTVLVSEAQLLGGVRGDVEFIRDEMENMDSLVAHIMEGQERDRQVRTWMRHVAGLSRDCEACVELYLHYVAPGGGGGKGLLAQLRWITQLVRTIPARRHVATRIRELKVRARDVGDRRSRYGITVPPALPVPDHQIRSTVDDSPCPASPQPCGPEEEKDDRRRELLFGEMPQAATKLVLQWLQQDNWSLRQEPPPRIIHILGEGAVGEREASTAKMVYDHPHVVSLFQHRFWISFQDNQSSSLFHKMSQLFTDDWKEAARAEGLLPGKKFLLLVLDDLRFNHTEYYGDLLRSILQDFGDRFDFIGATAILLTSRDWDDYTRELSDKTIFISAQGGQIHCPGLFRRTALALISPVRKGPVEQIVERDCAHDAFAMQMFLHLLYTNPYRSTRELESLSDNLREHKNNSSRIMLMFCYNELPIKSLSLHLPPASRHPEDQAHPAVACRRAGDREKISW >OB11G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9312272:9314373:-1 gene:OB11G20120 transcript:OB11G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGHTRLEGLIFNCLDLGGCGLLFAVKMANVENYTPASKGSQTPPKKAATMIIATLVRLFRRTI >OB11G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9323712:9325535:1 gene:OB11G20130 transcript:OB11G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRLIRKGYRAIIYSGDHDGGITIVGTQAWIKALNLSIAHDWRPWYVAGQVGGYTRSYASNNLTYATVKIVCMLKLCKFIADDEHK >OB11G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9331208:9332645:-1 gene:OB11G20140 transcript:OB11G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIPASSGEGRGWGRFRGVVRRLLHAQKMATDKKIKEKGYESLEAPT >OB11G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9349765:9351801:1 gene:OB11G20150 transcript:OB11G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQACTSLALIFLLAFLSGVSVSTSQHQCDGAPAGVRAGYWLPSSSHYSPLSSINTSLYSHLYYSSLSIDERSSTVARPPGEESYILSTFSSSIKSTGNLAVKIILSVGTDEYKEDVSNAAFSRMAADRNLRKMFINSSIELARANGFDGLDLAWRFPSTQMDMENLGVLLAEWRAAILDESGSTSSEPLLLTATVYFSNHLFDMSDSNLNYPIEDMSNNLDWVNILTFGLHKNSNITTADAPLYDKDSHFSASYGVISWLDAGLPPCKLVMGIPLFGRSWFLRNKDKNGLGAPTAAAGTKQRKSNQTGIIAYAEIEDYLKSQSVFVTHDNQSVADYFYSGDLWVTFDSALVVQEKIEFVVKSQLLGYFLWAISFDDLNCTLSKQASVSWGQYHDSSYAQGVLGIMQEGAVIQDLHASTGSPTSWYSKTISCLLSTILLLVVL >OB11G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9362269:9365125:1 gene:OB11G20160 transcript:OB11G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTTTVTSTSCGGGHCRWWSWLPLACFLLLSWLAAISPAATVAGRAVTSLPGFDGPLPFSLETGYVEVDESMGIRLFYYFVQSENDPDNDPLMVWLLGGPGCSGLNGIVQEIGPFQFATKWQYRGGVPRLIYRPETWTKFSNIIFVDSPVGTGFSYADREEGFKSSDSKAVKQLLISCESGFRIIQGSQQILSILVVNPTVVP >OB11G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9370158:9374845:1 gene:OB11G20170 transcript:OB11G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFADSRAMKSLEAHRLLQSDGHRFKAKHVKDMDSSINKEKDVMRLAYARQVADCTYRRKHLRSRYIEVCIGSNDSREKTLFNLKGYIAGNPFTDLQFDGDGKIRFYHGMGLISDEIFENAKETCRGKYITPSNARCSQLVDAINDCVKDINEAHILEPSCGEIGSPRIQTRAARLRTVLQKTATDDDLNGFQCRIASYMVYNIWANHPTVRESLGVHKVLYYIGHVRPSQLS >OB11G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9373770:9374090:1 gene:OB11G20180 transcript:OB11G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCVLTPIAECMYFYGCNKTGLSSHNIQTFSKTTTNSRFSLQKTSCCMNYFTLDQFQRNSYIMVVVSENFEETLDGKLLCSQHCPVGHHLAASNLAHPRFASRDT >OB11G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9374565:9375901:-1 gene:OB11G20190 transcript:OB11G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTPALPFTRAFKALAQEDPQYVHLPSDNEWGMARKLCSMLQVFHKATVLVSGSKYPTANLYFHQIWEVKKMLEIECLNSHHVIASMVCQMTQKLEKYWNLSFLKICIPVILDPRFKLSFLEYRLKQGLERMEADEYLKKIETTIRELFTEYSSKMGNLEGSDVVDLIVDGSNPWSDWGKHVNAHKRSREKELDKYLEEEVVSIGDDDFDILQYWKIFSTKYPVLAQMARDVLAIPASTVPTESAFSTGGRVISDYRSSLHGETVQALICLQDWIKAEDSQECKLNACLS >OB11G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9377880:9381507:1 gene:OB11G20200 transcript:OB11G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGGLLEDTWTQRWEASMEDALEPAVVAEGCATVGSTGGGGVESMGTVPDWTRCKFDIDYEKDISTIGTLEYHSRLMRQGYRAIIFSGDHDARVPFTGTHAWIRFLNLSIIDSWRPWYVDGQVAGFTSSYASNNLTFATVKGAGHTSPEYKPKECVEMFRRWISGSPL >OB11G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9384665:9395829:-1 gene:OB11G20210 transcript:OB11G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIEFAAKYYYRGVPKLIYRPQTWTKMSNIIFVDSPVGTGFSYATTQEGFKSSDTKAVQQLLIFLKEWLQEHPRFSQNPLYIAGDSYGGMIVPTLTLAIDETGEEPLFNLKGYIAGNPLTDRQFDDDGKIPFYHGMGFISDELYEKAKDSCGGKYSTPSNVLCAQSVQAIHDKASYAVLKMWANDETVRGSLGVHKGTIGRWKRCNLSIEYTRDLRSTVEYHSKLIRKGYRAIIYSGDHDGGMTIVGTQAWIKVLNLSIADDWRPWYVAGEVAGFTRSYANNNLTYATVKGAGHTAPEYKPKECFEMFARWMSGIPL >OB11G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9403097:9403315:-1 gene:OB11G20220 transcript:OB11G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHVSLFAFRFDINKNKRKGAQAHYECPLRILIHDKVDLVSTYLTIYLIQKNYVNFFIVMGFITKSILIIS >OB11G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9405669:9405890:1 gene:OB11G20230 transcript:OB11G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLYELTIRLIIDELKPVVDYTIELALISQSEELLLLYIHLADDNDRGNQNGRRRGHEIGCFFYRKSQIAYV >OB11G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9410957:9412888:-1 gene:OB11G20240 transcript:OB11G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKQLFYDWEIQLLVILSFTLQLFLFFAGSLRRCITSGILRFLIWIAYLGADLIAIYALGYLSRYDDILNERHISRKNKSMALYWAPFLLIHLGGQDTITGFAMEDNSLWLRHLLNLVTQVVLALYVFWKSIGRQDVYLLVSGIFAFVAGIIKYGERTWSLNWGSTKSFESSTGDLYKKQMPKQIYGGASYSGTVCVAIRSMSYVHGVFLARTLFDNSPLIEDMLGDLEKTLKVVRLELGMIYDDLYTKSLVLRTRSGIILRCISQISAIIAFVLFLTHNKHGYGKADIAITYSLFTGGFFLDIFAILFSMMSPWTWAWLKAQKCDKLSIFSWFILSSDAGWPEKQQRWPKSMGQYNFRCLLSTGYQPRTLSQRVMKAITNLTKLLGIEKKKVFWMSKLLDTEQVDVGMTMMEHVAKEVRVLHEELYLGEKHKEPREWPRLGLLLERTQARLASDFGFTIIFLHKLTEVHLSRCPQHTNPDIETTTESSYLPTDQVEICRKLSNYMMYLLVANPSMLPLSTSAVATLESSQQPRAMDLLLIEESLEEFSVMLDKDTLEEMIALWLRLLIFSAGKSRVEMHAAQLSRGGELITFTWLLMVHYGIGDSQTRRVQITNDNTGNSDVREANAMNVPAPNRRPTRA >OB11G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9422173:9425995:-1 gene:OB11G20250 transcript:OB11G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSTVLTMLGPKLYAFLQDNHDLRRNLEHDIQYIRNELRMIAAAIDEHDHRQQRSHNGAVQGAWIHGARELAYAMEDCVDRFLHRVTTGGHGRLATVAVRTRFAAMIQKLRKKSEDLSRLRSSYADTGGCDANGESSNSSGIFLASEAHAPAGDTVPVGMDGPRDEILALVRETQGQPKRLKVISLVGFGGLGKTLLAMKVYESDAVREGFHPRVWVSAAGKSAANVLRDMLCQLGLGHEEDDYSDVNKIITILKTSLHSKRFFIVIDDMQREYWNSTIKDAFPLDTGLTSIVLVTTTVHSIANACSSGNGHVYVMSTLDKKHSRQLFLRETSWDEYPPGSEAAVCTKCDGLPLALVSTAQFLQSKGQQIPQEYAKLCDNLGMHMEREDTLARMKHVLVHNYTSLPGHVIKACLLYFGVFPSGHQIGRGKLIRRWSAEGIVEADPFRRSLDVATDYFKELINRSIIQPVAVSSNTEVKTCQTHGMMLEFILRKSRCDDFITLLCDQVHLPDKIRCLSVQQNNSRRGRGSLNSNIDLSLVRSLTIFGEAEESVLEFSRYELLRVLDLEECDNVKDEHLRKICKLLLLRYLSLGSTITMLPKDITKLKFLETLDLRRTKIRILPIQVIKLPCLTHLFGAFKLQDIGQKIAKLQDYLSAKSKLETLAGFFTDDDRGFPQLIDHMNNLTRVKVWCEATSEASTNIAHLSKAIQGFIQKGTNLNDVRSLSLHFGECFQDQEHLLNFSLEDSCYLSCLKLKGKMQRLPQFVTSLSGLTGLCLSSPHDLLNSDVLAALSEVRCLHYLKLITLHLDKFVVEQGALKSLRRLCIVVKSMTRPEIQQGALPNLESLRLLCKDLNGLCGISIQHLGAECLKEVILDERMGGETKDKWKEAVKTHPRRPRVVFLRTGEDGQILQNREAAQPADSAAALALAADIPMPVPVF >OB11G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9430333:9437715:1 gene:OB11G20260 transcript:OB11G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTCRYFIVIDDLWHMNSWNTIRFVLPDSDHGSKVVTTTRFSNIARDVGDVYNLQPLSHGISKKLLCTRLFDDEGKCLERQSAEASDNFLEKCGGNPLGIIAIAGLLASKTEDDWSGIYNSIGFEDGINDVVQNTRRILSFCYYDMPSHLRTCLLYLSIFREDYEINKSLLIWKWIAEGLIHDEQDIGLFELGEGYLNELIIRGMILQPVEAHGTGYEIGCRVHDMVLDLVRSLSSEENFVTLLSSDEDHQNLSLTDANRIALQSRTVEKYHPQLAYVDMGQMRSFVAILSNIPVIPPSFQVLRVLALEDCKFIEGYTSNGLEHLGKLLHLRYLGLTRTHGFHRLPEEIGQDLKFLQTLDLYETDLEEVPFNVGLMTQLLCLRVDVGTRVPTGLITNLTSLQELWIYPTMKGYTIGSQFVKDLGKLRELRVLKTWILGWDQSMEIALVESLHNFHKIQHLELSGESYLGKGVTWEAGFPSSVHLRYLSLACMQLTRLPAWMNSSLLPNLSHLVVNVQFMQEQDMETLGRMPELRSLELQSCNKRVVNIKNSCGHIGYFQKLRSLISYSILILFGLDRSGVGIDAPATMPNLEYLQFTVHVRFLKDANHGFDKIISENLSSLQVVNATINCSYARIAEVEEAEAALTDAVNVHRNNPTLKMMRYNEYKMVSSDQTQQVYAIMPTNSTTLNVRQFEGRSRMIHTVSSSAGAMGSLLTKLDMLLDPGCRLPKAVRSSVQLLKCDLEEVATYLEDLLKVDDPHLMAKCWMKEVRELSYDIEDYTCDIEDNIKCVRSIHLNRKARFICKVNHLKINGAPRRLKWHQQIRNRVSKFRIYVQEAIERYERYDIHCCTDRHRYVCIGSMLPTPYDQIANLVIDRRTSEFIKRLANDGDQKLKMVSIVGCGGIGKTTLAKFFYTKFGGQFDCRAFIQVPQKPNMKSLFCDIISQVQQNNPRDDCKEFELIDNIRRHLQDKRYLIIIDNLSAASVWDILNQGFPENTQRSRIITTTRIKDVALNCCLQHLEYIFEMKPLEDNYSRKLFFNRLFGSESDCPRRLKEVSNKIVQICGGLPLALVIMASLLASQPVVSMELWTYICDTLSPDLWTDSTSDGIKQVLNLCYNNLPHYLKTCLLYLNMYPEGYKISKDALVKTWVAEGFIDAPKDLDVDKVAQSYFDELIGRRFIQPIQINYNDEVSSCTVHDLVRDLIAYKSVEENFIVTIECYRKNVVLIDMVRRLSLDFSDSKYAKVPANIERSQVRSLAFFGLFMCMPSITDFKLLRVLNLQLFGHLGDNTVDLTGISKLFQLKFLKITCNIGIELPLQMRGLKVLETVDMDTKVAAVPWDLFHLPGLLHLHLLLETNLLHSIAQMKSAMTLGSLDLSSNSSQGNMNNLQAIHLTCSTLPSEHLQRNMEALGCLLGTVGNLKTLAIVSGNYQKFDMFPGTSDATVSWDFLAPPPFLQRFEWQLHDCIFSQVPKWIGELSNLSILNIAVREFVNNHVDILRGLPALVSLSLNVHRESIEKVIFDRGGFSVLEYLEFCCTAPWLKFESNAMPSLRKLKLDFNAPRENIHGTAPISIEFLSSLKEIYAKIRGGGGGAESALTSVVINHPTNPRINLQLVDWISYDDEDNEHTIPSIGMADQFQEMVSGDSHDVRSSTRPNHVLDSIILEGILQLLTTAHDRNTASLVCRYWYHTEAETRHELFIGNCYAVSPSRVIERFRGLRSITLKGRPRFADFTLVPKGWGAYTTPWVSALGPAYPHLERIFLKRMTVTDNDLMLMAQSFPKLRELKLVSCDKFSATGLAIIAGQCRHLCVLDLINDRIEDTVNEQVDWISMFPQPSTSLESLMFECVDTPCNFQALEALVLRSPALRRLRVNHHVTVEQLCCLMAIAPNLTHLGTGVFRSRTGYPAGEAPTSVSDLATSFAACKSLISLSGFLDMNPEYLPAIYPVCANLTSLVLISMSITAQQLTPIIQCCGKLQTLCVSHTVGDDALCAVAKTCFDLRVLRVFRLFASSRYDLSVSDVGLEAIARRCRKLENLTYYCGSMTNAAMIIVSNNCPHLEAFRLCILRTHLPDRITGEPMDEGFGAIVMNCKKLCRLSTSGLVTDKAFAYIGQYGKFLKTLSVAFSGNTDMSLRYVFEGCTRLQKLEVRGGPFGDEGLLSGLNRFCNMRSLWMSSCRITMRGCRDVARQMPHLVLEVISGHSGNEEVTADTVDHLYLYRSLAGPRDDAPPFVNIL >OB11G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9451176:9455281:1 gene:OB11G20270 transcript:OB11G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQFYFLLPTRSIFASEAARRAAAVPRVPPPPPSDDDDDEGEGDGEEEREEVATAKRLRSGDAGALAGRKSEKGSKGYRQADDLQLLQLEEKDVISSTATVLSDLCGPQEWVPMDKLHEVMFEKYGDLWHHNRVRKYLTSEDLPESETGGRPWHGLSVLLRKYPEHFVINIRMAGGQSVEFVSLVSLQP >OB11G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9460594:9460806:1 gene:OB11G20280 transcript:OB11G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLLLIFEEWFRVASKENVFFLVDLHFFPINEISQRFFYCSRKRGTSEKSLYIKNGAWMSHRRFFFSD >OB11G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9463782:9464315:-1 gene:OB11G20290 transcript:OB11G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSSSSPPPPIPLLFLLLVSLLAATFLAPQALAQQQPQVHEEGGGGNATAAWAPRLRKTFLDGGAGMLPRRRRLVGRFQICAVCSCCGGPHGVCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >OB11G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9477684:9478414:1 gene:OB11G20300 transcript:OB11G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDRSRRRSQQVELRTLDATKAFVITRLQALSRSCKRLRPQSTAQTEKGVAESLSPRRMSAHSASWAKPIYTNHSLTVDY >OB11G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9492596:9501306:1 gene:OB11G20310 transcript:OB11G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSKAPLGLEENGSRRQVYKQSKRLHMQNSRQRTHILRHLAETNRTVRSSLHKIADHFDEMLIPVLINLINEVGSWSHAGFSEGTELFVCEKQLETFCEGALWYTESGMESLKQLFYEWEIQLLLILSFTLQLFLFFAGSLRRCSTSGILRFLIWIAYLGADLVAIYALGYLSRYDDILNERHISRKNKSMALYWAPFLLIHLGGQDTITGFAMEDNNLWLRHLLNLVTQVMLALYVFWKSIGRQDVYLLVSGIFAFVAGIIKYGERTWSLNWGSTKSFESSTGDLYKKQMPKQIYGGASYSGTVCVALRSMSYVHGVFSARTLFDNSPLIEDTLGDLEKTLKVVRLELGMIYDDLYTKSLVLRTRSGIILRCISQISAIIAFVLFLTHNKHGYGKADIAITYSLFTGGFFLDIFAILFSMMSPWTWAWLKAQKCDKLSIFSWFILSSDAGWPEKQQRWPKSMGQYNFRCLLSTGYQPRTLSQRVMKAITNLIKLLGIEKKKVFWMSKLLDTEQVDVGMTMMEHVAKEVRVLHEELYLGEKHKEPREWPRLGLLLERTQARLASDFGFTIIFLHKLTEVHLNRCPQHTNPDTETTTESSYLPTDQVEICRKLSNYMMYLLVANPSMLPLSTSAVATLESSQQPRAMDLLLIEESLEEFSVMLDKETLEEMIALWLRLLIFSAGKSRVEMHAAQLSRGGELITFTWLLMAHYGIGDSQTRRVRITNDNTGNSDVREAYAISIPVMIYDSNHAWSRGESRRMAGKEAAAASAARKSTAAQSQKASVAAQGRNEYPILHTDYPIIIFRDNPTLAQQPLQPERGELGAVFLSGCADRQAAESVPRPWGTDVLLFCIQYCIVANLLLYFTQGYVRLRSVPRPWGRRRTAGGGRWTPGGGGRTPPRLGEAEAGRTTDDGSRPDRRLGSGGRREAEAGRRRTTSDLVCACAYQPVRLCALGVRHED >OB11G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9511990:9524677:1 gene:OB11G20320 transcript:OB11G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSSKPFFPGNATIDLAPYLRSPGKSNNKGVIIGGIVGGVIFLFLLGLLAIFFRLRRSRKLLKPRRGDILGATELQGPTSFYYQDLKVATNNFSENSKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGEKRGTLNWKQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTNFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVTLEIIGGRKLNDTRLEPDSQYLLEWAWKLYENNNLIELVDRSLDAEEYNPEEIKRIMEISLLCTQSAVASRPTMSEVVVLLLTKNASEFQPTRPTFIDATRRVRDETSSSSSSSASKATVSISQLSAR >OB11G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9531670:9540313:1 gene:OB11G20330 transcript:OB11G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGAVPVLVASSARAAEAVLRTHDHVFASRPRAVLADVVFYGSRDVGFAPYGEHWRQARKLVTTHLVSVRKVQSLRLAREEEVSIVMAKISEAAAAGTAVDIGELLGAFTNNMICRAVSGRCPRDGGQKSTLQELARDTSRLLGGFDVGEYFPVLARLGVVGKAMRARAERLKKRWDDVLEKLIGDHEHQCGYNQSHDRSDADDFVNILLSVRQEYGLTREHVKAILQDVFLGGIDTSALVLEFAIAELMQRPHMLKKLQTEVRACIPKSQEIASEVHVNNMSYLKAVIKEVLRLHPVAPLLAPHLSMDDCSIDGYTIPSRTHAFVNMWAIGRDPRFWENTEEFMPKRGSDYHYLPFGSGRRMCPGMNFSIAVVEIMLANLMWKFDWTLPPGMEIDMSEVFGLSVHRKEKLLLVPKQYVCSI >OB11G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9545151:9552269:-1 gene:OB11G20340 transcript:OB11G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWTVKVTASMGRIRFGAAREGLSAAATAVAVAASVIGPHSSDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGACKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPGQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTSSRHSETPTMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIVPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDMSTARRMLAPVPAHSEVSPDSVSGRSRRITHSDSQQLPSGERSTEREAS >OB11G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9553222:9553509:-1 gene:OB11G20350 transcript:OB11G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGLFQFVNVYNLGKDVFRYKGVTTKGSRMAQKHGMCFHSFLAFLVYSYVSLLFKCIRMDISIFGCITLYGLMVSGCNYDIKYLNSITLKVLG >OB11G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9568488:9573293:1 gene:OB11G20360 transcript:OB11G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRRLLVVVVVVVALLACALAGAEDNESAGSRVSLGRRAGGFLHGLKKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLEAGQGKSTIRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >OB11G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9575042:9576341:1 gene:OB11G20370 transcript:OB11G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIAELQPSSNQELGAHTAAAASAAGHGNPVLAAGATRNEEATTEKTKTSTDQEESQAARRHFLAGIRKLIKSFKSLSHIFEIYKEDDDDDNDEEDMNIEIGFPTDVQHVAHIGLDGSCSSISSLSGLQEEARELLSMSSLTMEQFEFAMASLAAHKGQNVVVDRVARN >OB11G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9576858:9577228:-1 gene:OB11G20380 transcript:OB11G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSSSACFPQSKQLLESLNLKNRFNYPKAKAVNWCIRNTLRNSVSLIFVTDAVILIIFFRSCTCYWTSTKTVANDASNVYATYYSDPF >OB11G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9581116:9581328:1 gene:OB11G20390 transcript:OB11G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMPHNSLFLWSQPQNLNTWFFPYCHKSVIFFFISKFLASLEATYGYYEKLLNCIVLMKGHNHGARVCK >OB11G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9584540:9584806:1 gene:OB11G20400 transcript:OB11G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVEQTRTNLKAMRFSKSKSYLLYIVLVYYACHIWMKRKTVFHDHVNEELLQQHLTHTMKSRNKIYNLITKLVHKKQSKIESVLPMS >OB11G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9606617:9610276:1 gene:OB11G20410 transcript:OB11G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:J3N8A5] MNAFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMKRHKIVRRTYDKDHDTFRHHFLVLPCLVLALLINEKFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWMAGIVQTLLYADFFYYYIMSWKNNVKLELPA >OB11G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9614196:9616770:-1 gene:OB11G20420 transcript:OB11G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSSGGGNAWAKEMTLRRRMASIFNKTQDHFPSLRDYNDYLEEVEDMTFNLIEGIDVEEIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGASDMAAGSSQGISSGTGVQGQYAPAAVGMAQPRPTGMPPQPICGSSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >OB11G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9653453:9663270:-1 gene:OB11G20430 transcript:OB11G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMRSVSVSKGVFVEGLRGLWWQSWIDYVIQDLASATNNGSHHHEYGSNVLRRPGAIDNTDLIDDTASEVSNMEIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLLLAPKGEQAVIRISKKDTVGELHKKACEVFDLIPDEVCIWDYYGRTRHSLMDNLEKTLDDANIQMDQDILVEVSTDANGSLDGGCMGSIQENEYFERESTSLIADASKSGLSNENFASNNYTSRSYSSSLTQSQYLRSSNGDLDNMHGTSSMITRGSPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYIKSKDADGRSDDEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPACGKVSVTFDPFMYLSLPLQFTSTRSMTVMVFTFDGSIPPTPYTVIVPKQGRCRDLIQALSNACSLRTGERLVIAEIRNHRIHHLLDDPVVQLSTINDDDHLAVYRLPKMEKKPNYIQFVHRRDDLDNGSNISSISWKPYGVPLLAQVSRNETVTGMHMHEMVRKMLAPMQKNQESQHMVQSSVSTRTQTYHTDASKLQLQLIDDSNSIIEQSNDTIRVPQSSLAAVIFVNWPKVDLKKLDIHHLENLPEVFKYAPPAKRTRGEPLSLYACLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDFDLTNYIANKSSERQIYELYAVSNHYGSMASGHYTAYIKLLDEDRWYNFDDSHVSAINEEDVKSGAAYVLFYRRVRDGTASNGIQSYANQNHRSSQR >OB11G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9666471:9670138:1 gene:OB11G20440 transcript:OB11G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVGAVAYPVSEQELLRVVASVLESKTRMKVATWYGHSVPKPACLGDGDDTDLLISTDALNRVVFVDTGRMEITVERGVDFAHTGRTTVIADGGKCDMEEEWHGEVSGAISSAAVADTTDGARSTMPAVA >OB11G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9689181:9694166:-1 gene:OB11G20450 transcript:OB11G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYFNPRLNAFILMKYTQHRDELKATVRKQLQGAEPSVSSYDTAWVAMVPLKGSSHAPCYPQCIQWILDNQQLDGSWSQSAEVNKDILLSTLACIVALKRWDCSPDHIRRGLHFIGRNFSVAMDGQSVSPVGFNITFSGLLNLATEMGLEIPVMKTDIDGIFYLREIELARDGGGTVAARKAFMAYVSEGLGRRQDWDLLMAYQRKNGSLFNSPSTTAAAAIYSRNDRALDYLGSLTSKFGGSVPVIYPDDVYSQLCMVNTLEKMGISSDFACEIRDILDMTYSCWMQNEEEIMSDMGTCAKAFRLLRMNGYDINSDGMGQFAEQSCYDDSIHAYVNDIQPLLELYRSSQVRFSEDDLILENICSWSAKLLKQQLSSRKISKPLVQEIEYALEFPIFATVEPLEHKGNIERFKTNSFQLVKSGHCGSSSANTEILSLAIDKFRSTQSIFQQELQDLNRWVQEHRLDELKFARILPLHACFCAIVPLFPPELFVPRVAWSQNAVLTTAVDDLFDGGGSMEEMRNMVELFEKWDDHAEVGFSSENVEILFKAVYNTTRRMGEMAAQLQNRSVMDHLVELWVVTVRTMMTEAEWVENKYIPATMEEYMPVAEHSFAFDPIIPTSAYLLGEPLPEEAVRSEEYTRL >OB11G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9698240:9698954:1 gene:OB11G20460 transcript:OB11G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWAAYAQTEVQASNNAIEINEDEQQQQQEGIEDMFVQSPLGDEMFDVDQNTLDAMLRDIEHKEYNERDYKKFTWRKPRFVDGKRSKKGGPTKVV >OB11G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9715432:9726299:-1 gene:OB11G20470 transcript:OB11G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGSPQAPCYPQCVQWILDNQQLDGAWGQSPTGAATKELLLSTLACVVALKRWNTGPDQIRRGLNFIGRNFSVAMDGQTVSPVGFNITFSGLLNLATEMGLEFPVIERDIDDIFYLREIELKRDGGGTGAARKAFMAYVSEGLGRRQDWDLVMPYQRKNGSLFNSPSTTAAAAIYSCNDRALDYLGSLTSKFGGSVPEIYPDNLYSQLCMVNTLEKMGISSDFVYEIQDILDMTYRCWMQNEEEIMSDMTTCAVAFRFLRMNGYDITSDGMAQFAEQSCYDDSIHAYLNDIKPLMELYRSSQVCFSENDLILQNIGSWSAKVLKQQLSSRKMSKSLTPEVEYALKFPIYANVEPLEHRGNIERFKTNSFHLLKSGYCGSRAKEEILALAVDKLHSAQSVYQQELLFLKSWMAEYGLDELKFARVQPLQSLLCAVTPLFREELSDARVAWAQNVILSTVMDDLFDGGGSMEEMRNLVALFEKWEKHGEVGFLSQNVEIVFNAVYHTSNRAYAKAALLQKRSVVVHMAEQWAVEARAMMAEAEWVASKHMPATMEEYLSVGEYSFGLGPIVPLSLYLLGHELPEEVVQSGEYVRLLRLASVVGRLLNDVATYGRDMEAGKPNAVLLQALRRDATTGGGVSPASMVAAKEDVRRVIAAARMELQRLVFRDGHVVPRTCREVFWQTSKVASIFYGEEEDGYSHKAIRSMSDAVILDPMQLAAPTTTLHWSEIEL >OB11G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9760178:9765669:1 gene:OB11G20480 transcript:OB11G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVSISAYDTAWVAMVGRVDGGDGPQFPSCLEWILENQLGDGSWGEPGFFMACDRVICTLACVVALRTWRVRPDLCAKGVSFIRDNIWKLDEDEPDWMIVGFEITLPTLLQMAKDLGLDLPYDHPVLEPIYAKRDLKLAKIPLDVLHTKPTSLLQSIEGMQGLDWTKLLKFQSTDGSFASCPATTADALIQTGDKRFLDYLDSIVKKFNGGVPMTYPMDIFEHLWAVDRLERLGISRYFASEIKECLDHVYSLWSHDQGLAFTEVFPVSDLDDTSMGFRLLRLHGYDVSSRAFKYFETDGKFSCYPLQLNCSITATYNLYRAAQVAFPGEDVLQQANSYSRAFLSDRLSSNNLVDKWVIPKDLPGEVEYALSFPWKVSLPRVETRMYLEQYGGSGDVWIAKVLYRMPLFCNELYLDVAKSDFRNFQSLCRLELDGLERWRTKNNLEAYGVTRENALRAYFLAAATIFEPDRQEERLAWARTAIVAEAMASHLQHSDNPDSERNELIAKLTTKNHDNQSSGRSG >OB11G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9766259:9766465:1 gene:OB11G20490 transcript:OB11G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGHSDSQVDRDMQELTRLVLEGDNGINRVTGQAYLNVVKSAFYMTYSSPATVEEHISKVLFEDVL >OB11G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9769768:9770025:1 gene:OB11G20500 transcript:OB11G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQELPLHVCPPTAREPCTPMPSIRRRSLLRRRGVDQMAAGPRRRPKDDGASEDAAACGRAGEEAGEHGSGEEARGWQLKTLV >OB11G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9771037:9771752:1 gene:OB11G20510 transcript:OB11G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIGRDWAGGGGSAAATVSLPRQEPAISPCRPDLPPRIGVDGSGGAHAAGSESGGGGGGQQDGGGRMRTFLIEAFMCHTHNAAWHTLHNILCGSAGKIDSYMDVVAGQLAWEVAVFHGRDDGLLPMECTLTVGARVPRARITVYDDKDHITIIIGQEKLFAAKLEAIWRRSAAADATDGE >OB11G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9773487:9779893:-1 gene:OB11G20520 transcript:OB11G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSTCPAGQLLIPQASPHGMGPKRSIKLPPPVVTRAADVRTINNLEAKTNAGTILQGMHRDELQATVRKQLRGGEPWLSWYDTAWVAMVPLRGSPQAACYPQCVEWILHNQQYDGSWGQSSAGAATKEVLLSTLACVVALKTWNAGPDHIRRGVNFIGRNFLVAMDGQSVSPVGFNITFSGLLNLAIEMGLEFPVMETDIDGILYLREIELKRDGGGTVAAKKAFMAYVSEGLGRRQDWDLVMAYQRKNGSLFNSPSTTAAAAIYSRDDRAFDYLGSLTSKFGGSVPAIYPDNLYSQLCMVNTLEKMGISSDFAYEIRDILDMTYRCWMQNEEEIMSDMTTCAMAFRLLRMNDYDITSDGMAQFAEQSCYDDSIHAYLNDIKPLLELYKSSQVCFSENDLILRKIGSWSAKVLKQQLSSGKISKSLTPEVEYALKFPIHANVEPLEHRGNIERFKPNSFHLLKSGYCGSGADEEILALAVDKFHSAQAVYQQELQYLESWVAEFRLDELKFARVMPLQSFLSAVAPLFREELSDARVAWAQNVILTTVMDDLFDGGGSMEEMTNLVVLIDKWEKHGEVGFVSQNVEIVFNAVYHTSNRAYAKAAMLQKRSVVDHMAEQWAVLARAMMTEAGWVARKHMPATMEEYLSVGEYSFALGPIVPLSVYLLGHELPEEVVRSGEYVRLLRLASVIGRLLNDAATYGREMGTGKPNAVLLQALRPSVAAANED >OB11G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9788629:9789559:1 gene:OB11G20530 transcript:OB11G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLHLSYAQLQVGRLEEYLCLHARDVPFCCICFCPNSGFEIVAKDNNVVGYFIKQCTYENT >OB11G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9797495:9798320:1 gene:OB11G20540 transcript:OB11G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMKDVQVKVEAQTNSTSITVRSPRSVCTKTDTHVAYVLGLGRSLYGWKAKKITFQMDLGLAFLARPSVFRHSGNQRRRGAAIAGLKKLDRRVSRFRPNRLFIRTFRKTGNPSPHHIPYLQANITHLCHWVYPFTSSPPLVRTLDAYNYLGFGERVDNVLLPICRF >OB11G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9801600:9803853:-1 gene:OB11G20550 transcript:OB11G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLETQPSVEGLVVAMSLIGATIITTFSGPVSDIVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFFSYCMIFAMTLSPSPNWRIMLGVLFMPSLLYLFVTVFYLPESPRWLVSKGRMKEARAVLEMLRGREDVSGEMALLVEGLGSGGDTEIEDYVVGPSEGDAGENEQPRDTVTLYGPEQGLSWVAQPVAGGRGSRMLGSSLGLQASRQGSMFEHLKDPVVALLGSVHERLPESGAAGSMRGSTLFPNLGSMLSVADRPGGWDEENIRPPDNATGEEEEYMSDDGKDDEDEGLQAPLLSRQSTEVEGKGEAASQMTMRRHSSVTGGGVETASTMGIGGGWQLAWKWTEKVGPDGVKRGGVKRMYLHEEGEANGEGGNRGGETPSSQYVHAAALVSRSMLYTKDVLIGQSPTEPAFANPPEAVAAAASSVPVWRELLEPGVRHALFCGVTIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMLPSIGLAMRLMDVSGRRSLLLSTLPVLITSLAVLVVANVVPMATTAHAALSTGSVIVYFCCFVMGFGPIPNILCSEIFPTRVRGLCIAICSLTFWLGDITVTYSLPVMLSTVGLAGVFALYAVVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGALPDDDDD >OB11G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9812103:9812357:-1 gene:OB11G20560 transcript:OB11G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDGEQKELIKKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVARDQE >OB11G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9818004:9818942:1 gene:OB11G20570 transcript:OB11G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSFLPALILVLIISVQGTSTGDRCTASSSIEVQTINTGEPAGGGDTVFEVRVKNLCPCSVRDVRLDGGGFATTVEVDPAVFRAADDGGGAYLVNGGGPIASMATVAFRYAWDHFFQITPASLEEDQCAS >OB11G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9820850:9823689:-1 gene:OB11G20580 transcript:OB11G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEIGLPTVEDRSTTGGEVEVECDQGQGGGDRARERGNCWVRPGRRVADDFCDEGTCYVDGMTHIYRDQAWDKTQVMTSGVTADGSGKENSREENQPRAAAVSAAGNGGMQQ >OB11G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9843566:9850021:-1 gene:OB11G20590 transcript:OB11G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLDAFASYLSDLLIQAAKDKVGMLLGVSDEISKLDEKLQFLKDYLVDAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGGAEFNFIKLEAYQDRRVAPPLPSRTTSPVSERSGVVGEKIEEDTLALVEVLTNHWGAVRASNNVLLLAVVGVGGIGKTTLAKNIFNDEAIQEKFGKKIWLSVTHKFNEVDLLRSAITAAGGDHRGSQDRSVLEPTLVNAIKGKKIFLVLDDMWSERAWNGFLWAPFSHGGRGSRVVLTTRDERVARGAKAMYFHHVDKLGSDDAWSLLKKQVVLSEVDEPEIEALKDIGMEIIEKCDGLPLAIKVIGGLLCRRERNEGVWSEMLSNSTWSIDVMSEELNYALHLSYEDLSPHLKQCFLHYSLIPKSVVLGSDTIIGMWISEGLVLQSTKELEETGRDYYNELIMRNLLEPDTDYVDQWHCTMHDVVRSFAHHVARDEALVAQSEQIDTSKLHSQKFYRLSIETDELEWSLLNQQKSLRTIIFMGDIKLKPSDSLGNFSSLRILYIDSANLVHLVDSVCQLKHLRYLSIATDESRLPDDIGKMKFLMYIDLDECTNLLQLPNSIVKLIQLKYLSFTDTNIHVIPKGFHDLSSIRQLYGFPAHMGKGGVSSRDWCSLEELGPLSELRRLRLNDMENVSASFYAARASLCNKKHLTYLKLCCTTRLGDDGLVKQEGVSEMEQQLIEEVFNELCPPHCLVNLEISGYFGSSLPNWMMSSISGMPLKSLRYLFLDDLACCTQLPDGLSQLPHLQLLRIDRAPAIKRVGTEFLQCHHHSHPSLMADVFPKLQVLRFSGMVEWEDWQWEEQVEAMAALAELLLDRCKLRCLPPGLAFHARALKTLGVYEVQKLKSIENFDCVDELSVGENPDLERISNFSKLRKLEIVLCSKMEVLEGVPELRSLTLEDYSIKALPGYFQQVSMRNLLLDCSFELLSSIAMGDTGPEWNKISHIQQVKAYADDGDDERTWFGCRMPVPRRKLKSLIYDKMKNNRGFLAESAAVPFVSTLVSK >OB11G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9855207:9855431:1 gene:OB11G20600 transcript:OB11G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding TATPTIHTTLDHNDKICDLLEEFCVSGPPPPSSPNPFPPSPPMEEDGIIYAEDLGYMSTLCPSPSSDVDDLYPPE >OB11G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9856258:9857294:-1 gene:OB11G20610 transcript:OB11G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRKMPAMVNMIRFVDLQTKCEVVLTQPVASGGASSSMAGDESTQRKLKSKRATSVGKGASGRLQIWERKREAYHEDHIGGDVLLQTLAGQVGIMVQEQHGVIVAMDHHCEDA >OB11G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9859268:9866903:1 gene:OB11G20620 transcript:OB11G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein [Source:Projected from Arabidopsis thaliana (AT3G07140) TAIR;Acc:AT3G07140] MARREEGVVSWVTLSYLSGGNVMGSQNFFRHPVERSMSNISPKNLPSKHYKSPAKKATQQLLASNHAAAPKPHLGGALAAPPSRPPALRRLLFLSLLLLLLLASLVRAAVAAEEGEDEEEVFTEELLLRPLPDRKTLAHFHFRSSAPPSAAAGRHHHVFPKAIAQLVQQFHISELELSFTQGRWNYERWGGYDPMSTNYAKPPGVELWAAFDLPLGEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSSGIIIDQTLTVVLQPDTLRGKQLHSTDGQEAQLQPSWSIKDLFNRKLSGKCLISKSSRVFVEIEKDIVDKSDKSGAEASWTNELFVLSTAPNRVFKELKDMDTQPSSLYVYDVSNYNNDKPLDVGITWKLPLIWYCTPAPYHANRFLMGSGNERGSIALSFRSTNLRKQVYGSSNDCSIKAVVFQVVPWYVKVYYHSLQIFIDGNSKAISEVVDKIHVTPSVDKLLPGSLEMLLRLPCSMQSATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSSRSYPETDTLFMSPLLQTFKEDGVIKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEEMELKKAAAKRGLIPLLIAKLRGKKLDPPQQGSSPTSLVSTKLLLKVVFVAVVAVAFHYLSNS >OB11G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9872088:9876002:1 gene:OB11G20630 transcript:OB11G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRRLACLGVPDGGAGVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYRDEFLLNPSEKIRRFEESKLLRKKELNSDNIGLLPRSKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKSNQESLRRILIIFSKLNTSIRYVQGMNEVLAPLFYVFKNDPDASNSASAEADTFFCFVELLSGFKENYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPLTNIDHLLHIANRLRGSVAS >OB11G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9877953:9882463:-1 gene:OB11G20640 transcript:OB11G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLVLPELDTNSFWHDESGFLGIYDVPHFIKTLKYDVRIVMSIPEITTNGKTKKLKAHQIRPPRDAPVTWYTAVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCFDIFTPQEQKILIKYRKENFAEKQLVYRERRLIGKCPLTPEEVGLILRSIGFDNKTRIYLASGDLFGGKRFMKPFKDLFPRLENHNTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKSLAPIFMDREEGRTARFEERVRQVMFNTHFGGPHKRIHPESFYTNSWPECFCQTNPRNRADKCPPDNIYEVLESEFQSVEGEEEREEVKASNQTDSTSQIEEAMV >OB11G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9898624:9901260:1 gene:OB11G20650 transcript:OB11G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELPQQEVKLFTRWSFEDVQVNDISLADYLAVSATKHATYLPHTAGRYSAKRFRKPAPSLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIIDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OB11G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9908394:9908546:-1 gene:OB11G20660 transcript:OB11G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKARGLGQWPTLHLVGALAYHLPKWGRGRHNWWRGGYAFARPLPILEIKF >OB11G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9910943:9912034:-1 gene:OB11G20670 transcript:OB11G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIHLLTDANPIQIIIDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OB11G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9917617:9929693:1 gene:OB11G20680 transcript:OB11G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPPPFGGPPGAMPQAAPPQFGGQRPAFSGPPAATAVASSQAMPPSFGSQQQQQPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAMPPSFGSQQQQQQPPPPSFGAPPQFGGVRPGAQPPFAAQSAPLSQQAPFMGPPRGSAAAFGAAPWLSQGAGSGAMQPPMRMPGMPPNALGQGMPPTPSMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRHFICNLCGVSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIIPDVQDVYTPLQKDLILPVSECRENLEQLLENIPSMFENNRVADSAFGAAMKAGFLATKATGGKLLVFQSVLPSLGVGSLSAREAEGRANISSGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGYEAVMRVRCIQGLQVQDYFGNFCKRVPTDIDLPSIDSDKTIMVTFKHDDKLQENSECGFQCAVLYTTVYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFTCFLKQAANGIPTSTLLHLREEVTNTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNEGRLDDRSYWISLASSVSVLLAVPMVFPRLIPIHDLTSRGDDESLIPSPLMLNSENIREDGVYLLENGEDGLIYVGNAVEPTILEQIFGASSSAALPSQVVLEQFDNGLSRKVNEVINEIRRQRCSYLRLRLCRRGEPSGDFFRSFLVEDKAPGGLSYVEFLVHVHRQIQSKMT >OB11G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9931272:9933528:-1 gene:OB11G20690 transcript:OB11G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGGHIPKFGDWKSSDGGTPYTVFFDDARKRKNNAGGGVVPPAEPPPPLPRGDSVPPSGHRTPPRGAAPATPRRNKDPASRPRSQSAVGHGGSVPPWGQWNENQATGGGAQQYTLMFEQIRDERRGSAPSTPNIEQLNRATPSRYNNHHNQHANRPKGFTCFGLCLK >OB11G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9938825:9941404:1 gene:OB11G20700 transcript:OB11G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13270) TAIR;Acc:AT5G13270] MASTPSLAVSGSAVFPPAVGADPRRPPPGSVAVVDKSSSYSRSMQATENGGVEAPLRPLDVREAMSMLTEGKTVQSAMYVPLLHRCIETGSLGATRALHGHMVKTGTDADMFVATSLVNVYMRCGASGDARRLFDEMPEKNVVTWTALITGYTLNSQPVLGLEVFVEMLELGRYPSHYTLGAMLNACSTSYNVDLGKQVHGYAIKYGAESITSMGNSLCRLYAKLGSLDSALTAFWRIPDKNVITWTTMISACAEEEDYVELGLSLFLDMLMEGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFYFKIGCETNLPVKNSTMYLYLRKGETDEAMRLFEQMEDVSIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLTRSAMKPDLFTFSSILSVCSTMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGSIQDANKAFLEMPIRTFVTWTSMISGYSQHGQPQEAIQLFEDMRSAGVRPNEITFVSVLSACSYAGLVEEAESYFDMMKKEYCIEPVVDHYGCMIDMFVRIGRLEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDITHPQAAELYQLLENLLEKAKSIGYEPYQNAELSDSEDDEKPTAGSLKHHSERLAVALGLLQTPPGATVRVTKNITMCRDCHSSIKFFSLLANREIVVRDSKRLHKFKDGRCSCGDFGALL >OB11G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9942249:9942825:1 gene:OB11G20710 transcript:OB11G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPGSARHVDRVVLAWPTQLAMYKYNFCMNVAEPEHGCAMAAGEIPQSVGSLSRLCVLNIADNLMSSAPSPSSRRRGTSTSPATSSPGGSWSAYVGNLTMLSRTLLGQNIKLSGSVPTSGSPTLTSPRTSKPA >OB11G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9944945:9948632:-1 gene:OB11G20720 transcript:OB11G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFSQDIQKFICIKRPTLAETSFELLKEVYPEITLVVPPSPAEQNGNDKYDNIRHDLESTEKTGINTDGSQLQQDDQANLVNESNAENLQKDTMATPDFHQSSTSDNRCFDQPQEESNDAVGVDIMYPEDRPTNVDRHISVANTNTGTISEQDIIDHTAMVQSQSQEIKNCNTLHYNNGNGPLDASIQPPKDSIHEGPTMQATVSPAFDRSTDAALPASTSEMSHLPEFITAENAEAHIRKSHRNSPQHETGDEANQDVGIQQVAAFLSEGYNGPIQGDKSDIKDPPENTAEHTQIFEQESSDKAHLEVGCSDKVNQTLYDDGNIMRKNMDCGGLNVQTAPESHSCSLALHNKNSDINRLSEQNIKKNTTAIQKDCCSIPNSPQDVDDTRDKRTVGNTGAETSHVNSSEDSLSGFAAAGLLSMTDKIPFFTQHHDANGTVEGSSEQDLCIKCAKDGQLLKCSSCLLAAHDTCFGSSVTFDDSGQFYCPVCFYTKATAAYQKAKKTYSEARKNLSSFLGKKQLAEQNEQAAWQRDADSEGHLNRCNNVSKRQRNHQSEGNGLSHRDEEPAQQRKKQKTNATSDACAQEVVTEKAPVQNSDVAPMNKHSVLQNNIKQAQVGEHEQPEENAEASGESGNDKTTHSPQNKCSPTANHNVDADKDGLANSQQSEDSDEIEATSSNNSSKQSPPWRKLRHRKVRCQDKDTAMPNNSKKALGHHDQHMASPSRKRNYAYPPKRYSNPVAPAGRRTKLCWTEQEEATLRELMAKFTPRDNGPIPWVQILEYGRDVFHRTRLPSDLRVKWRNMKKKSAS >OB11G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9977192:9978859:1 gene:OB11G20730 transcript:OB11G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFMAWFAAAWSCLVVVLLLSSARFSKTASSSSCGKGGGGWGPRSYPVIGCLVAFYRNRRRLLDWYTEMLAGAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPAAFAGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASAVLRRFELRPVDEGRTPAFLPLMTAHMAGGLNVTVQRRRTTPPPASGGAANGTGPAELTSS >OB11G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:9992102:9998638:1 gene:OB11G20740 transcript:OB11G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSSRGGGGGRRTSSPATSGDGGAAKFLSGLPSRGNFISTSVSSSSLGRLRVYVCEHDTDPPEGQVIKTDSRNILIRHLQLKKKEMDAKEAKDTKDAGSKTQGDTVKWKRVAPSSLDGQSSSKRPNLGDSSGLSAAEEATSGFSRQILQSFTVERLRGLLRQRGLMTKGKKDELIARLRESQD >OB11G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10018956:10021286:1 gene:OB11G20750 transcript:OB11G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQLALWSKIANEASCGSPRWIGKSLSCVCIKRKGAYERICMNLTPVQEERLQRLRHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVRAVFIQMLSEDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEILKSTRAQLERELLLDDVMRIEDMPSYSLLC >OB11G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10021630:10021998:-1 gene:OB11G20760 transcript:OB11G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDFSVAPTIQHYGCMVDLLGRSGLLAEAEEMIRGMTTCDADTVIWGALLTACKNHGDIDVAERAVQEMLELDPTNHGVYVVLSNMYAEAGRWQDVDRLRKVMKRARLSKIPGSSEVVGDG >OB11G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10023369:10029336:-1 gene:OB11G20770 transcript:OB11G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable bifunctional methylthioribulose-1-phosphate dehydratase/enolase-phosphatase E1 [Source:UniProtKB/TrEMBL;Acc:J3N8E1] MATASESYLCGGARGLVAELSRHFYAQGWVTGTGGSITVKANDPALPLAQQLIVMSPSGVQKERMVAEDMYVMSADGKVLSSPVSKPWPHKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFRITHMEMIKGIKGHGYHDELVIPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGPINSAKRPRSVLSSGVPNGCSDAKSSKHCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYGSDETKEDINLLRAQVEEDLKNGIAGSVPIPPDDAGKEEVINALVANVESMIKADRKITSLKQLQGHIWRTGFESKEMQGVVFEDVPEALKHWHASGMKVYIYSSGSREAQRLLFGNTTYGDLRQYLCGFFDTTTGNKRETRSYFEISQSLGVDSPAQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTIKSFSEI >OB11G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10033821:10041025:1 gene:OB11G20780 transcript:OB11G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT1G44900) TAIR;Acc:AT1G44900] MIRRTTRRRRPGRRGSAPTGCRPTPPPAAAPPTRPPHTTTSRGATDPSSYSDDDGDDDVAGAEEAEVDPNVLPEDDGLADAVAAEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGATADRKLPRMLHDQDTDEDMSFRRPKRHRANFRPPREPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVATDVEDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTSRLTHIEVKVDDLKNKAQEYEIYDLRPFFSSAHFRDNNFVLDEGRGIIRHPLAA >OB11G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10043689:10044462:1 gene:OB11G20790 transcript:OB11G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMPAARTPRTHKMATSDAAADEVCGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAAGSCTSAVTPRTCSSRGGCSSRRAWPRHARPRRSSSSSSAAGASAGPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRPGGRTTSSSCAASATSRARR >OB11G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10044480:10044659:1 gene:OB11G20800 transcript:OB11G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3N8E4] MGLTYRSITISNDFFFRKGVAGDWSNHLSPEMAARLDAVVEDALRGTGFTFAAGGDSST >OB11G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10076918:10078039:1 gene:OB11G20810 transcript:OB11G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3N8E5] MAASAAADDDVRIHTGGEDAGFDVANVAELAPSLPLETRYPPFPLRRCSGFWLPEYALPGVAAAHARFEPRPSDVFLATVPKSGTTWLKALAFATAGRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGPACRIVYVCRDPKDTLVSWWLFVKKSLAPSYTIEEALEQFCAGRCVAGPPWHHALEYWEESRRRPEKVLFLRYEDMLRAPARHVRRLAEFMGCPFSGEEEAAGVADDVVELCSFGHLSSLEANKAGATSWRYYSVANDSFFRNGVAGDWSNHMSPEMAARLDGAGEEALRGTGFTFASSGESQPTAVADDAGRPRREACIAMQPGLISRENSR >OB11G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10079386:10079727:1 gene:OB11G20820 transcript:OB11G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVIIWAAAPAMIRVESTTPVMTVLLVPFLFEYLPKIYHAVRFLRPMQNAFGYAYGLLRRRSRESLAHRERLEEEERGQYGREKRKRKKKKKTPGVALFFLSSLPSGAGRG >OB11G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10080968:10081183:-1 gene:OB11G20830 transcript:OB11G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFSHPLCSSPLPPRVDNLPSSRGPDRPLPTHILFDRSLALATLTKSKVEYFNFGLAIKRWGCRYKITCHKLV >OB11G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10089537:10090956:1 gene:OB11G20840 transcript:OB11G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:J3N8E8] MGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNKGELESFLVEITADIFTVADPGGGGLVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAAGVLEAEGMPSGLLETINVDKKVLVDRVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERVDRPGSFHTEWTKLARKSNGAAI >OB11G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10094115:10094381:1 gene:OB11G20850 transcript:OB11G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRSYTTCCLQKELHNVANCMIPIELEYSNTQQSIYSKFSGFSCMELTSSVQLYRCHSFFFFNFILHDMIKRKANFVIWFASERYA >OB11G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10096452:10096667:1 gene:OB11G20860 transcript:OB11G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVSSPSGHTCGNDVLYGRFIFLRFVLSLDSSAVYSYNVMWWWKSISHTVLSWLDFSISGTESHQQTLT >OB11G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10105759:10107756:1 gene:OB11G20870 transcript:OB11G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRRVAALAALRARVADRMSKNVSLHVAAGQASSMRALLQAASLDNMVGSVLGLEQHPQQQEEDGRHGGSSMSMSMVIKEMGEMVKEGYELVGMFNLGDHFSSSMWLWGLLDFHGVGPRCQRLAARVRVLFGRVVEERRRINKDELHKMDDLLSYMVAMPEDERLQDSDLIAVLWEMIFRGTDVVAILLEWALARMVLHPDIQSKVQQELDMVVGHRPMVDSDIPNLPFLHCVIKETLRMHPPGPLLSWARLAVQDVRVGKYFVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDVNVLGSDLRLAPFGSGRRVCPGRMMGLSTAYLWFGRLLQEYKWLMAQPVKLAECLRLSMEMKKPLVCRAVHRNKTVY >OB11G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10111386:10111556:-1 gene:OB11G20880 transcript:OB11G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDACHRRVGFSFFRVKEKKEKVCVLHLHNIYIINMVLFVQRTNLCNVCVSLSIE >OB11G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10127483:10129726:1 gene:OB11G20890 transcript:OB11G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGSATMTREQLLHLFARFSFLTSLPGEEGARSAPLLLPLYVSPPSSSNPDHPPSFLSSASGSLAEVKARIADAVRDKQEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNIMYEDDMELMVKFYQFVAKEEMAIDEAELDPREFTEKLHAQQKLQEQQLKMLIQMRKYKPESQSVILETLHKQLEGANFDADASILTPERIQDIVEN >OB11G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10129980:10133192:-1 gene:OB11G20900 transcript:OB11G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT1G11780) TAIR;Acc:AT1G11780] MYGETEPAAAAADRTAFRRAEKQYKLYXXXXXXXXXXXXXXXXXXXXXXXDLSAVVDFHALLASAGRELPAGIARRDVAGFDRPVFCFLDRPGFYIIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLAAADNEKILVEVENSDGQERSEQNINGTKINSFKFIKVTEIQKGEGCRSTAATTLIRKLRWSTLGLQFDWSKRNYDVLLPHNKIPDGLSALAKKMVVPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEAREYFHGVPRIFTGNGQDEISALDSQLSGEDDSFILNYIHNSRININIRQVY >OB11G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10139877:10143074:-1 gene:OB11G20910 transcript:OB11G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAYLSQHHALDSTYQIGYYYLRFIMYPNLKTVIELIYKRGLGEARHHLHRGSCPQDHDCWATFKEANNFQLPFKLKAPLGGLKKKRNYYMSRVVMLATV >OB11G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10144396:10144749:-1 gene:OB11G20920 transcript:OB11G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRPRTPSSPETSPVLANAGKLVATTSRSFRRRSEPSLSTAATAARLVGLFIATMK >OB11G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10144755:10145594:-1 gene:OB11G20930 transcript:OB11G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVAVHDVHRTVERWYAWEYLCEDNLAAAKGRLWHFRVTAGGPPDAFCSTGPAQIL >OB11G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10153029:10155088:1 gene:OB11G20940 transcript:OB11G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLVAIHRDNICPQRVNSDLDKGCIQQSQTVLRNGSAACNLLLLNLEDHGLIIGLRKRIPC >OB11G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10156505:10156819:1 gene:OB11G20950 transcript:OB11G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSDTVTELVVSSHRACVSSFHRICKKCSDDVEFPATAATRRVAVVEAVQVGAFQNVMMLLQVGCREATKEKATVLLKLMIKYESRGNCIDAMDFRGLKRVS >OB11G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10169318:10170897:1 gene:OB11G20960 transcript:OB11G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKMEHGFHLHMEHQGLLGGEYNDGIRTPIPKPPLPSTSRPNSMVVKKVCPREFIPPHIVAEAISTLHGLDLRWSGPITPSERLYVEQYVLAKYPQYSHGLIDDDGAGGGVDRDDIVHELRRRASPPAGSPPPVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMLTRKASFPGSFISIPEIQARNRVLRRCGLGDDDYLALFAPTPRDALMLIGESYPFFRGNYYMSILAADADADGAGGDCIRAFAAYKDAKVIAAPESWLDLRIKGSQLSQYFRRKCKHAPKGLFAYPVVPAAAPSAAAPAPAPARYSLHWVSEAHRNGWHVLLDATAIAVGDRLPLSLHRPDFVTCALDDEHAQPPSKVTCLLVRRRSFDVSSKGDT >OB11G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10181633:10183683:1 gene:OB11G20970 transcript:OB11G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQPSSSAVYCFVVLLLIVSLGAAIATTAASTCEEDLEELTRSCEVYFRFPAEPRVAPSAACCGVVREVDVACLCAMVTPEVEKNVCMDKVVYVAAFCNRPFLPGSYCGSYHIPGPVV >OB11G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10193487:10194110:1 gene:OB11G20980 transcript:OB11G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSLKLILNKLCWMCLEIIIVVELEAWVRPLLQQIIMVEGFRIYQQGMNKEPTLRNILRTICWLGCHYTLLGSSQQVRLIKTKKLRHQITCYLLVIERLLSLYLKKSDVTNLSSFSIFEDKEVPKSGLFILQIGRPEMISTSCTAYFRGTRVISHLGSS >OB11G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10194908:10195084:1 gene:OB11G20990 transcript:OB11G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELDVADRIMAIEVASEKRLARKNKELDKINSWLMCSLVIIVFLLVKIVDLLSQRY >OB11G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10196355:10196723:-1 gene:OB11G21000 transcript:OB11G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPAVARNAATGWSHGGARVWPRAAERSRGRGKVAVRASSGKESWPRRASRIVERVAARADHGGSVSTHTSWSSSPEAASDLAANHERVVAPADRGEGRRLGHHPALPYPGYPCCTSIRN >OB11G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10198492:10201621:-1 gene:OB11G21010 transcript:OB11G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLMHDLAEQVSARECYMIEGSHSNTAPPGIRHLSITGDGNDESANFPTEKYEVILQKIRPLQKLRSLMYFGSSSVHLLKSIQTVLKEAKCLRLLRVYVSNADISATHTFLNPYHLRYLEFICVSDTKDMVLYGDYRDIAFPRALTSFYHLQVLNVGFSGNLAVPAAMNNLVNLRHLIANPKVHFSIGGVGNMISLQELKFKVQNDSSFGIRQLQYMNKLVTLGIFRLENVKTKEEANGARLIDKEYLEWLSLTWSGGIMSLEQSEIAKDVLEGLQPHHNLKTLRITGYNGPTSPTWLSCNLSVTSLRTIRLQNCSEWRILRFLEMLPMLRKLVLAKMWNLVELSIPSLEKLVLIDMPKLEKCIGTYGIELTSHLRVLKIQNCPQLNNFTPFQSFSPSATEHRSWFLFLSILTIEHCPHISKWEILSLREMQALKQLELIHLHSVKELLVPPLQKLVLIQMASLEYCSGLTSPLQISTSLGDRKESLDLTVHDCPRLVISHPLPFSALMHRFSIRGIPTLPTMEGTCEFIIKSDELIMLDDKILAFHNFGRTRSFRIKDCPNLVSVSTEGLNQCTLLEELHLTNCPKLIFPSRLVLPSLRLLSIQACGDILGYRLTQMLSHVRSMDSLELNDIPRIRCLSLYQPAKKEGSNNDLEAMPSSGDEQLLEIPSNILPSLKRIDISDCPELKLVGLGQEGALLRYTSLERLRIQRCPKLMPLLVSGEVGVGLLPPSLVNLEIDMSPELSAAWDLKLQEHAQIQAPHPSLEELDISSLGNHDQSRLLQHCFPSITALYIWRSPELTFLQLGHLTALCELEIVDCVSLASIEGVDSLTSLRSLGVSGSPGVPRCLELLSQQQQGASEVVLSGLETLQIGDGAVLTVSLCRRLTSLRRLSFWSWGSKRDNGESETMVGLTDEQERALQLLAAGLRRLDFWRLPNLRRLPANLPRLTSLEWLDIDDCPGVAGLPEMGLPPSLTRRSSEELRAQCVMAATEEKIDVQIDDVSVN >OB11G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10202037:10202624:-1 gene:OB11G21020 transcript:OB11G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTVDTFEISGLDEKQFWQFFKACVFGNENYEGHPSLQSIGQQIAAALKGCPLAARSVGALLNRNVSYEHWRTVQDKWKSLQIKDDDITRPTSMHFTLCARVDGVSPERDPSAATDLRLLLAARDGRPDEAQRRYDYAQGSHHDLDLDDVD >OB11G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10202740:10204002:-1 gene:OB11G21030 transcript:OB11G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKPGFVELSLLEFEMRNVEMVLAAADQGRRIDKLKPLVHSLDALRELLYDAQDVMDELDYYRLQQQIEKEKENREGETAHGTMLPPEVKLGISNRINGIVNNLQRTGNSVRGILLPYISHLALPSTQRQSETRNTRLTTSVPHELKVFGRDADRDRILKILLDDGSSDLRVLPIVGIGGIGKTTLTKFVYRDQRVVDYFDLRIWVCVSTYFNKVAITLEILEHICKDKQEYKDVSNFNVLQEILMKNIREKISARVG >OB11G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10246630:10251975:-1 gene:OB11G21040 transcript:OB11G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGTIHTLLPKLDTLLTSEYKLQRGLDGNIKSLKAELVSMDAALTRASEAQMSDDMVQIWAREMRDLSYDIEDIIDKFMVRVKAHPSLQLPGIIGFFVSCLGSLTRAKTRRQIAGDIEHIKKLITEVAERRQRYKFDDIVDQPMAQAIDPRLLGMFEESTKLVAISGPTKELCGLLMEHEGTSKRQLRVVSIVGVGGLGKTTLATVTYQQLRHQFSCSAFVSVSLRPDLKKILGSLLRQVSEQEGYPNNETWEVDELINKIRGVLANKRYFIIIDDIWDESAWKYIQCALIENNYGSRIITTTRLASVAASCCSDIDGSIYKLKPLLLDDSKQLFYKRVFGSEDGCHPELKEISEKILRKCSGVPLAITTIASLLANKPGNKSEWNRVHNSIGFGVEKCTNMDNMRQILSISYDGLPSVLKPCLLYFTVFPEDYSIPIDQLVRRWIAEGFVHGQHDALDELGYSYLFELINRSLIQPENLTAYDGIQSCRVHDMVLDLITSLATKENFVTTFDGRQLADHPGKVRRLSLQNNEEEHNLTHATLNVSHLRSLIVFPGATNLMPPLSDTPVLRVLDLEHCRDLENHHIAGVQKLLNLRYLGLRDTNITRLPRKLGNLQCLHTLDLSQTSITKLPSSAVCLKQLVRLYIEDSVKLPKGIGQLNLLEVLSSYGVSSSPSIVGELGNLTELRVLHISLASGNGSLGGWRNTYEKPLCDSLLKLQKIQELHIRSFGAPTEFIADLGWSPQHLQDFFGSPMSRLPSWMNYSLSNLYQITMTLNILRQEDIQNIGIIPFLRSLHLSVRKIESTGHKLLIGTDHTQFRCLCHLGLSSHHAMGLMFVQGAVPSLVSLDIVLRVRETKDLYGDFNLGLENLHSVRQISVKIGCTGCRRCEVDSAEADIRRAIMNNPNNPTFEITRCFEYELDEVQHLVNEETTEEEEVLIEEIMPERAGPWGGEGRRKHDIAVAPWRLESVRVSSGLVVDGIGFSYLDKSGKQHTTPLWGGAGGTVRMVHLAPSEFVKEVSGTYGPFFSFPSVITSLQLRTNIRSYGPFGEPKGTTFRTRVKQNGSIVGFFGHSTVYIDAIGVYIHP >OB11G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10253583:10257660:1 gene:OB11G21050 transcript:OB11G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSCKVEAIMGGISACTTADEYLERVEKQFTGSSKAHAATLVKTIETMRYTGGGIRENVLKISGRKPMLNHVRVWGGLTEAKLFNPNIGKLYPKIVSCHSIGNPEKSKSFQFYCSGRYAKFVETRHTIFLEDEMIKGSMVPWEIGLEEKRSAWFCCDVAGGKRAVAQVEGQKEKKEEIISIT >OB11G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10270460:10271221:1 gene:OB11G21060 transcript:OB11G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVHPSPSPAAAAAGGGGDGGGWETAEAVLMRVLPVAVLAMAAPLGPEGKEVLAYLVLASLRSTSPARVGEGEEEEGKCKGGSGRVVVAGAAHPPELGCGCFGCYTAYWSRWDGSPERDRDAIHRAIEAFEEHLARKEEEEDGGGGKASARRRKKRGKEKKGKAKVASSSAAQPPPPPLPSSVPEKVETSSSSSSAAAAAVPVAGEWEEAAEEMKAAAGDGVVEEERRRRGWGGVAGVFSWRGWSLWGSH >OB11G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10277247:10277528:1 gene:OB11G21070 transcript:OB11G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPNDVIHGIERLLVPRSVQEDFNWRRSLAAISAVLLIGAPEVDPRTHQLKKLIEGGTVCDDGDNCCQDDSQRWRRCTPSPLRTQGFISFWV >OB11G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10292236:10297386:1 gene:OB11G21080 transcript:OB11G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLRSNNDVFKEFNTGGGGACNCITALASPDADHEYFAGDEAFDAAHASPAVTAGDLFTLGGSGLLTIGTLGIAAVAIPGDIDDDDYDVDDIDFEVEGSNCTVGDDADGAVTPTFTFPVAAAEAASAVATVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGDVASARVSFAMGVDCPLQGFLFGSPVSDVESRPDQPPRESYGGGRRTSLGELFMRTRFAEEKVALVAVEEGEDGFGDGAAAGADREEGRAGKGDGGGGHKMMKKRRVKDEKGGGGGGTPATVTKSKFQKILQIFHRKVYPENTLLTRNLTKKSHRKRGDSSDNNNGGGGAAGPGLGAGIIMWFRGEWSSRKLMAILLWVPPLIML >OB11G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10297414:10297650:1 gene:OB11G21090 transcript:OB11G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGDDDPVTVMAPNYTWTLSSLDCPLARIILWVIFGRCIKYIIIYGTIWPVVCVSMLGLGRGNSPDSVFSWDMCASV >OB11G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10306385:10308954:1 gene:OB11G21100 transcript:OB11G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 14 [Source:Projected from Arabidopsis thaliana (AT5G14100) TAIR;Acc:AT5G14100] XTTAFSPPLAPCPARRSVGSRRRFSRSCQSGRISVSAGYSQLEVRRVSYRPPGTEQNLLNEISLSLREKSFGLLFGRSGSGKTTLLQLLAGLSEPTNGTICIQKYNDSGNPVSPPELLTAQRAGIVFQFPERYFLADTVLEEITFGWPQQNTDFLFREKLASKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEESLPV >OB11G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10322814:10326211:1 gene:OB11G21110 transcript:OB11G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPSSSTSSSYHFMSSSAGTGGGHDHRHHHGLQAGGNGGGGTSLGHGLFMGSSSSLSNSKDDVDGGGGGQEELSAGVDAAGDGDRLQGGAVAGAAGRKNGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDEAVVVTTYEGTHTHPIEKSNDNFEHILTQMHIYSSTASSASPHPLFLPSSSSSPAAAAHSTHLFQ >OB11G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10334266:10338461:1 gene:OB11G21120 transcript:OB11G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 family protein [Source:Projected from Arabidopsis thaliana (AT1G55280) TAIR;Acc:AT1G55280] MARRSLFVVSPREFAATRLPPSPVVLLSPPASSPKMVILCGSHIGITPAYSRFSERWLSENDSPRMCYNIPITRRKLRKQNRCQRVAALSKISALQDPVASVKPSRLLQTDELRIFRSNVPEEIISAVELEESDAFYMLELGTSREFSSSLLDKNAAILICIIDADGDSLLQRVPAIYWDHSAEGRKAEQLLPFQSGSVDTVTFKGSKLQRIKEIWVGLESGSWRINNLSLKVIHGPLNTPPDLEATPELKFNGLQYTFDKISMLLGEDGTSVVEARPVAVTDLSGISLSDLQEGQLSSASTTSSILEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAAYSFLVGGIGGFLYLLLLQKSVDGLPVLSSPSEAGSSQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKIELTPVELFVGTAGFLANKVAVLLAAFKPFQSSLNTEDRSGDSP >OB11G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10340356:10340730:-1 gene:OB11G21130 transcript:OB11G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHHSFRAIFKENNSISIKISRNELKFERKEFTEVVAEVEGAVGGPCGVVGGRSVRLAIEEPPRALTDGVVGTERRRSRRVPASAGGRRRRVARSRHRRSCAENSSKQHQYYYHGHCYKIAHG >OB11G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10340362:10341139:1 gene:OB11G21140 transcript:OB11G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVTMSMVVVLVLLAAVFSAAATVAAPSNATAATTSAGRNSTTAPPFGANHTVGEGAGWFFDGKANASAANYSAWAANRTFYLGDYLSFSTNTDNTVVHTTNATVYKLCGGGGDGGAAGCSGGWKPEEAFLAVMLTTEGANYFFSDAGGGEHCRRGMRFEVTVAHGQGLPPVPASYYEPLLSAAPAAGVLSAVVRLAVGVAFAVVVLVL >OB11G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10345469:10350623:-1 gene:OB11G21150 transcript:OB11G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVFASMAVKWALDKLSSLLAPAAASSSSPAVVWQGLEDLRKLERTMRRIQATLADAEEHWDVREESAKLRLRELRELAYGAEDVVEEYEYEVSRCRLGRSAASSSKRKRSEVNDEQCTEVGFVPVPNELAVRAGELVKRLDEMEVYQKYFSLSENDGERRIMPGIQSVRDTGCFVVEQSIVGRESDKQKVKQMLMSRQGSNVANQFSVLAIVGMGGLGKTTLAQLVYNDQTVSQSFDVRVWVYVSDHFDSKRLTKKIIVSITKESNGLSELADLQDMLAQEIMGKRLLLVLDDVWNERGDLWETFCRPFFAAQQCKVLVTTRNVAVAKLVQTMPHFTMDHLSPDECWILFERTVTAQQNVIPGNLVDIAKQIVEKCDRLPLAIKTLGSMLRYETDERRWIDVIESDLWDLDKAQNEVLPALELSYKNMPMHLKRCFLSLCLFPKDYLLDKSEVIELWQLLDILQGDERNNGDEIGSQCFDGLVERSFLQLSLNEVVMHDLIHDLACHLSGNEFFILEGNKPVKIPENARFMSIIDFHTSVQFSAPSHPLWAIVVFGEEHSEVSNPDILFLNCKNLRVLSLGGSNLGEALPRHISSLKLLRHLQGAENAPSGIYPLTNLQTIPDIEICRCGDSFNLIELRNLNQIKDQLTIRGLCNLSHVQDANQAQLVSKKNIQVLILDFNEVHCEHMLQQDFLMTEELDLTSTPEGRYENFQYEDMQQPKYVTVPHNQILETLRPHEGLRELEIYGYNCQSYPSWLGDASFSKLTYIVIISGTHKVSQQCVPTLGELPFLKHLTIRQMYYVEHIGREFCSHIAGSNCFPSLITLEFGSMRQWSKWFDVHDGDFPCLQSLVIRRCERLTTLPLDRFSSLHTLELTVCGVISIPTQPSLLQLSVFDCPELGAVDSMPELNILDIKGCPNLTAVGSLPKLKTLSADGTQEDATPHGQQPDHLPVLDTLWSIAMHTTIPASHNLEELAIVSCAGLSELPTLPSLLKLQITNCPDLSVVGSLPSLTTLSLWDSLPKDEVFYRLLNDHPTLSDITICSKTITKLSLQPPRLPSLKKLTLSCVNLQYCDGLAGLTCLDGIKISGCPKLRQQLQSPDVR >OB11G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10355151:10358662:-1 gene:OB11G21160 transcript:OB11G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASSAVKWAIDNLSSLLPAAGSHAAPDSLDGLRRLERTMRRIHATLRDAEQHWDIREESAKLRLEELKELAYDAEDVVEEYEYEVTRHKVEAFERLQLVALQGGGGGGGKRKRKREEVHEELFCTGSNIVPVPSELDVRTRMIIQRFSEIKDYCDSFSLSMNDGDRRISPDINTMRQTSSFVFAPRILGREQDMENVIAKLLCGEGSRVGGCLSVLAIVGMGGLGKTTLAQLVYNNPRGALANMIKDKRVFLVLDDVWNERSDCWELLITPMFASRSCDIIVTTRNKAVARLVQTMPFYRLNCLSPDESWSLFKQASFIEQENTSPANLVEIAKMVAEKCRGLPLVIKTVGSMLRFETNEMKWRDVLECELWDLEQAQNEVLPLLELSYKYMPVCLKRCFVALSLYPKYYYLDQNMVVWLWKLLGLLQSDGTYNEDEIGSLYFNELVQRSLLQSDIHGQKVMHDLVHDLACFLAGDEFFRLDGEKQTEVPQGARYLSIVPKPLCNTSIEISNASQSLRAIIMVVDGIDIKNPEELFLKCRKLRIFRVLQGSLQEAFLDFMGDMKLLRHLSLSGYECAAHLSRPNSMSELFNLQTLHMQAYTLRKIGRLVNLQTLPEIHLMKCSCFVDIRELRNLNKLRNLCIRGLRNVPSIMDADEAHLQSKKNLEILELDFDEFYLHKDFNELRSCEHTEHGDANEAAVTLSRGQLIERLRPHCQSLKVLRIQHLNHGNYPSWLGNASFSKLTELKLQACQSQHLPTLGELPSLKSLGIQQMERVQHIGHEFCSLDPRFKGFLALRDLSFHDMNRLSEWSGVEDATLRELHISNCEKMKELPALPSLQSFYLLNCPSFLTFGHFPSLTTLHLQDPFKEEILHRLVNSHRTLEDISIWSRTLESVCLEPQGLPALRSLELRCPNLHYCDALACLTSLKKLNINGSPHLRLPNSLRSQLEELYAAESFYSWYIYRFVTAFFFFGCIMSA >OB11G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10360493:10363300:-1 gene:OB11G21170 transcript:OB11G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPYAPPELRLPGFVPLRLSQGEILVPYLGASLFVVLAVWLVSARCGGGRLSKTDRWLMCWWAFTGLTHIIIEGTFVFAPKFFANQNPSYFDEVCKRNALSGKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAISSQKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPILIAMRSWKKICAAFQVEKVEVTAAAGNHWSEKPLIDSLCKPKKIQELHIRSFGVPTEFLADLRLFPQQLKRFHSAELSGLPSWMNPSLSNLYKISMWMLKILRQEDLQNLWSLPFLSDLHLSIDKIASTEENLKLRAMRKLENLYSTLSARETKDIYGDFDLGLENLSSVMQLIVKIRCPVPGFVKWTVQMLP >OB11G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10365178:10366061:1 gene:OB11G21180 transcript:OB11G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDRLNALALIALESGIPEKIDYEYSIEDFISKNVKRISLFKCYTTDRFLLLVDIHHLGTFSEPQRATGRVIPPSITEEWEQLIVIFTSAVTCRAAAAAKHKMLPSPVKPRAGRDRRPAEFWKLPAQGKEAAGTGNGSSNTTPPVPSGPAFLGDQQGINCCYLDPPSADAQAPLSPLQPLSSRPSQM >OB11G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10366979:10367188:-1 gene:OB11G21190 transcript:OB11G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATKDVSCGPSTTATGAEVVSSIRTTEERHQHQRYVSNVVPIGGSDSSLKSTATRKPNSVLSQLATHIYSF >OB11G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10379841:10381342:1 gene:OB11G21200 transcript:OB11G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMILLLLYCCFCNVFFLPSSAASSDDFLQCLTRSIPAEQVYTQSSSAFMSVLTSSVQNPEFVTNATGLYLGGCSRLAATMRAYFPELGMTAADCHELTWLRAMAFISLGSADAAPEGMLRRTNNLGTYVKSKSDYVRRPMGAAAWDALFSRWLAGNGNGILILEPHGGVVGSVVPDFVTPYPHRAGVLYNIQYGVFWWGDADGAAARRWLEALYAAMEAAVSSNPREAFANYRDLDIGENVVGGDGVTTYESARAWGERYFMGNFRRLAAGEGEGAPGAYFRTEQSIPPLLLPY >OB11G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10382211:10385105:-1 gene:OB11G21210 transcript:OB11G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRADAALLTDSNALLCGILTDKDIAGRVVARELRIDETPAWKVMTRHPVFVLSDTLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRMERASEKGKVAIASAVDAAGGTGNDPTATSMIEAFKEQMLRPSLSTIATAESTAVIVSPGDSVLTAAQKMLEVHASSAVVAAGNKAQGILTSRDILMRMIAKNLPADSTPVEKVMTLDPECATLDMPILDALRTMQERKFLHLPVMDRDGSIVSILDVIDITHAAFSIVEGTGDGAANDDVAISMVQRFWDSAMALGPLDDETESQSQMSEASRSQMMSMSDIHHEAAGGNQSFSFKLQDKRGRMHRFSCEVQSLTPLITCILQRLGPDLDRDRLPQILYEDEDRDKVVLASDDDLTAAVDHARLAGWKGLKLFLDYSGSSSGGRRRTVVASGRSGGGGGGEGGSTVMELSSRDAWAAAYSGVAAGAALVTGLGVIAYLRRAG >OB11G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10392522:10392731:1 gene:OB11G21220 transcript:OB11G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF 3339) [Source:Projected from Arabidopsis thaliana (AT5G08391) TAIR;Acc:AT5G08391] MADWGPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >OB11G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10392936:10393157:1 gene:OB11G21230 transcript:OB11G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVLSSHNLFSLVNYFSVCRSLGFNFNFLHFGSNTRGSARQVNLSQEEYLLFLPSFDQNCTKFLQVIETIPV >OB11G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10395496:10395948:-1 gene:OB11G21240 transcript:OB11G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDRQPTFSISFSGSLRAPKQFPFLQDSSSSGGISTAGQNLLRTYSSAEDGANITPTRSSTCNGVPHGLDPECALSLLSSSLHPSSAGISSTTPPAQFAPVFSRIASSSQTVTTAFASDGPSVASHHVLVPTAAYEDPSQALPFSWQV >OB11G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10423200:10429858:1 gene:OB11G21250 transcript:OB11G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGVNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLEANFLIPHDENVYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSLIDGEFLDKFDIIVVSCESIKTKLLINGHCRKRTKHIAFYTIECKDSCGEIFVDLQNHSYVQKVGGEPKPMELTYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYELSEGHSCCEASLSDLPAVLALRKDMCDRMSLSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPSSSD >OB11G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10443334:10444413:1 gene:OB11G21260 transcript:OB11G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAQRRRSSASSSSASPEFRFWPVDADPAASPSCADEIFSGGLILPRLPLPVPRRAFDGDAAPACPEPSAAAAGGDFGMLCDDDDDASGGCC >OB11G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10455900:10459253:1 gene:OB11G21270 transcript:OB11G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G14140) TAIR;Acc:AT5G14140] MQQRPAVAAMEEEQAPVVARLGFWLAARRRLAPDDPFFAAGNMERELLAKQVALDLSDDERYQLERMEVASTNTFFCPISGCGAHLDCLENFEDHYSTRHTASCSVCWRVYPTSRLLSIHISEAHDSFFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLVDKHQFPKSFEFFKKARPSQRQRHKNQKQRQTVHKGGEETMETLMDVDGKGSRHMNRRYQPKQRHDVKESKENEQHSCKEPSNSEMEVDKQIDELSSAVSKLSTADSTPSSISFGHRRSRGLAFVPRSIRQNKQVSQAQPK >OB11G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10463236:10464700:1 gene:OB11G21280 transcript:OB11G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3N8J2] MGKVWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYDKFVREQLRKHGLAGAGSGDTAAVGKKDKSSPSSSPKDKEKTKSKFLSFVTPKKDHEAY >OB11G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10499288:10507206:1 gene:OB11G21290 transcript:OB11G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLSLVLLVYLPVSFVCRMLSRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYAKKGACVALTARTEIALRAVAKTARDLGSPDVLVVPADITKLDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFQNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEQARDINVGPLPVGKTEMLAKVVVAAVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSRAFYVSKSSDHGGGGAALSQKILDAVGGKKFLYPKTIRSQAIAAS >OB11G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10515455:10515939:1 gene:OB11G21300 transcript:OB11G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATALVFFLGALLLSHAQTGESQPNCTFSDVRFAVERTGKAVGGQPEDRVTFSTACPCKLTGVRVWCDGVQDGPEQLDESKVEFDDGMCVLRQPIAAGSPLSFTYTSRTPVNFRLYSATADC >OB11G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10529162:10530462:1 gene:OB11G21310 transcript:OB11G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPRAGDHRATQKSALSEFFSFNGPLTVNMGLLAMLFVKLWGHIDILQSVSSCIFFLGLGSSVLLRFYGMIRTMDSLRIHSSVDRAQWIQWMTWPSAMAYASTVLQMVATAALLLLFDTIYRMVALPLLLVLIMALLAVLLREAAAGSGLPASSQTVSMGFSGLLGTVAGYVKSSPEARGAGGGGYRLPDCFMLYGVLLGLLVMLLSSVPPRVDHPSTRPNVAAVYLPVLAYAALLFLVSACVVAADAILREFAFFGFIVLAVWCFWKEHTDGPEPPATGSSGSATCVQALLDPKLSQNIHSFYIIPSFSILMASYATYTTTGHHLDADWTSDQLFKRFNFAVFCSILTNAGRMVVRAEAQGRRSMLSPLKTWTVAAYVTAAMAIVLLCVLVPLRPNLIRYIIP >OB11G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10540245:10542954:1 gene:OB11G21320 transcript:OB11G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRWFSALAQKRLRDNKPDNGSEDDYAPSDDESIDAYKDWYMEKGPDADIHDEVCYEKYEETTKVDDEGNGNGKKVGRGSLKGLAAMAKRAKARTRKLKIDFSKNLGGPCGDNRRTFVDEIVMFTRLHAPLIGVRQWKDVSQEVKNLIVESVMSIWDMGNVADLEENILMIAKERYKGWRFAFSATYKAYDSYDARMKRKPEDLDIVEWHYLNMYFGTSQFKTNLAGKLSMVEPQELGQEELLNDASSPQEDIVFQQTYMETTGVKSAKLAKQAADKEAEKKQLTAAIKDSVMQEVKAMMAQQQYSEEIPTTAINNTTTENNQAPTTETNNTPLCEDTSNQNIIQETPVQRTIQTPNLDEIEPQSFITAEKKIFPLLPNLNLPKLQRIYSTPIMVKELEA >OB11G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10551934:10553343:1 gene:OB11G21330 transcript:OB11G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMKLLLLSAFLPLLLLQGAARSASSASASPAAGKCAASSVEVEQTNTGEKVGYDPVFEVTVRNRCACALRGVHLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIEPSAAFRFRYAWDRAFRMTPAAVQDDCS >OB11G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10558864:10559907:1 gene:OB11G21340 transcript:OB11G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:J3N8J8] MAPTTTGVDRRDGGGGVLDGMAMAELLPSLPLETRCPPFPLRLYGGYWLPEWVLPGLEAVHARFDPRPSDVLLASFPKSGTTWLKALAFAVANRADHPPSGDAHPLRRSGPHDCVRFFESSFALAGEGGGDIFAASPSPRLLATHMPYSLLPERITASGSGCRIVYVCRDPKDAFISAWLFTTKNSTAHDRSVQKSASIEEVFDLFCAGRCVAGPQWQHVLEYWSESQRRPEKVLFLRYEEMLREPARSVRRLAEFLGCPFAEEEEAAGAVDAIVELCSIGQLRRVPANKTGATELGINKESFFRKGAAGDWSNHISLEMAARLDAVVEDALRGSGFSFAAAGGDSE >OB11G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10560701:10562365:-1 gene:OB11G21350 transcript:OB11G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHTHQTSHKLRWISILLNNWCLLIRWKLICAPICSTLLCSIKLEASGTAAIIRVGCLYAMVSSLRSICI >OB11G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10563781:10564185:1 gene:OB11G21360 transcript:OB11G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEQQPDDAGFSRRWADLEARRRQIRALRRRLEYGPGGRRIGRIRARQRGAVALGGSGPGGRVAPPSPAAPPVRVVRGAAKPCD >OB11G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10568299:10569366:-1 gene:OB11G21370 transcript:OB11G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEAAVRVQAAARGFMARRMVRAVRAVEAEAEKVGRIMEAEAEALAGDAKARVAVGELLMRLLLRLDAVHGAREYRRRVTRRVLALQDAVDALEHRPAPVEEVPEVGDATAAVDAQAPAELAEDTPVAVDMAEAHDAQEAAELADNATEAVDNTEEATHDAEDNEMAPEPQHAAEHRVEAASEPETVVAEMEVDGLSAEAKPDEAADEQVVDGEDMAEQEEEAEGEWEAAAAKPEGISEAAQALSLEPPAAEQQQNQEEEEEKQAVSEGLDASKVMQMVAALCERSMQQCEVIATLAERVDALERAVRRVEESDRRRRRNKKLKKEAKANGKSIRSCYSD >OB11G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10578697:10581104:1 gene:OB11G21380 transcript:OB11G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEARENGAGVAVADGGKAAAKLTVKRGEPELVAPAEATPTGEKYYLSNLDQNIAVIVQTVYCYKAKAAPAEDGAGADADADAVAVLRDALAKVLVHYHPLAGRLTISPEMKLAVELTGEGALFVAADAGCDLADVGDLTKPDPAALGQLVYSVPGAKNILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGLGAMEFVNSWAETARGAAELPVPPFLDRTVLSARDPPVISFEHHEFEEIPDISDTAALYGGQELLYRSFCFDPDRLERVRGLALAGGDLGGRCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFEPPLPKGYFGNGIVLTNAVATAGDLLSSPPSRAAGLGQEAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLAFHDADFGWGEPAMSGPVTLPEKEVILFLAHGKERKSINVLLGLPASAMDAFQNLMDEI >OB11G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10583742:10589021:-1 gene:OB11G21390 transcript:OB11G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTKLQQQQGPTSPTASVSESNIVASTVSAGPEANDALAGLQALRFDGGGDIDDAEIQSPDIALWESIFADQIGASSGADFLLSMSSASPRRDFMACSPRREFMASSPKRDYMVTSSPVRDYMVSSPKREYMVTSPRREMVSSPRRTTFSNLYTTTSHGGGHHQSYVHGGGMEGAGHGAQLLYSGLAGHGKGKSQSPLHKVYINNGGGGAHSSSSSHGGDGKSNTPSTLSCSSSYVHGAESDLPASLPSMDPFLEEGYLASYQLPDNASGGAPAPAVTAPSSSQQLPTLSECLAMPEPAYRGGGEEAVTAAMAAGGLPVGALGHPGMYYGGGGGGGQFGEGMAPPLQHQMAKADQWAADSSLHSMLGSVVQSEAEQCSLVFHNNILNLEQDSGLQLVHLLLACADMVSKGDQPAALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLSPSASAPPPPRLSLSPSASASPLPLPPSPETLKVYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHRRVGEALAVNAVNRLHRVPGAHLPALLSMVRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAARMKVEQCLLAPEIRNVVACEGAERVARHERLERWRRLMEGRGFEAVPLSPAAVGQSQVLLGLYGAGDGYRLTEDGGRLLPRGPDRAPLAASAWRC >OB11G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10594733:10600843:-1 gene:OB11G21400 transcript:OB11G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRRGRSLRRTLLVPAVSAAALLLLLLLLHAHGPQLPSSSTTRPSPSWGELAARGSEDGEAAADGGGGASSSRATCATAXXXXXXAAGRGEAEAASLRVRGLIRRHFLLHGAARVRELPPYEFCKQGFVLGKSSEAGFGNEMYKILTAAALSIMLNRSLIIGQTRGLYPFGEYISYTNHSFTIGEVKHLWRKHHCGRKYGRDLNMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHPRMKTAASLLFGSASSLHARPNTFGELMQVIISPSQTVLKAVQWVLKGSSPDVVLHMRMMTNRPVRARKAAVNCIKRAIEICHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSKNFDLETTGTDKTLDSRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGHGHQSPGANFTFLSSVHSNLLVEGLSSQVGWGHIWNRYAGPLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVQLSNTGEVDERRLMSYCRSRKDHVKRYRVLP >OB11G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10607862:10610809:-1 gene:OB11G21410 transcript:OB11G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3N8K5] MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSIPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETLYIAVYLVYAPKKARVFTARLLLLVNVGVFGLILLLTLLLSAGPRRVVVLGWVCVGFSVSVFVAPLSIMRLVVRTKSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFTFGVIQMGLYAMYRNSTPKRSTMVAKEVEATATDDDDAASPTAGVKEHVVNIAKLSAAAAIDVKTREVHPVESPPAEDHPSVAAAGSPPPPEDDKSGAAVEKKAGQEEV >OB11G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10655549:10657434:1 gene:OB11G21420 transcript:OB11G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGFSIPVVNDAMIHLPTGFRFLPTEDELVINYLYPRALHVPLPCEIITDINILHHNPWDIVPVVETEKGKYFFTRKVVKYPSSHRRNRVAGDGFWRAAGSEVPIYYKPEGANEGVLVGMRRTLVFHNGKPRNAKRTEWAMRELRLAGAAMLPRAVMRRGTGDGSVPPRVCPEETVAQKSDGLSATVPAKFASAPLVRTIVKPDSSWLICRIYKKRQRTPRVIIPPAIGNIGVDVIPNDIANAREGHVRFIDFLGQPPHIDSSSPHSCTVDPSLLDERTDESTGCSEDKDSHGLNEGNQSIDQASKPREE >OB11G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10661224:10661595:1 gene:OB11G21430 transcript:OB11G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVMATGDRKADGTPTTGGGRRQEEATTRWSRVASRAGGVGGRRWRGGLRGYRHSRQAIGVPIAHGRRLRPGGVEGRRLRGQRWPDVEGTSEQDGVRTVKRWCRGVAAGRGGSRRGDPGA >OB11G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10666553:10667293:1 gene:OB11G21440 transcript:OB11G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGACITMADGTTMRLPPGCVFRPTEDELVVHYLYRRAIQAPLPCDFIADIDISRHNPWDVVREEEKTNGRYFFTRKENNHGDHRGNRAAGDGFWRSEGSDVPVYYNDGDAADGDMLVGMKRTLVFHCKSSPSAQRTGWVMQEFRLAGASLLPCPVMADAAADGSNPSNNCTEATITQ >OB11G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10686331:10688422:1 gene:OB11G21450 transcript:OB11G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGVCIPLVNGRTMHLPLGCAFRPTEGELVVNYLYRRAIQVPLASHFITDVDIMRHNPWDIVPAEEKVTGKHFFTRKERKRPGDSRSNRAAGDGFWRSTGPEAAVYHNPGDSGGEVLVGMKRTLVFHYGKSSSSAESTEWAMQEFRLAGCCLLPGHVTGPATGDSSNPSCISTQVTIAMKNDGQDLSAAHTHDTPLGKTMVVEPDSSWLICRIYKKRQRAPHVIIPPSIGDAREVVLALPAIVNAGRGRFRYVDFPGQPSFEEGTDESPDVHDDKAADGPGKN >OB11G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10693084:10699043:1 gene:OB11G21460 transcript:OB11G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVFMTLLNVTTVHLPTGYVFRPSDGELVLHYLYRRAIQVPLLCDFIIDVEILRHNPWDIVRVDEKNGKHFFTRKERKHPGDHRSNRATGNGFWRQRTPYVIIPPSISIAREVVLAFQPLAMQAKGAKSAFSTSWGSHPPIITASCLTLWRRDLMSQLMLRTTSMVMMAMARTNQRIELNWVERWSDGGRYFFTKKETKYPGSQRSNRVAIDGFWRFAGSEKPVYYNPADGGDRMLVGMKRTLTFHYRISRTRWGMTEFRLASSGLLPCPVMKRVIGDGSNPPCNCAEATIVKTNDALSAVLRYALSLAPLVKTSIKPDGSWLICRIYRKRQRAPRVVVPPAIANAGEENVAPPANDNAREAQVHFVDFMRQAPHLDQSSPCSCIMDPALEERSDESAGGSNEKDQEANSAAK >OB11G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10701686:10702172:1 gene:OB11G21470 transcript:OB11G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEPEDQLDVAPASSKPAAPRRGFASDLFGRARRSNNVSKSSGTDVAAGDHPQKQQQKQQEEGQPRQQATKKGGAGGATGRELARSPGSPSFRYYCENAAGAAAFAEPKKERR >OB11G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10705176:10705728:-1 gene:OB11G21480 transcript:OB11G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRIAIWRIDNLAARQVTFSKRRRGLFKKAGELFILCDAELGRPRRLLRHRRQALPVRQHKKLQT >OB11G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10721119:10723709:-1 gene:OB11G21490 transcript:OB11G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSAAAALFAGLLGFATLVSCNTEGDILYAQRQAWKDVNDVLVSWDPTLVNPCTWLHITCNNDNSVVRVDLGSAGLSGSLIPELGGLTNLQYLRLHENSLTGTIPQSFGNLTNLIRLELQKNAFSGTIPASLGNIKTMEFMRVDRNSLTGTVPLEVLSLVLVGNLTELNVARNNLDGTVGSAGPRVTTIIQDRMKSSG >OB11G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10729012:10731616:-1 gene:OB11G21500 transcript:OB11G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHSSASVAAAAALFTGLLAFATLVSSNTEGDILYAQRQAWKDPNNVLQSWDPTLANPCTWFHVTCNNNNSVVRLDLGLAGLSGPLIPQLGELSYLEYFELFGNELNGSIPASLGNLSSLVSLDLQGNLLTGAIPDSLGAISTLRNLRLYGNNLTGSIPQSLGDLTNLVKLELQKNSLSGTIPASLGNIKTLEFLRLNENSLTGTVPVEVLNLVLVSNLTELNVARNNLDGTVGSTELRVTAIIQDKLKTSG >OB11G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10737795:10739955:-1 gene:OB11G21510 transcript:OB11G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFAEAISAIFLTGLLALATLVSCNTEGDILYKQKVAWKDPENVLQSWDPKLNNPCTWMHITCNNDNSVIRVDLGDAHISGPLIPQLAGLNNLQYLELYGNYLNGSIPIALGKLKHLVSLDLYDNLLTGWIPSSLGAISSLRYLRLSGNNLKGPIPPLLGNLESLENLELQNNALGGYIPASLGNIKTLIYLRLNGNMLTGSLPLEILSLLDNNLVELNVANNNLDGTTRKSGTRVTTIIQDMLKTTS >OB11G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10743765:10743920:1 gene:OB11G21520 transcript:OB11G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVTDGWATGSNCSLSIVFSNTNWSKVIRFVTPNSNCLQLVTETENSPK >OB11G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10744272:10746784:-1 gene:OB11G21530 transcript:OB11G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQFAEAISAALLTGLVTLATLVSCNTEGDILYKQKLVWEDPQNALQTWDPTLHNPCSWEHITCNKDNSVIRVDLLNVDISGPLIPQLGGLKNLQFLQLYGSRLNGSIPATLGKLKHLVSLDLSINLLTGAIPDSLGALSNLLILRLSQNNLTGAIPPSLGNLKKLEILELRNNALSGTIPASLGDIETLNYLDLNDNKLTGTVPLEILARLVTTLGELTVSHNDLDGTSRDSVTRVTTVLHDMPKTSN >OB11G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10749530:10752640:-1 gene:OB11G21540 transcript:OB11G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G14020) TAIR;Acc:AT5G14020] MGCGASIPNKCKVGGKGKKRRSIMQEVAVFVPTIRIPVDSEIVHPLRGLVSKELVDRLSKFRDRVVALSEEIYCADVSDVSELQHALEEYLPVVLGLTMKESRLEASVEFRWRTLDDDQECCLSSAWYEVLSVVHMMAMLALFEANLILIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYCVHRIFVQIPEQVKKSFPNYLREGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACELVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGNEASNHISAVCCLSAADDIVAESKRACLSFCLANPITRVPPPWGIMRNMHKKIPDAACKRFQMYGYLFEQDKNSALQSLPDLPEFALSLRPEGYELPSTDSIWDNVDSQPQVQSLKEHLDDEDEVETK >OB11G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10757404:10758022:-1 gene:OB11G21550 transcript:OB11G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPALVWPNNYDVWVDEFKAMGLSHYLNAVWPSASVFVDDRRVKSLDCPYARVARRKLNSTRPRSSRPSTTRPPPGLLICDDGVTAPATVVLDATGFSRCLVQYDKPYNPGYQVAFGILAEVDEHPFNIDKMLFMDWRDEELVHMRDKYDRLKATRPTASFRVFVSTAAAGALQCRPPLVPPMMRRARSE >OB11G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10766448:10766699:1 gene:OB11G21560 transcript:OB11G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDRRPVMIAGTRRASFLCQGSRRASWRRPPPRPGGPAGSRTRRRRPSASGTAGTARRRAAPTPGTARRRRGRRPGRAAGRR >OB11G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10766470:10768820:-1 gene:OB11G21570 transcript:OB11G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAKELALGCLQNLTSGDGDECQQLKVEAFQGGALGCVKDFLESCVGDEPGLAPAFGLLRNMASFRYIAEIAVSASFVDHVLAALDSDKAATRTEAAMALAELCNVGSKNRRDVGDAIPRLIWMLEAKAVTERDAAARALAALVAASGYRKLFKKEERGIVNVVQLLDPGAARGVDARFPVSVLLAVSQSRRCRKQMVAAGACGFLQGLLAAEVDGAKKLADCLGRGKMLGVFPRS >OB11G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10790800:10795015:-1 gene:OB11G21580 transcript:OB11G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPEEVVELILGFLTSHGDRNAASLVCRAWFRIDRLSRRSVFVCNCYAVRPERVHVRFPRLRALSVKGKPHFDLVPAGWGAMAEPWVGSCAAACPGLEELRLKRMVVTDECLKLLARSFPNFKSLVLASCEGFSTDGLAAVATNCRFIKELDLQESLVEDRGSRWLRCFPKPSTSLESLNFSCLTGEVNGHALEKLVARSPNLRSLRLSRSVPLDVLARILSHTPRLVDLCTGSFVRGNIADAYTALFNGFHHCSLLRSLSGLWDATNFFIPVIAPVCNKLTCLNLSYAPMVRSAYLTAFIRQCKNLQNLWVLDHIGDEGLKIVASSCIELQELRVFPANADVRESTAVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPQFSSFRLCVVDPGSADAVTGQPLDEGFGAIVYSCKDLRRLSLSGLLTDRVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMPKYEAMRSLWMSSCNITLGACKSLAANMPNLNVEVMNEAARIDEADEDANNAKKVQKLYIYRTVAGPRGDAPEFISIC >OB11G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10803827:10807901:1 gene:OB11G21590 transcript:OB11G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRRLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLQRTTNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHAELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRAELKKMGFEVLGNNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >OB11G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10811506:10811712:1 gene:OB11G21600 transcript:OB11G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 [Source:Projected from Arabidopsis thaliana (AT5G55125) TAIR;Acc:AT5G55125] MKKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFNRRYQQEADENKDK >OB11G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10838482:10840618:1 gene:OB11G21610 transcript:OB11G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGYKKGFLWQAIEQVKLHEEFDRLLASGKLDRDICKLSSLQDDFIEEFVDKHIAKTQFVQVDKKLVILSLEQRAQLLDTQRQINAYAECLRNIMTDQKLQDGLQRLISELEDYRKMSQQSDSTLKDSFEQSVEILCVNWRQDLTQTWMATRYYEVLYYDVLRKVLKKLGVFIVLPVRALTMQTQE >OB11G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10846966:10860189:-1 gene:OB11G21620 transcript:OB11G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYTTKRNSQMDAAAAELESHMFDCSGDGASCENETNFTDYAKQSNELGHTRMSGTGGGDVQQMLAEHTIISEAFLSAATCSWFEKDKALLASLLSSLKSLWAQPQWQACLKHLCCHGDFRRAMLKIVKLFEKELKNHRVETDILHKPDQISYSTLISLVSLIVPPLLKLIRFVHALWTNEAVFRFPEELIEARKLKNVDQILRFKGEMLEFLDVSLEELEENDLAQWLQLMRESGYNLLGLCATIKGAFSELLDISSINDAIMENIRSMEFRHVAKLIDLVIIPFIKHCPHNLWEEWMLKLLLPLFDYCGDMLHYSWFTLLNNGRADVPHYFGYLCGSEETVNKMENYLLLDLTRKVSKLLGALASQELNHDVCHAALHSVLDKCTPSTSLVGYILLNGCFESLSMDVFGWWVDGEAAIDSVPFCHALVQVAVDSNNEKLRRFVKDDMLRAIVHRLCDDLPCAVQKTIRKLSPLMNLTKCRKASKDLFILCQEIYEVYIQCQDLEGEDQDNDSTAHRFEDWFTNQKKDLCVKASSAIPDEFPAALWNWEFEEEFRRYLPTYLDVLHEVDAMDDCLEGNCLDSTKIFENLSLEFRSRHAISSCTDHLVWMISNLLQRKMPAAYSEQRSDQISKCICKLITSKPYIKRSDGWNNAMKRLEENFEINLHTRLSAEDAVDIFYISILRLWEPQFHPLIREGQKDVLVKIARQFAFAKERENYEPLEPDSLDFLDHLQLYASFYIYRKKKESGYFMAREQVKLHEEFDRFLDSGKLDSDICKLSCLQDDFIEKFADKQIAKSQFVQLDKKLVILSLEQRAQLLDTQRQKNAYAECLRNILTDQKLQDGLQRLISELEVQGFFDVDNNSIDWEKGCFLPLVDKFEGLVFKGHSFPQYLVIQGIMDYRKMSQQSDSNLKDSFEQAVNWRQDLTRIWMATRYYEGLYYDVLRKPLKKIFMQNTEASKL >OB11G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10861520:10861840:1 gene:OB11G21630 transcript:OB11G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVGVFRRRGLGVFQIWTTTTGGDRPSRRRTASGSGGGRAASRQEEGGGGGGGGRREEDGGGGAGWCARTEAPAGAGGGRKRRGVAPVCGWRRPWVATGGGRG >OB11G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10871254:10881842:-1 gene:OB11G21640 transcript:OB11G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQNLHLAPFHPISKVQAHICVREKDRMVKCRIATYPFLCVICDKCVEGSVIMLSNLSLIYDKSIEFAIEYGPMMALFLKKQCQMSKLRNGVDGKTEILIVDSNCKTMSNHETCVHYFTGEAKCGGEINELPGIKATVLCFEGDVAKITHDRLKCLSHPYVLRSLGYGGGLGNYSRFSFLALPFFDATLAEYLPNKLGFSVQMDRFTVEFIDLVGQIVRAMITLHDGGFCCGHLKGESIAIVNQHNSLCAKIWNFQKCTSDGDEDLDWIRLGMLLQETRLRTTEAQDLYTSLLSGRLKGMDILDHSSLLTVRKKFDNVLLFDFYTKTHWTKEYTQAHDSTEAVSTVKGVQAPEWLDEEFNWRSHRPSWIFARCLTDPPNTYRGFSQFIRHLIQHEVDFLSPQLINEISWQDREKGEKEVDLEWHIRKAWHKAFLKLQNFVRTAKLSTTSGYISASGSKDDTIPVH >OB11G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10905399:10905803:-1 gene:OB11G21650 transcript:OB11G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEQLYPQHISRSEQYHGVREEGSRASGDGMRRTASRQEEGGGGGGEGRRRRGVVREDGGGVGGCGRRKEAAGGGTRRRVREEDGGGWVEQGGEGGGSRRLRVDEGGGGNGRRASMNPRAVFARNLTKCHASS >OB11G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10906070:10906240:1 gene:OB11G21660 transcript:OB11G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIICVNDVTAMVMLDYLCECLCFVLECQTCASMLMLCLSDSSVLMVYIHCLFFFLK >OB11G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10905497:10909009:1 gene:OB11G21670 transcript:OB11G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCTTKSNSQMDAAAELESHMFGFSGDVASCENETNFTDYAKQSNELGHTRMSGTGGGDVHQMLAEHTIISEAFLSATTCSWFEKDKALLASLLNSLQSLWAQPQWQACLKDLCCHGEFRRSMLKIVKLFEEELKKHRVETDVLHESDQISYSTLTFLVSLIIPPLLKLIRFVHTLWMDETVFRFPEELI >OB11G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10916800:10919488:-1 gene:OB11G21680 transcript:OB11G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATPTVSRSGKLIEYSTSALPALGHGAPSPSEYIKNFFEPPNPPRRLKRKTRTSRATKKKAKIVVEAVPMEATPVDLDIAIDAATNEQSNDDMTRQTDTQAPLADVAAYSSPKTGAKFKVITYRPYLRTPKPPTTSSTSTLPADAAPEPGTATVGTTVRTTTSARPADISVTASAHPTYTPTSPRIVCFFRY >OB11G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10929950:10933072:1 gene:OB11G21690 transcript:OB11G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAESRREVKLIMQAGGRNKVDLHRQDQQSKESINNVQRVGQPIASMILDATSGILHPTEIGLGGECCHQLSIPPGIPFWWGVGNDLKDWLQSDVLSWAFLKGILRASELGWV >OB11G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10939641:10942351:1 gene:OB11G21700 transcript:OB11G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWMGGRLGVATRRFRGRRFSASSSSPLRSRLASPPGCLALPAGGAAGCKPHADVRCAASEVWPAAIGTADPGRLFVPPRWTWPLRLVASVPAVHLRPPLFDTCRQGRRPAAVVVLAIAAAAFGGGSLSSPSIPVRRCRTPAVKVDDFRCIPMTFGSVEEINQMPLLAVTEVRHSVLSLLTSFVQALRVGIWSGCEGTSLGEYSGGEQRRFAEDSEECKHHFVVNMVICWLSSQYDQMN >OB11G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10945866:10950143:-1 gene:OB11G21710 transcript:OB11G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKNKEVASNAKAVLAHIARDDPPVGKIEIEQTSCELVVDESKIEGTALHHATCSTGHCVAISKPIEEAKIIYRILCRCNHPNLLKPIGVWKNPKDSTSAYLVLEKVEASLISKGREFMFSIEDSFIFGFSNIGFKIFRAICDVVNYINGLYMNSDVGTSSVAGAIPLMSVKLSSSMIFYKLTVEGEVEVVVADFSLRHPQDLVKKTRKGRPKEVTIGDVQRFNWRGVGLYLKKLYGDEKNVNEELKDLAAFLETGPKPEEGQVTYDEILWHPGVWESIIKINFIREIFWLIDKDRDRLKTKKFIETEKGKALSKIKCTLGIAAGLKMFAGKELKENNLLDSLIHLRTYIAAHSDDSYNTYMGEKDKLECSKFNCERLLQKEKGDYMIKLRKEIRSLEWITESPVLRDKNDYMAMFYEMKRKEMGN >OB11G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10961482:10962504:1 gene:OB11G21720 transcript:OB11G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRDRADVGLRFHVIYRHQGVLMDGIYKELPGFFGVETIPPKPTSELISDHLYLEPVCIVLFFHINLGSRKTQQMLLSLFIGLYTIICIHPFMFPFDWFSALQFVTFGNIDMQELEFPEEQIQVSDALTEMSQGQWEGCPKSEIYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTIVRLPEKVAMGDTLSQQNDTKGLSRQSSTNSVQDGPPWDLLYRLNRHGLQRKKSGKSRLQFVTSGDNETEDEFSPKEINQRHTLHEANLAQPSVTSIAIFSHAAPIRCLVAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDSAHLRLL >OB11G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10964915:10965079:-1 gene:OB11G21730 transcript:OB11G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRQTTKFNFKNLFLKFILIYIIKINLIHPMQRTYIFACIYIIVYTLRTCAV >OB11G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10966680:10973488:-1 gene:OB11G21740 transcript:OB11G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) TAIR;Acc:AT2G33540] MRVTVTPKDEERLVVLMARERPRSAVVGGGGGEGSDGDSSGSLEEISADDFKKESSGGGGGAGAGAGTGGGVAAAQRSRVWMGYSMPRSYAPAFHSFAWAQAVQNKPLVPRAAADEDEVEHVVDTSDEEKEEGEIEEGEAVQSSESPPRAQPETIVLDSDVPEKPESAAMDGVTIPAGAEEEDMDFDQRVGSILEELETISIEEAEKSFEGACTRLRTSFENLKPLFPETGSPMPMLDTLVQQAFIAIDTITTVANSYDMPKREQTKNMLLKLLFHIKNRYSYMLTPDQRNELDSRVRQLVFEDGKDTANCPNATCGTNTSNVAATSGQVLSERLPFESGAGNTFSGTSMLKVEIPSKNRMISPLLDLHADYDENSLPSPTRDSTPPFPVPKPIGFGTFLMAPDRPSIMERVEPVKNSSYPSLNDALKAVSSYQQKYGQKSTFASDDLPSPTPSGDGDKSGDKGGDIFGEVSSFPASNKIVLPVVNQMPPSRPSTVSSSSDSFAGGPPGYAKQIENPVSGSNHMLKATAKSRDPRLRFLNRDAGVVADANRRLNFAEPNPSKDRTMGVGVPINSRKHKTVDEPLVDENMLKRSRGGNGNPRDVLTPAGRGGWAKDGVNVSSYSSDGFQPNQNTRLGNSTTGSHNVRTDSTLVSNTNNMTNSSGINTGVVQAPQTNSSPQTSSAPSVSLPAMLKDIAVNPTMLMQWIQMEQQKMSATEPLQKVTASVGMTSNETAGMVLPLSCASKTTEAAPVPSVRSQVPMQTAAVHSQNDAGVIRMKPRDPRRILHSNIAQKNDTVPPVGVEQAKINGTALPDSQGSKDHLLNHEQQAEQLQTSALPSQPVTPSARQVTMNANPVSNSQLAATALMPHGSTQQTSSSVNKADPRLTAGQNETNDDAVTSTGPLTAPDAVLPASPWGDVDHLLDGYDDQQKALIQKERARRIMEQQKMFAAQKLCLVLDLDHTLLNSAKFAEVEPIHEEILRKKEEQDRERADRHLFCFHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKIYATEMARVLDPTGTLFAGRVISRGDDGDTLDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHQNFFTHPNLNDADVRSILASEQQRILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRASELDFAVK >OB11G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10976971:10980239:-1 gene:OB11G21750 transcript:OB11G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3N8N9] MAMAAALSVVVSAKLGCAAATAAASSALSWKGSRISGRNLISMRTTTARRGLVSLRSPRFRVFAAAKAETVGKVMQIVKQQLALGEEAKLAPESQFTELGADSLDTVEIVMALEEEFGITVEEDNAQSIATIQDAADLIDKLVAAGTTPPPAA >OB11G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:10983755:10987570:1 gene:OB11G21760 transcript:OB11G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAELSSRWATALAVLLLALAVDWPTAEAACSVSAIYSFGDSIADTGNLLREGPVGTFASIGTYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLDKGASFESGVNFAVAGATALDRTYLLQSGIMMPPASVPLSSQLDWFRSHLNTTCSSQQECERKLGGALFLVGEIGGNDYNYAFFQGRSIDAMKAYVPQVVRSIMDVAKEVIGLGATKIIIPGNFPIGCSPSYLSLFSTAISGDYDNRGCLKSYNSFAMYHNDQLRAAIDDLRKVNSDIVIIYADYYGAFMHLLQKAFLLGFEEGSLFNACCGAGGKYNFDMNLMCGAVGTSACTDPMRHISWDGIHLTQQAYRAMALSLLMEGFAQPADAVQKIWSC >OB11G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11005061:11012193:1 gene:OB11G21770 transcript:OB11G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEVEPVTVGRWSSRGSEDGSEEAAVLPKPLAAIVTGARSRSNSPAISRNGVDTGAANRAPSTGRSTFAPPVGANIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAKEKETAAVQKLKEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGVYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGSDSLEDSQRFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAQNHRPNVAELADPDIKSSGDEKFTEAFDLSKEEEEDVLFKQAWLIYFWRRAKIHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDQGIRELRRLGIEQLLWELSRREVNTTKEELDSTEEELKNTTEEEEEVNATREESSDVDDLT >OB11G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11048697:11052029:1 gene:OB11G21780 transcript:OB11G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3N8P2] MQALVSCNRPLAMVVVSSLQLLLIAALFHLGSCNGGDRITRLPGQPEVSFGQYAGYIGVDDKGKRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSSDAAYYQGVDDKMTAMDNMVFLQRWLEKFPQYKGRELYISGESYAGHYIPQLAEVMVEFNKKNKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVTEYYGGSLTPLCTRVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHQQAGQRIDVCVEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARNLGLKTTVPYRVWFEGQQVGGWTQVYGDMLSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETFS >OB11G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11059533:11059724:-1 gene:OB11G21790 transcript:OB11G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFANSARVPVCGNFLTDTPLISYIWVLSSLHMTSR >OB11G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11073979:11076240:1 gene:OB11G21800 transcript:OB11G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMLRLAGEARRTAKDVATGAAGGERWLSAAAAAKGRLEGKIAIITGGASGLGKATAHEFIREGAGAVIIADVNSGTGLRTAEELGPRAQFVRGLGELRGAACEAVDVARAAAYLASDDAKYVSGHNLVVDGGFTSYKYMNLPQK >OB11G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11089811:11092166:-1 gene:OB11G21810 transcript:OB11G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSAWRREVARRSSEVGRARGPPRRRWSRAGSSRGARRRRRGTRVGCCGTYGRRKIGRSFVRTRYFVLDNKLVRLLQEAAQGQHGASEVASNRWKLQSVR >OB11G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11095123:11095489:1 gene:OB11G21820 transcript:OB11G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEEEVVNAGEEPPAPKERRRPFRWSVSRHDENREDLQGERKERLDMMESLMLQVAKWHEESEDLVEQHQTMLLQEFNEKGYAEVEFIEQHQTMILQEEEESAD >OB11G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11096992:11099130:1 gene:OB11G21830 transcript:OB11G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAIAVKDLAKEEWDANKTMRIGMDRLCARQKRSEFTGSTRKSAGHDAGDANARSIDLELNAEVVNAGEEPPAPKERIRVPLENIEFFMERERRPFRSSVSWLDENREDQGERTERLDKMESLMLQLAKWHEESEDLIEQHQAMLLQEFNEKGYVEVEFQEECVLDPRLEGLWSDDVDDTLWNYDDDDEDVANSHTDVDVDGDGDGEEEEESAD >OB11G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11101277:11101664:1 gene:OB11G21840 transcript:OB11G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAIAVKDSAKEAWDAIKTMRISMDRVCARQKLREFAGSTRKSAGHDAGDGEATTGMERPPGTSRQRQGCDGVNRVMRDLG >OB11G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11105159:11105977:1 gene:OB11G21850 transcript:OB11G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLMILFACLLASISIKGEAEGCSLENIAVRMSATGGWAHGQPEYAVTVSNTCGCPQSGVHVACGGFNTTLAVDSSKLRPVAGAGDLCLVNGGAPVVQGHDVTFSYAWSPQFKFTPVSSTL >OB11G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11109018:11111341:-1 gene:OB11G21860 transcript:OB11G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLQTIIAAFLLLFCLCSRSGEALCSLSDLVVTQAAVPGQVAGEPEYHVTVENRCICTQTDVRVSCAGFQYPMPVDPSVIRRDGGDGDLCILNGGGPVTNDRAVSFYYAGKTRVGLKGCQQVSPRRRAGCSRQIRVWFMHNTCSRRHAARTLSTSSSRRNSRWW >OB11G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11111606:11112642:-1 gene:OB11G21870 transcript:OB11G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGDPMTINDDLRLLGEWADDEDDVDCELAPFSSFILFAISQKRIVLKAQKPPAQIIYVNCSLQKQDQIPSNGNH >OB11G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11128571:11129443:-1 gene:OB11G21880 transcript:OB11G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGRSTAALPRPENGAVFTGAEADGGAVDEELPPVTAPASNMSNPASPPNSGIWDGAGPKPPNEPRNPAFPTGSRAVFDAFPAPRNGTMSPPTPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPLAAEAAAARARRCWSWWWLWCPPPSCPGAGISSIPCGGACSCSPSSCIHIVPLNRGSMAGRPRASPAMAPRPRRARKATAFARSLDPGGHRGPGTQMAEQQRRRALCCLRRSSVRRRIYGEEEDGDGDGDAAGGERRSPKFPKCP >OB11G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11128836:11130747:1 gene:OB11G21890 transcript:OB11G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFNGTMWMQDDGEQEQAPPQGMELMPAPGQEGGGHHNHHHDQHLLALAAAASAARGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVGGDMVPFLGAGNASNTALLPVGNAGFLGSFGGFGPAPSQMPEFGGLAGFDMFDAGAVTGGSSSSTAPPSASAPVNTAPFSGRGKAAVLRPLEIIPPVGAQPTLFQKRALRRNASEEDDDKKRKAAAAAPSPGRXXXXXXXXXRRRRHGARRRRRRRPEHRRVRPQLRLGGRQGRRGQLQEGREGVQREQHGDRRRQGEEEGDAGQEPHGGAPSPEETQRPALHATVRGAQDQQDGQGFNSRRCN >OB11G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11137335:11137538:1 gene:OB11G21900 transcript:OB11G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIYLPERVNSHHIIRAPSLTTESPSCLSGSQSHDAATDSFTVLLTTDNIDQTHNLRLHESIDSVWHC >OB11G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11137686:11143628:-1 gene:OB11G21910 transcript:OB11G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3N8Q5] MAAAEVEAAAGLGGGEGDAAAAAAAAARGELWWGGGGGGGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEENGSSEEEPTAPPATHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTRFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVPRTKRLRPNVTASTTDSSATVKEAAPKVAVEPEPNGMHRAFQTQENATPKAGFGNNSELDTAQKSILRPSGFDREKNNIPMQWKAGSDGRMQMNRPESYSEMLSGFQPPKDVQTPQGFCSLSEQIKAGHSNFWHTVNAQYQDQQGNHNIFPGSWSFMPPNTGLGLNKPSYSMVQEVGGLSQRAANTKFGSGVYAPLPGRGIEQYSGGWFGHMMPSSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGMPQTPGATEWHRPDVTEVEKYSDPSKPMKPLDAPQSDSVPEKPLSCQQASRNMPCKSQGGSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPNKDWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERSSVGREMRGCLSTSSLNSDNC >OB11G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11179013:11184614:1 gene:OB11G21920 transcript:OB11G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crumpled leaf [Source:Projected from Arabidopsis thaliana (AT5G51020) TAIR;Acc:AT5G51020] KKKRERKRKKRALFHLSPSKNAAFPIRSLSSSSLLPPTSPRRRSRVSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPGGFPNNWSGATHCTSDMSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKLNYDDMFSPLNFSAPLTLEKKMESSYSIDDQ >OB11G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11192382:11203661:-1 gene:OB11G21930 transcript:OB11G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAVVSASTGALNTLLPKLADLLLVAGGGGGQQRRGRLSEAPPEQLDGQVRLWARDVRDMSYDAEDAIDAYVALREASRPPTASCSCVGGLTRGRSHRRAIAAEIERIRKEVEEVSRRRARYEIDRVVVDAAATSAQQVDRRLPALYANAASLVGVDGSVEQVIKLLAMEGEDAPARRKLKLVAIVGPGGIGKTTLANLVYQKLHGQFECRAFVSVSLNPNIKAVLSSILCQVSHLNHENFSSWSEKEVIDKIRDVLQDNRYFIIFDDIWNEPTWKLLKCVLIDNDRGGKIIATTRNIDVAEFCCNYDYIDGNIYELQPLCVADSEKLLYRKVFHNERCPPQLRGISQKILKICGGLPLAIVTIASLFANRQTQREDHWETDYSIERDNLIWIWIAEGFIQPRQDRSLYEQGERYFDELINRNLIQPICVDVHGKAQACHVHDTILEFVTYLSKEEKFVSISNGQCSLSHLPKKIYRLSLKNSKDDFRIPHATESFSHVRSLIAISWQGIDLKMSLSGFRVLRVLDLGDCSSHGINDDIGNLVHLRYLRLRGTHFNKLPKEIGNLRFLQTLDIKQTRIKEVPSTVVNLTQLMCLMVDRKTKLPNGIGNIESLDHLSEIDISLYPSLMKELNNLHKLRVLEVSPSTCEKSKEKSFLDYLGYMEKLETLNIFALGISVDFMLDVDWALQELKKSTVSIRRKSEDIFNLSPWSVWAEVSPLSTLPKWINSSLRKLSYLSIIVRTLRQEDLEVLGDLPALYSLDLQVTDALEERLVTVGHSGANDQSIAFQYLANFNFTSPAMVLVFGYGAMQRLQLLSFRFQLKATKNFHSGFDMGFENLTSLKAVHFGIDCRCARLWEVQTAEAALRNAINLNLNCPTLDLSRHFERLMYWDGMEEIPDLKIFKEENVGLAKIGPWGGNRGRVYDIHVAPHRLESIKVCSDISVIHSFEFTYSDHNGQKHVAGPWGGYGSGNVDMIQLAPSEFLLEVSGTFGRFRTALNVMTSLTFVTNAHSYGPYGKIEGTPFHMPVQSNGCIVGFFGRAGWYVDAIGIYVNPKLHTVKEKDKFGLAKIGPCGGNGGKAHDIKVFPHRLESVTICSDIVVNSLGFSYNDHDGEHHTAGPWGGQGGNNQLIQFDPEEFITSVCGTIGSYNTSFDVITSITLVTNIDCYGPFGQENGIPFHFPIQGNGSIVGFFGHADIYVDAIGVYVTPWIGTRTEEENEEIVKIGSFGRRGGRRCDIKVPPHRLESVTISSKIVINSLTFSYRSHDGQQHILGPWGSGNENNYTINLGPSEFLTKVLGTFGQFDEFPIVITSLTFFSNTGHQYGPFGQGGGTPFHAPISGNGSIVGFFGYQGDCVEAIGFYFRPS >OB11G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11213997:11225789:-1 gene:OB11G21940 transcript:OB11G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAVVSASTGALNTLLPKLADLLLVAGGGGGQGRLSEAPPEQLDGQVRLWARDVRDMSYDAEDAIDAYVALREASRPPTASCSCVGGLTRGRSHRRAIAAEIERIRKEVEEVSRRRARYEIDRVVVDAAATSAQQVDRRLPALYANAASLVGVDGSVEQVIKLLAMEGEDAPARRKLKLVAIVGPGGIGKTTLANLVYQKLHGQFECRAFVSVSLNPNIKAVLSSILCQVSHLNHENFSSWSEKEVIDKIRDVLQDNRYLIVVDDIWDKPSWKYIRCVLIDNNHGSKIITTTRSIDVAELCCNSDYIDCNTYELQPLSIVDSQKLLYRKVFHDEECPPQLKDISKKILNRCGGVPLAIVTIASLFANRQTQREDHWNTICNSFHTGLESSTDVKDMRWVISLSYYNLPSTLKTCFLYLSIFPEDYSIERDDVIWRWIAEGFIQPKQYRRPYEQGERYFDELINRNLIQPIFIDEHGKAQACRVHDIILEFIISLSKEENFVTILNGQYPLSYLPKKIYRLSLQNSKDDITIPRAIDGFYNVRSLTAISWQSIDLKMPISSFQVLRVLDLGDCNSHNVADDIVNLIHLRYLRLCGVHCNKLPKEIGNLRFLQTLDIKQTRIKELPETVVHLTELMCLLIDTKTRLPNGIGNMQCLDHLSEIDISMYPSLMKELSNLHKLRVLELSPSTWEKSKETTFLDCLGYMKKLETLSIFAPGVSLDFMFNVDWALHELQKFTVSVHRKSKDIFNLGPSSVWEKFSPLSHLPRWINLSLKKLSYLSIIVKTLRQEDLKVLGDLPSLYSLDLHVIDSLEERLVIIGHSGANDQAIAFKYLAKFKFTSPAMVLVFKHGAMQRLQLLSFLFQLKATKAFHGDFDMGLKNLTSLKAVNFMIDCRYARLWEVQATEVALRNEINLNLNCPTFDLSKHFERLMYWDGMEEIPDLKLFKEENVGIVKIGPWGGNRGRCYDIEVAPHHLESIRIYSDMAIHSFEFSYNDRNGKKHVAGPWGGYGGSSVNAIQLEPSEILVEVSGTFGRFIGFQNIITSLTFVTNTRSYGPYGQRKGTPFDIPVQGSGCIVGFFGRAGWCVDAIGIYVNPDLQTYKDEGKVVLAKIGPCGGNTGNAHDIKVPPYHLENLTICSDIVIHSLTFSYNDHDGEHHTVCLKGSLVGTCQKIQFGSSEFITRVSGTIGSYNTPSDVVTSITLVTNVGCYGPFGQENGIPFNFPVQGNGRIVGFFGHADLYVDAIGVYVNPCVGTIKEEEEENDGITKIGPFGRRGGNPFDIKVTPHQLESITICSEFVVNSLEFSYKSQDRQQHIVGPWGSGGGNKYTIQLGPLEFLVKVLGTFGPFGEFPNVITSLTFVTNTDHHYGPFGQGGGTPFYGPMSGHGSIVGFFGRKGPCIEAIGFYLRTS >OB11G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11255257:11256475:1 gene:OB11G21950 transcript:OB11G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYQGKGERDGVWTDNRGVTKASAASRFTKRKFLIVIVAAVAGGATGVGGATVSGGVHCGRRMWRGRDAMVTRRARGRDTAPA >OB11G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11258777:11261093:1 gene:OB11G21960 transcript:OB11G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVDQLILSEDILRKIHALMPLEDAARAACSSRIFLDSWRCYPKLVFDMKTLSKEDFSRFNLFTPAKEDRPRDFIDMVDHIMRNHSGAGVKAFILQTERIFNVCSGYLDRWLQVAISPGIKEIELRLPYYNTMDYNFPSSLLSTANGNSIKSFYLSSCAFHSLDKVGCLSSLKIVHLCHVNITGEELSLFLSNSLALKQLILDDCDQISCLKIPFSLSKLKILKVLRCDKLQTIEGNATSLHRLYYSSPLIHISLRDPLKLKLIEISGNGPNMLYYASSKLPFIAPNLKTLLLSSTYEAVNTPMVLGTFLHLKYLEILFYIAERSPEYDFCSLVSFLDASPSLKKFILRVESPLLRHDSILELNHGDSLKRRISEHCHKNLETVMIIGFCSSKTMVELTNHILEYAISLKRLTLDTTCGYHRRFRKFNKCLPLGKDALVLARKSLLAIRTHIEKKIPSNIKFKVIGPCDECHSEEMS >OB11G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11264470:11265182:-1 gene:OB11G21970 transcript:OB11G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNSWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >OB11G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11268819:11269127:1 gene:OB11G21980 transcript:OB11G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAASGAGGGNHGVAGVQLQEFAYFVVIDLEATCERGRSIYPQEVFELASVIVDGATGEQLAEAFRAYVRPVHHPTLTGYCRELTGIEQADVDAGVLLADAL >OB11G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11271089:11276773:-1 gene:OB11G21990 transcript:OB11G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRASPVTASIQRLDPHCPGSKGAEQPSTSSTELLARQPGPASVSTSEEEAAADRGTMPAPMDEVNGGAAPTPTEKRWRWQPSVRAGGLSTLQVERKVATNQKEFPLQQDNWSQCDKRTRLEIPSLPEDLLPMILSHMPMRDAARAACVSRAFLRSWRYYPNLIFNSETIVPNQKDVNGNKTIVDFITIVDNIMRNHSGIGVKSFKLELGPGYAVHPSHLDRWLKVASTIKIKEFACELPVGSKAEYNFPYSLLFTDNRTPRNSVQSFSLSSCAFHPTLQFDCLTSLRSVRLSWVHITGEELACFLSNSFNLETLEISCCCKICFLKTPTVLQQLNCLQVQKCYGLNMIEINAPKLSSFHYRGPWIEISLGDSVQLKDVNLLSYSWRHMLNYVHTKLPTIARNVENLFVMTRDEDVHTPMVPNKFHYLKYLELVFIGARKKSTPCYDFFSLVSFLDASPALETFVLHLDSVGTSDDCIFGDSSELRELPKCNYNNLKNVKITGVVSSKTLVELICHILNNTPLLECLTLDTRIYGFKHEIERCPTLDPGMMKEGQIECEFDRELLMSESDYMEACRARHVIRRYIERKVPSTVNFEVVEPSWKRAVLGKRVTVGTQKIMYIRKSRQD >OB11G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11277149:11277921:-1 gene:OB11G22000 transcript:OB11G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGDKSEQPTRRGNLLGASAPAGRRARISGGDKSSRRVGPVLAQPASRLPLPAQRPPPSADESLSGFELYYDVASGDGLWPLPGDVSHLLMGSDIHHLLDQFSWLEATAPRPSASKAAVELMSSVTVAGSGAHCAVCRRRLSPALPHRRCHASTSTTRAASYLGSPSATPAPSIAASYWRPPPPSRRQMRDSPSPIDSGE >OB11G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11326582:11327106:-1 gene:OB11G22010 transcript:OB11G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAGPDDFPVSSIADYLALEEAFGSHPPAWARVVVLELDRLDDAELVQGALEHARQQYGWVVVGLDNLAQAVAWRKDLLRRKQQLFRILEVVSAVSQTDLVSRERRRELLEARFCRALPAAGHAVTEVQCRDLLALANRVSWDARLAHLRATATRWYLKLIWRMSRQTSIPR >OB11G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11338074:11338316:1 gene:OB11G22020 transcript:OB11G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYIRCKKSQLLPRNKILNTNVSIFHSIVFLSEYSADPTDRQNKVSSEILNDQRTCSGKWPPTPPPTTLPERAPPPDLVDGL >OB11G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11339239:11341804:1 gene:OB11G22030 transcript:OB11G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLTSRGRGRPEPGGGCLSCVGACDLCGICEVSAVCLCPLLKPEKAQGFFVTLKDLYCYNGQKLPFAAAQIGQALCNEISSRQGLLQVHEFTLAEIEHFVDPEDKSHSKFADLADLEFLVLPRELQISGEPN >OB11G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11346096:11351224:1 gene:OB11G22040 transcript:OB11G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MANASYYFATFTMVFYWLFSIPRPSSFKNRKQDSPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWTNVCDLLLLFFVPFLFQLYASTRGALWWITRDAQTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAVVIGFPVTFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTNLRFLVELGLTGHAILLCYIENRLFNYATMYYFGFEDDIMYPSYMVLITTFLGLALVRRLSVDQRVGPKAAWILTCLYSSKLSMLFITSKSVLWVSAVLLLAVTPPLLLYRDKSKGASKMKVWQAYFHASVVAFSAWLCRETIFEALQWWNGKPPSDGLLLGSYILLTGVACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSEFIKAAHLSDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFIVFTHLPSESSTRVLPWVFSLLVVLFPVTYLLEGHLRAKNFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAVDRGVTHGPPGRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLILNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVAISISVYLILTALYRIWEETWPGNGGWALDIGGPGWFFAVKNIALLMLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMPDILTVRVLGLLGAIYSLSQYVISRRIRLAGMKYI >OB11G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11352910:11355033:1 gene:OB11G22050 transcript:OB11G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALPLRRALPSPPRAILPARRVLSSFTTTPTPTPTQQNSSSTTTIDLSSDESRRRLINRLVYRSKQRGFLELDLVLGSWVEQHIHSMDEANIRSLLHVLDLENPDLWKWLTGQEQPPEAVNSNPVFIAVKSKVTDNLSKHSSPETRSAPGQPWVRGWDDKRGIDGPKYGNQ >OB11G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11355134:11359363:-1 gene:OB11G22060 transcript:OB11G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAREMEARMRKEKKDGVVKEVIRLERESVIPILKPKLIMKLAYLIEQDKDRAEFLKLCRRVEYTVRAWYLLQFEDLMQLYALFDPVNGVKSLEQQSLRPDEIETLELNFLTYMFQVMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFKAHPHDNLPASADKYIIFRRGIGIDKTTDYFFIEKVDVIISRLWRSLLRVTRIDKLFSKKQRLRPKKDTKKTDDEVNDVVEEQDFSVERIRLEKMELSIKNLLSPMTIQEPTFERMIVVYRRASTEVKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLVSAVIGLVTLIGSLEMPKADIWVVIAILSGLVGYCAKIYFTFQANMVAYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATVQELDSRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIICVPLKRANDIIGTTTEEMVMRAQQTSAGP >OB11G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11363006:11364889:-1 gene:OB11G22070 transcript:OB11G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGVASKQAYICRDCGYIYSDRTPFDKLPDKYFCPVCGAPKRRFKPYEPKVSKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALLGIFFYLNSVY >OB11G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11374428:11374655:1 gene:OB11G22080 transcript:OB11G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSENALDHHDDHDASSPALPPMIPGCDPHDGAACLELIEVLTARAGDGSSATAARPTPRRSRSAFRWWSTRT >OB11G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11374769:11380112:1 gene:OB11G22090 transcript:OB11G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPASTDRFGHVWRGSSGTSGGQPKLMPATEEELDRKTFLYNLLVPVMNKYVEGLDEGRGMYLLFVKPEITTASGLVARPYIPLLEFYGGGLPLGSTMYAPSGGYFGINLRPLDRPEDVVYTLLPNMCYYEFIKVEKDGEEIRDGEVVDLIDVEVGGYYELVVTTFTGLYRYRVGDILQVAGFHNAAPQFRVVHRRNVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRCLSRDRSVGPLEIRAVAPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEHRVVGRFFSDAVPHWEPLKVDAAAADT >OB11G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11379565:11379972:1 gene:OB11G22100 transcript:OB11G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGCTGTGWATSCRWPGSTTRRRSSGSCTAATSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPAALAATAPSARWRSAPSRRGPSTRSWTSACRTARR >OB11G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11385441:11387563:1 gene:OB11G22110 transcript:OB11G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMCDKSAIKKRHFYHSEEMIAGHPEFINRAAPSLDARLSIAKDAVPELAMAAAARAIAEWGRPATDITHLVVSTNSGAHSPGADVRLAALLGLRPTVQHTVIYLHGCSTGCSALRLAKDIAENNRGARVLGACAEVTLLAFMAPDEAHLDTLVAMSLFGDGAGAVVLGADPRSPVECPIFHMVSTSQAIIPGTEERVSIEVIEVSGRGLDYKISGEVPALVRASIERCLADALAPLGLTAGGGGGGDPLWXXXXXAVLDGYEAGLRLEPRKLTASRRVLREYGNMSGAAIFFVLDEIRRRDRCGEEEEPAFCGCEWGALVGLGPGLTVETTVVRAAGGRDDGNEPIAA >OB11G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11388762:11390830:-1 gene:OB11G22120 transcript:OB11G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAARCCIPAGAGAGAAVLAIGTANPASCIPQEEYAGWSFRVPGGDHLTGLKAKMERICEKSSIKKRHFHLTEEVLAEHPDFVDRALPSLDARLDITDTAVPELAAAAARKAIAEWGRPAADITHLVVATSSGGKFVGADVRLAKLLGLRPTVRRTLLSLPGCSAGSGALRVAKDQAESVAGARVLVACADLSLIAFRGPEEGCLDTLILQGLFGDGAGAVVIGADPASPVERPIFYMASASQTTIPDTEHVVTGQLRQGGLDYHISREMPALVGEHVGRCLADALGALGLGLHDGGWNGLFWAVHPGGRAILDSVEARLALAPEKLAASRRVLSEFGNMTSATVIFVLDELRRPRGEEERRGCEWGVAVAFGPGVTVETMVLRAVHY >OB11G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11392317:11392805:-1 gene:OB11G22130 transcript:OB11G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGEKYRAWARENPAGERESEDQNPAGDPRQLAHLPESGVGGRRPSFQCSATRPLCPNPVPPRRRAPSSARRQRPLCPDPVPPGAMLLLVPSGGTPSSSSNNNTSFVPLQRRAPGXXXPPPPGGARRSTRPCRCCLRAASADYAVASCLLVYAAADYCCIC >OB11G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11418190:11420799:-1 gene:OB11G22140 transcript:OB11G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G13930) TAIR;Acc:AT5G13930] MAPTVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVDLKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGSDPDVAVERPLFQMVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALADAFAPLGIDDWNSIFWVAHPGGPAILDQVEAKVGLDKARMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHLTTGEGMDWGVLFGFGPGLTVETVVLHSAPTPAGAAA >OB11G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11450257:11453463:1 gene:OB11G22150 transcript:OB11G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGTASTTSTYAESVASCPAPTHLMSRAPPPPPPPAHAAVRRQLDFAGGGELDDDEDDDFLFRAAEETERRHFEAERRAPAPPPPEAPAFLERQCICGRGACIVEERESRRWVYVCPSTPKCRYSVWCEEADLCPNPQPAFISLPKPYPRVFNSPCSPGVFNSRSNQLAGATTPTPSNHHIFNRSCSPGVFKSPSNHLAGATTPTPSNPQDFNGPRNPHVFNYPRNSRVSNSPSNHQAGATPPVNARSINKPTCYCGAGKCIKTSIKGQKYYVCCIKKGHGACSYCVLVDGFVEESPQTRNDNQVDDNHGRHSPVKVEGNNENGPTNPDQHEYDEWPFDIINNDVVCNGFMVTTEPTLRDGIVAGKSSSTRHEPNATVEVKTPTESPMPPSHGSGCCFRCGEDGHWSPNCPKPASSPLNSPCFHCGKLGHWRSNCPALHDTRGY >OB11G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11463961:11467313:1 gene:OB11G22160 transcript:OB11G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWSCSWICPLEVIVQIRKLFFSSKGKMAPSSPPAMNMGCARQGRLRQRYEGCYRLVSGCIPYMIKEDGENSSSHDDHHVLDRVQVLMISTPKRSDLIFPKGGWEDDESIDEAACREAFEEAGVRGVLSRTPLGEWIFKSKSKQNSCGLEGACKGFMFALQVTELLESWPEQTTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLCAGDDGLCAPSPSLVEMPAPGSSPPSSSLYMVMPAVAEGAVALC >OB11G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11468243:11470216:-1 gene:OB11G22170 transcript:OB11G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIDDRFFYQYPDHPALLDAQQPYAQILDDVSSSAVAVTSNTNTSSSSDDHSGAATNSTSDDSASATCPYNPVDLYQLLRSPAHPPGTLAGLPEPDHHHHTLLVADVEGEVGADPKLLFAGADRDSVEMFNLAFLKGREEAKKFLPTNDTLFAGFHGSARPETETEPVVDETALRTFGGRDGGGRKKNRHGEDDLEAETGRSSKLMLPGREDTAAAREMFDEIMLDEYEVFIKGMTELRIAMDSEAEKNTRNSGRREARAKAPVVDLHAMLIHCAQAVATGDRRGAAETLKQIKEHSSVRGDATQRLASFFAEGLEARLAGTGSQVYQSLMARRTSTVDFLRAYKLFTAACCIKKVSFVFSNRTIYGAAAGRRKLHIVDYGVHYGFQWPGLFKLLAAREGGPPEVRITGIDLPQPGFRPAREIEETGRRLSSCAREFGVPFRFHAIAARWETVRRDDLAVDPEEVLVVNCLHHLNTLHDESPDGGEDTPSPRDAVLGNIRAMGPADGPRGVRPVRGERHLRRALLPDAVQGGALLLRGAVRRAGRHRPARQRRAAADRAGHPGAVRAQRRRLRGRRPGGPAGDVQAGAGGAPPPXXXXXXXXXXLPLDPGLVRLVRDMVGRLYHRDFVVDVDHRWLLQGWKGRILYAMSTWVARS >OB11G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11471340:11472781:-1 gene:OB11G22180 transcript:OB11G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRRDGVWTGNRGVTKAYATSGFTKAQERTARLGVKEGGLIEPSLPSGGDDYLEDVHDGAPGAPLVAVPPGVGEEDGDGDEHGISTYVIGLAPVDVVMDVYEHGDGEQRAEEDAHGGVLLVVAVVELVGAEPSSWLLLTQFVTMVMVTMHSIPLAEYQLLSL >OB11G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11482969:11489288:1 gene:OB11G22190 transcript:OB11G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAVEARLADLCKELGVDEGVAGEAAALLEEGKGALLASPSFGSRSPGDVEKLCFAFVLYCASKLKEAKAGSRVRLWEILKGCKLKCHDFLKESQQLALKVDQVLGSRYGLDWEARLELKQLDILVNLLADASRFYRKAFNELFLSSSPDQQPRLTTNIPDYIRFGWLLFLMLRSKSPELFKDLVSCIHGLVAILAILLIHVPAKFRSFTIEGSSHLIKQTEKGVDLLASLCHNYHTSEDRLKEMMGKSYKVIEVFLSRKVLSASELKTTNLDKIDTDGLMYFKDLVDDEFFPSNLGKLEKLCSTTGCEGELDLEMFLISNDYILSAENPYGGSANLGCSKRIFETLASPTKTIKNMLAAPSSPSSPANGGLIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSNLEQFLSSCDTDLRTNVIKRVSIILEAIFPTKSVDRGASIGLNCANAFDTPWAEARKMEASKLYYRVLEAICRAESQNNNKNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIETFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLAQEINCIGLLAEPMPSLDGIVAWQNIHSEGLTTAPSKRRPSADVNCDPQSPKRLCTESRNSLVERNLQTPPPKQSQTGLSSLKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQIVDQQTALFFNRHVDQIILCCLYGVAKVSQLPLTFKEIVNNYKREPQCKPEVFRSVFVGNTNRNGGLGSRHVDIIVFYNQVFVPTVKPLLVALMPSGTRPEDKKNTNSQLPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDVLLSPSSRSFYACIGESTQAFQSPSKDLAAINNRLNYSSRRINTRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >OB11G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11493803:11493961:-1 gene:OB11G22200 transcript:OB11G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSFIIIIIITITLFRHNPSGYTCTFLIPNTTHRPDKTTADSEKHLTKAASN >OB11G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11502196:11511053:1 gene:OB11G22210 transcript:OB11G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPVEMDQFTAEFPYEPTPDQNQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIKVAMFSGAQTKEEKDELITNIRNGDLQIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVRTYVSGFSKERALSAIKFELARGGQVFYVVPRIKAIDDVLQFLKDFLPDVPMAVAHGKKVSKNIQLAMEKFACGEVKILVCTHIIESGIDIPNANTIIVQYAELFGLAQLYQLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLVPVPYKDVQLDINISSRLSSEYISYLENPVELLNEATKAAEKDLWTLMQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKTIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKGELLVNLPDSLLLNWLFHCLADCYAVIPALVKY >OB11G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11519242:11519985:-1 gene:OB11G22220 transcript:OB11G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N8T6] MFISSTTFLCLYVFGFCWVNLVLIGRKNGSGLGRAVVESPVSGLLIAYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPHNRGVAANVAEIFLSPIPASKNDFRARVAVEHYYAAAAGPGAQSGQYYYSYSIGPLSSESKASFNTRGSLSFDMAKASFDLRGGGGYSAKRTSVDVSSSSSDFGDIYGGAGEQQPRHSIFGDGGGRTSTSISRKADDVPAEFGHYGAAAGRPRGREFDPV >OB11G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11530902:11531923:1 gene:OB11G22230 transcript:OB11G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAGGRRVTVRSISCRGVKAFVPFQKPPLYVAVSLAGRREKTNADPDGGENPDWDGAVFAFDLVDGGGAGEGGGMLLFEVKAQVPLLGNKVVGRVSVPRDDLAGGDGMAPRHVSYQVCAADGKANGKLSFTPPGGAASPQPKPQLHQATNGARPEQDPPSCCAALPPPPSGAPYPAAATLPPPHTPRRKVPPNSSYPPPPPTATPPTLYPPLPPSCTACPPAPPPSQYISSYPPPSNYYPPPPPPPPPAGYPPPTSTYPPPPEPGSHEYPPRPRSAACSDCSADRAPLPYMSPPLHDAAVTYPPLSAAPCYPPPATWQPGDAPYSSYYPQPGTRYL >OB11G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11558183:11559859:-1 gene:OB11G22240 transcript:OB11G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAKLCRRLNQSWIEINALITPLNYPLIRFWSSRSTPPRCHCRRRGWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWRKAYEK >OB11G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11565059:11569297:-1 gene:OB11G22250 transcript:OB11G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT5G07360) TAIR;Acc:AT5G07360] MRDPRRPLHRLLLLLLLLHHLLFLSFSVPATATAAPAPRTGGIASLAGMAWPTKCSWLPLPTCGKSHSYTLVSKYHKIWKDTKEAVSAKDVFRKEPISGVIALKGSMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVAVENGGLHNPSALVFKSSWSDESKISERKRFDYPHSSSVYRPIKDEDIAFMSIIELGELIRTKQLTSHELTDVFLRRLKRYGPVIKSVITVTDDLAYQQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPDYKTTWGSKTFENQVLDIEAFVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLDKLGPFCRSAIDCAVVLDAIRGKDASDPSSREVAIEDPFHVDITQLTVGYLDSAEMEVVNVLSAKGVKLVPFKLNYTVESVQSILNITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLIPAVDYMQAQRARCKLIKEVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGFKSIENPPEAGTRRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHHTQRPPIDDLGPDDNVHR >OB11G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11573720:11574185:1 gene:OB11G22260 transcript:OB11G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLKTYSGLLCEDSPVMVYGDTIAANNMVWYTTAQSREAVECHPEKRPNHLAKFLQFADDQHTHREAMPCHTTTTLHAAGLTNHITLLAAAPLITMCSAWLVHMFEFGKKVEFTTRAQEEWQDSIY >OB11G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11575412:11577134:1 gene:OB11G22270 transcript:OB11G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLKSNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGCLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPVLGIMSSVLISWRIKQYSSALVIPEELKNPSTNGKANVEYMKLSTVLCSHILLLGLFTPKILSDSPPLQDVCPMAPQGERKLFMNGFLCKSPSTILASDFKTLLLNHAGDLENMARSSVNIVTATEFPGLNTLGLSMARADIAPSGAVLPHSHPRASEMMFVHSGSVVAGFFDTRGKLFQKTLAEGDVFIFPRGLVHFIMNYGFSLATTFSVLNSQNPGVVGIAHAMFAPDSEVAEGLMTRMLSFRDMGMDG >OB11G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11578890:11586106:-1 gene:OB11G22280 transcript:OB11G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWYRRASYFLEDNWRRVWVLLLWLAVCAGLFSYKFVQYRRRAVFDVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRAAVARVVPFDDNLNFHKVIAVGITVGAGLHIISHLTCDFPRLLHATDAEYEPMKPFFGDRRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHFFVVVYALLIVHGHYLYLTKDWYKKTTWMYLAVPMCLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLENGGGDASVPSSFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >OB11G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11620847:11622208:1 gene:OB11G22290 transcript:OB11G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELESGYRSLTVDDFLNSNVDDWARDLDLVGNVVSHPVARRVEVLVVAAVTTIDLASFDDEEEAGAGRADFSLRLGRQPSETLRVLDLTGCGGLSGAALPRLTTLRLRQCFSQIEDLQALIDSAPELAAVHLDSVFLAGTKEGCVRLRFWAATALVMTNCGSGCFERGGGALEIDVPMLRSFKYTGFPRRFSLISSAPDMARADLRFLHQEHHDVHNADERRALFWRFLHNFGGVKSLKLKVSSLKSIAVGGRALRAELLLVLPGVEHLELTAPHNPASESCPVSIGNLLRCCPNVRDLVLRLSRDLPPYSFKNSTYVHDVLQEQRRADLDVSLHRSARRRRGGKSNRRRQEGGLLGDAGGIHGLSGRSFACLRSSLRRVSIQFQFELDQPNSLGVRLIKFFAENATCLQEMCIDDGNDRIGGHINPWVERWVIAISLPRFRALPLERQ >OB11G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11625159:11626345:1 gene:OB11G22300 transcript:OB11G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYDSSNCWTVDQWITYATRQASPEYGLELDLRLRRVKLCSRPCTLRGAGRAYGDQQEPFVFGEDATGAQAAGVHRPEGPLLLRGAALAFSRPLPSRAAGDHRAAVARDAGPGPRLRRGERRAPAHLRLPRLADLTLEACDTVTELSVAGLRLRRLAVLCCHRLDTVAVDASELQAFEYRGAVPETSFLVTTRHGGSGSSTSVAYCVVDICGQEVTSPSELAQLSAFLRLFAGAKHLHLESIRLGSVIDNAAAFATLPAFSSLRDLELRGCLPDDDGEAIVAAVTRLLEHAPNLEALSLVFHPEPLPACDRAHHTYRKEEEIYDKHLLRYNRHSVLAGGGGGEAPSCLRRG >OB11G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11640920:11644734:1 gene:OB11G22310 transcript:OB11G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:J3N8U5] MAAALAVALVKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHMKLVGGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRSGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDETPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFQDLDADQYRRLAWVRATHTIYNYCDDRDRYPTMSPECHRDRDA >OB11G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11647388:11656444:-1 gene:OB11G22320 transcript:OB11G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYVSHMRIQSILSLCCYTGLALVTMNDALLWTDGRYFLQAEQQLSDRWKLMRMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQILFQLSSDLVDEIWKDRPLAEALPVVVHPVEYAGRSVTEKLKELREKLLNEKARGIIIAALDEVAWLYNIRGNDVHYSPVVHSYSIVTSHSAFFYVDKRKVSVEVQNYMTENGIDIRDYNMVQSDVSLLASGQLKGSAVNGTSHVENDMNENSKVWIDSNSCCLALYSKLDDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDSQMQENYGASGYFSAAKGSQKKEHMEVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYSPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDIAVFPNGTTGHALDILARTPLWKSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLILKEANTKFNFGDKGYLAFEHITWAPYQTKLIDTTLLTPAEIEWVNAYHSDCRKILQPYLNEQEKEWLRKATEPITVSC >OB11G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11670620:11675638:1 gene:OB11G22330 transcript:OB11G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLENQLPLLLLQRLLAVLLDIPPAWGSSPSCPTPDTTPAHRLVMHLMRTGYMYKNVEACSLTPLIVSLKTVELDRIINHLVLRFLGRNLQDDVVDYVPLGHHPLDIYHTSLVYAKGAYEVRKSQPEHSMCEIMPTVMEMHEAGIKFKRSKTDNLFDIHFKRGVLSMPEVIVDDSTECVYLNLMLFERLHVGTGTLVTSYVIFMDNMIDSAKDVSLLGSKGVLRNLLGSDEAAAKLFTGTLSRGAVLGPSRELHNLQRNVKAHCRRQLNRWRANFVHTHCSNPWVIASLIAAFLLLAAGLMQTVYTILPFYDLILRYQIWYTHVYKYDPLVPDVAPYISNTTLVLSITLGREKYYSFYGKLQKYRPSERNRKNNILSNFGWTIWCCQRGKVRQGAQSNSQCSTRPTIWIGYAVERQEDERLAPVELQEANWAL >OB11G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11680606:11683327:1 gene:OB11G22340 transcript:OB11G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPNGGADNDHCRHDSSTSWVLEMEKLVGTGSEKAAVVDEEQWMEQSIYRVPEWIKSMHRTEAYEPRMVALGPLHHGEPRLLPMEEHKRRATLHLVRRSGRPLRRLVDAVEQVAEDLRAAYGRDLGEQWRGDEKNKARFVEVMLTDGCFLLELIQMCDGRFDYLSHDPVFGTHGRVYVWPVVQSDMLVLENQLPLLLLKRLLAVLLDIPPVVELDRVINHMVLQFLGRKLEEDLLQDVPLGLHPLDIFHTSLVYAKGAHEARKPLHDCYKYEIMPTAIKIHEAGIKIRSSKTDNLLDIHFEHGVLSMPSLVVDDDTERTFFNLMAFERLHVSSGNVLTNYVIFLDNIIESAMDVEMLSSKGVLRNMLGCDKETAKLFNGTLSKGAVLGSSRQLHTVQWKVYRHCRRPWNKWRASLIRTYFRNPWAFISLVAAAILLTATIFQTVYTIVPFYKSKP >OB11G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11685557:11687502:-1 gene:OB11G22350 transcript:OB11G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHRWIGGGCTMFIVHPQSIEGVTEFVKFKFADNDRKNTNDKDRDFEKFSKLVEDCQIPLYDGYIDEQKVEVKEVRLHKESIYVACAIKTKQVNDVYILFLFKCDIKYDKVGI >OB11G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11689274:11690486:-1 gene:OB11G22360 transcript:OB11G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAVAQVVRRSGRPLQQLIAAVEEIKEQLQDAYENLDERWYHGTCFVELMIKDGCFLMEMGSVFQHGESANKDFESDDPVFGDHGGLYLFNGIRADVVLIENQLPLLLLKKLMDVAYPDYFQSERQINNWVLFSLCSTVTYGMRVNYDHLGLHPLDVLHTSIKGTYCNHPECTTEAVMPSAAELHEAGIHFEMSTVKGFGWGVTFKDGVLKIPKIILYDNAERIFLNLMAFERLHPGSGNYVTAFVVFMDLLVDTAKDVALLRSKGIIKNGLGSDDMVADMINKALTKGAVMSPDSSLWNVLHGVNVHCKKPWNKWRANFLHNYLSNPWVFISLVAAFLLLFGTLMQTVYTVMPFYTNK >OB11G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11706800:11715425:1 gene:OB11G22370 transcript:OB11G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETNLVEVPLSFPPAVANSSGSCVVDIDKTLAENENGSEPPASGEAAARRQRERHSIYRVPEYMKKMTNSDAYQPQLVSLGPFHHGEPALQPMEAHKRRAVARVVHRSGKPQQELVAAVEEIAEQLRDAYENLDEEWRGERFVELMVTDGCFLLDMIWTFRHEEEEEVYGSDDPVYSKHGCLYLKDYVISDMLVIENQLPLLLLQRLKFVAGPDTFPDEDGDIHHWVIQLLSYVITPITPVDDMGLHPLDVLQKSISVTRRNREGSMEGSDHMPSAAELHDSGINFEVSIGSGFAGTVSFERGVLRVPKIVLYGNAERAFLNLMAFERLHPGARNDVNAFVWFMDELINTPKDVRLLRSKGIIDSGMGSDEAAADLINNTITSGAVMDRDNSLYDVLAEVDAYCKKPWNSWRANLIHTYFSNPWVFISLVAATTLLITALIQTVYSVLSFNKKS >OB11G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11726840:11727833:1 gene:OB11G22380 transcript:OB11G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSGSCVVDIDKTLAENENGSEPPASGEAAARPQRERHSIYRVPEYMKKMTNPDAYQPQLVALGPFHHGEPALQPMEAHKRRAVEGLVNRCGKPKEEFLAAVEEIAEQLRDAYEDLDEEWRGERFVELMVTDGCFLLEITMMFLLGGDINGYEPEDPVYSRHGCLYLDTVISDMLVIENQLPLLLLQKLTFVAGPETFPFVALVAWWSHGPMTPPIRVKLYNTYEYYEHIKLDRATQEGNIP >OB11G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11729702:11737274:1 gene:OB11G22390 transcript:OB11G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNLMAFERLHPGAGNDVNSFVWFMDELINTAKDVRLLKSKGIIEHGLGSDKAVADLINKTLTKGAVMDPDSSLHNVVKEVDAYCKKPWNSWRASLIHTYFSNPWVFISLVAATTLVFTALIQTVYAALSFKKKS >OB11G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11757100:11765154:-1 gene:OB11G22400 transcript:OB11G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARYGGGMVGVGAVDGGGEVVGVAQEYGALLAKVAMAKNGYGCAAVVSGAQSGLTCNNGGGGGVVVSRKRGREVVEQYVPSSAALLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYCHAGTCACAGRASRGRRRARSASPSRRSPSWPVRRRKCNSLSVFSFFY >OB11G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11764987:11765274:1 gene:OB11G22410 transcript:OB11G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding PALRAADHRSAAIPILRHRHLGQQRAILLRHSNNLTAAIHRPHPNHAATVPRLHGHGRKDPTSSSISPGSPRRQPQVAAVGGGKMRMALRLEKAK >OB11G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11818690:11819745:1 gene:OB11G22420 transcript:OB11G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAHYGGGGMGMAGVVVPQAMCLPRGLEVDLAVEAQEFGGMFCGVGGYAAGGYDCAAAVVSGAAQSELTCNNGGSGGGVVMSRKREREMVEQYVASSAALLPIPGMTKAAAVAPVCRVVESAMTSTSGRPAAGGGGGGVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDSGPLAAAAAADDAQSCCFETTNPHGATAPGDDAATSPAAGGKWSCKSCSERDATVLLLPCRHLCLCKACEPRLDACPVCLAPKNASVHIATN >OB11G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11829953:11832586:1 gene:OB11G22430 transcript:OB11G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVDGCFLLEVMRTAAGKHVDDYAANDPVFSRHGVLYMVPYIRRDMLMLENQLPLLVLERLLFIETERSPVHQRASNADQINRMVLRFLSPTSRTPAAGSALGLHPLDVLRRSMLHGSYQAPRGPVDAPDADIIRSAVELYEAGIRFRRSRTDSLHDIRFRHGVLAMPAVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDNIIDSAKDVALLSSRGIIQNAVGSDKAVAKLFNSISRDVVLEPESALDDVHRQVNAYCRQPWNMWRANLVHTYFRSPWAFLSLAAAVFLLVMTVMQTVYTVLSFYQSADSGGGGSGGSGSTAPSPT >OB11G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11832664:11836996:-1 gene:OB11G22440 transcript:OB11G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDVGGIVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKKVPNKVMATKKAVLRALKLFIVGLILQGGFFHPLHDLNYGVDIHKIRLMGVLQRIAIAYLVVALCEIGLRVSSGGGDIGCDYMLIRRYHRQMLVGLVLVVMYLVILYGLYVPDWGYEVTSPGSTVKRFLQVKCGVRGDTGPGCNAVGMIDRSVLGIQHLYTRPVYLKTKQCSMDSPRNGPLPPDAPSWCEAPFDPEGVLSSLMAIVTCLIGLQIGHVIIHFKKHEERIVRWSIPSLCLLTLGVSLHLFGLHMNKSLYSLSYTCVTTGTAGLFFVVIYLLVDVKGYKRLAFPMEWMGKHALMIFVLVACNIVPVLVQGFYWKEPRNNLLKLIGIGG >OB11G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11844200:11860422:-1 gene:OB11G22450 transcript:OB11G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12930) TAIR;Acc:AT1G12930] MTIDCRAEGIDDGGTGNWRESARRPVFHGKSLTDDILMRRSSWMRRPCDSATRDGAFSWAEFLVGRPLCASYVGLYFVGFILVGYHISLALAALALRAEGGVDGLFARMRLLPDPAVMELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVIDFLLAQSETAATTANDHDRNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSQYQDLPQAFLIKMPYIREALLLPALSNRSEKIIAGLVCLICEVGQAAPALVAEGSVQALALADALLRCSLSHFILESDAETDKRNAAQELFSPVFSSLLDALLFRAQIDTDEHGTDGELCIPDGLAQFRLNLEELLVDICLLLGAPAYINKLFSGVWGLTSQSIPWKEVEVRMYALSMVADTILQDGSHFDFSIIMHFVNILSSRAHSELNGCLSLVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGISKSVSSNACSLALRKLCEDGSSLMNEPQNLEILFWISKGMDAGNLRIEDEEEIIGAITHALCSVLDKELRKNSLARLLCSSYSAVEKLIDIDRDQSLQQNPAAYTEALNLAVHGLYRMGALFGQLATSITSGLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSLAIHSCGEHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYGALCVRTFETFSSAASISSLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVVSGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESRECLSDLSPGVVLVQILARCGEGLMSNVLYALLGVSALSRVHKSATILQQMAALCSLCERTTWKGILSWDSICGWLQSAVKSMPSEYLRQGEAEMIIPLWLSVLQDAASDYLHSRSGDNVRSSHAYMQGKGGRTLKRIVRDFAESHRNVPMPCPS >OB11G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11866062:11869364:1 gene:OB11G22460 transcript:OB11G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELPPGRGRYHPFEEIADDDTLQLDDGEPARLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDTLLESIMGDDKIAHVIIGLDNTQVFADLDLAAASATEFAQEGDDDDDDDDDSDDEESDFDDDFDGEGVFAVDDDDGDDDDDEDEDLPRWTNLETLNSCHPLYFARMIVETATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNGEPQKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQMHELLFPRIQQEGQSPQTRQKES >OB11G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11868075:11870443:-1 gene:OB11G22470 transcript:OB11G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEAEAHRAAMRIDGKRDAVFESWKALNQSFDLKEWRGSSEAVRKLPMMVLWSGSWTDRWIDEGKMVVAALPDAKFVYHSGGRWPQEDAYDELSKLIAEFVTSLPPMRSQYIDQSSEEATAQE >OB11G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11881833:11882024:-1 gene:OB11G22480 transcript:OB11G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAMKQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQNQMKLLGKNKSRPKLSFALGMK >OB11G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11892827:11898727:1 gene:OB11G22490 transcript:OB11G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAAGEGREAEIERAFRARLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKLIKQCVDKVFSGSDDDNGDNNAPVKAEAKDDHSSKEESEDAQPTPDLNKISSNSDELVAKSSETERDQEGDKDHGSGSDISEAMIKNAIVKRASYFRENSETLTLLGVRRTLEEDLKLQKKALDAYKSFITTELDNILQEPANGTKKNSKTESRKDSGKKTIKNSKRARQDSDTSEINDSHCERGDSDEDTRPKKTKAEKGKAVKRQKKGTVDKQLSSPKSKKIAKKDSNKTKEQGGSTEEDSSHSSAEEDNKVKKQLVPAYGKRVERLKSIIKSCGMSIAPSVYRRVKMAPENKREACLIKELEDILEKEGLSTNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSSFIPLPTPKIVADSDDDDEEDDDDDEEANVEGGDGDNDDGEAGNGSADDAEHDSD >OB11G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11899669:11904583:1 gene:OB11G22500 transcript:OB11G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGADSCGDDRALPRRRKALPNGSDPENEESRSSKMAVRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEPLPGEETVPETIHGNDSIPQSAQPPAEAPSAAAIPAADSKVEETKNDHQPDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >OB11G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11901934:11908387:-1 gene:OB11G22510 transcript:OB11G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3N8W5] MALTLLRGIRTPMVARRNAGLFFTTLQTPLSRFATRAESAQATEPKPPAPKSIQLATKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCLYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVKLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPMEFGGGHRRFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAEIQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIEQSKFGTKVEIKNMNSFSAINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEIVLNSDYIDEIQKSMPELPEAKRRRYENMGLSMQDVLFLANDDNVARFFDSTLGHGADAKLAANWIMGDIAAYLKNEKLTIDEIKLTPLELSELIASIKNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIVDPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKASS >OB11G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11910530:11916145:-1 gene:OB11G22520 transcript:OB11G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRIRSDRLHASALSFASSHRAPLASALLRAADSSASSSSLESSLASALSPLSSSPNLSDLRELSDRLLAACRELRERQEHLAAASSVADLAARLRAARASADPLAAAAAAAELKPLLVDPEGSGSSGDEPVVFGLLRSEWEQLVDELQVMLAKNVEECVEFAPEGGKVVVRAAPIGKSSGTPGVELRVALQALEIIDAVDYGMAKLADLMIKHVLVPAISSISVAVSVEVLDKNGPEFPISILCIVPTEELQGYKDGSALYSRIIDIIKFVHESICGENITWMHSFSKLTWSRISGLVIKHFISKAVPHEASKLIEFQEVVRSTTDFENALRNMMFISHDKRDGKLTQFVDDVEVHFAVRKRNEILVKARDLLVQYDYDSPLASHNREDSIVDLLFLPEKCFISKSALQLMKLVHGALKDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQLDSINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPIFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKLHIMWESILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDETIWPLKKFRKLAELLDMSLKSITAAWESGELANCGFKSSEVQNFVKAIFADSPLRKECLLWISKTPS >OB11G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11918940:11925319:-1 gene:OB11G22530 transcript:OB11G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G08710) TAIR;Acc:AT5G08710] MTHSLEPVEVSGFDEKVVEVSAGNHHSCAVTADGKLFVWGRNSSGQLGLGKRAGKVVSTPKKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTNILGFSMTTSEYTPRLIKNLDGTKIKRIAAGVLHSACIDEKGTLFIFGQKTEKGFGRSGDELRPTVVEEVPFSEEVACGGYHTCAVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKLPVSKVACGWKHTAVISGGDIYTWGWGGANGTFFEEGHSSGGQLVRNLLPHCESTTI >OB11G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11928668:11933213:1 gene:OB11G22540 transcript:OB11G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERGVKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIFMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTEIEIPEFNVSYKPQKISPKFQHSVRHLLHQKIRDSYTHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >OB11G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11936106:11939829:1 gene:OB11G22550 transcript:OB11G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT2G01070) TAIR;Acc:AT2G01070] MNVTFWRTQESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPFVLETHFSGNHISVKLDNEEVRITKTGMYNLFFISCDPKLRGLKMSGKTVWRNPGGYLPGRMAPLMNFYVFMSLAYLLVMVIWSSQYIRFWRDIMPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDYAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEEAQSLTKGTDGDVGMVKIDKDRSGGVSSAFSLEDEAEEDKRE >OB11G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11942781:11944633:-1 gene:OB11G22560 transcript:OB11G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37290) TAIR;Acc:AT5G37290] MFTNAQRQVERTGRHGTPRDQHLQDLVTQFQESTDEESKERIVANLANFAYDPYNYAFMRQLNILELFLDCITEPNERLIEFGIGGICNSCADPANCSVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKKDILKPEVLRAVREYAAAGDVNASFSNLANAFLDKHVNS >OB11G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11945795:11948198:-1 gene:OB11G22570 transcript:OB11G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALQVTKDLADNCRSKGTAFRLGAVLKDVLDRFLPDDLHIRCNGRIRVAITRLSWRPRGLLVDQFDSKEDVISAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLEGIGISPDCNPENRANPRQLFNWALEPAEDEVLDKLYELGYQDAAVWADQRPVELIVKNEQPLPVTD >OB11G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11955533:11957329:-1 gene:OB11G22580 transcript:OB11G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKSLTEAPPCRGDGGDHLLLRFLKNKVDYCRLHGIELFYNNALLQPRMLAYWAKIPAVRAAMLAHPDAEWVWWVDADAIFTDMDFSLPLDRYKDHNLVVYGWNKEVYGERSWVGLNAGVFLIRNCQWSLDFMDAWARMGPASPEYAKWGNVLHDTLQGKSDHESDDQSALVYLLSEHEEKWGSKTILELGYYFQGYWVEIVDRLDGIAARYESAERRPGAAAKALRRRHAEREHLRYAEARNAAMRSAIPGPAGGGQTGWRRPVMTHFTGGQPCGGKPNKIYSKKSCADGMNRALNFADDQVLRAYGFRHKDPLSDEVRPLPFDYPAAQ >OB11G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11962446:11963915:-1 gene:OB11G22590 transcript:OB11G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAQLRRLLLVVVLIAAATERSLAGLFGSPPPGSAAAGHDEDNTKCSRACESQHCAGTYAQAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDNDYLNMLCNQSLLDCVAAVRSPAARGGGGGAIRTFEGNQCNMTDVADEITSLVKAAVFAKRILHKP >OB11G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11968373:11969059:-1 gene:OB11G22600 transcript:OB11G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWASFPRPSSFCSIDEMIRHDARRAPMTFLYATDSRFRSSTVSSSPPPAPPAERATRSMNSTISSYRSACSASFALYTLSSLVGSAGDMAASSSSSSGHTEGYRVRARSSGSGRVFVEATRGGRRWGGDSFEAEAPPHSGLGGGWGPPVSVGGGHVAVQGGAERAVRRGERVRLVCRVVCRVGGRRCGGVDTWGGFRWVGMVFAVWWTVCDSGGCELGRSRPDRR >OB11G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11968701:11971771:1 gene:OB11G22610 transcript:OB11G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPTREESVYKAKLAEQAERYEEMVEFMERVARSAGGAGGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAATIRSYRGKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGDERKQAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDANDDGGDEIKEAAAPKETGEQ >OB11G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11973143:11974672:-1 gene:OB11G22620 transcript:OB11G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavin-binding, kelch repeat, f box 1 [Source:Projected from Arabidopsis thaliana (AT1G68050) TAIR;Acc:AT1G68050] MVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLVPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSNHRPNIQEMNSATHEHIPKIQSSEYCYILQLSDEVLAHNILSRLSPRDVASIGSVCTRVHQLTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDDDE >OB11G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11982294:11982530:-1 gene:OB11G22630 transcript:OB11G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLRCLLRPVLFALVPAHRAGTTADGDRAHHAENRVTVHPVQAENENTASDVSAAPGGHASAKRWESLKSGQALRF >OB11G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11983221:11984822:1 gene:OB11G22640 transcript:OB11G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFVCAFSWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKLVAGALNRIGGDTLFVAYGDVCQMLISPTCILKLAIISCRYFLNPGFGIAIGHYLAHETAQVRISWQHNIIIKQTKLLPAQLRG >OB11G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11986091:11986237:1 gene:OB11G22650 transcript:OB11G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIGQPSIPSLFVFILLSLHMNPNFHFSTGFLTLVQLSRYISFKKLM >OB11G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:11992119:11999296:1 gene:OB11G22660 transcript:OB11G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAVVPISVTAEISIILLLATSFASAANFTCAVPATCQSAIGYTTRDAPTYAELLARFNTSTLGELLAANDLPPTATATGVPAAVTVTIPFRCICDGGVGRSDGRPIYVVRELDGLDAIARNVFDAFVTFQEIAAASNIADPNRIFVGQQLWIPLPCSCDQVDGYNVTHFAYKVRIWDTTPAIADRFGVREATLMKINGMTDPRSLAQGQILDVPKPGNATIALKHEGKDRVPTILGIILPGTVAVLAIAFFVLHIWRKPAKEPQMPWSINQEDFSFDLWTLQIATDNFDERNKLGEGGFGVVYKGTLPDGQQIAVKRLSHCSKQGLNELKNEAILVSKLQHKNLIRLVGVCVENQEKLLVYEYMPNRSLDTILFDTDKRRELDWEKRFKIITGIARGLEYLHEESRLKIIHRDLKANNILLDYDLTPKISDFGLAKLFGEDQSHIVTKRVAGTYGYMAPEYAMFGQFSVKSDVFSFGVLILEIVTGKRSMGSYGDHDQYFSLLDLVWQHWNRETILEAVDPALTTATGGRWPLQRGQLLGCIHVALLCVQESPSDRPKLSAVTTMIVGSRASLEPPSRPAFCARPPDARQTAADSSGGEPTAASANRVSVTELGPR >OB11G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12002497:12012831:-1 gene:OB11G22670 transcript:OB11G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGFVLSKDALKRARSFDDRHQLLSTASARVASLDRRFGLSDKFSAGDDAHAQVQVEAPPAHAHASREPDGHHKNKAL >OB11G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12016609:12021903:1 gene:OB11G22680 transcript:OB11G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVLTRMGLWNDVVEVCDRSLRVREPTDPALHFPYQRNRVVTKSPEHRIASTREGIRQLRLAAVKRMRTAIPPKPSLSEPDWPPVSAELHVARDLWRGMSEEERQAFLKVSFEDMESYCRSRGLLQLTRVLSAAAEKKFLGSRDKSCFLWICPVCPIIIPLHEGFYHDKTQFMIHMETFHIKIEDEEYEKMRSIMPETIPDHEMELLKSWRWEPQPIDGDDLAERAKILSDVKRIVSKLIDREAISLNLLYAIYTFIMTWVRPARPYVVSMCGCCGIGQLSSERLKELYKLLEPLTYILTGYEHQTRHNGEQESQQDSLVATFLVNETGTYMCFDCGKIASTKADGSNQLIDEMFDSLFCESLLMYPSESWDDMTQRCFGFGPDIVKKMREALDKLKTNCSSCEILKGKFPDFFLPDAILETVFDAKSYFHSGIDCAQVEMLLIDAEVDYLRKRLLETCRMNIVLTVLPVAKACLWVSTALRLCPLNDLELEAPLDMILRSLWHLRRFHDTLQKIPCKFPHVKDGESQIGMELREIFDSWDDDEECKPCDPCGSTRFADFSNSLVYKKDGNRRTATEIVKIIFRRLHSSQTPLHFEFKCESLEQQTVVEPSLHSCICLMHDLFGLHICENKCNCMNEVPRKYKHTTFFHNIDLGAVGNAKFESLSELLKVMESRIESCGHKVPQYSLLYPPRLFMTVFQWKNDKVCHINMHEVLLNLTTELDISHFYGGLPPGSNYTLVSAIITSVCFIYVYSQTSIPVILTWVIISLFVSLLNPGKNQPTGTVRTISVLKSFSLSMPYAPADETSRSDVAVDWYQLRHADVVPSLEHIRNDRKC >OB11G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12029729:12038764:1 gene:OB11G22690 transcript:OB11G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPPHPFAMWWPTNRGWLDCDGAFALQADMVACLLAARQDAVGQAMDLVLNYQQSPLAYAILAEAWRRRNNLAMVVAHLRNAVMLAPGCPFISAFLAVTLVSCGSWDEAVAECDRGLSTQLPTDPAMHYCFPKEHVDSMISSRNPEFRIAMCRERIRHLRVSAQKGKRRTARRPEAAPKLPPEILDLDRARDLWSRMSEEERQAFLMVSFEDMKSYYSSRRDHRTVRLLSHAEDFAMGCGWSPYWICPRCYDTFCENAKEFIFHMKVFHIKVDQEVLTSMPTRIPDSEKELLKLWRWEPTQTDGDDLAERTKILRNLKRIVFQLIDMDVMSLHLLFIIHKFIMSWVRPVIPVVVSMCGGCGIGQLSSAHLKDLYKLLELLTGYQHHKSRDGEKKSHEDFLDEITWSKETGTLSFDYRKIASRKMGGSSQADETFDSLFCESLLDDPSEAWVGKWEKCTDHGRGILVKINEALDNLEKKCRWKLSNWKELREIFDSWDHEKDRKPCDPSGSTKFTDLISCLVDKKDGRRPASGVVKSILQMLHLSQAPLHFEFNGETLEQQTVVRPRLLGCICLTHELFGLHIYENRCNCVNEVETKFEYHIDLGIVGKTKLESFSELLKAMESRTGSCGQEVARYSLLYPPHLFMTVFEWKGIEESYINMHKVLISLAAKLDISHIYGVLALVSNTLVGWILIWVILILFCQSAGSWQESLQRYSQANLHPEILFFERIISGRDQTAP >OB11G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12031821:12038764:1 gene:OB11G22700 transcript:OB11G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFYRWKLSNWKELREIFDSWDHEKDRKPCDPSGSTKFTDLISCLVDKKDGRRPASGVVKSILQMLHLSQAPLHFEFNGETLEQQTVVRPRLLGCICLTHELFGLHIYENRCNCVNEVETKFEYHIDLGIVGKTKLESFSELLKAMESRTGSCGQEVARYSLLYPPHLFMTVFEWKGIEESYINMHKVLISLAAKLDISHIYGGLLSGCMYTLVSASAGSWQESLQRYSQANLHPEILFFERIISGRDQTAP >OB11G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12042027:12046311:-1 gene:OB11G22710 transcript:OB11G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3N8Y5] MAAPAPVALLLLTAAAVAAVAPAAAELPRLEHHPHRGDDGSVSLLVVGDWGRHGAYNQSMVAAQMGVVGEKMDIDFVISTGDNFYKNGLTGVDDKAFEESFTDIYTAKSLHKPWYTVLGNHDYRGDALAQLSPVLRKVDSRWICIRSFVVSAEVSDFFFVDTTPFVLKYWTDPKNSKYDWRGVSPRETYIANVLEDLEEALKQSTATWKIVVGHHAIRSVSEHGDTQELLQHLLPILKAHGVDLYLNGHDHCLEHISSRDSPLQYLTSGGGSKAWRGVQTANADKVEFFYDGQGFMSLRLAAAPAPAEASLAFHDVAGNVLHTWALAKPAARY >OB11G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12050072:12052463:-1 gene:OB11G22720 transcript:OB11G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHCVVLGNHDYTGDALAQQSSAIRAVDSRWTSVNKSFIVDTGVAEFFLVDTVPFVQKYWNESKFDWRQVAPRDTYLSTLLTDLGDALSESNATWKIVVGHHTISSGCEHGNTTDLVAMLLPVLKTYGADMYINGHDHCLQRITSIDSPLEFITSGGGSKAWAGKFKQTSDKLEFIYDGQGFLSMQLTAAEASLAFYDVAGAVLHSWQLTKSTSTN >OB11G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12052896:12056050:-1 gene:OB11G22730 transcript:OB11G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAAVVVVVVVGVVVVAPAPVAGELARVEHPTKEDGSLTVLAVGDWGRKGQYNQTLVATQMGVIGEKLGADFILSTGDNFYNDGLTGDNDTASFQESFTHPPPRR >OB11G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12055772:12056104:1 gene:OB11G22740 transcript:OB11G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWCKLEIRRNEGVVVSIMGRHAIYTTCTYLGGNERLVVLPLPPPVADGEHRQRPVLLRRVLHPRQLPRHRRRRHHHHTDDHHHHHSSSKHCHRSSPATNNHHTHVPRTTP >OB11G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12058315:12059780:-1 gene:OB11G22750 transcript:OB11G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3N8Y9] MASSSRTALLSAVLALRLLTLALLAASLAVIAADKFAVDDDHKFTFKDVYAYRYVLAVAVVGCVYTLIQIPFAAVGIARRKGMIGGSEDVALFLVCADVVCINLYTYVGVHVAGGDGGGGRPGLHLRHEALARRLESARGAGQVPQPGLRLRRAHAARRRRHGARRNALRLLARPVIDRFRDFS >OB11G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12066123:12072957:1 gene:OB11G22760 transcript:OB11G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIMTMSFLSKSVSWIFPDYRFEKLLTEFERTMSMELDFTQEAKNSERTASCFRKNNVVKVPQVFWELTSKEVLTMEFCSGYKVDNLDSLRKSDISPRKVAEALIQLFGEMIFVHGFVHGDPHPGNILVSPQGQGRFSLVLLDHGIYKELDQKFRLNYCQLWKALILLDSQKIMELGEQFGVGKYAKYFPVLFTGRTIESKSILGTQMSIEERMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPRHVRLLTYAKCAIHGLEEQSKLQSGPIKRTILQVKISISYLHVRILIELAGFLMQVNDLSQKVMSRFRRMLQKTS >OB11G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12071771:12075079:-1 gene:OB11G22770 transcript:OB11G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial matrix Mmp37 (InterP /.../015222); Has 325 Blast hits to 325 proteins in 172 species: Archae - 0; Bacteria - 0; Metazoa - 109; Fungi - 140; Plants - 43; Viruses - 0; Other Eukaryotes - 33 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G47630) TAIR;Acc:AT3G47630] MVDYILGVADPLQWHSENLERNPGHYSGWMARLDTGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKVNTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKVKKIVEGSFQSFQLMYKPQIQEYIAEGLLKASSYGQQMAFQQDCGASSTIELFSYLPWTIQRRMQGRFVSNCIVSFPETPTRTVVSSKEVAATCVRKALRRRVMVSSARQAVSGLLASGGAVAARYLGKKISKAWKSRTI >OB11G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12077424:12080722:-1 gene:OB11G22780 transcript:OB11G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIPASPQPFKLILGSSSVARKHILTEMGLEFEVRTADIDEKSIRRENPDELVTVLAEAKADAIMSRLNISDYQKEGDRPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGSHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGISKDLANKLIQEALSV >OB11G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12090578:12096289:1 gene:OB11G22790 transcript:OB11G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain [Source:Projected from Arabidopsis thaliana (AT2G14255) TAIR;Acc:AT2G14255] MVCVSFFGSLCQAVHVAAQYGQTAFLHHIISKYSADFEALDNDGRSPLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNFEVCTVLVHAGTKEELKLKDSGGFTPVELANDKGHRHLSSILSNATKVTFEDKYCPGRSRKIGYAPILFCFLVVLITLFLNSIIFAPNFSRITAAVGLWSWGAISLAFASIVMLYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPVRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLANHPGALLFMFLDVFLLTGALILTGAQAIQIARNVTTNEAANRSRYAYLRGPDGRFRNPYNQGCRRNCADFLVNGYSNDEEATWPTLRQTVERS >OB11G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12098512:12101058:1 gene:OB11G22800 transcript:OB11G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGMAPGSGSAAPGEKEKEAAGAEEGGREGGEAGRKRVAAAEFERAAAGAEGQRERSMALNSEGLEGLVPRAKLLLSLGSTFFLGFAPLILVTVSLFAVLYVYFGPSFVHDASKSPVSPPPYIDPYELLEDERLSRPSSDVF >OB11G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12105509:12106351:-1 gene:OB11G22810 transcript:OB11G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQISLRSDRTARIQPHRPQRRLTSLLHKTQEKNPIRIRLIPNQTNTPHSAPPPLQEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXARRHRRYGRRLGAGRGRGGRAGDAGAGRRSGGRGGGGPGRRRLTPTMSVACGFPCTSCLTPWMDRFLVSLHCFSLFVCDQKSWMLLLNGCCFILSLIGLLDDYLNFLFYDT >OB11G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12106209:12110790:-1 gene:OB11G22820 transcript:OB11G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLPKLADLLKEEYQLQKRARGEVMWLTRELESMRAALLKVSDQGPIDQPPDAQVKLWATNVRDLSYDIEDSIDRFMVRIDTPMVPDNPHGFFRGFVERSLGLMSKAKIRRSIGVDIRQIKSRINEEKERHDRYSVVGGRVVAAKPVAPTVDSLRLSTLYKESSKLVGLEEKTGYLVRKLMEVPDDNEGSSQQLNVVSVVGFGGLGKTTLANVVYKELRAKFDCGAFVSISLSPNMVGIFKKMLRQLDEIRYQHINGETWDEVQLIDELRKFLRNKRYIVVIDDIWNISVWKTIKYALVDNQLGSRIITTTRAIDVAEQVGGAYQLEPLSPNDSIKLFSQIIFQSKDKFPPYHLSEVSQKIMKKCGGIPLAIITIASMLASKKGNEHEYWYRVYRSMGSGLEDGPDLRNMRRILSISYYDLPPHLKTCLLYLSSYPEDYLINRETLIWKWVGEGFVDTKQGRSFHEVGGEYIDELMNKGMIQSSGDIVNYQDCYRVHDMVLDLITSLSNEEQFLTRLDGQQSLSLPKKIRRLSFQTSEEEDIKLLAAINLSHLRSLTVFGEGFSLLPVSPSLCPFLRVMDLSGCGKVDNQQCKDICKLFHLRYLSLSSACITELPKEIANLQFLQVLDISSTEIKELPPTFIQLKQLVYLHFPNMMRLPDGLGSLDRLQEIPNVITIDSPTMLHDLGCLSKLRRLAIYFDRWDESYEKPFIRCLSKLVSLELLEVDGTLGSTCGSSSPGPQRLQSIDMSFCTLTAFPGWISSLCSLSSLHIILLTLGEEDLQVLGSIPSLSDLYISVGKATHDMNRRLVIGRGCPFLCLTQLSISSRSMDVGFAQGAMQKLRDLSLGFEAGETMDEFGDFNFGLENLSSLESVDVWIRCSGAKPREADDAVTAIQRTLHMNPNNPTMEVYMIC >OB11G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12112552:12117386:-1 gene:OB11G22830 transcript:OB11G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLVVILGACSLMMKRSDMIKIARVAGRMTGKAVGRLMLFRRQMDELMEQTAAKQVNRELKDAMTQLDSIRYEVQNLSRFTPGQFMRQHNPEGMDEAGKNDAISGSALNLEELCPQIRSMVQDEIESFYRTNPDRFTRRLDNVDTVYSSVSSVEGREADVAVIPTMLASKDMKLANTGSTDIHSKATMYARLTESSEIKNSTMGGTSEHQFNERNGLLNVLPISAESAGLLPTCSDKPQGSDLLLEAVLEAEVAEHAKSFAQQHHDEHHKE >OB11G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12120224:12120851:1 gene:OB11G22840 transcript:OB11G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHIMIFSLVLLVLSSDTEIAAARATSHGTLAPPDCKTILLPAYCDDIKTCIPLCSHNDPLNPVPSQLLSVICLDLGCQCTFCPKAA >OB11G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12122953:12123108:-1 gene:OB11G22850 transcript:OB11G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSDYFKKQHIHICAIKTESLACRFSSCISRYEDKCISLAFKNKIYAYLY >OB11G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12126709:12127011:1 gene:OB11G22860 transcript:OB11G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFGKLGTSDLQSFAICSRNFGSLELLDSWSSSTNHLQRFWLSAYYFIPRVPRWIASLCNLIDLNINIKRLTNEDMQILRDLLCLLCLDPKEGMIVIH >OB11G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12127036:12129111:-1 gene:OB11G22870 transcript:OB11G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:J3N901] MRRVVDSFDLVNRLQGRVLAMAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENCLSSIGEAAGSKCQKSSFTTEH >OB11G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12128767:12129525:1 gene:OB11G22880 transcript:OB11G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHPRPNQLRHRQHPPLQPIHQIERINNPTHHLTKNKNKQKKGHNFSETSHESQKPRSRNHPSNPHLQKFVPAVDSRGRTDGNRSGPRSRDQQFEPKKGSEQRRPRKRNQTRHETEAGGDVAAFCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLCCRLLLPLARGGCSIETGGAASRRVWKGTNFEPRRQVQRTGGGRNQETVLITEERREWNPSRKGRV >OB11G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12131634:12134891:1 gene:OB11G22890 transcript:OB11G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSGGHGHPRSLPQEFHGRCDEQQGGGQQDGFLVREARAASPSSSFLGSTSSSSGGGGQMLSFSPNETAGLGLSSGGGMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPAGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLAMAEPSMAIAAGASTRSHTVARQKQVKSSAANVTDPFSRQSNRKQNAVDQLSPLDSFDFSSTQSSPNYENVALSPLKLHHDHDDESYIGHVEGSLSEKGNMMHEIQLTVSKETLDDGPLGEVFKRKNCQSDSANILTDKWTESPNLSFSPGIQQMATKFNSVSTSNTVNSGGTTLENHMTDNGYLTARMMNPLIVPTLL >OB11G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12135334:12138424:-1 gene:OB11G22900 transcript:OB11G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGDGGGGRGGTPMGHGDPSAFPCFRTTPDAVDAVAAALRSGELNSYSPGAGLEPARRSIARYLSTDLPYELSADDVYLTSGCSQAIEIICSVLARPGANILCPRPGYLFHEARAVFNGMDVRYFDLLPESGWEVDLDGVQAIADKNTVAMVIINPGNPCGNVYSYEHLAKVADIARKLGIFVVADEVYAHLTFGQNKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFRKTKLVESLKSYLDISADPATFIQGAIPQLIENTKEEFFEKTVDVLRQTIDLCWEKLKGINCITCPSKPEGSMFVMVKLDLSCLQGIKDDMDFCCQLAKEEFVVLLPGCALGYKNWLRITFAIEPSSLEDGIDRLKSFCLRHSK >OB11G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12145561:12149508:-1 gene:OB11G22910 transcript:OB11G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSVRSPVELLLLLFIGEAKYAPLLSSCCFSVHGAAAEPAPGTVNKEEPKKLHLDIALGGSLCPWRRGRLVVVILFFGSVVLCRATTADLLAEFVAGKFRWRRDRTASCYIKLVFFSLSSILRVQNSDETQMVFTKSRDFEVAQNQISRRSANGFWVVLGPSGPPLDPPLLFARPCVIFIAMGVWMPQLLADLRSTEASAFTLEVKYIVDLLINHDWSKHIATRYHYIREEGKLNVEDIVDLLTKPFGFEEGNRTELRREKKKTCQAKLPGLDAKPVALVPCIYIWSPISHD >OB11G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12150365:12172564:-1 gene:OB11G22920 transcript:OB11G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSPSPSGRRLSELLEEKQEPFFLDLHLLEKGCSARLLEGYDTATAAVCWPAAGNDAASVLKRLTTSKKKKGAAAARGKKKKAPAAATGILRVLLSRILHGKAANRKPAALQSSESFKKVSAAPSPWPATKHSLEVAAAVEEEMEYASGSESDDEKQFSPVSVLEHPFETRSPVHGQSKCSAAAQGSPKNAMAFVRDLLEAADGDPDGVVTVAFPITRELIAFPPRLVRPRRDYVDGEWVPSEVAIVIQPRHALRVYDVGDKVEVKRDREVYGHSWFPATVAKVIDKLSYLVEYSDLEGVEEAGGKAVEYLHWQFVRPSEELPPRHCDFRLGPGAAVEAYCEGAWSPGVVRRVVGEGEYEVSVNGMNKELLLTKVPELLKPQYRWNGKYWRIVTAKRHLRRQSVSGMSPSSPVDVFSSDDEHRHHIESSACKRSRRMSRKELKEVDHLEVMLTEHSEHDSRSEMDTPLSELVKSPGSNHSSKFCAQLSVAKTFQVLSKKIVSNCSVPVKMIPDSSGYLINQNESGKDCIGKTAVNQEIISDMLLMNGASAYGTNAGESCAMLSTKKFRRHNMALSGRNNHIRQVRGRPVSFQTLRTKKNVPFKLKQGKVRPIQAPQGRNDPFDNIQLKRNSTSNMEIVCALSVSSECNTPSPLAKLTQAFDVVSKGADSESNTRFFTSKKKLAKKRGFKESDSPHNSLDATSALQPRKKAAGRLKGSSMEVQLEGETHTQQQLDKSLEDDLNANEVTNHVLLPLTPPGFESIVNGKRSCDWNTDGLSETNLHSSLFDEELASTINSICQDNHNGDVQTDDMATQVTEISHLMEKPMLSLDRSVEQEVSGKVGQGPIQLHVGNSGNLQSTTDNTILRSCSFAGGSMASDMSMCQVSGQQPPFTKSSPIWSVVEAMDVFRKVPQRPHFLPLKHYSLALREGIALGLTMSYPNVVNDIRKLRITDSMETFEDSIKTLTTLEENGFNVQSLQRSLTKLLQFRSDYTNALTYREKLKAQILDKGSAVSRIGALLDEKDNAIFKLEQELGRLRWEAQKIAKNKEDEDAELLRLKAEDSNAQEACGDAKRQFHGVQAELRPLAIGS >OB11G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12175675:12179904:-1 gene:OB11G22930 transcript:OB11G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:J3N907] MNGSTDQPGIIPLAVRDVFRTACEAEDREFLIRVSYMEIYNEEINDLLALGSEKLPIHESLERGVYVAGLREEIVNSAEQVFQLLELGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNQMDSGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSESGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHVEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSQSEVLEQVILKQRNDMHKSELERDRLAMELEDERKLRETLEQRLAEQQKILDGINNTSISPDQFTDSTQLDALKTPDSKERTVGFVASRATYSKDVEFSPIPENLGTIADEDLWMQLNKGCITDLEMLEMTPGFKCAPSIAEDKTSVATPNEEPIDARCQRLEKDCSHDRQQLEDSKARCAALENERDMLKKENSSLLDALAESRQEAGRLVADKEEVLKELDMEKSRMEELKQEIRLFSQAFSQRQGQLTSLYTKSKAIVENCKTSQLALP >OB11G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12184300:12188007:-1 gene:OB11G22940 transcript:OB11G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVQRIGKQGVPLVLDWAIRDSGGCPPGGSSGGINGNTTTQAHGDACVSAHSYCLNATNGPGYFCKCSQGYAGNPYVSDGCQNVNECDPSIYKEKYPCIGGTCHDIEATICAISILAILLIFLHMKCQKRKLQYAFDKNGGQLLKNIGIKIYQKKEIIKITNNYDNKIGEGAFGRVYKGTTDDNKQKVAVKCPKTDTDQHNKKPNTMGIINDHPSDFANEVTMQFHMSHKNVVRLLGCCLETNAPMLVYEFIPKGSLEHVLHGKNLGHALPLQTRLGIAIESAEALAYMHSSANQKILHGDVKSGNILLDDNFMPKISDFGISRLLSIEKDHASFVIGDTNYMDPVYMQTGLLTEKSDVYSFGIVLLELITRKKPRYDGNKSLPLDFVKSYKTENKAREMLDDEIITQSCTEVNIINCLEIVSGIAVQCLEQDVDKRPNMKEVSNRLSFAREVMQEQGKRSSDQADEIAIAFPSPLSPI >OB11G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12188901:12189611:-1 gene:OB11G22950 transcript:OB11G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSPTFFFITSLYLASSSAVAAGGAPRPSCQHECGGVLIPYPFGIGDNCSWPWPGSGAGDEFQITCNNSLAGGGPPRPYLFGKHEIIDVEVEAAVMRIYEPVSYICFKSINQSSLEYSWEFSSDSSPLLISPTRNKLTGVGCYTDAMIDGRDDESYYTTCASYCPGASLVQVGDGEKCAGLGCCETPYITTNLSYIYLYFFESNKEQNNPAWRYSPCSYAFVADISWYITYSFS >OB11G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12198583:12198846:-1 gene:OB11G22960 transcript:OB11G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVYSEILQTEDPVFVVNQIWKLAALCTTEEVDERPTMEQLAKHLGVLRRFWKKRRAEDVGASTGYCTEVEAAAAVGISGDEATEP >OB11G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12198583:12201225:-1 gene:OB11G22970 transcript:OB11G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRWYSDCGAGRRVLPPIRVSSPLSPAEAPSIEIFFLMAGSPMREGFQHGLHIIGTKWTLNFLDNLPDAIARDLMDNNDGIKNFTESDIERITSGYTTLIGKGGFGEVYKGVLDNDDIVAVKRYIRADLAREFMEEISNGNLEDILHNRRTAMSLETRLGIAIGCAEALSYMHSMHLTTDSLVCHGDIKPANILLDENLTARLSDFGLSRLLLGGTTRHTMNVKGSIDYVDLVCLRTGCLTPRSDVYSFGIVLLELITRKRVKEAKISLVKTFSEAFENGKMQLMEIFDDELVSEGNLEVLETIGKLAILVTEQLVKLWAGLRRGQSLLKISLGIFKRNAVSSVIRIKLQNVKVFTAGELIRVTQNYSRLIDENFMFSYVVYKGSLQDNKLVAVVSLHKSEDPCKVKSDVYSFGVVLMELINSREPRHGTASHIVMDLRRAYMLDMMGAKWRWSTIWKLAALCTTEEVDERPTMEQLAKHLGVLRRFWKKRRAEDVGASTGYCTEVEAAAAVGISGDEATEP >OB11G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12202282:12206590:-1 gene:OB11G22980 transcript:OB11G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVQHSQSPPTSLPPPHMENISVAVRSLATDAADRYDECGDWVGEWRVGRSGIDDPVNYRAITKRCVVLTHKFGGDLTSTALIKRLIYDRVLVTDFNPTVRPFLSLYDIMEFSETKIKTRDPAQGQDKARLRVNYDIKSFTEDNIKKITSNYSSPIGKGGFGEVFRGALDDEDGLVAVKRYINADLRDEFMEEGSIHAQISHKNVVKFLGFCIGENNLIMVTEFVSNGNLEDALHNSDISIPLDTRLGIAIGCAEALCYMHSMHLSSGNLICHGDIKPANILLDNSLTAKVSDFGISKSLSGGITRYTLNVKGSTDYMDSIYLRYSRLTPKCDVYSFEVVLLELLAREKILEGIANLATKCLTLDIKKRPQMTDVVQHLRAWMLRVKEGQDKTSFSIILNTLKKGYKQSTSIFSSSSMTNRKMQNFGILECKSSDARIFSKEDGTLEDNTLVAVKTFSATGKSVRDEIGTSTIILSQISHKYIIKLLGCCLDADLPVLIFDYATGGSLSDILYCKEDFPLDLRLKIAVKTSEALEYLHSCAIRHGNVRSHSILLDSNSMPKVAGFIFSRRLTKDEEQTSHIIGDLYWTDPVYFRTGLLTMKSDVYSFGIVLLELISRKKPYHDDDRSLIPECIKAYKADKSGKSIFDEGITAEEDISVLEEMGRLALQCVSLEIDERPTMKEVTARLKMISTSWKCGQAAWPYDISLADVVETGSWSQH >OB11G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12216709:12217731:-1 gene:OB11G22990 transcript:OB11G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLGILPPLVLLVDLVLSMRKPSNSEMIPEHWKVRTFTKREVIEFTENYSCPIGKGWSSDIYKGTLEDNTLVAVMKSREANEDQRKDFSNAAMVQSQIIHKNIIRLLGYCLEDEISVLVYEYAAKGNLSAILHSEEHFPLESRLKIAVRTAEALVYNHSCVIRHGHVTTTNIRHGSVTTPNILIDSNFVPKLAGFSLLRSSIRDNDGSSHFGSDNLNYFDPIFPKYGILTVKTDVYSFGLVLLELISREKPVYQEGENRLVSRFTRAYDTDGTGEAMFDERITTQENVPVLDDIGRLALRCTSSETNARPTMSEVAGRLEMIRSSWQRRRMAMGATRR >OB11G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12220769:12221249:1 gene:OB11G23000 transcript:OB11G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCSTALLLLLLSLLLFLLCVSQAAQEETVDGSRSGQPLQEQHGGKKAASFAAAVAEEEEQCDGAGGGEEEECLMRRTLMAHTDYIYTQGNHH >OB11G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12232038:12237441:-1 gene:OB11G23010 transcript:OB11G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANTLLAPEDPDEGEDPRTSASGSASPPRGVREDLSELTGALAHRFQGLASFLAPADADGGGAPGPADQAVADLAKIASSLLPPEGGDGDAAEAPGFTEEAVAFARDAAMRPELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLTKDDADLLSTPQILEARKMLSHNLQHESNLDSNDGRVAVPFSNIDGNVPSPVAAVGTLKNEDDSARATAFSNVDYGTPQPVILETQSSDMLNDAGALHADNITSSIPVQLVPVLKDSTEFSQSRMEESTHDFTAQDTVANEQPGQSSEITLQASPEERRKEPSTNLSEQSRVVVQKTNDDDNIDDGDEWLEEETGGGAGNTTIPMADDEDVSFSDLEEDDA >OB11G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12250985:12251797:1 gene:OB11G23020 transcript:OB11G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKINHLNLVRLIGLCHHGGRWYLVSEYAEHGTLRDRLLAGGPGTEPPLSWAQRVQVSLDVAEGLRYLHGYTRPPYVHMDVSSDSILLAAGLRAKIRNFGGARVIRGSTDAGERGGEAFTMTSNIAGTRGYMAPEYLEHGIVSPKADVYSLGVVLLELVTGKDVEELEAGGAGDPFAGLNAIAGDLDDGAPRRMEGFLDPAMAAAGSCPREAVAMMVRLIERCIRRDAGGRPSMGEVAQQLLMLHGISGDGWHSSLEHCRSSGGNSGEQP >OB11G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12254106:12255047:1 gene:OB11G23030 transcript:OB11G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCAMLVLILALLGAAMAAAAGGGDVVSYSYPAFDAATTRDGSLFAGTNLSVLTSARLLFEQDQFFSEFNASEGFLLLSDEVDVWCGGAGAPAREASFNTSFTVGAGASPDPVAVAFVLLLDRYPTLNDPAGLRGKNVSAADGGGGDGNATNSLVAVQVGTVMSYVRDSPNVGLNVTVTPNRTAAPSGGSTVWIQYRAVEHRLSVHVAAAGEPRPARALLDVPLRLAGDRTTQTALVGFFAAEIRDIIVGVRDWELTVERLHRDGGGKKSTSWLAILLAVLGSVTGTAAMVSVVVFCFVIKRRRRDMEPKQ >OB11G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12258231:12260648:1 gene:OB11G23040 transcript:OB11G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34360) TAIR;Acc:AT4G34360] MADDDVAPRTASAYLDPTYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGAEGVDVVVADMLDLPFEGESFDLVIEKGTMDVLFVDSGDPWNPNPETVDSVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYTLKKGKRSSESNCYQPTLPAAPSINMFHEELESEDYIFRTNVDEL >OB11G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12261279:12261769:1 gene:OB11G23050 transcript:OB11G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:J3N919] MASSAAAPPYLHADENLEAIISRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMK >OB11G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12263844:12265950:-1 gene:OB11G23060 transcript:OB11G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGGVGVKKGPWKPEEDIILVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQQQQPQTDHHHSFHTTNSNAAAAAATSCPNYYNPSSSSSSSTHIHSSHESMQSMEPYPSNEATTNTIPKLFQFQTWMKPSPASASAANYNGAMAMQEFQDDQEVAAAAAMASSIDACKDHTCAVIGDDKSSSLEMMMAAKMGHGEAGFLINLAEFALVIAV >OB11G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12264001:12264693:1 gene:OB11G23070 transcript:OB11G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVCPGMSSSSQFSSREKVIVVAAAAPAGDDVRPPSASPRPIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPGPHPPRRGPSSPPSSSPTTTTCRRRSQRTCDPCRHQWMKPLQQQQQPPGRLGTPAWPSRHCS >OB11G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12274006:12278636:1 gene:OB11G23080 transcript:OB11G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:long-chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) TAIR;Acc:AT1G49430] MEEMYTVKVGEATPAAGGKPSAGPVYRSIYARDGLMQLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYAWLTYEQVNQKVLKIGSAIRSLGVKPGGHCGIYGSNCPEWIMAMQACNSQGVCYVPLYDTLGANAVEFIMDHAEISIAFVQESKIKSVLSVVQKCRAHIKAIVSFGDMASELKRDAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQGDIRYLMEDVQVMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYKLGNLRKGLKQHEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHIEEFIRVTSCSVLVQGYGLTESCSGCFTSIANVVSMIGTVGPPVTTIEARLESIPEMGYDALSNAPRGEICLRGDTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYMQSPLVTSVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGDFAELCNDLKARMYIQDELNKTGKKLGLRGFEMLKAIHLETMPFSIEKDLVTPTFKLKRPQLLKYYKDRIDQLYKDAKMGTKQ >OB11G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12284472:12287501:1 gene:OB11G23090 transcript:OB11G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGLLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSGRGQLQDCCRWRGVRCDSRTGHVVKLHLRNDHGDTETALVGEIGRSLISLEHLRYLDLSMNNLAGPTGRLPEFLGSMKSLRCLNLSGTMFSGVVPPQLGNLSNLKYLDLSGMSLSSASMAPSLYSRDVSWLAQLSRLQYLNLDGVNLSTVLDWPHVLNMIPSLKVVSLSSCSLQTADQSLPQFNFRQLQMLDLSYNYFIHTAESSWIWNLTSLKYLNLSSTSLYGDIPDKLGNMMSLQVLDFSYNDVHEDSMGMSVSRKGNMCSMKANLKKLCNLEFLDLDYRLAYGDITEIFESLPQCIPSKLKEIHLAGNNITGMLPNWIWRLTSLVTLDLYKNKITGEVPPEVGMLKNLSNLYLHFNHMTGAITEKHFEHLMSLKSLYLCYNDLKIMIDPEWLPPFKLEKAYFASVWMGPSFPRWLQSQVDIVALAMNDAGINDTFPEWFSTTFSKAKLLEFPGNQITGALPTNMENMSLEKFYLNSNQIAGQIPLMPTNLTVLDISNNSLSGLLPPNIGSPKLSELNLLSNHITGPVPQSICELKNLKGLDLSNNLLDGEFPQCSGMSTMSFLRLSNNSLSGGFPSFLQGWTELNFLDLSSNKFSGNLPTWIGNFSKLEILRLKHNMFSANIPASITKLGNLSHLDLSSNSLSGPLPQHLANLTGMFERRYYTKEREERLSGCDYKSLVIMKGQELEYNEENVTVVTIDLSSNFLTGVIPENITFLRGLINLNLSRNYLSGKIPFKIGDMRSLESLDLSKNRLDGEIPQSISDLTSLSFLNLSCNNLAGRVPSGTQLGTLIDQHPYDGNDGLCGPPLPKSCSSNGAASKQGHLTTRGEPGFDALPFSVGVVMGFMAGLWMVFYALLFKKSWRVAYFCLLDKMYDEVCAMVVVGWEKVTGREGEGLWMSQVSWSS >OB11G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12289095:12291505:-1 gene:OB11G23100 transcript:OB11G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIELSSLFSVAAMLVLVVGGSYRRVRVITWVAYAACIPMVSYTLGLMQSYHCKNNLFSVWAISLVLFLGSSNSLSAFSHKDNDEYVTVYLQLLIQALFLGSIVGDAFMASDFGWPIYGMLVVAMVNSSTRLMSLRLASRGCMLSDSTKWVADYMSYEHELSAPGDRDPVTMRGYRYIVDGEPRKVKNKPRAKAPEYLLRYDDDRLAKLVTVDKVWGCRGSLLLGGDGGRLKDLCLSMALSKMLNRRFVGLELAESDLQKTHDFLFHGRLHGGDDDDDDSRCERAFRVIEEELAFVHDFFYTKYAIHRLARHDILLSFLMIPFCINLACIMFHHFLTPNDALNIGILIDDDHRRNYDALLTFVIAVGIALVEFFQVFFYLASGWCKLALVSRYVARESWNSRRWVGELIGRITRLSSFRCWERRLGQYTLLMDFDYRPMNPMSKRGRRRGNPVEISMDAKRALVETLKRSNGVLTNGVTSLRANGVELELSWSCTTLPTTMHTILAWHVATTICEAQDSGDRRRGGRRRRSGLSEQEQDIDRLASLACSLSKYMAYLVAFAPDLLPDHGSVSGSLVDAMVAEAGELLKGERKTKKKLRARCSKLMEMVAEAEDDDHRLIVTGARLGSRLMVKIREAELRWKVVSDFWTEMLLHVAPSDDARAHLETLPRGGELITHLWALLTHGGILDRVTGPTHLNV >OB11G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12294437:12295114:1 gene:OB11G23110 transcript:OB11G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIELSSLFSVTATLVLVVLGSYRRRSSSAAVRATTWVAYAACIPMVSYTLGTMQSYPCKNSLFSVWAISLVLFLGGSSSLSAFSHKDNDDYVTICLQVFIQICFLPWIEGSVFMGSDFGWPVAAMFAVTMVKSGTRFWSLRLASRGCMLSDSTKWVADYISYEHELCAAAAAGDQDPVTMRGYRYIVDGEPRKKKNKPRAEAPEYLLRYDHNRLAKLVTVNKV >OB11G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12298383:12299305:1 gene:OB11G23120 transcript:OB11G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPATIFAVVSGHVLYIYNNFTRISYSAKSNDAAQRRRQRASHELGTVDPNPDDAAAARKLPGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGVAGGFYYSAGKDVQLFIDCKIRSKQSAMSAFLRAMVATPTAPAVKAIRLFGIDLLTGGGARAK >OB11G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12302557:12304277:1 gene:OB11G23130 transcript:OB11G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAAAKLALLAVAAAATSFSSHALADTGARCLPHERDALLAFKKGIAETTTASSFHGGGEGGGKKTAAGGAASGLEGQISPCLVSLEHLEYLDLSNTGLRGINGSVPEFLGSFKNMRYLDLSYMNSITRLPPQLGNLSKLEYLDLSRTYLLQVPRQLGNLSSLRHLDLSQTPFFYQANDMPYMYNMIRGISWLAHLHLLEYLDMSYIDLSMAVDWPHLFNSLPRLEALHLSNCSLPGTSYALTQLNLTEIVELDVSYNDFGNPISTNNVPEFLGSMKNIRYLDLSETSIFSGRVPPQLGNLSNLRHLGLHLMHTDMYSTDISWLTRLHLLEYLDTSSVNLSTLHDWPVVVNMIPHLNYLFFNDCSLPTANQTLAHINLTKLEILLLDWNYFGHPIASCWFWKVSSIKMLGLSGTYLDGPFPDALGGMTSLEVLYFHDNGNAATMTVDLKNLCALQWLFLDGSLSSGNITEFMHKMPRCSPNQLDYLSLRDNNMTGTLPNVMRHLDSLSHLLLSNNSISGHIPGSICMFQYMHRLDLSNNFLEGELPPCSRISAAN >OB11G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12310467:12315678:-1 gene:OB11G23140 transcript:OB11G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] MAAEAEAEAEEEVRQEVEAVVSVYGGDCRVVRGSPPHLVVHVRPRTADDSSRQFVELFLGIKASSQYPKEPPHVYSVESKGLDENRQIYLISSIQNKAKELSCYPMLVTLCEEAVEILSNMNHPAGDCPLCLYPLVQEDKDGSTLPFMKLMSCYHCFHSDCIMKWWEWLQNVDTDSKKSNTAVTTEVIHASEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVSDLLGANTSKLASLTIDLDEDEKELLHSESEKNRREKFASLFNLQQQRNGLIEPKKDLSIQPGMYIPRPPSMPTAAEEATIDDSCKDTTTSTSEVEPHSQTNSTGSTTKHNSNSGHRRRHRSHASRRQPPHGQPVRQQWQRKGGGTSQQ >OB11G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12318512:12322832:-1 gene:OB11G23150 transcript:OB11G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRVMENPERGGDCCRWRGVTCSGSGSHPVTGGGRVAVVVELRLSSAGLGGHISPSILSLEHLEHLDLSRTRLYGTDGYAIPEFFGSFKNLRYLNLSHTSFTGALPPQLGNLSSLECLDVSGTYGLWGEVPPQLGNLSNLRRLNLGSMYATERYKYAVDLSWLSHLHLLEYLDMSNVNLSMVVDWPRVFSSMPSLQALHVSSSSLPGTTRSLAQVNLTNLVELDVSFNNFGRPIETSLVPEWFGSMKNMRYLDLAQTSILSGRVPPQIGNLSNLRHLDLSFMHTDMYSTDISWLTHLHHLEYLNLNSVNLSAVTDQLPLVVNTIPSLKFLILWGCSLSSANQTLTHINLTKLEELHLSGNNFGHPIASGWFWKLTSIEILDLAQTYLYGPFPDALGGMVSLRELYFDGNGNAATMTIDLKNLCQLRYLTLDGSLSSGTIAEFVQKLPRCSPSPLKFLSLQGNNLTGMLPNDLSRLSNIGILDLNNNSISGAIPVGLQNLTWLDAFFVSSNQLTGQIPELPKRLRALDVSMNFLSGNLPSNFSAPNLEVLIMSYNHIAGQVPESVCQLQNIKIFDVSDNFLQGEFPLCFRMSNLHFLNLGGNGFSGEFPLCLQSMLSLTFLDLAQNSFHGTLPEWIADLENLRYLQLSHNMFYGDIPSNITNLDSLQFLHLADNNISGSIPESLVRLKSMTLKRRSRIEVGWGEDLIGEYLPTEYFSLVMKHQELNYGATGISNMVSIDLSLNHLTGGIPDEITFLDGLLNLNLSWNHLSGKIPDNIGAMKSLESLDLSRNNISGEIPASLPDLTYLSSMDLSYNNLVGIIPWGSQLSSLFADNPSMYDGNNGLCGIPYERICSDNNAEEVGSEKRSVKEIMFFYFGLVSGFVVGLWVVFCTLLFKRSWRVSYFRQFNKLCDKAYVFFWCYLCQVN >OB11G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12333101:12333498:1 gene:OB11G23160 transcript:OB11G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKLDLSQNDFNHSMESCWFWNITNLKYLDLSYNMLYGPIPNVLGDMTSLQVFKLLNDGGARCTMEPKLLRNLCDLEALNIYSLSYANMTEILESLMHCSNNKLREVYLYNNNLTGTLPTGMDKFTFLPF >OB11G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12337461:12338437:1 gene:OB11G23170 transcript:OB11G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVPRRRHRGVNAEMGRWSCDGGSQGSNQWEERREMAARRREICAVGIKTLHPGVVPSLIFRSRRPVLAPPLTGVPPPPPRPVPLRCALFPPAAARSSAPCREADIVRRVGFTSSAGTVNIEERQRPFYDHDDWRDIDFCRCIKMTGWKAAMDYAGEHSLGLISVIPTLVVGPLDAISLNTNSFED >OB11G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12343675:12347349:-1 gene:OB11G23180 transcript:OB11G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGVPAGEGAAPAAQKRRGRPPKAPPAPAAEEPRSPVSPLVVAAAESPAEGYEREREARIRENMERMQKLGILDLASRFNRSASGFAGGGSGSGRGRRKAPVAPGSVGAVKTKPASPSPARRSLRLKSIEPVSYCEIRTKRDKDIEGGNSVVIEVGSKEEVYTEEHDKLLGTIVEPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTRCCKCQIVQGQYCGDCLYMRYGENVLEAKNNPNWICPVCRGICNCSICRTKKGWFPTGCAYRKVVSLGYKSVAHYLIATQRASVNPGDSSSADSNKVAATTKSEASSASENAPVSKDNQDNTELSSKAVQVKEADHQVNNNPTDDSDKDDSRSESVVTSDSQDCQVNLEHGCATPSKPCGPKKRKLVERSPDCVASRLRSHSNRS >OB11G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12351114:12351554:1 gene:OB11G23190 transcript:OB11G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGDDKEAINEQVIAQTYGNMRSEMTQLYTKITELEMEVSEHSLVIAAIEPLDHSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLDELIARMHEALERKKKEITEFELKYKIRIRKADTNAEEEGSKKEGSAQGVLVGPAGQ >OB11G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12352801:12357706:1 gene:OB11G23200 transcript:OB11G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12410) TAIR;Acc:AT5G12410] MASGEGKPNPSAAAAGGGVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFITCDGGRERQATREALSLLDSFYEDLVDGKGSDEKPKSIPDKPLNKKITFEDTDSSDDEDEKHSEEVADDANNTGKGETTPSEQLQEVVDTPVVPSKDNEERVENADESKVKKQRVEDPPISEQTEPKEIAERPTESTDKAKGSNDKPIDELIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRVLPTEVTCYASEEEITKAIIPLVEKYFPKESSSIYKFAVLYEARSNTGIDRMKIINTVAKSVPQPHKVDLNNPDRTIIVQIAKTICMIGVVERYKELSKFNLRQLTSPPQK >OB11G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12360336:12365403:1 gene:OB11G23210 transcript:OB11G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRKEIRELRDRMDRTLALPDLADEGLLRSLVKKQILASSLSGSDEGDIDLITEARSKEISNFLEMLNTSANERPSKIHEAPHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESSLYQKWFPQYNLPTFKVVQSGCLKKVRIGEEISLVRVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDADNINIKTHGFGRDGIPEAGDTVRIDVVGGFVLQRITEERSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVASCDEDYKKALRAPLYVRIREHQDSTEMAKVTPVEESATEAVPDNLILQNPLTVPSTSSSEIVEEESEQEASLFKLDHLAAGPSNHPTGQEQQVESKPYISPEVEQALSILDKAIAIIRGSSAGSTSAVQKFLSYDATLDGSTIDPRNPNNLPNGRPAPPRDSRETRQVYSLSNENVKDRVEDARDSDSHQSMGDSTVTKTMSMTRRSATRVHGEEILDTSGLHQNGFNKDKKSKRTAKKKTSGWLCCFSPSTTG >OB11G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12375158:12378784:1 gene:OB11G23220 transcript:OB11G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGTATLFCFSLLLFCSDALVSPESSSSSATAKADELALLSFKSVLTSSEGLLASWNKSSHYCSWPGVACSRRHPERVISLRLGSSGLSGHLSPFLGNLSFLKVLDVHDNLLVGQIPPELGLLSRLRLLNLSTNSLQGNIPVALVGCTNLTMLHLSDNRFQGEFPTEIGASLKSLVLLNVEKNGFSGEIPSSLANLPLLEELNLRVNRFSGEIPAALGNLTNLWILGLDYNRLSGAIPSSLGKLSGLSRLTLSSNNLTGLIPSSIWNVSSLRAFTVQQNSLSGTIPPNAFDNLPSLQLVGIDHNKFHGSIPASIGNASNLWLIQLGANLLSGIVPPEIGGLRNLKFLQLSETLLEARYPNDWKFITALTNCSQFKRMYLSSCNFGGVLPDSLSNLSNSLTHLYLDTNKISGSIPKDIDNLISLQELNLDNNYFTGDLPSSIGRLQNLQLLSTANNKIGGSIPLTLGNLTELNILQLKENAFSGSIPNIFRNLTNLLTLSLASNNFTGQIPSEVFSIVSLSEGLDISNNKLEGSIPQQIGNLKNLVLLDARSNKISGEIPSTLGDCQFLQNIYLQNNMLSGSVPSLLSQLKGLQTLDLSSNNLSGQIPTFLSNFSMLSYVNLSFNDFVGEVPTLGVFSNVSAISVQGNGKLCGGVPDLHLPRCPSQAPRKRRKFLVIPIVVSLVATVLLVLLFYKLLALCKKIKTMMPSTTSMEGHPLISYSKLARATNSFSETNLLGSGSFGTVYRGELNNQAGQSKDIIAVKVLKLQTPGALKSFRAECEALRSLRHRNLVKIITACSSIDSTGNDFKAIVFDFMPNGSLEDWLHPHTDNPEYLNLLERVSILLDVAHALDYLHCHGPTPVVHCDLKPSNVLLDADMIAHVGDFGLAKILVDGNPSLQQSRSSVGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLETITGKRPTDNKFTQGLSLREYVELGLHGKLMEVVDTQLLGLENELHAADDSSYRGTIDCLVSLLRLGLSCSQEMPSNRMSTGDITKELNAIKQSLL >OB11G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12383411:12384209:1 gene:OB11G23230 transcript:OB11G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGARNMLSKSGDIYSSGILVLETITGNRTDSKFRQGLSLHECVELALHNNMTDVVDSWLLDRKYLQTNYDFSIKRKIDCLMLLLRLGMSSSQERALSRLPSGDIIKELVAIKQSL >OB11G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12396691:12400347:1 gene:OB11G23240 transcript:OB11G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVAFLCFLLLSLSSQALASSPPPTMSSNTTTVAADELALLSFKSMLSSPATSPLASWNASTPYCSWPGVACSRRHPERVTALRVGSFNLSGQISPVLANLSFLRELDLSENQLTGEVPPELGRLGRLESLNLAANTLQGTLPMAIGNCTNLVVLDLSSNQLHGDIPSTVGAKMENLYVLDLRRNGFSGEIPPSLAGLPSLEFLLLYSNRLSGEVPAALSNLSSSLTHLDLDTNTLSGAIPSSLGSLSSLIWLTVANNNLSGTIPASIWKNMSSLWGLHVQQNSLAGEIPPGAFSGMPELRSVAMDNNRFHGRLPASLANASSVSSLQLGFNPFCGAIPPELGTLARLKRLLLAYTLLEAKEPRDWGFMTALTNCSHLEILELAAGKFGGVLPESVSNLSASLQTLSLQYNTISGSIPRDIGNIISLESLTLDDNSFTGTLPSSLGRSQNLNTLSVPKNKISGLIPLAIGNLTELSSLELQGNAFSGEIPSTIANLTNLLTLNLARNNFTGSIPRSLFNIISLSKILDLSHNNLEGSIPQEIGHLINLVEFHAESNKLSGEIPPSLGECQLLQNFYLQNNFINGTIPSVLSQLKGLENLDLSNNKLSGQIPSLLGNISMLSYLNLSFNNFVGEVPIFGVFSNITTISIQGNDKLCGGIPTLHLPPCSSGLREKRHKFLVIPIVVISLVAILVIFSLLYKYLSRRKKNKTQTPSTTSMEGHPLISFSQLARATEWFSTTNLLGSGTFGSVYKGKLVSQTDESAEYIAVKVLKLQTPGALKSFVAECEALRNLRHRNLVKIITACSSIDARGYDFKAIVFDFMPNGSLEDWLHPEPVDQTAMKCLGLLQRVTILLDVAYALDYLHCHGPAPVVHCDIKSSNVLLDADMVAHVGDFGLAKILTKGCSSPQQSTSSLGFRGTIGYAAPEYGAGNMVSTHGDIYSYGILVLETLTGKRPTDSRFRQGLSLRVYVEQALHDKVIDIIDWELTSELEGERETMDDFSYKRKIDCLISLIRLGISCSHELPLSRMQSTDIVNELQSIKESLLREYSIGDGSYINVTSE >OB11G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12406029:12406190:1 gene:OB11G23250 transcript:OB11G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHFLISSYFVLLFRLCIKVSSLKVNIVQSSCSFYSQKYSAWCSSFIHKLGR >OB11G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12408293:12408653:-1 gene:OB11G23260 transcript:OB11G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLTEEEWKTGAGTGSGRGCAADCGGYGNRYEYLGYGNKYELSRIRIRIGCNRTRI >OB11G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12417051:12420696:1 gene:OB11G23270 transcript:OB11G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVIPLSFCFLSLLFCCYALNSPLFCCYASSSSNTTADELALLSFKSMLSSSSEGKLESCNASSHFCSWAGVSCSRRHPGRVVSLLMNSFSLSGHISPSLGNLSFLRKLDLGGNLLVGEIPPELGRLSRLLSLNLSENALQGTIPATIPGGCTNLTWLDLLRGTIPSQIGTSMKKLATLSLWKNNLSGEIPLSLAELPSIRTLFLDSNMLSGEIPPALGNLTTVRRLYVEKNMLSGQIPSTLGQLPNLRELQVALNKLTGTIPNSIWNISSLGVLDVQYNMLNGTIPPNAFSALPHIQVVLMNKNMFHGYFPVSLTNASNMSIIQLDGNFFSGVVSPEIGRLQKLKYLVLFYNLFEAKGPEDWEFITRLTNCSQLEELELSGNNFGGVLPDSISNLSTSLNSLELGHNKISGSIPKEISQLINLQILDISNNSFIGTLPSSLGRLKNLAILSVTHNNLNGLVPLTLENLTELTYLWLDINAFSGRIPSTLGNLTNLFSLSLSTNNFSGPIPSNLFNIQTLSTMFDLSHNNLEGIIPQEIGNLKNLIDFRAESNKLSGEIPSTLGECQLLQNLYLQNNFLHGTIPSDLSELKGLQNLDLSNNNLLGPIPKFLGNITMLNSLNLSFNNFVGEVPTLGIFTNASKISIEGNDKLCGGIPNLHLPPCSSQLPKKKHKFLVVPVLISIIGTLVILALLYKLLTWNKKSKENIPSTISMHGHPVVSYSQLVTATDNFLTNNLLGSGSFGSVYKGELDDHAGESINLVAVKVLKLQTPKALKSFIIECEALRNIRHRNLVKIVTVCSSIDNNGNDFKAIVYDFMPNGSLDGWLHPSTNDQPEHEHLNLLQRVTILLDVAYALDYLHCHGSAPVVHCDIKLSNVLLDADMVAHVGDFGLARILLDGGSCLGESTSSMGFRGTIGYAAPEYGAGNMVSTSGDIYSYGILVLQTVTGHRPTDSKFRQGLNLRECVELALHNSAIDIVDSSLFLDLENDLQRNDDSSRKRKIDCLICLLRLGMSCSQEMPSSRMSTGYIIKELLPIKQSLLGEF >OB11G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12423169:12423396:1 gene:OB11G23280 transcript:OB11G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLLSSWNTSGQHCSWPGVDVCRRRSKHPDRVAALRLASLNLSALIPPSLGDLFFLRELSLSGNYLSGKIPAAEP >OB11G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12440806:12441009:-1 gene:OB11G23290 transcript:OB11G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPTRQDQAQPTVLLLRPPRPLISAEARRRRPSTDRSPDQREEVEEKATTQPPPTTRRERERWRRDAG >OB11G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12442097:12445138:1 gene:OB11G23300 transcript:OB11G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVHSTTSSTNTPRAAAQRKNAAASGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSVAVASADGSVSCESPRSITNLAKLTESAENNKSRVTARKPAQRSVTRTPQESATAKTETKPLGTKQKTSNTKPKAPRAKVEQLQENPVEIPPAEPSGPEGVTVEHGVEDATAPDRAATLVASSEVPVQG >OB11G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12449306:12459091:1 gene:OB11G23310 transcript:OB11G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C1 [Source:Projected from Arabidopsis thaliana (AT5G22010) TAIR;Acc:AT5G22010] MSSDIRKWFMKAQDKNGGAAKPAGVGGAAAAAPAAKKPVLSIPEKPAPSLAPCDQDCSARRKTSKYFASKTEEEDASAGNGAGRGLSKRKLQKGSDELEDDMKPLRATEQEDDDDFMAPSKRKTPVKQPPSKKLKGASNAETHAKTVGLDNDDKEDKMDEDAKTPSKAAGSGRGRGRGRGRGGRGAGAAHGKAVGLDDDGEEDRMDEDAKTPSKSTGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKSTVAKHQSDNNSEKQQKSPIKSCPVKVERKDANQITTGKNISPKSNKERVSTDNQKAKIIDRGSMQWTEKYRPKVPNDIVGNQSMVKQLHDWLKGWDDQFLHSGQKGKGKKQSDGGSKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIERGVGGSTSNSIKELISNVTLNYSNNRSKQPKAVLVMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLSSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPITVGKDDSGVKRMNFLARAAESIADGDIVNVQIRRYRQWQLSQAACLSSSIVPAALMHGNREILEAGERNFNRFGGWLGKYSTTNKNKRLLEDAHSHILASQQANLDRESLRLDYLTLLLQQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVEESVPDENGVASAEGDDEDSSDAENNDELVPGDRKPKLDLQSDKKKGIQVQLDLKSNGTGSNSKKAPAGRSRAPASAGKAAGGSGGKRKR >OB11G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12459199:12459558:-1 gene:OB11G23320 transcript:OB11G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMNATRYRRAQNMKQALQFHRAEANNKSIRSHTTCTLNRTVCTAFGSLCKAGNWKKASKVKLVQVGGDKSHKILEDLKQSYQLPTTAYKDVGAYVQAKKEWTSVCSSISEDDMIRCKL >OB11G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12464812:12467241:1 gene:OB11G23330 transcript:OB11G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPRRPTVVVLLLGLALAFCLAVLSIQSSLFTASSERALPPAPPRRRKRAANRVDLDSDEVRALSGFQSRVQQCVASRGLGLTADIIDHCKLALKFPKGTNSTWYNTQFKYFEPLEYKYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEDLLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNEKYAKFVGLKRDFRLVVRGAARNMAAILKGSCNALMRFLLLKV >OB11G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12468920:12472664:-1 gene:OB11G23340 transcript:OB11G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDEKAKMESLSSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEGVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSISSSSVQAEGDSESSPIHLAGELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGNNSRTSDGPATSASEARPSTESSNERVTENNSTTAATSTSNTSPCVTTSGSN >OB11G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12483852:12488988:1 gene:OB11G23350 transcript:OB11G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid isomerase [Source:Projected from Arabidopsis thaliana (AT1G06820) TAIR;Acc:AT1G06820] MAPRCTSWALCSMLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFIAELVNKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEICYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKVEELPEEEKNFQKNYVKASSFLSIHMGVKASVLPADTDCHHFVLEDDWANLEKSYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKDYEKKKELMASEIIKRLEKKLFPGLQDAIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQRSPVLDAGLLGLLRWFRTLA >OB11G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12489570:12491571:-1 gene:OB11G23360 transcript:OB11G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPEWLHRDTIERRRGMSVEQFVSEFEEPNRPVLLEGCLESWPALQKWTRKHLLNVSDGQKFAVGPVSMTLDGYFQYADNVQEERPLYLFDAKFTEKVPEMGRDYEVPVYFREDLFGVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKNWEKRPVECICRTGEIVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTTDRVNLHDKFRNAIDKTYPGMIKQLELEAQQKVAARKKKVSFWESAVDAKTGGFKFSF >OB11G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12504393:12504701:1 gene:OB11G23370 transcript:OB11G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLQLLLLFVPAASVATAHPLRHSAEQIAGAAHGLALAAQPPLCLLDRTPAASPPQPNATSRATGLHAHKDNKKVRLSANESARYSREIDRGNALAPSRK >OB11G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12504603:12507430:-1 gene:OB11G23380 transcript:OB11G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLQLPPSHVAVAGTQEVQTGTTESVLTEDGPDVPLSDNIVLRKLLRIPRYFDPGETILETCFNCGEEGHVAVNCPIEKRKKPCFVCGLFGHNSKQCTQGQDCFICKKGGHIAKECPEKHNRKTQQSTFCLKCGESGHDMFGCANDYPQGDVKEIKCYVCNQKGHLCCADFSDICLKEVSCYNCAQPGHSGLGCAKQCREASTATTPTLCYKCGEEGHFARGCTKSTKSNHMKGESSAHSRKKGKGKNDFGARSAPYDARKTSKRKSPLFEERRNTPHFKSKARGGWIADDADDQPYKKYKPNAWASPSTPKKQYSNHQFSSGGDYSTPQSSRWQRNGFASPSSTYSPNARKHSFSSSRFASNTHVRFGRS >OB11G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12517559:12533449:1 gene:OB11G23390 transcript:OB11G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELAAADADADAAAAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDVFVVGAYKWRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPGRGYLVDDTIVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDIEDGKYLAPDADRSIRNLYALHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINLGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFSTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHDETQSVGQLREVSNKAHNAELKLFLEVELGLDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFTPNEEIELYEEIKFEPNVMCEHIDKKITFRASQLEDGDIICFQKSHRDTQVRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFCLELSKLHTYDDVVERVAGQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIEDVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFMKDPLQNQQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPNEEFCKWKFAFISMNRPDYLQDSDIVSARFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQNRHTYEKPVRIYN >OB11G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12534280:12536723:1 gene:OB11G23400 transcript:OB11G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAGVFEEYPFFSDIVIPFDLFLGGLGDDDCNVDDQAASGQQKKKSRSLPEPETQKQLSPAQQHGGEERLVMYYRGREFVFDSVQPQKIENIILHLNGEGMIPQSIRPHPTNLVRPIAVPENFDRFAALTRYREKKRNIKFITKADYSARRQVALRMKRSKGKFAPTVGTSEVSLGSGVHRKGITFCTNCGESSDATPMMRHGPNGTKTFCNACGLMWANS >OB11G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12538043:12540154:1 gene:OB11G23410 transcript:OB11G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRIGEAAEEGDEVEAPDEHFLMRFTDVQVLLDEYDTSAHHSNIYKSAVLYNKCELGDDDGDGGDGDDDGDGGDGDDDGSDNDENSLPRLPRLVLRSAKTAMITGDKICKGVQCFKHSFLIITGVTFAGALVSLLLVWRTRSFYKGDIYAKFKVAPAAATATPEAEEKTKKDGAHESN >OB11G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12541375:12542407:-1 gene:OB11G23420 transcript:OB11G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEESQKYKEGKFIFEKSKIVKENW >OB11G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12544653:12547527:1 gene:OB11G23430 transcript:OB11G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRASSADKGVICSSRTNLFPDDGYVDMADEFPLLVDREASDQEDDSDRVYTVDAVHGVPVAEPDDCCYIGTPIGSEASFRGTIGAWAEEEEIQKLSARLQALEADRESMRHAIMSMGSEKAQVLLLKEIAQKLCKEEGAPLQAISLKVQSPPQPVVVAQRKVVKRQSFVKFFVIAAIKWIASVFCWRRKSNRVKYPIGMCGSNVGLMLLLNRFPKQRRRRYLKRN >OB11G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12548319:12548951:-1 gene:OB11G23440 transcript:OB11G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSLDLPQDMLMEIFALLDVPDLVRAGAVCSSWRASYISLCNHGGYKQAQTPCLFYTSESAGENVACLYSLVEKREYKLTMSDPPIRSRYIIGSSHGWIITADERSELHLVNPVTGDQINLPTFTTIEQVKPIYDDAGELHEYEYSWYTGAPFKYPSPSIFSLGLLRKYLFRKAFLSCDPSMGDYFVVLIHNPLEQLSFARAGDDKWT >OB11G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12550352:12550684:1 gene:OB11G23450 transcript:OB11G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHLLAAVCPASPLLIEHCILNSPSPVAALPAATVQLKWRTLPASFCGEPRAAAGGTTAGEVAIEPLDQARFGWVYVAMIAATRAAGGLHRGARRAAPPRRSPRPLQ >OB11G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12558841:12560449:1 gene:OB11G23460 transcript:OB11G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIACISGPSGSGKTELVLEYAHRHAMEYKKVLWVCGEARYLRMGYLKLADQLGLAVGDDLSLIAAGDRSSSGRKEWVFRGIEGDAIAKIKKELSREIPYLLVIDNLESETDWWDSRDVHELLPGVVAGAAARSHVIITTTRLHRLQRVRTFSLAPSLAAEEAMLLMTNKGALEFCGEDAIALRSIEQKVRGVPLALALVGAILSELAVEPAELRRAMNDASYRAPTWEEADEPALRDNPGLVQLLDVCFALLDEEKDGLGAAAARMVETSGFFGPSPIPEHAEHAWEACLSVFKFAPAGAIDLPTKELPQFVTRLAVPLTAHGVTAYSSYAAVTDLLVEAADAVRAEEERFVASGSVNLDPPLYHELALSRAELMKMRAKLMLRGGEFTLAENHILTAITILDVVSGDDHPETLAARATLDHILQVQPE >OB11G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12583833:12587003:1 gene:OB11G23470 transcript:OB11G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNREEAFRAREVAVRMMENKDFNGAQRIVLKAQKLFPELDNISQLLNICHVHCAAEARVNGDTDWYGILQVEATADDATIRKQYRKLAFSLHPDKNSFVGSEAAFKLVAEAHSLLCDPTKRRLYDIKRNNIPRRAPKQARNPAKKTEANKYSAPVYGQAFWTICPHCHMQYQYYNNAINTTVCCLNCKRNFFAYNLKEQPVPTPTVPYSSQFPGNMFPNQQRDPVSQQGHPVKLSCTGGNTDVKPGTNSRAGSDTRTIQSEMTKGKVQFPAKNQDKYSAQTANGNMGGCSIPDSAGPDTVDRQKSGTEGASVAPNARNVPCSLKLSSTAGSTDVKRNVAQWNGNAKEDSSTSVEKKANQSMVNQRKSSAQIANENASGRLMPDPADLNAFDGKNFGTEDASTVPNSAVPSSLWRSARRKQDAGDNCSLNSNVKKRQKKNTVLSNVDMNCQQIFNDCATSGDKQSAPPHVSSTVEIQDKSKVTDANGKDSPKAEPIDTVGKNEPSYSVKLSFPDPDFYDFEKLRDINMFAVGQIWALYDNLDGMPRFYARIKHFDASNFKAHLTWLEYKAVSEEEKKWTDEELPVACGKFCLGTTEVSHDRLMFSHIVSWTKGKRRNTYEVYPTKGEVWALYKYWSMQWNSDADSHRSYVYEVVKILSNFSVNDGIAVVPLVRIKGFVSLFATAKDKPTMVVASSELLRFSHSIPCYRTNGNEKVGAPAGFMELDTACLPNDMDIIFPSVPMESYISLGKKEASTNIDLTNDSTSSRMDPGNEKKENISEAHISHLVTTENHESLSPEKDTSLSKNYHSAYGFGNSSEPSCPSPSIYSYPDSEFHNFEEGRRCEKFEPGQIWALYSDTDKFPKFYGWISKVELEPFRVHLIWLEVCPEQEQEKQWLEQDIPVSCGKFKIRNWKTKYDTVDTFSHLVHTGQLGTKWQIEILPRVGEIWSIYMNWTSDCDWTPSTVDTCEFAIGEIVERTEASIKFSFLTQINGYRAVFKPDKRKALLEISTRDRLRFSHRIPSFLLTEQRGGKLRGFYELDPASVPDVFLYRNTP >OB11G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12591030:12591302:-1 gene:OB11G23480 transcript:OB11G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYRPGSEKTQVLLLKEIGRKLCKEEGAPLQAISLKVQSPPQPMVVAQRKVVKRQGFFVKFFVIAAIKVNLRHLVLVGLMPSLIFCRRI >OB11G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12591423:12593576:1 gene:OB11G23490 transcript:OB11G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGILLSRWWVRGVPTSEEAGCSMQFYGRVIVVEGVIFVAVLASLSGGGGGGRQRAIRSHWIQDCESLEQYIVKYKAIRLTEAGLLAVLQAVLLWTKFFMQHCTISEVLPSLSNTFQEETMAGNSLDLPQDMLMEIFALLDVPDLVRAGAVCSSWRASYISLCNHGGYKQAQTPCLLYTSESTGENVACLYSLVEKREYKLTMPDPPIRSRYIIGSSHGWIITADERSELHLVNPVTGDQISLPTVTTIEQVKPIYDDAGELHEYEYSWYAGHPFKYPSPSIFSLGLLRKYLFRKAFLSCDPSMGDYFVVLIHNPIEQLSFARAGDDKWTWLPPHTDCCDCLFEDGLLYVLNSFGEVHAFDLSGPTVSQKLVVGKVNTYPEENLYFARAPCGDLLQIWRSTTDNFVDCVDQTDEDILQFELDKDGDDLEQEPDREYCRAGDGLKSESDEDDDNSELELNSDSRVQYTNVIQVFKVDFSAKKLVEINNIGDNVLFVGHNQSLCLNANAYPQLKPNHVYFTDDDGLYLFGCKQNRRDIGVLNLEDESIDQIASTELWSNWPAPVWVIPNLRKMISASHI >OB11G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12596861:12603543:-1 gene:OB11G23500 transcript:OB11G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPPPQYGLESLDRRNVVLSDGTVRTSFALPLEPPPQLRQPLPLPLPPFPTRQLGPPVRMPVPERWPPMPAPAAVLPMLAPKRKWENQANGSALGESSGRQQKPEEKRIVKQAKVETPEVDAKELKNSFLKMVKLMNENVEQKKNYRANGKISQLKCTVCGRDSIDLHSLLNHSYHTKSAEHRADHLGLHKALCVLMGWNYSVDPVHKKAYQTLSTADAEANQGDLILWPPTVIIENTFKSKNDGQKDGMSNKEMEGRLRGMGFGGANVRPLFGKDGQRSMVVKFASSLAGLKEAERLAQFFQNQSHGRAEWYRVRSIPPGADSGSNPSLVKVDAKGERTWVLYGYLATAWDLDVLDMESKQNAVIKSRKELDLSE >OB11G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12612359:12614180:1 gene:OB11G23510 transcript:OB11G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit [Source:UniProtKB/TrEMBL;Acc:J3N965] GGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMLEGIIATSYSEGDKFYIDPMKLLPLARFLPQPKGQSQGGGRGGGRGGGGRGGGRGRGSFRGRGAPRGRGGPPRGGGRGFRGRGRF >OB11G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12615377:12618011:-1 gene:OB11G23520 transcript:OB11G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQGVQNCSKFGDFVDKTYGASSRSAADIELHNTCGTSEAMYHRAAGFCRSDVLLDEESFASAFDTSLSLRNHPVDNLNNACNVTTDGIYPSGPMRPTSFQSCDPLLVQDESTCLQFETGSGDHQERAQYLGNLPLSYGMNDMGEQSQMYAHYQQVASNFMLQHDMDVRNHSSLQPSYVYPQLYATGSNVRSNQQSAVCRSARGRSTYGHQVVLDGSALQNRNNHWNSMFMDSYPFVSSDYHRTLEAEKFAHPYGLNSSSRGLLQSQFDDLSTMKLLMKSAAGINPVRTIKYSPPLNGYSYSGMGRRINGYGEALHLNGLNSRFISFESDHDLALKTAQLNFSSVDEVAGRIYMFAKDQNGCRFLQKVFTEGTKEDVEKIISEIIDHITELMMDQFGNYMVQKLLEECSDDQRKHIICEITRVPGDLITVACNMHGTRAVQKLIDTINTPEQISKVVSALSPGAMRLMTDANGSHVAQHCLKKLLPEYKAFLLDAATPRCVRLAKDQHGCCIIQKCIEHSNDDQKYFLLRNITSNALNLSEDQYGNYVIQFVVNLGVEWATSEIVNELEGNFGFLSTQKCGSHVVENCLRQVPQHDQKRIIHELMVDPKLPQIMSDPYGNFVIQTALKECKGDLHSAFVEAIRPHAPALQNNMYAKRVLSKTCLKNKQYRHFFL >OB11G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12635587:12637855:1 gene:OB11G23530 transcript:OB11G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRSAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQIGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPAAPDEEKAGIVASLCKPLCGALMGNQDGAASGAALCLKALVESTNWRFAAGETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSALQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISTELSKVVDVMEQCQNDRMPFVRGAAFEASQTAKGIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNGKGSPANSRMAASPVQFQSPESHVVDSSIMCDSTITESPVSIGQSSCNFDQNRRANRRLWSNDGVDVSLKDGLFFRICSDGKYLEDDLDEVCDSEVTDTNFECTNSFTGFLSASPNGATSKDKTPSPQASERPISINDVKVYTTPRKLLRSLQSSYDFDSARNEEQSIVKLNSLSSPSGEYKEIDESSEEMQSQLSDSKIEEGNDENETIDAQSADDMALSNEGKSVLYTADAEDTSCKASSEGEHNEQDVCVTRSTGKTKKYKAILSFLLSMIMIFLAIVAVLIRIESYDDYVGLVPT >OB11G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12651901:12652713:1 gene:OB11G23540 transcript:OB11G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCMADGAGMIQFIKAVADLASGKQDPAVHPVWQRELLMARCPPSSARVSAKLDRVILKRGDMPAMADGGKNGGVKMESQYFIFGPQDISALRDHIVVDPDDGCDDDGDHPDLGRRRRRRSCTTAFELLTAVIWRCRTVALGYAAGQRSRLAFVANAPRKAARVPDGYYGNALVLHVVDAAAGGAVRPRRARARPHGRADTRRQAGDDGRAPAVHRRLHRVAARLRGSADVRPGDVLRVRRHEPRGGQSGPRVGGVGRRRESDAVVAGQ >OB11G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12657250:12657689:-1 gene:OB11G23550 transcript:OB11G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSPGYDVNVTHALEIPVNCGLVAPPIELCDMQGLVLPPYEPSSPQPPAGKPTAQSPVAAPSLPQAPMPSSQPSFTSPPPMPSPLPPTSHGAPGAAVGTCIVAFAVTVALLALIS >OB11G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12660012:12661305:1 gene:OB11G23560 transcript:OB11G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAKRHRCSPPELNDDVIREILLRLPPDDPALLVRCSLVCKSWRRLLSSDPVFLRSYRDFHRTPPLLGFLFNQFGDEPDVACFAPTSSFRLPNPHHRDWYALDARHGLVLFTTTLSHDAEAVSEHELVVWDPMTGRRWRLEFPDFVDNFNWCGSVFCAADRCDHRDCHGVPFLVAVASNTRYFYTTATIYSSETGAWGSKIKHGSADPADAVRMGKPGVWVGNALYFLCVRSARILECDMGGRQPLAGVAPAPPRAGPVNPPLLNSHGGGPRFAFARQSMLYLWSREAGADGAMAWSPLRGINLEPLLAVLRRPDDHHRTTPNLIFADGVRVVLAEIGGAIFTVELSSRRGKKVYSRDDIHTVFPYTSFYTPRGMKTSIDPLQIYNVRGAAVSFLERMFSWSDFSLTIQDMSRSVLYVLSFSVQFKQ >OB11G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12664102:12671084:1 gene:OB11G23570 transcript:OB11G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:J3N971] MEVSISGGEGERERSCHATQVVGADGEMDGEAMAWFGPGSGLLGRGLSYAVVSIVGPQGSGKSTLLNHLFGTSFTEMDALKGRSQTTKGIWVAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSSLFALAVSDIVMINLWCHDIGREHAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPLDYLSQALKEDIQKIWDAVRKPEVYKEAALSEFFNVEVTALSSYEEKEELFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKFKHFMSDKGWLELEAAVNSDLVPGFGKKLNSILDFYLSEYDTEAMYFDEDVRTAKRQELESEILKHTYPAFKKMLEYLHHVVLNKFKSDLEQSLKSSEGFAASARYCAQSSMEKFDAGLRDALVKHAEWDTTKVRSKLEQHIKAHATSVRGTKLAELKANYEKKLLDALAGPIQSILETAERDSWACIRRLYRRETENAILAFSASLSEFELDQTTTQKMMMDLREHARGIVEEKAREEAGNVLMRMRERFSTVLSRDKDSMPRTWKGNEDIRAITREARIAALRLLSVMAAIRLDDKPDKIDRALITALVDGGPLSQKRSIEFTSDPLASSTWEEVPPKNTLITPVQCKSIWRQFNAETEYAVAQAISMQEAHRRSNNWLPPAWTIMLLAILGYNEFMFLLRNPLYLLGLFIAFVVSYALWLQYDITAYFRHGTLSGLLTITSGFLPTLMDIITAVINMSHNHKSSSHPPRHRPPLHPQSFKNQAEQQAQVQYQVPDSLSSYSSVDSNSDDEC >OB11G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12671096:12671678:1 gene:OB11G23580 transcript:OB11G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRSIDSISTAMARSSSPLLLVLAVLAAAAAAATGAEYCRDSLSGLRACEDFMFRGARDASPECCAAYSGAFDADPFCLCYIANGVYGRSTGYDVNVTHALEIPVNCGLVAPPPAAKPTAQSPVAAPSLPQAPMPSSQPSFTSPPPMPSSQPSFTSPSPMPSQLPPTSHGAAGAAVGSCIVAFAVAISLLALIS >OB11G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12674568:12675875:-1 gene:OB11G23590 transcript:OB11G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKWKGGGVVWFLLLAGLLLLTVAAVAAAEDETAMEAGGRRDLQEKLRWCKRQCRWEAGQDTRQRRECEEQCERQQQGDDDDAAAAGENTNGAGKECRRECRAYRDEPWRKQECVRQCEWRHHERQHHGGGGGDCREQCEHQQDWWEKQRCLMDCRRRRQEQEEEEYGSGSVNHHGGEHCYTQCRHHHDQWKRQQCIEKCRYHQGQQDDVEVEDDSYGGDRCRMQCQHHHDQWKKQQCMQDCRRHHHGQEDDVEEDDNHGGHGDQYCRKQCQHHHDQWKKQQCMQDCRRWRKEQEEDDGVEEEDNHGGHGDQYCHKQCQHHRDPWKKHQCVEECRQRRQEDDGVEDHKHGGRHGDQYCHKQCQHHPDPWKKQQCIQDCRHRRQEDDDGHGGDDYCRRQCQHHRHQHERQQQCMRDCHERHGWETVADEAILQAV >OB11G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12676230:12678079:1 gene:OB11G23600 transcript:OB11G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCVRGAELTWGRDDELDMQPGPSGIGLIFPGPSGIGLPFLGRLLSFARVGDDEWTWIPPHTFYDDCIYVDGHLYACTEGEVHVFDLSGPVVTMKTIIGKAPHYSFCDKMYIVRAPWGGLLNVWRLYGNNDDPDDPDARNTGEIKIFSIDATEKKRVEIKNLDGHALFLGLNQSLCLSTKEYRSLKENYTYFADDNDLWLFGFRENRRDIGLFDLKTNSREELVAPQLWSNFPAPVWITPSFAKILPV >OB11G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12679928:12681137:1 gene:OB11G23610 transcript:OB11G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYTKATMAAIAAIVLVAAAAAEGGGEAAETCVESLLELSPCLPFFKDAAATAAPEGCCDGLRSLVEGQAVCLCHIVNHTLQRAIGVDIPVDRAFTLLRDICALSPPADIIASCANNKGGVPPLYSCPAPSA >OB11G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12683371:12685229:1 gene:OB11G23620 transcript:OB11G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRDLVAWGVMLDCYCQTRNYEEALLLFNGMKRSRVVPDKIILVTALSTCAHTRQLRFGKAIHSYMVVSDILIDSQVSCALINMYASCADVEMAEKLYGRVSEKDLVLSTAMVYGYAKNGKVEIARSIFNGMPEKDVVSWSAMISGYAESSKPIEALNLFHDMQGNGIKPDEITMLSVISACANAGALDKARWIHSFVENHGLCKMIPIGNALIDMFSKCGNLILALDVFNAMPRKNIVTWTSMITASAMHGDGRFALTLFENMISEGIQPNGVTFLGLLSACCHAGLVEEGRLLFKIMVQQYRIEPRHEHYGCMVDLLGRAKLLQQAAELIELMHLRPNVVIWGSLLAACRMYGDLELGAFAAKKILELDPNHSGAHVLLSNIYAECGSWNNVKEVRGVMEVQGTRKETGCSWMEPNGAVHQFAVGGENHSGNDRILLKLDDITAG >OB11G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12688258:12688821:1 gene:OB11G23630 transcript:OB11G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVTANPLPELPQDMLVEIFSLLEIPDLVRAGSVCNSWRSAYNETRSLGIYKLSQTPCLLYTSESAGDSAVCLYSLVEKRQYMFTLPDPPVRSRFLIGSSLGWLITVDASSEMHLVNPITGQQIALPSVATIEHIEPIFNESGAIHKYELSWYSGSRVIRTEPSVFTLGELRDYMYYKAFVFFDTS >OB11G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12697129:12699721:-1 gene:OB11G23640 transcript:OB11G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHCHKHNRGPLIACQECPVYVCKARDLELHTHYCHTLPAGIQNILRVQCIELSTKCKKDSTEHDMGWIKQIGFETSELPNEDGHGALPTKHYAPGDESSVASRILNEWSNLYKSV >OB11G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12712046:12714592:1 gene:OB11G23650 transcript:OB11G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPNLPPAGPSASPPSQLSPPHRLWWPSSSPAPPAVVDDVHILRSSSVTGSACFSCLMPSSDFPAPAILRGHRAPSATPSSRRGGRISFRGGGAGEAAARALPEKRVPAMSVSVSGAALQFYKGMPCACSAPSKFAALQKVHRPMASCSISLGDLAKCLQKLWHLLFDVLSKIQALAPSFHEGDQPSMAETVTANPLPELPQDMLVEIFSLLEIPDLVRAGSVCNSWRSAYNETRSLGIYKLSQTPCLLYTSESAGDSAVCLYSLVEKRQYMFTLPDPPVRSRFLIGSSLGWLVTVDASSEMHLVNPITGQQIALPSVATIEHIEPIFNESGAIHKYELSWYSGSRVIRTEPSVFTLGELRDYMYYKAFVFFDTSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMTRMRGILGKLRYLVLMPRRKSAWR >OB11G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12712212:12712553:-1 gene:OB11G23660 transcript:OB11G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTSSPRPTKTLCHASIYARADARPWSPADSTAHSSSSFTIAWIDFKDAAILRLLRAPVPIVLVVHALPPHQLHPPGSLSFLLVCSMVLLMGHGDLAEWRELGNRRMALSN >OB11G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12724426:12727039:1 gene:OB11G23670 transcript:OB11G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDAAGGXXXXXXXXEAGAAGGAVSPAVAARMRLEEKLRGAAAPSSSLSRWGRRFRERDRSATSRQQNNQQEQQIQLPTEPRYLPSPSMSILEAPSTRTTHRRETRRTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTLVPSVDSLFVA >OB11G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12728400:12731194:1 gene:OB11G23680 transcript:OB11G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKSSSRVALLLVLLLQSTAAMLLLLLLLPDGAAAQNGTTRCSEVSCGMGRCSESSDDVLGFACSCNPGWSRYSLADMEFPFLPCVIPNCTINYSCQDGSPPPPPAMPSPTNVSIFDPCLLQYCGDGGSCERSSEFGHRCACRDGFENLLNDTSYPCYQQCSLGLDCSGLGINVFNGLQPGSAPPAPFSLTVKKSGAAGSPRLAAGGGGLVQLLVVLVSSALVQGLW >OB11G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12731881:12734528:-1 gene:OB11G23690 transcript:OB11G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADAQLDPEMGVAGEKVVAAAEAPRKVVQEEDPRLRWAFVRKVYCILALQFAATAAIAVVAWVVRPIPLFFATGSVAAWLVFVAILLCPLIVMWPMLKYRERHPVNLLLLGLFTLCESVTIAVCSSTFFGKVILQATILTAVAVIGLTIFTFWAAHRGHDFSFMDPFLTTSIFVLLAYLIIQICFPLGSAGMTIYGLIATMVFSAFVIFDTHQLIKRHTYNEYVVAAISLYLDVINLFMAQLSLSA >OB11G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12739582:12748202:-1 gene:OB11G23700 transcript:OB11G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVDVSCGCRSRSWRGRRRWSLSFAVAVVVVFAAAAEQPVIKDARGLAWRITATETAAVVVVSGAGAAVRKMKRQDVK >OB11G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12745502:12746455:1 gene:OB11G23710 transcript:OB11G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMAGRLTGGERRRLWSRHEELVAALAAPHLRVVLPLGADARLDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDPGPISCRRRSRKTPAAVSSSESDAALVLVISDDDEPSSLLTCAAGRTRVGLSAAATVAHILFTDTYELIRTLLKV >OB11G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12759183:12765025:1 gene:OB11G23720 transcript:OB11G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family [Source:Projected from Arabidopsis thaliana (AT2G20060) TAIR;Acc:AT2G20060] MAGPTHTLSLSQHLHLHLHLHLFSCAALALQQMRPYDRGGILVQNLPAVCFNGYSTLLAPANEVLIPQELLSSKTVWTPDRELGQYEDLVSRVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNIVQYIRQMEDTKKVLLVDGGDIDTKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAINRIVERMHTPISR >OB11G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12775381:12780124:1 gene:OB11G23730 transcript:OB11G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSSSSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTESHEISYDDKSDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSAEVILEMLDFANKFCCNGLKDACERKLASFVCSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMVGNASFSLYCLLSEVSMSINATSDVTMTFLEKLVESASDSRQQQLALHQSACTRLLRKDYTEAERLFNAAFTTGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGEISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >OB11G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12781074:12781280:-1 gene:OB11G23740 transcript:OB11G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWQKAKLCHVYLDGYTYHLPKPNFRYDVMEIFLYNMIFFIGDKSSSVVGIVRQTKKKDLHEAKRSLI >OB11G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12782756:12797094:1 gene:OB11G23750 transcript:OB11G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVHSGRLRAALVFVVVAALLLLLVFVAPRGCAGESATCLAVYREGGAPAFVSNALIFTLFICKLIRAILPKKNKNAEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDHSNHGKGSCWTSPVILDRSFDMEVLKESLLRAVHDVDLTFSKEGKRRRRNSNNRDDFALANYDGPFYIVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVISTPELTGWESLSANDTFLIASSDGVFEKMTMQDVCDLMLHVKLGANQELGSFAITQQNLADYVVDLALEKGTTDNVAAVVVPLGSHYSSKVTLEDLFRLEQNSRTSILSLQTIPYQQKSDDGASSALIDMEYYKRSSAKFHRFLVEAKKKRLGCFYLSESLDEDMDYIFRIPEAYQRERVNDFDHMPAETVLSSDGNLDKYKDRNFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPNSSSSFGYKIADFRYKLKKRFDRGSYGEVWLAYHWNCSWDVDVHEDHSHFSTILKPDSYNCTNSNTTSPDENHKSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEVEALSRAESSTAFSVDTQPNPHIFTESNMSFTEEPLKHVARYIESFESESKELWLVYRNEGRSLSKLIYTAEETKLVTGRNNERVRHIQVLQPSKWWYWLRTTKVGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDPETGKCLRDVPSEAKHNKLNMRLIDFGSAIDDFTVKHLYDSGPTRSEQTFEYTPPEGLLNSSWFQGSKSARLKYDIWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRLASWKCSEESFAHQVKIRDPLKMGFSNIWALRLARQLLVWYPEDRLSVDEVLAHPYFQEPP >OB11G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12797553:12798564:-1 gene:OB11G23760 transcript:OB11G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHLSVQQISDFREAFSLFDKNNDGCISREELATVLTRLGMAPSQEDLQDMIVAVDEDGNGTIEFDEFLAIMKKKLYENGKDDDEEELRKAFRIFDKDGNGFISRNELRMVMASLGEEMSEDEIDDMMKAADTNNDGQVDYDEFKRVMMGT >OB11G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12799192:12802037:-1 gene:OB11G23770 transcript:OB11G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADESIMADTGDSSPFKQSSSKLGTPGPDFWSWLPPVENSAKLGEIDTGLKPSKKMDSFSSQPDLLMEKEQSADILSLPFETTFFKKEDRSLPPFQSFAEPENVESEPNLTADAEETFEEQFSKNAAEAARALSASDEKSSHGVHPDGSLWWKETGVEQRSDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGKNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGCGGSVKYTDKWAERSEGDGWSKWGDKWDEHFDPNAHGVKQGETWWEGKYGDRWNRTWGEHHNGTGWVHKYGRSSSGEHWDTHAPQDTWYERYPHFGFEHCFNNSVQLRSVKRQSSRNIKPEKD >OB11G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12811365:12811754:-1 gene:OB11G23780 transcript:OB11G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTALSAGSLLLFASLLAMAYLKLLAGAACVLVLTIYLCRFLLRRRIFSDDHHNHLRFRLKVGIGFMYISLSAILFYLSASVMALPPWGAAAMWGMALVAVELVYAFFFPYSCICIGDDADETPPLSV >OB11G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12814897:12815535:-1 gene:OB11G23790 transcript:OB11G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIATYGRSHKRRPQGQRSSIMLYLKQLAGAACVLVLTIYLCRFLLLRRVFSDDHKLRFRLKVGIGFLYISLSAVLFYLSAAVMALPPWGAVAMWGMVVVAVELAYAFFFPYSCICIGDDADKTPPLSV >OB11G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12822380:12824856:1 gene:OB11G23800 transcript:OB11G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 [Source:Projected from Arabidopsis thaliana (AT3G22950) TAIR;Acc:AT3G22950] MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >OB11G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12828422:12834185:1 gene:OB11G23810 transcript:OB11G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALVLHLPRGDLWRPAGATTMKQSYVRRRRSCCPTVRAIMASPQEAPAKEKTAVASTETAMVTTTVTRTAAPATVYRDNWFDKLAIGYLSRNLQEASGLKNEKDGYESLIDAALAISRIFSLDKQSEIVTRALEIAFPSYILTMRRDGFFIIISYLNFDWDFCLQIKVMMPPSRLSREYFAAFTTIFFPWLVGPCEVMESEVDGRKEKNVVYIPKCRFLESTNCVGMCTNLCKIPCEKFIQDSLGMNVYMSPNFEDMSCEMIFGQQPPEDDPAFKQPCLRTKCIAQQNHGVNCST >OB11G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12836328:12838352:-1 gene:OB11G23820 transcript:OB11G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAYRYQALKEALAAAISGRGDLRRPHALAVVSGLASNGYLASLLVSRYFRLGDAGAARKGFDAAARLPSTCSAAVAPPPKTLLYNAMLRGYLAHGLPRMAVGVFAEMGACPPDRHTYHLAVEACARASEFEVGRRVGADAAAKGFASDLLVATALIGMHAEDGDIGAARVVFDEMPRRDAVAWNAVIAGYARGGNLSEAVELFIRMRSVDGVLPTEATLVSLVSGYASFGSSKGHGMMHAIVIKYGFQLNLFVCNALLDLYVAFGCLRESVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFHWMLSNSTILVTRSILLNVIMACADLGEWREGRWVEERYVCCNSFEFKKDPSVVTALIYMYAKCGILDSSISLIYRVGEVRDDVIAWNAMIKGFGEFGLVEKAVGFVVEMQKIGVDPDAITYLEIIPMISSIPSLKTGMEMHAQIVRRGFLNERAIANSLISMYGRCGSLKHSIEVFSMIADKDVISWTSMMQVYAWNGHGNEVVKLYEMMKAETEPNHYTFLAVLSACKNTGLVDEGMNLIKCMQEQCGLKPAIEHISCIVDILCRAGRLTDAYHLIKYNSSEHINNEILWGTLLSASHSCGDLVIGEAAAKHLLSLDPENRVNSKMLADIYVLLGRRDDADHLLRVSMSRGLDLRPGCSWTEGV >OB11G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12844218:12847184:-1 gene:OB11G23830 transcript:OB11G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDEEERRMHQGCMAGFLHLFDRPQILSGKRLPHQPRRLLSSSSVAGTGRWWWYGTARRHPPWKISMEDLAQLSSSQFKLCTFPSPGFQGGERSEMSPSRSGYCDKIAEI >OB11G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12844351:12844593:1 gene:OB11G23840 transcript:OB11G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFHGGWRRAVPYHHHLPVPATCTAPQIMAHSSDCTNSIQEESTDVNHATICNSRSSPFFVCLVVLLSSCTCPHGRNFK >OB11G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12865345:12865596:1 gene:OB11G23850 transcript:OB11G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRNKEAACPLARLSVYRVPKSVCDDDERAYMPQVLSIGPLHRGRRRPREMELHKWWTLHHVLKRTGHDVTAYLNTLCCDR >OB11G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12874378:12875038:-1 gene:OB11G23860 transcript:OB11G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPATASGRAKWRVARHTRESCTKVVANTLCTLLLVVLLVAGVVLFVVWLGLRPHRPRFSLVSFAVVALPPGDAAAQQQQQVAFNVSDRNPNRHIGILYDATQASVHYDNGGVLVAGGPAFASAWYQPNKTTTFIAGLLDVVGPRATDAAWPSFQAELHAGRLPLRLQLTTAIRFRLTGGFGALGFQSGRRRMHVDCHMLVGSDGELLPESVGAACDRYF >OB11G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12875788:12880646:-1 gene:OB11G23870 transcript:OB11G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGKGEVADGFYQIRSDCTNKVPETKFKIKVGKTLSVRKWHAAFTPEGRLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIRQKRRIQYAIWKEECKDMDSHVGSGKIITAPIITEDGKPIMDPLVLLEATSDQHTKQGSSSSSGNENEVTRSVNCVVDKQTIEWKLLLHQIGLDVLRTDRSMVFYEKKENLSKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLENLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDMFSTYEHIGAATGVTPGYKPKVKSMRQFGKYERENMKNGASENDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAIALKLHRKYLKKIQGKKP >OB11G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12881227:12891485:-1 gene:OB11G23880 transcript:OB11G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPETTMAVAPSGSRRSMSWGSSISQSFRQVEAEDPFGRAQSQQGHDDDEENLRWAALEKLPTYDRMRRGVIQSALLHGGHDDGNAAVAAAAAGGKMELVDIQKVAAGNLGRALLDRVFQDDSERFLRRLRDRLDMVGIELPTIEVRYEQLSIQADVFVGSRALPTLTNAATNVFQGLIGRFGSSNKRNINILQQVSGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKNLKVSGDITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHQTNIITDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKFIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYRDHEQYRYVSVPEFADRFKSFHVGQKMQKELQIAYDKSKTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILGFMCMTVFLRTKMPSGTIADSTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGLANIVLKIPVSLVEAAVWVVLTYYEMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLIPRNDIKPWWIWGYWASPMMYSQQAISVNEFLASRWALPNTDATIDAPTVGKAILKSKDLFTTDAGFWISIGALIGFLVLFNILYILALTYLSPGGSSNTVVSDEDSENKIDMKAKNEQQMSQIVHNNGADNASATSSIPMNGSRSTNRQIRSQIVLPFQPLSLCFNHVNYYVDMPAEMREQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGAIEGEIILSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVYTNTRKMFVDEVMSLVELDVLRNAMVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFETIPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYASSELYKKNQELIKELSTPPPGYQDLSFPTKYSQNFYNQWIANFWKQYHSYWKNPPYNAMRYLMTMLNGLVFGTVFWQKGTKISSQQDLSNLLGATYAATFFLGAANCITVQPIVSIERTVFYRERAAGMYSPLSYAFAQACVEVIYNILQGILYTVVIYATIGYEWKVDKFFYFMFFIVASFNYFTLFGMMLVALTPSAMLANILISFVLPLWNLFAGFILVRPLIPIWWRWYYWANPVSWTIYGVVTSQFGKNGDLLSIPGGNSKVVKQFLEENLGMRHSFLGYVVLAHFGYIIVFFFIFGYSIKYLNFQKR >OB11G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12907144:12910975:1 gene:OB11G23890 transcript:OB11G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPLLKVYGDRRSQPTRAIIIFCRVNRIDFEEVKVDLFKREHLSPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKIEAVLDWHHTNLRRGAATYVLNTVLAPSLGLPSSPEAAKEAEKLLFRSLRLIESMWLKGDAKFLMGNPQLSIADLSLVCEIMQLEVLGDTERDRILGPHEKIRSWIQNVKKATSPHFEEVHELILKAKEHTAAKLRSGPSIDLKAASKL >OB11G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12912681:12916126:1 gene:OB11G23900 transcript:OB11G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIRTVLPKLGELLKEEYELQKSVKEGIRFLKAELESMQPALKKVSDIPLYQLDEQVWIWARDVRELSYSIEDIIDNFMLQADAVEPTKKYNLTWLINKCHKLSQVMTHRKIGNDIKYVKSKVNEVMERHDRYMIDVIAAKPPMAVDPRILGLYERVTNLVGIDKPRDDLINRLSTGDEASNKLKMISVVGFGGLGKTTLAKAVFDVLKVQFDYAGFVPVGQKPSIRKVLKDILIEFNKHKYMSFDVAALSERDLINELRECLDNKRYLIVIDDIWETSTWKMINCALVDSDCGSKVITTTRISQVAKEVGDVYDMEPLSYDNSKRLFYKRILGVDFEGPTYNQSAIEATKKILKKCGGVPLSIITIASLLVDRPPEDWYVVYNSIGFGPTNDFVGFGPEDQNELVRNTRKILSFSYYDLPSYLKTCMLHLSIYPEDYWIEKDSVIWKWIAEGFVHEEEGKGLFEVGERYFIELANKNMIQPTEQKYAGSKVNGCHIHDMVLDLIRILAKQENFVEVFDRMHERHKSYLLSKTIRRLALHKSWNQYNNFGIGMEQLRSFNAIECPTSMIPPLVSFQVLRVLALENYVANGAYQLKHLGKLLQLRYLGLRKMRVSELPSELGDLLHLQTLDVMDTGLEALPLTLSKLRKLMCLRVDEDTKVLSGVGSLTSLQELFLRELSKDTCPNYCVDLCRLTNLRTLNVSFKTEQESSLINALVESLHSLRKIQNLYIRLNIDSQEAKPVMSIWERWEAPRQLHAFHLSGVRLPRLPAWVNSTHIPRLTDLFLEVVAVEPRDLDVLGRMPMLRFLFMDVGTRFLWTVPAGGGLFPNLRCCSVNMELIFSQGAMPVLKLLHFYVGVLDEAAATANDVGLGSLPLLDTVDIWVMCKGATARQVEEAEVALRQAVDAHPNRPTLRMRRFQEWLMKKGNDDVDDGEISAIDEVDTNNVR >OB11G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12917687:12918235:1 gene:OB11G23910 transcript:OB11G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIEAIGTVLPKLGELLKEYELQKSVKEGKRFLKVELESMQPAFKKVSDISLDQLDEQVWIWARDVRELSYSIEDIIDNFMLQADAVEPIKKYNLTRLINKYHKLSQVMTHRKIGNDIKYVKEVMEQHDRYMTDVAAAKPPMAIDPHILELYERVTNLVGIDKPHNDLINRLSMGDEASK >OB11G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12918242:12920434:1 gene:OB11G23920 transcript:OB11G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVRFGGLGKTTLAKAVFDVLKVQFDYAGFVLVGQKPSITKILKDILIEFNKHKYMSFDAPALSERHLINELRECLDKKRTCMLYLSIYREDHWIEKCSLIWKWIAEGFFHVEEGKGLFEVGERYSIELINKSMIEQTVEKYFGSKVNGCHIHDMVLDLIRILAKQENFVEIFDRTHDDSYLRSETVHRLALHKSWNQYNNLGIGMEQLRSFNAIGCPNRMIPPLVSFQVLRVLALEKCVVNGICQLKHLGKLLQLRLKKLTMLDIHFNIESEGSMNALVESLHSLCKIYNIYIHFNVKGNSLEAQTVMSICGKAGSPRDSFMIFGRMACVCLDCQHG >OB11G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12920542:12920859:-1 gene:OB11G23930 transcript:OB11G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGTVGVGINSSRQHNLHSLDTPNRGTLPHDLNIDDIKQRKVPQANIIYSCSAFTEHAHLKIEPREHWHCSMQKRKLHVGVEASEASKQPTACRDYPRKSPFDIH >OB11G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12928354:12935216:1 gene:OB11G23940 transcript:OB11G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMGTLLPKLGKLLKEEYELQKSAKDGIIFLKTELESMQAALEKVSNVPLDHLDEQTKLWARDVREISYNIEDDIDTYMVRVDGLESRKKHNFTWLIDRCHKSLSKVKIRHKIANDIKDHKSQIMEVMERRNRYKIDEVGARLSTGIDPRIGTLFEKAENLIGINKARDDLIHKLSVRNVECTKLKIVSIVGFGGLGKTTLAKAMYDVLQKEFEISGFVPVGQNPNIKQVFKNILVKLNKPKYIGLNAAAQSEYDLIDELRACLQSTRYLIVIDDVWETSTWKYIEHALLDDSCGSRVIVTTRISEVAKTVGDVYFMKPLSDSNSKQLFYIRIFGSNYKGPTDDVLAEATKIILKKCGGIPLAIITIASLLVDKPVEDWFDVYNSIGFGPDDQNKVVHNTRKIISFSYYDLPSRLKTCLLYLSIYPEDHAIKKDTLIWKWIAEGFVYEEPGKTLFEVGERYYTELINKNMIQPMNNDYIYYYQGGDYCHVHDMVLDLIRILANEENFVKVLDRIHGESISSLDSRTTRRLAQHKNWNEHSLEMATGMEHLRSFNAIECPVSMIPLLATFEVLRVLALEDCDVTGGCDLKHIGNLHQLRYLGLKNTCVAKLPEEIGNLAELQSLDISRTDLVALPATIVKLSKLMRLCVDVIPSILPGIGNLTSLQELRLGVVSDDTWPNFAVELRKLVQLRILNAFFHFQNTNECFVDVLVESLNSLRRIQRLEIAGSLRIVTCRWDGWEPPRKLERFYLGSDFLLPLLPTWMNSMLVPHLTSLDLKLLDMENKDLDILARLPALHILNLQIEGKKLSCTVAGDGLFPKLKLCRTNIALTFLPGAMPMLKDFIFHVTVSWYDATNDIGLGNLPSLNLAWFELHCEDATPRQVIKVERACRRAVDAHPNHPSIKCFRYGEDKMAEDYYFPRVQIFAPKMKQDKHHGEDEEISDTNQEVLHFAFGNDSKL >OB11G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12939319:12945339:1 gene:OB11G23950 transcript:OB11G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLAKLRELLTEEYNLNKNVKNGITFLKAELESMQPALKKISDVPLDQLDEQVMIWARDVRHLSYNIEDIIDTFMQHVDDLEPSKKSRFEWLFKKCRKLSQIKIKHEIANDIKDVKIHVREVMERRDRYKIDGVATNPPMIIDPRILALYENTTNLVGIDKAKDDVIERLTERDDTLKKKLKFISIMGIGGLGKTTLAKVVFDSLKMQFECVGLVTLGQKPDIKKVFKDILIELNKEKYMKLDVAVLSERHLINELREYLHKRRYLIIIDDIWETSTWKMIKCALVDSDCGSRVITTTRISEVAEEVGNFYSMEPLSDDNSKRLFYNRIFGVECKGPEDNQLVEAIEKILKKCGSVPLSIITIASLLVNKPVEVWSDVYNSIGFGPHDQNEAVQNTRKIISFSYYDLPSYLKTCLLHLSIFPEDCWIEKESLIWIWIAEGFVHEEQGNRLYEVGESYFNELINKGMIQPMGCDIYSNTLDGCRVHDMVLDLIRILAARENFVRVLDRVHEVHNMSLQSSMVRRIALHNNRNQDENDNLAISMAHLRSFNAIRCPVSLMPSFTSFQVLRVLVLEHCDLTRSCHLKHLGNLHQLRYLGLRYTRVDKLPWEIGALVQL >OB11G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12965303:12976084:-1 gene:OB11G23960 transcript:OB11G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATDAIGTVLPKLGELLKEEYNLQKSVKEGIRFLKAELKSMQPALTKVSNIPLDQLDEQVKIWARDVMELSYNIEDIIDVFMQHANALETTTKKHNFAWLISKCRVLSQAKIRHKIGCDIKDVKIQVEEVMERRDRYMIDGVSAAPPMMIDPSVLALYEKVTNLVGIDKASDDLIKRLCMGDKACESLKTVSVVGFGGLGKTTLAKEVFEKLKVQFDCAGFVPVGQKPNAKKVLMDILIELNRRKYIEFDATALSERHMIDELRRYLGDKKENQKRYLIVIDDIWETSTWKIIKCGLIDGNCGSRVIATTRITQVAKEVAQEFGEVYNMEPLSHDNSKKLFHCRIFGADCKGSTDINQSFEPTEKILKKCGGVPLSIITMASLLVDKPVADWSTVYDSIGFGTTDQNEAVQNARKILSFSYYDLPSYLKNCMLHLSIYPEDHWINKEALVWKWIAEGFVHEKQGKTLFEVGERYFIELINKSMIQPTDTYGGVNGCRIHDMVLDLVRNLAAEENFVTVFDRAAHGLHQSLSSEAESCTVRRMALHESWNQDRDVGMVASKTRLRSLNAIEYPISMITPSLGSFQALRVLALQNCRVPGGGLHLKHLGKLWQLRYLGLAHTQVAELPDEIGDLTHLQTLDMMYTCLGEVPASVGKLSNLMCLRVNGQTRVAAGVGSMSSLQELRLGWGCIDVYDGFAMEVGKLTELRTLEVSVDKEIDERTGKALVDSLLGLRRIQNLAIHFSSPGNMSRYGEGWLHWKEPPRQLCWFSMLSVRLPRLPAWANSPCVPRLSELDVEVLAGEPRDLDAVKYVIRIVTGIISGLVSYGIRAAKINLRYVATADRAFFRRSTESSFRRDQIQDLFPMASLKYDLPLLDRDTRFSLWQVKMRAILAQQDLDDALDGFNNKRTNDWSDDEKKRDRKAMAYIHLHLSNNILQEVLKEKTATALWLKLEQICMTKDLTSKMHLKQKLFLHKLQDDESVMDHLSTFKEIVADLESMEIKYDEEDLGLILLCSLPSSYANFRDTILYSRDTLTLQEVYDALHAKEKMKKMVPSEGSNSQPESLVVRGRQQEKNTDNKSRDKSSSGYRGRSKSRGRYKSCKYCKRGGHDISDCWKLQNKEKHKGNYKPKGKQEEQGKAAVATDNKPDAELLVAYAGCVQTSDEWLLDTGCTYHMCPNRDWFTTYEPVQGGNVLMGDNTPCKVAGVGTIQIKMFDGCIRTLSDVRHIPSLKRNLISLSTLDRKGYRYSGGDGILKVTKGSLVVMKADIKNADLYHLQGTTIVGNVAAVTDSLSNSDLWHMRLGHMSEIGLAELSKRGLLDGQNIGKLKFCEHCIFGKHKRVKFTTSTHTTEGILDYVHSDLWGPARKRSFGGARYMMTIVDDYSRKVWPYFLKHKFEAFLVFKEWKTMVERQTERKVKVLRTDNGMEFCSRQFKSYCRSEGIVRHYTVSHTPQQNGVVERMNRTIISKARCMLSNAGLPRRFWAEAASTACYLINRSPSYAIDKKTPIEMWSGSPANYSDLKVFGCTAYAHVDNGKLEPRAIKCIFLGYPSGVKAYKLWCPETQKVVISRNVIFNETVMLNDKSSTNVPVKSQQKASVQVEHLISSVHVPEKENIASNQDAPVIEESDSSVVEQSPKYSIAQGRARRNIKAPQRLIEEANIVAYAMSVAQEIEGNAEPSSYSEAIVSTDSNRWIAAMHDEMESLEKNHTWKLVELPKEKKPIRCKWIFKRKEGISPTDEARYKARLVAKGYSQIPGIDFNDVFSPVVKHSSIRTLLGIVAIHDYELEQLDVKTAFLHGELEEDIYMEQPEGFVVPGKENLVCRLDKSLYGLKQSPRQWYKRFDSFMLSQNFKRSQFDSCVYLKEVNGSIIYLLLYVDDMLIAAKDKSEIAKLKAQLSSEFEMKDLGAAKKILGMEITRERQSGKLYLSQKGYIEKVLRHFNMHYAKPVSTPLAAHFRLSSDFCPQSEVDIEYMSGVPYSRAVGSLMYAMFGTSRDGLVGYVDSNFEKDGLASCILARKVILKRLSSDFCPQSEVDIEYMSGVPYSRAVGSLMYAMFGTSRDGLVGYVDSNFAGDLDKRRSLTGYVFTIGGCAVSWKASLQATVALSTTEAEYMAISEACKEAIWLRGLYTELCGITSCINIFCDSQSAICLTKDQMFHERTKHIDIRYHFIRGVIADGDVKVCKISTHDNPADMMTKSVPATKFELCSSLVGVTLCTEFSLFSLHCNHILTVIIADVIAALPALRLLCLHVHRRCSWTVAGGGGLFLNLRFCSMNVALTFLPGAMSMLTELRLWQRAAELGAGHVGFSGNFPLLNKALVYLDCWGATGAQVVDAEAAWRRAAQAHPNCPAIDVHRYGQFMMKEQEEHDVKEIPLWPENDQEEEISAKDKELVSDAAKEDEAGLSWLRTNLDLFQATHQP >OB11G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12977201:12977539:1 gene:OB11G23970 transcript:OB11G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVFYFIQPFSVPDFLSIRKFSFSINFSPNVITNQSLHHIFFSTNFLIFFQFGSMYGETAACRSRALTERLVSTVTGTLVQFPYNRRSIQARSMCSVVLRKLPRRLLQRR >OB11G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:12987563:12993061:1 gene:OB11G23980 transcript:OB11G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLGKLLKEEHDLQKSVKDGIKFLKAELEIMQPTLKKVSNVPLDQLDEQVKFWARDIRDLSYDIEDIIDTFMLRVDAHEPTNNQHFMWLISKCRKLSLLRIQHKIGQDIKDVKNKIKELMERQDRYIIDNVAAKLPMTYDPRILALYENVSSLVGIDTAIDDIIKRLFDGDDTSMKLKIISVVGFGGLGKTTLAKAVFNKLKMQFECTGFISVGQKPNINKVLKDILIELKVPYMESSSERHLIDKLREHLEKRRYLIVIDDIWETSTWGIIKCVFVDSNCGSKVITTTRISHVAKQVGDLYQMKPLSYENSKALLYRRIFGPEYEGRADNQMKLAEATEKILKKCGGVPLSVITIASMLVDKSVEDWFEVYDSIGFGTDNQDEVVQNTRKIISFSYYDLPPSLKTCLLYLSTYPEDYWIEKDILIWKWIAEGFVHEEQGKELFDIGERYFAELINRSMIQPTNACYYSNMVDGCYIHDMVLDLIRILATEENFVKILDRLHDEGNSSSQSSTCTVRRIALHMREQDDNNNDLAGRMTQLRSLNATECSAILMPSLVSFQVLRVLELTGCVVTKGSDLKHIGKLIQLRYLGLNYTSVAEVLPSEIGDLVHLQALGVGGVFSPALPATIVRLRKLAYLCVGMRKKIPPGVGNLTSLQYLQLNVECIDHEWPTFASELEKLTELRVLRAIMLGELKESSTISFVESLRRLRKLHHLEISCASMTETSGCLGWDKWDPPRQLRHFCNQNNYLPRLPPWVNSTSLPCLRNLFLYVLALETQHLDALARMPSLVDLNLYSKERFSYTVAGDGLLLFPNLRHFKTDVSLTFLRGASPKLVDVSLGMLVSCADATDCGGLENLPVLNHVMVRLFCEGATSREVEEAEAAWRHVGQVHPNHPTINVYRTEEGLMIKDKYDADDEHKIAGVDEVGRNGDHDEASAADQEFQPDSAAAKQAGEAAAAHQS >OB11G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13005407:13012785:1 gene:OB11G23990 transcript:OB11G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGAVRPLVSKLYEVIKDEYGLEEKVKKSVRSLQGELEMMHGVLVKVASVPHDEVDEQVRTWAGMVRELSYDMEDAVDAFLVRVEGSAGPEDNRVKRFLNKTAGLFRKSKDLHQITRAIEQANELSNQYAELRQKYHLDDIGRSSRASDTIDPRLLDLYKEATELVGIENPRDELIQKLMHGIEDCNQRLRSISIVGIGGLGKTTLARAVYDNIKAQFRSKAFVSVGQEPDIRNIFKKILHELDEQKYANINEAKRDEEQLINELRRFLKDTRYLIVVDDVWETKTWKFINCAFVDSNCGSRVITTTRKNTVAEVVVKEVGDIYTMEPLSSANSERLFYGRIFGDVCKAPTDYQLIEATEKILKKCGGVPLSIITIASLLADKSIRDWSSVYDSIGFGPTDKNEVVENTRKILSFSYYDLPSYLKPCLLYLSIYPEDHWIQNNSLIWKWVAEGFVHKEPGKALFEVGESYLIELIRKSMIQPAESYGSFLTWYRIHDMVLDLIRILATKENFVKILDREAEEHSLSSHSSTVRRIALHKRGNQEENDNLSAGMKQLRSLNAIQSPISTMPSLVSFQVLRVLALEDCDIKGGLQLKHIGKLRQLRYLGLRGTKVSELPSDIVELVDLQTLDVLETGLKEVPRSIGKLSKLMYLRVSQSTRILTGFGNLRSLQVLSIYWDSIDNYENFAVEVGKLTELRSLTVDGNAHEVDDNTYKCLMESLCSLHKIQNLAIFGSGRDLSDWEGWDHWEPHRKLSEFFMSYTEFPRLPAWVNSTCVPYLSELTIDVSTVEAKDLDALARLPLLSLLRLNVRHRFPWAVAGGGGLFQNLRFLNTNMPLAFLRGATPKLKDVELYPHVSQDGDASDIGLGSLPLLKTVEVALDCEAATPAQVEEAEAAWRHTVHAHPNRPVLYLRREGENLMKEDSDPDEEEISDTDEVDGNDDDEISAQEVMHLHIRRVDLGLPQASITDLLISAMNTAADIYQEDEKGDDLK >OB11G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13014474:13018046:-1 gene:OB11G24000 transcript:OB11G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-D-mannose 3',5'-epimerase [Source:Projected from Arabidopsis thaliana (AT5G28840) TAIR;Acc:AT5G28840] MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKGEGHYIIASDWKRNEHMAEEMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINSVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSAYGSSKVVQTQAPVQLGSLRAADGKE >OB11G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13023231:13025725:-1 gene:OB11G24010 transcript:OB11G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARREAEQVMFGAIDGVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVATYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRRGKYQLLHTVRTHHGADDRAYRCVFQEEDDSGTVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVARKVFGLAGVKPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLALTGWHMEPSRMTLYRWGNTSSSSLWYELAYAEAKGRVRRGHRAWQIAFGSGFKCNSAVWKALRTIAPAADDRNPWADEIHNFPVEVPKVETVAASS >OB11G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13023328:13024055:1 gene:OB11G24020 transcript:OB11G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAFQTALLHLKPEPKAICQARAAADAALGLGVGELVPEGAARGVPPPVQRHPRRLHVPAGEGEVLLDGVQHGAAAGVDAEVLERHLEVRDVGLDAGEAEHLARHQRRQEEDLLRHGEDEGPQRGDVRPQRLAGDGHEILGQGHPDRAGVVLLLEHASVRPVVGAVVGPHRVQQLVLAPPPVAAAVAEQDRRTAHPEQAVRHQHRPVVPPVPVQRDVLHAHHQRVRIPMHLQITQNLNF >OB11G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13055803:13056968:1 gene:OB11G24030 transcript:OB11G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCKKVLMLCGDYMEDYEAAVPFYALAALGVSVDCVAPGKVPGDACLTAVHDFLGHELYTELPGSGGIKVSGFAPAPGPPVRRCPAASDCLAPADFTAANPWCYDALVVPGGSMRHVVELAGGRWVEPEQFGLCFADRHVLSATGWPAHGENIIRELISCAPWRRASTARPSSSSAPYMRLYMSLSLATVNTYPTIHARCRRRRLTPVMLNAGLRGERAVRGAGRRGLPRRGGVPDEAQGRAVRHGDPRRGGAEHDGRREARPQLRGDHGLGRRRRRPVRLRRRAGRPRAGAAGDA >OB11G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13060704:13065751:1 gene:OB11G24040 transcript:OB11G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGEDGVAAGSGGRRGVLVGGRRRGRAAASGVVATFNMYNPGSIGWDLRAVTNTATGARTVARVVDQCSNGGLDLDVAVFRQIDTDGGGMANGHLVVDYEFVDCQD >OB11G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13073800:13075971:1 gene:OB11G24050 transcript:OB11G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQQDSASLVHQLRDLWESPRGTVLRIEALAMVAIALSFFLAVFGSCRRWSNRWVLQKGFWAANSLSLSLGTYSIGLMQSSSVKSEMYPVWGVSLLTIFGCVDPITSYSLDYNSQLWQIIYKICLYCGYVLLMSMSTISNQIGNAAVGVLSAVAFIKAFHRSLALVMPIGIKYKTKKIADHMSSVLWDDSYRTEDLYGYPYVLDWYIGKDRYKTPITIEEIWQCKDYHVSNCRDVCLSYSLSNLLQRRYFGFHCAESENHMTHDFVFKGLFHKRDDGVLDYKRAFKVIEVELAFVYDTFFTSNTFLRFNQAEAASIWSFASVIGICFVGVMTLNSSMRSTGRNSGRTIVVGTTKADLIITLVILVSLALVQLLQFIRCWTSNWARVAFAYSYIKNEEKGNKWINPWMGLKAHLTRITCFDSYLWQNKLGQYSFVDALSRRKSYLLRPSRFRGYLCLMFGLHYLAKVLRDMLYRETGHAIELHDDVKESIAEFLYKNGNHIRTDGSTFLDESGLGSTTIPIILRKWSDVKDISNILVWHIGTCYCELAQRQNGFFRCFQKDNGYLLNEEERKYHGVATALSKYYAYLLVSAPQLVLEDVNHGETTCRELRSSAGLCLSSRKRNKLEAMNSFVEYATPDDGLFSQGVELGKQLNGMSRCWKIAADFWIEALLYAAPSDSNVEEHVRHLSKGGEFITHLWALLSHAGILSKKEAGQRRGGEAIV >OB11G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13089426:13090944:1 gene:OB11G24060 transcript:OB11G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARKVALVAAVLSMAAAMATAQEASNVRATYHYYRPAENNWDLGAPAVSAYCATWDADKPLEWRQKYGWTAFCGPVGPTGQDACGRCLLITNTATGDQITARIVDQCANGGLDLDWDTVFSQIDTDGQGYQNGHLIVDYQFVDCGDN >OB11G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13092566:13094256:1 gene:OB11G24070 transcript:OB11G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVATARRAATVAMVVLCAVAAMAAAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGRDSCGKCLQVTNTATGAQITARIVDQCSNGGLDLDWDTVFSKIDTDGQGYQKGHLIVNYKFINCGDN >OB11G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13097184:13098682:1 gene:OB11G24080 transcript:OB11G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGSRALVVVALLCAAAAMATAQQASNVRAKYHYYNPQQNNWDLNKVSAYCATWDADKPLSWRQKYGWTAFCGPAGTRGRESCGKCIQVKNRGTGETITARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >OB11G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13099436:13099645:-1 gene:OB11G24090 transcript:OB11G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRCMHHALSEETNLSRFLAEHQTWHEERKMLDMHMAGVDARWYVFKMCQIYALCMTLIRTMMRLLQV >OB11G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13099400:13103836:-1 gene:OB11G24100 transcript:OB11G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3N9C4] MRAGGGGGREAALLLVLIAACCGFLLVLLNLPDGRSLPGVPGAGAGSGGERTGGAHVSVKEVADTNADSSSLEGQTDEIAADVDERISRSPSGTKEKLWMMQDQLIMAKAYLQFASPHGSSHLVRELKLRVKELERVINHISSSFRVPMSALQKSRAMEMTLSKAQRAYPHCSLMTAKLRAMTHQSEELVRAHRSESAFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPNERDFPQRYTMQMGSFYHYAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIRIKSLDHLKWLPADFTSRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLLLLDHDVVVQRDLTALWEINMNGKVNGAVETCTSGDGYHRLENIVNFSDPSILDKFDAKACICAFGLNIFDLMEWRKQGVTATYNKWFQAGKRRRLWKAGSLPLGQLVFYNQTAPLDHRWHVLGLGHDRSIGREEIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLNYDNPYLQQCNIHR >OB11G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13117711:13119298:-1 gene:OB11G24110 transcript:OB11G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAFSSRTGQWEERAFVREGETTTVHDMTPWKNYFKPRQWYSVFWQGALFSLSDDRYQLIRVPRNTHLADYETPYLGKSKMGVSFGYICDHQLSVWILNESAGQMEWVLNYQHDLGFFAKQIESIDFHGDRITGPWMSQEDDTDMQENTEVVSNKDLEWDSDNDDFLPIEEDDDERNTGSDFGILGFHYKDVIFLKQAFRIAAYHLDSSKIQYLGYSRPKNYDGIDSHGLHESFVYTPCLIGDLHEDYIGQS >OB11G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13132401:13137505:1 gene:OB11G24120 transcript:OB11G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPLGRQEEICSQEDEEEAPKPFEQRTIQPAVRMGIEVVPQSCNEKLDSTITSPASGNMDVPDDTCVHPSEVPGTVNVESSVVVVQVEKSDSSISELLESAGVNGGRENDDHLASEQSTDNGSKNSEAAAYEASPADAEKGDVMQHSDENNADKQENQDLSPMAETAGSDSTSVTSMEDVQEEKNAAASETDDVTSLRPDLSNEKACVGNGNVFQNAKGVLTTSTKKMKRSASGTTRKSPQATNTDEGNASTLTNSKSSNGRITTVPAGPIFRCTERAEKRREFYLKLEEKHQALEEEKIQLEAKLKKEQEEALKLLRKSLTFKATPMPSFYHEAPSPKAEYKKLPTTRPKSPKLGRRKASTDASNSSEESDGTRPCCRASRDSLDNTCKCGSNDKPQQPATSKPAIAAASSKKQPKPHAHKLADQSAMNIAVH >OB11G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13138575:13143507:1 gene:OB11G24130 transcript:OB11G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT5G57960) TAIR;Acc:AT5G57960] MVVGSTYQKLSTPNPRTYIGSGKVAEIKSAIHSLDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRTQISALKKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQEQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIIWISAINGDGLEDFCNAIQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVVAAL >OB11G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13144153:13146808:-1 gene:OB11G24140 transcript:OB11G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT4G36390) TAIR;Acc:AT4G36390] MTVSKSRIYHETYGCQMNVNDMEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVTSIVREVGELWKSGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMSKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQTGSTAVLERMRRGYTREAYLELVQKIRSIIPDVGLSSDFITGFCGETEEEHAETLSLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPDDVKQKRLAELISTFRETTAKIYDSQVGTVQLVLVEGLNKRAPETEMIGKTDRGHRVSFASVPVPHTFEGDEVCKPVVGDFIEVKITKSSTASLFGDVIARTSLSRFYKNHSSEAHAVAA >OB11G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13151648:13153149:-1 gene:OB11G24150 transcript:OB11G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEYEMNDVSSLTRLFWSDPQSRIDYEAFGDVVVFDSTYRVNKYNLPFIPFVGVNHHGSTVIFACAIVADEKTSTYEWILRQFLNCMGQKHPKSLITDGDNAMRRAITSVMPNSDHRLCTWHIEQNMGRHLHQDMIADFRVLVMHPLIQMSRRKWDEFKFNHKVSEDNKWLMRMYNLRKKWAAAYTKGRFFLGMKSNQRSESLNSKLHRYLDRKLTLVLLVEHYEHCLSRMRHREAKLDAKSSQSVSFTASDASEFEKDASHVFTPAAFKKVKLEICKSMDWEVIDSIEEDSLVRYVISRKENSEKMKILSCTYVDSSLQSITCPCQKLECECIPCDHIFAVLHFLKADAIPLCCIMRRWTMKAKNAFYSDRYGETYHWSDQMERYRKLRSVGNEVLFRCSMTEENTDKVLSMLVNLELDETCGSVGNEELQYSHVLAQSKRTDIERSATVHDPDQIMPKGAPTKRIRGFMEKRVIHCGYCHAPGHTIRSCPLYLR >OB11G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13154590:13157893:-1 gene:OB11G24160 transcript:OB11G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVELDEGLVRELEDCAGGRDGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMNQLSVQGGKKSTTP >OB11G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13159432:13160595:1 gene:OB11G24170 transcript:OB11G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQTHELIKHINHLPEKLNTHTILQCKLDGRRRCICRRRRTRAVAALRRVEAGEAAAQLGQSRRPRRRRLRRVDRSRSWSWSWLHHRDVRAVHAAVPAAVALLPEQVERVAAVGGGGGDGHPLDEALGVVAFLVMVAVERGAELHQLGLELGDLPVLLRLRLAQPHGLRQLGGAVRPRRGPCEHRVERARPRVPPREELLEPEDLLHRRGDRRVLVLRRRLGPVRRVHHRAGFNPRRDEERGHADAEGVEAEQHGGGADDAVRGGDAGDRGGHVVEEAAVLIVGDDEQRLVPLRAGAERLVDLLDEALALRDVVGRVVVVAGEELEVEVALLDDDVVGELALPAVALERHVVRVVVAQVLELPHVPACQYSPLLIITGLLPLFFFP >OB11G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13159573:13165203:-1 gene:OB11G24180 transcript:OB11G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNRATAGDETSPAVHFGDGSVYVDPDPGHSFQAIYEQVYGDAYTWGVTSPATKPGVPVPPMSGFVQEAEKERAGMSRTVMNGFRPDAVPVYRELVKEFAVCDRWFASVPSSTQPNRMFVHSATSHGLVSNDGKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSVLFYRNMRQLKYLGNYHPYDVAFKRDCREGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAQGQRLVKEVYEALRSGPQWHETLLVVTYDEHGGFFDHVPTPVTGVPSPDGIVSAAPVLFGFDPLGVRVPTLLVSPWVEPGTVVHAPDGPEPTSQYEHSSIPATVKKIFGLKEFLTRRDAWAGTFDTVLTRATPRADCPAKLPEPVRLREAEAEEHREISEFQAELVQLGAALNGDHDKEGYDPEGLVQGMTVAAAAAYCGDAFDLFREECHRCRDSGMDGSHVPMVQPAPAPAPAPVDPPEPAPARPSALSKLCGCLPCFNAS >OB11G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13166655:13168770:-1 gene:OB11G24190 transcript:OB11G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMPNHSTVLLASLPLPRRPSNLLSLHYMLQTRAGVGVRGGSKCAELCIDARDPEPGVKALETIFPKLEYRFEVMEFVTLIPHVIANLTAEAKALVDPLIAATMKKGGKLPMCLESCTASLTEVSEAMFGLPATINSGYPKVEKFLHKSFAEDAGPPMCVSGCPDKSCSPEEKIIADKFHAIWGPMDCVEPYADNYLAPLHLHKVNYPVRDMPGQLNYLLYIYDVLGAVLLLAEVADNCVLPSSTLPWLIRRRNPCHSQGLS >OB11G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13169876:13170796:-1 gene:OB11G24200 transcript:OB11G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDMFVGRVHDMGWAWVEIPEGTIGEPVLTRTWQLAVDGGIVMAGKFSQKSNLSIDKFMCKFLRIQYQLKKPDESAIIAIVKRGLFKGPLCYDLSKDGPTTTNELFERMEKVTTMEEDDLPLDVELATIIRN >OB11G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13177917:13178570:1 gene:OB11G24210 transcript:OB11G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMPNHSTMLLASLFLIVLATSLVSTTCSKRGRVLESEEDRNVRYAVGDRKAYFEELCIDARDPEPGVKALETLFPKLEYRFEVTEFVTLIPHVIANWTAEAKALADPLIAAAMKKGQKLPKCLESCTASLDEVSNAMSGLPATINFGYPKVEKFLRKSFAEDAGPPMCVSGCPDKSCSPEEKIIADKFHDIWGLMDCVEPYADNYLAPPPPPQS >OB11G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13186313:13188448:1 gene:OB11G24220 transcript:OB11G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3N9D6] MAVMLPLVLIVLGALGFLASAAGSGGIMPSWVKPAADGEGLAFAGEVSVTVAQDGSGNYTSIVAALAAAPKSKTRYTVRIREGTYVEQLNITRHNVTLWGDGMGKTVITGNRGSPDKNYMLSSATVTALGRGFMARDLTIQNTAGVDGNQSLALRSNSQHTVLYRCGLDGFQDTLYAENKRQFYLDCDISGTVDLIFGDAKAVFQGCRLLVRRPKEGAHNVITAQGRDRPGYVQSGFSFQNCSVIAMPNENLTGVETYLGRPWRNHSHVIFMESFLDGIVHPSGWVHWDKNNPRFGDEKTVGYMEYNNRGPGANTTGRINWEGFSVPDAKKAEEYTVDRFINGSWWLPKELNYNHGL >OB11G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13189454:13193194:1 gene:OB11G24230 transcript:OB11G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVLDGGAVGRWSGRRLGNAKERRGGESVSECKLLEKEFAEPFFANTRSGVGLVVISNSQTEVRVPVLGRLHPDDFTAYWVAAVIGLPDSNTSLAYWATAISQAGLLDSDASSPNTSFVTTPEGLVQKTQLPKSMDETLQDVVDESIHRALFGQFGVLMNMLQDLIKTMLDEYVAQGVRLRGQYQPKEPNQYQHGEYNAREDELANKVVERMREQFGIGPKRQIDLYR >OB11G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13200384:13201090:-1 gene:OB11G24240 transcript:OB11G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPEPRRLRQSPLQRWWHTTRCYGGNWIVALARRRSVEESILDVELVDGPVAGDGEAEDDSDDCRLDDGTKSLVVVDAELLQVSANHPTHLEPSKGAICMKLEFENPFASDDINLRETRNQGPCVVVNQHLVFVMHCTESWRSSKLMRYVFDSRVASE >OB11G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13210647:13211465:-1 gene:OB11G24250 transcript:OB11G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMPNHSTMLLASLFLIVLATSLVSTTCSKRGRVLESEEDRNVRYAVGDRKAYFEELCIDARDPEPGVKALETLFPKLEYRFEVTEFVTSTRQARCSISHRRPQKVKLIPHVIANWTAEAKALADPLIAAAIKKGQKLPKCLESCTASLDEVSNAMSGLPATINFGYPKVEKFLRKSFAEDAGPPMCVSGCPDKSCSPEEKIIADKFHDIWGLMDCVEPYADNYLAQRTWQLAVDGGIVTTGRRQSSVVRPKNLEWTGGKGSKTQEGKAM >OB11G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13222365:13224048:1 gene:OB11G24260 transcript:OB11G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFVDDEWPRPRGGRVTAFVVLSCFTAAMGGAIYGYDIGTAGGVSSMEPFLREFFPDVLRRMAGGGGAPRRVSNYCKFDSQLLTLFTSSLYISGLLTAVLLASWVTASRGRRTSMILGGVAYIAGAAVSGAAVNVSMAILGRALLGVGLGFTTQSVPLYMAEMAPARYRGAFSNGIQFSLCLGALAATTVNFAVEKIRGGWGWRLSLSLAGVPAVLLTVGAIFLPETPNSLVQQGEDRDKVKALLQKIRGVDAVDNELDEIVAANAAAQGENGMWLILSQRRYRPQLAMAVLIPAFTQLTGINVIGFYAPVLLRTIGMGESASLMSTVILVIVSSASTLISMFLVDSFGRRTLLLVGGFQMLVSEVLIGGVMAAKLRDEGGLSRTYALLLIFVINVYSAGFSLSWGPLSWLVPSEIFPLEIRSAGQSVAVASCFVFTVIVAQCFLAMLCRMKACIFFFFAGWIAAMTAFVYLFLPETKGMPIEQVGRVWEEHWFWRWILGTGEIHPGSKLPKELSQ >OB11G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13230738:13237180:1 gene:OB11G24270 transcript:OB11G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGQQEPGSGGSSVMCQLVSPEGDHLGTALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQSQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDRSHLVSGSKSGELILWDPKTGKQLGTPLMGHRKWITAVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCIIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OB11G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13239901:13241327:-1 gene:OB11G24280 transcript:OB11G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTEAPCETNPSTFSQFRIPSRPPRAWCCRLAVAIVSVVAGRDLQRRSWAEIPGDIIGVVVGRLPCAEDRARLRSVCQAWRSAAARLHRPPPPLPLLVLSNLTFSGFCPDGAMTETRRIPMPVGLAAAGDVRCVGSCEGWLAVVQQKKARYLGDSACFLVNHFSRQVVSLPPPFVSTHLVDVYCRSLPIINGSGVVECTIHAAQYVMSFCKVILSSPPDSGSMYTVAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAVYQGKFYILSKLTTNLFAFEITEDGHGIMVSRVERCVTELPQVKDSYGQRWNLVEWHGKLLLVVRYIGGSEGWHNICKVSVFVMDVSANPFIFTEISSLDGDCIFISPCSSMSFPTHHYDGIEDDLVYFIDGYLYPAKNAPPFDKFVYNMRDCALAPFAVDISDDNFRASDGRVMSPTWFFPSG >OB11G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13243405:13244362:1 gene:OB11G24290 transcript:OB11G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVENGKLKRIAGVKTKELMLWLSVVEVYVDESSSPGKITFKTGTGLSDSFDVSAFELGMLISAAVSICFGSIETKLIIVTKQILDSRSCHKFSLKTIMLAKFFTGSSHYNDHKTFDYLDKELVGEV >OB11G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13247697:13248125:1 gene:OB11G24300 transcript:OB11G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIKTQRAGAEVVNGDTAGKKRSIELLQELGLPKGLFPLDDIEEFGYNSASGFMWILHRKKKEHTFKKIKQTVSYATEVTALVEKGKLKKIAGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDASAFEHDV >OB11G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13248983:13250355:-1 gene:OB11G24310 transcript:OB11G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISAGDALPWQPWKNISAEMLGRVLGNMPSLADRVRARSVCRSWRDAAATQRLPLPLPMLVFSRFSFACFASFSPTMEITEFSSIPLCKDATIFWVGSFDEWLVGMRRSSERKADGHCFLVNAFSRETIRLPHPRAFHLLGHSCKALPIVNTSHPIHINVHAPEYPIHFRKVILSAPPASGAMCIVAAISHNTLALWHPGMSSWSVCRSIRINVSADIAFYQGRIYMVSANPTGLLILFFELEEVDDRVTVSYAEQCVTEPLPLVKGCLVHQCCIVEWHGKLVLIIMFADCDVLKITIRKIGIYPLDFSTNPHSFTEINSLDGDCLFISSCSSKSFPACQYDGANGDFVYFVSNYNQQTTSSDPSFDVLVYNVRDAKMIEFPVLVPKDNSWPFLDNLLWLFPPK >OB11G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13252777:13253205:1 gene:OB11G24320 transcript:OB11G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIESHRAGADVINGDASGKKRCIELLQELGIPKGLFPLDDIEEFGYNRANGFMWILHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDVSAFEIDI >OB11G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13254147:13255277:-1 gene:OB11G24330 transcript:OB11G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAVDRHRVRSVCRWWRAAAAMQRPPPSFPMLVLSRFSFASFSSFSPAMVMTALRRIPLPSDVSIRWVGSFDQWLVGTRPGRECEDADGRCFLVNAFSGKTMNLPAPCAFHFFDYFRKTLPIVNTSGFINIVIHDREYSMRFRKVVLSASPASGSMCIVAAISGCALALWHPGMTSWCTCRSLYVNNSTDIAFYQGKIYMICRYSRHIVFFEPEEVDGRVMVSHVEQCITEPLPLVEGCEIDKCNIVEWRGKLVLIIRYADSDCANKVIQKIGIYSLDFSTNPHSLTELNSLDGDCLFISSCSSKSFPACQYEGAKGDFVYFVSNCWQKTTSDDPSFNVLVYNVRDATMATIRFMVPKDNFEPFTYSPLWLFPPF >OB11G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13256673:13257976:1 gene:OB11G24340 transcript:OB11G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT3G23400) TAIR;Acc:AT3G23400] MAVAVASSCCASTSARPLVHPAGRRSGKPWWASGRRSGTGGRKTRLLSVRAPPAAPSDVGYADASAGADDDAVTALKVKLLGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSKDFDNIVEVELGAPWPLPPVELTATLAHKFEIIGTSGIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSSSTGSGEFEVTYLDGDTRITRGDRGELRVFVVS >OB11G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13262829:13263411:-1 gene:OB11G24350 transcript:OB11G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLCLVVVAWWCLVAVALLSPVHGRVGLAAGEVGGDQRPAPAISSDHVAEKRGVKSSRPSWSSWPSTSRRGAEAVELRSVPAGPDPMHHHGSPRRPEHEEERIGP >OB11G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13271481:13274015:-1 gene:OB11G24360 transcript:OB11G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPLPSSILLMDRAKAILASPVQPKRGRDKDRANGGPLTVSHEPKLHVLLPGEESDSAAPLQCVREAPCGLRTAPPKPPPAAGRRSPSSCRRRLFCPVFFFGFSFSFFFSCSQRRIHGIMARLEHPDGVTVVGQDVDKAKGSFDNLQKTSAKSSKSHFLRTGNTKTHRKRLYNVIVAKRRCTPSASGSDFTEQKHQEASCPPQERNGSKKTKISPSNKAIEVKKTVHGHRYTSSFPKATPPRRIRRGMSFPLVQRQGFHLENIGIERKNAKTTPTRRENDARMRHRGQAGQRHSKAFANVTHKSILRHACKEQPKSPHMQSDLN >OB11G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13278210:13282961:1 gene:OB11G24370 transcript:OB11G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSHAAVPPNPTTAHSRFRRVVLTEDKLWSFLMVGVDDLKAYCNKIGRGSPSVLAIAGAVEFVKATNAWAYWLCPVCDKVFLDSNSFRSHVESKYIDIRRLQQLLRPTITKGSSELLDSDTWKPIDLKESEDEITMRLNYMKDAFSYLAVGSVDKEFKSTKDRAKRRRKKWVTYLDYHVYDYERDYPSEEDLFLPLCREMPELWEYLESCVDTKEGEDSSHSISLVQDGSALYLKREKFTGINMDGSPNVNPLFSWLRPGSSLEESVASWTSIRKKCVHDGNEVLKRIAEISDLLQEQLGLEHHSQEKTDSDFSITKVDSIEVEISHMSFEVSYLKKKLVKVCTFDYGIVILPLIRDYLRAKLTNDSPGKELHDRDDNGAAENSDDRHILIHEQYVVQEIPGTDSELDHQNSRTEEYENSSFSDSSDLSSIETESTSINSGVGSVHQITVDEPQFLNVTLRALWHLRRFHVFRMIPHTLHHLIVGECCIVCLLCEIFDAWDHNEEHKLSILLDNVGTAFSDILNDRNFDYKEYKNIAYEIISIIVDILHKSQKHYRWKTVEPDGITFEPYEINPSRCFGCCVAHEVLGLCFNEKRKCNCVSKSGDENRYSAIFHTIDVGAIQKTEMKSFSNIMEAAELDVERCRCGNKTEGCLSYPPHIFTTVFRWPTEKENYVDMSEVLINIAAPLDIPKIIYGVDMKHMYTLVTAVCCVEEEHLCFARGEGNWLIYESQKVEFADCWESLLRGYRGKNLRPQILFFEEVKRK >OB11G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13306151:13313574:1 gene:OB11G24380 transcript:OB11G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVKSLLVVIRNEAVLLGGVRDDVQFIKEEMESMNSFLAHLARSAPAGGEHDEQVRTWMNQVRLLAQDCNNCIDLYVYSGNPEIHRAKGRLRRHLWWVYWSVRKMVAQHRAAVQLRQLKDRARDVGERRLRYGVEVPANSVSVLGQADATDDYASGDDEEDYYEDQLAVATATHHSARRAVFDAPTLDDYVKRKLLTWEEETPHEAIETQSIAVVAPDAHNKEVLALAHETLVAPGYYYRHSIMVNIPAVHLNFLSLRPKEVLFYILRELKREEAAGSKKQPTDQGKWEEEDPDPWQNYYKKCCIYRSKKRALHKIKRRIEKMNIYERLEKMKSDIQDGQQKREKQPLLQLRKKGVDQVDLPVLIQLLLLQSQQDQAKNKSVDMHKLPEWNDNIITKIAMRLKEYMEVDESRSKQGGGEGENKGEENDREKEKEEGEEENKEEQEDRNEGKEKKEGGEGQHTKEKEQHKKQEHEQDQEVEKEEERKVEEQNSEETKRKGKKEEQVGEEEEEEKKEQNDEDEDDDDDDDDDEEEEELEEEDNPIHLHVAQYAQILREVFPKIASSKAQQQDKLAAKQATKVVTTTLDEDQIKQMINEANQDVLRQIQGGKSDKNQAKGELDVPPDKNQATVEYAGVPDQNEEACFEEIEQKIEEIKQEFKEQLKIKGIVDKIKHHLTEYMIKHDLENECPLIILKVDKMMDGSRWEEIRKALSLLECSADALIFTTESTKQAKGYCYPPREPIDYSLVGLYHYTVLRLTSKQKNTENYDPQIFRDILEGCKGHEFCMKVFTHALYTNPKRSNEELRKLYSTLQDSQKSFDTIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVAEGLTFKEDWPSSVHEANRCFYALIRRWLVCPADISAMGKVKSCVVGDPVHGFITAIARKQHIVETRLSHHLARHFSIFNDLRLRSSDGIQTFLQSLSQSSRVSLLKVLDLEGCQCFGGKNQRYLKDICSKMLLLKYLSLKGTDITQLPSEINCLRELEVLDIRETMLPTNATVNVLLLKLKRLLAGRTDPNPRNFRTSVRIPSRIDKMVSIEVLSNVKAQHRDDLEDIGKLWQLRKLGVVINDKKSHIESFLKVICDLHECLRSLSITILAHTVEGTCSSPELPNDIGSSLDYHPKILGSLTISGARHIFPLLIKGGNKKLAKVTLSGTPLNQNDLNVLAKLPTLQCVRLRHISYSESELIFKKEDFKCLNYLLIEGSNLIKIAFEVRAACELKKMVLSSTCIESISGVDELPKFEELELSNISCGRLLSSFQNAKHISKLTLRGILLKQDDLQIISNKLNIRCLVLLDNCFDTSQNQITFPNEEFIWLKLLIVDGSVITKVDFTSGSAPRLEKIVWSPFTSLSGIDNLPRLKELEFKGYPVPDEVKKAVEKNGRINLKHNKP >OB11G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13322184:13326230:1 gene:OB11G24390 transcript:OB11G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVSSLLVVIRDERRLLSRVGDDVQFIKEEMESMNSFLAHLARSAPVAGDHDEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKGKFRRHFWWVYWSMRKMVAQHRAAVQLRQLKDRARDVGKRRLRYGVEVPAKSAAAGQSPTTKAAAPDDVAGDDEEEDYEDQLAVATATHHSSRRAVFEPLALDEYARAKLMKWKKGVPKRATMTLSIAVVVADADNKKVLDLANEILVAPNYKYHRSIMVNVPEVHADFLPLRPKEVLYYILRELEPAGYQKQATDQGDSWKIYYNIYRSKKKVIRKIKRNIEKMNFYKKLDKFKSDIKEKIKSDSKDGQQKSNKQLWLHQKKKVDHGYQELLDLVLRQFQPEQAKNDVVDMCKLSEWKGDLIKIIAMKLKKYMEADEKTKELNEHIRVEKTTTKQGRGERQEENYKGEGKGEEGREEENEKEKDHGDGEEEENEEERKDKEEEEEIKEERHHEKMKQEQKEREEERKEEQNEENEGRKEEQVRREEKEENKYSDDDEDDNNDEEKISSLLKEEEEEDEEEEEELELEEYEEVEEDDYDEEEEEDDDDDDDDDDPIHLHQVQYAQILREVFPNITRSKNQQQDKSEAKQAIKTVTVTLDETEIKQMINEARQDILRDLRGGKLDKNQEICEPDVPLDKNEEAGEHAGVLGQNEETYFEEIDNKIEDLKGKLKEQLKIKGLVDKIKHHLQGECPLIILKFDNMMDGSRWEEIRKALSLLKSGADALILTTESTEQAKGYCYPAGEPIDYSLVGLYHYMVLQLTSKKKDEDNYNPQIFRDILEECEGHKFCMKIFTHAMYANPRRTNEELSKLHRTLQDSQKSFDTIAKNMFMYSYNDLPKEYKSYLLYLAIFPKGQKIRRSTLIGRWVTEGLTFKEDWPSSVRQAKQCFDTFIRRWLVRPADISAMGNVKSCMVDDTIHGFITAIARKQHIVETRLSHHLARHFSIFNDLRLRSSDEIETFLKSLSRTSRVSLLKVLDLEGCQCFGGKNQRYLKDICSKMLLLKYLSLKGTDITQLPSEINCLRELEVLDIRETKVPANTTVNVLLLKLKRLLGGHIEPSSRNFGTSIQIPHKVDKMLNIEVLTNVKAQRRRDLEDIGKLWQLKKLGVVIDGKITHLKSFLKAISDLHECIRSLSITIPATMLDGTPSSQELLDDIGSRLKDHPKILENLRINGARHLFPLLTKGDNSKLAKVTLRITQLKQDDMDVLAKLPRLQCVRLQHISCTESSFIFKKDDFKCLKYFIIEGFNLTNITFEDGSARELEKMVLSSTSIESISGVDVLPKFKELVLNDSTIPSEVKEVIESNERIKLKHNEA >OB11G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13322214:13322528:-1 gene:OB11G24400 transcript:OB11G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDHLAHRPVNPPEVAPELALGAVDLRVPAIQVEVDAVVAVLGEQPHLVHPRAHLLVVIAGDRRAPGQVRQEAVHALHLLLDELYVVSDAAKQPPLVADDHQQ >OB11G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13332171:13340831:1 gene:OB11G24410 transcript:OB11G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSNAGGRFRERIKAVLAAREGGDGDDAKSERLTNAENLVTDFEGSPLAYHVLGHVRAGLGEAEAAIDPLCRARDLAPGDLGIALTLAKTYAAREQFDQAVKECKRALSLGNADLVDPGLHGVIDLRQMDWSKKARSARLSVAKKQLQKLLADCSSSQKEPSNTDWNHASDHFREQVSAVLTGASLAARAAPERDAVKSGTLSRAEDIAKEWRGSPLALHVSGHVRAALGEVEAALDPLCRARDLVPGDLAIAFTLAKTYAAREQFDLAVEECEHALSLGDADLSDPGLHAVFESRHLEPRREARISIAKQQLRELLADCSWNIVVPVTRDRWNCMNEEERRSFLTVCIEEMVAYYAKSSEQRQMRALSVAVDFAKDTRKWICWLCPQCKMVFLTVESFQSHVEDEVSQSQELKKSLLLVPKRISDEQAEFIKTWTVPSDVSPTEQAEREKIKNTFKHLMDQRALSADLFNNLVKYMKFWIGETTDHPQNLSCITSLDPVGFQVLGTCLDLLIPGLRVGDAVQHSLNHSDVAVDQDAFSPSISIDIEENVFRIADCSSNQDALFSWLSRPSTQDPFTSWISMRQACLDKGTHVLEKLNGCATVLIEKIKPKCGSIEMDKHENYFSTKVKVDIEIMKLDAEVDNFKKKLVEVCTCDYCEIILPAMKDYLWAVLNTSAPEIVLQEDDEKCVKDNIKGGDSTVPHDNVVKVLPGDSKVLQSDKKPELPPRANENTLESPENTPMEKENKTSSPSGYSCPIEGGARASNNRVTGTVYSENELKSLYLTLLSLWHLKPFSDKYIVKARLYPHFGVSGEDCMMCNLFYIFSAFTDTDDSKSTPQLKQFITSLIKFLNRANVSLKEIKNLAAKFTEIIFNMVHTSETATRVSKNSEEPVYRTKLFSVCPDHVCLSHGLFGMHKNARESTYFLNIGASELQNIEMKSFDDVIKSVDKKFHYNSESNAAHNHPPRFFTTAFSYPSENDSLLDLSGLLLSIAAPLDISPVYEGLQSECKYTMVSAVFRAEGQDICFTRQEEKWLVYDKTIGTVKDFDSWEKVLDEYSRSRSRLYPQIIFFERSYVLAH >OB11G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13342809:13349997:1 gene:OB11G24420 transcript:OB11G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGKDVVKEARSVLLQEYDGDHKGALARAVKLSRSHPRSAMALRLAGDLHHAAAIRARNIEKLGGKVAIDADREAIKHVELARQALSKAKQLVPDCVDIATALGDVFAFTTMYQEAEVEYNYALAIPLPVDPALHNAAYGLHGRDRTTVNERVKEAREKADVAYGRLTEQLIDISVGQMFEASDGAKEVGALLQAKPGASATEILKAERDAALEQRKNARSLAEAFPGSSRAQCFYGYMDLKFNRLLDESIDKRSFVRRSTLSIVDRAAEKFPNSMVIASFRAKLLYILGDYDAAEKDCRRALNMKNPDDPADDCVPPGSISGPNRGAREVSLSCVFHELINKIVGAANDYWSCMTEQKRSEFLSVRFDALQEEYNKVDRASFSVWDVLIFVEKHKSYRFWICPFCDNHSKKHTDTASLLSHMCSKHQRAVLPRLQSALDQKLDCTAFDSDLCSFNRITFSQDSDQNDIVCFKERDQMFRWLFDKPSSGIGTHALSQIIERKRTKGIVLLEHIKEKLKTLAADKFSTEFAEALPGIQELWIKFVQGSAVDYREVILAIGRSLLWMKLKKCMSEDPEVGAKRICAADIDEMLAIAAYNYSSGAVEVFSHSDEAQKINQNHQGSDFHGENRSSGTTVDMKLQDPPTNMDENGNKLDEQLEKLEIDLNSARSSPSPQPSTPNENRGPDILGEFSTSCANGSSGQFPEETAKTSIYQKCDVLNESSEDILILHLILQSSHDGFCIADIFYDIFSSWENNDHNGMYYSLTSLKSNLCQIVNDRSIFQKLRAGISFASDVLAIVLKGLHMSEASLHFCFHNKIQGQVIKCFADLPVAMDEQQLCYQEKCQSCGNLKIIGHFLLTTQHYFIIVLNSMGSSESHVSLSKLLIGCTSPTNITITTKYTLASMICCSDGRYVCISRDQNKWLIFDTRTIEAEDSWDRLVQRFTDSELRIEAIIFEVIK >OB11G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13351089:13352333:1 gene:OB11G24430 transcript:OB11G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLPDDILMDIFSLLEIPDLMRASSVCASWRAAYGSLLRLGQYKRAQTPCLFYTSASDDDERVACLYSLAENKVYKLTLPDPPIRRRHLIGSSHGWLVTADERSELHMVNPITGEQVALPSVATMEHVKPITDDAGVVLEYELSEYWGDMVHEDPTIHSPDGLRDHLYFKAFVFLEPSMGGYIVVLIHNPFHQLSFAREGDCKWTWLPPGETCYEECIYMDGLLYALTASGGIDVFDLSTGSSAITRKVFVGSMKCYIHENMYIVQAPWGDVLQVWREQEIAAGDNEEDAPEWDISKILIHTKNIHVYRIDMATKELVEINGLQDHVLFLGNNKSQCLSSEEYPHLKANHVYFTDDEEDIALSVRDLPRDIGVFNLENNSREEIAPPQLWSGWPSPIWITPNLTIGNLRLNN >OB11G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13368249:13369132:1 gene:OB11G24440 transcript:OB11G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPKMHRGQEMDHFVAGLSRMVFTTGYPYDPEYTAVHRLSGEFPHRVRLELHRIPNYLPNLEVVAPGGTHEHACQEAAYSMMAAVRDRHDPDLRHTAYRYHPDYGPNDMVSSFRSAETEQDTTFGRMCTVLQGLDRMYHDLHEAAKELNDCKIMKIDRLQNQPLLTYLSPQFGVVLSSHAAGNANEAGVTNWVTVSSSLPSAGILKEVKIENSRSRSEDGDEEGEPAVNSGGHRSSSEQ >OB11G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13372058:13373440:-1 gene:OB11G24450 transcript:OB11G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSRAQRKEAAAAAVAGGLDDESRALRKEAAAALRMSSEDGRYDEAIARAEELAARHPGVLRRPPAALGSFPTPGGGGGPPQPPRGLSPRAYGGPQGLASNCVEIATSLAMARFVCLNDDCADVEIIRAMRISSPTDPAENNVAYDLVDGGGSTTVKERIANAKAAASSRYNEILAHVFVTVIPRAVRDLLDIAKRDGAAKEVKPAKALAERYSYSSRALFAHAHMDVQFARGLAPGIDKRPFLDRLLSDLNKQAHQFDTSLVLAMFRAKLLFLLDMHLAAEVECNRALYMKGAADPSDEDVPLGSVPGENSEEREFSIRIDLGRLLQKIVLASKDYWYSLPSEKQDSFLFVGFNSMHQHYAKNYEVEKSMSRLQTISDAISLVKKCKSWRFWICPYCVGKKNPDIDSLFQHLRNKHAEGNVWPKLQSVLDPKSISSTSQGDCFLDDVTMCQDLKENYV >OB11G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13376846:13379524:-1 gene:OB11G24460 transcript:OB11G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSEGGQPSDELATTTVATPAPPTSSSVITSSGAASTATRTASTGSSQKSPSTGTGGRRTSSSPACSPVSPPPPPPEGGRPHASTTTTAASSALRKRVSRATSSPHEGQPSLVATSISSGGTTSNAAASQGPNTEVSASKQNTRPSMSARPSSPASTSTTTMHGQIKAPGRCLHRPSQLCFFILNGLWILQREEEEDEDFGLALLFQDDVEVNLELEAYRNYSKKLKNCPKGRTLCLVCHLDGKEKILKHDNKEVVTKFYAKDLVTQGFHAPGLFVVCHPKTLQISYVWCGRHQDKWLIRHAKTVETVDPWDQLLERFRDCRLQPEVLFFEVIK >OB11G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13381283:13382171:1 gene:OB11G24470 transcript:OB11G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGDWASCPDDRRSTLGYCVFVRGNLVSWRSKKQPMVSRSTPKAEYRAMSASVCFLNLVDSLKCRAEFSKTISFSHFIYIIP >OB11G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13385241:13392512:1 gene:OB11G24480 transcript:OB11G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRCEGSATAAIAQAVKLGLKHGDSALVLNLVGTLHQISYAACKFMAWSNAGSAAEESSEAAGHKSSALYAFSGAARLAPKCVSIAVSHAQMLIECERYDDAYDEIIRALTISKSDPVDPAENDVAYDLYDGDTTRAERLQKAMVVARHAMERITTVISEQFIPMESARVLDGIKLGGDATANARARAKHLAATYPFAPRVHVLRAFVDLERVRGFDPAIDKRRFLRRTLDMVHEAAETFPLSLVIALFRARLLFVLDQYDDAEHECRKAIALESPQDPKADDLPPGSVSGAEYDDRVSFVKNQLRTLMKKIILTAAIYLQILTSEEENSLMSVRTKPLIQSCDEIDKSSAKTITDALRFFKHNSSWSFWICPLSNRCDRWKFVDTSSLWIHLCSKHPEGSWGKLQSVLGPKLCENTSEGDCSSLKWITCSQDSDQNDIFRLIKVNDMFDSLIRLVEGGTEPDLVEMRTEKCREGAEILEGIKGRMETLPTDISSSQFDDARSEIQNMWLKFLINSVLDYQEVIFPFVRSFIWAKLKKRMTGDPNIVGHISSSKIDPVFEDGNSGDVEGTAMEIAEILLNMKCSLELEGDSSPTTPLPATETYGQSMEEMANTTRYQSVDVVSKENNDKDLFILHAIIQSLWNLRFLRDEILMGKPAWILNMSHNCCMADLIHEIFSAWGKNEQGGVAALLSSVKTSLCKIANGDMFQKFQAGKQIASEVVATILEGLHRSEASLHFYFNSEIEDCELKSFSELPVLYDEQLCFDDNCEYCGSPNIVDISPWSAPHFFTIGLDWSGGCENQVNLSEVLLGIAHPLDIKLLCKGVRSSANYALASMISYADERYICFARDEDKWLICDAGSVEAVDSWGQLLERFRDSGLQPEVLFFEVIK >OB11G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13394522:13399004:1 gene:OB11G24490 transcript:OB11G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHKEGKHYKEAILRAGELAAKHGGSALVLNLVGELQKAMHRDSALVSFAAAAKLAPNCIDTAVSHASMLFESERYVEAQVELLRALAISDPVDPAEHNVGYGLCSDLTSAERLRDARARARNAMESFTTFICEEFVPTESIRVLDAIKLGREVAATAYDLAKGLATSFQFSGRAQFLRAYVYLKRVRGFDPAIDKRPFLRRTSRMLQDSVIAFRRSLVIALFHAKLLFVLGEYADAERACHRALAIESPDDPKEHDLPPGSVSGEEYDDRVSCVKNQLRTLIKKIIFVGASYSRLPSYEKEDSLMSVKVKPLLELCNATDKSLAKTITDALRFFKKNNSWSFWICPLSARCDGREFVDTPSLWKHLCSKHPERLWGKLESILGPKLCESERDCFALEWITFSQDQDQHDIFRLVKMDGMFDSLIRRAYVGAEPNLVEMRTDKCREGAEILEGIKRRLGALPADISSSQFDDARSGIQNMWLNFLKISVLDYREFMYPVAKLKKGMTDNPNIVGHISDSKIDSIFDDAPSAYGCNVSEGHDSDPSDANKMGTTRKQNLKPLHSNRTLKADGDHQESELCFEDGNSMVKPPNDTEGKEMEIDEIVANVERNELELLVANLLRKWQTQTAIEVSLLSTKKPLTKTCLSIK >OB11G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13416101:13417264:-1 gene:OB11G24500 transcript:OB11G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEECVRLVPALTGILRGMRETTRLVAFVADLFGADSFDAARDAGVPRRYLFFPTNLHTLSLLLHLPELDVSIPGEFRDLADPVRLPGCVPIPGKDILMPLQDKSKACYKWMVHHGRRYCDADAILVNSFEAVEPDAAKALRHPKPGRPPVFPIGPLIQTHCADDAAPPSPRAACLGWLDRQAAQAGHFLSFGSGGALPTEHMRELALGLELSGQRFLWVVRSPSDEGEVSANYYDAETKKDPFSYLPEGFVERTKEAGLLVPSWAPQTKVLAHPATGGFLTHCGWNSVLESLVHGVPMVAWPLYAEQRQNAVLLADGVGAAIRVPEAKGKEKIAAAVRETMAGEGRGAAVRAKVAELQRMAAEGLRDGGAATSALDEVVNRWTGEE >OB11G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13431129:13436922:1 gene:OB11G24510 transcript:OB11G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISLWDREPNPFGNEDAAAGDETEVFDAHQNTGINFDAYEDIPVETSGQNVPPPVGTFAEIDLGQALNDNIRRCKYVRPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGMRTACPLALILSPTRELSMQIHDEAKKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDTATPGKPTLTLVFVETKRGADSLEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGRNRRGGGGSRFGGRDFRRDSSSGRGGDYYGGGGSGGAGGYGGSSAYGGGGYGGGAGAGAPSAWD >OB11G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13466890:13467474:-1 gene:OB11G24520 transcript:OB11G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSPASAGSGLLELQLGFQEPVCLLVILFTMFTCFISKVQTFLPGSWQHCNCLVTKISTQVLSDRKVSKNLIKHKDDGVEMAHEDVGSVLRNMGLSFDQGRTADYSVIGVNCMPNLFVDDEPSLNEVKQAFLVFDEDNDGYINALDLCRVLGNLGLREGIEVDECEKMIAKYDMNKDGRIDMVEFIRVLEASF >OB11G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13470065:13471358:1 gene:OB11G24530 transcript:OB11G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPSVHLAVVLLAFCCLIHASSAETPFPPALRVMQQFEATPVSSGADDQAAAAAVVAGEGEAGDVNGRMELELTDYPGSGANDRHSPWGQERRN >OB11G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13474045:13479624:1 gene:OB11G24540 transcript:OB11G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLGCGRIRKWNSRLVWCWFGGNEFGMGDHVVVNVEGLGNDGGAAEKPSEAVSSSAVAAASLPMDIDTVEEGGGEEEPLIQGAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKPGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNDGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAALAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPHQQQQQQQQQQQQQQQQQQQQ >OB11G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13482932:13489120:1 gene:OB11G24550 transcript:OB11G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:J3N9G9] MGIYSIRVRVLSVAKALSIQAHPDRDLARALHALRPSTYRDDNHKPEMAVAVTEFRALCGFIGVQELKDVLRTVPEVRELVGKEEAAKLLAAKELDGGIGVKSHLQSAFTKLMTASEETVSEAVSKLKSRLNIESKVRTLTKKEQLVLSLEMQFPEDVGVLSAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYKDVQTLCSMLTYKQNFPEILRGDPIQAHVTRYTPPSEEFEVDRCLLPQGESVTMSPVPGPSIFLVMTGEGEIRADSMLDEGKAKVGDVFFVPAHTEVRIFGSGPGCMQLYRAGVNSRFFS >OB11G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13506613:13507951:1 gene:OB11G24560 transcript:OB11G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSVGSGGRSPLGMVVAVGLCCFFYVLGAWQRSGYGKGDSIAMAVNRQTAAACGGGDGVAAVGLSFETHHXXXXXXXXXXXXXXACAAALADHTPCHDQERAMKFPRKDMVYRERHCPPDGKRLRCLVPAPPGYRTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPHGADKYIDQLASVVPFNDGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPPRSFDMAHCSRCLIPWSGNGGMYMMEIDRVLRPGGYWVLSGPPINWKANHKKWERTEADLAAEQQRIEEYAQMLCWEKLAEIHEIAIXXXXXXXXXXXXXXXXXXXXXXXPQAPASCSRSRQG >OB11G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13509997:13515024:-1 gene:OB11G24570 transcript:OB11G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRNQNLGMVADTESSGSLGGSSNAASEKAVDGSVDKRSQEKAPKKTHKAEREKLKRDQLNDLFVELSSMLDPERQNSGKATVLGDAARVLRDLVSQVESLRKEQSALLTERQYVGSEKNELHEENSMLRAQILELHNELCARMGSNSLNPSNPVMSQPVANNSTNSATQPIPHQIWRNGPNLSNLAMVHPASTLSPLHNQHHHSAGVVGQVYASRPQELQLFPGTSVSMEREQSRLGTTPAASSGLTDSLPGQLRLSLPQSSQEESSSGSKKGRKKG >OB11G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13518522:13521987:1 gene:OB11G24580 transcript:OB11G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3N9H2] MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVLYSLITLFELTFASIIQWLPFWPSMKLIVICWLVLPYFNGAAYVYQNYVRPVFVKNQMVNIWYVPQKKGLFGKSDDFLTALDKFIEVNGPEALKKLTNKAGKSSKPSVKSSKDSKSSKDSKDSKSSKESKEPKPTKDSKQPKPPKVLKESKPLKDLKEDKKAVKEEKKAQKDSKEQKKALKDSKELKKALKDSKEQGSQKDSAELKPKSNKRVTFAEVEPEKELKASNSDWHPASDYHTTMYPEHNSWSSSFMIFEDENSYWS >OB11G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13523461:13524213:1 gene:OB11G24590 transcript:OB11G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPGPRWKKGKDGKDFSALAAANPMSAIVAELKASFISSKPMAILSGPGSGAVLGVDPEQAVILNRAAFGHAIENAAAQKLWFQLSPEEIFYLSHALNCVRVESQDKKQMCEKQLWDHFRSMSESFPEMYKAYSHLRLKNWVVRSGLQYGADFVSYRHHPALVHSEFTVIVVPEGTLFGNRCGRLEVLSDLLCALRASGSVAKTLLVVTISSSRSSSCELSSPDCLEQLAVHENNNKMDTTAVPGTAV >OB11G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13525650:13529283:1 gene:OB11G24600 transcript:OB11G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPAGRVKRKNQKKASGGGGDGEEEDEE >OB11G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13531761:13532805:1 gene:OB11G24610 transcript:OB11G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVPFSQQLMFGNLQYAFSRHDSTKESSKTQKELTGLHQHSFLPQPAIDKWSEEICSVETSLRHIQLHIVQLGIDMFGNGEAPRKSIPGTIPMPVPYFNLFSTNTLVKKALLHE >OB11G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13532251:13532591:-1 gene:OB11G24620 transcript:OB11G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILILHFFVINNICLSQRILIPFICAAGFDLVESTTEQLPWAHMSWWPYDDENSNIQDQNFNSVNYICMEIERRNSLQRKLGA >OB11G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13537519:13538829:-1 gene:OB11G24630 transcript:OB11G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEQRMKFLLESASSSSSAPASAPSEEVVSRSRKLVVESLGLGVGVKKGEEVEEELLSRRSKKNKVAGDSGNSGTEVWDLEMQDADQNGEANTSELIGAIGRELAITCLLHTPRSYYGMIACLNRSFCSLMRSGQLYRLRREARIVEHMIYCSCNVLEWDGFDPCRQRWFSIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLKYSLLSNSWTTGDMMNSPRCLFGSASFGEKAIVAGGIGDTGTLSSAELYDSEAQTWTTLPSMNKARKMCSGFFMDGKFYVIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKEVRRYDKVNNAWITLGSLPEKYTSVNGWGLAFRGCGDKLIVIGGMSAPGGGVIEVCSWVPNNGQPEWKIIGSRRSGSFVYNCAVMGC >OB11G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13539606:13539815:-1 gene:OB11G24640 transcript:OB11G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding TITTSSCSLSLSSSSSSSSPFSLISSQLEFANRIQKQQVRREKKTRERKKNKAFSLLLPICGARRRPEEE >OB11G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13559737:13563561:1 gene:OB11G24650 transcript:OB11G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRPAAGVAVLLLVLAAAVSTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVAAVVGGRTAPMNDEQQKEAEKTVVGEFSTVKHVRGILSMGRHSDPDSGSSSFSILLGDSPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERIGILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRRKCFA >OB11G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13564320:13565015:-1 gene:OB11G24660 transcript:OB11G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCSRAEEVKNQSVVDQLYHISTSFMSEMVYAMCPPYVVHQEYSSEINYVNQQLYHACSPIFLPFEGFGLGNACFVENQTQVGDFNLSGSQIHCIFRPAHDENLEASAEMQHFDMINAGDIQILFQRFPRPLLNFQHLDLSDQVREKEPALHNRENWIYIDRKLCAKNEVIQV >OB11G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13570623:13572060:1 gene:OB11G24670 transcript:OB11G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPPAAAAAAATDEQMAELMSKLQAALPANSLGEANGKQVSSAEVLQEACRYIRRLHREADALSERLAELLLQPSDRTINGADASDLIRSLLM >OB11G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13574518:13576019:-1 gene:OB11G24680 transcript:OB11G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKEGEQQQQQQQRATVPAFGEWDDMKAAGVLPDYSLDFSKIRAVRMQQRKEGPLTWSSNAAGDGCGGGAAGEVETAGRRSSSAADGDRDRRRRHHRRRNSDGADLRRPLRADRAAPKQERSNLLKGLFCCIAG >OB11G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13578940:13584732:1 gene:OB11G24690 transcript:OB11G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDFFFNFPFQQEKLVRVLSLDRVVCRNQVVRVEKKFGVLDVTSKATTHEHSARNDRLGVSKSYKGVTVLSDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEPDGGSVVKAKDNMRIAFLSQEFEVCASRTVREEFLSAFQEEMEVKSRLDRVQAALEGATDDMDLMGRLLDELDLLQRRSQDVDLDMVDVKVQKLMPELGFAPEDADRLVASAPPRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLTLRNLEFGFGEKKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDEIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQMVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >OB11G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13586637:13586987:-1 gene:OB11G24700 transcript:OB11G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQNPAQAAAFLGKNPILAAAVLNCALSSTKAAVSPCQAVASSPDPAAVVARSPVAAPAKKPLLVAPRSPAVAAAAGVPSPGKIGARNGGAGLLGPYKPPCSPVLASSSGGKEQC >OB11G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13594695:13595228:1 gene:OB11G24710 transcript:OB11G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVEERLLAADELDLITDLMAETAVEEKLTAVKELDLITDLMVEAAVEEKGKAAAGGEEEGGEEKPCAMVRMPDKFITIILSLKGEPVPSAEYLASLSPEELEEELATIKRGDELEELQAEVREGLRKDGYYLVEESYLTEAAAVRDMVKEAWAKMDWSGLTFGEWDPECVTYR >OB11G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13615757:13620173:1 gene:OB11G24720 transcript:OB11G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22120) TAIR;Acc:AT2G22120] MGEQPARGTSAAAAPLIPPPSEIDIEAGAGDQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFVLVQFVISALAYLVHFIDGYQNYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPSEHVQQLKSLGLL >OB11G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13627859:13632111:1 gene:OB11G24730 transcript:OB11G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKDKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLIGFQDLGGKDDFSTRSLENILKMKGIIDEKKKDEDDEDDETDMSMNRSIRSSTAQDSDSD >OB11G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13635586:13635807:-1 gene:OB11G24740 transcript:OB11G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNQISPNKINQNAAIGGQEPTNPIPHKQPPKPQPKTLGQHQRTLLYLLREHPQLACHNPITHHPIKMVREDELT >OB11G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13636330:13640607:1 gene:OB11G24750 transcript:OB11G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT4G39790) TAIR;Acc:AT4G39790] MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRNVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAAESTGSPLHRGSQLTPPSTKIHYMKASGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLTDSKESEVVAPREETANKSDRMHPELPGDSAVTKQEGQAKKRGMSKPVGLVEVTTEATTTSGQVGTKVEEDDTEKEMCTETEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKTPGSPGKPPTARFVSALRVCCNRENILNQETTQHVSKVVTWKRSVSSLSSSSKSPLTTAIITDDVDDSNGDFVEQFAMVSGSHSSTLDRLLAWERKLYDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKGSAAVQHGEHHCRAAMHLWNELDGFSTSFKLWVTAHKSYVESLNSWLQKCVLQPAQDRRRRRRKVSFPPRHALSPPIFVLCRDWLAMMESQSAPTDELCKSTKDVMQLLRASFDHQTDHQSRTTGEPHPRSESQECGMLENNEQEASGSVQAVEGLQSKLTTVLDRLTKFSEASLKQYEELKQNYEMARDDYQTGRSTAHLV >OB11G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13640428:13644769:-1 gene:OB11G24760 transcript:OB11G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:J3N9J0] MSTAEHRDLCFRQLCALVREAGVRPLSLMANDPAEYFAVMEAAGGVDISLGVKFGVQYSLWGGSIINLGTKKHRDKFFEGIDKLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGVLKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATLYLVDKYSEMKKTNDEDVMADVHVLSSGLKAYITSYTAKSISVCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTFSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >OB11G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13650764:13654527:1 gene:OB11G24770 transcript:OB11G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:J3N9J1] MRPILMKGHERPLTFLRYNRDGDLLFSCXXXXXXXXXXXXRGARLGTCRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMDNAPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATVRLWDTETGKCLKESDKEQGHQKTISSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >OB11G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13655123:13658163:-1 gene:OB11G24780 transcript:OB11G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQLLASLEYLRNNISRKLADAADGGWQLTDARERHCFAFMEMELGAVVTSLRTPSHPHGGVDQDWLQELRRLVRQIERLVLVKHGEAADPPTCQCHALLRKAKRRRSFFRRRTDSYSQIQHEAYGLHLAAERPRGWCERPNTSSDPPPHAAAAAAAGGDRPVGINAAAKRLLRRLMAADTSLRFMAIAGPAGMGKTTLAVELHRRLRRQTKLFECCAVASFSRMREPVRTKLFLHTVVSQIAGLEASSSHNSETNDQLAASIWKHLQYKRYFILIDDISKDSDWGIINDAFPANYCGSRILLTTRSELIASCCVSHYDGDVHMMKPLSDSNSDRLLRTKAFGSMDSYPPDNLKLLYKEILNKCRGIPLFITGMAEWLKHHEQQQREISAVPTEEQVRMLFKQFEQKLSFKYSYKLRPSLYLSMFPQGYVFDKNHFAMKWLLEGLAGISSGLKLDMEQAKMSFTEMVDTNIISPVAENCGLNLDEDELQQWQVNPFIREFLASKAAEKGYVFTSTTLSSAPAPHGDSMNRIARRLALHNDDPWLSALLQQLNPSHTRSLLICGAVDRTAVPLDKFAYLVVLDLQGWANLKDEDLVQICKMFLLTYLSVSRTRVSKLPPQVKELRILNTLDVSHTHIAELPSEVCKLTSLRMLDLRGTKISQVPEQIAMLHFLKTLLVGGDGVVTKIPHMTDLQLSTLATVDLSEYPASFVNALGRQSSLRVLAITWSFHQSTDEAYRKALRSSIEQCKELRSLTIHCAQGCSMEFLGSLSDPPKELEKFKVTTGRFVGVPQWIGGLEHHLAFLQITVCKLEPDGVTILGSLRCLKCLVLGLEFVPEEEIVIGSETFRELERFSLDCPVPWLTFSQGAMPMLNYLQLKICSGPANQAGAAPSGLTKLPRIREVVICYSKWCSDSSSVKMTVRAVRKQLGRHPGQIDLVVNSKEVVTRRHNKRQSGTKNVVHQLMWPRGQ >OB11G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13659685:13663336:1 gene:OB11G24790 transcript:OB11G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSGAVKSLVNKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLTRGVAHDEQRQDWMKQVREVAYDIEDCIDDVGHRLGGEPRGAGGLLSLRRAWYLTATLYERRCIAADIRNLKRRAQHVSERRTRYGVENLPAGGSSLAGANGPSDRPAPPLQLIGNTEPVGMEAAISELEEWFSEGKHGTQQRFLAIVGFGGLGKTTLAMALYRKFGDEFDRRAFVLASQKFHLQTVLRNLVRQFHEQQADASQDALHEIDELGDEALKKKLSNQLKDKRYHILIDDIWSVSAWENIRDSLPKNDKGGSIAVTTRFKSVAEACRRQQGKVYELMALSEETSYKLLRQIITCVPDDPTDGAKTVLKKCGGLPLVIIVVAGLVASKMKSNTNPEVDSHLVEVNKDLSDDLGNNLTTEGVTHILNHCYNHLPADLKTCLLYLTMFPKGCCISRKRLIRRWIAEGFIAEKHGKTVEEVAEDCFNELIGRNLVRPTNNSSNGKVKSCQIHDMVLEYIVSKSSDENFITVIGGHWQTPFPSYKVRRLSVQKSGRQEKETVERMKLSHVRSLTAMGSFKALHSTLLKFQILQVLDLEGCKDLSHSYQLKKICNMHQLKYLSLRRTDIGKIPKKISRLEYLEILDIRETNVKKLPSSVENLERMVHLLTGNKSKQIALELTEEITKMTALQTLSGIEICGSSSAALQNLTNLKKLKIYKLENFNERDHELLLSAIEHLSSCSLKFLAIDDSFRGFLDSSLSSSQAPPEHLYTLELSGMLSEVPRWIKSLHNLEKLTLSLTSLTTATLQTLSSLPELFSLIFSLDATKNSSNVLKIVGKNTLESGGKIFVRDEGFKKLKLLRFAAPALPPLSFLEGAMPELQRLELRFRTIECVYGLENLSSLQQVFLTVSSQAPDDAKAKISQVKRQVSLIQKAKNSPNPNVVMDEYNELPKEL >OB11G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13663937:13665931:1 gene:OB11G24800 transcript:OB11G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19890) TAIR;Acc:AT4G19890] MLARRRRHGLLLPPLSTAALSTLPPVAAHDAAARDPGALAPDDAIAALPSVADADGSAAALALFRRLASRPDTRCLMRLYVTAATTFVARGSVPMAHEVMRRMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLENRSFGYARKVFDGMTRAGVCPDARSFRALVLVCCREGKVDEVDALMKTMWRCGFSLDNATCTVVVRLLCEKGRFKDVSEFFKRMLEMGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVRRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLMGRMVEQGLEPNTNTYTTLIDGHCKGGSFDRAFELMNKMKQEGYLPNIYTYNSVIDGLCKKGWIQEAYKVLRMATSQDLQFDKVTYTILMTEHCKKGHITYALDLFNRMVEKGCHPDIEAYTSLICTYCQQGQMEESQKLFDKCLTLGLVPTKQTYTSMIAGYCKVGRSTLALRIFERMVQNGCLADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCEVTRVTLAFEYCRKEKTSVAVSVLDRLDKRQQAHTVDVLVRKLSALGNVDAASLFLKEVLERDCAVDHATYTGFINSCYENNRYALTSEMSEKFSKKSLAFKKRDNLATAIA >OB11G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13666886:13672707:-1 gene:OB11G24810 transcript:OB11G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAFGLLLLGCIQSFATPDSQVVILHQIRMMLNDTRGVVNGWNDNQVSPCYFNAIICNQDQQVTSITLSSSGLSGVLSPRIGDLPYLQQLLLDGNNITGGIPQELGNLSSLTTLKLGGNNLIGSIPDSLGRLSKLQNLDLSKNHLSGKIPTSLSNLPSLNDINLADNNLDGEIPKQLLQVSHYNYIGNQLNCGQHLIPCKGSDVNTGGSKNSIVKVVLPSIGGAVTLIVIVVLFLLWWQRMRYRPEIYVDVPGQHDHNLEFGQIKRFSWRELQIATGNFSEQSVLGKGGFGKVYKGVLPGPHGKKVAVKRLFEVEKPDGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDANFEAVVGDFGLAKMMDIERNTVTTRVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAIFPDFLEGAGEIMLIDQVKLLVQGGRLTDIVDRNLDNAYNFEELEKIIQIALLCTHVEPDRRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRQQYEMRQQQQLFSFSEESLNIQEAIQLSGGR >OB11G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13681822:13682157:-1 gene:OB11G24820 transcript:OB11G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEADANAVADDGGVEEAPLVERMTRVPLDVVDWLLALRREQFADAASGYQFMHPAGFLEASPEEIAEEWRELMDEGFEAGDWFEKADDVIERWIELVRAQYDAQGFAQF >OB11G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13684780:13690547:-1 gene:OB11G24830 transcript:OB11G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general regulatory factor 13 [Source:Projected from Arabidopsis thaliana (AT1G78220) TAIR;Acc:AT1G78220] MKEREKVVRLAKLAEQAERYDDMVEFMKKLARMDVDMSAEERLLFSVGFKKTIGARRASWRTLESLEQKVTAGEQAGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSAGTERKAATDQSLMAYQHAMVVASSELSPAHQFRLGLALNFSVFFYEIMNSTERASQVAKQALDEANDEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGGTSKDDDVVMEG >OB11G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13696175:13696339:1 gene:OB11G24840 transcript:OB11G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLSIVTRKRLNKRPSKSNKRRSKPKQQFTLNDVTTLPTRRHFYIHVWFLH >OB11G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13696680:13696847:-1 gene:OB11G24850 transcript:OB11G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIHMIHHRSPVKECLKKQNFVIPLKEHRSGRARLPQLNLGSSFSFPNVYKLKF >OB11G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13697632:13698992:-1 gene:OB11G24860 transcript:OB11G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVHSSKCVKPAYAYAGAGDAPAAADVVPLTVFDKVNFDMYISAIYAFRSPVPPNAVLEAGLAKALAEYRETVHSPDVEVNSWLRIPFRGMDFGGGPPLFGMPSYVPPAEGSVIVLPSFSWDGGVDAYVPLFRRDVGAFDRCCYSLADAGARL >OB11G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13710504:13714673:-1 gene:OB11G24870 transcript:OB11G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGQTYGFPIYCASWLPLAHIFLRPPSSEDDAPVDADADAPKSSDSPAPPPMAALGGGGGEGRSGVPNALVVAALDPAGEALDPEPVLRMGTEEQVPYRMAVHPRGDGVLCAFPNGCRLFRWESLEGERLHNLSLRADHEALTELSDVGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSVLEEPDSKTSVKDLNFSPDEHFLAVNRSSGPCRVWDLKSSEAVANLPREAGEIFGFCRFSNQTDSSQILFVTAMQGDYGKIISWNTTSWTRIGSNKITREAISAFAVSPDGTLIAIGTIEGSILVLSSKNMRVLVTVKKAHLGIITTLAFSQDSRILLSTSFDSTARVTSIGSPKSRGISIWSMILVVLLAILAYYCMQHREDLLSRLLH >OB11G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13716428:13722005:1 gene:OB11G24880 transcript:OB11G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G11870) TAIR;Acc:AT1G11870] MLTCGRFLSSSSAASTPSLSSFRNLSISLLRRPHLRLLSSASATAATAVEPDTKSGVGGDGGGAAKPQWKAAIDFKWIRENTDTVAANIRDRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDVPVGGEESSIVRKEVGSQRSFNFTIRDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGSATRGLYRVHQFSKVEMFVFCRPEESNKCHEELITIEEELYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPQTNAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGIEVLSPKTK >OB11G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13721645:13721938:-1 gene:OB11G24890 transcript:OB11G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAPEEEARKTMRLTKQQIDYAYAFERRPFRPKTHPLFSDEIKRLLVEVARCAEEMRDRTMEYKELVIRQFVEMGYVDIEVVDPEEEDDGGDDD >OB11G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13726779:13731439:1 gene:OB11G24900 transcript:OB11G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3N9K4] MESNGEAAAPARVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLTAPDENRRPLFAAADLNTFYLENGPKIFPQRKAGFLTPLVNMMGVVWGPKYDGSFLHDKIKSLTHDVAIADTVTNIVVPAFDVKRLQPIIFSTYEAQGDPLKNAHLSDICISTSAAPTYFPAHFFQIPDPAGGEPREFHLIDGGVAANNPTMVAMSMISREVLRQNQDFKLGKPADYRHYLVISIGTGTAKIAQKYTAPECAKWGVLRWLYDGGFTPLIDIFTHASADMVDIHASVLFQSLCCEQSYLRIQDDSLEGHTSSVDIATKENMEALIGIGKGLLKKPVARVNIDTGVHEAVDGEGTNEEALARFAKQLSDERRLRKRNHNSY >OB11G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13737356:13738189:1 gene:OB11G24910 transcript:OB11G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGRRVPAAGGSPRRRHRRGRRCAKNGASARQCGVKSPSSSASRQLRCKASPSPSLQGNYSVVVVSGDSCAERNVLKPNNFCSGEVVEHDGEHSRVAGRPRPPPEAEIEAFFAAAELAERRRFAETYNYDIALDRPLQGRFEWSPVST >OB11G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13747766:13752758:1 gene:OB11G24920 transcript:OB11G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWGGGRRPSGGGGGGGGGGGRINGXXXXXXXXLSREGQVRAYVELYAALGWACLVCHSEFLTLFLPDKAAVLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRVLSWMTRGIASGLDTLFINKFEAQRTEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQSSPHVGHYKHHPEEYRTAVTELLIKASMLYTRRRKLNSYEIGTSEHSGMSQSASNLHKASASSNDRLRRAPTDPIDQFFLPSSMEYHESSTEEPKTELFNMPSVENINPHGVLGQMLYDVCVPKNVEGWDFKPSASMNGRHINPIARQHGTFNPIKCIRRSRL >OB11G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13757115:13762675:1 gene:OB11G24930 transcript:OB11G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidic acid phosphohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT5G42870) TAIR;Acc:AT5G42870] MYAVGKVGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRTGEPNLEEGEFAVSPASSGDEREVVQDAQQLRKSKSTSCDSSTTMEANAGDGKILARASSRRVTILERMFGRKSIKDSPHGVDRVSSLERAEIAAQLLDTNWSTNPSRGARARRSLDEPSKGNVENHANGNQVESLEMVLPSCSIDQEKDMGSNRGSVDSSFYSPHGDEGTTNLGVENDHCIQTSVKEEVVELYTCDSSVLIAGAASTDQTDRTISEPIDTKSEILDNFEDDAGREMHTGEVLSHENFEIHATETNITNGRSEMVSQFFTVEICQNCTDANLPVYETAGLSSEKNAISSIASAQDACQEKVVILSSSETVESSYSVPSILVDKVCEAVNLLDDSIQPKEQSGVSIEKIEHVSFEDKALLRCGSSSNIVDTTKLDIQEQQLVVFGNSGSQNSRTFVPDKDISVDTAADDHVKYPTHDSDIDISVDTAVNDYSAQTGNDLAYQHGLVFPGASSSVEEISKYVLENDCNDITKDSIVENKTCDGEIGNSLVQMSTLGDENIGCVSLSASFPNKVDLQGSQIISDPSSLREVEAENTILEDTESRPSSASGVEIKLVPGATYEPREEEAVVSFSEFVEEIQFQFSDTESFADRKTTDNAISNKEVGGVVEHDESDCDTEQQGGDKTGLENNLDNYSDSSRPETIPVPIPGSELHSGDNNLEAKSLPILRSHIHDLERSDSFQLSHSLQSNSENNGVEPVKSTNSGLPEQEPEATGDSKENCGPPVLTNSAVSDNLKVDAFNPFVELSLCRHLLSEGMGEDAACKVFDAEKVPLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFHEEQSFEPQGMIKVERVETNAAPGGSWRIWPFSFKKTRSVNTVQPVSESTEETSSTLVKELEIESNKPRAKRKERKVRSLTPTSEELASLNLREGRNVVTFTFSTAMLGKQQVDAHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSSIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISSSSEQEDYNTWNYWKMPLTAVVDI >OB11G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13764226:13768456:1 gene:OB11G24940 transcript:OB11G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEPATSSSKKKKKHSKKRESIDVTEDTSLTAAAAASPKKEKKRSKKRESIDVTEDASLAAAAAPEKKKKKHSKKREVINVTVDASLTGGGAASSGAAPIVAYFPTGYDPLAAAARKGKEPSRTRLFRQTKHPTRIELVVGAPGGGPDFVGRSFAGEAVLPQHTGYALGVLDKASGTLKVVPIASNKILRLEPQFEVQKPAQSQHSEAGSAENAELKVQDVTLMFGSQADKNKDIKWKSLNEQRNDPSAFLDLDLGNSDTNVGASESQEQTVRNIPPYDPAANTSERAYLFDEIIPSSIRPHLMDIVEHFESGEFSSKGYESFVSNRVNKLQELQGEDKERLAWILSYITHLLTFLERNNSMSKWRRKENRATNRLMIPHAVYRKLLLMFVEPGSGAVSTEKHELLINYILVLTLYADNFRSDPKDICEDLKMTSQMIRPYYAQLGCKSVSAGALNSTVMTLPAPLKFPKEFARRKRRR >OB11G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13766546:13771220:-1 gene:OB11G24950 transcript:OB11G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKQLKKPRKAKASYQIQRPRRRRRRRRRREEKREPSRGDAAADGPAGGGGPRRWXXXXXXXXXXXXXXVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGASPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMLMRPGTVNGMQSIQAADPARAADLQPAAPGSVDGRGNKQDAASEPSGTESHKSAGADNEAGGDVAEKS >OB11G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13778992:13783323:-1 gene:OB11G24960 transcript:OB11G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:J3N9L0] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTFQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEAVIARL >OB11G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13784042:13787490:1 gene:OB11G24970 transcript:OB11G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAQQKMAAAEQQQEEGEHGPFPIEQLQASGIAALDVKKLKDAGLHTVESVSYTPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVVSSPCLAEAEARFQVASEGVADVKD >OB11G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13788262:13790788:1 gene:OB11G24980 transcript:OB11G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLPGGSVDQSEDYSPAATVVRFDPPLPLLRAPVPSSASSGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARAGCSITASRKCKPPWWKGLLGGGPTDYQERERCEEREMASCLETARQACVKFAKEKCIEPFRDARIASEGLLENTNFAVWGVGSDITSSTSLCIANREYPFKPCPGATNYKGSDLLDSFV >OB11G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13795996:13799658:-1 gene:OB11G24990 transcript:OB11G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G53860) TAIR;Acc:AT1G53860] MEPKSSSSHYLQHSATLTSTRRNSFQGVGIDAGGGGGSNPFGPTFSDPLCSLNLKETSEFVRSSFPMATLARSNSSNGAGNGRGGHGYHHESSTASSSSSSSASAQRRRAEQQQQVPATPGRPLLFLNSSSPAHPQLISARRSVPSKWEDAEKWLRQASSDHHGHHLGKASKLQNGGQEEKKAPVRRSVDADVNALALYTSPAAEVFLKDKFTDNVEPSKESFVFRSSYCEPTKNTAVRAAAADDLDHRRDIGTEMTPLGSSTTSRCHTPIKSTSPARHNTPASRSGPLVPYNGSSGGQDISDLADCHFAKLELGAQFDAMLINWSSKEEEEEEVSKSLRHFEASVAVDKRGGAADCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLKRAAEQVRRAKATANHHHLTGSNASCGCFPCNGSSNIISGNLLNYY >OB11G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13808365:13817523:-1 gene:OB11G25000 transcript:OB11G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVNWLRLEQSSWKAVAIVGPVGSGKTTLAAQVYDEISGQFDCSVQVSAASSHTADISKALTYILSLVDPGYHNKKTEPPENWLGLTASMHTCLNAKRYIILIDDIWDSKFLSRIFYAFPVNNCGSRILVTTRIMRVADRVANKYGGLVHRMKPLDEIDYKKLFIRHLTTTKDSCDLDRLKLVSNKIHKTYDGKPLAIAAIANTMKQEASSSTLTWVEEFCKLGKAPGQGQIGRFLSIYHDMSHDMRCWLLYLSLFGDYYIDKDRLMCRWVPEGFVTPLWRYDLLTEAEGCFDELIDRNIIQPVKQGKCYPDVGIYKADFLMIQVILQMSPLKNAIKTTSDKIRLIEHNNLLHLSIYSPDLNVDEELGEKDLPLVRSLTILDEAAQSIEFSKFTYLHVLDVQGWAKLDDDIMSKICTVLKRLKYLNIRDTKVSILPQRIKNLSILETLDIRQTRVSFIPPEVSKLQFLQALYVSHLKIRRLPSLIVKLHRLVKLDLRETEITELPDQGSWLRNLLHLLAGCEDSTKSMLKMPRWISRASLLRTLATVDLSECLLETIRSLGDLKELNELAIILSCHHSVKQLYQEALLTSIDKMEKLKYLAIYGEFGCSIEFMCYLTRPPKLEKLILTPRLVSIPQWIKSLDDLVLLKITVCKFETTDLASLAGLRKLCCLELVLEFHLKQEIVIQNEGFKFLERFSVACRVPWLTFKQGAMSQLTALELKSSSLEEREHNDKVTVEESSNPREAAPTVKNDGTGDGNQERANEDGPSLNRYHFNAVLQSFLALDNLRMTMLRRDPVAGSLTSELNMVFMDTNGANGIGRNLEQNHLSEIFSEQTKFRSHEMMQRSFHFQGFMQNGLGEKADATNMPNIEDACVRMSTVSSSDQSEQMQVKPDPVMSGSDERATNTSKVQHQEHAAAPASRRHGEGMVEGRDMEEEGTAITKHRVVGDMPVWGNRLGNVVRRMPNSGNICHFNAVLQSLLVLDELRRKMIELNVQHGTILLELKDLFKKTSAGTNYAEDTLEREAGKVFDEMCSKGPDYKKSEMNDSIDTLNLLLNCLKKEDEGNDSTIVNPTFRFEMSTTVTCKRCKGQSTSPQEGLYLSLDLPAKKHLPECASLPPTDRDQNRDIDITTHKINNINDGVYDIDDPISIEICFDNFVSDELSDYVCQHCNGTDGNTVDQKGSATKQIFITKVSPVLIVQLKRYWQSPEGSPKKLMSGVKFEELLDITKYMEARPSENTKCIYHLVAVIQHTGNSLDAGHYTAYVRANRIAGQEKKILWAHANDANIKECSQEEVLERQAYILFYEQVEGSSFKLIDWMGKYHLKG >OB11G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13819896:13824595:1 gene:OB11G25010 transcript:OB11G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGFGAWNATMNKIINKLGDLAVEQYTSIKGVHGDIQYISDELGSMRAFLCKLSVGGGDHHDDEQTVDWMKQIRDVAYDIEDCIDNVALRLGSEPHGGCGYKLRRAWYVATTLQARSDIAAEIGDLKLRAQHIGERRVRYGVTNPEKPKGSGGGGAAAKSASGAGHHPPASFRERDRLLPASLVVGTNEPEVMKDDIGELVEWLSDVRETKKTKILAIVGFGGLGKTTLAMALYRKLTEQYDSRALVQMSHKLDSLELLRSILEQVRMPRDQGYGSASADPLRQIEGFTEAQIKSKIKNLLKKKSYFILIDDVWSTSSWQNISDTLPRESQGSIIVLTTRFRSVADACCPEEVQPENNRVYTLKPLTEEKSERIFLERAPGADRENFKDKIDLILKKCGGLPLAIVVVAGLLASNLQSKSHWENVTTSLSSSALESELTTEGVTQILDLCYDDSSSDYQTCLLYLSLFPKGSRISRKRLIRRWIAEGFIGNKPGKTVEEAGEDYFNELIDRNMVRPVDLSSNGKVKNIQVHDMILEYIMSKSSEDNFITVVGGHWLTKTPWNRVRRLSIQSIDREHGKTPIERLRLSHLRSLTAFESLKELPSFPSKVVILKILDLEGCKNLPGDLLDKICRMFHLRYLSLRKTDINHLPSRIGKLHYLEILDIRETYVKKLPTSVEHLKQLTHLLCGNKRKRLGLKLTQGITKMAALSTLSGIEIGQSSSTTLANLHYLTKVKKLSIYRIENHYPQPQADKIYARLRSAIQTLSSGSLKSLAVNDDSTDDDSLSHGYFLDSLHSLSDPPVHLRALKLSGKLSKIPKWIERMENLERLTLSLSVLRTNVLRIVGTLTTLFSLTFFLNSSQLSKTVRKTLEENTRCSGGEIFVPAKNGDEADGKLTVLFPSLRLLRFMTPVIPLLAFQEEAMPKLQRLELRFGMLEGVHGLENLSSLQQVLFGVNSRASQVAKEKVCQIKTSLRNHPNKAGAIDDGYNE >OB11G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13854868:13857197:-1 gene:OB11G25020 transcript:OB11G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFRPDNATKMASVELWVVITTLLLVVRYLIDSLGGPSYSSKYMIATSQIISLLNYSMVHYTLGLMQLSAERVNEYFQVWAVLMVTLQYSVKISCPYSRSKQIPLLDLMSSFWTANLLRVQTTFLKIPLWLIWALNAARIISYFLSSDRAETSNQENTRLVSDYMRYEHTHSEAGADPTTMRGYSYLVHGEDLLLRELQQQRRTGPGRYRIQLDPCHQKLVTVAKLWNIDNGESRLLGMAADPDNRLKDTCLSFALYKLLRRRFYNLPVHEAKPFALEKTKRLVFDSILQGSKYYERAFRITEMELSFLQDLFYSRYAAIFAEGFPFLRMLFSLLLISAVGYVAYPVRHIPQRMDLADKNRITHGVFITRFIIGLIITKELWEIYIYAFAPWSKVQMMCLYVKHRCLRNLLVEKAIRLLFCLITRGKWNRKIYQYNLLLADSQGIRLRRGFVSVTSIKLSAEAQKAIFDLFKRLEKDNNSIQSYISNAFVSNTSNVGWFANLTWSVDKLEADTHRILVWHIATCLCEINLAKEMNVFWLRSRPLVSKSRVPKDAWEHYITAVSLSNYCAYLLTMTLVPDTGLAAHRVLTEVRRETYRATRRVKTLEDIYDQLVMIVKNSVEDPAARAPREETPPVDTAYGIMVEEQVIQDEANEDPEEQNSTSNNIRVIDEEHDEQPPEVPEGDAGFDNTIIKMGAELGMQLMEAYKEPACLWQELAKFWAGFLLHLAASTGAAKHKTHLDGNQELITHLWALLSHAGYLGDVSHGEQILES >OB11G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13860345:13863644:1 gene:OB11G25030 transcript:OB11G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGGLISSFFIMLNKKTYILFRIEFLVVVATMLFLGMSILDIFRRRFHNSLIKSIFNLSDAVSDSIVLYILGAMQTAPIKNQLFPVWAIVLILRSFYRFILRNLAAQSIWLGQSSSLVSEYMRADGDLCNFKLEDCDPETMKGYRYLVYGETKSSVKLQKPRYALYIDSSRRRKRHGRRYTERKYTTNTDRLTTLDKIWQCDGNLLQSDDKNAKRLKDLSLAFALSRLLRCRFQGVELHTETLRINKKLIKRRIIQEDADRAFRIMELQLSFVHDYFNTRYPMVFWCGFPSLFFSFILSAMTFAVAFWLSVDIRKVTKPPEGDTAHSVHGINVDTIITWFFMGFMMFKEIWEMVTYLLSDWTRLLLTCLYERWRGRCMRTNCTEKLILSFFKSKITERWHGVIDQYVFLQSYDGSPTFWNLLHKLTMGAVAEKDEGAELGNPISIPECVKPEILKKLVSQDLTHNYLCKVIISLPDSDSERVDRYSWACSGLPTCSHIILVWHIATSICEMHLAKHEGVNLRKPGFLSGLLSCLTNCCSSKSYIMDEKKLPGDLQKSYTVANSISRYCAYLLVSKPDLIPDSFLVPKMVFQETVRRARDDVLKDCDSLLKRYDKLIEEGKKAIQDKNTVMNGEDVMQQGAMLGKLLIDNESKERCWELLAGLWADLLVHIAPTWNAEAHQKYLGSGGEFITHIWALLWNCGIEKSILWPEDDASINSPPAAPDDNNSGRQTRNEDNQVLPTTATIDGGLADGNVFRGMRNLGNTCYLNAVLQSLLALDKLRATMLGSYSPEDPLEQELKKLFMETRTSSHMAAPLDTEQIFSCVCSLNPDLKPGVMEDSNIVLGLLVDRLNNQELVGPLFRGQVTKHVSCTDCEHTSVTTENLVLSLAIPPGDAVSIENCLNSHVNGKTENWHCTNCSATPGNAKTIWDNQSEGSDSEAQQKEKSSHSSDEQPSTQNQDNGNVALEEDKHQNDLIDNKPKVEMGGITSATIKYRITKAPPVLTIQLKRFDYVCGNRSQKLGIHVSFAETIDITNFIDPKY >OB11G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13877990:13878624:1 gene:OB11G25040 transcript:OB11G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPIGNPSLDMGRLYFVDETKTMQGFPQPKLMILLMVLAFWVLILRYKELKEVRAGRFWKFLNL >OB11G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13879232:13881700:-1 gene:OB11G25050 transcript:OB11G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAAAVVSNFIFKWSQEKYILLRFRILMATLMVVYLLVVYTTYVAPMYLGERVSSLRRTQAGSDALLLYVLGAMQAAPMRYPLFPAWAIALVSFRSSARGLSERYSTHIELGNVAKLLVVAYMNASRGTRIGRAPLWIFWGLLLLKCFYRIVTCQQASDTLWNGRSSELLQACMGPDQDPSNFDDGTSSSSSSSPDGDMEGCRYLIYGESEPEEFSCTCSKTSRDLDINNLRSPVTLQRCVRAFKEDPCVDPRYNLNFVCLPFALSRLLRCRLEGATLHAGTVSMNRKLLIHHSYKEKELDLFDILERDVLFLKHCLLTNFPMICSYGLLSLGSGFILAALKFSVALWLSGDFFSAARHQLSENRSKHNLSATDLNITGVAILFTALCDGQEITKCFLSRWTRLVVLCSWVKNLNSIWKASLSRCIIPLTVVVHTEFGHMDQYAFLESFSHSASKCGLLHSLTGEMTADGKKLTLPIKIPGQVKTEVLSEALQGLRNLDYVHSLSRECFAPVQHDANAEAPAEQYWSEIIQTAGASGCSRVILVWHIATSLCEMKLAQEHGVDLSRKPGCLHFSRTCQRIRYLGRPYGPYLLDKKMLDDELWKKYLVANSLSRYCAYLLVSKPDLLPGNIWVSNKAFQQTLLCARKMLHGCKSLQDKYDKLIATSQEEDKAVSATDEGSEILLQGANLAKILICHEGKERQWEILAKVWARLLLHLSPSSNAQAHANAFESKYSPELITIIWALFSHCGIDKSELWDVAPSDLVPGPSEQTTQQPAAESQETTGPSDAQVHNIHLWSTSRQLAGDLEDGGGEIQEVSKHGG >OB11G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13890627:13892192:-1 gene:OB11G25060 transcript:OB11G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAGHGKPAAAQPAKREKAKVQTNPHRQVEEKAMDVAVAIVVAVVSAIIVIAAFILLGAVMVTLAVVTVVVVGVVVATQQYLEQMSKDKASRSSGRNKFIMFKPSQIDYAVSNRAKWLRGTATYNVYWSNFDGMDIATTVPNGTKPSMQEFDQAVEILKNISHPNLIPFLGGCIEKRAIVYRFGENGTLESRLKYFRWESRVKSAASICSGLMFLHRRKPKPIVHGDLKPSNIVFRPGNACMLSDFGMCYLYSKEFGGLITDPCKIHLDVSALGIVLLQLVTGDLDAKDLRKRVLYNLGEAKWFYRKPPLQQSKILSKIVDPGMGTYRQEDVARMLFLGLRCSDPIPKNHPNLATEVLPQIKSMSSGASHT >OB11G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13893921:13896275:-1 gene:OB11G25070 transcript:OB11G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVLVANFLIKWNLESYVLLRIRVIMTFITTLYLIFFLSSCFFPRVRSLMVKFMDPVADAILVYVMGVMQAAAFNNKLFPVWALLLVGLRSSIHGRSNSGTSFQLRNILKLLAVAYMNFTRGSRLGRFSFWLFWSVLALQCLYKIVARHIASKSLWHGRSSELLQEYMGANDNKSNFNPDSEICNPQTMEGYKYLVYGESQQSRKNAHILNVEDLSPLVTLDKIWQCDDGLLLTSINKQGSNMKDMTLAFALSRLLRCRLEGATLHEAAVYMTRKLISNRIISDNAEKLLFSILELDVGFLGDSLHSSNPMVFCRGLLSLFFTLLVSWLKLCMATWLFVDIFTVHLPKSVRDRGPRDRYSYPLHKFGYDSAITLVAMYTVLTAESIRMLGYFLSKWAKLIAVCNFVNSRNRWLKYVIVNIPANHGERIIFARQHVFLQPFSSSMSGWKLISCVLERNPNASAIRTEGKMNASAAENVKAAIIQALRSMDLEGHPLSRDLPLPRFSDRAKRYWLACLTEVPRCSHVILVWHIATSLCEIKLANDNRIDLTTMSRLPSFLVDEKTLKDNENLKAYMVSNCLSRYCMYLLVSKPKLLPETILMSKKAFQDAVQCAHKMLDDCYSFESIYNKLMKEEQEALGPGSHDVSLSGNILQQGAILANALIKEEQKSRWEILSDVWVHLLLHTAASSDASAHAEDLKSSAEFITVIWALFSHCGIGKRELWQQKKSADFKNSPGPSNQSSSGVANSHVQATVSSSPHVARSSEKNHVEAGPDSAMSSNLNC >OB11G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13901643:13902069:1 gene:OB11G25080 transcript:OB11G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLFSNSYLGPMKENDPGNVAIHDMNARVFKAMLHFFYTDSLPKEDGDAVARAQHLLVAADRYDIKRLKLICEDKLRGRVDASTAATTLALAEQHGCRRLKEACLKFMASPGNLKTVMASDGFEHLSRSCPSLLKKLAANIS >OB11G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13906299:13910430:-1 gene:OB11G25090 transcript:OB11G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLAGIPWREIAGDAFSRVFLVAQAFCAVHVVNAHVCSFALVRGASMLPAMNLAGDVVAVDRLSARYGRVAPGDAVLLISPEDPHKAVVKRVVGMAGDAVTYLVDPGNSDASKTVVVPQGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRVWPLKDFGPIDSKE >OB11G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13911197:13915461:-1 gene:OB11G25100 transcript:OB11G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRMKQQQVAAAAQQQQMMQQALLMQQQQAAAAAAAAVQQQTPMFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLQEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNVFVGDLCPEVTDAALFSFFSRFSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQIRCNWATKGANAGEEKQNTESKGMVELTNGSSEGGKDNTNEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHLLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIDTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFASGLSATDLLAYERTLALSKMAANPALMGQHSALKQAAAMGMGAGASQAIYDGGFQSANTVFY >OB11G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13919672:13919824:1 gene:OB11G25110 transcript:OB11G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPVPAACCAQLRAQAGCMCAYARSPNYGSYVRSPNARRLFAACRLPLPRC >OB11G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13928623:13932589:1 gene:OB11G25120 transcript:OB11G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVFSAVAVSIGYTLLGWDFTAVLEANLHMKKEFDLNNGPSTDGIILAVSVFGAIVITVFSGSLLDWLGRRAALIYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPSMRGSLGTMPQLMFFVGMVFSYSLIFWMTLISSPNWRIMIGAIFAPSMVYFTLLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSGEIALIADGMNMIMDTTVGGHAIGAVRSQSFLGTSTSQMSRHSTFYWHLSDPLVDLLGSIHESMSEQGAARNSYFPVFNSFNIVEEERLNEQTGDDSLQQTREAYSAEEGNNGENLQTSLLSQVTSAEVNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHGAALSSQPALGDMVNRGLHPFRQHMVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLQYNPQILEQVGVVSLFSDLGLDSHSTSILISALNALLMLPCITASMMLMDVCGRRALLLVSIPILILSVGTISFSNIVKMGSLAHGMLFQLSLTICFCSYVVGLGPIPNILCSEMFSTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLTGACGIYAFVCCMVLLFVCLRVPETKGLPLELIAEIFKFSRQECL >OB11G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13933082:13935619:1 gene:OB11G25130 transcript:OB11G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLAFRLSPLLLLLLAGPAIVTAGDLDAAVPPDTEAAALLRLKATLSDPANALEAWSPSSPSAPCNDTHPWPRVQCYNGGLIGLRLSHLNLSGEFDFAALSRLPGLHSINLMRNNFSGPLPASLATVRSLRALYLSSNAFTGPVPGEVFHTMSWLKKLYLDNNDFSGVLPADAIAGAPRLLELHLDHNRIEGPVPSKLPATLRRFNVSYNHLSGVLPEAVAVRFNESAFAGNPGLCGAPGSDPGACAMAEFVLMSNVAGEFGLPELMKASAEVLGNGNLGSAYKAAMRNGVTVAVKRMRDMNRVGRVEFEEHLQMLGELRHPNVLSPVGYHYRKEEKLIVSEFMPRGSLLYVLHGDQSPERVVLDWPARLRIAVGVARGMAYLHEKLGIPAMRLIILVFVGSTVGF >OB11G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13939111:13943462:-1 gene:OB11G25140 transcript:OB11G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLAVGAWHVWAAAARFAADPSRFRLRAWNPVEGAPSFLGGRRARHLELYVVAGGAFLDMCVEVLYSTHLRIFADGGINPAHLNDLEHGGMLLMFFLFGALALLSQKTRYLPLPEGALCLVAATAFTAEMLLFYFHSTTHQGLEGYYHYLLVVVVALCIATTVLGALLPASFPVDIGSGAVITLQGLWFYQTAFTLYGPMLPAGCRRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPVAGGLPPRRRRPHRLPHPRRPGARRAARQLPALRPRLPRLRLRARVLRRRRREVRPPGPEGAARQVRRRHGAPPARRRRRRRGGQIRRLGAAAG >OB11G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13950879:13956353:-1 gene:OB11G25150 transcript:OB11G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQEARWLAAQEIGVGADLVPAALRQLEFLAAVDRRRWLYEGPLLERAIQRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYIKDCKRLYGRILDNSNVESSIQTDSRHQSENVWAEQYPKEPFELEYTSTCDNSIFPNAGAAEDISYDLVSAVKRQSSFFYQVDTPTMHDRRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHTHQLHPATYCHDMRKLLGRVLEHDDTDDDRSEGKKLDVGFSGTTEQFENSFGSRYWKAGAMYRGSLPSPVTSIPYIFSSEAHDEFGVGQAESQLAVLETTIIELFLQIVDIKNLPPAIPRENVYIWFTKNQSDMFLSDGGRLDISKNTGKSIGAGIQCEPTGELILSVLADQALSSKKPKKIGKISISLQEFTRPDSKLYFERWFELKPHDGHASSPPVSIRVAASSTVPVKAQQVLSMIRTEPFSLKTFLSPNHVKDQKMSCWTRFVYDCNTELIRLQIRDQKAKNGMFVARELVGVTKSSKKPFKLAELMDNKWSLSNSNLCITNDIKPSKDGSVLELKCDNKMIKLYHGRRLEFQRKCCDNHAKEDASAFTAVKFSAEHPYGKAIALLDTKSELIVVNEDWFLLPWIVISFLFQDISINDDAIPEPDTTMASVETVAAHAKSASCGPSCAGHVAIADDKVATVSSKAVAAGGGGGGNGQTESAGCGSGCGGGCGGAGAMVVEATKAGGGRAKSGGGCGGGCSGGCGGGCGTMAVESSKDDGHVKSGGYGRLQGGCGSGCGGGCGGACGGMAMEGSKVGDVKSGGCGSGCGGGCGGACGGMAMEGSKVGDVKSGGCGSGCGGGCGGACGGMAMEGSKVGDVKSGGCGSGCGGGCGGACGGMAMEGSKVGDVKSGGCGSGCGGGCGGACGGMAMEGSKVGDVKSGGCGGGCGGMTMESSNLGRAKSGGCGSGCGGGRGGGGWGGARRRTPAPRAGAPASRQPWNQR >OB11G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13958266:13958617:1 gene:OB11G25160 transcript:OB11G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSRTEDEPASSALGQSTESIVQLNLLSAQANIVQKLRVKFNPGNLGLTCW >OB11G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13959405:13960247:-1 gene:OB11G25170 transcript:OB11G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stress enhanced protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34190) TAIR;Acc:AT4G34190] MALSSVVLRPSPFSSPAAAAASSPRRHAAVLRVTSRAATSLSGRCEQTAKQGGGGGGGGADRWLGRIGMISFATAVILEVSTGKGLVANLGVATPAPALALVVTSLAAGLTVYFIFQAGSSD >OB11G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13959566:13959934:1 gene:OB11G25180 transcript:OB11G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTQVVLVVVAVTLTATRPLPVETSRMTAVAKLIMPMRPSHRSAPPPPPPPPCFAVCSHLPDSDVAALEVTRSTAAAAFEQWLESQELCPQNSPKTWQNFKFQNVIHMMKLKERIGNFLRD >OB11G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13962488:13963175:1 gene:OB11G25190 transcript:OB11G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRRRSVTYFTHDAKHRLVEADAGDGEFTCDGCVVAGAGLRYRCTRPGCLFQLHEACARRFPRAVKSVVHLEHRLKRYEDAGARHAPAATASSKCKSCCLAFVHDLANCIAFTAFTFTR >OB11G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13969276:13969629:1 gene:OB11G25200 transcript:OB11G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDKNRMLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFSRTMDASSEGDSSGTLRSSDGAGRAGQKRVRPG >OB11G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13989454:13989714:-1 gene:OB11G25210 transcript:OB11G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFYVGPYFAVDMMLGSAALFAWETADKVEAEAGGPAVASGLICGDGIWMLPECVLAMSGVKPPICIKFLSRSVNARVDAFLRI >OB11G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:13996305:13997135:-1 gene:OB11G25220 transcript:OB11G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATELQLRRPDAVAAPAPSYDDDDDGGFAAGDEAAVPLPGGWRDHLTARALAASLLLSVAFGLMAVRLTMAAAGVVPSVNLSASLLAFLSLRLAAGRNPLTPQETAVVQATVASATAVAVNAGFGSYLLAMMVDGTKNPRLGWMISFLFLVSFAGLFVLVPFRKVMIVDYKLTYPTGTATAYLINDLHTPKSDKSAK >OB11G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14016893:14018995:-1 gene:OB11G25230 transcript:OB11G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVGWELLWKSMNISEEKELQNLRDIGIQIVRKCYGLPLAIKVIARVLASKDKSEKEWKKILNKNSWSLRNLPDEIRGALYLSYDELPQHLKQCFIYCAVYPEDSTINRDDITRMWVAEGFIDEQEHQLLEDIAVEYYYELIDQNLLQPTGAYYDHVRCKMHDLLRQLACHLSREECFVGDPESQGGNTMCKVRRVSVVTGKDMVVLPNIDKEEYKVRTYKSSYDKRLKVDNSLFRRLKYLRVLDLTKSYVQSILDCIGDLIHLRLLDLDHTDISCLPESLGSLKNLQILNLQWCVALRSLPLAITKLCSLRRLGIDGTPINEVPKGIGELKFLNDLEGFPIGGGNDNAKIHGWNLEELRPLSQLRKLQMIRLEKAAPCGKDILRTNKNYLKVLRLWCSEQSNIPYLEEKVIDIENLFEKLIPPCKLEDLVIMRFFGRKYPTWLDTTYLRSLEYLTLRHCKSCVSLPTVGQLHNLKYLRIEGATSVTKIGPEFLGCSMDNLRTTEAVAFSRLELLTFTDMPNWEEWSFVEEGDGAAQTAEAVANEGETNAAAAGSKWEAAFPSSQLLPRLKKFHLRNCPKLSALPPQLGQVATSLKVLTIDGAQCLKVVEDLTFLSDLLSISRCKGLKRVSKLPRLRDLRVARCPNLRCVEELGCLQQLWLGVDMQNTSSGWVPGLQRLHEQDHGDYLDVYTWQPYGG >OB11G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14023250:14023450:-1 gene:OB11G25240 transcript:OB11G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSFRSWFSWEFLLFSFLCTHGEPEISRLTQVVQSISGIVKWVCYDYLVVDRLKLLPINVEQYCHA >OB11G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14025358:14026029:1 gene:OB11G25250 transcript:OB11G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANPIPRKKTTPTPIHHVHAYVLYKLVESIVAATRNEDHLRRLEFISEYSGETVRSIGGSASSDHPMAGVNDGRVDADAVAAGGHPDPPRSNRPKFKKTVFVVYEVKAAATAGEGEPPVPEGKIRLSQENVDAILAEDTTPHRMSDPSADLDLFRSLLPPELYEATARVLHHAARTEERRRSRIVERQAWVRGELEKKGYVDVDEDKALTFTAIHLEEELE >OB11G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14035066:14035530:1 gene:OB11G25260 transcript:OB11G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADSDAVTTAGGRHHGPPKIISARPKVRKTVYVVHEVKAAATAGEGDPPVPQGKIRLSQESVDAILAEDTTPHPMSDPSADLDLFRSLLPPELYEATERVLLSAARTEGRRRSRIVERHAWVRGELEKKGYVDVDEDKALTFTAIHLEEELE >OB11G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14041605:14042666:1 gene:OB11G25270 transcript:OB11G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRPCMDDDKLCTAVAHVAFPDGNLFTFPDMKPHRDDDGDTAGYLAACGEWLLFADDEYDVLRLSSPLTGETMLLPGLVDGVRVRDEPVVVVNDLAQSGSAPRRWRDSEEMSVLKVVVCPGCSGVIAAIVGREHFAKVALCTPEGFAWSISAHDRWRWYDDMAFHDGRVYALTQDEDLLAFDVGYADAGEPVVTRVERVIARAVEDLDVETRMRYLVTSLTGALLMVRREMPDAGRTGGFGVFEADLAASRWVEVDRLDAGGEALFVGRLCSRAVRAPHGGADQIFFLDDADGLSFRTELQPRPPYQVAAYDMVRRTFSQLMRRHPIEDGSTPVTWLFRDDGDHPGGLPK >OB11G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14044697:14048356:-1 gene:OB11G25280 transcript:OB11G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAEPQDTLSVLPSLTLFFLILVSSCPCSVSSLPASRVHNTSESDRQALLCLRSALHDPHGALDSWREESLAFCDWHGVTCSSSRRAARVVAIHLESLNLTGQIPSCIARLSFLTTMSMSDNQFNGHIPSEIGRLTQLRNLSLSMNLITGVIPDTVSSCTRLEVIDLSGNSIEGEIPASLAQCPLLQEIVLSNNNLSGTMPSEIGSLLHLKYLFLLSNRLEGSIPESLGSGTSLSMVVLGNNNLTGGIPPVLANSSSLTHLDLWMNKLGGVIPSSLFNSSSLVTLDLSSNEFSEWSIPSATLITSKLQTVILADNKLSGVIPATLGNLSSLSSLLLAKNYLHGNIPESLTRIQYLEELDLAYNSLTGTVPPSLYTISTLTYLGLGVNHLSGEIPINIGYTLPNIQTLVLEGNNFHGTLPASLVHAVNLQVLEIRDNVFTGVVPSFWALQNLTQLDLGANLFESVDWSSLSSEINSTKLEAIYLDNNKLHGIIPSCIGNLPRSLQMLFLTKNRISGTIPSEIAKLTNLTVLHLSENLISGDIPETLGNLVNLFVLGLSHNKLSSKIPRSIGKMGKLGEVYLQENNLSGAIPSSLQSCRNLVMLNLSCNALNGSIPPELLSISSLSKGLDLSYNKLTGPIPSEIGSLINLDLLDMSNNQLSGKIPHTLGECLHLESLQLDANFLDGSIPESFMSLRGISLMDLSRNNLYGEIPNFLENFTSLQLLNLSFNNLEGIVPTYGVFSNSSTVFVQGNKNLCTESPRLQLPVCASTPSKIKKKSYLTLIALPLASVATVIILLCLAAILHKKRIKLGKQIDQSLHELKKFTYAEIAEATNEFSSDNLVGSGAFGVVYKARFKFDAQEVAVKVFKLDEIGASNNFLAECEVLRNTRHRNLMKVVSLCSTFDPMGNEFKALILEYMANGNLESWLHPKTQKHGQRRSLSLGSIILIARDIAAALDYLHNWCTPPFVHCDLKPSNVLLDEDMGAHVSDFGLAKIICNHSSARLNSLSSIAGPRGSVGYIAPEYGMGCEISSAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHRLVEDAYPHNVVDILDTRFTPCYRLEDRDDVISGMERSIIQMLKIGLECSSESPKDRPLIQDVYAEIIKIKETFSALCS >OB11G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14053839:14054084:1 gene:OB11G25290 transcript:OB11G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTIIFLLQALLLVMAVIGGPATEETTKQKLPQTTTDPILSCDDKRATSYQRIPICVFEHPGKFLQDFNFFFLFRDRCSS >OB11G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14082937:14086652:-1 gene:OB11G25300 transcript:OB11G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLLLPLLLLAFIHLLNPLSVSAQINDDSIADRKALLCLKSQLHDPSGALASWGNASLAVCDWNGVTCSKSNPSRVLALDLESQSIAGQIFPCVAGLSFLSRLHMPGNQLNGTISPEVGQLTRLRYLNLSVNSLTGEIPETISSCSLLEIVDLFSNSIEGQIPPGLAQCSFLQQIILSDNNIHGSIPLEIGQLSNLSALFIPNNKLTGTIPQLFQSRRTLVWVNLQNNSLSGEIPPSLFNSTAISYIDLSSNKLSGSIPMFSLASSLRYLSLTGNNLSGAIPTSIANLPSLSTLTLSGNNLQGTIPESISKLSSLQILDLSYNKLSGTVPLGIYRISSLTYLNFGANRLSGRIPTNIGYTLPNLMNMILEGNQFEGEIPTSLANALNLETIYFRKNSFTGVIPSLGSLSRLTYLDLGDNKLEAGDWSFMSSLANCTLLDNLWLDRNNLQGIIPASIANLPKGLKVLILIQNQISGSIPSDIEKLSSLTVFQMDYNMISGKIPSTLVNLGNLSILSLSYNRLSGEIPQSIGKLVQLTKIYLQENYLTGQIPSSLSRCRNLVKLNLSRNSLSGSIPSDLFSISTLSEGLDISYNQLTGQIPPEIGRLINLNSLNISHNQLSGEIPSSLGQCLLLESVNLESNFLQGRIPNSLIQLRGINEMDLSENNLSGEIPTYFQSFEFLHTLNLSFNNLEGPVPNGGVFANSSDVFIEGNKMLCASSPMLKLPPCEVLSTRKNKTRYILIVVIPVTTVLIVSLASVVIILLKKRNGPKLMILTDSFRHFNKLSYNDLYKATDGFSSMNLVGSGNFGLVYKGRLKFDEHNVAIKVFRLDQNGAPNNFFAECEALKNIRHRNLIKVISLCSTYDPSGNEFKALILEYKTNGNLESWVHPKAYSQRPTKQLSLGSRIRIAVDIAAALDYLHNRCTPPLVHCDLKPSNVLLDDDMTACLSDFGLAKFLQNNFISRDNSSSTAGLRGSIGYIAPEYGMGGKISTEGDVYSFGIILLEMITGKRPTDEMFQDGMDLHSFVESAFPHQIKDILEPTLTECHEGEEQDDDVVEIQNCAIRLAKLGLLCTEPSPKDRPTMDDVYAEMIFTKEKYYALVN >OB11G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14097377:14099325:1 gene:OB11G25310 transcript:OB11G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVDYSVSSLLLMMLLGIASCLGILVRPAAGWQNSPGFMLRNTVRVKARLGGWSGRQIGTDVGRRIVGVGTDDGGDRSMACIYEGLGMDDQGATTMAASVAEE >OB11G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14107869:14111892:-1 gene:OB11G25320 transcript:OB11G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMKSARTKACNQAMSMHTRTRKMCVKGTQNTLLAGAALIVCFASLCPHACSQSTPETDRQALLCLKAQLSPDSMAALSSWKNDSLAFCSWHGVTCSKGKQSRVVALDLESLNFTGQVFPCIVRLTFLDRLHMPDNQISGHIPPEIGYLTKLRYLNLSMNSITGVIPDNISSCSRLEVIGLWNNSIQGAIPPGLAQCLSLQQIVLSNNNITGSIPSGIGMLPNLTDLFLPSNKIAGNIPDTLGCATALTYVVLSNNSLTGGIPSLLANCSSLYYLDLMKNNINGQIPAALFNSSSLVFLDLSDNDLSGPIPSSPSMSLKLQYISLAANNLSGSIPDTLGNIASLSSLKLALNNLQGNIPESLSRIPSLQELDLSYNNMSGTVPSALYNITSLSYLGLGNNQFVGRIPADIGYTLPNIQTLVLEGNGFDGPIPVSLVNASLLQRIELRDNAFSGTVPAFWSLPNLTELDLGANMLEDVDWSSMSSATSSPQLQVIYLDNNNIQGTLPSSIGNISKSIQMIYLTNNRFTGTIPSEIGSLTNLSILNMRGNLFSGGIPNEIGNLRNLFVLSLARNKLSGEIPQSIGNLENLSELYLQENSLSGPIPSELANFKSLVMLNLSCNAFDGSIPPEILTISSLSESLDLSYNKLTGSIPPTIGALINLGSLNISNNQLSGEIPHALGECLHLESLRLEVNFLHGSIPESFMSLRGVTEMDISENNLSGAIPTFLETLTSLQLLNLSFNSFEGTVPTGGAFGNSSKVFLQGNKLLCTTKATMLQLPPCTSSTSNRKRNSLVISVVVPLALAAAILVACATITLFKKRTKCEDDDDIDQSCMGLKKFSYAQLIKATNGFSSANLVGSGRFGKVYKGTLSSETHPIAVKVFKLDEIGAPKNFFSECEVLKNTRHRNLVRVISLCSSFDQMGRVFKALVLEYMANGNLESWLYSKYKRPLSLGSRMTIATDIAAALDYLHNWCTPPLVHCDLKPSNVLLDGDMCAHVSDFGLAKFLCSDSSAMFNSLTSMAGPRGSLGYIAPEYGLGCEISTAGDVYSYGVILLEMLTGKHPTDDIFKDGLNIHKLVESAVPHNIGDILEVDLLACYKSEETRNDLENSSHAMAGILSCVTQLANLGLRCSTESPKDRPRIQDVYDEILHIKEIFSLLGS >OB11G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14131387:14137077:-1 gene:OB11G25330 transcript:OB11G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLARHKWPILLLISVHFLIMLPRIHADTDRNALLCLKSQLSDPSGALASWRNDSSTFCSWHGVTCSRQNASQVISLDLESLSLTGQIFPCIAQLSFLSRIHMPNNQLNGYISPDIDLLTRLRYLNLSMNSLNGVIPSTISSCSRLEIISLQSNSLQGEIPQSLSQCSFLQQIDLGSNNLQGSIPSWFGLLPNLSVILLSSNKLTGTIPELLGISKSITQVNLKNNSISGEIPHVLFNSTTLSYIDLSHNNLSGSIPPFSQTSLPLQFLGLTENNLSGEIPDSIGNISSLTFLLLSQNNLQGNIPDSLSKIANLRVLNMKYNNLVGVVPPSLFNVSSLANLSLSNNQLVGTIPSNIGNTLPNITEFIIGGNQFEGQIPTSFSNASNLQILDIRSNLFTGQIPSLGLSSKLNMLNLGTNSLQVGDWAFLSSLTNCTQLKSLSLDFNGFEGKIPNSIGNLSKSLEKLHLMENQLTGDIPLEIGKLTGLTVLTLGMNRLTGHIPDTLGNLKNLFLLALSKNRLSGEIPQSIGKLENLTNLYLRENELTGRIPARLAGCKNLVELNLSSNKFYGSIPQELFTISTLSISLDLSYNQLTGNIPSEIGNLINLNALSLSNNQLSGEIPSTLGDCLLLESLHLDANFLQGSIPRSLINLRGIIEIDLSQNNLSGEIPEFLGSFSTLKILNLSFNDLNGEVPKGGVFDNSSALFVQGNKLCAGSPMLHLPLCVESPSKRKKMVYILAILVPVTTIVLITLACAAVILLKKRQKVARPIEQSLKQFKSFSYHDLFKATDGFSTENIIGSGRFGLVYRGYIESDVCTVAIKVFLLDQIGAPNNFIAECEALRNIRHRNLIKVISLCSTFDPTGNEFKALVLEHMANGNLESWLHPKPYKQILKESMSLASRISVAVDIAAALEYLHKRCSPPLVHCDLKPSNVLLNDEMVAHVSDFGLAKFLCNDSSRMSITSDSIAGPRGSVGYIAPEYALGCKISFEGDIYSYGIILLEMITGKYPTAEVFKDGMNLHKMVESALPHKIGEILEPSLTKEYLGEDTNHELVEMQRCVMQLALLGLRCSVSSPKHRPKIEDVYKEIITIQNMFSALHY >OB11G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14180491:14184078:-1 gene:OB11G25340 transcript:OB11G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVTHKWLMFMLILVQLLIMLPGMHQHTDRDALLCLKSQLSDPSGALASWRNDSSMFCSWHGVTCSRQNASRVVSLHLESLNLTGQIFPCIAQLGLLARIHMPNNQFNGHISPDIGQLTRLTYLNLSINSLDGAIPYAIASCSRLEVISLESNSLEGEIPESLAQCSFLQQIVLSRNNLQGSIPAQFGLLPNLSLILLSSNRLSGSVPEPLWSSKSLTKVNLSNNSISGELPPNIFNSTTLAYIDLSSKQFSGPIPPFSETSMPLKFLSLADNNLSGWIPVSVGNISSLSFLLLSQNNLQGSIPDGLSTTANLRVLNLKYNNFSGLVPPKLFNISSLTNLILNNNQLEGTIPANVSSTLPNIAEFIIGGNQFEGQIPTTLANASNLQTLDIRSNLFTGHIPPLGLLSKLSLLDLGTNQLQAGDWTFLSSLSNCTELKSLSLDFNGFEGNIPTSIGNLPKSLRKLYLMGNQLTGNIPLEIGKLTGLNVLTLGMNRLSWHIPDTLGNLQNLSLLALSKNKLSGEIPQSIGKLENLAGLHLRENELTGHIPAPLAGCKNLVELNLSSNKFYGSIPQELFSISTLSISLDLSYNQLTGNIPSEIGNLINLNSLSISNNQLSGEIPSTLGDCLLLESLHLDANFLQGSIPRSLISLRGIIEIDLSQNNVSGEIPEFFGSFRTLKILNLSLNDLNGEVPKGGVFDNSSALFVEGNKLCASSPMLHLPLCTQSPSKRKRMVYILAILVPVSTIVLITLACVVVILLKKRHKVARPIEQSLKQFKSFLYHDLFKATDGFSSANIIGSGRFGLVYRGYIESDVCTVAIKVFLLDQIGAPNNFIAECEALRNIRHRNLIKVISLCSTFDPTGNEFKALVLEHMANGNLDSWLHPKPYKQISKESMILASRISVAVDIAAALEYLHKRCSPPLVHCDLKPSNVLLNDEMVAHVSDFGLAKFLCNDSSRMSITSDSIAGPRGSVGYIAPEYALGCKISFEGDIYSYGIILLEMITGKYPTDEVFKDGMNLHKMVQSALPHKICEILEPTYQRVFG >OB11G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14221596:14229954:1 gene:OB11G25350 transcript:OB11G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKISTIILKVDLDCHKCYNKIRKILCCLQDQERITTISYDNKNNIVVVAGPFDAQRLCCRIRCKGGKNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTVMVPVFESLETIAGEVSIAPVPGKRVEHQGIKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKIYPFEFSTVEMPYESYNGTNVRLRYILKLTIGRPYAANVVEFRDFCVRNYTPLPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPESS >OB11G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14230967:14236010:1 gene:OB11G25360 transcript:OB11G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLIYHLTFIVEKPNINIIKITTKIKDSITYRYVIIPKRIFGCGRDPVIVWTMGAFCSCLQADYSDHHGHHASSPYGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSTESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEDMEVPSSTNKSSKTNYDTKMKRCSSTYGETQPAKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSESCPVCGKKMEFDETT >OB11G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14236434:14237597:-1 gene:OB11G25370 transcript:OB11G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSSRGGQSSLGYLFGGGEVAAAAKPKPKPVAEAAAETTPSPVKKTSPAPSVSEKMKEIPAGIQSSQSNNYFRAQGQNCGNFLTERPSTKVHAAPGGGSSLDYLFGGAGGK >OB11G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14239891:14242626:-1 gene:OB11G25380 transcript:OB11G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLITVRASAGPRHSLSVAQTVPNRPLLQVSQLATKCTKPSFLCAARLCKARPFVVAAMEVTAPSAGFANRQPSKGVLEKWCDANAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEDALAARLSLIKPSLSQVADCLVKRPPRISPGIADLIKKLKANNTDVFLVSGGFRQMIKPVASELGIPPENIIANQLLFGTTGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLIMIGDGATDLEARQPGGADLFICYAGVQMREPVAAKADWVVVEFQELIAELP >OB11G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14242715:14242972:1 gene:OB11G25390 transcript:OB11G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPILACKGFQQTEGDQRDRRRSTGEGGRRPEEGRAEDCAQRRRTMAGGGRGGGWQAAEEGDEGKRARRAEESDRRRTWMDAHR >OB11G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14242869:14243099:-1 gene:OB11G25400 transcript:OB11G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHGQTAGRRAPPPPPRSPLRRPRTGFLLTPPALISRELPSFILTGEHPSTSSAGRSPPPVAPSSPRRPPLPLAILRP >OB11G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14245391:14257147:1 gene:OB11G25410 transcript:OB11G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGIMVSAATGAMNSLLGKLAALLEEDYQMHRRMKRDITFLRDELSSMNALLERLADAEALDPQTKEWRNQVREMSYDIEDCIDEHTRQLRIRQPQAASGVLGFFHGYVQKVKELVGRHEIAEQIRELKARIVEAGHRRKRYKLDSSAVNCKSSNAVAIDHRLPALYAELDALVGIDAPRDEIIRLLDDGDQRMKVVSIVGSGGLGKTTLANQVYQKFGKQFDCKAFVSLSQHPDMGMIFQTILYQVNDEAVRTRSGDKEQVINELRAFLKNKRYFIVIDDIWSTQAWKTIRYSLLENNYGSRILVTTRIGTVAKSCSSRCLDLVYELRVLSENDSRRLFFRRIFGTEDKCPHQLKDISIEIVRKCGGLPLAIISVASLLTTKPYARAEWYKVHDSIGSGLEQNSDVEEMNMILSLSYYDLPYHLRTCLLYLSMFPEDYVINRDYLIRRWISEGFIKVNGGRTLEEEGECYFNELINRSMIQPVHIQYDDRVYSCRVHDMILDLIISKATEENFITIITGKKQMLASKYKVHRLSFDNHDQENVTLYSMVTTHVRSLNIFRYCEQMPPLLNFPALRMLDLDGNNNLESSYLEDIGNLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLQYLKWLIVPRVELPDGIGNLQALEYMSLMVVDQSTSISSLQELGTLTKLRTLGLDWRINEFHKEKLTYTDNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSLSPPHLLQRLGITGWHLSRIPVWMASLADLTYLDIEVKVRQETLEILGNFPALQFLKLYSNAVDHNERWLTVSNNGFRCLQKFKFVHWMNLIFEEGAMPKLETLESQIVAHEARPECGFGPPDFGICHLSALKNLIIDIFCECARVEEVEALEVAIQIAASMLPNHPTPTLNRFREAEMVKNCQGLKTATFDYFQRRRTAEEMGGFMVSVATGAMNSLIDKLSTLLVQEFKLHRGVQRDIAFLNSELSCMNALLEKLADMEVIDPLVKEWRSQVREMAYDIEDCIDRYIHQVQHEPHRPGGVMGLFHGHVQRVKELLARREVAHQIKVLKDDIVEASHRRKRYKVDPELYSGAANVVTIDPRLPALYVEASDLVGIDAPRDQLINLVDNDDQSLKVISIVGFGGLGKTTLANEVYKKIGGRFNCQAFVSVSQKPDAKKILRSIISQIMEPYHPSTNPGDRAVISQIKKKDYSSAEAGDEEWLINTVRGFLKDKRYLIVIDDIWSTQAWVTIKLALVENSCGSRILVTTRISTVAKSCCSPDHGTVYELRPLSKADSTTLFFKRIFGSEDLCPNNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRKEEWVRIRNSIGSELEKKYDLDVMRSIFIP >OB11G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14253401:14253991:1 gene:OB11G25420 transcript:OB11G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSNLYRLCIRAKQVTQQILQTLGDLPALLDLELRSESSNDPMEILIVSSNRFRCLKIFRLYGSFLGLIFEAGSLQKVREISIVVRAHEAKSAFAGHPDLGIHNLTSLMNLNVWINCEGARVQEVKVLEAAITDATSLLPNHPTPQFLRENEGKMVKEEAHIQVKMFEEDFMMEGDISTDNIEGKKSSASAYFR >OB11G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14257514:14257678:-1 gene:OB11G25430 transcript:OB11G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIFAVELNVCIHAYTLCTFYLFTSCLYIDLNAPCLYLIALNLILRTTWRSI >OB11G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14259614:14260126:1 gene:OB11G25440 transcript:OB11G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRILRTASAIVSKPSATSHVLRIDGYSHLAGALPCGEYADSCAFDDAGGHTWRLLLYPNGYSDEHEGHVGVFLQLTGGRGRVRARPWFSLLDSAGKPGPSRDAGAHAFSCHGDPWGFMDFISREELEGSEYLRGDCVAIQCDLALTTVRKCHDDPVLIAQNIVD >OB11G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14283740:14284354:1 gene:OB11G25450 transcript:OB11G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKDSNMPLATSASSIVITAMTGCHFVKIKGYSQAMLLGNGKCIESPKFEAAGHVWCIIFYIMDGYNIPLDLKLVDRSKNVTADVQFSMLQQCNPDITSTMSNYSMTRYTFNNRRNNKHCSFNWSVRSKKYINQDDDSIIIQCDIKVLNKPEVRSISVAELGLICHCNDDTCKCLHDTCPMMSTEPGVEKKGLFARLFSCFQA >OB11G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14298296:14298723:1 gene:OB11G25460 transcript:OB11G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWILNYQHELGFFAKQIESIDFHGDQITGPWMLQEDDTNMQENTWDSDNDDFLPIEVDDESISGSGFGILGFHPYMDVIFLKQAFRIVAYHLDSSKIQYLGYSRPKNYDEIDSHGLHESFVYTPCLIGELHEDYIGQSIS >OB11G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14300247:14301361:-1 gene:OB11G25470 transcript:OB11G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCEYAGYSVFWQGALYVHSGGLFVTRFSTSNDKYQIIKTPIIIRTNKFEKPYLGISTKGVSFGFICDWQLSIWNLKESAGQMEWVLNYQHDLWALNFHGNKNIGIWIVEEDNLRKRRAAPSHKYLEWDSDNDDFVEIEDDGGRLLWICSYPWILSL >OB11G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14304299:14304988:-1 gene:OB11G25480 transcript:OB11G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMQIAKLNQARAVAAEAKIHEQKPKFEINFAENSINHQQLYSFQTNKISSSPAAAAQNEEEKECDLELTLATGSSSSSSRSSTSRQHKGKEVKSSNSDSGTAVSSTSTESELAQLKEHHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGGDSAGEVPDEQRDEQEEAAGRRRRRTRDEPPASVAQPVPEPEDGMTG >OB11G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14320084:14322828:1 gene:OB11G25490 transcript:OB11G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKVYCLFTVQFI >OB11G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14326022:14327254:1 gene:OB11G25500 transcript:OB11G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKCGAIWSSADARSEESEMIAHLKSMFWGSSDVDGNLYSPDSSVNSCVTTSTMPCSLFLPLMDYEGYGSVPLMASTGMDICSDHQHQVVATRNKAMSGSKRIFPMDEHFEQQQQKKPQKKTRPGRSVSSTLSSDITDSETSSELVNSTYSSGCSFGEDSVATTDESIVLKQNGNLRGHKKSSKDTQSLYAKRRRERINERLRILQQLIPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYDGMSMGLNQ >OB11G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14333512:14337734:1 gene:OB11G25510 transcript:OB11G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:J3N9R5] MLAKALLRPPCSVAGAAGADGGDVTRRRAPHGAAASSLAGGAIRPREAGAAGVKLAVAARPMSVVAMASWDRRAGRSAAPAADGKEDVFDGPAVPGINKLVTSTVGKSTNILWHDCPIGQTERQKLLNQKGCVVWITGLSGSGKSTLACTLSRELHSRGHLTYVLDGDNLRHGLNKDLSFKAEDRAENIRRVGEVAKLFADAGLICITSLISPYRSDRRACRKLLPDSSFVEVFLNVPLEVCEERDPKGLYKLARAGKIKGFTGIDDPYETPSDCEIVIQCNVGCCPSPKSMADQVVSYLEANGFLQN >OB11G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14349091:14353578:-1 gene:OB11G25520 transcript:OB11G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAQPLDGRSSKSRERDREREKDKDRERDRDRGRDRRDRDRGVKDRDRDRHRDHRDRSERREHRDRERSDDRDRRWGHDSERRRDRDGHRRHRSRSRSPSKGHDRRSRSRSRSRSKSKRVSGFDQGPSQQAISTLAAGAAPGQLPVVPPVIPGMLPNMFNLTQPQQFTPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVFFNQVMAAIGGNTSGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMSLDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLKLAAVGLTPGSSGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHAQQQVQMQKLMYQVGGGALPTKVVCLTQVVSADELRDDEEYEDIVQDMKEEASRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADVESSSNAKNGMHGRKFANNQVVAVFYSETKFAEGQHDE >OB11G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14356273:14357816:-1 gene:OB11G25530 transcript:OB11G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAPLLSSSGEKPRRRNMYAFGCATLASMTTVLMGYNLAVMSGAQLFIREDLGLSDAEIEVLTGSMNVFMLASILAAGWTADVLGRRGTIVLANAFLVAGALAMSLGGSYAALMAARFVTSIGGGSPRWLAMRGRHADARAVLVRTSDSAAEAELRLEEIKRAVAAPHDGSGGVWRELIIRPTAMVRRILACVIGLQFFQQASGIDAIVLYSPLVFKKVGMASNAAVLGATIAVGVVKTCFILVATLFSDRLGRRPLLLASTGGMAVTLTSLALTLCCVASSSPSPPTASAAACVASVMAFVAAFSVGLGPTTATYTSEIMPLRLRAQGASLGIAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAVACVFVYVWLPETRGRSLEDMDALFSK >OB11G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14360332:14360508:-1 gene:OB11G25540 transcript:OB11G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQRMNFLAQEHRSMSIKNDFFFNFHFLSSWKIYDVVAFGIQWDFPTHGKQQQGQW >OB11G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14366304:14367547:1 gene:OB11G25550 transcript:OB11G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAPGTTRDWSELPADALSVVFAKVSAVDVLAGAGLVCRSWLDAAKMPDLWRSVDMDDAVEFLHRGDQDRDVLVLRAMAKVAVDRSGGRLEVFKGKRFVSDALLEYIVDRSPGLKVISISCFYDDISWLSLEMLAAMARKCPLLEEIDCGGGVVVYPSGLRGHLLHALAGLRQLRRLTLRGIGFDSSKDELMAIVDGCPYLELLDLSCCWFLFHVDDALLAKCARIRTLKLPPSYSDDDDVYCYDEPSVYRDADLFDCYYNSD >OB11G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14370883:14372337:-1 gene:OB11G25560 transcript:OB11G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAEVSDLAAEAPLLVAKPGEPPRRNTFAFICATLASMTTILMGYNLALMSGAELFIREDLGLADEQVEVLSGSMNVFMLVMTFISVAGGITMAGCFFLYAGAMVAAWVFVYVRLPETRGRSLEDMDVLFAK >OB11G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14376009:14378246:-1 gene:OB11G25570 transcript:OB11G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSSLFQIIRTATSGGMA >OB11G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14379312:14383822:-1 gene:OB11G25580 transcript:OB11G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIDKKQRGLLLVRLKEVRVKVEGACEKNSGLAGVLASMATSSRANSHQRSPEEMPPPCQNGHPTGLPTLLSLALPNQDPLLYSDPQHNSIIVSAGSRSVTLPGDLSKISGNPAAALAVAVKIEDMGEEPVTVLDIVQGNVGIVANKMMLMQERALEELKVATRMLIEGTGGDDHGLSHLQKHIQVRPDITPAVLLAAHHVQLEILVAIKTGIVAYLHENVNTPRSRLVEVFFDERCRNMACQSALPARGCRCGICFFRRGFCSLCMCVFCNQFDFNVNTCCWIGCDGCSHWTHTDCAVREGMIRSALAVKDGIGHAQTLFICTACHGTSELLGWVKSVFQHCARIWDVDTLSRELEYVHKAFGVSEDMKGKKLFEKCGDLIERLEAFPAESAIPEVLLQALQELELDEDEVPEITDDEELVQQNTDLDDVCNYQFSDYVQEAVETMEIAADKRAEANKKTRRTVATSTGGELVAMEWPRKKEQGKQAVELMVAEELHRVIRLKKAEAEMFHHKAKEAQQEAERLQFVAMAKLQKAERDYADLLSVKYSVFYCLSAC >OB11G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14393488:14400449:1 gene:OB11G25590 transcript:OB11G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRQEEEEEEMEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFVNRANSFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPGIGGNSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVSDNTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGASAGSGYGGGNFGYGSSETSFGLGTNAYGRNAGSGGVNTFNQSTNGYGRNFGDSSAGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >OB11G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14406424:14412561:-1 gene:OB11G25600 transcript:OB11G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIVNAIQIISSISVAFGREEAAAAEPAMAVPLVLVVLPLGLLFLLSGLIVNAIQAVLFLSIRPFSKNLYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADDETYKSMGTEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQSLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPIKSLLVTLFWSCLLLYGAIKLFLWTQLLSTWKGVGFTVLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >OB11G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14412482:14412874:1 gene:OB11G25610 transcript:OB11G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMRPERRKRSPRGSTTSTRGTAMAGSAAAASSLPPPLADLGAGENPRRELLDGHLPAASPPPAPVGGGGGGSASGGESTSGDEAGGGGHDEERWGVGFGDIIGGWMKNDAVKRVRPWFPRREDGW >OB11G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14416478:14423442:1 gene:OB11G25620 transcript:OB11G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G12080) TAIR;Acc:AT3G12080] MAAMATTTSSSSSSSLLPLLPSSAPAAPRRLLPFPPRTAAAPPASPFLVSSRPPPAPRGARLCRRRAAAGSRYGGGEEGDGDDGEMEEEEYYEDGDEEGDWEEEEMDIEAMEEEALGAVADLSARLDRELRIDDDVRDKRRTIRHKTSASKHIPDNFLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEEFAITTTVGMDGIPLASREAAVARMPSMIEKQAVAAVDEAAVILFIVDGQDGLVAADIEIADWLRRNYSDKCIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDLVCSELRNFEGLDGVEEDENYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFITEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVIREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFPVTYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKTADNSLQSPGIPSRMVLAT >OB11G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14425787:14428111:-1 gene:OB11G25630 transcript:OB11G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAVTSSWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLKAFVWSTYMLADATAISVLGHLSVTSRSPGHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAATYVIYGSTSIIVAGSHPLLLLSATMLMLVVGVVKYGERVWALRCAGSRPTGKYQSDIGKRRFSEGVPVSFISRLDQAETLLLYAHLLLDFAKDKFKGPLPRLFLCGPMNRESRLLGQEELYRVAEMQISLLHDVFYTKAEVTHTWYGLCIRVVSSLATTIAFFLFNTLLLLGDRRRHDRRPNGYNRADVIITYVLFAGAVVLETMSLLRAMLSSWTCALLVKKGSEGSSVCNFLAHIPACLRRLVRAAHWRRERSWSSSMGQLSLVQLCVRSRASRCSKIARWMGVEDWWNILAYSGPSIPVSVSIKHLLLETLKAKQWGQDEFESRGLYRDPAWVADSKMEQRILIWHIATDIYLCWYKDQEKKQAEAASGPGSSAEEQQREATSGSGSAVEEQQRKAITGSSGSAGEEQQRETMSGSGSAAEEQQREAMSGSGSSAEEEQIEAASVSGSASAAEEGEEQAEADSGSGSGSGSAADLVETAQALSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYSTTEDVLHLLQLYTDALRSSDNNGSEPKFRLTCTNTNKLGDKVLRGGCSLAGFLVDRQQHSPAGGTLRMICEVWAQMLCSVGEQCSTGSHVKQLSSGGELVTVAALVANYMRSIRLSAYFQIDKRERAREW >OB11G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14428596:14428775:1 gene:OB11G25640 transcript:OB11G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQLRRVCKNLCFNKVTYLYTMINLYGAYIFLRELIWAEKSPSVNTHYSRASYVKSNLQ >OB11G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14427808:14437246:-1 gene:OB11G25650 transcript:OB11G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51350) TAIR;Acc:AT1G51350] MDLRSKLADCSSTYWHIAYIYGCNKFLYDFGGVYKQVVDASARALRMIYQSKLAPKFDVNNDENMKFLLTLLNRENENVTELAANIISHSCDSNTEQLALCAAGVPQRLINLFGGSMNLRDACLDSMTAIIRNNWEVASRFALMDHGKALRSIVALIHDRSPRTRLLACLCLISLSHASPCHFQDRQIKTKLILVLLELVEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVQKLSNHLLANSLESRRAVTILLALAELCSKLEESRSQLMSVQASTLILEALKHTCADIRVAACSCLKNISRSSKVLSAGKLSCDTFIAPLVQLLYDSSTSVQVAALGAICNIAVNLTPRKSILLHSGAVTQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKDKDFILKELTLSTLSSLICDSEQFVQEQTLALVHNLVDGYVDAVNYVIGDDGMILNAISRQLNNAPAPGVCTHGMFVLTNVAAGGELNKEAVMNILVPCRADRVKQSFVVNFLQSKDKQLRVATLWCILNLIYPKCEASSVRVVRLQNAGLISQVKGMINDPCLDCKLRVRMVLEHCLDNADDGFICMLKQYHVSVTSTSQVNNRRPVDVDYPGAELSLSSFVGEEARRRPEASSEKGKKGGGVDPMRRPAAGDSPFLAARLVDESMCQKNFDWRVRNMVEASLSSE >OB11G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14443228:14448658:1 gene:OB11G25660 transcript:OB11G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MASSLGRLKSSIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHGKDADNSVPIKTDKDTLREGYRFIFSEEDDMDSTWEKRLVKRYYDKLFKEYCIADMSQYKKGKIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYIEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKELSGEKEMELKQRDKRKRDHEGSEETEDASEDESTEKYTRRTKDRKRSSTRKMSNTDEGFEEFLEGMFP >OB11G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14450443:14451375:-1 gene:OB11G25670 transcript:OB11G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPSLRHRRLACLRVLAAAAAHERAHCRRRLRIDLSPPPSPARPRLLPRSTALFLSHGHSYAKMINLPFHHSNDSGTSSWTKLYLEVPINFDRSIELVVWLRFGYRLFSVGTWMGATLFLCCSTFPLGYNFKAQELTDLFTAAGYIPLIITGAQRTVFVEQLLDECFYPAKDYEHERRPTYRMHKILHMLAVFMAREMSRVMTEGKDFIEVRYSFQLRHMSLIVHPSTPSFPQQLQFLLLKTLIVLVDSTMCLSDQQCEIKEVNQLLCQSLRDLRVLSLKATKIRKLPNKIKLVRHLRYLNLSQTNIE >OB11G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14453170:14454878:-1 gene:OB11G25680 transcript:OB11G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDREVDGASFTIAEEVEPEVAPNRASSSRGRHHFLEQVEGDHAVEPCENHTVHLCPVGLIKTRNVGEDVVRQGIFAENHEEEAAPPCVVVGGEVKRDRQKGLHVEDGNGLAMQSSDGVVVERRGRRHKYIGRMDGRMPAGVYNGSNHHKKESYQSVILQGKLSSNLPVGRSELAEKMTRVLLTAAGEDDGPLLVMPIIGGPGIGKTRLAHALFNDAMVREKFPLRRWENVSESLDLSKMRMPNMWFSSTKFHNLIEEFIQKSLHGQKGKYLIVLDDVWNANESRDWPEWDTLMRVLPPNGAVILTTRTLALVSRTAAIVPRTMPYFLQPLEQEHALQFVDQRMKRCRCDSSSELFNIGMKIASKCDGIPLLFQSAGAILCRTAQTAFWQKFL >OB11G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14455855:14459981:-1 gene:OB11G25690 transcript:OB11G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGRLLGIWPLLDKLRATAALLHSKANIAAILGMAERPPFRPILLRESVAHLRDVLDEILSRPAGTEASSSWHQEATSAAAAAASRSSRFARLDTFDFALKAQLLGTHRTGNSTDADEIDDAVQRPVVGRAQVVETMLRALLAGAEEDNHGPLVMPIVGGPGIGKTHLARSLFNHDAVEQAFQARHWVRISQQFHLSKIAEKVSVTRQHGNASHADLQQAICSALQGLRYLIVLDDVWNVDSQDWPEWDTLMRAFPPNGKILLTTRTPSVVPRTADIVRTTEPCFLQPLDLEFSKVFVDQFLSRHPNHGVLPSQDDLKVAKKCSGVPLLLEHTACLILHQGLVGKRGDGILIWWEQVLDGFEILSQQRKAFDLEDPADPDVLERAYASYQHLSSDLRSCFLFCSLFPLDYYFNAEELADLLAAQGLIPSIVPGDQRIRFLQQLLDECFYPVQEYENGGRHMYKMHKEGKTCSSDEQCEIREIPQEWHQTLRHLQVLSLEATKIRTLPNKFELLSHLRYLNLSWSNIETIPSSISKMRFLQTLKLSHCKKLKKLHRNTCKLAQLRKLDLEGCHCLTELPRNMSKMNSIEFLSVLQCASLIRMPNRMGHLTNLQTLLGYSDSNNNVGVLSELQPLNHLHRLCLENLERVLDLKDARGAMLQDKLELESLELRWNMDAEHSNTTDAYELTEILQPHQHLKELEIIAYESDVFPSWITSTEPYLKSLVEIRLVNLRACKSLPPLGLLPCLKIAEISGAEKVSCIDYSFYGQNGRFPSLEKLTFSYMLNLELWEQEDRMDVFPHLTEVTIIQCPKLRALYMELPSVEKLILWMNNRMLYSSKGGLIGMVNKLKQIAICFEEELQASSKFEGLQDLVMLEKLDICGCHELTCLPQGLQHLSSIRSLAIDNCSKLEILPEWLENLPSLEVIRLSGCPLLRSISKGLLQGNIIQMHVDECPNLPEQPLGIHSATQVNKHEEIIQDVEETIVEHDYSYREEIFSSQMQVNAFPNFPGQSLGRYCANQVNKHTEIIEDAEETIIDKTLNENDTYLEEFFYGPRAYSED >OB11G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14461267:14464123:1 gene:OB11G25700 transcript:OB11G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPANATAQGVEEDIIVPLLARLATIDAVLNAAPGPDLDRQDGTATAPAPWRAAAAEEERNARAEAGALLEKVQREMSHLRTVFRRIDDADKRIRDGFDPVEQRIDDALQHEHLDAELVRAALLAVDADIDAIRARIREVYRFPCDGDEHRDSPPPAPAPAAGVVMTRRMGEIRRGPQMRHLRLAIGGFEARLRGCVLCLAAFPEGTVIKKRLLIHWWIGEGFVRSADEGKSRFDELIAKGFIVPIPSHLCATVHRCTVRPWMRDLLTTIAKRTAFLELDSGNDFTLARRACLNAGKVELGFSAEARAIYNVHQKYLELGDGWFAGKKELRALQLGQWREFGPLQQIANPMDSHIELDGVERFTHLESCKNLSRASSSGRLGQWREFGPLQQIANPMDSHIELDGVERFTHLESCKNLRYISFRGISRIESLPDSIGKLRELVVLDLRACHNLEELGQGITKLDRLEYLDLSECHLLVGMPKGIGRLTRLEVLKGFVIANPSSRDLSHLHELTKLNKLRKLGIVIGTMAVPAEDEFLKLGEFKALESLKIRWGVLTSVKNESTEASTHHPIAMMKFALPPNLKKLDLRCFPLTDFAQWVPPKGVKKLYIRGGKLLTLGDEEGWETEPQGLQCLYSIRFLIVDNSSNLEILPGWFKNLPYLQLLYLSGCAMLQSIPQEVRKCDKIEIKTAPICQDHSAVTVVAAATEFRLISPNFSVQELRADMERLKIEVLKVKAIREA >OB11G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14468338:14472070:-1 gene:OB11G25710 transcript:OB11G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALELHSVLEKMAAMRDGGGGWLFGVQLEFQELYTVLEKVRRKVAAAEPLVDSRSSAAYALFLADLRRVALTVDDALDSYSASTRRFPLKYKIRRVVVAAVSAAPSVTLRTQLTLMGPVPLPVLRMGSAIRRSSAPPEQPLASALRPKIARQLEDAGKDVSMLLRLFSTYVADLLPGPEHQQQHHHQDPGLGGAGPDETCSSRRQEANLTGSRQDDKQKGSAIRRPSAPPEQPLASALRPKIARQLEDAGKDVSMLLRLFSTYVADLLPGPEHQQQHHHQDPGLGGAGPDETCSSRRQEANLTGSRQDDKQKVLEILERPATGVVAIVGMAGVGKMALTRHVYRAAGADHRLWVQVSQDFNPEWIFRQVMDLLSDQLQPGEDAESFWWSLNEGFIDKKLLLVLDGVWNEDPRRWNELMHLLSGGSGRKSTKIIVTTRIPAAAAAIRSAAIYHLRPFSVDDTLRALRKSNRRLLRLLLNKKRKKKKPLGGRSGNAAVVSAAMVKESNARHLAERCSGLPGIIDLMSSSLRDLCTKQDTDPSIRFDMLCAQNQQLLRIAEASYSHLPPHLKRCFLYCSLFPYYHIFDVDELISLMMAEGLTQIPSREAQADGDFAQDLYRAIVVVGDDSAVLREHSSIRYMSLMVDHTTRELPGSLTEHTGLRTLILLRTQEMVLAGQKSEIREIPSEFCPYHTSLHVLDLHATKIKRLPETFDMLSNLRYLNISQTDIGKLPESIGRLQYLVYLNISQTCIATIPESIGRIHSLRYLNLSQTDVAKLPDSIGALRLLQTLHLSHCEKLIKLPQNIGSVTSLQKLDLEGCYYLSEMPQDISNLKNLKELNILECSSLDKMPCGLTALTKIEALPRYIATSGDNNPILELQDLVKLKRVGLENIENISNEDAEKIQLQKKHELEHLTLHCKVDADNRKSSSEAKELLDRLEPNPGLKTLEIISYAGETFPCWMASTNPQLQKLTQIRIIRLINLNCNSLPQLGQLHQLEILEISGMNAIKEVSSELHGVGDDAFRSLKKITFSHMLNLECWPVENGAKCEHLKELSIIQCPRFHKLSMNLNIEKLTIWMSPHELLGREGLAGVTCSLKCISISLCEELSTSSDCKGLTSLSSLEELKISGCDELERLPPGMEKLTALKRLSIIGCQKFQNLTDLVECTALRSLLISDCPMVTSVPKEFRGIATRE >OB11G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14472336:14474282:1 gene:OB11G25720 transcript:OB11G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPGGGQGLFSKYIDDNILTPLLASLQVIGDDERFRGAESSASASMFLHELDSIRDLMNGLQALLVKTEENERLLVHLFDPIEELVDSVLKSLAAGGKVATLHPKLAAIRAQIGIIREAILGCYKIQATKHGGHGREYLGSAPTTVGLRRTVCDDEQMAHLRRAVLGMDTQLRRCLLCLTAFPEGAVVKKRLLIHWWVGEEFVTSFDAGKKHFQQLVDLRFVRAVRRGHCDTAHACTLHPWIRKMLVAVARSSAFLEVDPDGNGCSSNDFTRTRRACLHNGELLPASRFHPEVSTVYNVGQNYVKLSTTWFSNKPQLSTVQLGQWRTPDPVKQIADPRKSHVELIADEHLKGIGACRNLRYLSLRGMSRIMAIPAAIGELAELVVLDLRACHDLQVLAKEITKLQKLQYLDVSECYLLVDMPEGFGKMSQLRVLKGFVVANSSRKRNSCNLSELASLSNLCKLSISVGKKLKRAEDDLRVLANFDSLTSLKITWGMMSSRDGADESNAAKVKLVLPSSLSKLDLYCFPSGEFEMTSSDRSTVLPRLKKLYFTGEKLQSLRIQKGKCKVEVLRVRCLKYLQVQWEELHELYPELKFVQAQHCPGVANWPLDNSRVWRKEGETSNAASAESEQPGAPDVSPEIVEEE >OB11G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14476503:14479161:1 gene:OB11G25730 transcript:OB11G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYIVWIIQREGEGGCKRLLGMESFALVSRHMAAQLKEIEVELEHLSKMKKRWVQVEKETALPISLSTNSPRATSGDSEQYGKRKEKLIELLNSGDKALSVIAVCGPCGSGKTHLVMDVYASMRKYFDSAAWISLAQCPNSDIAVLRKTMEELQIEADFRTESKPVESYVNLLMNDLKEKKFLFVFDDVRVPDAVRKARHTSFDNKMGSRIIIITRMPGVASDKEASGRWPASMNISGIPEFIEITCLHRDDALKLFYTKALVNSSDFPEQLQKISEKIVVLCDYLPQAIVSIGASLSLKQKTESVWSEMAQQIDDIKRSKVSLNDVQKVLYLSYKNLPMHLKNCLLYCSIFPAGFLLLPERLVRLWAAEGFIEKQVSFQLEDIAERYIKELINWGFLQVVEEDELGRLASFRMPIVVHELAVSISQKEEFGAACHGIKLAEMDSNVRCLFMSEYPEDIGAVVDFPYLRTLIADSAAAGFPSLPASLPAKLKFLTVLELQGSPLKELPRNIGYQLFNLRYLGLRKTEVQQLPDSMCRLYSLQTLDLKWSKIEELPSWIGDLTRLRHVFADTLLDERQKEFLYFNSLKAPKRLKYLKELQTLETVQASSSFEKTVEKLTQLTSLCVGNMEGRSCRTLFASLSKLSSLSSLLVSASNESESLRFQALNPGRLEKLVIRGKLADETFQRPIFKSEKLKSLELSWCNLCDNSLTLLSENLLNLESLSLHRVSGITKLAFEADNSRPDNIRLAKLRTLVLREINEVNELKISAASLPGLQVLHVESLCKLSQLSSIRDLERIQSVRNLYFPQLIAMQRGNNLAAGSSQQNAVISQE >OB11G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14485897:14488134:-1 gene:OB11G25740 transcript:OB11G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRRVWEVWGEWEIRVLVLTSLSLQVFLLLAGGLRKRRADWWLRMPLWLAYLLADYVAVYALGKMSQSERLCDGGSLDAAAGEVHLLVFWAPFLILHLGGQDTITAFAVEDNELWLRHFLSLLSQVALAGYVYWKSRPGARLVIPAIIMFVAGITKYGERTLALRAASMGCLRSSMLTPPDPGPNYAKFVEECQSRTDAGLVAQIVIVQERPPHDDRHVEVEREEYGDLVHSAHRFFHTFRRLFVDLILSFQDRIDSLAFFRRLEMEQAYKVVEIELVLMYECLHSKALVIHGRLGRGLRLFTLAAPVVSLVLFNRALGDMRGYSYSYKQQVDINISFVLLGGAIFLEAYAILLIAVSSWTYTDMRGREVLRPLAAAVFRLISLFQPEKRPRWSNKMSQYSLISYCLKDRSLWCKKAMEWLEWRWNFRVKTAWDSWRYTTCIAVSEQLKGHVFEQIKSKASSISKDPKSYRKVGEHRGQWALQRKGLYQKLGWSVDCEFDESILLWHIATELCFYAINDPPEKDDDDGCCSCSSSISKRLRWGCLCSSSSGPAAGDNNDDDHGTASPRGESDNLVTVSRQISNYMLFLLVMRPFMMTASIGQIRFGDTCAEAKNFFRRGDDDETRDEKGCAGSLTAVDTSIAEPRDVKGDRSKSVLFQACKLAKQLRELEGITDVTRWQLIAGVWVEMLCHAAGKCSGSAHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARSKLIVDI >OB11G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14496888:14502057:1 gene:OB11G25750 transcript:OB11G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLLLPDEILDEVLRRVGGAKRDLDACALXXXXXXXXXXXXXXXXXXXXXXXXADEVLRLVADRFPALVEVSVDERLSVGTGAAGNVCATARSRRPMYTITPSGRRRRISRSSSFSVRMSPFPLDQPGNDNETERTCLTDVGLTNLARGCKGLEKLSLVWCSSITSTGLVRISENCKNLSSLDLQACYIGDPGLIAIGEGCKLLRNLNLRFVEGTTDEGLIGLIKNCGQSLVSLGIATCAWMTDASLHAVGSYCPNLEILSLESDHIKNEGVVSVAKGCRLLKTLKLQCMGAGDEALDAIGVFCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLILNDCHLLTDRSLEFVARSCKKIARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLEVGRGCSLLRSLYLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISVAENCKLLRELTLQFCERVSDAGLSAIAEGCSLQKLNLCGCQLITDNGLIAIARGCSDLFFLDISVLRLVGDTALAEIGESCPQLKEVALSHCPEVTDVGLGHLVRGCSQLQSCQMVYCRRVSSSGVATVVSSCPKLKKILVEEWKVSERTRRRAGPILSYLCTGL >OB11G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14511746:14513208:1 gene:OB11G25760 transcript:OB11G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATFSGSSTLHSSPNTTSRSPATRSRWAGPPATHKTDMVTVAPGEAIDVLMVADAPPAHYHMIALANQPPEPDPQIPVFTSRGLIRYAGAATDNNGLPVPMPIMPNQHNTMPSYYFHANLTGLAHPERHRVPMHVDERLFVTLGLGSICRGRNTTCKRRRSPETIVVATMNNVSFAHPKTTALLERYYDGTSKGVYTEDFPVRPPRPFNYTNRALIPPGPLEEELEPTFKATKLKRFKYNTSVEIVFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNPKTDTRKFNYHNPQLRNTVQVPRGGWAAVRFLTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFRRCGANGLSQP >OB11G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14513632:14514358:-1 gene:OB11G25770 transcript:OB11G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQACNWQILTCTEIRYFFLNLAGNAHQATKHNKQEAVASPERSDPGLAKVYDSAVFLANYENTLVLIRQNAEDTKGKGKLYADQKEDTKERSGQITKWPSPQEGYTKVNVDADFMEETGDARARIIVRDCRGIVLLVVPALEKKNPFEIVLVCESADGY >OB11G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14516292:14518489:1 gene:OB11G25780 transcript:OB11G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHWHGVRQMRSCWADGAGFVTECPIPPGGEHTYRFNVTGQVGTLWWHAHVTCLRSTINGAFIIRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSGTVEESFVLDVKHGESYLLRVINTALFSEYYFKVAGHTFTVVGADGNYLTPYKTDIVSVTPGEAIDVLMVADAPPAHYHMIALANQPPLPDLQIPEFTSRGLIRYTGAAANNNGLPVPMPAMPSQHNTMPSYYFHANLTGLAHPERHRVPTHVDERLFITLGLGSICRGRNTTCKRQRSPETIVVATMNNVSFAHTKTTALLERYYDGTSKGVYTEDFPVRPPRPFNYTNRALIPPGPLEEVLEPTVKATKLKRFKYNTSVEIVFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNPKTDTHKFNYHNPQLRNTVQVPRGGWAAIRFLTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFRRCGANGLSQP >OB11G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14519699:14520624:-1 gene:OB11G25790 transcript:OB11G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCAALRSLTLACCRFALPATVSLPSVETLLLSHVNGPDSDVQRLVSGCPRLADLSIVACRALTAVTVLGARLRRLAILCSDSLAAVAVDASELRHFEYSGFVPDGRNFLTLHGDAQRRRGMAYCKVNICGAEATSEYKLTHLRQFLQLSRRESQDCPEELLSGQQLRYNPHGRGLAAAPQAPCLRSTVRELSLVHYQGGVAQRSLARFVLRSAPAIAEVYCGCAEGDWSTLSQLKREIIGWVMNKSANTIFA >OB11G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14523552:14528130:1 gene:OB11G25800 transcript:OB11G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:J3N9U4] MSAEAPLGVTPAAEDGVGVAEMVEEAAVWCAVHGLVVGDRSQPRSGTVPGVGLVHAPFSLLPTHFPASFWKQACELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTARLLDIHVKMMEVNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRTLLNRYGKDLGLDSKRIPRNWAATQFAEALGMAWTQFNNESAVIMMVVQPEERNMYDQYWLINHLKESYPFIICFLFPCNVKKTLAQVEAEGQVLPDGTLVVDGRTVSVVYFRAGYSPNDYPSEAEWKARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNVLERFLDNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGHDLRETLIRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIYGAYLRNKDKIVMNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDEVIAIYRLIIMNIY >OB11G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14534073:14534249:-1 gene:OB11G25810 transcript:OB11G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAGEKVVPLTVFDRAAFDLFVPIVFAYHAPAPSNEALKEGFRTAVAAYPHMAGRLAAA >OB11G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14548979:14557924:1 gene:OB11G25820 transcript:OB11G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: transport; LOCATED IN: nuclear pore; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nuclear pore protein 84/107 (InterPro:IPR007252); Has 5399 /.../ hits to 5001 proteins in 612 species: Archae - 19; Bacteria - 730; Metazoa - 2186; Fungi - 823; Plants - 382; Viruses - 37; Other Eukaryotes - 1222 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G14120) TAIR;Acc:AT3G14120] MEVDPPPPPPGSSARRRSPSYFDPESSGRREEYRRYRKRLSSSNASPLSGSAVSKFSDARLFYDRNSIQRRPNAGLLLEEIKQEADDISDFDGLDGSKLFGSAKRRASLDASDPGFSSGRQVVRSTLKSVKLEEDMPHEGEKTSTIFASLLDSAIQGLMPFPDVILQFERTCRNASESIRSAGTGKLRMVEDRLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGELFVAPTTSHQEACRFVATDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNDTTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQAWRAATLCPFGGIDLFPSLEAMQKNGKSRTLQAIELESGVGRQWCLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMTKSWLGVQADLVLSQYQTSRPEENKFDDEMNGTQPMLSAAGPESWPHSVLDQQPRDIAALLQKLHSSDHVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWVSSSEDDQNISRPHDGPDMIRFGAHIVLVLRYLFSDEMEDEFEEKLVAVGDLIINMYVRYLFSEQQEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGDASKASLEEIIERVLSRSREPKRNKYEDLSDVAQRHHLQALQKAMVIQWLCFTPPSSIPEFQMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKEILISLEDPEVSDNLQEFDDWHEYYSLDATYRSWLKFEMENASISPEMLSEEEKSQAVAAAKETLELAFLLLCREDIPWLNAIESSPIEPSEQVFLELHATAILCLPSGECMLPDATSCTALTSALYSTVSEAEVLHRQVKVDVNVSSKDPCCIQVSLRCLAVEGDGFGLHEANDGGLLAAIMAAGFEGELNRFQPGVSMEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETVLRSMQASIALSEAGDSLDHCDRLVELVASSESGIMHLFSQQQLQEFLLFERECFLSKMELEEEQLEQLCTDG >OB11G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14557607:14561805:-1 gene:OB11G25830 transcript:OB11G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSCASEPLLPRPGGDGSLAMVIASTGVAVLGSFVFGVSIGYSAPTQSKIREDLQLSLSEYSVFGSIITIGAMIGAVASGHLADVTGRKGAMRASALACIVGWLAIFFAQSAVSLDFGRFCTGFGVGVFSYVVPVFIAEIAPKALRGGLTTLNQLLVCTGLSVTYIVGTMVTWRMLVIAGLVPSIALIIGLFFIPESPRWLAKVGRQKEFEIALQRLRGKDADVSIEAAEIKEFIETIENLPKAGVQDLFNRAYIRPAIVGVGLMVFQQFVGINGILFYASETFVSAGFASGDLGTILMGCIQAPITAVGALLMDRSGRRPLLLISTSGLLIGSLMSAISFYLKIHGLFLEQVPIIALTGILIYIASYSIGMGAVPWVIMSEIFPINIKGIGGSFVTLVNWSGSWAVSFAFNFFMSWSSSGTFFVFALVCAVAILFIVKAVPETKGKTLEEIQASMNSSST >OB11G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14565042:14568367:1 gene:OB11G25840 transcript:OB11G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDARYRVAVGAARGLEYLHERCARRIVHRDVKPANILLKDDYEPMICDFGLAKWLPPNMTHYQVTTFEGTFGYLPPEYTSHGIFNEKTDVFAYGVVLLELLTGRRAIDAKKKLSLLTWARPFLDSGDGDGDGDAAVRKMVDPALGGRYDGEQLASVACAAKLCIHASPELRPQMSQITRILQGNEEHRQSEGPRRTATDHRAVDRHVTNGQDSATRRQLDDLRRHEALAFDFEWENTTTSAEIDHHLSVVHTSN >OB11G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14575568:14576947:1 gene:OB11G25850 transcript:OB11G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGRVSGELPPAVAALPGLRFLALSGNLLSGDLPATFSPLLRTVDLSKNSFSGRIPPSLLLIRSLRTLVLSHNSLSGEIPKLVSSPLVHLDLRNNRLTGGGPGTLVYLSLAGNRLSGRVGAVLRRLPRLSFLDLGGNWFSGEVPGEVFSFRIGYLQLRKNAFSGELRPAGRVPAGATVDLSHNALSGRVPPELAPASAVYLNGNKFAGAIHGCDFVAIKHERWMMYFSANDAILYRKGLFENPLIQTGPTMELMVNKDQYFTENSLRSERKFI >OB11G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14589966:14590970:1 gene:OB11G25860 transcript:OB11G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELQAESARLRAESGELEKRLEETKASARHVEYEKAEVEESFEEFRKNTEKYKQQMEENLAVKLGELNLLSSSKAEMAAKVASLESELCSAMARSSELHSEIEASKTELTTAKTESQKLRSELAEAEKKHRMAEAKVNELQIKIDETTNTKEAAAAAFDAEKTTMEKALHTMNMEANRIQAEKDAAVSMVQHKSDESEQLKAELHNLHSSISKLHGRCNDLSAQSSRLQDEKSSILKALDAEKSTSTKLRHKLRELESYVSNKNSEIGTLNSEHEDKEQTMDGLSREIERRRLAVAEALERGSNGVWTWMCHPATTTVLAAASVVYAAASRR >OB11G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14594107:14595636:1 gene:OB11G25870 transcript:OB11G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N9V1] MASCKKVSVALCVVLAVVAAGPAARQQVSAASAHLHFYMHDLLGSSAVEVVRGPRGMAGVDVGGGGGGGRAQGQYIVASSQGGMDLMVAMNVVLSSGPFAGSSVTVVGRDDTGAAVRELTVVGGTGQFRMARGGAAVRELTVVGGTGQFRMARGYVLWRTIRPDLLELDIYVNP >OB11G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14594218:14595620:-1 gene:OB11G25880 transcript:OB11G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRSGRMVRHSTYPLAILNCPVPPTTVSSRTAAPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSRVDVDAEREQVGADGPPQHVPSCHPELPRPADHRELAHGRAGVVAADDGDGAAGEGAGAEDDVHGDHEVHAALRRRHDVLPLGAPPPPPRRRRRPFRQHVVHHHRVAEHAARPPHHLHRRRPQQVVHV >OB11G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14596662:14600420:-1 gene:OB11G25890 transcript:OB11G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWVEGWWRGEVPPVAVDGAEGVDTGSRRGAARGGRRRRGQGPHLARRRRRVVARMLQARRRVRRRGRRRRGQVRGVRLLRAVVRIPGRSPRRRRPPAGAPPRPRDADVFMTVGGSGAITAITTVLGGAPGANILLPRPGFAPYEAACELVGAEPRFYDLLPRRGWEADLAGVRSLADGATAAIVVINPNNPCGSVYSAQHLFQIAETARELGIPVIADEVYAHMVFGGSKFVAMASFAHITPVISIGALSKRFMLPGWRLGWLAFCDPTGALKHVRTATEMLLNVTSGPASIIQAALPKILSNEHTEFHRNIVNLLESAADTLYRRVNQTEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVLGLKNWIRIFFGAPTSVITEACDRIEAFCQRRAVQVKLLKKKF >OB11G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14605901:14606458:1 gene:OB11G25900 transcript:OB11G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVILGVLIFVAIAATLFSTGLAAGGPAYEYCLLKCVDECDSYCKTMGYNHGGDCNTGPCCCLW >OB11G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14608487:14611261:1 gene:OB11G25910 transcript:OB11G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMPTFHLAPDLPVSRLCFGTMTMGEQSGLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETQGRSEEFLGRWLRARRAPRDSVVLATKVAGPSGQMTWIRDGPLSLDLCNITEAIDGSLRRLGVDYIDLYQIHWPDRYVPMFGDTEYDPSRHYVSVPIEEQLIALGRAIDAGKIRYIGLSNETPYGLMKFLHLSRNSQLHNKILTVQNSYNLLCRNFDSGLAECCHHERISLLAYSPMAMGILSGKYYSWDDGGPSDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYTKIAAKHGISPAILAVAFVLRHPLVASAVFGATKISQLTEVLQATRVHLSEEIVAEINEVHARYPNPCP >OB11G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14608506:14608753:-1 gene:OB11G25920 transcript:OB11G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERVNKSVHLGGVEEVDAGVEGGVEEAQRLRQPALLPHRHRPLNPPTRRVSTERQERGREGFWGRRQTEAEAGDGKVRGEVE >OB11G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14611548:14612048:1 gene:OB11G25930 transcript:OB11G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3N9V7] MASGKLSSSVALPAFALMVVALLAGGQAEHLHFYMHDVLTGATPTAGQGLNGPGGHFGDTIVIDDVLTAGSSRSSAAVGRAKGQYIWASVGNPELLVTMEVVLTSGPYAGSSVTVVGRDDIGAPVRELSVVGGTGQFRMATGYVLWKTVSLDHPNAILELDVYVNP >OB11G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14617510:14620191:1 gene:OB11G25940 transcript:OB11G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKADATAFLVKHTIDVLGGELKRLLSTLEELISPQDHHNAETEMAKEAAAGPPEKKHQIIGWVRRALKSNGINMLHEKKDTHGPRLLAIVTPPFRREDLEDEDPRGLRRATEIARRVFDDYSRQGGLFDFTVWVNAEEHSQTTARLESILRQVQEQAQVLGLGMGQQPPPDQYPSSSRDDGGGEMTRLQQEIRRHLTGKKFLIFLADHSDETPWAQILPALPSDVTEESAIVLSPLVQQAYQYVGWYLLSLCFLRRRSRYRVYFYSHLFATRNKAKEMLRGGDNGGEDLHDPVNDILERCRWDSFSSKMFLHALYVNHQRSKASLENLLRHLDEFSTVNNARCMIKFCYDDLNHYRACFQYLSIFPLGFKIRRTSLVRRWAAEGIIVGRDGLAAADEAERCFNAMIDRGLLHPDDDNTDNPSGKVKMCKVHPHVLSFIAWLSKDDGRAADSIDLFPALARRLSIASRIQLSNKVQRRVSEGLKMQMDSGGEPQVPGPGPRPQDSVLEDMVMLLDLFPTTESGWIKVLDLEGYRGLKKKHLKIICNKIFQLKYLSLRNTDVAELPKEINKLQELETFDIRETNIDSFPAKSIVLPKLARLLSGVGHTHTDPPTSSKDNISHGKDDKLSPESFTAIHIPRGIKNMTSMQILSHVQISDSHDKAALEDLARLQLLWKLGVIIHGKQAYLVLKVIGMLNESLRSLSIRLQDDGEVPDLNKTSKLFSPPVSLASLSISGKIAGLPTWLQKLEQLSDITMCDTSLKHSDVKILGDLLNLRFMRLLGESYNQKELTFEKGFKNLEILIIERSSTISDVHFVQRVAPKLEKIVWSSTCKMENLGIHNLRGLKEIELKGNCDLNRIRQSIKANPCHPNLKHTPILNSSSIQPVTAAATNK >OB11G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14624815:14628585:1 gene:OB11G25950 transcript:OB11G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGGSAQSAVDALLGRLSSVLAEEVQLLRGVHDDVQFIKREMESMNGFLLDASEAEASSGNHPSNQVRAWTRQVHELAFDSRCCLDRYELRGQPVRRDRRRRLRNQAVTVHHLVEALMTGGGSLDDLKMEFLKLVMELLHHDNPGNVAEAYGYLNEIMKEVAKEEVKVLTSNFLDLFLPTDDKPSSLQAGKKSNAAAAADLLEMVKEATEPMEIARAEARYIGAQLLWLIQVFIHKFVDELSQEGHSPKVLAIVAPPPPSPDDDETDDRHEAHATELARKVYEDPIAVDHFKTRVWVNAKRHSRPEERLRIILQEVLRQEHEQLGSSAVADEVAPEWDNKKVKKELRKHLDGKRFLIVLADPEDEESWWDVTSALPNHGDSAVVVTPYIQHTAQFHAWHTAIWFFMLTGNSSRYEVHFCTNLVALRKVAVQLVSSEHLQGTIYAILKKCHWDSFATMMFLNALYANPRRSKGELEKLLLLLKHSSSNRVDNARNMIIFSIEDLPSQYQRCLLCLNVFPQDTKFKRTRLVRRWAAESFINGRDGQMSAVDEAERCFDALIARGLLLRTEVGPAGKVKTCTMHPLVFSLVTKMASDVDDIEGSNSSSSDLPHDLALRLSTHRTGLRLLLLQEKHAATATQVSIATRCWRVLRGRKTTTASKDDETNSSQQQDVVTFLNLLPASSSDQLGLVKVLDLEGCRGLTKHSLKKICDKIFQLRYLSLRDTDATELPKEIDKLRYLETLDIRQTKIASFPANTIALPKLIHLLAGHYTDNQKHGDKSFSTIHLPRGIGGMTSMQVLSHVEVSQLNDMEELTDIGRKLQQLRKLGVVIHDDDNKTHLLKVLRVVSKLHECLCSLSIHIEPASALDKKRHVVADHGDDNSRSSSKGSCDGGSAGVAAANLDQVADLDSDEVRQAPPTSLTSLLIKGRISGLPAWIKRLPRLLKVTLWRTYLKESDVRLLGQLVNLRHVRLWANSYKQKKLALMGKEFRRLEFLVVEGSDITDIYFDQGAAPKLEKIAWTSTSEDQVDMFGIANLLNLTEIELNGKCEPIKIERIQQDMKEIPNRPKLTGTATSAFSSASASNISAPK >OB11G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14633490:14637938:1 gene:OB11G25960 transcript:OB11G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVAAVSSLLGQIRNEALFLGRVKSDVRFIKHEMESMRSFLERLAETGEDQDLQVQTWMEQVRELAGDCRTCVDIYLQRGNPAAVLGARVGVFRRSLCWAPWLVQNMVNQHYAGIELRELKERAYDVAQRRKRYGVVVTIKTKQEQPPGGGDDDEASAKKTRTTVVGSSILHHRALELARSRSLDDYCGDKIVEWLDSDARAAAARDRWCQSIPSIAIVVPHPDPETAGANARDALAFVATTRFRRSVWINILNVHKKYLLRNTRFFIPFINIASWTVAKGNPITLIDTLCYILRECQEQNHQQKNHGDAQEQDVRDNAWRDRSNIISEIAAGSQIKKMKTKIEQIESKIKQMETDHAQAVEAAIDGAIDTLSNALWIQKPLGILLKALHLLIQPGETSDLATSLPQLVNMLLWDHEKIVKETAKKLKQHIEAAEPPSPGETREDDLGQGKQQEAKTVFPISLQQTKYEHILHKMFPAKEPQEAASSGISDGIQAAPDDVSATAITSVSSVEIKEIIYRIIQNMLQDILKEQQHQLAQLPEAEGKPVKQEQASASPHKSGYHQEDEYASAIKETKQKITQIESNIQEQLMIQIVVEKIKEQLKCERTLIIIEDYGNYVPEWVEIRNALNPLTSSGSALIVTTTSIQRAKEICYPLREPITNSIVSMYHDIFQYISQSMNKGVNQIFHDVVDKCPDEFCMKIFTHAMYTNPKRSNEDLRKLLASMDPEKSLAINANKMINFSYNDLRKEYKSCLLYLAIFPPGYRIRRSTLVGRWVTEGMIAKEQWPSAVRHAERCFEALIDRWLVYPGDIDGEGKVKSCIVSDLVHDFITKIAKKQHILEPRLSQHLAHHFSIFNDLQLQVSDRIDTFLKKLHGSSKLSMLKVLDLECCRYFKNNQHCLKDICSNILLLKYLSLRRTDITMLPSEINNLYELEVLDIRQTKVPAYATRDLVLVKLKRLLAGHTDLISSSNDKIKNSISDEISSFSFQKKAPLFSSVQVPNEIKKMAGMEVLSNVKASRNGQELIDIGKLWQLKKLGVVINDKDNHRKNLLKAISDLYECLRSLSISIVPNTEREATASSGDLQQHIRHCLRFRPKFLESLRIHGYTHNGQLLALLAEGLSKLAKVTLSSTSLNQGNLKVLGELPNLRYFRLRHNGYTDKTLTFKKDEFKKMISFLVEGSNMISIEFQNGAATALEKIVLSSTDTKSLSGLGDLPKLKELEMKSNRFLVSFSEEVETQKKYTRSILTFKKDKFQKLKYLLVEGPNMETDITFEVGGARELEKAVLSFANIMSISGANNLLKFKQLELKGNKSLLLSSLENAKKVSEVTLHSTWLDRSNLQILGKKPRIRCLVLSQHSYDESQLIFNNNEFPELAILIVKCSGITEIQFTDRAAPKLEKIIWSFTKMNSLSGITNLPNLKELELTGDHVPDQVRDDIKAHRNQPVLTLRQLEHQDQDHGRAQEDPNDARFSACSWLLKNKY >OB11G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14634677:14634964:-1 gene:OB11G25970 transcript:OB11G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAASWGSLAGNILCSICSYLVCWRLIGNTVLASCCFPCPRSSSLVSPGDGGSAASICCLSFLAVSLTIFSWSHSSIFTSWGRLVARSEVSPG >OB11G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14641265:14644851:-1 gene:OB11G25980 transcript:OB11G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:J3N9W2] MEVGLGMGLGEPPADYGSIAAVGLFVALICVCIVVGHLLEESRWMNESITALIIGLGTGAVILMASSWKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTLISFTVISLGAIGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLSQDDTPLLYSLVFGEGVVNDATSVVLFNAIQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAFIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKIVSEAYSPMKSIALSSTLLFLVLVARAAFVFPLSFLANLTKKSEGGKISIRQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITIVLLSTMVFGLLTKPLIRLLIPARHLSREASALSDPPSPKSFLDPLILNESEVDAENGTGIGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSMPLLQANDN >OB11G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14648187:14651225:-1 gene:OB11G25990 transcript:OB11G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27950) TAIR;Acc:AT5G27950] MEKEAMEGHVIVPLENLSLELPNGGIMLNHEKDISALQVEISDLRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISTNKFSTKSPVTVENEKIVVRSVGIKKEFSVDRVFDEESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGTSGKLGIVPRAIKELFSHASQDSSATYCFFISMLEVYMGTVKDLLAPRQPLFRSSEFNTASIISILSTKSGAVEVEGLTDVAIQDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIKRSGGATEEVSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIESSKELSEDIKKLKQKQIAELDKEIHDAEQELKDLNEHIRKAEISLEERKKLSSSACQALSDEKGSPRSTLVVLGHIDSAESPQATEKTKSRVSHGHGSVPHFMSSTVCSRQRHSSASHSATKPRLTKSVIRYPAELSGSQSFSYSSCKNAAKAKSVAFSSSMPKMKCLLVKSDQINMSTNSIDSTAASAPQRRESFSSRPGQRAPLHQHRRRMSSLT >OB11G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14664783:14667168:-1 gene:OB11G26000 transcript:OB11G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGGCEGQRWPCGLDGRDGRGGRHRGHQSGATAARRAGVLVFLVSGDGESMLPRLLVSDSPCIIVRGVEAPSRDGDPLGTGPGMNLALILLSGPGRGFDPVVAPIILEVVTEVPRGSEQGKWQPPLNILIP >OB11G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14676872:14678928:1 gene:OB11G26010 transcript:OB11G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCMHMQFIHGCFYMESDEYVSNLGWLLQVLGLAALPFEPFHIQNAISMSEKIREYEEYLKEHTFDSLEETFEYFHTEKYEAEKNWMSEEVMVAFEKHIARRDDLKEFDYQFDELLFHCFNVEIYHKTFHHFNFTVRMRAPCSTDWTSTLYFAEVMELLGHQKSYFCSPLEPNENVNCYAFKNQGMEDLKHPVVGAFDRGSPDLSLVAQMTKLGSNDHEGYYS >OB11G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14684960:14688862:1 gene:OB11G26020 transcript:OB11G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIGFRTLVCLPREDDIADLLKNLKNHFPSFNFSGTVVLNKVNYLGNTENHNMFHDMRLENRAQALYCCIFLWRSFVKEIGFVLGLKPYCKEKCVHDGSNMCDKTKLLVFSWSSFKTKVSALAMEVKKCSHLLIDRFSDISLSDDDIQNLNKLTRGISHLENQMQNCDITHSNVERIFGLGSGLGFDLEGIGFYITELNETRMNCLGLIEIVVNSIELPQLNDRKEFEEFCIKHSRTIICTPACSSQLHDLKLDSIDILLVDDAGQIRESDMLMPLSFSPRHIVMLGDHLHIQPMVKSEVCQDAGFGSSLFQRLSHIYSQKKILCKQYMINPSISQFMNEHFYEGRLEDGWIVKSDGYNNLLKKFPSYTYGFFDISAVDELREKGNFFVESAAVMGLLQSLCKGLTNDTGKINVGMVCLSYSKMDEMQNFLGIKYENHERINVEVSSLDNLSQKWYDVVILSSVFDDKSELPEGNKINVALTKSRHCLWIIGEAVKLGASGDTWQKLIANAKERRCCAKLNSKVLAKVMRQSEAYHQDRDRSTAANSALPKNIMQKDFTWTLSLSNLKSQYEHTVAGEFASEETKERGKRRLETALDILKDHGVIGHHKVEFEVKN >OB11G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14690879:14692324:-1 gene:OB11G26030 transcript:OB11G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLTLASPTANSLPFDSLGKRKAPPRLTQASGYSSSSRRRRQSATSHTYLGSDNK >OB11G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14692188:14694257:1 gene:OB11G26040 transcript:OB11G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYWQSVRRVSATAACRGRKMRQRKGSAGPDSTFAKPSAHKEASQPLSEWLQELEDYLRENTINSLEEFFEYMRPPQGYPSPETKVVEDEAMTHQQSSQERSILAPEHAQLDPAEGQYADDSDKEIAQNGMKWMSEEAMVAFQKYIAETDDLKGYDYRFDELLLQCFTVEHYYKIFHHFNFTVKMKVASATEWTSKLYFAEVHEMLGEKIYFCSPLEPDENGNCFACKNQGMDDLKHPIVGVFDRGSPDLSFPYTYSSGSDDEAWL >OB11G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14698449:14699118:1 gene:OB11G26050 transcript:OB11G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPNCRTFSSYHWLLAHSTLGTTLASEFLQDRLFKISSYEKVVDVDDSQKVRSLLEAGNIMVGYFRVSRNYFYLKPGEIYMYDKTRPYIHAKSNLPVSHAVMVIGDGRKPTASAANETSQLPTYNEHVMIQNSEGKRFGIDGLGRVDKPTLRRLYKITLPD >OB11G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14699903:14701074:-1 gene:OB11G26060 transcript:OB11G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCHLIHLGKRKAPPRLTQASGYSSSSRRRRQSATSHTYLGSDNK >OB11G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14704644:14705699:1 gene:OB11G26070 transcript:OB11G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAFAGDVPAGRRRLTLFGGNSPTNCLPGLSRAHFATLGEEEEEGCSVGDLEMHSLELELSFGKVLFIQLAGSDPEADEDK >OB11G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14705987:14707509:1 gene:OB11G26080 transcript:OB11G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPQGYPSPETKVVEDEAMTHQQSSQERSILAPEHAQLDPAEGQYADDSDKEIAQNGMKWMSEEAMVAFQKYIAETDDLKGYDYRFDELLLQCFTVEHYYKIFHHFNFTVKMKVASATEWTSKLYFAEVHEMLGEKIYFCSPLEPDENGNCFACKNQGMDDLKHPIVGVFDRGSPDLSFPYTYSSGSDDEAWL >OB11G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14707836:14708015:1 gene:OB11G26090 transcript:OB11G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAFVIQEAICILYGDNEARCILYGDKEVLLRKINRSRNRVSHELVNYARIYGISMFRR >OB11G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14713099:14713509:1 gene:OB11G26100 transcript:OB11G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVESQVWLVFLQHLKGASINAENQMKLLDQIATQLLNSRTLGRSSSEGSVLGNKWSDFQNTKPPVKADDWIRDIERKLNTVQYNDHEKVLYASHQLTDSASAWWSNYEAMHANKDTITWAEFVKLSTMLKSSA >OB11G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14717888:14719141:-1 gene:OB11G26110 transcript:OB11G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3N9X5] MTEVDLARAVSLFFRIAVVGLSVAAAVVMATASQVFPYGEVGVSYTRYSAFVYFVVATVASAVCSAAALYLSVFKRNWVVTLLDVVTMGLLFSAAGAGCAGRRVXXXXVGGANVDVAGQWVNGGFCQFAGAFCWRVTTSATICAFAAGAVSVAVLTKDARYRGGKH >OB11G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14730283:14730971:-1 gene:OB11G26120 transcript:OB11G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3N9X6] MCESEKKKESCKGALYCVNLALRIVVMGLAVAAAALMATVFLYYGGPLHTITYKDFGPFVYLVVASSIGAFMEAIAIFLTVCKKKDDEPAKVLLPLLDAAVPVLLYSATAAAFAAGDMSYCAVGKRVGVCTTAAAGNFCNQVHIAMYVSLAAGVSVLFAEIVKNWPTGEKKKECGGGCGSDSDSDKSPCHHGCHSKH >OB11G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14732036:14732593:-1 gene:OB11G26130 transcript:OB11G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3N9X7] MSYGCHEKDEETNGSKALSLLLRLSTLALALTSAVVMATASECTIIQRNGVVATVTYKDFPPFGYLVGFNIVAAVLEAAAIYLQLSKGGGDDDDGEGFSGKLPRILLVVLDVAVQALVYLATGAAFASLSAYGPQIKACGAGAGRFCGQVHQSKLLSSGASAAVGLAVVFRDVSLPFSLWPTSSD >OB11G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14739979:14749979:1 gene:OB11G26140 transcript:OB11G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRAMEIVINKLGEHDRLAIVPVQAAEKDELLRMNAEGRTEATTRVHSLVATGDNKLSTALKNAAAILDGRSETEKRRAAGFIILISDGDDGSVFREKMSGNYSVHAFGFRDAHNAKAMHYIADNSTGTYGILNDDHDGITEAFTGSVDSIVSVVAVDTEVDIWLAPPPPPPGSGSGTTATLSAIESGRFRSRIKDDKKSGFIIPGALQAGAVRSFLVYVDNVGKDERSKLPELLKVGFKCKNLSSHHAEEEPEKEGRARDVQNQVQVVVVSKGDERSRVVAAEIVCVEAFKIVDGVLKKYTDNGNVLAGAIDELRNQWGSLKASEYGAEAGQAGLLSGLDSEIEDMVASILRCSGMSYILSWQTRHSLQHHTSSPASGVPPRPSPNPNGIAAGAAAAAPGTITAAGAHHAAHGVGCGGGERKRKYQYRSELEMIEQRLADWSKGKCELPPMHHDGECADHMTTLPGGLPPWCMPPAGGVRNPAVAVAAAAAAGVHAASETADLHFCMCHIYLKTSELVLVSSRSCVLVAHCTMYIPLAPVSAVGSDKVQLSTFPRLDAIPRRECHARLPVLVRVTAPATAARRAPVDLVALLDISGSGGGAARRLDLLRNAMAGPPPPPRLAIVPFHSSVVDATGLLEMSVEGRSVASRKVQSLAVAGGTKLFPALNMAVEILEGRRREEKAGRVGAVILIFDGDDRTIFREAIHPRYPVHAFGFRGAHDARAVHYVADHTSGVYGVLNDEHDRLTDAFAACVRRVTSIVAVDAQVDLTCGAYSGASILAVESGGYKSHVDESGRSGFVYAGSLCAGDVKNFVVYVDVDREADGYIDVTELLTVHGACTYLDAARRKETVHLDERMAVVQRRDRIPDVSCDVAAELKAVAVEMNAGEGHGKEEGGGAAVVAGTKRRCVEMDMIEERLAYWSKVKHDLPLMFPDHAAPAAATGTSTGDHVAAVFRDASLETINRAMFHDVYLAALHASTVRRCCQSSPSTEHPRNDQD >OB11G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14753979:14757049:1 gene:OB11G26150 transcript:OB11G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVGGGGGSSSRSVTAVLEESVADVGALVENWRVDEDGGDEPFSIFLDGGREEAGRFLYAAVELHGAMTLVASDVEDVQRRGKCLVRAQEVLEGAMRRLELELELLLAAVRSNAVDGAIVSGHDVDDAGVVGYIRMVAEAMMAAGYGMECVSTFKSLRRAEFAGAVRGLLGYAPSQHARFHKLTWDDVDGKVKSWHTAAGYAFNVAFTGERILCHSVFAADAAVADKVFEGIASDHAADLLAVAEAAVARARRAPERLFHVLDVHATLAEILPAIVSALGDKSEATARATAALRNAGEAARGILVNFEEAIQKAPSKTATTGGAVHPLTSYVMNYLVLLADYDDTLALLYQQGRGTLMITSGSGSNASPDSPSSSSNLIGRLLSVLMRKLDAMAGRYRSLALRSLFMANNTHYVGKKVSGSNKLEGIVGDDWTEAQTAETRRHVDAFVHAAWRDVLVVGGDGADAAVREAVATQRTWVAADDEMADALKNFRKDNE >OB11G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14756325:14756510:-1 gene:OB11G26160 transcript:OB11G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSPQQYILNNVQSRLQILRRSKQNKNSSCFAFSKHKNHRKFANYLGHATVVHRPVCPRMR >OB11G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14760166:14761320:1 gene:OB11G26170 transcript:OB11G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSRPSAGCCPSVLLRKLEGHGRREIPVAGVEDVPLHGEQEHALRGKESVRQQQAGGHRRRRPDGGADGGDKAPRRRVRARGVARRAGNAWMRCMGQNFSPERVQDFLRIWERMSEADTQLTTGNNDCIEWKVANSGEYTAKSAYVAQFAGRTRSHEADLIWNTWAPSKCKIMVWLLLKNKIWTADRLQVRGWPNEYFCQLYYRNLETPRHLFKDCLVTKQIWEELKISGITTAFYHDFFESDSVVVWLDKVISCTDRKSAKWTKSLAMLVIWQIWCERNHRVFQRKELSLQQILTRIKDEIGIWKACGAKFL >OB11G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14763825:14764560:-1 gene:OB11G26180 transcript:OB11G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRRRWEEKGLRVTVSACDVSVRADRERLIAAVEEEFGGRLDILVNNVGRTMFKAAAECTGEDFARLMATNLESCFHLSQLAHPLLVVSGGGSVVNISSVAGTVGMPALAVYSMTKGGMNQLTRSLAAEWANAGIRVNCVAPGGVNTDICENETIDPELINSEMARLPMRRLAEPEEVASMVAFLCMPAASYITGQVVVVDGGRTIT >OB11G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14777065:14780278:1 gene:OB11G26190 transcript:OB11G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNNAVDSALAFLTKAVKEEAKLLSTVEADIQFIKDELDSMNGFLMYLTKTKTKTHHDDQVRAWMKQVRDLAYVADDCVKLYRRDLRPPEPGFWPWLRHIPTWVRTAPRRHRLANKIHKLKERVREVGERRQRYDVNVPRDAAAGDGTSQVAARDGNIGWELLEESSLRALDAKTFESIKYGANKDAAPARDAASQVLKIILDKRERPANVAAARMLGRALSADLNRTSNEKELADDINGLLENLQKETREAGVANHVMVFCYSRLSTHQKSCLQYLTTFDEEKSISRTCLIRRWLAEGTVSGWDKQQDEEDDPTRSMEEAGERCFRELVYRGFISPAPAPPAGLKIKSCVVDPSVKNFISRISKADNFIDDLPTHLRHQIDIRKLVQRQPPQPQRRPWWWCPPPTCVTTLCGADEGKQLPPVDAIVKLLKQLPEEYRLNVLDLGGCVGLTMTHLTTICDLVPSLKYLSLRKTNIYWLPKQMNNLLHLETLDIRDTRVQANAMRGIFLQELRHLLAGCVTDDEAQLHTVRVPDKLGKNTEILKHVRINDGRHAQAKLARVGSLARLWKLGVVLSGSQENMARLLAAIASRSDSLRSLSVWITAPPGHMAGAGDGGITLRHSLLTKDGLSELGKLTSLRCLRLRRESYVEDKVTLREGEFVELRFLLLDHVSSNTKKLRIEPGAAPMLKNIAWNMDSNMAAGIMAEAGNISGFDDPLARLVLKINGDTYRQYKPSEVTVQ >OB11G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14785372:14788143:1 gene:OB11G26200 transcript:OB11G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVASLARGAVDSLLGRLGSMSTDEARLLGGHVRRDVQFIKDEMEMMDAFLLLLLRQQAGSLDRHHHNQCTPWIKKATELAGDCRDCAEQYAHFVAARPPSRSLLLGHLRRVSRLVRSLPVRHRLAVQIQDIKVRLGELNRRRKTYDISVSPSSYRPPERDDAGDQEDEAAWRRHLASGEPPADLKNTVDELVRWLMEDQRAGLRIIPVVGICVDASWTIADRVYRHSSVTSMFDCKAWITVRDVQSPLQILRDILCQLALPLNKFRSEMIGWKEEELVHKFRCYLRGKIFLLVLHDVRDESIWSQIEHAFPDDSCAGSAIIITTGDDRVADSLSTYQIFNPDSSGYVLNFYLGKAIALLKHENEKSLRQILPCMLVHLEPEILFMKMLLRYLYYESHAMFRLRDALQHTSSLHDYWPKKMVFLCYNYLPDKYRSCMLYLSIFPPGYSIRRTSLVRRWVVEGLITDKQESSALEQADQCFDALVGRLILCPSDTDSLGKVRACTVPELVHDALTDLIARESNITPVDTVLVPPELARHLSIRFSMKMHMSPSEPINNILTFLKSLPSSSLLGLLKVLDLDGCKGLKRHHLKNICGIYLLKYLSLRGTDVTTLPKQIENLIYLETLDIRQTKISAFPGKSLVLPMLKHLFSGHTACPSKDIIRKQESFSTIHIPHQIGRMRNMEILSYVEVSHGRMELIDVNQLLKLRKLGVVMNDTDEDGFDHLIQVICRLHKCLRSLSIWIRPSSADHVSKALDMSMMDSTPLEFLESLAISGIKIGLPPWIEHLHQLTKVTLRDTSLTESAIHVLGKLVGLRYLRLRHRSYAHGDLAISGREFKSLQFLLIEDSDIVSIRFDEGAAPRLERMVWRFTIMNSLVGVGHLLSIRELQLEGDCNLDKIGVIQNDIKAHPNGPSLKHIPAAEN >OB11G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14786149:14786463:-1 gene:OB11G26210 transcript:OB11G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQSNSFTEVEIENITGAIWVEDLIRRERIGHSVITCCDYDSTTSTRIIWERMLYLTPDRFITDIVKNKEEDFTSQIAAELVHQLFLFPANHLAPELVQRESKL >OB11G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14804289:14804588:-1 gene:OB11G26220 transcript:OB11G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWPTWDIKGSCVKITSLVASARYRVATTRRVLYASFSYPHEENATIAGGTIDHLDVVLKDNIVTIVARGRRINCKVEYRRGVTSRNLHQFSNYSMY >OB11G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14805924:14808972:1 gene:OB11G26230 transcript:OB11G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALSTVHALLGVIRKEAELLAGVRGDVQFIRDEMESINGLLRHLAGTKERASDHQVRAWIKQVMELAYDSNNCVERSRPREYSSLPRKIAGGDASRRQRGAAIIAECGKEHMLKERADELIRWLDIGVAQDLERPKLSLVVVVAPDAADGSTLATKVYRHYKPAEQPPPPPSSSSSSDTPPPPPPFRCRISVGVNINRRTNLVEVLMDMLRQIQSEGCVAESMGDDVHTWSLDTLKEKVKNSMRGKRSLVFITNVDYLKLWFDIGDLLASIDCDKGSAVVLSSRDRDVINKLELELEQRKKQEQRNKQLPLMKTISYSYVEFHHNKAKQLLPSDYSSSGDGVVRQILGRCDMDDSLARVFLHALHNNPNRTEGELKTLLDNLSPERCSNDPMEMRVRLAAFCYYGLPDRYKNCLWYTAAFVRGSFDIRRASLTRRWIAEGLIVRSGQPTEHEEAESCVDALLSLNLLMPRQRERGVVEGKVKTCSVNTPVIDIISGGRSTAVTTVDDFLDTNQLPLDLDLHFSIRNGIRIRQLDVADASAAEPRPPPPKKQLESVMEFLRKLPASLRLRLLRVLDLDGCGVAVTKHHLNNICKIRKLRYLSLRGTNVAQLPKLLHRLELLETLDIRQTRVRVFDAALPRSLKHLLAGRIDCPSEQDAATVKAKQTFSTVSMPAGVPAGYLDKLEILSHVQVSDSREELAKLGENLKRLRKLGVVLCGGEKANLSDLFTQINHLHGTLRSLSIRMKPIACWGSNEVVLSTPPLLLESLRISGVRGWLPHRIKELKNLAKLTLRDTLLDAESLAVVGVLKGLRCLRLLYHSFHGGELAFGDDTFLSLAGLVVEDDMVTTCWPLVVEDDMVTTIAFTPKAAPKLAKIIWSFTRMESMKGVKNLGSLRRVELNRLARNGDATNKYPQLKQELDEHPNKPKFVPRLIGPKEGDQV >OB11G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14815046:14819532:-1 gene:OB11G26240 transcript:OB11G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALSTVHALLGVIGKEAELLAGVRGNVQFIRDEMESINGLLRHLAGTKERDSDHQVRAWIKQVMELAYDFNNCVERLRLLRVLDLDGCGVAVTKRHLDNTCKIRKLRYLSLRGTNVAQLPKLLHRLELLETLDIRQTRVRVFDAALPRSLKHLLAGRIDCPREHDAATFKAKQTFSTVSMPAGVPAGSLDKLEILSHVQVSDSREELAKLGENLKRLRKLGVVLCGGEKANLSDLFTQINHLHGTLRSLSIRMKPIACWGSNEVVLSTPPLLLESLRISGVRGWLPHRIKELKNLAKLTLRDTLLDAESLAVVGVLKGLRCLRLLYHSFHGGELAFGDDTFLSLAGLVVEDGMVKTITFAAGAAPKLAKIAWSFQRMESMEGVKNLGSLRSVELKRPAGNGGECRQLQQEINEHPNKPRLVVVFQPLNNPMADGQADHGTGAALRP >OB11G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14829205:14829894:-1 gene:OB11G26250 transcript:OB11G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKIHHFLATDVGFMKDTCLPLDLAHHFSRNSGIALELEQPSSSNDILSLLDSLAGSDQWKLLKVLDLEGCKGLTKKHLKNICNILLLKYLSLRDTDATQLPKQINKLHCLETLDIRQTKTRACATNSIFLPMLKHLLAGNKVVSHRFMDMVETVQLPSGTRRMKRLEILSYVDASRNVDDLIDIGQLLHLRKLGVILDGRRTGGLAVLFQQIEKLHGCLHALCLNKS >OB11G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14830205:14831614:-1 gene:OB11G26260 transcript:OB11G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSALDSLLGRLTTVLVSEAQLLGGVRGDVEFIRDEMENMDSLVAHIMEGQERDRQVRTWMRHVAGLSRDCEACVELYLHYVAPGGGGGKGLLAQLRWITQLVRTIPARRHVATRIRELKVRARDVGDRRSRYGITVPPALPVPDHQIRSTVDDSPCPASPQPCGPEEEKDDRRRELLFGEMPQAATKLVLQWLQQDNWSLRQEPPPRIIHILGEGAVGEREASTAKMVYDHPHVVSLFQHRFWISFQDNQSSSLFHKMSQLFTDDWKEAARAEGLLPGKKFLLLVLDDLRFNHTEYYGDLLRSILQDFGDRFDFIGATAILLTSRDWDDYTRELSDKTIFISAQGGQIHCPGLFRRTALALISPVRKGPVEQIVERDCAHDAFAMQMFLHLLYTNPYRSTRELESLSDNLREHKNNSSRIMLMFCYNELPIKSLSLHLPPASRHPEDQAHPAVACRRAGDREKISW >OB11G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14834206:14834895:-1 gene:OB11G26270 transcript:OB11G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKIHHFLATDVGFMKDTCLPLDLAHHFSRNSGIALELEQPSSSNDILSLLDSLAGSDQWKLLKVLDLEGCKGLTKKHLKNICNILLLKYLSLRDTDATQLPKQINKLHCLETLDIRQTKTRACATNSIFLPMLKHLLAGNKVVSHRFMDMVETVQLPSGTRRMKRLEILSYVDASRNVDDLIDIGQLLHLRKLGVILDGRRTGGLAVLFQQIEKLHGCLHALCLNKS >OB11G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14835206:14836615:-1 gene:OB11G26280 transcript:OB11G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSALDSLLGRLTTVLVSEAQLLGGVRGDVEFIRDEMENMDSLVAHIMEGQERDRQVRTWMRHVAGLSRDCEACVELYLHYVAPGGGGGKGLLAQLRWITQLVRTIPARRHVATRIRELKVRARDVGDRRSRYGITVPPALPVPDHQIRSTVDDSPCPASPQPCGPEEEKDDRRRELLFGEMPQAATKLVLQWLQQDNWSLRQEPPPRIIHILGEGAVGEREASTAKMVYDHPHVVSLFQHRFWISFQDNQSSSLFHKMSQLFTDDWKEAARAEGLLPGKKFLLLVLDDLRFNHTEYYGDLLRSILQDFGDRFDFIGATAILLTSRDWDDYTRELSDKTIFISAQGGQIHCPGLFRRTALALISPVRKGPVEQIVERDCAHDAFAMQMFLHLLYTNPYRSTRELESLSDNLREHKNNSSRIMLMFCYNELPIKSLSLHLPPASRHPEDQAHPAVACRRAGDREKISW >OB11G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14840781:14841080:-1 gene:OB11G26290 transcript:OB11G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRWRRRREQKKKPRHHQHRCLRHPPPRQHLSLVPRLSQLAPCPPMETARRRRGGKRELKMRFFRGVICKTVTCLTGSQTLCNHTLVIIKYIKWIHGIA >OB11G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14840709:14844114:1 gene:OB11G26300 transcript:OB11G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGRMAKAAVLVVAGLLLLLSSSPPPAAAAGRGGELRMKHSDGGYSYNRTLAHILVQYASAVYTSDLTSLFTWTCPRCQGDTKGFEMIEIIVDVENCLQAFVGVAPDPQSIIIAFRGTQEHSVSNWIEDLFWKQLDVTYPGMPDAMVHHGFYTAYYNTTMRHEILKSVRWARKTYGRLPINVVGHSMGGALASFCALDLSVKFGSQEVQLMTFGQPRVGNPAFAAYFSEQVPRTIRVTHQNDIVPHLPPYFCYLGQWTYHHFSREVWLHETIIGNVVTKNETICDGSGEDPTCSRSVYGRSVADHLEYYGVTLHADSRGTCQFVIAPTNTAYGSVLEVDRVIILARYPQEWHAVESI >OB11G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14847637:14847945:1 gene:OB11G26310 transcript:OB11G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKLASERAVVVFTASNCGMCHAVTSLLGELGVNAAVHELDRDPRGRDMERDLARRLGPPVVPAVFIGGNLVGGANRVMSLHLAGELVPMLKNAGALWL >OB11G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14853315:14853623:1 gene:OB11G26320 transcript:OB11G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKLASERAVVVFTASNCGMCHAVTSLLGELGVNAAVHELDRDPRGRDMERDLARRLGPPVVPAVFIGGNLVGGANRVMSLHLAGELVPMLKNAGALWL >OB11G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14857219:14857536:1 gene:OB11G26330 transcript:OB11G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLASERAVVVFTKSGCCMCHAVTTLLGELAVSAAVHELDREPLGREMERELARRLRGGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKNAGALWL >OB11G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14861193:14861447:-1 gene:OB11G26340 transcript:OB11G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding DISTTLLVREVENITESPKGAYYFSVLCPISFAFLINSSKKEPEVVFLFTSCLSFFLLHFHLVSRRAQILERSDADSSRFRQPH >OB11G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14862596:14868731:1 gene:OB11G26350 transcript:OB11G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRPSYPNGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTDGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHCLVPWGKSVILVGGKTDPPSDRISVWTFNTDNDLWSYIEVKGDIPMARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKTSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMIWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLMYEDSPPSSKELADHLNNCAPVYSTGSVPRHSLATTVESSSGRKSIPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSEVPSITNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKLTGLELAQEESNSLSNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERTRAFQFQLEVFHLKQRLQTMEGRSPTPRKPLNL >OB11G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14870161:14875116:1 gene:OB11G26360 transcript:OB11G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT3G62910) TAIR;Acc:AT3G62910] MLPSTEAFLAGFRPPPPRPRVVSAARSLPTNPFFSGSSRRRRRPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQIEETKALKKENEDDPDMAEMIDSELESLSNQLEELEEKLKLLLLPSDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYSERNSWKFKPVSSSEAEKGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLMGDIESAVQSCASMEQKELLEEMATSVGAAKV >OB11G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14873234:14876743:-1 gene:OB11G26370 transcript:OB11G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02485) TAIR;Acc:AT4G02485] MQPYKSTSEIHIKGAAAGDDDDDGELRRRAALREAFGDSSESDPDEPAVCAGPPRSRGAGAGREQWRWEAVAGVRGLWLCAAFLSADEQSRLLTAIRREGWFCDAQNQAMRFGDLPSWIIELSSLIHEAICVGDVNVGSGVELENEDEDACPLPSDLLWREPLFDQLIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRTEQEVAVCDAPKTQDESTKIPVYLNPGSLVVMSGDARYLWKHEINRKPGAQHWGGRELEQQIRTSITLRKLLASPN >OB11G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14877899:14886425:-1 gene:OB11G26380 transcript:OB11G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSTVSIHLVSIKITVYHRSVSLSVKMSIIFPPELHYLLEPEIYILLQWCSPVQAPIYKEAGAGVDGDLPTDFEFDDRVGVAVGDFPNPRLRRAYIALQAWRRAFYSDPKGYTNNWVGNDVCSYNGVVCVAALDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLTLLHEFDVSNNRFVGLFPFVVLEMASLKYLDIRFNDFEGELPPGLFDKDLDAIFVNSNRFVGYIPGNLGNSTASVIVFANNQFVGCIPKSIGHMEKTLDEISFTNNKLDGCVPMEIGYLKNTYVIDVSGNVLVGTLPTTLSNCSKLEQLDVSRNVFTGVVHEAICELPVLVNFSFAFNFFNSESAPCMPSENANVTLDDRSNCLGSLRPAQKTTLQCAPVLARPVDCSKHVCSGYPTPGKPAVPPEKPPLISVPVGPPSPPPQTPIISEPPPMKSSPPQKPIITEPPPKAPVISEPPPAKSSPPHVPINPPPTSEESSPPTLVSSSPPEVKPLPPPTPVSLPPPIVKSSPPPAPISSPPPPVKSPPPPTPVSSPPPAPVSSPPPPVKSPPPPAPISSPPPPVKSPPPPAPVSSPPPAPVSSPPPPEKSPPPPAPISSPPPPVKSPPPPAPVSSPPPAATTPPIKHEPSPKSESSAPPTMESEAPPSFDTVILPPVMAHQYASPPPPQFQGY >OB11G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14922765:14924221:1 gene:OB11G26390 transcript:OB11G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQCAAAQFQNDMQIPLGLTGDPNTSSWFHGTGGAEEQQPMMLPEDASLIHHRDIACTASTSMQSYPGYFSMSKQSTDNIGGEQQQQQPAVQPPEFSQADCLTSLQLGAQFPYPSAFDHTSLLSDRLFRQDMEQLHDGAAATAAMDFSSHYDLPRPGDEASFQNWASAACGATMYDHQQQQQQSAQVLIKITTESLTISSLQQQ >OB11G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14928120:14928305:-1 gene:OB11G26400 transcript:OB11G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVQKVVGTLLCHARLHKMLYFYQLKPIYNLSPKGKKTPPDILQKYDCGGSLALRASQNSYE >OB11G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14928092:14931789:-1 gene:OB11G26410 transcript:OB11G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAALVLGVLALIQCGDGRRWEEAPPSRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEAVIIGTKDPSQWPVVEPLPSYGEGIDLPGPRHRSLINGYNLSDVVITGNNGVVDGQGSIWWDWLQSHELNHSRPHIVEFMNSQQIVVSNLTFLNSPAWSIHPVYCSNVKVHNVTIKTSLDAPLTDGIVPDSCSNVCIEDSSISVSHDAISLKSGWDKYGISFAKPTSDVHISRVDLRASSGAALAFGSEMSGGISDIHVDHIRIDSSSKGISFRTTPGRGGYIAEVVVTDVIMDSVRVAIEFIGNWSSHPDDHFDPSVLPLIDQITLQNMEGTNISVAGVLSGIEGDPFTAICLSSLNFSIAESAASSAWSCSNVSGYSESVFPEPCSELHDPSTNSSICFSFPSYSALAVA >OB11G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14932421:14932612:1 gene:OB11G26420 transcript:OB11G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAEFVPAAAPAEEETRRWPPQQPEGGGEAAAHTEERRSSSSRTWFLIKSLCLPFFLLLLLLAA >OB11G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14942462:14946154:1 gene:OB11G26430 transcript:OB11G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAAASAAAGTAVLVYLVLSGRLSPGGEARSLRRRXXXXXXXXXXXXXXXXRAPAGGREAAAVAARTVGFTYRETLGKWPLGDIAFGISHCMRIQGNLQHEYTGSNCVPLEGPVARQELIALLRYLRLCMFFAKKPYEVFLEFGGYGHSDILIRQSKARVMKPSFTVVRDGSTKSFIIFIRGATSVKDRLTAATAAEVPFHHVVLKDGRVSNVVAGHAHCGMAAAARWIADQAIPCLSRAVEHFPDYRIKIIGHSMGAGIAAILTYMLRENKKLSSSSCIAFGPAACMTWDLAESGKEFVTTVVNRDDLVPSFGKVSADNLHTEVMGSSWAHDLQEKIQQTRILGFVNRSVTFMKSQFPFISNPGSKVSDAHMMLSGTSEAETTLSADARAAIKKHSALSIWSSVPSNRKNVESLVNPAQNIAALMSTYVGTENDSKEHNDQKSGTKQIDRQDQESDEENLERFLEALRSSPSASQEPLQFYPPGRIMHMVVLPAPKEPSSIEQCSQNELVSLYETPRSMYSKIRLARSMIRDHYMPRYIETMEMLVDKLAQENIHR >OB11G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14948769:14951231:1 gene:OB11G26440 transcript:OB11G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRQPKHVFEWCIHLRIAPLGLPCRPFSVLTASASVQSDYSSDDDKLNCAPSEFARKRPRALCSASVVQTLHCLKRRPAIAFAYFKDTQSIGFNHDLSTYSEMIQILSHSRQGKMMVSLFSELVSSSNGKGPEILSLVDHHRRTCGTPYSLSFTIDCLIKAYITCYDVHATKCLFGRICRLGVVPSVWAWNLLLKLIAESGEYEMVLTAYNEMKCVQLTPDVYTFAIVTRSLFQAKKVAEVLQVWAEMTEMGVKLDTRGYSSFLIGLCDCGKYDLAYIFLQEIIREKVPVEAMAYNMIMDGLCKEMRLDEAEKLLENKARQGSIPDVYGYSYLIRSYCKMGNLVKAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEVIAHFLKFKDSGLHLDKVLYNIAMDAYCKHGNMNEAVMLLNEMKSGGLTPDKIHYTCLINGYCLKGEIQNAQQVFEEMLKANIEPDIVTYNILAGGFCKSGLVMEVFDLLDHMMDHGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNIVEEKGIDHIEVLYSAMVCGYLHSGWTDHAYMLFVRVARQGNLVDHFSCSKLINDLCRVGNVQGASDVCKIMLEHNVVPDVISYSKLISAYCQNGDMDKAQLWFQDMVQRGLSVDVIVYTILMNGYCKVGRLQEACELFVKMTNLGIMPDVIAYTVLLDGHLKETLQQGWQGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMINNGIEPDALTFSVLNQSYLRSRKIQLCV >OB11G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14955017:14959031:-1 gene:OB11G26450 transcript:OB11G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:J3NA09] MAGSLAASAFFPGPGSSPAASARSSTGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKKVNPDGEETLSHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDTVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLTKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGTEKQASAIQCDHLLQLESGADIVKAHTEWRPKRWHAVAENA >OB11G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14963150:14966482:-1 gene:OB11G26460 transcript:OB11G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3NA10] MRMSTSTPVVLLLGLAVLAALPSPSSQQPESEKALQSWIGWNDQLYNSPMAEDPPPLNGDLVAANNSIVRVAVDGGSIADALAAVPNGNTKRYIFSLKPGAVFREKVVVEKGRRFVTFKSDPANPAVVVWNDTAATLGKDGKPLGAVGSATLAVKAEYFLAYGVVFKNDGQSAGAKRGQTVAVRVAGSMAAFYNCTIDGGQGALYDDEGQHYFKDCTINGGADAIFGFGRSLYAGCRVVATQATPAVPTAPPQRASKVNRTGENGGAGPNPIANGFSFVNCTVEAAAGAGDKVYLGRAWGDSSYFVYVNTKMANEVVPIGYDRRSLQQPAQGTGAYYGVFNCTGPGFDASTKMGWPKKTDSGFPYDDIDFIDGTKWILPQPVPTD >OB11G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14967755:14972447:-1 gene:OB11G26470 transcript:OB11G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) TAIR;Acc:AT2G47600] MPKSVTFNLSRSLKSGGIVDHLIQIYVYAPELGILQFSSYGTHLMTNINMANTASCDTYLLFNGETWIPNGVRAFLYTVVLAYCFIGLSAITGRFFKSMENIMKHSREVVTVDPHTKATIVKHEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVLMPRAGSKKKISDLGVWLVELFWSFWAYIWLYIILEVWTPRVITLSEALLTVLQYGLLLLHAYAQDKRWPYVSIPLGRGDRPEDWVPAEDTSGDYGDNYDEIGETTPGQSEDIVDIFSVHSYSNEGYHHVPEKDVEELSIGLTVKNKQEDTRWLSIWRQQFVDAATLESSESRKMDSVCLRFVRIFWNLIIAPWKLLFAFVPPYEIAHGWIAFICSLVFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNFFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRVWAWATSVYFMILWVVFVVLSSLRVSGVI >OB11G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14979397:14979579:-1 gene:OB11G26480 transcript:OB11G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDFPSKFNNLTSPAKSFLQVINGVVEQLETNDFSCHIYTLQKPIKTTTFSFSRRLRLK >OB11G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14980412:14981290:1 gene:OB11G26490 transcript:OB11G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29830) TAIR;Acc:AT4G29830] MFCCILLVHSVLFVVLSLYTDGNLYNIWNTSTACQTVMDIFSYHILKKTVHGLACFTSSLTLSFWVVFTQSVTDIISSTKCFPSQGNALAAAGGGSGSVKLWDTEKWKPITSLSVPRPEGARPDKTGSSKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMAVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >OB11G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14982761:14985157:-1 gene:OB11G26500 transcript:OB11G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAIDVDIGANPSAEGGEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKTLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAHGLKEVKC >OB11G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14989287:14995667:1 gene:OB11G26510 transcript:OB11G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGLLADFGVRPQGKAAPMASARSSRAPAAAGAGAGSAWPNPKSTPAPSFTNGLFGAPPAASASFDSLFGSSFNAPTSTSTTTSSAAAAAATVYDDDDIFGAVPWLRPSFSPTSSAARYDGSDDVFGGGRVAAAGPAFDDVFSTNRSAPPPPSSYDDILGGFGVKPQAGERRSVVVEDDDLLGGFGRNPHAEAEKKPAVVEEVNGGGGFDDLIPGFAGSSPPRNRKIIDDNKNEPAVRTSKSTASIVDDPFVVLETNSASGSTYQSPGGFTDPLEHLGNSESSKGKNVDNTTDNDSLHDDSKSDPLFTSEFNGDTKDMNPPSKDRDSNPLHSSMNENSARRSSIEDLGDVMPTSQSARYSDIYVDGMSSERLTTNGMGDQSPRSTESEDDVWLTVSEIPLFTLPTSAPPPSRSPPLLKQKLPQAKVNGNDDEYVWRSNRNHSHYRDFPDQAEASSLDEMEGFVKDKSQRPSYDNNFFGEAEQSENKSSDREEKERQARLEQEREMKLMEEKKREQRRLEKERELEQQKERERHAMERATKEARERAAAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASEAKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAAREKQSSADDLESFFGASARANSAPKQRTPTVDSMFDSQPQGRGTANGSQRSTSTSASMRKAPSATNIGNDLSDLFGGTTPTSSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIADTLDFEIRRWAAGKEGNLRALLSTLQFILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYTAEKVFDILKEAWNKFNSEELF >OB11G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14996808:14999196:1 gene:OB11G26520 transcript:OB11G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G17400) TAIR;Acc:AT5G17400] MLRRGTLTRPYRGIADAFGRVLREEGAAALWRGNQANVIRYFPTQAFNFAFKGYFKSFFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQASKRQFSGLLDVYKKTLSTDGIPGLYRGFSVSIVGITLYRGLYFGIYDTMKPLVLVGPLEENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >OB11G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:14999554:15010693:-1 gene:OB11G26530 transcript:OB11G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAPSRSSPSPSSSSAPPPKRTKVDRRFSPRRPIWPPGLTDLNQAEASPASPTASVPGRIEEDSAATAPARTAGSGEDAAAAKKDQGGDKPAVAAAESSRKKKEQQQQAAPWAKLLSQSSQSPHLPISIPQFSVGQNKSCNLWLKDQPVSKVLCKLRQLEQGTCELEVLGKKGMVQLNGRPILAGTKVPLKGGDEVVFSSCGKHAYIFQHPLNDKIPKAVLPSPVTLLEPPVAGVKRLRMENRTGETSAVAGTELLASVSDQLKDLPAAPPTSAGENNQRLVRPMASSASDKSKGDGIIPDKECENGETANEVNSNIEDSPLDVAAAPIVSPDAVPNDISQHNGFGSDAHLGAEIGKIATYKIRPVLRMIAGTTISEFDLTSDLFKALEDQRDLIRDLNSSASLPPSRCQAFKDGMKQGIISPKDIVVTFENFPYYLSENTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKEISKSDKSGDKSGGEKLAILHKHRSSLADTIHFRRPAAPTSSVNADIVGTSALHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPSSLSQRGPNYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDFSGGEEVERLAMTELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQEETLLTDWKQQLDRDVETLKAKSNVGSIRMFLNRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKIEISKDGKLVLTSESLKHGLDMLQSMQSDNKSSKKSLKDVVTENEFEKRLLSDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPDPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMSELLQWNDLYGEGGSRKKKALSYFM >OB11G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15018261:15019342:1 gene:OB11G26540 transcript:OB11G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3NA18] MASRTVLLLAMAVILSSSAMAQLDVGYYRKTCPKVAEIVREEMVRILAAAPTLAGPLLRLHFHDCFVRGCDGSVLIDSTASNTAEKDAPPNQTLRGFGSVQRIKARLDAVCPDTVSCADVLALMARDAVVLSKGPYWAVPLGRRDGRVSIANETSQLPPPTANITQLAQMFAAKGLGLKDLVVLSGGHTLGTAHCPAFADRLYNFTGANNAADVDPALDRSYLARLRARCASLADNTTLAEMDPGSFLTFDAGYYRLLAKRRGLFHSDSSLLADAFTAGYVRRQATGMYVAEFFRDFAESMVKMAGVGVLTGGQGEIRKKCYVIN >OB11G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15020597:15021388:1 gene:OB11G26550 transcript:OB11G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGHDYHNFIKVKDFNGSIRKDFGSWVSMLRCKKFKPMPIPIGSGTFRFREPCWAKLSSLQINGGIFLLSLMTFFYLLKMAYYIPYS >OB11G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15025157:15031027:1 gene:OB11G26560 transcript:OB11G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSRIASGQAKLRPELLMAARGGKWEKLAELLDAQDASASSSVLVPIDDDTTAPAPATPPRPTAAELGSILHVVASSGDGDEFLESAAVIDDKHAQLLYALDGNGDTALQCAARAGNVRMVSHLIDLATKKVVNGDDARLKEMLENRNGTSRETTSGSSHLVPGDTALHDALRLSDKKILKEIVDKLLQYSVELTSIESSDGTSPLYLAVLLGHYDIAETLYQKNKGLSYSGPDGQNVLHVAVLRNYDMTDKLLKWNMKLTKKRDRTMGSTPLHFAATWGRKYAKVVKLLLDKDESSAFQSDDEGSFPIHAAAANMSPCVLGILLKRHPSCAGLQDAGGRTFLHIAVQKERTLLVRYACWRQDCRPAMAAALNIQDGAGNTALHLAAKASNQWCFYFLLQNPHVQLNLVNGKGQTPLDIAWKRRPQGIIYGLDPRVRIHLLLEGAGAKTGPYKRDWFVDRYVRKKVDESKLDKMITDSTQIIGVGSVLIVTVTMAAAITMPGGFRSDEKGRLKGTAMLSDDPVFQLFIVANTVALVCSGLATMNVMFAGVATVDIRTRMSSFLLSILFVYCSSKALVASFLFGLYAVLPPAAVKVAYASSAIAAPFLVLDVLWFVFSVAFGEVMLIRRLGCVAWLGTLTCARFPNFILEIKAVLEMPLPRKINAVTSQKQGSASDKEEEKKRLTAGRPGN >OB11G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15033090:15035271:-1 gene:OB11G26570 transcript:OB11G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADVGGLISMIIRAALTAQQNKKECEQLARRVFTIAELLQHLQDPEVLRRRLTGLDDTLREVHELVMECQEKNAVYRLVMAGRQADKFRDVQSRIDSYLLLFPVISHIDITRRLERIYNILVPNDTAGPSASVSMPQIPVQPSQFVLGSKRNMEFLLFNLLLCEHLVSLQRSIGKKAMRSRCLLSRTKASSNFSPARKIGQGGFGSVYMGKLHDGREVAIKYGIVNSYESRRAFVAELTALSSIRCKHIVPLYGYCVLVQEKRGLRRKEEEGEKRLLVYEYMENGSLNDHLNGSSSSSPSYAERPVIHRDVKSSNILLDASWAPRLTDFGLALPWEGPDHQVDDISGTFRYMAPEYLMTGVINMTTDVYSFGVVALEPRTARTAGDQAEAGSIGSAATPGVEGSYGVGDRIGSAGDENGDTPGDRETATVVAIGSAAGAGMGCGRGADRNRSDTMKAFATLSNFFVYVRTQFGTTIKSVQCDNGREFDNSPARTFFLPSRGRSTVARIATEVDGTRAANGGEARRRPGHRRSHAPNPSPAYFPSTMSTTTTTS >OB11G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15037010:15038824:1 gene:OB11G26580 transcript:OB11G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLEHAATAAQLLGADVGGLICVIIQAAAKARQNKKECAYLARRVFMIAELLPHLQDPEVMRRPEIRRPLAGLDDTFREAHELVMSCQEKGAVQRLVMAGRQAEKFRQVQSRIDSYLLVFPFISHMDITRRLDRIYRVLLLDDASPSSDSRIHELDHAEEIAQEVVLHVNGGEGQKFTVVELETATNNFASERLIGRGGVSNVYMGRLADGREVAIKHFPQAYYRSIEEFDAEHTILSLSHIRHDHIIRLFGCCMEIEKISWFVKKRVMNVLVFEYMKNSSLDQHLHGSLSSSSPVTTSWSMRMEIPLGVSRAIEHLHNHAERPVIHRDIKLSNVLLDAAWVPRLSDFGCSVIWDERKCSHLPIVGTTGYLDPEYYATNTAKPGIDVYSFGVVMLEVLTGRKPIFVD >OB11G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15037158:15038824:-1 gene:OB11G26590 transcript:OB11G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWLMKGKTRRTSQARVPPGTCRRGRPAAAGSRAGASPRDPAGAAAALRS >OB11G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15042588:15044584:1 gene:OB11G26600 transcript:OB11G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGQAATVAQLVGADVGGMISKIIQAAATARQNKKECDQLARRVLMIADLLPHLQDPEVMRRPEVRRPLTGLDDTLREAHELVTCCHGRSATYRFVMAGRLAERFRDVQSRIDSYLLVFPFISHIDITRRLDRIYNVLFQMTQTEHLHPQAHTQPGHFHLPTPRLIMCSWYNTVHRSMLNSLNRIIHSKGSKGKVSQEVVFYGDGAEEFTLKELIAATNKFAEQIGRGSFGNVYKGVLADGREVAIKSLGKASRHGWRSLLRELDFLSRLRHKHIVHLHGSCVARQKRSLLSLRRKDVKQEEMLLVYEYMKNGSLAYNLHGHPSSSSSPVMSSWKTRVQILLGVSRAVEYLHDHAVVIHRDIKPSNILLDASWAPRLTDFGVAVNCDEARRRNIPVYGTPGYMDPELFITNLPSLSSDVYSFGVVMLEVLTGKKTIFHRRRGEDDDEGSDIPTSLVAFSLPIIEDGELGKMLDRRPAAPEQPMARQLEALGMVAETAARCVRLQRKDRPAISEVVAILEAALKLILCDE >OB11G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15047023:15050452:1 gene:OB11G26610 transcript:OB11G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQWDGMERVATIAQLTGVDALGLISTILQAAQAVRRNKETCQELVQEVQLIRDLLRMLQDPEMMRREEIVNALNGLETLKEAYALVASCRDCSAMYRFFMGWKQADQFRRVKKKIGKHLRFYPMISHADLTCRLEKIANSAALSTCSSQDAQEVLASSSTNHPEPELRAEEVSSEFEKPPMLTQSINDEERHRAAHQDAMQTSSRKSRSWWHDVVSSKKAKGAATSHKLPRAIELFTFAELATATMNFALERKIRDDGFSRVYKGVLPDGREVAIKRQMASSSYDRWVEEFRAEVTIHSLLHHKHIVRLIGCCVVEERRLSFGKKNEEELLVFEHMKNGSLFDHLHGPSPSSFSSPVTASWKTRIQILLGLSRAIDYLHSYAVPAVIHRDIKSSNVLLNSGWSPRLSGFGLAGSSDEAEFGHISVCGTMGYIDPEFVCTGTLKPTSDIYNFGVVMLEVLTGRKPFSHWEEEDNEDCDSTIFLTSALRLIEAGELRKVLDKRPAAKPTPRQLEAAELVARTVARCLQREGKDRPAMSDVMADLQGALELVCCDELEHVRADDMESVPPDDHDVNEDEPVPVEELLR >OB11G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15052069:15053824:1 gene:OB11G26620 transcript:OB11G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAIELCLMAGQLAERFRDVQSRIDSYLLVYPFISHIIVTRRLDRIYNVLVPNDTPSLSAGSQPDVLIYSAVEKSQGLWSNPIQSTSNQPKETTGGSEQQLRRRDWRRQLGQHIVGLLGSCVARHKKRRPLLLRRRKINMDPEQVLVYEYMENGSLDDHLHGPPSSSSSPSPVMASWRMRIEILLGVSRAIEYLHGDDDDCGAAVVHRDIKPSNILLDSSWVSHLAVNWDEAKEEEDNDDYDGSNIGVVAMSLPVIEAGEVGEGAGQAPGEGADGEAAGGVGPGGADGSALRAAAGEEATGDVGSRGHTQDCARARSSRQEFQSHPSYCN >OB11G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15054980:15056854:1 gene:OB11G26630 transcript:OB11G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADVGGLISMIIRAALTAQQNKKECEQLARRVFTIAELLQHLQDPEVLRRRLTGLDDTLREAHELVMACQEKNAVYRLVMAGRQADKFRDVQSRIDSYLLLFPVISHIDITRRLERIYNILVPNDTAGGPSASVSMTQIPAQASQIDWKEPREVKKFTFKELAKATSNFSPDRIIGQGGFGRVYMGYLPDGREVAIKRMDTPYRIEELKAEVTILHSISHNHIVRLFGSCVLDQEKRRLLPPFRKTLEECLLVYEYIENGSLHHHLNGATSPSPVTTSWKRRIEILLGVSRAIEYLQSYAERPVIHRDVKSSNILLDASWAPLLTDFGLALPWEGPDHEVDVIRGTSGYLAPEYVMTGALNLTTDIYNFGVVILEVLTGKTVFSIQELQEEENEGSVKQSIGLTSMAVQLIEEGKLRKVLDKRPAAEPTARQLEAAELVAQTAVRCVQLQWEERPAISEVVAILETALELARCDG >OB11G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15057326:15057775:1 gene:OB11G26640 transcript:OB11G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVILEGNCNLKSYRVEEQNGVVIFFNCVHDLVGAEFRGFYGSKDSFEVCVDTSILLQTQTLVYVTPALSLRGGSGGDACDRGARPTCCCLAVARAGCRKPCDCDDGGGGAPFSLHLGIKAYGGAVEWPDLASPRSANGDGGRRRAG >OB11G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15058421:15058630:-1 gene:OB11G26650 transcript:OB11G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLELRCLKAFLFLFGDVGCPPLTLRNGFSFKGTWLYWISSQEQIGSHLHVSSFVGLVATRTPTTNQT >OB11G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15065939:15066247:-1 gene:OB11G26660 transcript:OB11G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRFARMPYMFYKTVTASDTNTHDGFSVPRHTAEDCLPQQHHCQQRSSQELVAKDLHGTALLLVL >OB11G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15068168:15072480:1 gene:OB11G26670 transcript:OB11G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPRKRPASPPASGAPAQKRLQRGIGIGIGIDGQAPAGKQQLQPRVLYVLVFVARVYLCKRRKEEQMSNRPLRRLIREENAISERRTLGVLQNLVNNAFHNIVNHINSSHEYLTKQIGTFSERIDILSHEVGQLKNSNSNRDANERYRSVANQEHAVVIKEVNQEQRVELRFLNKLNHLVYTKEKISAEDGKAIKIAIFQDNHIVKYGPLSSARIQILALHGNFNNGVPENWTERQFDERIVKSQKGNVLEGDCQVKLKNGEASLSDISFNIPSGKTETGKLILAARVVSSDRTGLRIMEAVMDPVKVQVYRNKQNRISDRPKLKEKVHRLKGIARNGGRDRRLKNNQIYTVEDFKALNKNEEKIRAECFNVNPDDKQWKATVEHARECDLEGDCNLKSYRVQNVVLFFNCVHDLVGAEFHGFYASKDSFSSDQKDAVNSLIKQAYDVLDDIAFNDKMKDNYPVSLSSAMNTITIGVDASVPLTDTAEPNPPDFHGTAQGKALIFSPCF >OB11G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15075846:15076447:1 gene:OB11G26680 transcript:OB11G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFSVSAPLGTELEAKITPAVVASCVMAATGGLIFGYDIGISGGVTSMDDFLREFFPTVLEKKKRNQVRESKYCKYDDQGLQLFTSSLYLAALAATLFAAYTTRRLGRRTTMLLAGVLFVVGAVVNGAAKNLAMLVAGRILLGCGVGFSNQASKNFFSLAGPSKQRGIHQNI >OB11G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15077434:15078651:1 gene:OB11G26690 transcript:OB11G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHICGVEAVPLFLSEIAPARIRGGLNNLFQLNITVGILFATLVNYATNKIHPWGWRLSLSLAGIPASVLILCALLLVDTPSSLIERGRPEEGRAALKKIRGTDNVEPEFSEIVEASRAAREAKRPLRSLLRRRSRPQLAISVMLQDRLRPDASLYSAVIAGGVNVLSTLVSVYAVDRAGRRMLLLEGGAYMLLSLVAIAVVFGIKDRSDELGHDWAALVVVMVCVFVFSFAWSWGPLPWLIPSETFPLETRSAGQSVAVGVNMLLTFVFAQTFLSMLCRLRYTIFAFFSACVVVMSIFVLLFLPETKNVPIEEMTERVWKQHWFWKVFMASDETSSTNRSSSTAGRASEAAHSD >OB11G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15080907:15087843:1 gene:OB11G26700 transcript:OB11G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKRPPSAPIPEQNSTPKREGLEDSAFPKGLMKAFVNALQNHLSPIYSSLEYLTKQTGTLSDRIDTISHDVGQIKRLISNHDANDNYRLEANQKENVAVTKEVNQEETGFRFAARPASEAHEGQSIELRFLNKLKDHLVYTDDKITAEDGTAIKIAIFRDNQIVTSGQLSSARIEILVLHDKFYDAVPDNWTECEFDAHIVNSSQGTVLGGDLQLKLKNGRASCLMSPSKFRLQRLEGLNMNKESKPWKSIVEHVEQCDLEVRDKHAVLFFNCVHDLVGAKFRGRYVAKGDFNSDEQDLVNSFKEQAYDDLDNIDYDHEMKDNYPVPLSSTLKRSIVDDSSIRFTDTARPNPPDLHATYDGSSVSEQVPFDQLFLHGYRGNQAGAVTAIGYCVAETSKAPLVIAEGTSESHNLIGPTNVSQNFSADSLEDDVPTRLYHQNALEISMRLRFPAFSDRREVGGAAPVSTPISARCSWNFSEASGLLPSKQRCSLKCGSRKRLAGSPMRHDCSQQGHFTGFLFQQYRDEELSLIPYYRTVAVEDADLKANRSGCYITFSVLIFEELKHEPAGVKVD >OB11G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15088841:15092974:1 gene:OB11G26710 transcript:OB11G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEALCCLGLTANVTQLAGLEVPTLVKIIKSHVETVRQNKEDCEQLATRADYIHDLHRRVQESKVTGDPDAWKSTEALKSALRRACALVESCQGEWNYMYRFCKGGRIARELRKVFHDLEFFVSHLTAIITIINYDQITRYYSIPKSDVVQPQEVAQEVPSVALPAENIKHNYCNCMGQTMSSLGKAQLVTEHSIVNEGQLPGLKKFTFSELKAATDNFSLENQIGVGAFSIVYKGPLNDIPAFAVKRASYVNEIPFEQLKNEANIISKLQHTNIVKLLGQCIQEGETILVLEYMPNKSLDSFMNGERATELPLDWPNRSQIVQGIAEGVVYLHKCEPRIIHGDLKPGNILLDADLKPKICDFGTSKALRPDQDQDCTGLVVGSRGYMAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPSSTLELSDESRDYGPLNKWAWDLWREGDLMEFIDPSLHGETHAAAGMQRWVQVALLCVQHSPGDRPDMWDVLLMLRSDSVILPKPSRPAYY >OB11G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15094631:15106153:1 gene:OB11G26720 transcript:OB11G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKRPAPAAQAPAVVPAPKRLQVAAAAAAXXXXTSPPGKRHQLRSGMLVLFFVAQVKEELRCNRRLRRVIRGENAISQKKAIEEFDCVFQKAFDNAFQKHLDPIYRSLQSLTRRTDNLSHEVEQIKHSSYNNHANERYSRRSEPNQEPAEEMNQEQQAIRFAATEQRFELRFLNKLNPLVYTKDKITAEDGAAIKIAIFQNNQIVKSGPLSSARIEILALEGDFIDVVPDNWTESQFASHIPNFPQGPVLGGVCQIKLKNGEASSSDVSFNMPSSKTPSGKFILAARVHSSDQPGFRIMEALMNPAVVQVHRNKLNRSSDRPKLKDEVHRLKGISRTGCRAKWLKDNQINTVEEFIKALNKDEEKIRNECFKLKKDNKDWKDTVTHARECDLEGNRKLKSYRVEEQNVVLFFNCVHDLVGAAFRGCYASKNTFSSDQQETVNFLKKQAYDVLDDIAFDDKMKDNYPESLSSTLNTSIGDHASIRFTYTAPNPPDLHFTYQVQDIAAAEICHANELLQEHPNSNNDSGQHFLHGYQGNQAVAMNQMILEYPQEIHAMQSYIAQAAEGTSYGGNNMIGPANMPQSVIGNSSFAQGFLDADDNSGDAYFGLLSSIRFSGQ >OB11G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15108871:15110765:1 gene:OB11G26730 transcript:OB11G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVRLCLDGVPMHAWAADVAERLIGRSCALEQIVTDLVHPVEARNTRTINLWAWAANPSTIPKRVWLGFTNRAKNPQSEELFVQDKPPEHWQRGVRHPVLFHLEEVHDYTVAGVVLTEETTCQPTRRKLPPWSLGVMDGEPTPARAFETFQHHLSPRNLSVHARLGRGHSGQRGDRPHRHQTYEDDHPDFGGSGWWKRDHHDDDGDDRQGGRGRDLARGFFNGNTRSRRDRTRSPRPHDKEYNDRSGGRRTHGAGPIEAQHGDGELFGAAGPNSQHAPDPAQQKEIVAMVNKFHFLLTLNSSPVKGKEASSCCQELTVLEKSQDPVQTGNEVWSPKAIIPAKRVFERILQDLALVHGDLEPMTTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNAIVRVMGEGIADAVEEVEEALA >OB11G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15115357:15115608:1 gene:OB11G26740 transcript:OB11G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAHLAGVDAVKLVRMIVQAAQKVHHNKKTCQQLAHRVQIIGDILKKLQNSEMMQQPEIRNGLNELEEIFAGPSPNRGGQIH >OB11G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15115846:15122174:-1 gene:OB11G26750 transcript:OB11G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWRDIVVAASHPAARSKARTREDIGIQDDWTEVGSRKKRKQHSRREDRPNTAGDRSAFKTSKPVPRWLLGRCFKFLWLGHRKLDCGGERRCYNCWFTGHVERECPDRSRSDPTTRPPPRPATTPRVASQPSATQPASPTRPSRKKVVPARAKMTRCGDPSFRPSGVRVCAIPWTAGMHEQEAYLGSHALLASVRGNRQAISPEMLVAVLSRDCGVRRQEVRVEVCAPDDFLITFANPDDCHRTVMYFSGNLWVQGCRIDFCRWNKRAAAGSSEMKYLVKLGLEGLPAHAWEEVAVRILLAGWRCHLVELLPPADARSLEVVAWAAQPNLIPKEPPSPPRKNCLDYNLIVHVLEVTDPSPEPYGLEAYYDLIRRDDDDDEERRRANRAATSSSAFREGLMAQDRIGLAWGVANPSEAHRPGLRAAFSVGRSIACRRLCLHIGGRAMTTAPNVKNPRSSLSSKLGYTYHGPMRRRRRKGPQALGQAQAADLLHEGATIGLGRESGLQPPQQVGGEEAHKAEKMNPAQLEGVEGHKGGETASAFENLPPHMSMQISSFIDACTMTTAPSVLGTPPPPMRPETRKRFTVPDGFKPRRSPRILLQGDGARKHTITKAQTVAMKKLGIIGEQDQPSQAALGKFVNLFNNPLSASNLEALAELLGVEMETKLSQVDVCSVRQTCGPAFSDFVFLAAQGTRGGIILAWKSDVFAATLVHSGAWSISVRIKELITAREWFLTTVYGPQDEQEKLMFLDELHTAAGICQPAWAIAGDFNLVTSVADKSNGRVNRRLMNAFKNKLNQLELKEVYLFGRRYTWSNEQQQPILAKLDRVFVTASWEELFSEMSLQALSSSVSDHCPILLACGSYLHKPRKFRFENFWIKLDGFGQMARLSRKLRSWGQRRISQLRLQFQVASEIILRGLSVRCHTRKKPTILLKLDISRAFDSVSWQFLINMLRFRGFGPRWCTWVCTLLATSSATVCMNGFECDPIIPAKGLRQGDPLSPMLFVMVMDSLQALVRRATQHRLLSRIEGVASAISLYADDAVIFFRPTELEAYGLKAILDLFGSATGLWVNFTKSAITTIQCSQKEAELVQNILQCRVEAFPITYLGLPLSLRKLTKPDIQPLLDRFGKKIAGWKPKFLSTGDRLILIKSILFALPLHLPSVLEMPKWALKEINRKCRGFLWKRQEEIHGGHCLVAWKLICMPVENGGLGIKDLDLFGKALRLKWLALQHDQKDRPWAKFPIRQPKQMENMSYLATKFIVGNGTTVNFWKAHWLPGGSIMNSRKCLFSHVEKSNLTVADGVHNNRWVRDIKGAPSNVAIAEYFAVWDEVQQMMLNPEQEDAVMWKTATNGCFSVAEAYKFFFAANTLVVCGKINWKSHVPTKIKFFMWLAERGRCLTADNLAQRGWPHQAGCRLCSAAQESCAHLFVDCRFTNEVWTRLRSWVELDFTLPGERGLALGDWWLEARSCCRTVYRKNFDALVQLTF >OB11G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15122093:15127626:1 gene:OB11G26760 transcript:OB11G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLKRIVNLKDALWNCVVEHLANSVCPSWLMLQKTSVTKIKLGKVTLAVFTRCKNKRLKLDWSTRSRIINGTAEGLLYLHKYCGLHIVHGDLKPSNILLDSNMNPKISDFGLARTYSPGVDEEHADRIVGSIGFIATECRGRRLLSTKSDVYAFGALLLEIISRKRCFLLATGESGDDYGYLNKRMQLLNISGNSSPQRHDLTKSHAALQHLVIYCRENSIIKIEVQVRVCKREQNKAV >OB11G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15128710:15131316:1 gene:OB11G26770 transcript:OB11G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVGSVVELISVTLRLARRIKTMAQTAKQSKRRCGLLKDRVGFIEKLLEQLKATRWVPDQATQATLENLHDALDSGQLLVQACQRRRTPWSYLTLFCSGGEGDMDGEFDGVDSQIDRAMQPFHISNLIVLLSLNQENFFMNVLEKLLRDGACKRLPQGDKDEIKKIMNDSDRHHMSPNAKKMLELIINDLKLGEVDTWGASSANLHKAGGISSNESDQLKQVEDIAKDIMELKKAEPAVNKQIGREVQWLAQLAQQVVHLTQHPLAPQMMMRHRDDLLHPMDDLLHDLEEARNLLMPKQPSSSGHGGVGITAAMKATAIYQVGYKIEYSLQVLPIVTMREFEMDRA >OB11G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15134579:15135055:1 gene:OB11G26780 transcript:OB11G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGYASSARGIIKTIMDAVQTAKRNKRQCRELEERVRMVSAVLSRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGREAERLADVLSKIDFYLSLYPAIAHADVARRLDRVLWTTTVGVVVSAVSFAGFVVISISMVLRKKY >OB11G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15150972:15152795:1 gene:OB11G26790 transcript:OB11G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSMVSSVTTVVQIANDITGAVKAVSQNKESCEKLAERVEGIGELLKELGDGSSRSSSPSTATTAATRSLVTRLERSLRRALVLVRSCQVISSRVYGLVAGSWQTDQFDEVNAEIDRCVLDLSLALIAGIDRNRKLNDPHAVTPPSRAHARRRCHRSALTVDMTTAQDDDEEQIAAAASARDDGVGEDEKNGALICYGEQDYHFTVTYNEN >OB11G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15154371:15154763:1 gene:OB11G26800 transcript:OB11G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAANIAQLTSVGALGLITLIVEAAKTARRNKRTCLKLAKLVEQVGDLLRALQEQPRVTFMEQLETSAPLMELQETLRQAHELVKSCRRGSYPRRFCAGKDHGDRLRDVQSKINTILHIVALFRNIFYK >OB11G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15157800:15160805:1 gene:OB11G26810 transcript:OB11G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPVSTAANIAQLTGVGALGLITLIVEAAKTARRNKRTCLELAKLVEQVGDLLRALQEQPGVTFMERPETSAPLVELQETLRQAYELVESCRRGSYRRRFCAGKDQSDRLRHVQSRISIYLQLFPIICHIDGTRLLVRVIADGAAASRSPRSEMDEDEVLMSLTNRPSPQARFQKFSYSQLVHATNDFSLGEQLEKGTLAILYKGKLHGNDVTIKRPSVSASGQRLPECMSESELFTNEIKILPELQHKNIVKLVGFCTERSERATVYECVEKGSLENIFFGPEKERSILDWPTRFRIIEGIAQGLAYLHNYSRVRIIHRDLKPSNILLDSDMNPKISNFELAEMLSSDTEEQRTDNVVGSIGFSAPEYMHKGIFSVKTDVYSFGVVVLEILSGKRWTQPNQTRFHRDLLTWAWARPSCCVTRAAARLRELVDPALHGVSFRGRALXXRCARAALLCIQERPKHRPAMPEVLHMLRPRKKAAPPLPGRSRFTTASSLHGGAAANSS >OB11G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15174600:15180821:-1 gene:OB11G26820 transcript:OB11G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEVEGEAVVAEVLRAHGEGGGGVAEVVGIGRSIDMEWRKAEEAAIRRYEAANWLRRIVGVVCARDLAEEPSEEEFRLGLRNGIILCNSLNKVQPGSVPKVVEAPSDSAVPTDGAALCAYQYFENVRNFLIGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLKSFNETKQVGRSNSFKYGGIVKPSMSGKHFIRKNSEPFMKAMTRSHSAELLRDGVSLEQSLGLDFSLDHAETTTSDSIRMLVQTILSDKKPEEIPSLVESLLSRVIHEFDRRIANQNDLVKYSVDPNDNNLLSRADKPPEMESICTCSTGKMDEEDNTCVSMKEEEVSTVLPVNGENVGENIQAKQTDESFDQQQKHIQDMKINLSTIKSGMEHIKLQYSEDIDKLGKHLHTLSHAASGYHKVLEENRKLYNQIQDLRGNIRVYCRVRPFLPGKVSSSSSVAGLEDRTITVMTPSKYGKDARKSFTFNRVFGPLATQEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFDIQAQRKDTFCYEISVQMIEIYNEQVRDLLQNETVEIKNSSQKGIAVPDANIVPVTTTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALVRKDGENESIRSTQSSPDIYRMRMGSAPPAFRHTMEEVGNLETRSNGTPRQKKRNFDLSDILVENDTSSWLDTSSQKESALGDWVDNSRFGSSNSLPELGPDATQDVGFYQRNSPEPQWSWAGSVATEDSDDFEATTSCSSEQDMVRPTSAPKASGLSNGSASVARKAQSKSAKSTDIRSANPAKRTPSLQKKLNGHPSTLIKNGKQSSLSGTDGKRTPNGKVSTKK >OB11G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15181701:15182391:1 gene:OB11G26830 transcript:OB11G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIATGSRGRSFSRRCSCSPAPATSSSRSPCRSRSTRLPSVIVGVCFGAQWPLLYAIISELFGLRYYSPRSTTWAPWPAPSVPTCSACASTGTSTTPIEAARQHGGDRTCMGARCFREAFLFVTAITVAGSRVSRAGLEDEGLI >OB11G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15182911:15184730:-1 gene:OB11G26840 transcript:OB11G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPARPSPGLAGTMDDLAVDRIIEGGIGLVRPDQAGDLYNSMHSYLAGAGITGVKVDVVHALEYVCNDHGGRVELAKAYYDGLSKSIATNFSGNGIIASMQQCNDFFFLGTHQVAMARAGDDFWFEDPNGDPMGVYWLEGAHMVNCAYNSLWMGHFIRPDWDMFQSDHACAAFHAAARAICGGPFYVSDSLGCHDFALLRRLVFPDGTVPRCLHYALPTRDCLFKNPLFDQETVLKIWNLNKFGGVIGAFNCQGAGWDPSKHRVRGYPHCYIPVSGEVRPSDVEWRQRDDTSDMANASEYAVYRCQSEELVLMAPQSHPIHFTLQPSSFELFTIAPVRTVGGGDVGARFAPIGLVNMMNSGGTIADVECRDGGGEVEVKVKGAGRLLVFSSVRPRRCLVDGFEDVFEWENGGKLMVDVSWKRDKDGVSDVVFCY >OB11G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15185303:15186130:-1 gene:OB11G26850 transcript:OB11G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNQSDLFSLKDGNLTVAGRGGTAVLLTGVPENVTLTPFASAFDPSSFRSAIFPGDDDGVVVCAESGSMAVTATDFRRIAYVHAGDDPFRLMQEAYAAARVHLGTFRLVQEKALPPMAERFGWCTWDAFYLTVDPAGVWQGVGGRPRGGRRGSSSSTTGGRV >OB11G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15193003:15194674:1 gene:OB11G26860 transcript:OB11G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCLSLVLCVLLLVHGAARRAEATLYSHMCSENDAVFQYSKYHTVSEVDAAGYRNCITANAVLTSSDGNTTVPLTAPGDRYQDALAGGSPECGELGFVAKPETTADAAVNLMIWHCTIPGKHGDHKGYNFLYGPRVAYAVVQDKYTYYR >OB11G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15196215:15198492:-1 gene:OB11G26870 transcript:OB11G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase l1 [Source:Projected from Arabidopsis thaliana (AT3G05740) TAIR;Acc:AT3G05740] MASFGFLPDDSDSEALDEMETRSVSTQKKARSRNSELQSTSSAKSVVTRGRCESSTPTSNREKHPNAVHQKTETLSYEQLSCLDNINFANVVIFGNKSFRPLQYEACRAALDNIDTFVLMPTGGGKSLCYQLPATLHPGITVVVCPLLSLIEDQIVALTFKFGIPSAFLNSQQTPSQSSAVIQQLRSGKPSFKLLYVTPERLVGNSSFMGILIGLHQRGSLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATESVRKDILSTLRIPNAMVLKKSFDRTNLNYEVIGKTKTPHNQLGDLLKDRFMNMSGIVYCLSKNECADTAKFLREKYKIKCSHYHAGLSARQRTSVQGKWHNGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDIGHIICMLRNSGNFKSESFKFAMDQAKKMQAYCELKTECRRQTLLGHFGEQYNRQRCKDGCSPCDNCMKIPS >OB11G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15205859:15206866:-1 gene:OB11G26880 transcript:OB11G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSAGSARRRISSASALLASYSLSCADLLLLRPVPLPPLAAAAGEGDGGGVGAVFXXPRGEPLEEVDEAEEAVDDDDDERDAAGVATGSTDSGAGAGEGALQCVRNGDIDRAFRRTDRLRMRPRSSVSAPPFVATSSSTTACCEASSSPSTSGGRGCGWRSIAESRTGRIWPLWKSSSADDIAAAEGDGNRDEKSKSKSPRHPNSLPPPPRVGLAGALKKQAPWEAEKWWWSLDGLDGALTNGVEQALSTPTPPASGSPGTAPAAWWAAAGGGAQPLPPPAPPASGSPGTAPAAWWSASGAAAAAMDGWIDGLVRRGERSGGRSGAEWDGGSF >OB11G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15205944:15207286:1 gene:OB11G26890 transcript:OB11G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPDADHHAAGAVPGDPLAGGAGGGRGCAPPPAAAHHAAGAVPGDPLAGGVGVDSACSTPFVSAPSSPSRDHHHFSASHGACFFSAPASPTRGGGGKEFGCLGDFDFDFSSRFPSPSAAAMSSADELFHNGQIRPVRLSAMLLQPQPLPPLVDGELDASQQAVVEDDVATKGGAETDERGRIRSRSVRRKARSMSPFRTHWRAPSPAPAPESVEPSMKHE >OB11G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15213965:15214870:-1 gene:OB11G26900 transcript:OB11G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYKRSRRSVVSWNAMIVGCAWCKQDDIAVLCFEQMVREGEVAPDDGTLAAVLPVCGRLGNIRVGRWAHEHARKSGLLDRNVHVANAVMDMYCKCGDVHSAREVFKGMRQRSVVSWNTLISGFSLNGHGIEGIELYQEMRTHGEKPNGVTFLGVLACCAHAGAADVGRDIFQSMLLDHGIEPAVEHYGCVVDLLGRSGLLEEAYALIQGMPMKPNAAIWGALLSACHAHSGISIAEVALKELINLEPWNSGNYVLLGNLYAETGRWEEAGNVRRLMRKMSVQKAPGQSLIEEAEFQFTNA >OB11G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15216602:15218984:-1 gene:OB11G26910 transcript:OB11G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDAFLGDRSGAAAARLAHVLCFATAWGASLWVTFIGGIVMFKHLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTIEMMMRRHKIEKDLGIGGEVGFSRNTAAAKTSPALTAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >OB11G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15216949:15217761:1 gene:OB11G26920 transcript:OB11G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPKAMMLARDDRPWIIPNFLFIAVSAGLVFAAAVFLENPTSPPMPRSFSILCLLIIILVMGVKTRRLERSKPRAEIRNPS >OB11G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15220839:15222763:-1 gene:OB11G26930 transcript:OB11G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGGQAGLTFWSPNVNIFRCCKHFTAYDLDNWSGTDRFHFNAVVSRQDLEDTFNVPFRACVVDGRAASVMCSYNQVNGVPTCADGDFLRGTIRGKWGLAGYIVSDCDSVDVFYSDQHYTRTREDAVAATLRAGLDLDCGPFLAQYTEGAVAQRKVGDADIDAAVTNTVTVQMRLGMYDGDPAAQPFGHLGPQHVCTPAHQELALEAARQSVVLLKNDRVLPLAPATHRSVAVVGPHSEATVAMIGNYAGKPCKYTTPLQGIGRYAAQTVHQAGCTDVACTGNTQPIAAAVDAARRADATIIVAGLDQKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQNDRKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTSFTHSLAHAPAQLTVRLSGHHAVASTSLNSTVAATRLATRSGVRIAHARCEDLTVPVHVDVKNVGGRDGAHTVLVXXXXXXXXXXXAFEKVHVAAGGTARVEMAIDVCDGLSVADRSGVRRIPVGEHSLMIGELTHSVTIGLEQLGV >OB11G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15226729:15230429:-1 gene:OB11G26940 transcript:OB11G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCRSHFINQCQQSSQTKSAYKETSLMKFFTILCVGPNELLHEYSDEHLSLMTYGVEKFPSFYSASGPSKA >OB11G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15229700:15230982:-1 gene:OB11G26950 transcript:OB11G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETGAEREGGLRLQGTGRRLERVVRGCRRRSRAPLPPSSPRPRALPRSVPHVLQHSLSTNPTPTASEFHELKPSSSVPVGWCMLASGLRAYGREQKRCRTVDAHMRQHTRNIGNLELSPCFLQRYEELQMQNLHD >OB11G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15230874:15231434:1 gene:OB11G26960 transcript:OB11G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTFSCIPGRPSLAVSPSPAAATHPPAPLRSPAPSPRRGLDGRPCQCSTTYLTGSSERSLAATATSLLPPHKPRQRHRCHSPGRRRRRRRPTRSDQLNMGLCSLAVHVGLLISAHTQTANPQTAHTIMGRTLHSFWADKWAPLVWAATFGPYYGPNKIELCNVQFILVCSHVSFRKLGHVRTTD >OB11G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15234196:15237240:1 gene:OB11G26970 transcript:OB11G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILASLVGSCANKLKEIITEEVILILGIQEELAELQRKTELIHCCITDAETRRMEESTVDNWLGQLREVLYDIDDVIDLARFKGSILLTDHPSSTSSRKSIACTGLSISACFSNIQTRHEVAVKIRSLNRKIDNISKDRVFLTLKNTPPTGNSSVLARKSSHLLEPNIVGKEVIHACRKVVDLVLEHKERKQYKVAIVGTGGVGKTTLAQKIYNDKKIRGNFNKKAWVCVSKVYSEASLLRELLRIMEVHHDQDESVGELQSKLEIAIKERSFLLVLDDLWQSDTWINLLRTPLHAAATGVILVTTRNDTVALEIGVDYTHRVDLMPVDVGWELLWKSMNINERIEVQTLQDTGIEIVRKCGCLPLGIKVIARVLVSKEQTENEWKNILSNNAWFTNKLPNDLRGALYLSYDELPRHLKQCFLYCSVYPENATIYRDDLTRMWIAEGFIEDHGGQLLEDTADDYYYELIHRNLLQPNGLYYDHSSCKMHDLLRHLACYLSREECFVGNPESLVGNTMSKLRRVSVVTEKNMVMFPSMDEVKYKVRTWKTSYEKTLRVDTSFFKRFPYLRVLDLTDSFVPSIPGYIGNLIHLRLLDLDGTDVSCLPESIGSLKNLQILNLERCAALHSLPSAITQLCNLRRLGLNYTPIDRVPEGIGKLEFLNDVEGFPVYGGSGNTKMQDGWNLEELAHLYQLRRLHMLKLERAADCTAYPLLTDKRFLKFLYLWCTECADESYSEKDFSNIEKIFEQLIPPCNLEDLAIVRFFGRQYPSWIDSSHLVYVKSLHLFNCKFCKHLPPIGQLPNLKYLKIEGATAVATIGPEFVGRRVSNLQQTVAFPKLEELLIRDMPNWEEWFFIDEATPTAKERIDDGDTAMSKGEVLPPRMQLLSRLKRLELFGCPKLRALPQQLAQASSLKEIELRWASNLKVVENFPFLSEMLLIATCQSLEKVSNLPQVRELRVQDCPNLRCVEVLGTLEQLWLYEDMHEISTLWVPGLQQQCRQRHGEDLDVYNWT >OB11G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15240842:15242110:-1 gene:OB11G26980 transcript:OB11G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGTNDACSERKQMDEACCFSSWINSSDTDWDEYKKRMLAIVELVCRLEKEGQDWTSCCVEWDSERDLPVVPRWPPSARTATAGVRNAVLAAAIVTSAAAVVTGVAVISTHK >OB11G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15244847:15245029:1 gene:OB11G26990 transcript:OB11G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCNLCKASPPLLNRSFSLAWLDPLCGILILHLYPLQVSSIYLDELVSFISLELMCKCSF >OB11G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15245945:15246946:1 gene:OB11G27000 transcript:OB11G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILYTLVGSCLNKLQGIITDEAILILGVKDELEELQRRTDLIKSSLTDAEARKMEDSTVEKWLGQLRDVIYDVDDIIDFARFKGSVLLPDHPVSSTRKSNTCTGLSISSCFSNIRTRHEIAVKIRSLNKKIENISKDEVFFKLNRTQTNGKGSAWTPIESSSLVEPNLVGKEVLYACRELVDLVLKHKESKDYKIAIVGTGGVGKTTLAQKIFNDKKLEGRFDKHAWVCVSKEYSRDSLLKQVLRNMGIRYEQDESVPELQRKLRSDITDKSFFLVLDDMWRSEAWIDLLSTPLHAAATGMILVTTRDDTIARVIGVDHTHRVDLMSANVG >OB11G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15246965:15249025:1 gene:OB11G27010 transcript:OB11G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKEEKQVQNLKHIGVKIVNKCGGLPLAIRVIAKVLASQDHTDNEWKRILAGNAWSMRKLPNELRGALYLSYEALPHQLKQCFLYCALYPEDAAIWRDDITRMWVAEGFIDEQEGQLLEYTAERYYYELIHRNMLQADIYYADHSRCKMHDLLRQLACHLSREECFVGDPESLGTNTMRKVRRILVVTEKETMVLPSIDKDQYKVRTYRTSYKTPLQVDNSLFKQLKYVRVLDLTSSLVQNIPSCIGNLIHLRLLDLDGTNISHLPESIGRIQSLQILNLQRCKNLYSLPLATTQLCNLRRLGLDRTPINQVPNRIGRLKFLNDLRGFPIGGGNDNTKLQDGWNLKELAHLSQLLRFNLTRLERATSCDSTESLLLTEKEHLKVLTLGCSEPTDEAYSKEDVINVEKIFEQLKPPCNLEDLRIWKFFGCRLPTWLGTTHLSSVKFLQLIDCKSCAHLPSIGHLPNLEYLRIDGATAITKIGPEFVGYRVGNLESTEALAFPKLETLLFNNMPNWEEWCFVEEVEKADAVVKEGGEDGTTASKPKGEETLPPWSSSWLLPCLKTLELKNCPKLRSLPRELGQQATNLKELLITEATCLKTVEDLRFLSGYLLVGDCEGLERVSNLPQVRELLVSDCPNLRSVEELRNLEQLLLSKEMQEISMLWVSQLQEQRRQLHGDELEVNEWL >OB11G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15260421:15263468:1 gene:OB11G27020 transcript:OB11G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLVESCANKLQEIITEEAIPILSVKEELRELQERIKQIQCLISDAEQRGTNDSIVHNWFSSLKDAMYDADDIIDLASFEGSKLLGDHYSSGKAAVCSGLSLLTCFSNIQVRHEIGNKIRNLNRKIEKITKDKIVATLEDKEPSDKGSTSEPRKSAHIVEPNLVGKEIVHSCRKLVNLVLRHKEEKTYKLAIVGTGGIGKTTLAQKVYNDNKLKQSFNKHAWIYVSRDYSPVSLLRELLRTMEVHHTQEESVEELQNKLESAIKDQSIFLVLDDLWRSNVWVDLLRAPLHAAATGIILVTTRDETVAREIGVNLTHRVNLMSLDVGWELLCKSMNIQDVKEVQNLQDIGVEIVQKLGGLPLAINVVARVLASKDQTEIEWRNILTTNVWSMSNLPKEISGGLYLSYDDLPQHLRQCFLYCIVFPEDRVLDRDNLIRMWVAEGFVKVDNDKLLEDIAEEYYHELISRNILHPVFEYYDRSICKMHGLLRQLACHLSTQEFYIGDPESLVDNTICKLRRMLVTTEKDTVVIPSMGKEEIKLRTFKSNGKPWGIENTFFMKLKYLRVLDLSDSLVQSVPDYVKNLIHLRSLDLDGTLISCLPESIGALKHLQMLNLQRCESLHSLPRAITQLCKLRRLGLDGTPINQVPKGIGRLKYLNDLQGFPIGGGSDDTIMQDGWDLHELAHLSNLWKLDLKKLERATPSSSADSLSLMDKGHLKVLKLWCTELEDEAYSEESISNVEMIFEQLTPPDKLEELVIVAFFGRKLPTWLGTSDLSSLKYLNIEECKSCADLPSMGHLPNLEYLKIAGATSITKIGPEFVGHGVRNVGSTDEIVFPKLEWLIINNMPNWKEWSFIEEEEEMAPKEGGEDGAVEKQKGSRLFPCLRKLDLLYCPKLRALPWQLGQQATNLNELIIYGASWLKKVEDLPFLDKAQVETCARLERVSNLSQLRKLYIRDCVNLRCVQNLGNLEHLLLDEDMQELSSLWVPGLQEQRCQLHGDELEVHEWLGEPID >OB11G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15265849:15266196:-1 gene:OB11G27030 transcript:OB11G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPVKKLTWRWCGCVKINYNNVLQILLSVSGNYFWSFGGFRASGTVLFVSGFCQLGRYLEFHYIIFTDSVLVDIQNFTSSRDSGDAGALSAWLVLNTTPFVLDYKIFCFFLDS >OB11G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15265917:15267458:1 gene:OB11G27040 transcript:OB11G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMRRHHLSLYWSLAFRRAACVRPSARFFFGRTVAVGGLLRRAAGLLERWHASGAVFAVVSAAPPRRVADPHGGAQDFKPQSIVDGIGVGAPQLPLPYSR >OB11G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15269273:15272503:-1 gene:OB11G27050 transcript:OB11G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAQLAVALVLLLAVAATVPLASAKCRPRKEKLEGKEEKPEGFGVAKKKDEGTTPHSAGGLSTLSVGESIPETKKEAFDDDAAAEDKKHYSTGALTTLSVGESIPGINNGASIDGAAEKKHKSKRKASDDEEEEEEEKKSKRKSSDGDDDEEKKLKGKSSDDDDKAEKKSKRKSSDEDDDDDAKKKSKSKSSEEDDDDTKKKHKKKHKGKSSDEDEDGDAKKKSKSKSSEEDDDDTKKKHKGKSSDEDEDGDAKKKSKSKSSEEDDDDTKKKHKGKSSDPDEDDEGEKKKSKRKSSDDEDDDDGEKKPKSKSQEAAVDEPEPAAEPKEDDEADGGEAPAPKKKKHHAGIMSLPVPDIIAQPVMRALSPVVKTMCAKTDHADLCESSIGQLPEEPPAQLDGLGVLKLAMDALRAKVQETINMATDRMGAPGMDPQSKDAMNDCLEMYDDMKQNLDSAEAALKKGDKDTARTMLDSAHTDVDTCENGFTEREGLKPLMADLDKILAELSSNTLAIAAAV >OB11G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15273539:15278013:-1 gene:OB11G27060 transcript:OB11G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease Ps [Source:Projected from Arabidopsis thaliana (AT2G47300) TAIR;Acc:AT2G47300] MERRWGFILPIGVQGRGRGSRSVLKWLKNGTVVHDASYFIPVELEGPEDSLLSIVRMVLHPSPEDKTPGLKHLHGQVMRGVCYENAMLCRVGSPHSEIVGPVTYMWRPFFRESGKLVTEDVDYSNSQIRVDEGKCGSVRRQLWIWIHPAVLSEGFEALKVACEKQMQESGDTINCCSLEGKMARLEVMGCNALQSLKSILHPVSNPSMSTKLVNANNLTASTDPLDSPTGSHFLQASVIDHADIFQTGAILSMTVRDPRDNSVQGVDSSKTHSLNQDNQLMEEYQVSNANEVPSEMRNILSSIWLNPGSYDLVLSDCKELWDSNLKINPPVNEEILCMERHHRRIKFFCLDSGNDVGQRAQENDSISRSFPVILLKHAKSNLLSVGWSVILPLSWVKPFWLSLVSHGAHAIGLRERRWIASKFKMPCFPYDYPDSKAYSLFLAEEAEVFDKSMNCRPSSMRPPKVPVPPLWHSIIASFHKRNGILSTLEEDDLKSVAAVLSKSLTMNSNSGDTESSSTDVSTSSKLVPRTIQVLRRYVKEFDAKYLSPSDMEAVTEKSNLVSGDNMKLECYINRLCIVRVLIRAFKEGSFEEGAVVCAPFPSDLSAWKTRSEEDECLEKWELQLPQSHLSSYFSWLDPSTSKLQLPNDDSIQKAFRWPIGFVTTGFVHGSSGKDAVAVAFCEAKLLAVLRRQQWAHESLQGQEICVLVRNARSTSYRRALASIVLEQQEEDLMFL >OB11G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15280133:15280378:-1 gene:OB11G27070 transcript:OB11G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGTIAAAGSTTTAAERNDDGDVGSTTASSLSPTQLIRWWWQLACSVASASSAAHLDRILDAHFGVDGRRLRRSFAGAR >OB11G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15280401:15280661:-1 gene:OB11G27080 transcript:OB11G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGTIAAAGSTTTAAERNDDGDVGSTTASSLSPTQLIRWWWQLACSVASASSAAHLDRILDAHFGVAPATDRSSPTTANGSESL >OB11G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15289658:15296159:1 gene:OB11G27090 transcript:OB11G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELLRKIQELEEGQAELKREISKIVPERRGALPRPPRRLPAAQKRALAALPQAWSSSRLQRVRRAGLTDRHYVRILHSLGQAVHVISLDGKLMYWNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFHIVASNTPLYDDDGSLVGLICLSTDTGTLEEILGISTSGKLYPSSAKPHVQLNRSKSGLLNKGSCDPQQPPQSAVTSKIPNLATRVTTRVRSRVRTGQSCDDEYGVVCENHNSERDAREEQTSSEGSTPSGDALNGSFVREDNYSGKSSKTNSDDSGEGKGGLHKILSSKAEALWGKKGIPWPWRGHEQDESEKNQMALPQFHEIQENDQSHNKEVPEPIIIPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSSGSTNSSAIERVDREADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMVLTFRQEVALMKKLRHPNIILFMGAVASLERLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVHMAIDIARGMNYLHNSSPPVIHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIESCWDSDPQCRPSFQELLDQLRDLQKQYTLQAQLQRTSAGDPLARGGVSKMSVVDDC >OB11G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15299452:15303633:1 gene:OB11G27100 transcript:OB11G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSNYQSSFFRINLTSLHNSLSAHIALAPKSTPNKLPLLAMISRSPEEAAKGKWYIATAATNHMTRDKNLISDLKPMAGLTIADGNGAGLQMCGLGAVSTEAVVIPDVWYVPGINANLVSVGQISELGYRIEIGGGVCTHVRSPEEAAKGKWYIATAATNHMTHDKSLISDLKPMAGLIIADGNGAGLQVRGSGAVNTETVAIPDVLYVPGINANLVSVGQLTELGLGVQIGRGVCTITGGSDESVVGRAHRSGGVYEVEFLRVPLN >OB11G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15307574:15308178:-1 gene:OB11G27110 transcript:OB11G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRRTSYSIAVLLLLLIMMSTISSCSCAGEETSDRETVKSHHQCKLCKGVRHCSSEKPCCCVNGPAPSPSGDVTNESDNQLEIN >OB11G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15309547:15310085:-1 gene:OB11G27120 transcript:OB11G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKSMAYSITTVVMLLLIMSSSSLSCYAARDMSYPGTLQQRHQECRKRCKGHRYCPPENPCCCVKAPPPPLNDVKNETSDVRVTN >OB11G27130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15322023:15324885:-1 gene:OB11G27130 transcript:OB11G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQVIFSYPIIIFQESDFVKNGLKIRSSKAFEADKSGKVTLRGEPKEKAIPSNEERKEWPVLVHVVAPAKMERFPIDLVAVLDVSGSMSKATSRHGWTRLHLVKAAMQIVTKKLGAGDRLAVVPFNRDVVGATPLREMTTKGREEASAKVDSLRAGGETRFLPALKHASGLLDGRPAGDRQYRPGFIFLLSDGQDNGVLGNLAGVRRYPTHTFGMCQSRCNPKSMVHIASQTKGSYHPVNDDLSNVTQALAVFLSGITSAVAVNARVDLHVPDNSGVLIKKIDSGAYEGTIENANGKSKGSVTFGVFSSQEEKKFIVYLHVPKLENVQATTAPQPLLTVSGEYSTPAGGRKVEKMNESKIQVERHAPATTKPAAGDHHVTASAWSEAVMVEIVRIKVVSIVEEVLKNHEQQEEPDQKQMAKELREKWESFIKETPAGKDAAERLKEKLPKHHFDEVHASLVQEEYDGVLYLYSWLASHKTQQATTMAASSSATVAGWFRQQYMQQMAADAVFDACGIRPGVDIELDATGCGCGCAVDMDRIDRRLELWSSLKRDAPLMFQPSEDAKSHHLTAVFCEASLDAINRAMHHDMYLAVVHASNLRRCYSGAGKQEPHGDGSTSELPAHDDDAPPHAIEKHSE >OB11G27140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15330664:15335132:-1 gene:OB11G27140 transcript:OB11G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAGKTKLEATSSAVVERQAMGLVRVSTTPILPAIARGKRSDDFAVLVTVEAPRAAASPEKRAPMDLVAVLDVSKSMREKEPVRGKQTSSRLALLKAAMKYIIRWIRDADRLAIVAFNDKLVDEYTVKLTNVRDGGRKKLEKLVDKLEASGGTAFRPALEEAVKMLEARSKEEKKKRVGFILFLSDGDDQYKHSKIKWEEVARSSDGVHSKVRAMLRKYAVHTFGFSAKHDAGSLREIADVSYGLYSFVFNNLHKITDAFALCLGGLATVVAAEIEVHLKTQGSVVVGDRQHDRPVLIKSIDAGGYHSHVDAGGASGKIIVPVLYVDEVKRFIVHLKVPEVVATGTGGGTSRQDLLTAEGQCRNAASAEHVAIKQDRLTIRRPEVIDDKADLRPAPQVVAQVVQFEVLAMVAKTFQHSNDDKDTTKSKQTKAASPQTSLKRNMAEIKSSDAWRHLDEGTRRGIEEQVEEIAQHEEKGEGKAYTSSWLSSQKMQRPTTMGSPDKVLAKFMTPAMVAVAQEVKRAEHKIPPPAPTPATPDEKTPTSSVVASDPELAVDPVAASTTTTPDKKTPTSSVMASDPEPAVDPVAASTTTTPLPPQRSELESSSRCPRCVEFDVVEEQLAYWSTVKRDLLRVLGDAEDAGELRRHSLAAAGCFHDVSIGAINQAMYQSVCQAVVQARNLSRASDIYLY >OB11G27150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15342103:15345303:1 gene:OB11G27150 transcript:OB11G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKLSQRLFSALVSLLLHGKPLSRSSSSSNNNTALLHPSLVHKSSFSSPPMEKLAAQTLVLDVEGSLLRSPSLFPYFMLVALEAGGFLRGLVLLLLYPLICCMGNDMALKVLAMVSFCGLRVNRFRAGRAVLPKWFLEDVGEEGFDVMRSAMRRVCVTKMPRVMVEGFLKEYLEAEVVIGREMKVVLGFFTGLMEEEEEGGDQEEVLLEEKKMAVDVVGFSSSLEFFQHHLSHCCKEVYMVTREEKGRWSALPRHKYPKPMVFHDGRLAFRPTAGNALAMFTWLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEPAVGAHLADRAHGAADAGPGQRRPRHGAPPRRRRPRRRLPRGHHLPGAVPAPVQPAVRRAQRRRGPRRDRRRHVHVLRDDGRRAQVLRPALLHRQPEDVLHGAVLGEGGHDGGEGEEDAEHRHGQPRAEEDGRRARLRLHHAHQEGQVPHARRQRWRRQDGRPEQTPCCLCSGEEEAITKHKLASKNK >OB11G27160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15349645:15352776:-1 gene:OB11G27160 transcript:OB11G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16480) TAIR;Acc:AT1G16480] MQWLSPLARRSRPPAIHRHCFHRPPPPSRTFLAAAGSSSTEQCSRFTLALLADHPHPAVAEFTRAGFSRLADRPLLARAIHGLAIRLALPLSAFHRNTLLAFYFRNRDAPDAALHLFDEMPERIPSSWYTAVSGCVRCGRDGTAFELLRGMRERGVPLSGFALASLVTACERRRGGAWEEGLACGAAIHALTHRAGLMVNIYIGTALLHLYGSRGVVSDAQRLFWEMPERNVVSWTALMVAMSSNGYLDEALGAYRQMRREGVPCNANAFATVVSLCGSLENEVPGLQVASHVIVSGLQKQVSVANSLITMFGNMGRVQDAEKLFDRMEEHDTISWNAMISMYSHEGICSKCFLVFSDMRHRGLKPDATTLCSLMSVCASEHSSHGSAIHSLCLRSGLDSSLTVINALVNMYSAAGKLNDAEFLFWNMSRRDLISWNTMISSYVQNCISTAALNTLGQLFQTNEIPNHMTFSSALGACSSPEALMDGKMVHAIVIQLSLHKNLLVGNSLITMYGKCNSVQDAEKVFQSMQNRDVVSYNVLIGGYAGLEDGKKAMQVFSWMRGAGIKPNYITMINIHGSFTCSNDLHDYGSPLHSYIIRTGFLSDEYVANSLITMYAKCDDLESSTNVFHTITNKSGVSWNAMIAANVQLGYGEEALKLFIRMLHAGKKLDRVCLAECLSSSANLASLEEGMQLHGLGMKSGLDSDSYVVNAAMDMYGKCGKMDEMLKLLPDQAIRPQQCWNTLISGYAKYGYFKEAEETFDQMVAIGRKPDYVTFVALLSACSHGGLVDKGIEYYNSMASKFGVSPGIKHCVCIVDLLGRLGRFAEAEKFIEDMPVLPNDLIWRSLLSSSRTHKNLEIGRKAAKKLLELDPFDDSAYVLLSNLYATNARWLDVDKLRSHMKNININKRPACSWLKLKNEVSTFGIGDRCHKHAEKIYAKLHDILLKLREVGYIADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIIVPEGSTIRIFKNLRVCSDCHLVFKLVSMVSNREIVLRDPYRFHHFKSGSCSCSDFW >OB11G27170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15352977:15358106:1 gene:OB11G27170 transcript:OB11G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTVAAAVGWGMKAAGWVVSPIISNLVKEGFSYLGFDTSEKLRQLEMKVLQLELMLGLEAVQIYPHRNRLEPLLKNLQSAFYEAEDILDDVEYHRLERQVQSHPSNNKRWVHKIHSSLPSFSFIKRQGSGNITSEAPPGISKNRLKKTLQKIENIINEAHNIFPLLNLPNHSNVENRRSVDANAHSPVTTSAPPPVVMGRDEDRDKIISMLHENVDGVRSTPNGSLSHSLIGIHGIPGSGKSTLAQLVCSWEKKDKQEKKDGHFDLIMWVHVSQNFSVHKIFSQMLEEATGKNCLEYKNLNTLEQKLEEALSGKRFFLVLDDVWYNRGESQQELRKIVYPLMIGKSGSKILVTSRTADTLSALGAGRCISISDMDDNVFLKLFMHYALEGVSVDETNRSKFERIGADIAKKLGRSPLAASLVGGQLRMTPTVEFWMNARDRDYMNETMGALWWSYQQLDKQVRRCFSYCSILPRSEFRRDELVELWLAQGFIRTTDTGEDLEVVGHRYFQELVSGSFFKEHRGWFGETGYFTVHDLLHDLAKKVGGSDYVRIKQGWTGDLPQDVRHVFIEAYDKTWMPKRISQMESLRTLIIATDSTDETVFESIFTGMLKLRVLIVKRKLFLEKRRHLMLLPKSMGKLKHLRYFDYASNDCNKIHLPSTITMLYHLQVLDFGALSRLEFPSDEDMSKLINLRSVRARPFYNWGIRHLGWLTSLQTVPLARVKKEVGYEIQQLKHLNKIRGRLWIDGLESVGSKEAAVEANLCAKVHLKQLGLFWGYESRNLPDVEAEVLEGLCPPVDLETLKINGYHGTRYPTWMVGQQNGPEDLQVLALDGCSRMERIPGDSKLFTHLRVLKIRFCSWGSLPDNMERLMSLEELHLWRCREITTLPTLPQSLKEISVYQCSDVLHSSCLTIGHPNWQKIEHIPHKDISPAKTLEVPGTDHGVSASHLDIGTQPEEDVN >OB11G27180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15360854:15366080:1 gene:OB11G27180 transcript:OB11G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDEKARGHTLSSIGSPPLDVDTVIVSNDDKGMEGTIYPSQDDNPKVKQQPPSCDVNRWTLDTMARHHHTFDRRLLDEQPPVSSSEVIGSVKTEGFKVPSVRYVEHATRNVISVAQLADDHGLVTVFEGQSCHVRVNETGEIIGKGSLCQGQYELDYLLIHQPGDIDPTGVHDEGERGGGGGRGGGDSGGGVNNNTRGRDEEEEEGGRGGSGDDTGGGCGGDKEKKGDDDRKGEKKKDILEKAFVTSGNERRHSTEFLLDSGACFHLTWNSAILFPYPPHLQNSTRSPIESIGGVGPGSPIRVEGTGYLNGNMIKLDAVRLAPRSEANLVSISQLGLQYGVSTEFGRHGVVEIKAAFGTVIGTGRRRNHHFVLERLEPGILYAQQTVPCINFFGLPFQSAIN >OB11G27190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15371158:15371415:-1 gene:OB11G27190 transcript:OB11G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYIYIYIYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYIILYYTERSHHI >OB11G27200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15374853:15376943:1 gene:OB11G27200 transcript:OB11G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSLFLQVFLFVFAGWRRHSTCAVVRVVLWLAYLSADTLAVFVLGHLAVRAGEPDQQLVSTWAPFLLVHLGGQDTITAFSMQDNELWLRHLLNLATQVVVAGYVVGKASWPDRRLKTSMLLVFISGFFKYAERTAHLSSARSTTRTSMLSLKLYWQGKGSYGTMRTEARQLMEETLDRMLQGSSSASCLPALMEAFSLRTDIMAGDTPLNKVPTIILADEGKLPDMLREFRSRADRYRALEHVGELLVYCYRRLYTKSYVRDLVRAIVVSRCYRQRKSYTGRNAPHLPIGHAPLWSIVKIWIVQTIFYVLPIPIALALFVAAEKGSSNSTGGRAADITVSYILLVGALVLDVLSLANFKFSAGTRLRPAWSQKLGQYNMITSRKYKYLESLYIECGCDVVHTPMNSTKEFILDSLLASGARKEWSIASTCGQLSLHKWTALTTLKESVRSDVDFPTRVLMWHIATDLCFHSTTEETCDDGVLEKRKQISRELSNYIMYLVFKCNVMLTPTSQVLHDEVRSMIGPGLYRRLHHRGEKDIDVMELFSQEINKKMEQEGSKVEVQEPEEPQDEVQIEHEEDEIIIQTDVQESANIIQNDALAAEPTNKLHPSSQVRYSPKLLLSSAIDVAKELISINNEAERWELIAAVWAEMIYYTAPRCGAAFHCDHLCTGGEFITHVLLLMYFLGPFMPQLAGA >OB11G27210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15378882:15383089:1 gene:OB11G27210 transcript:OB11G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWRIAAMGLVVTVLGWFLSPMISLLVNKFFSSLFDASRKIQELAIHTVPKLEQMLREIEEQRMHRKAKKERSAVQHLDELAKIVKSALYEAEDILDLIDYHRIERDIVGGDDDGDDAPHAGSNWHQHIRDAIHACIDCCKNRSAELLPIHRAPSVSLEFSSCCQSVLIWSTNWFQVARDYRDYWSYEVVGITGYYQEDGVAVDSFLPSIARWKLGRRIEKLENTVADVEKSPLLNQKSSGTWNDIVNMNRRSITSSSTRKVFGRDMERDTIRSMLREGPDDSAASSSSSKCYSVICIYGIAGSGKTTLAQYVCDHEKEDKDRYFDTIMLIYISKAYRLEDIFRDMLDEITRNRHSEITDCKGLEAKLVENLRGKRFLLVLDDLWVHDENHEKLLSPLNAGKAGSRILVTTQSKEAALGSNRLIAISDLEEEQYFSMFMHYALDSTVFDDREFIPIGRKIANKLNRSPIAAVTVAGQLWRNPDIRFWQTTANLDVLNKTKGALWWSYNQLVVDVRRCFQYCSIFPRRHEMERDNLVRMWVAQGFVKDNDGHKEDVEDVGQDYFHDLYSCSFLQLKRKVTSDISSGEYFTVHDMFHELAVTIAGSDCVRIDRGIVTEHLPKHVRHLCIECYSGAEFPEQILKLANLRTLIMCNSVGGMSKDDFERVLTRLRKLRVVHLDLQDLSTVPACIGELKHLRYIGISPSLFNDITLPAEFTKLYHLHEFWFSPSIDLHFTSPARMGNLINLRYMHTWKGLDIPDIGRLTLLRNLFRFTVRKDKGYEITQLEHLNSLSFRLFIDHLENITSREEAALARLADKAHLKDLTLRWGGDDEHSTTPRATDPELEAEVLQELRPPSGITSLCIRDYSGARYPSWLSGEDDQGDLPALKYLMFWGCNGSSVPPMFGERFSLLYQLSVAGCSWSSLPDNLDCLTMLKELIVQECPNMQSLPTLPRSLTSIVVSDCNRSLEKSCQTRGHPNWQKIRHIRYKTIR >OB11G27220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15383471:15384707:1 gene:OB11G27220 transcript:OB11G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVWANEGVEACTTKILKLANPPPRLGHLATRNPFANHHDLHPPDQTLGAASFASSTGPCHRYSEIDANAAYSVTFAVAGTKWTRYMVALGALKAMTSGLLIGTLGQARYTLQIARTHMIPSYFALVRPRTSMLIYATTPPSPSRSAPPASLSSPASTCSRRLLHQHRVPKVYSVPPMLLLPAMSVTTNLFLMGSLDTAYVRFVICTAAMLISYVLFGVHTTYDVAHSDDAAADNLEHGKIAAPPPPEWHDLKLS >OB11G27230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15385739:15386557:1 gene:OB11G27230 transcript:OB11G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGPHLFLFFLFLSPLGLSLSLPLSFFTFILFPFSSPSPCGRSKRQRAPERAAARLATGRRKMVARPGGEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDGFGQRRRRLVTTTSTTTPTTTTVLSCSLRSSCMWGPLFGNPLAGQRAGWPIWPGWKLFWPFGWYVW >OB11G27240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15392963:15395627:-1 gene:OB11G27240 transcript:OB11G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTLPESLGTLVISFCSIDLPSTLPQSLKKLMLHGFSKIDLSTIPPSLEHFDVLTHDQEFATSCKTDGHENWEKIRHIPETNIRYEEFYREDDEDEEGLEDDEDDDSYVDDEEEDDDVPLGEDQEVDVPSEDGQEDDVPSEDDQDDES >OB11G27250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15395752:15396606:-1 gene:OB11G27250 transcript:OB11G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDVGYSQWGKVAFSSGEDTTNLINLRVVPYDSELDFPFIGRMESLQTLHKFTVKKEKGHELFQLKKLNKVRHVLKIDGLENVLTKEDAQQAELHEKACIRGLILTWSPSGDMSLQEQDLQSEVIQALGPPTHLQILAIEGYKGSSYPSWMTGDGPEVLMCLRDLLLIDCTELASIPEHSVLFKYLHALEAIRCNWRCFPDNMEHLNSLVKLGIQSCNEILSLPTLPQSLKKLKIKECEQLESLPTIPVSSEIGNTTLQSNFITSDSAPVSRETDNRGMRYT >OB11G27260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15397118:15397950:-1 gene:OB11G27260 transcript:OB11G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKREANSSLAHSIIGIHGISGSGKSTLAQHVCAHEKKEGHFDLIMWVHVSQIFDVVDIFKKILQEATRDSCLGLSNLNTLEDKLEAALSGKRFLLVLDDVWYKMGENQNDLQKVVSLLKAGEAGSKILATSRTEEALLALGAVKEKCIPISEMDDSAFLKLFMYYALKGVSIDEQDRRTFEAVGAQIAKKLKGSPLAATIVGTRLRVKPLNYWINFNARQHLGDVMEFLKWSYQHFDKEVRRCFAYCCIFPRRYQLKRDELVKLWVAQGFIS >OB11G27270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15410278:15414011:-1 gene:OB11G27270 transcript:OB11G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPIAVLTILAFLMPMVAVAQPWQICGRSRYTANSTYQSNLDGLSYSLLSPDGDPSSGLFGKVSRGAAPDTVYAVAFCRRDVVNATACGDCVDAAFKGARQLCAPSKDATVFYDECVLRFSDKDILNMDAFGRVNTSAVLDGGVLVLMNITSQPMLPSWDSNSSSQGTKNFTQFFTKMLGDMVAQVLSRETTPPLYAAIRVDMDDASSSTTALPRRLYCLAQFAPDLSQDICYNCLTNFSGLATANFDGRQGGRVLSLRCNLRYDTNKFFAGEPTWSSPSSCSCSKSPGPAPQPDQLPPSPKHNKSKRKVLVIAVVAAPLLALFICAIASFTLRRHIKGQIWLTNAREEDEALIWGLEGRNSEFTIYDFSQVLEATGNFSDENKLGQVGFGPVYKGRFPDGVEIAVKRLASQSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLNFFIFDETRRTLIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAANILLDHGMNPKILDFGLAKISSTNDNEVNTKRIVGTYCYVAPEYASQGNFSTKTDVFSFGVLILEIVSGQRTSSFHRDGEFINLLGHAWQTWKDERWLQLVDPSLVTSESDTLEMMRCINIALLCVQEDANDRPTMSEVVAMLSTERMNLPEPKHPTFFNTRVTNEDASTVVVVATSVNGLTLSTVDGR >OB11G27280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15414807:15420672:1 gene:OB11G27280 transcript:OB11G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAAAAAAADLVDGGRPPEGDTHSREDGLSKPRDKDREREKDKDRERHRDRDRDRGRDRDRDKDKDKEKDRDRDREKDKDKDKDKERDRDRDKDKDRDRHHRHHRERREHRDRSDDHDRHRSRDSERRRDHERDGRRRHRSRSRSRSRGRDRRSRSRSRSKSKRVSGFDMAPPPQAVVPQFPAIPTPSQFPGTTIPGMFPNMLPMGVGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELRDDEEYEDIMEDMRLEAGKYGNLVKVVIPRPDPSGQPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASEEYDGQ >OB11G27290.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15427632:15428214:1 gene:OB11G27290 transcript:OB11G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVADELKAKAEVYYDDEICQQCTKLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITGFAEKGRIKKVKGIKTRELMMWVPVEEIAIDEQKTEKLICKSIAGFSKTFPASAFQIPEEEEKINCAIPKPVVLMERAPQVIKNK >OB11G27300.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15429891:15434455:-1 gene:OB11G27300 transcript:OB11G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06510) TAIR;Acc:AT3G06510] MGDPGHKQKMSRFPLEGARRGRARGQNKLLAIMLAPFGTDRIVTACSLQPHQSPKPDCTTGTANRSWVCSIQGAPSVTAMGEYLLLWDELDELQLNDEEQDHISWKLEPHGSFTVSSAYRLFFMPNEETRWGSLLWKTRAPSKIKLFVWLAIKGKCLTADNLQKRGWPNQTVCALCSLEAETCDHLLVKCEYTNRVWRRWRTWVSIPFQTPAESGKELQDWWIDTRTVFGEIYRKSFDSVFVLICWIIWKERNARIFQAKAVSPSALFNTIREEIVTCKKRAWKLSDDVKPLFAEDDNFFFGLATAPAHVEDRLEDAWLQFATETSCDDKENVRSQKPVDAVMASAAGDGGSQQASRSTGGETIGDREQRKPLRVAMEAMLRGFEIFSDGGGSAGGDDCSHNVAAWHNVPCPQERLRFWSDPDAELELAKETGVSVFRMGVDWARIMPKEPTEELKNSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAVAHAEAYDYIHLEGKNARKTIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPYVDSISDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFIISENGVSDETDLIRKPYILEHLLAIYAAIIMGVHVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSKVVTTGKITRQDRASAWGELQHAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYEMEGLQDPLSCFIRFILAPFPRQKKIHYREDYEISYSIN >OB11G27310.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15442482:15444088:-1 gene:OB11G27310 transcript:OB11G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3NA95] MGRPNLAVAVVGLVVVVAASLPSPSLQLFFDLSQLIKSGSGSGGGFSKWVVLNQEDYVMKASVYAKKAVGDTGKTIDESLSSAEAAKVTYVVDPKGTPSKTTFTNITAALAAIPEGNKKRVVIDLKPGEYREKIFINISKPYVTFVSDPLKPAGGGWNDTAATMGKGGKPVGTVGSTTVAVESDYFVASGVVFKNDAPLAKPGAKGGQAVALRLFGTKSALYNCTVDGGQDTLYDHKGLHYFKNCIIKGSVDFIFGFGRSFYEGCTIISVVKEVAVLTAQQRSKTIEGAIESGFSFKNCTIKGQGPIYLGRAWGDSSRVIYSYTEMGKEVVPVGWDGWNIAKPESSGIYYGEFKCFGAGADAVNHAKRVGWALDLTEEQAKPFIGVHYIFGDSWILPPPKAGAAASSSSATESSSAPAESPTSGSAAAPSASSKTANASAPAASSKTAYSSSTASAPAASTKTANSSATASATSTATSTATATAKTAYSSTPAATTTATTTTTKAR >OB11G27320.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15450982:15453415:-1 gene:OB11G27320 transcript:OB11G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLKRSGGGMACHQHRPAEQQEPAELSAELRRGPWTVDEDLTLINYISDHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTAEEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDINSKRFKDAMKYLWMPRLVERIHASTGPGDDNIGPDDDGSGDYGNNDLSCISGVTMATIATCFEGSPSMVMSSSSDSITSESQDLQKINLHVHGGDEKMSSRDWMQEVDHEFWSTQIQPNNEQFQDQELNGWVQGFSEGMSESLWSLEDIWKMQ >OB11G27330.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15463426:15463713:-1 gene:OB11G27330 transcript:OB11G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLQVTCSYHLNLIRRARSRSARPKSKARPKAILPLRNNTNKRKQSPTKTSLLVASSTDLLYYFYVVYYCIIIFQWRMEYNTTAKKCILPFQI >OB11G27340.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15465238:15471908:-1 gene:OB11G27340 transcript:OB11G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMLSLPGRLEQLLRLHGSMLPKGADDEIPLIKRDLEEIISILHRHSEPKLEDDAMVVRCWMKEARELSYDMEDCIDQYEHAAGSRTDLSGPNIRRRKLSRRQRGSKIPQKLKQRLWMANKIREFSLRAQEALRRHAMNNHNNLGSTSTSRGDGDVCSTTQTQFMVNVDAVLNDLNKLKNLLAAIPAAITSNIRRVGIDASMNKIESWLAVCDGEEKLKVVSIVGVGGIGKTTLANELYRKLGRQFECRAFVRLSQKPDMRTILTNILSQIRPQHQPDNWKVHTLISNIRKQLQDQRYLIIVDDLWTTSTWDIIKSALPDGYSCSRILTTTEIEDLALQSCSYDSKYIFQMKPLGQDDSRSLFLSTVFGSHSNCPPELSEVSYDIASKCGGLPLAIVTTASLLASQLENQERWDYINKTFGYSLMANSNLEGMKQLLNLCYNNLPQHLKSCMLYLGMYQEDTIIWKDDLVNQWMAEGLISAVEEHGKEEISRSCFDELVVRKIIQPVHINHNGEILSGVVRRMVLNFIAYKSIEDNFIIAIDHPQAITRLADKVRRLSIHFGNVEDATLPTNMRLSQVRTLALWGILKCTSFITEFRLLKVLILHFWGDEDSVSNYDLTKISELVRLRYLKVTSNVTLKLPTQMQSLRYLETLKIDGKISAVPSDIIYLPGLLHLSLPAKTNLPNGIIHMTSLRKIAYFDLSCNSEENVWSLGELTNLWDIQLSYSDIHSDNLKNNMECLGSILGKLCNLKSITLSPAGSSYDNTLQINSTTSTRISVDGWSSVSSPPALLQRFELSPCVCIFSNLPNWIGQLGNLCILKIGIREVTNNDVDVLKVLPELTVLSLYVHTKPTEEIVIGNAGFSILKYFKFRCSIAWMKFKEGAMRNLQKLKLGFDVHRAYQHDTIPVGIGHLSGLKEISAKIRVACTAGDLCRRFAESALTNAIRMHPRQPSVKIRCVDWTFDGKDGNNAGTQEEENRTLQKHHIVKEGSNETSAVDRRKKQRREGTKVRMSSMVDDGFSWRKYGQKPIEGAMHPRNYYRCASQGCRAAKHVQATDDNPLIVDVMYHGEHTCTPSGSGKSKITLVRRTPVSTGGRSSVGIAEAPAPLYVRSPLERLEEDEGNQLLQPLNSKSAPEKHRAAALHQARAELNFGGSRGGRRQ >OB11G27350.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15473159:15477527:1 gene:OB11G27350 transcript:OB11G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFLKSVMGRLFMALEKEYSKHRALAQESESLQQDLRMIAAAMDDQLSVMRRSDARSAVARLHTEQMLNLAHDIEDCIDRFMHRLTCKQTRASAGAAASLVSRMAHELKKVKSRSSFGDEIQKLKKRLNEAHQRVVAINPSSVVVAGGQPAAAGSSSPLPARITENPVGIVGPVEELLSLMDEVEGEPEQMRVISVVGFGGLGKTTLARAVYDHPRAEEKFRHRAWVSAAGSPEIGEGMRKILRDVLQQVRPSNAMDVDGQHLEASLKEYLKDKRYLIVIDDIGMDQWSIISSAFQNNGTSSRIILTTTIQSVANRCSHGNGYVYQVNTLGEEDSKKLALAGFRSPESEEGSASLLGKCDGLPLALVSVSDYLKSSSEATGELCTKLCRKLGSHLKDPDGHYSFSELRKVLLDNYDSLSGYALSCLLYLGIFPSNRPVKKKVLIRRWLAEGYARSDCLRSEEDIADENFSKLIDRNIIQPINTRNNSEVKTCRTHGIMHEFLLNKSLAQSFIATSSHDHPTLSINTKARHLSVHAGELTECMASDEELSRVRSLTIFGDAGDAISYFRKCKMIRVLDLQECNDFSDDHLKHICKLWHLKYLSFGGNINELPRSIEGLHCLETLDLRRTSIKFLPSEAVMLPHLAHLFGKFMLQKDDLKNVNKMSKLQKFFSSKKSNLQTLSGFVTSERKGFLQLIGDMKRLRKVKIWCKHVAGSSNYITDLSQAIQEFTKVPIDRDNDRSLSLDSEECRENFLSSLDLEPCSEGFKYHLRSLKLNGKLLRLPPFVTSLSGLIELCISSATLTQHHLSPLINLNRLLYLKLIADKLENFEIKCGAFLSLRRLCFMVKSVTSALPKIERGAVRNLISLQLLCQGLVGLSGIEIRYLKHLKEVTIDSGVTPQTKQDWEQAAKNHPNRPRVLLFRKVDLMESKEPGISCAIREKRKYRSDDELDSSLEKMRLSEHIHHKRQKIGEGTSRSSTGLYGNVGTDRTQVDISDEEIRRYCQTEVDQKCAEMLQYKDKHSGAMDVDLR >OB11G27360.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15478897:15486178:-1 gene:OB11G27360 transcript:OB11G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIGISRTAIEALVNKFNSAIKEEEDQWQAMRRDLVFITDEFEMMQSFLSSADGELVKTSVVRTWVRQVRDLSYDFEDCIEFILHLDTDNSKRSWWLRLLPSWSWQLLMCSKEGATRPVDEAVTQIQQLMARVKDVSERKIRYRFIGDVPGSLTQQQMVLSGSAIGAPGFDILAEARDTAARRTGAVDLIKLITEKSGDDHLLRVISLWATGDDLGTVSIIRNMYDDPRIKDSFRCRAWVKVTHPINPHELVRSLVVQFYANYSCQEPLGRDALSWLLLKYKRQRDALSWTETSAGDLVKEFLRQVETHRYLIILEDLSSVVQWDAIRPYLRGSNNRSRVVVSTRNHEIASLCTGKPYRVSELQRISLNQSICVFFNSGIVPAKGSTTIPTQESIDRRCLGKNSLVGRDLEGEKLFKLIKDRPHTEKPHVVSVWGIPGSGRTALVSDVYDRCCYNKLFDRQATVSIPQPYNLMGFCRCLLLSGLASSVQPQNPIRQCCEVLHADRCLVVIDEVQSKEDWDSIKDAGFISAKCKSCFVVITTEESVATHCAGADDLVCSIRCLPSKAAFDLFLQSFFIILEKYLKVSRILVQIFSISSSNINGPSKQVMVSVNFSPDQPQAYQEHNRNSFEEQEFQSNKDSFEEQAFRYIFGGVEQEKQAFQNDGNSFEGQSVRNNGNSFEEHAYQEHNRNSFEEQEFQSNKDSFEEQAFRYIFGGVEQEKQAFQNDGNSFEGQSVRNNGNSFEEHAFRNMPKSFEEEAVQNNGNSIEEQIFENKGKSIEDQAFQNKGSSTEEKELQNSENLLEEQALLNNRILLEEPVFQNNRKLFVGQAFPNNGNSIEQTVVGWVFEMNKQEEHEFENDGSSLEEYSFRNSRSSSREHVFQDQDGVNLFEELAVFPERSLLGELEFQNNNSLFVEEETFQNIKDSMPQRDDPDVKAILSRSGGLPQVIVALARYLAEQNMSNMDSREREWQRQRLTANFMQELQTSQEFYCLRGLFAWMRAYFCSSPPSLMRSMLYLLIFPQGKTFRRRRLVRRWIAEGYAEGSESNSLEEMGKLFHRLASQSVIQETPADAACYEVNGFFHEYMISRPMEDGILSPLEVSVLDGYCCRLATKGVGQHLAVWSSWDRNNTVFDSLDFFRLRSLTVFGRWEPFFVSDKMRVLRVLDLEDASNVINADVDNIGRMLLRLKFLSLRGCKDITCLPDSFGGLRHLQTLDIRGTSIVTLSPSITKLHKLQYVRAGTPVPITNASAVDIQRPEEGASPSPPATPVSRSFRLPESWRRCFQCLAADGSRNNGGVVVPGGIGKMVTLHTLGVIDVSVRWRPVLEALKKLTQLRKLGVSGINRRNCRELCSAISGLAHLESVSVQLDQENSQGCLDAISKFPENLQSLKLYGYARADADHELPEWISRLRKLSKLNLQTAMLPSDGGRIRFGSGFDSLMVLQIACHQRLRAVTFQSGAMPRLECLKLRCCNVSSLLLSGLKELASLQEVWLTGSYKQEFKENLQSQINEHHSKIKPVLKEEDES >OB11G27370.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15498200:15498655:1 gene:OB11G27370 transcript:OB11G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHIAHLFGKLMLHKDDLKNVNKTSKLRKFFSSNKSNLQTLAGFITDESREFLQLMGHMRKLRKVKIWCNHVASSSNYIANLSQAIQKFAMVPIDGDTDRSLSLDSKECCENILSSVNLKPCYEGLEICSEVTKAKWKT >OB11G27380.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15515672:15518383:1 gene:OB11G27380 transcript:OB11G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAPPPPPGHIPIDVVAVLDVSGSMNFPAAPAKKNQASRLDVLKTAMKFVIRKLHSEDRLSIVAFNDKPVKEYSTDGFLEISGQGRSTAGNKVDKLQAGGGTDILSGLVEANKILDARANKSRIGFIVLLTDGNSSTPIHGAVSNYPVHTFGLCTEHEPEPLFKIAKQSGGTYSFVDDDNLDKITSALALCAGGLNSVVAVGTHLVLKASNGVKIVKIISGGYANSFQETTGKITIGALYAGEVKNFIVHLRVPVDAESAAGAGNGLCCNQRELLVASLESQSIEVASDVLIVERPAAATAVLPKLPSSIVVNHIFRFKVVKMVETFIEKEILSFTSPVGDLRAKLLVKWEELVQVHQFWVGLELEGVHGEIHAVANTLQTTTQGLTGGAVRPSSVAAYIFSWLSSYQMQRPTAMGSAGKVVDTFVTLQMHLTLQASVTFLSGDTGSSPSPAADIKCEYSCVEKMPPAKHLFVASGHGDNSFHFNPDFQGIVSLDDINEFMSKIYQGMVIANNLKQCNSSRAVA >OB11G27390.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15526057:15529262:1 gene:OB11G27390 transcript:OB11G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLKVSTTPIFPAISRDKMNKDFQVLLNIEAPPAANSHVPIDVVVVLDVSGSMNDPVVSSPAPESSHASRLDVLKAAMRFVVRTLDEGDRLSIVAFNDGLVKEYSTGLLDISGDGRSIAGRKVEQLEARGGTALMPALEKAIRILDERAGNSRSRLGFILLLTDGDDTSGFRWSRDAIHGALSRYPVHTFGLGSAHDPEPLLHIAQESRGTYSFVEDENLDKIAGSLAVCVGGLKTVAAVDTRVTLKAVELSGARIERIDSGGFESRVACGGASGEVVIGVLYAGEVKSFVVHLNVPASSSSSAECGYCDGDTATVCDFLHHCHHFRQQHLLDVGCKYAHAPGAAAVSIDGDGVFVQRPEVGAVAVDGNRPVVLPSPVVLQHLVRFELLELVAGVAESEMLVKATKQPHGNSRAGDVLQSKWEEFRRGRQFWGGVELDGVAKEVDAMVSSLGRGLGYVGSWVSSQQMQRATAMGSPDKVVAEFLPPAMVTTLEKAQKLPPPAAISGCAGAGAGDDDLYEVIGQRLELWSKVRREAPLMYKPSSSSSEEEELMTALFREASLEAIDRAMHRDIYLAVVHASNQRRLYDGRNDRAPGR >OB11G27400.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15533396:15535555:-1 gene:OB11G27400 transcript:OB11G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGMPLALICLSSALAGRIEELGDDEAKKWRALRHIEDGILDIPSLKPLAENLCLGYEHLPLHLRTLLLYCSAYNWSDTHTHRIERGRLVWRWVAEGFVSEEKEAEGYFEELINRGWIKQHGCYYGRSIKRDGDSNSYIYYEIHPVMLAFLRCNSKEYNFVTCLGLGSDNTSSTSASSPRLIRRLALQQGYPVDFLSSSMSMDVSYTRSLVVLGEVTGLRFDSFKRLRVLDLEDNEDMEDSHLQGICEQLSLRLRYLGLKGTRISKLPREIRKLKHLEILYVGSTQISELPQEIEELKHLRVLDVRATKISALPRQIEELQERLQTLDAAYTSITELPPQIGKLQNLKTLSLRNTQVRELPKEIGELKNLQTLNLRNTQVRELPPEIGELKHLQTLDVRKTRVRELPWQCGRMSQSFRVLAGDSDEHVQLPIGVSEALVNNGEVQCKDIVLSIAILDRFGPPLDEGIFKVPGTHMAIPDFIKHYFRVLSCLDIRLCHKLEDHDQEFLAQMPCLRTLVLRFEALPREHITIKDTGFHTLERFRVDSRVPRITFQRGAMPSLIHLEFKFYVGLPSDDPVGIHHLLSLETVVFRCSEWYSSDAPGIKATIDVVKKEAKEHRNRISLRIINGSDEKISSIEKHTSSENIGSSSATSGVLDTHQDNNDLLPIRMTEEKGVHGGGTCSTCGRSSTIQDETIQDRVTAIDLFGPELNNYGNASHN >OB11G27410.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15534634:15538179:-1 gene:OB11G27410 transcript:OB11G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTVSAATGALAPVLVKLADLLKTEDRNLLGGSRRDAEFIISELDAVKCSIHPSWDFDAACKADLLTEVRELSYDVDDAVDDLYLEPRGDEITNPFDELKTRVEHLSKWKLPVTSRPPSSVHRREPGLLPASTELVGMDERKEELIKLLEQGVDRGSSDASRWRKGKPHFPLRISRRRMAPPFHIRIVIKVAMDSNKSRSKAMRLIASMRGVLSVTIVGDDRDQLVVFADDLDIIKLVTAIRKKVGYAELVQISENLEVVEETKTMPAAVNSTCEFDKVNTVCILGFAGGGKTTVAKELYDALGTKFQCRIFVSVSPIPSSPK >OB11G27420.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15541319:15544993:1 gene:OB11G27420 transcript:OB11G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKSLLGKLGNLLAQEYALISGVRGDIQYINDELASMQAFLRDLSTVPEGHSHDHRMKDWMKQIRDIAYDVEDCIDDFAHRLPQDSISAAKCSFLLLQTLLNSRYGVNNPEHGDSSNSTRTHVAAYDIAEYQVTSPQIIGTKEPVGMTDVIDQLEGWLTSPQAEKGRAVLSIVGFGGVGKTTIATALYSKVSGKFQCRASVSVSQNYDQDTVLRSILNQVSNQEQGSSITFSDKKTPTSGTKSTLKTALSLLGRYCICQPGNNGSPDKTQMTPETMDYQLLLQELKKRLNEKSYILLIDDIWSAKTWESIIAFLPENNKASKIIVTSRFQAVGSTCSASGTDRLHTVGYLTDNASKKLFKTSLFESRIRKDSKKVDEQLPEEIWKICGGLPLAIVTMAGLVACNPSKPDCDWRKLSKSLFPETVTSLTLEGVKRILDCCYNDLTADLKTCLLYLSIFPKGCKISRKRLTRRWIAEGFASEKQGLIEDGVAETYFNQLTIRNLIRPVEHGSNGKVKTFQVHDMVLEYIMSKSIEENFITVVGGHWQMTAPSNKVRRLSMQTSGSKQGSSTKGLNLAQVRSLTVFGNLNHVPFHSFNYGIIQILDLEGWKGLNERHMTEICQMLVLKYLSIRRTEVAKIPSKIQKLEYLETLDIRETYVEELPKSVGQLKRISSILGGNKKTQKGLRFPQEKSKKQVINPSSQGNTKEPAKKGFLSQEKGKGAMNALRVLTGIEIVEEPSAVAAGLHQLTGLKKLAIYKLNISKDSDTFRELRSSIEYLGSCGLQTLAINDENSDFINSLDELSAPPRYLVALELSGKLKKLPQWIKRITTLNKLTISVTVLRTETFKTLHTLHSLFSLTFALSAVKQDQDIIKDIIEDNKLESDGEIVVPGGGFKSLKLLRFFAPFVPKLSFSDKNAMPALEIIEMQFKDFEGLFGIEILENLREVHLKVGNGAEEITKFLVNDLKNNTEKPKVFVDGIVTA >OB11G27430.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15547479:15547857:-1 gene:OB11G27430 transcript:OB11G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3NAA7] MEVGAKVPKKAGACGRRGGRPKKKPVSRSFKAGLQFPVSRIGRYLKQGRYSKRIGTGAPVYLATCTLVLELAGKWRNNKKNRIIPRHVLLAICNDEELGITI >OB11G27440.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15560674:15562156:-1 gene:OB11G27440 transcript:OB11G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTAQEMSNIACGGRSRRATILGIGTAVPAHVYEQKSFPDYYFEITNSNHLVDLKAKFASICEKTSTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAARNAISDWGKPASGITHVVFATTSTGCLPSADCVLIKLLGLPPTTKRVMLYQAGCFGGTTALRVSKDIAENNPGARVLVVTSEVMSLVLRGPSESHIGNLVGQAVFGDAAGAVVVGCCPAADERPMFELVRASQDVIPGTEDAVVVKVRQEGVVITMHRDVPLHVSNSVGAIVKSALQEIMPAPAPEMKSDDMFWLLHAGGRGIVDGVEKRLALREEKLAATREVMRQYGNTRSSTVFLAMEEMRRRSDEQGMATAGEGLEWGMLIAFGPGLTLETMLLRALPIVNHANM >OB11G27450.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15563660:15563902:1 gene:OB11G27450 transcript:OB11G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSLSCTSIVPERGPFRLDNPLKLGTSESSVIDLACLMNRLTSIARKGSCSALGPSSIEALFAGGWQ >OB11G27460.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15569958:15574805:-1 gene:OB11G27460 transcript:OB11G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESENGGDMPPAETTDDDSSAPSMEEAEKQATPTEMELVKASDGNAQEIGSSSLPEGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIHRFQPSLADKTPSKKGRPKSESPRSGTENPATLVLEAIYLKSLSLQKLGKSTEAAHQCKSVLDSVESMFQNGPPDIEQKLQETINKSVELLPEAWKQAGSHQEALASYRRALLSQWNLDDECCTRIQKRFAAFLLYSSVEGSPPSSSSLAEGSFVPKNNVEEAILLLMVVLKKWYQGKTHWDPSVMEHLTYALSLCDQPSVLAKNIEEVLPGIYPRTERWYTLALCYYAIGQKEDALNFLRKSLNKHENPNDIMALLLAAKICSEERHLASEGVEYARRAITHAESSDVHVKSVALHFLGSCLAKKSKTVSSDHQRLLLQTETLKSLAESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEFIDATGGSVSKGWRLLALVLSAQQRYPEAEVATDAALDETAKWDQGLLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSSKNDAEGQDDSVSEFEIWQGLANLYSSLSIWRDAEICLQKARALKSYSAATMHAEGYMLEAREQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGPKYLPAARCFLSDALRIEPTNRMAWLHLGKVHRNDGRITDAADCFQAAVMLEESDPVESFRSLS >OB11G27470.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15575862:15583057:1 gene:OB11G27470 transcript:OB11G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06480) TAIR;Acc:AT3G06480] MALLAALLLTRGCRRCSRPLRDGVLEGRHWEASKEDLLEMVATEAAADSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAAPPLPMGPPPVTSTPMPTSASGAFSQPSIQLNQVGQVSQNERPGQGLYPQASHLGQQQLQQPTQQPPFQPTAQHQAPFQHSQQAPYQQQQQVSQQPPAHQYPSTHPQHMPYQHGHYMQSQQQQQQQLQQGPQYSYQVGQQPQMPPTAYNQGQRPPISQAAYNQSQQSTQVAAAYNQSHQPPVSQTSYNQSQQPTQAAGAYNHGQQPPMPQAPYNQVQQPQMPHTAYNQGQQPQGTRIPQGPVQPQQSPGFHQPAQVSQVLQASQSQGQMPSQQGQLQHGFHFTPPQGKQPHHGHVGPQSQVSLGQQSSTLKVNEAGVAGSLDGKQIGFSVPLNQQHGQGPISKQQLPSNHQLPGSHNQPNIPGVGGLSYPAKHHLGGSSPGETNNMNFLSSPAQTHQGGMDISYRQQPASGHAVPNHIGPSPVRPPIGFNMGSSEDHFERNELHSSGRMDGTNNLQQQPKLAALPHLNRLDMRNGPPYPQPDNLGVFNMGPPHSMPNLHNHAPFPEVSMRPPSRLFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREIHEAGFLNPTPVQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIIVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELHEMAARGAAGAPRNQAGGMSRWDGPVGGNNRFEPAVGVPGNYGGIMDDPGSFGGRDGPGGFGSQDGPGGFISREGPGGFGGREGPGGFGGRKGPGGFEGHEGAAPSGFGGRGGRGPGGGFGGRGGANPGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSHSPSRSRSRSYDQGSGSARRPRPRSGFDVLPPATGAGPAITGTGPVTAPAPGSAAPVPAQAPAQSLADTSAMSPMSPGGLAQDGAPFIGGNDGNLVAAQGERHFQGADVAIPLNFAAAEAFPAPAVQQEAPDV >OB11G27480.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15588292:15588459:1 gene:OB11G27480 transcript:OB11G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFFFSEKCMARAYVFVFLSFNPIRTVDVTLPFNLIRTVGVTFTVYRPYHTRNA >OB11G27490.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15590996:15597224:1 gene:OB11G27490 transcript:OB11G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGMIAWYTHIALAAALALHAVLTGTATAAVGLVSSRRLAGYCPSKCGEVEIPYPFGIGEGCARPGLDNFTVDCDHSFSPPRPYFSNIEIIDISLEAGEMRIYTLVVYDCYNSSNTTDNSQGFDSLNVTGLPFLLAQTRNEFTAVGCGAAALLWGRDDGSYLTGCISTCMSLDEAANDGDPCTGLGCCQVPSIPPNLSTIAMTWATGNLGDNYAWREAPCRYAFVAEKGWYHFSRRDFSPAGSESFANRAGERSVPTVLEWAIRSDGLCSRSTRQAPACVSAKSYCVNTTNGEGYLCKCSAGYDGNPYVTGGGGCTNINECQLRRMDPAKYEKVYPCYSGSRCHDTEGDYKCKCRFLHRGDGKLDDGCRPIFPGYAVAIVVTVIAGVVVAFLTLYVMRERKRRKQKELYDKNGGNILKKMINDITMFTEEELKEMTRYCGNRELGKGYFGVVYKGITKNKEEVAVKRYTKKGGGHNKQDYADEIINQARIQHANLVRLVGCCLQTDVPTLVLEFVPGGSLYDLLHGNGRHRHLPLPTRVDIAVGSAEALAYMHSSIDHKSTVHGDIKPANILLGINLEPKVSDFGSAKLTSVAKSGVWAVSGDNSYTDPAYYKTGDFTEKSDVYSFGVVLLELITRKKAYSKSEEKKSLALRFYKYYTDEDARRNMYDHNMLSSADAAPLPCYMECLDRMASLAKRCIDPDDDERPTMAEALEELKQLRATLHVNCDDGPTTPTHGVEIN >OB11G27500.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15607245:15609313:1 gene:OB11G27500 transcript:OB11G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIKECELRRADPTKYQKLYPCHRRSTCHDTDGSYYCKCRFPFRGDGKIDGKGCHSIIPAPIVATLATVCSIISLLVPVFLHNRRKRRQHYINNGGQFLKGMEIVEFKEKILDKITENKKTILRVGYFGKVYKGTHDHQPVAVKYSKAKRKARMLSKVMMRNKSQNVLQNAFCWPKVPSQDSSQEPGQEIVDELRVQSQLHHENVVRLIGCCIEIEEPTLVLEFLSKGSLEKMLVEFVNQYKDSNAWRKMYDQDLSVDCTECLDSMAAITVRCLEVPNVDKRPTMAEGASKHSYVLAIYIYALVCPTGSGSLVECCCLQL >OB11G27510.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15610420:15612356:1 gene:OB11G27510 transcript:OB11G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVLWQLLVAALPAVAMFSPVVAAAAAPSTLLQRRPGCPSKCGEVEIPYPFGIGDGCAWPGFTVDCNQSFSLPRPYYGNIEIIHISLDAGEMRIYASSRGLRLVQLIQHHRLFSDVAAQRHRVTVPGRSEEKRVHGHWLRNGGMARGQGRRSYLTRCITTCIWKRRQVYINNGGQLLKGMEIVQFKENILEKITENRKTWIGEGFFGEVYKGTHDNQPVAVKYSKAKRKARMLSKVMMRNKSQNVLQNAFYWSRVPSQDSSQEPGQEIVDDLRVQSQLHHENVVRLIGCCIETEEPTLVLEFLPKGSLEKMLHGSERQPLSLLQRLNIAIGSVEALSYMHNYPPKASSMEMSSQPTSFLMKTLS >OB11G27520.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15621012:15623730:1 gene:OB11G27520 transcript:OB11G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVLWLLLVAALPAVAIFSPVVAAAAAAPTTQQLRRPGCPSKCGDVDIPYPFGIGDGCAWPGFTVDCNQSFSPPRPYYSNIEIIDISLDTGEMLIYTPVVYDCYNSSNTTDSSLTLQLNITGSSFLVAPKRNEFTAIGCDTVAWLGGRDDGSYSTGCITTCVSLDGAADDGEPCTGLGCCQVPSIPPNLTTIVLDWSTSPINRAWRFNPCSYGFVAVKGWYHFSRQDFRRAGSNIFVNRSGESSVPTVLDWAIRNNGSCSPLTRVSPACVSANSYCTNTTNGEGYLCNCTMGYAGNPYVAGEGGCTNINECELRRADPTKYKKLYPCSSYSRCHDTVGGYDCKCRFPFIRGDGKIDGKGCRSIFPAPVVAVVATFLAVIFLLGLVLLYNILKRRQHYVNNGGQFLKGMEIIEFKEKILNKITENKKTILGEGSFGKVYKGIHDHQLVAVKYCKAKRKMRMLSKVMMRSKSQNMLKKGFFWPSQDSSKEPSQEIVDELRVQSQLRHENVVRLIGCCIETEEPTLVLEYLPKGSLEKLLHGSERQTLSLLQRLDIAIGSAEALSYMHSYPSHGIIHGDVKPANILLDENLIPKVSDFGSSEVTLKHKHACADMNYIDPVSMQTGKTTMKSDVYSFGLVLLELITRKRARYGETSLLVEFVNQYKDSNAWRKMYDQDLSVDCTECLDSMAAITVRCLEVPNVDKRPTMAEVVKELKQLRDQATTRMS >OB11G27530.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15625404:15625718:1 gene:OB11G27530 transcript:OB11G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRCASTLALVVYDCYNSTNTTDSSLTLQLDVTRSPFLVAQNRNKFTAIGCETVAWLGGRDDGSYLIGCITTCVSLGGAAQDGEPCTGLGCCQVSSIPPNLTQ >OB11G27540.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15632958:15633938:1 gene:OB11G27540 transcript:OB11G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHRRRKQKELYDKNGGNILNMILDIEFFTEEELKEMTANYSVDRKLGNGCFGEVYMGITKDNKEVAVKRYTRKGGGHDKQDFADEISSQARIQHVNLVRLVGCCLQTEVPMLVLEFVPGGSLHDVLHGNGRHTHLPLPTRLDITVGSAEALAYMHSNIGHKSIVHGDIKSGNILLSNNLEPKVSDFGSSKLTSVAKSGDWSVMGDYSYIDPAYIKTGDFTEKSDVYSFGVVLLELITRKTALYEDRKSLPLSFAKYYRDEGARRNMYDHDMLSSTDAALLPCYIECLDRMANLAIRCLKEDVDERPTMADALELKVLRASLRVNS >OB11G27550.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15638358:15641959:1 gene:OB11G27550 transcript:OB11G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKRGWYTPHSPSLRSFLTATRSKGSLHPSQPYPNRAWNRACVLHDWSASTENRAWRFNPRSYGFVAVKGWYRFNRQDFRRAGSNIFVNRSEESSVPTVLDWAIRNSGSCSPLTRVAPACVNVNSYCTNTTNGEGYLCNCTGGYAGNPYVAGEGRCTTTVCTMISLLALVLLHKRWKRRQFFINNGGQLLKGMEIIEFKEKNLDKITEKKIFKIGEGAFGEVYKGTHDNQPVAVNYSKVKRKTRMLSKVMMRNKSQNMLQNTCCWSSQEPGQEIVNELRVQSQLRHENVVRLIGCCIETEEPTLVLEFLPKGSLKKMLHGSERQALSLLQRLDITIGSTEALSYMHSYPPQGIIHGDVKPANILLNENLSPKVLDFGSSELMLKHKRVCADKNYIDPVCM >OB11G27560.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15643441:15647440:1 gene:OB11G27560 transcript:OB11G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQSRKMAFPSPMLCIYSVLLFIASSTVAAAPGSTKISNGSDVDLAALLAFKDRLSDPESILAGNWTAGTPFCQWLGVSCSRRRQRITALELPAIPLQGELTPHLGNLSFLSVLNLTNTGLTGHIPDDIGRLRHLKVLRLGHNALSGAIPTAIGNLTGLQILHLHFNHLSGPIPVELQRLHNLNSMNLETNYLTGFIQNDLFNNTPLLTFLSIGNNSLSGPIPGCVGSLRMLRFLDLQVNNLTGPVPPVIFNTSTLRVLDLVKNSLTGPIPGNTSFSLPALRWFSIDCNNFTGEIPMGLADCPHLQVLSLAENLFEGVFPPWLGKLTNLDDISLGGNYLDAGPIPTALSNLTKLSVLDLATCNLTGTIPEDIKHLGQLSELHLSFNQLTGPIPAFLGNMSALAILALSFNLFEGSVPATIAMNSLLTFDIAKNNLQGDLKFLSSLSKCRKLSTLQIDWNYFTGSLPDYIGNLSSQLTWFSLSANKLTGTLPANLSNLTGVEVLDISDNQLHNAIPESIMMMENLQWLVLSGNSLSGSIPSNTAVLRNAVNLFLDSNKFSGSIPKDIGNLTKLENLLLHDNHLTSNVPPSLFHLGSLINLDISRNFLSGALPVDIGYLKQINIMDLSSNRFSGRMPDSIGQLQMLTYLNLSVNEFHDSIPDSFGNLTGLQSLDISHNNISGTIPKYLTNFTSLASLNLSFNNLHGQIPEGGVFSNITLQSLVGNSGLCGVVRLGFSQCPKTSPKRNSHRLKYLLPVIIIVMGAVTSCLYLMLRQKVKHQNISASNLDVIGHQLLSYNDLVRATDDFSDDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEQAFRSFDTECHVLRMTRHRNLIKVVNTCSNLDLRALVLQYMPKGSLEALLHSEERMQLGLLERLHIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEDMMAHVADFGIARLLLGDDSSIISASMPGTIGYMAPEYGILGKASRKSDIFSYGIMLLEVFTRKRPTDDMFVGELNIRKWVHQGFPAKLVHVVDSQLLQDSSSSTNSIDDSLVPVFELGLLCSADSPEERMAMSDVVVTLKKIRKDYVKSTTNNNGVHY >OB11G27570.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15655187:15655555:-1 gene:OB11G27570 transcript:OB11G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHRAKELSLSSLLLSPAPAQSLPPPPPPLLPSQRSSQIVSSMEQGGSASNASWSIPGSVGAAHWTSLASRPAGVNSANWASSANTNFGAASSDFVHWSVVVFLTNCYSCIVKHDFILVC >OB11G27580.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15658793:15661135:1 gene:OB11G27580 transcript:OB11G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLDLATCNLTGPKPADIGHLGQLSELHLSMNQLRGPIPASVGNLSSLAYLLLMGNLLDGSVPTTVGNMNSLRGLNIAENHLQGNLNFLSALSNCRNLSFLRIDSNYFTGNLPDYVGNLSSTLLSFVVAGNKLGGEIPSTISNLTDLMVLALSDNQFHGTIPESIMEMPNLRWLDLSGNSLAGSMPSNIAMLTKAEKLFLQRNKLSGSIPKDIGNLTKLEHLVLWDNQLSSNVPPSLFHLAHLIQLDLSQNFLSGALPVDIGNMKQINKIDLSTNRFTGSIPDSIGQLQMITYLNLSINSFDDSIPHSFGDLTSLQTLDLSHNNISGTIPKYLANLTILISLNMSFNNLHGQVPKGGIFSNITLQSLVGNSGLCGFARLGLPLCQTTSPKRNGHMLKYLLPAITIVVGAFAFCLYAVLRMKLKKHQKIPAGMVDLISHELVSYHELVHATDNFSDNNLLGSGSFGTVFKGQLNNGLLVAIKVIHQHMEYAMRSFDNECCVLRMARHRNLIKVLNTCSNLYFRALVLQYMPKGSLEALLHSEERMQLGLLERLHIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEDMTAHVADFGIARLLLGDDSSIISASMPGTIGYMAPEYGILGKASRKSDIFSYGIMLLEVFTRKRPTDAMFVGELNIREWVHQAFPAKLVHVVDSQLLQDSSSSTNSIGDFLMPVFELGLLCSAESPEERMAMSDVVVTLKKIRKDYVKSTTNNNGVHY >OB11G27590.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15660376:15660639:-1 gene:OB11G27590 transcript:OB11G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEIIELSSPNNSLAMPKSATCAVMSSSNRTLLGFKSQCRTTSWCSWCRYSIAIDTSSIICNLSRRPNCILSSECRSASKLPFGMY >OB11G27600.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15663466:15663855:1 gene:OB11G27600 transcript:OB11G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LANDKNKKTAVLFIALMVMATVNLSSCHTTQGGYEDSDRCMYLQRCDMSKCMSACQITGNDGGECAGDLNDHCCCT >OB11G27610.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15664331:15665173:1 gene:OB11G27610 transcript:OB11G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKGNNNTLVFLAALMVMAIVFSSSPTAQGAYGIKDTCTYMQKCNPQKCTSYCQVYGNEGGNCARDSSDQCCCGIRLGHGVGPGPVRK >OB11G27620.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15666707:15667668:1 gene:OB11G27620 transcript:OB11G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLVAVVIVATAFSSCKADESTDVCFWAGLRPCQVNMCAAYCLKGGELGWKHAYCNGHGKCCCPTESTSPSEK >OB11G27630.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15667676:15671437:-1 gene:OB11G27630 transcript:OB11G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASVTSQDSSCLIDSVRYASKLLLQLAKSLHRWWRPSMAVALMSPPVTLTAVAVVLIATTTSVGSSSDTDLAALLAFKAELADPLGVLRDGWPANVSFCRWVGVSCDRRRQRVTSLALPGTPLHGPLSPHLGNLSSLAVLNLTGAGITGAIPDDLGRLRRLTYLNLGRNSLSRSIPSTIGNLTSLQFLDLSINSLSGEIPAQLFNATPELSHVNFANNTLSGSIPPAIASLGKLDFLNMQINHLSGAIPPAIFNMSGLQMLYMSKNNLTGTIPDNNISFNLPMLQVISLSLNNFTGPVPIGLASSKQARVISLSENFFTGPVPTWLAELPLLAGILFGGNEFVGTIPGVLGNLTMLSRLDLSFCKLHGEIPLQLGKLTNLTILQLSYNRLSGSFPTFLGNLTELSIMALDSNQLAGSVPASFGSNLRSLEHFDVGDNHLQGDLGFFAALSSCRELQLLSLHTNSFTGRLPDYIGNLSKNLAVFDVHGNRLTGGIPVTISNLSGLSSLILLNNQLSQEMPESVMTMETLERIDIAGNSFSGPIPAKIGMLRRLVQLYLYKNEFSGSIPEGLGNLTNLEYISLSQNNLSSGLPAGLFRLDNLVHLNLSHNSLTGSLPADLGHMKQIDKIDLSTNRLDGSIPDSFGQLTMLTYLNLSHNSFEGSVPYTLHNLISLAALDLSSNNLSGTIPNYLANLSYLTILNLSFNELHGRIPDEGVFRNITLQSLIGNDGLCGAPRLGFSPCPGNSQPTNRHLLKFVLPVVALVLVVIIICMFLLIRKNIRKQREGTTPTDGDDLVSYRLVSYNEIVHATENFNKDNLLGAGSFGKVFKGLLDDGTVVAIKVLNMQVEQAIRSFDVECQVLRMVRHRNLIRILNICSNIEFRALLLQYMPNGSLETYLHKEGHPPLGFLKRLDIMLDVSLAMEHLHYHHSEVILHCDLKPSNVLFDEEMTAHVADFGIAKLLLGDDNSTVSASMQGTIGYMAPEYAFMGKASRKSDVFSYGIMLLEVFTGKSPTDPMFVGDMSLRKWVSEAFPARLADVVDDMPQQGERLIQQGVLQKNATSLPCSTSWANQDLLVAVFELGLICCSSSPAERMEMSDVVVKLKSIRKDCSTYTKSI >OB11G27640.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15673416:15681656:-1 gene:OB11G27640 transcript:OB11G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MVDLILPSIPFPWHLAPFFPAKFLPLPSALHSCWSKAPSKNEKKKTRVYASWTSTPRPPPPPAMSCGLSSMPPSAPKRRRPEKAAVDAATEELSRIAAAADPRFPIALLAVAAGEGDQGTKIAAATYLKNYTRRNIDWGLSSPELYKEFRDRLAQALLQVESYLLRVLIGVFRQVIEKDFVKENLWPELVPQLKQVIQSSNLITPGQHPEWNTINALTVLQSVVRPFQYFLNPKVVKEPVPPQLEQIASEILVPLQVTFHHIADKVLSSQDGTNLEYEQLLLITCKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSHPGDGATTRLKIAKRCLIILCTLVTRHRKHADDQMPHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALSLNGKDITEWEDDTDEYMRKNLPSELDEISGWAEDLFTAKKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPHGEDASSKAVQNYFGVLMAYGGLQDFLMEKKDLTNTIITNRILPLYSLDPCSPYLISAANWIIGQLVLCLPEAMGTNIYHSLMNALTMEDSDELTCYPVRASASGAIAELIENGYSPPDWLALLQVVMKRISVEDENESALLFQLLGTIIESGQEKVMPHIPEIVSNIANTIMKLLSPAPDPWPQAVERGFSALVSMAQAWESSAPDEKEDIEMRVWQSGQSAIAQTFSQVLQKAWLLTAEQMGLSVCSVLPPLSCVNDGSILLEFIMRSVTSMEETASMKVFELVAVWADIIACWDSWEEMEDQGVFNTIKEAVNFHQNFDSTGFFLKILPSQSENGLQSSVISRVSSFITRAVAAYPSATWRACSCIHTLLHSPNFSQGAEDSRMTIALSFAQAAFSRFKSVSDSPSGIWKPLLLVISSCYICYPDAIEQVLCKDDGNNYAVWASALAQISSSSFNPGLSSESEIKLAVLTLATVIERLLVLCMGGTKVLNDCCVSLMESCIHLKEVQEDGDDDDGAEDLDDDEEEEEDTDEDDEDSEDDDDVREETEEEFLQRYAAAAAGESIEIAEEGDVDDETQDIELGSLDEMDVEQVVLSMMQIHPDLLRAQTFPDGLMERMAETFPDYEQLFRVHRQA >OB11G27650.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15683818:15687770:1 gene:OB11G27650 transcript:OB11G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGFDLQILSAQVTQSTDFTELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVVVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNVEFPLPFGRVLSSTEGFIHDLDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKEAIECIMAAA >OB11G27660.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15690484:15693193:1 gene:OB11G27660 transcript:OB11G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLHLLLLVALASLFSTAASTRTLLDDTATAPQVYTKVCDAARFAGLGLNMTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARLGLPVYKWWSEALHGLSTTGPTTKFDNLQTPHLHSGAAAVYNATVFANVINSAASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPFVVGRYAVNFVRGMQDIPGHETTPAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFDFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRGDWGLHGYIVSDCDAVRVMTDNHTWLGYTGAESSAAAIKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKLRESDIDNALTNQYTTLMRLGYFDDIAQYSSLGKKDICTDEHKSLALDGARQGIVLLKNDDNLLPLDAKKVGAVNVRGPHVQAPDKVMDGDYTGPPCRYVTPRQGVRKHVRFSRHANTTIYFGGINLNIEREGNDREDLLLPKNQTAEIIRVARASPNPIILVILSGGGIDVSFAQNNSKIGAILWAGYPGGEGGNAIADVIFGKHNPGGRLPLTWFKNKYIYQLPMTSMELRPVAKHGYPGRTYKFYDGPEVLYPFGYGLSYTKFLYEMGTNGTAVTLPVAGGGHCKQLSYKPSVSSAPACPAINVNGQACTETIGFNVSVVNDGDRGGSHPVIVFTKPPAEVDDAPIKQVVAFKSVFVPAGSTVNVSFELNVCKAFGIVEKTAYTVVPSGVSTVLVENVHSSVSFPVKISFSV >OB11G27670.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15693728:15694601:-1 gene:OB11G27670 transcript:OB11G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTAVAFFIWAVGVAMQIFMAAGLLPQANAQMINCACGVCEAELDCLACCSGRP >OB11G27680.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15696459:15696722:-1 gene:OB11G27680 transcript:OB11G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDNNTILIWAIIFFMAALLPKGRLIKSIHRSLTTLPCNEFILLFQLTYESSANLYSLTVLLVFQAMQKNVHALGAHSHLIAMPAA >OB11G27690.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15702525:15703595:-1 gene:OB11G27690 transcript:OB11G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVREEMRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERRTDMSPSSSSSSLTYQSSLLDAVPIITTDGDIHDDSRCMARVLKSTQNAMDGYPMDQIWREIEAPEAPCLPGIYEGEDKIGSNLTCQLLTSTMWNYSYPEVFWKIDNEGTRMLAPQSSHDK >OB11G27700.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15706735:15708602:1 gene:OB11G27700 transcript:OB11G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTTTTSRWASTPVVCAGRLSWQALCYQMIVHPGMIIVSCRLMLKTSAGDDELAHLVMEPNLEHWRLDISGCCIYDISV >OB11G27710.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15710438:15711355:-1 gene:OB11G27710 transcript:OB11G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSGAAVLLLSLLVFVQSAGAIPQGMRQNVAVFWGRNKDEGSLREACDTGYYNIVIISFFSVFGHGKYWLDLSGHDLRDVGADIRHCQSKGVYMLLSIGGDGDQYSLPSSQSAADVAENLYYSVLGGDRPGAFHPFGDDTIVNGVDFFIDNGPADHYDDLANRINDYNQKIRDPIGIMLTATTRCSYPDARMKKALDTKLFRRIHVRFYDDPSCSYNHAGLAGVMAQWNKWSARYPDGQIFLGLVAANVTGKNDMVGVGELHGKLLPAVQNTDTYGGVMLWNRYYDSLTHYGRYVKNWA >OB11G27720.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15715691:15716605:-1 gene:OB11G27720 transcript:OB11G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIIAGRRCRTPPLLSLLVLVHYAGAYPLGRRQNLAVFWGRNKDEGSLKETCDTAYYNIVIISFYSVFGHGRYWGDLSGHDLRDVGNDIKHCQSKNILVLLSIGGQGDQYSLPSSQSAADVAYNLFYSVLGGRRADVYRPFGDATVNGIDFFIDNGPADHYDDLANRINKYNQKIRDPIGIMLTATTRCFYPDPRMKKALDTKLFWRIHVRFYDDPRCSYNHAGLAGVMAQWNKWSARYPDGLIFLGLAAANVTGKNDMVAVGDLYRKLLPAVQNTNSYGGVMLWNRYYDSKTNYGRYVTHWA >OB11G27730.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15717364:15717692:-1 gene:OB11G27730 transcript:OB11G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTVTPLDWQCLVGADGGDRVAGDVEAVAAPVVAEDVVEGDDDSGVAAGVAGLPERALLLVAAPVDGEAGVVGRRRRRRGEEQQPRQRQEESLHHEAHAATLPVRT >OB11G27740.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15717391:15718266:1 gene:OB11G27740 transcript:OB11G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMQALLLPLAWLLLLAAPAPATADDPGLAVYWGRHKEEGSLREACDTGRYTTVIITFYNVFGYHRGSYGLDISGHPVAAVGADIKHCQSKGVTVLLSIGGQGGDYSLPTNQSATDVADNLWNAYLGGHRAGVPRPFGGDAAGGGIDFFIDQGGADHYEDLARILNGYNKQYRGRVGVLLTATARCAYPDRRLEKALATGLFARIHVRMFGDAQCTMSPRYSWEKWAAAYPGSKVYIGLVASPEQDSAWMFQKDLYYEMLQFVRKLPNYGGMAIYDRYYDKKANYTGEG >OB11G27750.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15723596:15724306:-1 gene:OB11G27750 transcript:OB11G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVDLRSSKVLELGLLDYGVKHVLYRSSNRKFKYRDDY >OB11G27760.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15726613:15726814:-1 gene:OB11G27760 transcript:OB11G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRSSKVLELGLLDYGVKHVLYRSSNRKFKYRDDY >OB11G27770.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15730502:15731380:1 gene:OB11G27770 transcript:OB11G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPRIHALFFPLTTFLLLLAGQAAAVNTGETVVFWGRHKDEGSLREACDTGLYTSVIISFYSVFGHGRYSLDLSGHPLHAVGADIKHCQSKNIAVLLSVGGQGGEYSLPTNQSAADVANNLWNAYLGGGRKDVFRPFGDAVVDGIDLFIDQGGAEHYDELARQLFSHYKYEMLLTATTRCSYPDHRLDKALATGLFSHIHVRVFGGDDVSCATHHRETWERWAAAYSGSLVYIGVVASPEQDAKAYLPRKVLFSSVLSYIVEKPNYGGVMIWDRYYDNKTGYSAGKAA >OB11G27780.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15734025:15734912:1 gene:OB11G27780 transcript:OB11G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRRSCIPAALLPLAFFLLLASKPTAGDVGTAVVWGRHADEGTLREACDTGHYNTVIISFYSVFGHGRYSLDLSGHDLRDVGSHIKHCQRKGIVVLLSIGGQGGDYSLPSSQSASDVADNLWNSVLAGRRKGVFRPFGNAVVDGIDFFIDRGSGDHYDQLARKLDGYSKYGGGKKGVMLTATPRCEYPDRRLEKALATGLFARIHVRMFGAGEENCTAAPREAWEKWAAAYPGSQVCLGLVASSGQDAGYLSPKELYYTLVMYIRDRLNYGGRMIWDRYYDKITDYSIGRLI >OB11G27790.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15740226:15741137:1 gene:OB11G27790 transcript:OB11G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRSCLLPLALLLLVSSCLAGAATATGKTGQLTVFWGRNKNEGTLKETCDTGLYTSVVISFYSVFGHGRYWGDLSGHDLRGIGADIKHCQSKHIPVFLSIGGGGKDYSLPSSQSAADVADNIWNAHMDGRRQGVFRPFGDAAVDGIDFFIDQGAPDHYDELARKLYSYNKMYRGRTPVRLTASPRCAYPDRRVERALQTGLFERIHVRFYDDAKCSYNHGGLAGVMEQWNKWTAKYPNSHIYLGLAAANVPGKNDNVFVKQLYYDLLPNVQKARNYGGVMLWDRFYDKHSGYGKTVKYWA >OB11G27800.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15744239:15745114:-1 gene:OB11G27800 transcript:OB11G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFVAVLFILLAAPAAARKTGELAVFWGRNKDEGTLREACDTGIYTTVIISFFSVFGHGNYWTDLSGHAVAGVGADIKHCQHAKNVTVLLSVGGDGDQYSLPTPKTAEDVAGHLWDAYLGGGRKGVFRPFGDAVLDGIDLYIDHGGSANYDELAMHLSRHRGGVTVLLTATVRCMDGQETSAEAALATGLVRRLHVRFYGDRSCSYDSSERRPFYGSWLGWAERYTNASVYVGLPAARDAASDGWISPASLALDALPLVQGTANYGGVMLWNRHFDRSSHYGLTIKPMV >OB11G27810.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15746828:15747616:1 gene:OB11G27810 transcript:OB11G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQWIEYDRLNKGLDRLAVVPIVGAVSASVPEHGAAVVARRLRPRHHAVEERLGVYPAVRRLRRRQPHVHLARREPRRPGVPGLPEVGRLAVVHVVAARRVVVEPRVDALYQPRPQRRLGVRVRVPAPRRRRQHHRLAAAAVSGEAFDQHVVVRRPTVVDVEVDAVEHRVAEGAEDAPAAAAEVGVPEMVSDVLGRPGGGQRVLVRVLVTVAAGGEEDEDVLGLAVLDVGADPGDVMAGEVGPVAAVAEDAEEGDDDGGVDA >OB11G27820.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15746858:15747766:-1 gene:OB11G27820 transcript:OB11G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQVCLLTTLVAVVFLLPCLATATGKTGEIAVFWGRNKTEGSLKDACDTGVYTTVIISFFSVFGHGSYWTDLSGHDVSRVGADIKHCQSKNILVLLSAGGDGYQYSYQYSLPTARSAKDVADHLWHAYLGGGRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLVKRLAGYSGRGKPVVLTATPRCGYPDANAEAALGTGLVQRIHPRFYNDAACSDYMDDGKPANFWEAWDAWTSRFPASKVYVGLAAAQTTDGWINPESLFYGVMPRAQAASNYGGAMLWDRSGDSAYDGYYGKAIKSFV >OB11G27830.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15750031:15750324:-1 gene:OB11G27830 transcript:OB11G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKNERLFHLPLTRVEQEGRWRWPAGETEASRKSSAEEDMARGQGRRPKWVENEGGGRTAAGDRLERAPAAADRRGPARAPAWIGAGSDGGRQMGS >OB11G27840.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15750818:15751288:1 gene:OB11G27840 transcript:OB11G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDLGPLDGTIGDGIAGAMAGPEYGTAAQGSGVGPAGDGFVPTNDLTRGGSSLDRKRKRTHAVDEGEAALITNMTESVREVAAAIRATAYTEVHPELSDLMLNLPGFTEDQLELVLTYLTNNKATSLVYIQKKEERRARWVKKYIEEHHHDNMI >OB11G27850.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15752725:15753138:-1 gene:OB11G27850 transcript:OB11G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYCTSPHLEEEEDDVQLSLACGSSAATPQSRKRPRRREEEAAAYECRTCGRRFASHQALGGHRTSHLRPTKRRPRPSKPVVHACEVCGMGFGMGQALGGHMRRHRPINNVGMGLKQIIMPEIRPSCTLQLLDLFV >OB11G27860.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15758246:15758779:-1 gene:OB11G27860 transcript:OB11G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATSSTSTRSSPVKLMLLLTLSPASKVISKGSGSCRRVQLGGEFQCRTCGRRFSTFQALGGHRTSHKRPRVRADGLELLLGARPGKAGGGGRASSPVVHRCDMCGKVFSTGQALGGHMRRHRPLVAAGSRSAVSTTWMGMGTGTTAAATMSGSSSEERDDDDDDDAHDYNLIHFL >OB11G27870.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15758700:15766719:1 gene:OB11G27870 transcript:OB11G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRRKAAAEKKAAMAAAAAAGGAPGAGGGDWWDGFCMRMSGTLSCIEDAQRFESIFKMPRRTFNYICSLVRDEMMVRSSSYTFLDGKVLSLEDRVAVALIRLNSGGSLVTVGSAVGVNHSTVSLITWRFVEAMEERASHHLRWPDSSEMEKIKSMFEKIQGLPNCCGVVDTTHITMCLSSAEPNCKVWLDHEKNYSMVLQAVISPDMRFMDIVTGWPGSMKESSILHSSGLFKMCEKGARLNGSKQALSDGSEIGEYIIGDAGYPLLPWLLTPYQENNLSDAKVEFNKRHAAAIAVAPRTLVKFKDTWKFLHGEMWRPDKHKLPRIIHVCCMLHNIIIGLQGSAMVEADMSNNHDANYKQQVCQLADENAVMVRDKLSEHLVSS >OB11G27880.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15770939:15772729:1 gene:OB11G27880 transcript:OB11G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALALAVAAASISGAVAWTTTFTMHNLCPYPIESSSKFLYTKSGRVLNSKHKATNGSISRSPVFIGLVAVMCVAVVLLLHHCVLVAFCDRRRRRRRRRRRGTSAQQQQHVLQGEEEEYDEEEEEEADSVDMMSLSSQAKLVQVVVCPYRKAEEWREAMCPVCLSDFADGEAVRVLPECMHYFHVECIGTWLRANTSCPLCRAETTPTPSPGELQHHHLSLSLSVSLEEILVRT >OB11G27890.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15773434:15773961:1 gene:OB11G27890 transcript:OB11G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTGNSQDYPYRNNNNDDQSDYGTTLAIVFAVVFLVLFIKLVHCIISQSAAGAPVNGGTAPSDRLRADAGLRRLEGGGARGVPVGLPRRREGGGAPMVCQPPWCTFTYRKDDGWQEAACTVCLAEFADGEAVRLLPVCMHYFHAACIDEWLRTRATATCPLCRAAPAAAEATV >OB11G27900.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15774056:15776543:-1 gene:OB11G27900 transcript:OB11G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMREFEMQNNRMEMVTEVMTDAIDDALEGDEEEEETEELVNQVLDEIGVNVNSELVGAPSAAVAAPVGAGKIAAQAEAAGGVDGGGIDDDLQARLDNLRKM >OB11G27910.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15780199:15781083:-1 gene:OB11G27910 transcript:OB11G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVLPTSGPGKASDPYVSEKKLAREELARDGELACMRQIKGEIVMGWHRVAA >OB11G27920.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15780896:15781120:1 gene:OB11G27920 transcript:OB11G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPSQGLSYLQSVVLNLLCFMQDFSMHVDCCLDLPNQYTFFSLTYGSLAFPGPLVGKTYAAILLHFASRLLAA >OB11G27930.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15783399:15784490:1 gene:OB11G27930 transcript:OB11G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRLGVGLAVVSALLLLALTAELYYLFVYKRRLRRRAAAISDAASSPSSSSRELLQLFCFKKPPALASTYAQEPHAGEAMVAVAVDDDDESVEAQLMRLGSLVGPPRLLFTIKEETKEDLESEDGRSRCGRSRSLGELLHSSETPFMTPAASPSPLPPMDKSFTPLFEATAAVSPTAVTVSPPPKFQFLKDAEEKLYRRALAEEVMRARRSPQTRSPAAGEEDGGYITIMVGKNNKIIPLPSPPSNGACEVKVNHSNFVGVGGV >OB11G27940.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15790181:15794556:1 gene:OB11G27940 transcript:OB11G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIAGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >OB11G27950.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15795893:15800374:-1 gene:OB11G27950 transcript:OB11G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYLQSMVAEMAREEMRMLLGVSGEITRLGVRLGDLKKFLADADRRNISDRSVQGWVSELRDAMYDAVDILDLCHLRAMERGKAAAGSCCNPLLFCLRDPLFAHDVGGSIRALNRRLDHIKTRSAHFSFVNLVSYTKASSSKSRPGPAPNRETTGEPVRSGVVGDKIREDTRELVEMLIEKPASSEITVVAIVGGGGIGKGGIGKTTLAREIYNHDTVRDKFDKRIWLSVNQDWDKLELLRNAITLAGGDHRGEKAMAVLWPILTAALDGKRFLLVMDDVWSQRAWEDVLETPLSNAAAPGGGSYSRIIITTRDERVARAMKALQPYHHVHKLGPHDAWSLLKNQVVSNEKDEADIDMLQDVGMEIIAKCDGLPLAVKVMGGLLCQKERSRKDWENVLNDSAWSIVGMPEELNYAVYLSYEDLSPCLKQCFLHYSLLPKNIVFGYDIIVGMWVSEGFVHGSPSDELEESGRQYYKELIARNLIEPDKEFIDQYHCTMHDVVRSFAQRLLGDEALVAHTGEVGIISQLNSEKFRRLCIESRGSESGELQWSMLQEQTSLRTLIAIGQLKVNPGDSFISFSSLRTLHIQSANVSALVDTLYQLKHLRYLSIRYSDISRLPENIGKMMFLQLISLRGCENVKELPDSIVKLGQLRYLSLTGTSVDAGIPRGFCGLSNLRKLYGFPAHMRGDWCSLEELGPLSQLRDLAIKNLENVSSASFATMAMLGYKKHLTYLTLGCRSRLDDDGLVIEERRASEEEKRRIEEVFDELCPPSCVETLDIGGYFGQRLPRWMTSSAALRFLRFLTMDDLAMCAQLPDGLCQLPCLQLLQVDRAPAIKKVGHDFLQPCRRLRAAQTTDAAFPRLQRLELIGMAEWEEWEWEERADVQAMPVLELLLLNRCKLRCLPPGLAFHARALKKLHLYEVQHLSSLESLPSIVELDVFHNPNLERITDLCRLQKLTIVKCPKMQLLHGVPAIQRLCLEDYSMETLPDYLESVSPRHLLLDCSLALLASIATGESCSELGKLSHIQHVNAHAREGNNPRKWYALYTREPNRFETNIVNCSSIPTGNHSI >OB11G27960.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15802484:15803200:-1 gene:OB11G27960 transcript:OB11G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDDAPYARWWRWRGEHRAVRAPCCHVEGRGSTACSDGSSEEKLRWINRRRRVRRSGWRVIRGWRWRQVLLLQPVGLQSHTCHPWDSQCCGDATMMRPDAVQGVGRTDGPWRVAKTTCSVMTWKGRVTINGNGEIFSLLKVAVTNT >OB11G27970.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15802696:15803055:1 gene:OB11G27970 transcript:OB11G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLPFHVITEHVVCGALCTSSSMNSFGLQCAVLDVVEPVLVGELTAPTLVPLQHAKVHRFCQPPAPHQDASWWRRHNTANPMDGTCATAARRVAAGGLVSIAIPGLLAIHSAALSDAG >OB11G27980.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15804077:15805104:1 gene:OB11G27980 transcript:OB11G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEFDILALDGSNFPTWAMDVKTNVPKGNKQTRKSSGKGKNKRSKKQHGTDKKGKCISKQKNDNSNKTTCFRYGCYNHIAKKCRTPRHLVELYMKSMGPSDNNKKYEANFTSQVLETGAMDPIPHGAGPSNTKTPPNEDDGSMDIDDMLIEYASQDIYGD >OB11G27990.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15813635:15816439:1 gene:OB11G27990 transcript:OB11G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAAVGSPRKTRSMAAGKQREEAPAKKEAGAPAPEQKKGRKRAKKDEAEVAENNSDAVARDGKRIVVEACTQCRQFKIRATKVKEDLESAVPGVSVVINPEKPRRGCLEIREQGGEVFISLLNMPRPFTAMKKLDMDEVIKDIANKIC >OB11G28000.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15815908:15820099:-1 gene:OB11G28000 transcript:OB11G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGELLKQATQDELNLLFGVPGEIASLEDRLHSLRDYLADTERRRITDESVQGWVRELKDAMYDATDILDLCHLKAMQRGGPVGCLDSLLFCLRNPLFAHDIGSRIKALNARLDAISKSASAFSFLKLEAYEDMAVSRRSSAADPRKTDPVLERSAVVGEKIEEDTRALVERLTNGKNAIIMMVAVVGTGGIGKTTLAKKVFNDEAIQEAFDKKIWLSVTQDVNEVELLRTAIKSAGGGNAGDINKTLLVPALVDTIRNKRFFLVLDDVWSDRAWNGLLKAPFSHGAAGSRVLLTTRHDTVARGMQAVHPFHHVDKLSTEDSWSLLKKQVASSEMEALEIDDTLKDIGMEIIHKCEGLPLAVKVMGGLLCTRKKQRADWEQVLQDFVRSVPPGELNDALYLSYQDLHPCLKQCFLHYSLLPKSVEWSNDTVIGMWVSEGFLHGDTDDLEKLGEACYKELIDRNLIEPDTDYAGEWFSSMHDVVRSFAQHLARDEALVVSSTGEIGKIALKSQKFLRLSVETNDSQPYDEFGWKHIQGQKSLRTLIVIGRLKISRGDSLINFSGLRILHIEDDNCPVFLVESLHQLKHLRYIFIKCRDIARLLRNISKLKLLQYLEIVSENSVKLPDSIVKLGQLRHLYLPGITINGMPRRFSELTNLRHLYRFPSQADSDWCSLQELGPLAQLRELSLINLENVPATSLATKARLVEKSHLSFLGLYCSSRLDDDGLVVDEGVPKEEERLIEEVLDELTPPLCLESISITGYFGERLPRWMMSRAAGAYDRLSIVMMNDLAYCTQLPDGLCRLPSLLYFSVSGAPAIKRVGPEFLIIQPSSSQRHHANAFPRLKDMKLLRMVEWEEWEWDQQLNIVQTMPALEKLMLKKCKLKCIPSGLSSQATALRIMDLVEIKQLNSVESFASLVELNLINNPDLERVLSLPRLRRLVIINCPKMRALEEVPELQRLVLEDCDMEELPGYLLKDASPRHLVLYCSLKLLTSIAAKESASGWSKLSHVQQVSAYTDGKQWHVLYTRDPYIFETNISNSSSSSN >OB11G28010.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15822693:15827585:-1 gene:OB11G28010 transcript:OB11G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLNQVADDELTLLLGVSGEIANLEDRLRSLNDYLADAERRRITDQSVQGWVRKLKDAMYDATDILDLCHLKAMQRGGPVADVGCLDSLLFCLRNPLFAHDIGSRIKALNARLDAICKSASAFSFLKLEAYEDMAAPRPSRAADPRKTDPVLERSAVVGEKIEEDTRALVKRLTNGKKQSADKAMIEVVPGGGPGGGGTLAKKVFNDEGIQEAFDKKIWLSVTQDVNEVELLRTAIKSAGGTGGGTRDSNKSLLVPALVDAIRDKRFLLVLDDVWSDRGWNGLLKAPFSHGAAGSRVLLTTRHETVARGMQATHPFHHVDALCPEDAWSLLKKQVVLGQMEELEIDDTLKDLGMEIADKCGGLPLAIKVIGGLLCKKEKQRADWEKVLRDSIWSEPQMPDDLNYAIYLSYQDLHPCLKQCFLHYSLLPKNVEFFIDTVVSMWISEGFLHGETDDLEQLGEECYKELIYRNLIEPDTDYAGDWVSSMHDVVRSFAQHLARDEALVVSSTNERGKDALKSHKFLRLSIETNYLQPDDEFGWKLIQGQRSLRTLILVGELKINHGDSLVSLSSLRTLHIENTNCTASLVESLHQLKHLRYISLKCGDITRLPENIGKMRFLQYLGLVCENLERLPNSIVKLGQLSLQELGALAQLQVLGLSNLENVPAISFAAKARLGDKSHLSYLILQCTSILGEDGLVEDEGVSEEEHQRIEEVLDELTPPLCLENIELIGYFGDRLPRWMMSGAASAYERLSIVIMEDLACCTQLPDGLCSLPSLQYFQVNRAPAIKRVGSEFMMMQPSLSQRRHAHAFPRLKTMNLRDMVEWEEWEWEQMNNVHAMPSLEELLLENCKLRRLPPGLSLQAKALTSMYLYNMQQLNSIDSFASLVKLELYDNTNLERVASLPRLQKLEIVRCPKIRALEELPELNRLELQDLDMQEFPGYLLQGVCPRNLVLDCNLELLTVIAMGESGPEWGKLSHVQHVKAYADQGDNERKWHVLYMRDPYSFETNIGNGSSSNSRAMEDDEE >OB11G28020.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15828211:15831476:1 gene:OB11G28020 transcript:OB11G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA [Source:Projected from Arabidopsis thaliana (AT1G07615) TAIR;Acc:AT1G07615] MWRRQHALLRRISPLNPLAAWPASGIECYYATAAPEGRKAKMAPLQARGMVDRFHLRAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGGHGVSKNQIGTRGSDKIAQVPVGTVIHLVQGEQPSLAVNKPTRALDPWDIPDAVEHSPFSSSHIGSKMMKGLDSSQSNQQISSKKSSDANERERGNRNHRGKETYSITEFVHTEDFDGISCTQQVETDENDQSDHEDEEFWEDDEFWEDEDKLDKEEVAEEKREEEEVRYSVAEMTKPGQQLIIARGGEGGLGNACILKEMWLSKSHRQEEMASLSTGQPGTETYLILELKSIADVGLVGMPNAGKSTLLTALSRARPEIADYAFTTLRPNVGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLIVELEHYQEGLTKRPSLIVANKIDEEGADAMYEELRKRVQGVPMFPVCAILQEGVPDLRVGLRDLMDASDPEGIELSKIMLD >OB11G28030.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15837100:15839971:-1 gene:OB11G28030 transcript:OB11G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVIVLAAQKIGVALGKEAINQAASYFETYVTQLTDLQGRMARIRTELRVMHEYLSGIDVRSHNKKTYEVWVEEVRMQVHRIEDIVDDYLHLIGHKHDTGWCSSLKKRFKRPNILLSLNRIVSSIKEAETNLDHLFKTKDRWVSVPNEGDTSGENSSYIVERSRHLADISRSLHEDDLVGVDGNINLLHRWITTDDMRRKVIMLHGGGMGGLGKTALAANVYNKEKANFECYAWVSISQAYTMMDVLKRLNTELYSREAKNSSVSDNIDDLGHRLEGFLNDRKYLIVFDDVWEPATVDELLRALVPNDRGSRVLMTTRIHGVAHHALSDMRIEIQPLSPDDSLMLFQKTAFPREDNTIPAELTTLVDELVGKCKGIPLAIVSVGRLLRDNTEAEFRRIHNQLDWELNNNRNTQDVRNILYLSYIYLPTYLKNCFLYCSLFPENYHFKRKKLIRWWIAEGFVEKRGGRTMEKVAEDYLKELVYWKMLQLVRSNSFDRIKIIKMHGLVRELAVDMCQKECFGVVYNDKAMIHGESPGEKDERRIVIHRINWDVDPDNLGAHVTQKISGAHHIRSLILEEDNRTPQARTLVPVVVKCRYVSVLELSGLSIDNVPNAIGDLFNLRHLGLRGSKVKVLPSSIERLSNLLTLDLTGSELQELPRGIVKLTKLRHLFAEKVSDKYGRDLRCRTGVRIPNGIGMEKLRELQTLQALELRNEGSLRHLKEMRQMRSLRITGVRGSYCEALCVSLSQMKMLSNLDIIASDSEEFLQLSNNLNPLPADLEKLSLRGRLAQPHMLLGATAATTTGGQNHLCSLHLSWSRLEDDPLLSLSRWSTLTRLSFTRAYVGQQLVFLQGWFTNLKKLILRDMPNLDRLEIQQGTMTRIQKIVLQNLSGMVLVPRGIEYVQPTLKSLLFLDITPVFFAELRNC >OB11G28040.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15848949:15849358:1 gene:OB11G28040 transcript:OB11G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCTCGKKYPDLEEKSSSSQSSVVLGVAPEKKAQFEAAAESGETAHGCGCGSNCKCNPCNC >OB11G28050.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15850551:15851120:1 gene:OB11G28050 transcript:OB11G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKCGASQAVSATLQRCRDSTLEVDSKLVPLHQHCHSLLRPVRRRICNFRSLPQKHHFLNYNELSQNILHMRRSFIKFWWNADGCRTGTKYNCLLLTRVIGGDHAGALEVTIIVVPAIGLVEGIHHKCSMGLHIVRIKARTTALYRFTVNIATCIVDVVLQISIARTSLSNTPLPSTRWGRFWTRVG >OB11G28060.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15850153:15854805:-1 gene:OB11G28060 transcript:OB11G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLFLLLLASPAAQAVLPSMAVGVNYGANADNLPPPKDVATFLAAHTTIDRVKLFDANPAFISAFANTGISLAVSLPNSALPSLADKTTGLDAARSWVRANLSPFVPATNVSLLLAGNEILLSPDPNLVVSLLPAMRRLAQALTLEGLTGVRVTTPHYLGILAPSDGIPSNARFRPAYDTKLFPPMLHYNNQTLNYALFRPNRGVYDPNTKLNYTSMFDAQMDAIYTAMKRLGYGDVPIAVGEAGWPTQADPGQLGVGVDEARDFNEGMLRVVSSGKGTPLMPNRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSDEATFKLRASLISEAVATSNFIHSGAPNPAPNPSPNPSPKPAPSGGGQWCVAKAGASDTDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKGTGVVTSNDPSK >OB11G28070.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15859778:15864809:-1 gene:OB11G28070 transcript:OB11G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPVNRRGNGSRGRSSPRTGHGGGKEQGGVSLFVSNLPLRCRPEDVRVPFQKFGPIRDVYLPRHYRSGEPRGFAFVEFAESSDASKARYHMDRKMLSGREIGVAFAADTRKRPEEMRRRTGAICNSPQRKEEHRTKSPGQPKRHDEKPRSYTPDYNDRRYAGISRDETPPASDGERSWALGRSPRPPPSGWSCCHSYSRSRSPCLHFHARSRSGSPAPVRRAHHSTSPQRKDEHQTKSPGQAKEHDEKCRSDTPDYNDCLAADNGHDVTPPAPDSERCWALGRSPRPSPPGRSRCHSYSRSRSPELRDHARSRSCSPAQGRQYHWSASPEREEKHQTKSSGQAKEHDQNRRSYTPQYNDRRDADIGYDETPPAPDSERCWALDRTPRPSPPGRSHCHSYSCSRSPKLRGRS >OB11G28080.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15865319:15869288:-1 gene:OB11G28080 transcript:OB11G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:J3NAH2] MKPSPANLDVRVERPPPPLRPPSTLDTHPGRLRLKARPYYRRWTPWLVAAITFTCVLVFLISMYVNDCPRRNSADCAAGFLGRFAFQPLRENPLLGPSSATLLKMGALDVTKVVHGHQGWRLITCMWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIQSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGLLPRVDNFAHIGGLVSGFLLGFVLFIRPQFAWLNQRRVVPGQQPAPVKRKHKTYQYILWLAAAIMLIVGFTVAIVMLFRGYNANDHCSWCHYLSCVPTKRWKCNSSPTYCTVMQEANTLNLTCEGTNIHRSYIIADATQDKINQLCNQLCS >OB11G28090.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15874784:15876829:1 gene:OB11G28090 transcript:OB11G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPPPPQLEDLEAFSPSLFLDLPPTPHDDDDPNNDDLLLPFISRMLMEEDIDDKFFYQYPDHPALLDAQRPYAQILETSSSSSSGDATTSASGSATNNSSGDSGANAAAWPYDPIELSQLLQSPPHPDTLALPDHHHDAIATTKSTPEEDTDLKQLFAGVHDMEMLKMAFLKGREEASKFLPTNNPLLAAGFEVKEEPFPLVDGTTFNSGSGRGRKNRHGVEDDLEAETGRSSKLMLPEQEDTAAAREMVDEIMLSGYEVFIKGMNELRIAMDSEAEKKTRNVGRRAARAKEAVVDLHTLLIHCAQAVATSDRRSATELLKQIKEHSSVRGDATQRLASCFAEGLEARLAGTGSQVYKSLVAKRTSTVDFLKAYKLFTAACSIKKANVIFSNKTISDAMVGRRKLHIVDYCLHYGLQWPGLFRSMGEWEGGPPEVRMTGIDLPQPGFRPAEQIEETGRRLSNCARQFGVPFKFRGIAAKWETVRREDLGVDPEEVLVVNCLHGLNNLQDESVVVEKASPRDVVLRNIRDMRPDVVIQCVVNGAYGAPFFLTRFREALFFYSAQFDMLDATIPRDNDERLLIERDILGRSALNVIACEGADRVDRPETYKQWQVRNHRAGLTQLPLKPQVVQLVRDKVKTLYHKDFVVDVDNHWLLHGWKGRILYAMSTWVGCSASLISL >OB11G28100.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15883408:15885409:1 gene:OB11G28100 transcript:OB11G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPRSLLPLLLPPPPARRPPPPMPRPAGPSTFPTAQVNSVDQAATSYGLLGAGSDMLNMAFLKGMEEANRFLPTTNGSQRLLMLGQGELVTHGGRKDRDDSEVGAGRAAKLMAPEPELEEEGASEMFDEMMLQQHEICMKGVKQLSVSTGSETGKKAKPRTKSSSKEGGGEKRPRGRRSTIHTETVDLHNLLLQCAQAVTTDDRRSAHELLRQIKQHSSPWGDAAQRLAHCFAQGLEARLAGTGSQVYQSLMSRRTSVVDFLKAYRLYMEACCCKKVAFVFSNKTIYDAVAGRSRLHIVDYGLSYGFQWPGLLRELAARTGGPPEVRITGIDLPQPGFHATQHIDETGRRLAKYADELGVPFKFQGIAATKKESVRLEDLETEADEVLVVISLCQFRNVMDESVSPAEERRMSPRDEVLSNIRRMRPDVFIHGIMNGGYGATYFLTRFREALFYYAAQFDLLEATVARPSHERMLVERDIFGRAAVNVIACEGAERVERPETYKQWQARNQRAGLTQLPLNPKVVTLVLDKIRDRYHKDFVVDQDHRWLLHRWKGRVLYALSTWVALT >OB11G28110.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15885707:15887338:1 gene:OB11G28110 transcript:OB11G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSPSHSEEQRHLLQLRRILMEEEEDHAYGDHPALLHVQQPFAQILSSSSTSTSTSLLPEDNNGVVSANLLVNTDDYNPDMFRAAFFKGMDDAGKFLPTPPKEKAARGHGVEDELDVCRAPTKVAGGEADTNEMLDQMMLHGFAIPMEEKASMNKCNKNKEAEAVDLHTLLLHCAKAVVDERRSAAELLEQIRQHASPTGDAVQRLGHCFAKGLEARLSGTGIHAYRSLAATRTSTADFLKAYQLFMSTCCFRKVAFTFANKAIFNAAAGRSRLHIVDYGLHHGFQWPELLQWLGEREGGPPVVRITHIDLPQSGFRPAKHMEEMGTRLSRCAHQFGVPFEFRLIVAPQWQSVCVDDINMEPDEVLTVNDLFNFRTLMDESVVIDSKSPRDIVLSNIAKMRPDVFVHGTVNGSHGITFLSRFREALFYHSAMFDMLDATMPRESQLRLVLEQDILGWVALNAIACEGEDRVERGETYKQWQVRNQRAGLRQLPLNRETLVMVTNMVKKHYHKDFVIEEDQQWLLQGWKGRILFAHSTWVAK >OB11G28120.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15887728:15889415:1 gene:OB11G28120 transcript:OB11G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEDFFWEALLKENEAPSPPPVFFEAPTPLTNRDGEDPSLLDNQLLSYISRMLMEDETGSAAAAKLQRVDRGSTEDLLPGTEVVRAFLKGMEEASKFLPRNNGFGSEETVQGHGMGRRKKNHDRDEQQQQLEEEVGSSSKLAALTIAAAEATGAREMLDELMLHGHETCIKDMEKLRVDMDKEAEKKSSSSKVVDLRMLLIDSQQCAGQVLKKIRQHSSATGDAMQRVAHCFAKGLEARLDGSGRQLYQSRWRMSLVEYLKVYKLYMSACSFKKVALLFAAMTIMHAVQGKQKLHIVDYGDCGLHWPDLFRRLGSREGGPPEVRITIVDIPQPGFRPAQRIEASGRCLSSCAKELGVPFRFQAVAAAKWETVGADDLHIDPDEVLVVNDLLSFSVLMDESVFSEGPSPRDVALRNIGRMRADVVFIQGIANANHGASFLSRFRGALLYYSALFDMLDATVPRGSELRLALEQNILGPYALNAIACEGADLVERPEKYRQWQARNHRAGMQQLPLRPEMLAAISDEVKRYHHKDFLLCEDGQWLLQGWMGRVLFAHSAWVP >OB11G28130.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15889842:15895721:-1 gene:OB11G28130 transcript:OB11G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPAFFRSPPPLPHLQPPRGFHVDLAARHKALLEEDVALKRFKSYKSKAKQVLRARNALTSVVLCVPFGVPYQNNRRIPTMRVYCTADEEVEEVSDLGVNVALSMLKFYKTCSLAQPLCEQEKYRPYYPQAAVMCQLAVVMDMIPQGGLARKSCPRNDDLMSD >OB11G28140.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15896591:15897565:-1 gene:OB11G28140 transcript:OB11G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLILVNAIITLVVSIAVTRGAAGGGNGIQLIMVNNCGESVWPGLLGTAGHPTPQSGGFHLGPGEEAAFEVAAGWSGRVWPRXXXXXXXXXXXXXXXXXXXXXXXXVQPGGGDGAGGGRRGVRDGGVRGGRERVLPVGAGGEGQGREGGGVQERVQGDGRRPVLLHGGVRVAVDVPAEHVLPPVQGHLPARLQLRLRRRHQPQPLPRQPLPHHLLPPATFLISFLYIYISSTTRSRSHIYVYVCMYLLDPRSDLDLLCVQPKTRSIELHACGWPHSLYVLPPYNTVDF >OB11G28150.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15898958:15900865:-1 gene:OB11G28150 transcript:OB11G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWWFATPLSLAFAWWFMHAALLQEPFDSTPPPPEQQQRRQLAVRTPDDDDEEEVMEYYKAKQRSYAPQRDYCFCLQQQQQQLQHGVSTARLKAVRYIIYAMGRLGLDASTAFNAVNYLDRFLSINCHLRWEEWMVEVVSVACLSLACKLDEVTIPSLHDLQMEEAMGHSFRPSTVGDMELTLLKALRWRLACVTPFSFLLPAAATAAAAASHILLRSLLDPSFLRFDASLLAASALVCTIHPQPHHLSAHVNRLIHPLRQTDHEVKECFNMMRELNLDLSNNPGRCTDQLWSPISVVPFQTDGTVNRSAVSRRLFGGLNPEVGDNTEEDKDSGLCTFVQEMK >OB11G28160.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15904075:15908023:-1 gene:OB11G28160 transcript:OB11G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVGAPASTPTTFLGKKLKKQVTSAMNYHGKSSNVNRFRVMAKELDEGKQTDQDKWKGLAYDISDDQQDITRGKGLVDSLFQAPTGDGTHEAVLSSYEYLSQGLRTYDFDNTMGGFYIAPAFMDKLVVHISKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQFTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDNVPEDDIVKVVDTFPGQSIDFFGALRARVYDDEVRKWVSDTGVENIGKRLVNSREGPPEFEQPKMTIQKLLEYGQMLVREQENVKRVQLADKYLSEAALGDANQDAMKTGSFYGQGAQQAGNLPVPEGCTDPGAKNFDPTARSDDGSCLYTF >OB11G28170.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15908396:15909923:-1 gene:OB11G28170 transcript:OB11G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLALGWQQLANGLVVKKVRVGAYGHGRSRLVKRRIMAMASKELDEEKQTDGDRWKGLAYDISDDQQDITRGKGLADSLFQAPSGVGTHEAVLTSYEYLSQGLRQYNLDNTMDGLYIAPAFMDKLVVHISKNFMKLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVAKGIFRTDKVPDDAIVKLVDTFPGQSIDFFGALRARVYDDEVRRWITEVGVENVGKRLVNSREGPPEFEQPRMTLEKLMEYGHMLVREQENVKRVQLADKYLSEAALGDANDDAIKSGSFYGKAAQQTPVPVPAGCTDQRAANYDPTARSDDGSCVYN >OB11G28180.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15918743:15919781:-1 gene:OB11G28180 transcript:OB11G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTAAAMEQRGGEHWGSMWETASRRSIRPSALYSGGGSEPSWEEQAFARDAAANLGGCVWPPRFYTCSFCQREFRSAQALGGHMNVHRRDRARLRHFCQREFRSAQALGGHMNVHRRDRARLRQRSSSPGHEEEEEEGEDHQQQGRYYTSFSKPSSTTNNSTSNEDIGDDVLVGVTTTRASRSSATIIRETIKKRVIVPVSATADDEEDDSKEELGKRSKRRRRRLDLLPSCEGLMDHHHQVANNKVLITSAAAAASPASSNSASALVDHQNQQAAVGVLDLELRLGTTPKTVARA >OB11G28190.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15927697:15931040:-1 gene:OB11G28190 transcript:OB11G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALESAWEGLTSSFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMVFSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRNAKVIEVKDGKHL >OB11G28200.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15940877:15943967:1 gene:OB11G28200 transcript:OB11G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHGSKVDISFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKNAAADAAPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSLYVGKDHVGDVPLSKKIAAGFTTGAIAISIANPTDLVKVRLQAEGKLAPGVPRRYAGAMDAYAKIVRQEGFAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVLTHLLSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKLFVRKPGS >OB11G28210.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15945088:15946343:-1 gene:OB11G28210 transcript:OB11G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEESTGSGCVCALHPGVAVTGFCPACLRDRLAGLHPPSADLRRCKSFSNYARSSNSYLDHPHRRSCDARQPPPILLPEPEDTIARPMKEHISLQQESRNGALGALGKRWQEWRRKTKLKKQNHHTGPTTTTRPLPPDSSSLARRSCDAFSSRTMLGFDEPRASCDHRPLFVPRSDDQIPVEEEEDEDAYEAVPGGTTQTRDYYLDSSSSSRRRRSVDRKSFSSDTEFYQHQQQVSNQYHHRQPSLEPPFLGREEAAKQSKHKSKGIKGWSIWGLLHKKNSSSSSFGGEAARATAPELRARGYDGQMLRCNSSVSARNSFSGSGLGRIRRADEVLLERNFSARYSSSSSCRMSQLHNNSNSIANIYRGGGGRPPRSSATSRTSLGLYYY >OB11G28220.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15952742:15955827:1 gene:OB11G28220 transcript:OB11G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3NAI6] MAVLPPSRRRLTLLLMAGCFLLQALSAHAITRHYKFNVVMRNMTRLCSTKPVLTVNGKFPGPTLYAREGDNVLVKVVNHVANNVTIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTITGQRGTLLWHAHINWLRATIHGAIVILPKLGVPYPFPAPHKEDVIVLGEWWKEDTENVINQAMQLGVGPNISDSHTINGHPGPLSECASSQDGFKLKVENGKTYMLRIINAALNDDLFFKVAGHELTVVEVDAVYTKPFTTDTLLITPGQTTSVLLRANQSAGRYLLSVSPFMDSPLQVDNKTGTATLHYANTVSSSMAAPLILIKLPPQNATSIVSKFADRLHSLNSKEYPANVPQTIDHSLLLTVGVGVNPCPSCINGTRVVGTINNVTFIMPSTPILQAYYYNIPGVFTQDFPATPLHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGRGVGNYNPKTSPSTFNLIDPIERNTVGVPTGGWTAIRFRADNPGVWFMHCHFEVHTSWGLKMVFVVDNGKRPSETLIPPPKDLPHC >OB11G28230.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15955741:15957061:-1 gene:OB11G28230 transcript:OB11G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSVKLTYLHTNAYLVADLNNSVNGGVPRTSDVLLAHYQVWPVASCQLDRMGGGGSITQAGLVPRPKINVRIKIKGQVCPKRQRCQGCLDFLNWVTVEQV >OB11G28240.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15964974:15967490:1 gene:OB11G28240 transcript:OB11G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11210) TAIR;Acc:AT3G11210] MIGFAPAPGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDKVFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIAKHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNGMQKRDDWATACFTDGLHLSEEGSKIVVEEILRVLKEAEWDPCLHWKAMPTEFGEDSPYDLVSSSGQSTINPSDWTFHRRIQWD >OB11G28250.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15970529:15971486:1 gene:OB11G28250 transcript:OB11G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDGSGSGVVALYGGGKVVVEPSSKPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRSQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSAGLGEAMVGINLSDENVERYAARSH >OB11G28260.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15970839:15971501:-1 gene:OB11G28260 transcript:OB11G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELVGAGGVALHVFVGEVDADHGLPEPGADVGEDLRIAVVGDGLNDGTGAPRGVAALEDAGADEDTVAAQLHHEGGIGGGGHPAGRELHDGEAAQLLGLHDEVVGRGDALGVGEDLVVVHVAERADVAHDGAHVADGLDDVAGAGLALGADHGGALADAAECLGEGAAAPDKRRGSCSRRRRPRGSGPRRSGRCGPWP >OB11G28270.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15975225:15976634:-1 gene:OB11G28270 transcript:OB11G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRPCLSLIRCLSSYGDGDLTTLLLVLRSPPTSSTSLQRTLTSAFPSPSNSFPLQKLPYLLPLLPSPLLSLQFLLWRLPPSLPLPSSYTLSSLAASLPDLPSSMPLLLSSSPQPLPLRHYALLLSISAHAGLFPTSLAILRHMHSFSLTPDVSCFLSALRSASSPSDVCTILSIMSVSSVSPSVPLVVTSVHKLANAGDFVGARRLIKKMPEFGCVANVTVYTALLDGMCNFGDVDAALGLMEEMEGGSLGTGCAPTVVSYTCLVKCLCGNRRMVEALGVLERMTGRGVMPNRVFVRTLVSGFCSEARVMDAYAVVERVVSDGSMSSEQCYNVLLVCLWKVGMEGEAEGVAQRMMKKGVQLSPLAGTVMIRELCNRNRLLDACYWIGVMEKNGVICDTDVYTGLLLRLCAEGHVGETLVLTRKVAERGILIEAYCADRLMELLKQYGDEDLASRISRLRRCSEVLSH >OB11G28280.1 pep chromosome:Oryza_brachyantha.v1.4b:11:15992212:16000274:1 gene:OB11G28280 transcript:OB11G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEEQENIEGEMLLVRAELDDIQGQIKALLDRQEELSERESQLKALREVSKASRDAINNAPSVAPKDWSGSFLWDSRADDIRFNVFGISSYRPNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGILAYMLASITTKEVEKFVYKALDKGEGELKILYVTPEKISRSKRFMFKLEKCHNAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILNVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLLYKVCEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELCERGILADYYHADMDVIARENVHMRWSKSKLQVIVGTVAFGMGINKSDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPSDVPRQSSMVFYENCGLHNLYDRVRYCQSKRSCRGAFFRHFGEVAQDCNGMCDNCASSIELKDIDATRMMILLQLGNIYYFI >OB12G10010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:61:5911:1 gene:OB12G10010 transcript:OB12G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQKGDVQYFIGVQLDGTERVRDDAAKEGTMLIKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDTASWRAIQKVLESGESIDLKHFRPVKPLGSGDTGSVHLVELLNTGKYFAMKAMDKSIMLNRNKVHRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDRQPLKVLHEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQMFLPEDADEKKRRKGRGYPIFFAEPMRASNSFVGTEEYIAPEIITGASHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASITVSLAGRQLIYRLLHRDPTNRLGSYEGANEIKGHPFFRAINWPLIRATPPPKLEAPLFWDDSMSMEEKKGLATDNHTDMF >OB12G10020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6162:6413:1 gene:OB12G10020 transcript:OB12G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPTVAKRLLGVSPSRVRYLSILTSPPTRAVLYDHHGPPEQVVRVAAAEIGDRDVCVRMLAPPLTRPPSPRGSHAGYEGVH >OB12G10030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8168:12887:1 gene:OB12G10030 transcript:OB12G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSDYPYPCPRGLPPQAVYPLTAALSSPDPDRFVHPIRRFVFGPQKMGISSHHGRYGVDRRTDGENNDGATKDHFGDGKENVRTTKDSEEHQKTIEYTEMATSSDEDASDSEEEAGMMSTDSEDDSCCNPPKIQTACILEDSSHRDGSIYKGNNWWKRTYRISDLDETPLEAMMLSSPKYCVMNGEECLTHGPCPMLHFVSLKLAEIADTGGPVELYGYLAARDLLDPLRNYIFHTSREDPIIVEKGSLIEISGPKRGIEILSDVLIEFDMRIKTGVGEDDLQLIDGVLVIGCETQTDVTLRRHIYGDCGAVEIKFSHLHHAVEATVQVAILEVQSHFNLSIDCYVGPLSDEMQLFCGHIAKPCGLRKFVLAAMMRNLLRLKLKVSQEEGGSYVEHFCSFEPNDHGHSCQQLNTKFASFMVKVTWSTLDFNNLENYM >OB12G10040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15956:16222:-1 gene:OB12G10040 transcript:OB12G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFHHDTGSPFMVNPYLYFSYNNQTLNYAFFRPNHTAMKYLGYGDVPMSPGNPPGPRMPTPASSASASMRPGTSTRGCYVLSAAPLR >OB12G10050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:21044:21676:-1 gene:OB12G10050 transcript:OB12G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELDTPTHLAKPRASKLPLLSRPNGRRGQRWGAKLPSGASHGEVVSSSSSSPAPAPSVLLFCASLAVVLVRPRRPTPLRRLRRAVVPIGVMCMLSFWFSNSAYIYLSVSFIQMLKALMPVAVYSLVVAFRTDSFRPA >OB12G10060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:22845:28555:1 gene:OB12G10060 transcript:OB12G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSLQHTYDLRVTGKVEYNGVNLNTFVPDKTSAYISKYDLHIPEMTVRETLDFSARFQGVGTRAKIMKEVIKREKEAGITPDPDIDTYMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKNCTMNFFESCGFKCPQRKGAAGFLQEVQNDFFSIKNIVDHFCEKFRASQDAQNLATEISKPHDTSEGRKNDLSFNVYSLSKWDLLKACFAREILLMRRNAFIYITKSVQVFLTTLYPIPRPHWSIIIVLMCCIILPNDGGCFQHLFSVPILPPDVAMLRRKPLLMDADVDGMFGELCTATKGNAKISTTEFISSRTPFFPPRPVQAANALRCISHTQHNHHEGRTDLVDQHYINRVLHYHQIAKASAMYVLLLYEETMQGQFHTEDLLCPPFSSERDDSASIADAQCGWRQLLTVSSTEERCARTESRERCFFWELVKIIGAEEFPSDFKHVAIVASARQQCTTRSQGLNSLHF >OB12G10070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:32664:33729:-1 gene:OB12G10070 transcript:OB12G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDRDSKVTARESGGGPMWRWRIRRSPRGPAGCGRERRAWHPRRGDDRSVVRRAWAWAPVGDNIDYLQRVRLQSTSNASTANQLTPESQLHRIQPLTLKGKFSKTEYKPPYSTSNTEKRE >OB12G10080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:35057:36339:1 gene:OB12G10080 transcript:OB12G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRQMKLASSSSNNTGPQTATPTIIDVDAPEPPPPNDCELGDLGDEWFGVDDDLPPPPDDGGEFPHKPWKTARRACPRTAPGRAWPVATANYASFSFDHALKLEEKLQAQEREADAMREELEEKARSELAAAVQEYLRSDEHSPELAAHVLEGYERGMEDMRGVALRCYAAGSALADQPRAAARSTPAAALQTDHRAEGARRRPRKLWQPHAPTPRRPRRTAGPHRRCRSTQARHPHRGRSATSPCATEAAGARRGRNSSSSTPASSKVKPLRPALGERRHRGL >OB12G10090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:37464:39722:-1 gene:OB12G10090 transcript:OB12G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRASLEDQEEYDMMINLRSKSEKQGYDYYNKYAKGKGFSIRKDYIRRHCLSKEVFHRRYTCSRQGYRKDMYMDPNKRSRQPRAFTRCGCDAQLEIKLDKERGDWYVLEFVSKHSHPMCKPDEVAFLRSLRRLTEAQKANVMGLKDVGLQQHQVMDVMERDHGGYEATGFTSRDLYNFFVRQRKKRIQEGDAEHVIKLFWTDAQSRIDYDAFGEVVVFDSTYRVNKYNLPFIPFVGVNHHGSTIIFACAIVSDEKEAELDAKASQSLPFTDNDASPIEKDAACVFTPRAFKKVKMEIYKVMDWEFIDIIDEDICVRYVLSLKQTEKIIIVKCLYEEASLVSVVCPCRKMECESIPCEHIFSVIHFLNLERIPECCIVPRWTLRAKYAFPSDRYGEVYTWSEQMERYRQLHTFGSEAFFKCSMSEERTLKVMKFLQSLIHEDETSASIDMESQYGHVIGQSSRSNIGYTDHVHDPIEVIPKGAPTRRMRGFLEKRERKCGYCRVRSHTIRTCSIYLRKVAQDV >OB12G10100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:41309:41554:1 gene:OB12G10100 transcript:OB12G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIPPSFSTWQTYIVKSANAWHRVRAYVPPHYPTTVTINQLTALKMLHDFVSLTLGVAALPLSNFYVMLGTLMLMHVSLFCT >OB12G10110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:44021:44740:-1 gene:OB12G10110 transcript:OB12G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRPAALATTAGAGGGGTPARSNRGAAVREYQWRAAETNAGDQNLSSSKIESGCNDSGRGRQCGFRKTKGQITAISFAVGGGRRDEPEREMDRGWMAVWLDDMDDEDVGCYSDRSKSLLCWQQRRWKGSRLDIDLLLNTAAR >OB12G10120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:50238:52906:1 gene:OB12G10120 transcript:OB12G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGRRIINSESDHTLNAEQRNNMRRPSSSSSVTGLPPMGRPMPSSQASDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >OB12G10130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:58547:60177:-1 gene:OB12G10130 transcript:OB12G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHVWTRLHGHHFGSFEQTSPYSISKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDAITVFRRVWTRLHSRHFGSFEHTTYPPMRYTFGHIPQLAGCDNGLQLFSIRLLLRGDNTKVDDAQDGLQWQWPLHVYGLVAARDSLDPRRNLLFHRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKATDDNHQDQILNFGVVASGHACSFQSPLRCISKRSELDFSLSLLERSIEATVSVEFVDGSSWPDHLPGRIVSRTASIKHAEIVLLDSRQQQPPGRLPMDDGNVIQLSRRVVSVELIGRLIVEVMAFHSLHQVDSDNNQEQDHSQQQVLRGVFAKCHVVFVPKIASVSVKTCDLGGLCNLKISVAWSLVDRLPPPAYFAY >OB12G10140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:83789:85158:1 gene:OB12G10140 transcript:OB12G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGKEKIKNAAASASASAPTARAGVLLQRPAAGEMGTESKDEKQEKIADVPLSGSPLRPAKDKTEENAGEEETAKIKKRAGANNTNIKKQAAAVGRAPGTKNDEKIVGDDETSARGPRPGRRTRPEDALRREARKNAKEDEQMLEQILSSVRARARQQQCVQNLDFLSIGVKAGVRSFHRLMMKRGHLQRALKIFYRDSTTTNKGKTTDPPVYSVDAQ >OB12G10150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:85553:90908:-1 gene:OB12G10150 transcript:OB12G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTIVVCIAVPQRGNYSRIGHKMRPRRAGGEEERVLSHGDVGGSHWTLFVLDNTHPDSGPRFVHHDSLPPTNLLPARRLAAVLRPLLPPSARIPLIEGPTPRQTNGYDCGVFVLAVARAICHWWATRRQEGESDWFEAVKREVNADSVKAMSLQLHFLSCPSIASTSPCLQAVAAHETLVGYAKSQEGHCCEACGIQAFFPIELEDSGSTYDQKKADTTQITCQDNLTVSHSVRQPVVTVKNRLAYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCSVKRKVEMTPDGQIAEIVYNGEHNHPKPYPLKKPSLSSVETVVATNDAGLENQLEGCDQAIGSDVVIEAFSGRKKRLSRCNTCEYVDDKREGIISRSILEQ >OB12G10160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:94077:99558:1 gene:OB12G10160 transcript:OB12G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFHRMTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIMDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKPKAATVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGRVLKVSVSRTTGAPSQQASTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGTQEDSFTKDEIISAYTRSRVPQMASSVSQRRSGTILPPPADDLSYSAVVAAKHTTKNGINNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTPTTGVSSSQSLTKSKTDPQSNSFSSSLTVSSTKLPSSWNDDTSTVQKMTEDQDSLSKTLKPYKPGIAKETQVVTSPESSLDIDFSTIPSAWNDDDVPSDVVSKGGDEKQDVNENGKLICSVSSKPTESDYLASKPSTSPKKDIAVNSTRQSPPNCVSSSAVSKSEVKDGDSDYQVTNMASKTPTLVVVKDQSNQAAIDTVIEDTRSDSTDIDGLSVGVSSVTLSRKDGVQSMAENQQLDGVLSASVVVPLSQNLRLADNEDSTCQASSDKHRDWCSDLQSSVAPPLNDTGSSAVATDKSHVRVLDATDQASSSPYVHFPNTSPFSLWNCKEISHTSTSDRTSAMMQPGLLSSNDSSSTMFNGRQEALGNIYAPGKVPEHHILRNHQPGAVNVGGVDKAVSVNKDESSIISDILSLEFDPWDESYSTANNFAKMLSASEKNNALFDAPSWKTKTCSNESRFSFARQDNQGSFLESSMRNYGSEQNFSLPSQNSNGNIYQSGISFKSPEEGFLKSNSLTMLDMLATGTSKPKVSAPPGFSVPARVPPGFSSGFSSQEGLNPPPGFSSHNGPNPPPGFSSQGGSNQVYGSAYSETRPFDDILGINTSHYQPQLSRQSSDIEFIDPAILAVGKGRMPGISDSGLEMKTSPTFPAQLQTSNDPRLQLLMQQNMPSHQNLGFADHIQDAFNPMNDNYLASRLIPQNHGSLSSYAHMSLQQPRSSHLTNGHWDSWGDLRQGNNVSMPDMSRILYPTEANNFHMLGSNDLYNRAFGL >OB12G10170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:101307:102942:-1 gene:OB12G10170 transcript:OB12G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGATPWTTVVVDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVRRANYLVDVAPGTQRISTTKPIFVSTNEQPPQRVMQTAVVGKDGSLTYRIDLEDFPGNAWAVSYFAEIEDLAPNQTRKFKLVIPGKPDFSKPTVDVEENAQGKYRLYEPGYTNVPLPFVFSFGFKKTNDSSEGPILNAMEIYKYVQIAMGSQDANIMASLVSRYPQADWAQEGGDPCLPASWSWVQCSSEAAPRIFSITLSGKNITGSIPVELTKLSGLVELKLDGNSFSGQIPDFTGCRDLQYIHLENNQLTGALPPSMGELPNLKEL >OB12G10180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:105510:105704:1 gene:OB12G10180 transcript:OB12G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFSTFANTDTMVTKNKHIMGRRGYNKKTILYLDGDGTFLGLKDIQRKRYKINTIYKFGSNHY >OB12G10190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:111047:113232:1 gene:OB12G10190 transcript:OB12G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITTSSSSSNTSSASLLRRCQYRRPQFFFLSGPGPNTPTPLLVASAWTASSSSLCSKDDHRLLALLRAGHTDAAYHLLASNPSLPDSPVSASRLLAQLSYQGSSRAATLLHRLRARGALHLLDANSLSLAASAAARSNNPHLAYSLLISMLRRGLLPDRRAYTAALARLPPARALRLFDAVLHHLRRAPDDRTTSLPDTAAFNAALSACADAGDCTRFRHLFDQMPTWSAPPDALTYNVVIKMCARAGRKDLVARLLERILSSGLAPCATTFHSLVAAYVGFGDIPTAERIVQAMRERRTDICLLFRAVAAEADHQIISAEQQQMQSSVLDDIVAPEEEETAVPLLPKAYPPNSRVYTTLMKGYMNAGRVEDVVTMMRAMQREGETAPASRPDHVTYTTVISTLVAAGDIERARAVLEEMERAGVAASRVTYNVLMKGYCQQLQVGRARELLAVDMAEAGIEPDVVTYNTLIDGCVLTDDSAGAVALFNEMRGRGIAPSAVSYTTLMKAFAASGQPKVAHKVFEEMEKDPRVAVDRAAWNMLVEAYCREGQVEAAKKVVERMRARGVQADVATYGSLAKGIAVARRPGEALLLWEEIKRKRKEVEVDGEVLEALADVCVRAALFRKALEIVARMEEMGLEANKGKYKRMYVELHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWRLQDHD >OB12G10200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:113710:115718:-1 gene:OB12G10200 transcript:OB12G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNECNVVTSVEQHTIKDVEPIEGTNNLNVICCSSIELEPAEGSCFQVVCSLSEPTVGSKLTTSCISSPGCTPNDLNCQGKSDCEATVDLENSLSGETPGTVCSSEGNNCTLATSSLRDSHSCYQQNMLVQSIHCTDQMPQCDSSRDPKPSLAHIKLDSNDSLCSEVQLTSSEGPNIDIEMELDTDDALDATVAPLLHVGGEFDIDYRSAECSPMNLAVRSPYVDQEARSTNLIEKADIEGRTESHHSSTKERRPLSPVEQDTDDKIEFDTNKMSSEGVSNIEMNKPVGESRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKSGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKSVRTSDSP >OB12G10210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:120325:124450:-1 gene:OB12G10210 transcript:OB12G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEHPLLAAARHRLRLPFPFPGSNPNRKQQQQQRKPDCLLHLHVVVTNFLHKPLAGDLLLCIAFDALAHNLRLLDYKAKEFQPHLHQLESAIRGKKADFDGFLSNLGFAKVGGHGITGTGTGTPPPASVSDDDDQPQDIVTANDDTPPLPHRLPVRLLNIPLSNVERLRSTLSTVSLTELIELLPQLVGRSTTSPDAHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDCDGQVTLEDLEIAMRKRRLPRRYAREFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILASLKSAGLPANEDNAAAMLRYLNADSEQSISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSMMHPIDSMKTRVQASSLSFPDLISTLPQIGIRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVTMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQAESDSTDSLHEKRTTYG >OB12G10220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:128631:128789:-1 gene:OB12G10220 transcript:OB12G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASASA >OB12G10230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:131872:137058:-1 gene:OB12G10230 transcript:OB12G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPAADDPLLADCKFKLNHFRIKELKDVLHQLGLPKQGRKQELLDKIIAVLSDQQDQHAKMNGFMIGKETVAKIVDATFTKMHGSTDAVATSRNQTDSGHIVKPKRKSDHSSTQLDVNVCCPCGYSLPIDSMTKCEGTQYNTPQHVGCVSIAEKPTDSVPPELPPYFYCDMCRIDRADPFCVTINHIILPVTVAPSKIAPDGSYDVQYFDKTFLLSRVNWEMLQKDEYDLQVWCILLNENIPFRMHWPLHSDMQVNGIHIRVVNRQPTQQLGVNGRDDGPVLTTYVREGSNKIVLSRSDPRTFCLGVRIAKRRSIEQVLSFVPKEEDGENFDKALARVRREADTADNDSDIEVVADSVSVNLRCPITGSRIKTAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIQSYGDDVLEIDVKPDGSWRVNGLKQWHLSDGTLCMPTDIGSKPDVGFVKLEIKEEPLSENTGCLKLGVGRNNYGKW >OB12G10240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:147879:148118:1 gene:OB12G10240 transcript:OB12G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGKEKDMLAFLSTVTGDGSLRKEQSSVHSVVHRPGHSSGDLEQQQKNLHIHFHLCCASCDMCVCALAFTKLGHSLK >OB12G10250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:149472:153432:1 gene:OB12G10250 transcript:OB12G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSATYETYLAFLSKFPLVDKDWDLQLLPITALQAAAGPAAATGLMPPATTTVAAAFSKPAPRLMESFVPFGGFVCDNYETSSITANSCPQSLCCQQCNDKYEQEVATIIRASGITAEDHPQGVLPSLLQNGSMMGPNNGFDPVKVRDDRMVLNSKILNLRKKWNEYCMRLHQDHQRINRDPYKPFPRYIGVTSDKERSENPSKCSEAFGIQKDVVKPCAVPAAHTSSTARPISSPSVSNKRNEDLVLNLQARQSKSDENLQDSTVRHGSLSTPDNPDDDASPSFAAPVATDLVLGTPQESNSKGSGSTFCKHIEDSHLAPKKVDDLNLKHPQLSVQPNSCSWTSRNVGKTSPGALYSVASGGLSAFGQWQKPSPLAAQSSDLSNYKLLVECLFKEVGRQEEALSAICQSIVRCRLTESRRGPSRKDIWLCFHGSDSMAKKRAAMALAETMHGSKENLIYLDLNLQDWGDSGFRGKTGTDCIVEQLSKKRRSVLFLDNIDRADILVQDSLSDAIESGKFKDMRGKVVDINDSIVVLSRSMIQGSKHGVEEGLSFSEEKILTACGHGLKILVEPGRAITSGGLNGKVVVSPRHSLTKIQASLYSGSISKRKLSSSDDQEKVQESPSSSKRLHRTSCVPFDLNLPVDEDEPHDANDDSSSNENSYGNTEKSIDALLHWVDGSINFKPFDFDKLADDMLQEFSNILGKNLGPECRLEIDVGAMEQIIAAAWKSEDKSPVQIWLGQVFTRSLDELKLKCKHVSSSTLRLVACDDTVPGKGDGLGVLLPSRIILDC >OB12G10260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:154252:157683:-1 gene:OB12G10260 transcript:OB12G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARGGGGWQEADCKASEDSVHLFDAAKPPPFRIGDVRAAIPPHCWRKSPLRSLSYVARDLLIVTALFFSAATTALPAWLWPLYWAAQGTMFWALFVLGHDCGHGSFSDSTMLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKLYRQLETRTKKLRFTLPFPLLAFPVYLWYRSPGKSGSHFLPSSDLFSPKERSDVIVSTTCWCIMISLLVAMACVFGPVPVLKLYGMPYVVFVMWLDLVTYLHHHGQHDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHTLHGTGWAEDAKHK >OB12G10270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:166114:166314:-1 gene:OB12G10270 transcript:OB12G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWEETKREAKRGGDSEMVAGGDREGGNEGRGEPGSGGEVQLTPASDFLSPSEPLSLFCATRQKR >OB12G10280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:168402:169321:1 gene:OB12G10280 transcript:OB12G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNKGKVGTNHVSWTSAMSSFMLSHLANIVASGTRTSSGFKQVHLKGCARALNKKFMTDLTSDQISNHLKTWRKKFQKINKLREVSGAIWDEDNFIISLDDNHYNDHVQVHKGDAEFFNKPLVNYGEMLTIFGSTIATGKYTRDSSSVLGTDDVATEIHDVNDGIGTMNHDERSSASKPKKAKTCVHDDEGLIGAFERVGDKLAAAIAKAGTPDNDIPADLFQNLNSIPGFERIHISHYYAYLVANPHIARAFNNLLFENKLDMVAMYVTEKFPSSM >OB12G10290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:172326:173639:1 gene:OB12G10290 transcript:OB12G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLETNRYVLKNSVSGETVIKHLNKEQEADQSNFRDPATNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGLYEDSD >OB12G10300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:180880:185047:1 gene:OB12G10300 transcript:OB12G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDQSPPSSSSSSSTTSPPPPPPPASSLAKPPRQLPLGLDSLASSARSLLTAPRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDAEFYNVSGLPPLLLPPPTCELSRGHWVFDNTSVPAYREKECTFLTKQVTCLANGRPDDLWQGWRVAGKRLMFVGDSLNRNQWESLVCLVQPILSEGRKKIVKRGSFRIFYAKEYRATLEFYWAPFLVESNSDNPNFHSIDTRIIRPDRIEAHAKNWKGVDYLIFNTYIWWMNTADMKVRRPDSRDWSEHDEVPRIEVYGRVFKTWSDWLEQNVDPAKTSVFFMTISPLHNSPEMWGNPNGVRCVKETLPVLNYTEPLDLNHDMRMYDLVANVAKNMKRVPVTLIDITRMSDYRKDAHTGLYTFRQGKLLTPKQKAEPKKYSDCIHWCLPGVPDVWNQILYTRILSKSSPHPSLPPQ >OB12G10310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:187696:198072:1 gene:OB12G10310 transcript:OB12G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:J3NAM3] MAAANAPIAMREALTLSSLGIAPQFVTFTHVTMESDKYICVRETSPQNSVVIIDMAMPNQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIFIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQHYTELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFRKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWTQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKTDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDLHDSFDQIGLAQKLEKHELLEMRRIAAYIYKKASRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTNKVDELVKDRIESQNEVKAKEKEEKELVAQQHDKRYSLAQNMYAQLLPLALPAPPGMGVPPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >OB12G10320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:202267:202710:-1 gene:OB12G10320 transcript:OB12G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSSSVFSAFDKDGDGKVSAAELGDCMAAALGEDVSEEEAAAILAMADTDGDGLLDHDEFLGLFGQPEEEEMRIRCLREAFDVYAAEETTVITPASLRRTLRRLGSQHQQLRVEDCRAMICRFDLDGDGVLSFDEFRVMMLMA >OB12G10330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:207078:209493:-1 gene:OB12G10330 transcript:OB12G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:J3NAM5] MPKSKRNRPVTLSKTKKKPGLERKGKVVADIKQAVDNYSTAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGVHKLSKFLQGDSGLFFTNLPRDDVERLFREFEEHDFARTGSAATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGMVELVADHVVCEEGKPISPEAAQILIYICNYVNIVTKLTRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRTDEADDCS >OB12G10340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:212137:212502:1 gene:OB12G10340 transcript:OB12G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIREYTNKVDELVKDRIESQNEVKAKEKEEKELVAQQNMYAQLLPLALPAPPGMGVPPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >OB12G10350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:212675:213088:1 gene:OB12G10350 transcript:OB12G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPWDRMRMMVRLSGSYNAGTIICIGCVIKACRHFACTLCRSHQWLALAAINRNTIICLYVTCLRSHVMSSCLNFVPGMFLLDNTEYLNILLLPLTCALLYLWYMYGLFLYMVNKCTVQPLVSVELHGAQVEWCLD >OB12G10360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:213991:214437:-1 gene:OB12G10360 transcript:OB12G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSSSSVFSAFDKDGDGKVSAAELRGCMAAALGEDVSEEEAVAILTMADTDGDGLLDHNEFLGLVGQPEEEEMRMRCLREAFDMYAAEETTVITPASLRRTLRRLGWQHQQLRVEDCRAMICRFDLDGDGVLSFDEFRVMMLMA >OB12G10370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:224872:225312:1 gene:OB12G10370 transcript:OB12G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGDEAPLRKLFFAEFWDSKPARFNESNSKPICCPVQDRLHCPLTSVMTQPRFCIHHVHVQLEVTPMTMMVMTPFVTMMLMLPFVCMLLFHPILVKERTC >OB12G10380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:226136:228623:-1 gene:OB12G10380 transcript:OB12G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:J3NAN0] MPKSKRNRPVTLSKTKKKPGLERKGKVVADIKQAVDNYSTAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLLFTNLPRDDVERLFREFKEHDFARTGSAATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGTVELVADHVVCEEGKPISPEAAQILRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRTDEADDSS >OB12G10390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:232069:235505:-1 gene:OB12G10390 transcript:OB12G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVTALTLKRANSGSKDGQSRKRKDKTRYTEHGEKEEENEEVKAISGIINSAHSDDDDMLSEIESLLSREIDIPQPSDRFDVKERSRYNNGNSELERLHGHVRDLEEREVKPEGELLEYYGLKEQETDVVELHKQLKMKTVEIDMLSVTIKLLQEDRKKLRHANEDLTKQVEGLQTNRFSEVEELVYLRWVNACVRYELRNYQTPSDKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLEKAFSAPSSPRREDLDNVSLDSSSSGYSFFGKRPNLMQKLKKWGRGKDDRSSLASSTQSLTSESPKSNSQKPKEALMLINAGDDMGITTFGKREQDPSDIMGEANVASSFQLMSKTVAGFADEKYPAYKDRHKLATEREKTIKEKAEKARVQRYGGVSTSGPKAALPPKLAQIKEKAPTANAESSGQSSDNQNNPLVVTQPKLANIEKRAPRVPRPPPAPSATASGAVNTTSGVPPPPGVPPRNLGGPPPPPGSLPRNLAGGDKVHHAPEVVEFYQSLMKREAMKDTTSLGSTTSSVSDVRSNMVGEIENRSAFLLAVKADVETQGEFVESLANEVREAKFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPENKADALREAAFEYQDLLKLEHKVSSFTDDPKLPCEDALKKMYSLLEKVEQSVYALLRTRDMATSRYREYGIPVDWLSDSGVVGKIKLESVQLAKKYMKRVATELDALLGTEKEPNREFLLLQGIRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISNA >OB12G10400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:237696:239213:-1 gene:OB12G10400 transcript:OB12G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTESPLLRDAGAAVLTGAASFAVLSFWELVANRALLDQKLCRKLVHITVGLVYFLMWPFFSADDVYAPFLASIVIVLNIIKVTLIGLGIVKDEGVVNSMTRNGDCRELLKGPLYYACAITLTTIIFWRTSPISIAVICNLCAGDGVADIAGRRFGHAKLPYNPDKSYAGSVAMFLAGFLASIMYMCDFHLFGFVEESWRMVVAFGVISLAAAIVESLPISTRLDDNLTVPVTSVFVGVLMLGPETCVA >OB12G10410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:244584:246591:-1 gene:OB12G10410 transcript:OB12G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGKEVGSTGGGGGGAAAGNDGSSTSSSTVEEEGERRTSSSSSVRPYVRSKNPRLRWTPELHLCFVRAVDRLGGQDRATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKIDESGQVIGGGSWREEHQYIHHGGQAYSVGHLSLPALLHHRHITAGSGTRPRFGNAWSPWRCHASYWPRAGHHLFVGSKPNYPPPPPAEADEAFHTASSHLPDFVVQGSSNHQRRVLKEIICNEDEGEGPHLNLDLSLNIVNAGPRREKRKREFSLGKKHNEEDHDNHTGIIGGDQEEESCATGLSLSLF >OB12G10420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:250504:250668:-1 gene:OB12G10420 transcript:OB12G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSFSCLDFSCACSIGTTEQSLFPHPFLLVIALNLALTIDCGTQQNQLGGN >OB12G10430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:250103:254317:-1 gene:OB12G10430 transcript:OB12G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPSSSASVPQDYHDDDDDEFEDDDYEFDDDQETSPSPSDGADEARLQSVLRRLTADEVRIRVHDVEIRGCSRTRRAAVEAAVGSDLARAATVRDLVRAAAAAGDRIRRLGAFDTVSITLDAAPPGLPGGAAVVVLVDVAEARGRAAGELGIFANSGARSCSVQGSVKLKNLFGYCETWDASGDLGLDQTLDLSTGVAIPRIGAIPTPLVARMSLLSEDWLKSSLKEHMMGVSVGLLSTMNHNLSYNLSWRTITGQALMSSNSISRQLGHSLLSSIKYTYKSDQRDSSIRPTRGYAFLFSSQVGGLAPDSKNVRYIRQELDLRVALPLGVLNGALNAGVAAGVIHPLERGSTGSISPLPEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLRTSDPKNSENLPSISPELGTLRGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLARLAEPDLRKFPLANFLQTFRSSAGFGVVMPTRLFRIEVNYCHILKQFDHDMGKAGIQFNFSSP >OB12G10440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:255688:268151:-1 gene:OB12G10440 transcript:OB12G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKREELADDVDDLQKKTRADAKDNHDMTTGRTPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDKVDLWDLSSNFFLSENDVGQNRAQACIQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTEISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKNARPYSFTLEEDTSSYGTYIRGGIVTQVKPPKVLKFKPLKEAIKEPGEFLMSDFSKLDRPPLLHLAFQALDRFKSDLKRFPIAGSTDDAQRLIDFAVSINETLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPGELKPENTRYDAQISVFGSKLQRKLEQAKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEVLQNRASPETENVFNDAFWEGLDAVVNALDNVTARMYIDSRCVYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYSTAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFIVAAAILRAETFGIPIPDWTKSPAKLAEAVDKVIVPDFEPKQGVKIVTDEKATSLSSASVDDAAVIEELVAKLEAISKTLPPGFHMKPIQFEKLISISIKKDGNHWLLEKHDICMAKIGEHCQVAKRVDKRPVRFLVGSPPLWKLKTLCAPLGYLLFSLSNCIPAKRKFEDNAKVQLTTPVIVVWIPLFTEETKGSSAAKKSRLWQMEAWTIRVLYFVLFSFLVSARFGSSAPYSEEGRTLLRHRESERDKPADSLSIWGERKVIGRVLRLLLKENMFSSVADNRGGFGPCRKCLAKTVHNARQLLQSRELISNKTQTHSQKQLPPPVQLPAPKLQPCWLIYALPIAGVLFVAAVATAIYLLFSRRKKDNTVMPWSTGLSGQLKKAFVIGVPSLERTELEAACEGFINVIDTLPECTLYKGTLSSGVEIAVLSTSVNSAQLWSAQSEEQFRNKISVLSKVNHKNFMNLLGYCVCEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWQTRLRIITGVAYCLEHMIQLDPPPLLPTSLSSSSIYLTEDYAAKIADIEFWKDDSKQDAAAAAALREISQESVIVYKFGILVLEVISGRRPISEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSEAPEEDVAALCEVVRRCVRPEAGKRAISMGEVARMVRGVGGLSAEQAAPRENPLWWAQLEIASASSETA >OB12G10450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:270391:273299:-1 gene:OB12G10450 transcript:OB12G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:J3NAN7] MLHLPRVAASSPPAAVPPPQIAGAPAFVSLPVPRVAGAAPVCRAAGKGKEVLSGVLFQPFEELKGELALVPQAKDLSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGQGHGVWHFDQKLLEEEA >OB12G10460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:276766:278688:1 gene:OB12G10460 transcript:OB12G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEALLLREATYSHDLHLQVSNQEWLTFAKDCLDNGFYTIASKAFANALVNIDPSHPRYLDSTNSIVNNGKINGISGLQNFTQSLSAPRSVQTQSAEYMKKKASEFNEKSNLQLGKIKVPGSSMFRLGIRTRNIQKLHHSRKRNLEES >OB12G10470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:282246:282470:-1 gene:OB12G10470 transcript:OB12G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAADGVRPLRRRHLLQHLAHVAGAEHPVHHREPLRLLRREVGREHALRRAPPPQQLARRARRPAPGHGVKWNSS >OB12G10480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:282270:282743:1 gene:OB12G10480 transcript:OB12G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLQEVPPAQRADAVSSLVYEANARMRDPVYGCVAAISFLQHQVSHLQKQLALAHAHTAALQLQLQQQQQLQHQLQQDHDQHEHCILQNALPQLMLQDAFLKKESMWT >OB12G10490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:291539:294776:1 gene:OB12G10490 transcript:OB12G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALFSHLREVHKRSVVKEDRIMKPLTEASGHKQAAGAGSKMTVLQSPLGLRSILTSLVAFFIVASTVSLLFDRSGQDEAQVQLAVEQHQHQEVQLKKPAPAASVDEQKSVDRASLRRQGQQAQVQWTSELLDEARDAGDGEEEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKLLEKLRNKRMVFVGDSVNRNQWVSMVCLVEASIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHASVWRDADVIVFNSYLWWRKQKADMTMKIMYGSFEDGDATLDQVEMADGFEIALKKLTEWLGTNINKNKTRIFFAGSSPAHTWASDWGGDDNNKCLNETEPIETEGYKGATTDYSMMDKAKEIFGTLEPKGIHVQILNITQLSDYRKDAHPTIFRRQYVPLTKQQIENPSIYADCMHWCLPGVPDVWNEFLYAYLMHK >OB12G10500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:298102:304375:1 gene:OB12G10500 transcript:OB12G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNRRRGGRTGDGGRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGISQEALNYLATASDLGKALGWSSGLAVVYLPLPGVLLLSATLGLAAYALQYAIILDHLQLPYPLVFLVCLVAGCSICWFNTVCFVLCIRRFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVTSLVALPAILLCHPHDGHLHIVPKHDKHVFLGLYILAFITGVYLMIFGSFTTKNSTAWAVLTGAIFLLAVPLMIPASSSCFHMGTHTPDPAVTLNHDDPQRPLLLNNDHHTESNDMMQKTVEHQMQDCCLGVILEKGRMLVLCEEHSAKKLIQCVDFWLYYAAYFCGATVGLVYSNNLGQIAQSFHRQSQLSMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDINTLVAGTAVVGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDASGLKMSVIDNRNGMIDTMVVCMGANCYSTTFFVWGCITLLGLVSSIILFFRTRTAYTSAAGQQVGMEQGHTEASVDHEELHGSAYGRAAGCDLEVPTQELHILPSGRNAMQMHRSCLLSDPLLLLQAVTSKKASQSNE >OB12G10510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:301008:301988:-1 gene:OB12G10510 transcript:OB12G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVLGHNVEVAVMWVAEQDCWQRNKRCDKRNDGIEKELMERERANGRLLEEKRRIQSTKHTVLNQQILQPATRQTKNTCNDLPREQYVKL >OB12G10520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:305631:309400:1 gene:OB12G10520 transcript:OB12G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3NAP4] MVATSPPIRSLFLTPSPALSGISSRPHRRTAAPPARCRRTKPPEERLQRETSPDAKADRNNGREKMRGWFTLDDIGMDILTIALPAVLALAADPITALIDTAFVGHVGSAELAAVGASISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADHNSSIQMFSPHIEDEFSSPQEKAGEQRKFLPAVSTSLALAVGIGLMETVTLILGSGTLMDIVGITVDSPMRIPAEQFLILRAYGAPPVIVALAVQGTFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSRIVLFSWNVIAGDIIRYLKSGWPIFPTFIYKYFTNGGFCFLFNKHDSLGALLIARTIAVVLTFTVSTSLASREGPVPMAGYEICLQVWLTISLLNDALALAGQALLANEYAKGNYKKARVVLYRVLQVGGVTGVTLATALFLGFGYLSMLFTDDPAVLDVARTGVWFVTISQPINAVAFVADGLYYGVSDFSFAAYSTVQSSSVILSHSHRIATCYSCNTQAILIYLLFQLLAGAVSSAVMLVVAPKFGLGGVWAGLTLFMSLRAIAGFWR >OB12G10530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:311253:312994:1 gene:OB12G10530 transcript:OB12G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51660) TAIR;Acc:AT3G51660] MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVILKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPMSRFYLKFYDSKAHPAQEHAQCLHALHQE >OB12G10540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:314622:315281:1 gene:OB12G10540 transcript:OB12G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:J3NAP6] MAAAAPPLLPPTVLPAETVTATPAPFSVSSADANPAATRAFLSRLLDSVKRALSGARPWPELVDRTALSRPDSLSDATARLRKNLAYFRVNYAAIVALSLAAYLLAHPFSLAALLALLAAWCFLYLLRPADAPPLAAFGRTFSDRETLGGLIVASTFVVFLTSVGYLIFSALTLGAALVCAHGAFRVPEDLFLDEPDQANGGASVNLLSFISNATGGHV >OB12G10550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:320514:320963:1 gene:OB12G10550 transcript:OB12G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAEATNGMDLPQWVASIVKEEWTSEVFDLELMRDGDTGPAGDELVDTLKLALHCVDQSPTVRPDAREVLRQLEQIRPGAEGGAGPSEEGGAGHVAVGNE >OB12G10560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:320564:320686:-1 gene:OB12G10560 transcript:OB12G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVASAGDLPVRSSRMMTPRLYTSVLALAFLSLDSSGAR >OB12G10570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:322212:323471:-1 gene:OB12G10570 transcript:OB12G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHMIRFGHCVDTTVIYILTVMLLSQLRLRRANILSSICSRSRYSISPELMQVGDNDNYTSLGVNPEHLNKLPSWIKNRPVHQMHDIMKQVKNDSVEFKKVSSESVLKKTFEEEINPFFALDYYRLDDIPDGEKKDYVKSLLSEQIISNVDGIATVASVIWKYGWPLEYQIIIDLPCNNSEQGAALFCSYVRGRDLDIDKLNVVTDNEKNYSIMVGKEIFIKVKVRKPACHFCSNCRWVPREMISHVNDIAKRAFLFEFDTMEAEITSLLGHALSAHQPVLR >OB12G10580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:338457:341596:1 gene:OB12G10580 transcript:OB12G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSTPSEAEAEDEEYESWTLKQRIDDIVHSDPINNILPKHPEHRAYFQKKLNEKLEGFICVAQPKLLPVLLKDNVKHFYQVYNLCSRFMRIRDLLYPDLLTNMASFNALRCARVALEGTSQLRGRHADPNILHRFGFSPLHMAAENFNVAMVKLLFRYGTSANIRTKGSRVIEGLLPLHVVVENATMHKYLEDHWAGGDSIIRLILLLCLPEMKMFLDTIRLIAKHTDNIVDEVCGYIHEEMHVHTAILLKQLRGRLKKTSGKASLNGFDILKSRIDDALDTIHLEGLTMVKQGKKGKALKRLKDRKEALLTAHALVRIAGIALEGYIQTHSQVPHDDIVEHVLSILKSNGIAHYGESIDTGKLECYQHHGGMPIGKTDSQRVGYGETIEAEKSSSDKCEVSKRILGKQPPKRLGIKDVRNMFFPYWKSVLSRRLDVKKILSCRPSWMQSAEGTKSIYHPCTPNKSIGNLGSMGRTPLSSESRRMLCTAASMSQKVFKRT >OB12G10590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:342463:347467:-1 gene:OB12G10590 transcript:OB12G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSFGIGIGMDDAGNGMRENPTPPRHRRLLSFWASVKPKFRLIVQAEKVKIDDLMAAPLRVRKEGKKMDKRMKLLIYTAAANMLLSMMAIIIRSRKRKRVVRREGIKYGPMDERDRIRIEYLDTRIWGNNILCVDMLRLRRDSFFRFCKLFRDRALLEDTIHMSIEEQVAMFLHRVGHNVRNRVVGANFARSGETVSRYFNKVLHAVGELRGELIKAPSLDTPPKIAGNHRWDPYFKDCIGAIDGTHVRASVLKNMELSFRKKDATQNVMASVDFDLRFTYVLAGWEGTAHDAVVLNDALERENGLRVPQGKFYLVDAGYGAKPGSLPPFRGVRYHLNEWGNNPVQNENELFNLRHSSLRVTIERAFGSLKRRFKIVDDATPFFPYQTQVDIVVAYCIIHNWVINDGIDEFVLGPNEVINENDDTSSGVPRDHAEMVGHGTKQRKGRDQSCKRRFKIVDDATPFFPYQTQVDIVVAYCIIHNWVINDGIDEFVLGPNEVINENDDTSSGVPRDHAEMVGHGTKQGKANIVASGTRTSFGFKQVHLRGCARALNEKFMTDLTSDQMSNHLKTWRKKFQKINKLREVSGAIWDEDNFIISLDDNHYNDHVQVHKGDAEFFNKPLVNYGEMLTIFGSTIATGKYTRDSSSVLGTDDVATEIHDVDDGTGTMDHDERSSASKPKKAKTCVHDDEGLIGAFERVGDKLVAAIAKAGTPDNDIPADLFQNLNSIPGFERIHISHYYAYLVANPHIARAFNNLPFENKLDMVTMYVTEKFPGSIGNLTFAYEEVTGSNPPTKQKNIIIPTQKTGMAPTHSNPSPNQTHP >OB12G10600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:346783:346983:1 gene:OB12G10600 transcript:OB12G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWEETEREAKRGGDSEMVAGGDREGGNEGRGEPSSGGEVQLTPAPDFLSPSEPLSLCCATRQKR >OB12G10610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:348763:355824:1 gene:OB12G10610 transcript:OB12G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGTPGEAEAEAEAEDYGSWTLKQRIDDIVNSDPINNVLPKHPEHRAYFEKKLKEKLEGFVCVAQPKLLPVLLKDNVKHFYQVYNLCSRFMGIRNLLYPDLLTNMASFNALRCARVALEGTSQLRGRHADPNILHRYGFSPLHVAAENFNVAMVKLLFRYGTSANIRTKGSRVIEGLLPLHVVVENATMHKYLEDHWAGGDSIIRLILLLCLPEMKMFLDTTRLIAKHTDNIVEEVCGYIHEEMHVHTAILLLAAQKQLRGRLNKSSGEASLNGFDILKSCIDDALDTIHLEGLTMVKQGKNGKALKRLKDRKEALLTAHALVRIVDKAGIALEGYIQTHSQVPHDGIVQHVLSILQSNGFAHSGESIDTGKLECYQHHGGMPIGKTDSQRVGSGETIEAEKSSSDKCEVSKRILGKQPPKGLGVKDVRNMFFPYWKSVLSRRLEVNKILSSKPSWMDSPSAEANKEGSKTIYHPSTPNKPIGNVGSMGWTPLSSESRRMLCTAASISQKVFKRT >OB12G10620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:361328:361920:-1 gene:OB12G10620 transcript:OB12G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEDVIRRWGTLGEAIERQVVDQRWNPWCEAETGSGDHSDLEVRWTQGLMHKGLQGLGEGRREQELKTESDRERRIGCRKEVEDDTDAANRVWIGQDLESNWVRKLRDRFGINQESESV >OB12G10630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:362113:362289:1 gene:OB12G10630 transcript:OB12G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSSLFLQNQIFHIFVPCPNDPFHFLGPVGNTYPTDMICLEAKRIPFKNAQLNTSD >OB12G10640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:375354:376343:-1 gene:OB12G10640 transcript:OB12G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAALLFLLTAAASAAAPVELTGKHAFSSPLPLNLFQTLTVYFASSLAAASHPLPALRLPPAPLLVGEEGGSFCTCLRSHGCPSRLRGPSRFFHAFRVRANATCPSGLDLCFHRKRPVSGSAIVSPDLPVSTSVHTGYELRMSLTDILLMLFSYFP >OB12G10650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:378933:379752:-1 gene:OB12G10650 transcript:OB12G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASCATKRATRTATRNRCCSPLDCHRSLGSSSSLPRSRPVRLRCASAAADGRARGGEGGGTCRPRGPGRGRQPLRRIRPRRRHILLEGQWIWELAVVPGRDDLGRSFLMTATAGEVSCRRQGPGQWIRPARRWIWELAGIVFLVASLQPCPGQVRILRSASPWLVLLPQASGLPPIYPPDVGCCPLPDVSHRLPPACFPVVRLFQHWSLRVALLPYVPSLMNICMMKLVRGCVCHLH >OB12G10660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:380134:382213:-1 gene:OB12G10660 transcript:OB12G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRARPVGMLEQRTLDFLLGRVKRAILPSLSKVLKPPGNGAPREFCTGVATTGGRRVT >OB12G10670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:416261:416716:-1 gene:OB12G10670 transcript:OB12G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHARAAFASACAGATASSPVPSAPIRRRFQSSQWSMRCPCPRRASRPPFRPAPDSSPPAVPATRRDVLPPLSYAAAASSLALVGRVLLAVPPLPLLFLLLPVPPLFLLALKCVALTQLRFATMGPDLVEGRPCWADLVATTSLLVDPDA >OB12G10680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:417711:420973:-1 gene:OB12G10680 transcript:OB12G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSFGLRWVLSCKWIMLPLMQLLVVEQPKILGFQGAASLPLAYLLVVSVLSPRSQRQSLLTFILTLGFAKKYYFRFIMLYSIGSLEPRFRTMFVPNLLWSHSAARSSITHFRMKLSLKKAKLVFSSVVFYTVEMCPLTEINVQLHH >OB12G10690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:436605:439153:-1 gene:OB12G10690 transcript:OB12G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADNLAMQLKSYPRHVRKLPRWIREAQEPRVHTRDDIFEHVMKMSSEFKLLCSESMQIQIFEEEIKPFLVSRCLLCPVPMGSRLFKEMHQMIHGTTHQFPHYLTSKTLMRILMTRSRMDWRAILECLVSQRVMVMLDWVNELSIAEVLFYDHGLLLAPQSGALHDQTVYKGECDACYHKPLKSDSTTKGEATVASVIWKTTGSSADLLECQVIKGLSCNSSEHAEAIGLLGLLTRGKELGIKKFDVVTDNEGICNIMMGKRDVCSSKNADTCMAAIQTAKYYETLKCRWEPREMIDHVNDIARAVHMSNTDDLQLTVILESKSSQFWGKPFLRVKQGRKETEDRLGKWKINQPPAFATNEYYMKVQSKLEKTDSFEGLLDVIDSDLILVLASDVSAQEVLTTLGADYCLSIWESGALVHETLGTTDRRAHLLINHNSVIPLFAASKCLMIVYDNPPHSIQYHPDQDVAGVKCVHIVEPGNKSHSNSIPCSAQEVDPFLYGFFQQSGN >OB12G10700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:447710:452736:1 gene:OB12G10700 transcript:OB12G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3NAR2] MSRSGCACYCWLIALLCSQLAAAKEQYHEFVCTSYSARSGGKRFGTWYLKIGLNCCGYMGDPTGKVYPSGYGYGEIFPPVTGMGILMVQNFTGEWWDMNPVDVVRAATRTGAAPNISDALTINGQPGDLYNCSSQDTALFPVKSGETNLVRFINAALNTELFVSLAGHNMTVVSADASYTKPYTTSVLLLGPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYGAGNGSSSPAMPTLPAYNDTATATAFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCSKGQQCGGPNGMRFAASINNVSFVLPSSSSILQAHYHGAANCVFTADFPANPPVQFDYTAQNVSRALWQPVAGTKVYRLKYGSAVQVVLQGTNIFAGENHPIHLHGYDFYILAEGLGNFDAGRDTGKFNLEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >OB12G10710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:452691:456382:-1 gene:OB12G10710 transcript:OB12G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTVVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPINQIPSASKDIKEVRAVDDQFLPTDFVVHDGLLLTLQNEPVESVDKDVDQLAQEDKMRQTEENNLSIPLHYEDNYDGIQSVSTCEQSSSHAPADSVPLAGLPEFSYLGWGHWFTLRDLELATNCFSKDNIIGEGGYGIVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEGVDPKLERRPSTKELIRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNLSSNNSETEPLRGKNSSGKSDAPENEMRPPRYKNKSRAFSPK >OB12G10720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:466758:469131:-1 gene:OB12G10720 transcript:OB12G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNGEVKEYHGVGEIQDVELLALEGEEVEAEMCDPDAPPEREVPQVRAADGDAAEPHVREALASREREPMKAARLGHLRRRGVAEVEAIGQVEVREIRACGDERGEAGGAEAPGAGEVNGGEGGRGGERGEGGVGDAWAEGEIEAGDMRVASERGGEETPLI >OB12G10730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:468955:471985:1 gene:OB12G10730 transcript:OB12G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCIAVGCPDLRDLSLRWCIGVTHLGLDLLALKCKKLNVLDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALSSLDQQCSRSLQVLDMSNSCNITHVGIMSVVKAMPNLLELNLSYCSPVTPPMSSSFKLICKLRTLKLDGCQFMADGLKLIGKSCISLRELSLSKCSGVTDTDLSFVVSRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLLCSEGLQLIGKRCTHLEELDLTDTDLDDEGLKALSRCSKLSSLKIGICLRITDEGLSHISKSCPDLRDIDLYRSGAISDEGVTCMAQGCPMLESINLSYCTKITDCSLRSLSKCIKLNTLEIRGCPMVSSAGLAEIATGCRLLSKLDIKKCFKINDLGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSIFGLQNVTIVHLSGVTPNGLIAALMVCGLRKVKLHEAFKAMVPLQMLKVVEARGCIFQWINKPYQVALEPCDVWKQQSQDLLVQ >OB12G10740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:473155:480284:1 gene:OB12G10740 transcript:OB12G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAKDELEDEGFPWKHDAFFHDQLWTAGVSMHTKQGWKGANQDAMTTCQVAAINNWGDTMKATAEHYSTLTLSMVVITAVVTPLIKLLYDPSGRFARAKRRTLEGAPPNAEIRVLPCLFLEDHAAPLLDLLEASGSSHDAPMSLIVLHLTELIGHAASVLKPHKKSRSGSGGNPTPSDRIVNAFRYFEQQATPGAVTVSPYVAASPYSSMHHDVCLLAHSRKANLILLPFHKTSDGARSTANNAIRAVNRSVLQYAPCSVAILVDHGLAASSDGARSTANNAIRAVNRSVLQYAPCSVAILVDHGLAAGSACATASNSTLQRVAMYFLGGADDREALAYVARMVEGGGVAVTVVRLKLRNWVGMGGRDEVRDEEALQAFWLRYSSRDGGERVAYVEQTVEDGEGTASVVRAMSDKFDLVVVGRRGGGEGDDLEGSALTSGLSEWSECPELGVLGDMLASAEFASKVSILVVQQQQHAGTAVDP >OB12G10750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:481920:486363:1 gene:OB12G10750 transcript:OB12G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGEDSGGDHYPYYKPTSRPHYPPPPPAAPARHQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVASSRKAQVKWYKNMLEAYKNTTPPPKTPVDAAHLIARALHMIQRSDLEGILEFYNFPIPSLPTASSNHQPSSLPEGVQFVLNTLPVYDKCIGDGDGFTAYVSTTGPRESANVPLEVHEMVIARTQARQCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYYNNVFIQEQMLKNGHAWHFKTYDKRPEFARWEREARGANRGLWASGNPEKPWDWRRDQRNARQEAIQVY >OB12G10760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:487769:488137:-1 gene:OB12G10760 transcript:OB12G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFWRAQMRRRFRLPADRWSMCGGRATAADYGKWLCCAPCALAQEVRTANLYDVEENVLYLREVKGGNGKEEEVSSKPAAMAPLEREGCVVAVDAPPLPIRIEGNDEYCCGDGLLVDIEN >OB12G10770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:489050:489626:1 gene:OB12G10770 transcript:OB12G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNFIPGLPGSSGSGGAGSSSLSSPTDCVTSLAGLTTCASFLTGAEADTPTPTSECCGGLGMFLNSTAAAAEGDRTLRCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFTGQPNPPVLTRVPDSWSTLSSGRHNLLS >OB12G10780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:490097:493091:-1 gene:OB12G10780 transcript:OB12G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMANVSCSPVTRGLPAHGNLARSRSAAVAAGGSRSRPRSTGVFPCLSSTTAGAEGSRRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPEDIDHLNDEDKVAFILCLQQDRDIEYWEIDFHPIVNRCKEFGIKHIRRPAVDFDPDSLRTQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMDLKTAYEKLTSKRPCGPNRRAIRAATYDLAKNDPHKESFESLPERAFEGIADWERRLIQERVRALREA >OB12G10790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:495734:501155:1 gene:OB12G10790 transcript:OB12G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGRLPTGWPCTQDWPNAAGDPAYWLDLRCSSDNLYSGFSWRLFSSFCLSMRWFWRKVLRFGSSGDGEGLGRDGKRLAKEGDNGIKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERKRKDEKDKGSSKSNSDCEDIERRVGREGERKRDSDRRNEPEKRDATRVGAEGYKPHNFDANNQASKTVQSKAKYFGRMTGGLLSSSRGFSSGSFFGRSVQASVPQANKVNKPLVTITDQSNVVKRDAQPPATAVPATAAGTTNSWTNAYRPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPEVPASAPENNGQPEPHGAQINNAQIFLSQYPPLDSKPSSSRSMQFPGFPPVNGAPANMPLSHFPAGHMPFYSEAEPTVFEELEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHVKPSPIESPLSRSRTVEENPIGHSTAKGPNASILPEASNEQGTWQMWGTPLVQDSLGLRGPQTEWLLPNTNQFNHGVNHLNGRTTNPLGSGLDDNGPWLQKTPFQQLPLDTRSLFLSHDVPEKAIHNDLGFGSPNKSARLHPFGPPGHSWSKEALVLNGTQEASKICSPTAAPVGSGFFSTNPDVQSVWSFNQKETT >OB12G10800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:502904:503077:-1 gene:OB12G10800 transcript:OB12G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYFFILGRNIYDICIRVWPPPSSSLFPINHTDFNWNSCKKYEKARQFVSFSLFIYF >OB12G10810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:505221:507363:1 gene:OB12G10810 transcript:OB12G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDLQDDADGSDSPRAPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >OB12G10820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:514714:516078:-1 gene:OB12G10820 transcript:OB12G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3NAS4] MEPRGKTLMERYELGRLLGQGTFGKVHYARNLDSNQSVAIKMMDKQKVLKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFQKVEKRGKLTEAVAHKYFQQLIAAMDYCHSRGVYHRDLKLENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVISKMGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYHKIQHGQFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRRGPEENCALKERTLNENTTRNVAPTVGMRRKKNGHEDVKPMSVTNLNAFEIISFSRGFDLSGMFIEKEHRNEVSRFTSDKSASIIISKLENIAKVLNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTNGDSLEYQQLLEEGIRPALKEIVLAWHGDDQQKSQEQVLGISSI >OB12G10830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:523711:524878:-1 gene:OB12G10830 transcript:OB12G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFENLAIWQAFGFSLVAGAVAGSIMYAACVLLLWCVDRRRRWHAGVLPADPRKVKMWLPDHAHRRRQDEDSPECSICLGELEEGERCCTLAACRHEFHKECIYRWVDNHNTCPLCRHKAVDAPTPSSAGGGAGPPPARESNLAYTDKHVVPGLYNTYTGTQLRAYTQLVIVICQIIFRLPKTTMFLIIEN >OB12G10840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:530570:532740:1 gene:OB12G10840 transcript:OB12G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTPRSASRSTTCSVPGLVLGFSKLCKITKVCAAPDFADTKTEFGDYCGGYDQSLVLTRLFEEIRSLKSAYIKLQKAHIPYNPPKIAFADEIITAELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDILLLRRELDGLKSENSRLNKQIKSKPSVNKRGKDSSIVLKQLTTPSAILELFKVASTSVHEFAELIFSLMPSSDHCTNSAEEQSSYERYSLEAYLSRTMLAHGDDDEHDAARFDRIMRCCDPLDALMEHSNSSFARFCRSKYLAAVSSEMESAMFRNLDVRAFVSRGGHPRTWFYRAFATTARSAWAMQVAVAARRRCSGHGSVRVFYARRGSTYTAEYMESVVGAASAAAIGVAFTVTPGMKVGETMVACRVLLSRDQQDAITDQSDLKFR >OB12G10850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:534533:534772:1 gene:OB12G10850 transcript:OB12G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSWASSLLMLLLLLLVAQQVTCTRPLPLPSAMSSTPRQLQIAGETRTEEEEVVSWLKSMKPRGKPQPSSPSKRTN >OB12G10860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:535364:542209:-1 gene:OB12G10860 transcript:OB12G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGRCGGRRWERSTVVGDDRNGYVETDPTGRYGRLAEVLGKGAMKTVYRGFDEVRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIISFHASWVDPSPSRRSFNFITELFSSGTLRSYRLRYPRVSRRAIAAWARHLLRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCSSAHSVIGTPEFMAPEMYDEHYGVGVDVYSFGMCMLEMLTNEYPYSECNNPAQIYKKVTAGKMPDAFYRLTDPEARRFIGRCLVAASHRPSAEELLIDPFLSPSQHHDDHNSNVITCHSAPPPPPLPLITIANSEQEEGEEAPAAESTAVDMTITGKLNKEHDTIFLKVQIGSDESSRDGHGGNVRNIYFPFDIVNDTAMEVATEMVKELDIGEREPREIAAMIEQEIVRLVPGYKQQQQEYSYADDHDDDDDDGHPNPFYYLSSSPTSSQESLGRVGLTSSGGFPGPHGKVDCSRDYCYYRPPSSSSVSVSDDDDCTASCPTSAGSQQQHCSSSSRLGPAAAAAERGGHAGRPRQREGEEERRRRRMTRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTTSSSQQHRRSHKHQHYMFSKSQ >OB12G10870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:549091:549870:1 gene:OB12G10870 transcript:OB12G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3NAS9] MGNKYLAVVTVVALLVAAPAVSAVTCGQVVSMLAPCIMYATGRVAAPTGGCCNGVRSLNSAAATTADRQATCACLKQQSSGMGGLRPDLIAGIPSKCGVNIPYAISPSTDCSRVH >OB12G10880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:552678:553866:1 gene:OB12G10880 transcript:OB12G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3NAT0] MAARRMAVVAVVAVLAALVVAETASAAVSCGDVTSAIAPCLSYVTGRMSAPSSSCCSGVKTLNGKASSSADRRTACSCLKSMAGSVRNLNMGNAATIPSKCGVSVAFPISTSVDCSKIN >OB12G10890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:559656:560003:1 gene:OB12G10890 transcript:OB12G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3NAT1] MARAQLVLVALMAALLLAGPHATMAAISCGQVNSAVGPCLSYARGGAGPSSACCSGVRSLSSAASTTADRRTACNCLKNAAGSISGLNAGNAASIPSKCGVSIPYTISTSIDCSR >OB12G10900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:564628:565625:1 gene:OB12G10900 transcript:OB12G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAHLVLVALVAALLLAAPHARRRRPPPRLLQRRQEPQRRRPHHRRPPHRLQLPQERRPRHQGAQRRQRRQHPLQVRRQRPLHHQRLHRLLQGELSYVPDWMDHIRTEAPAATFSLISMDAQPNSVVHSYLRTSLSVYLLNKT >OB12G10910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:566105:566353:1 gene:OB12G10910 transcript:OB12G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIDFQVMFGLILEATNRYVHTLVESWSSVPKQSNNTCDPHQNRGKYICRPLQITTLTAVFVEKISIRSFSVQDFIAGFQ >OB12G10920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:566468:566623:-1 gene:OB12G10920 transcript:OB12G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWHRIYDVRDELSSIEMKLDVHSYLDYETRLKSARRVVCFIFTYLTNFC >OB12G10930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:569961:571488:1 gene:OB12G10930 transcript:OB12G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:J3NAT5] MGVESKVVVAAVVLVAMVVGASAAITCGQVGTAIAPCIPYVTGRSSALSQGCCNGVKGLNSAARSTADRQAACRCLKSLVGTVKSLNLGTAAGIPAKCGVNVGFPIRLSTDCNKVR >OB12G10940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:574673:576765:-1 gene:OB12G10940 transcript:OB12G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:J3NAT6] MGTEMATVEVEGIPFPQEITGTKPLSFLAHGVTDIEIHFLQIKYNAIGVYLDKESVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVAVDKFEEEEEEALEKVTEFFQYKYFKPNSVLTFHFPTTPGIAEISFVTEGKSEAKLTVDNNNVAEMIQKWYLGGESAVSPTTVKSLADQFAPLLSA >OB12G10950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:577866:580340:1 gene:OB12G10950 transcript:OB12G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHLSTSAAAPHSFHRLSLARPPPLRSRLTRVYALSSNDIRVGSNIEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYITGNTVDKTFRAGSTIPEASISKETKQFTYKDGSQFVFMDLTSFEETRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >OB12G10960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:582887:583759:-1 gene:OB12G10960 transcript:OB12G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLKADPLLREDEDAILDDVDTDDEEESEPEDDDSGEEFHAEPSKKSIYNKEGIIEKLEDIAWPENVDWRHKLTVDHDQGDKVDVNDDLARELAFYTQALDGTRQAFQKLESMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRAKEVQAEKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMR >OB12G10970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:585168:589723:-1 gene:OB12G10970 transcript:OB12G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPRAMLCLLLLSAALLCTGCHGGFLVEKNSLRVTAPSDLKGTYECAIGNFGVPQYGGTMVGVVTYPKANKKACKSFDDFDISYKPKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKALGLDHKAIDKCIGDPGADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKVANVSACKDTFRGRVCECPVVKGVQFVGDGYTHCEASGSGRCEINNGGCWKEARHGRTYSACTNDGCKCPDGFKGDGVHKCEDIDECKERSACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKSAATEVGWNFLWVIFFGLVVAGIAGYALYKYRIRRYMDSEIRAIMAQYMPLDNQGEVPNHSHHIEL >OB12G10980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:588008:588272:1 gene:OB12G10980 transcript:OB12G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLTCASCSAFNTGFSLSAPGSPIHLSMAFDIPSALITPSAHSLVYFFSFIGHRIAKSVT >OB12G10990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:592577:593143:-1 gene:OB12G10990 transcript:OB12G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYFRCTYKDDKGCQATKQIQQKDNNYPPNFQVTYSNDYTCNSCTTDRIINSSNHPALQNLAANPIGRPDDDDDTICNKMIKQEPQVAWLPPPLTAISNSLDETPALHVYQADEMGQQLETTVTEEALGLGADLDDPYFYDSNLLLLYENLMNCY >OB12G11000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:593406:594190:-1 gene:OB12G11000 transcript:OB12G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAHQAGDDEVAMKEVAQVYELIKTHQPLLLLHHNSQQQQQQLAYSLLTEAMRALNVALSVMKHLPSSPAPAAIPVNMIKPEVTPASSSTATAADGGDNQVGGGKPTRRSAAKRRRINGEDKSSCFQLTTMVPHEDGYQWRKYGEKKIQGTDFT >OB12G11010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:600656:603757:-1 gene:OB12G11010 transcript:OB12G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSTTTTPPQQQRLSARKEERTWTTATYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSSKIVGSTPDSAAQSSSRKAISICFNSHGATSEQPTFLSSSASLLSPSIQSYSSNQQPDRNTYTRQFQWADTWSSTPTSNGPVKMEIDDHAEASVSPSATGALSRTLLPIGQSRCIEYFQFL >OB12G11020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:613051:613404:-1 gene:OB12G11020 transcript:OB12G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGNGTSRLFNVDYYGQHTCRGDGMANPYIVETIHHSTESINQTKCSSPALEHEGHGVQDERLENLCMVPTIPEYSIEYEMERAFKFSMNSPLDSEHWLFDDSIRCEQSPICIWG >OB12G11030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:615305:617549:-1 gene:OB12G11030 transcript:OB12G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSSSSKKRSLVADQHPSSVCCDHLAALREISRGQSLVTQLRAIVLPVLQADERCGIAAQMLEDILDCSRKAMSQLQPLLLSSSDSAHDHVDDKRRVSKISSSSDDDDDHCSSKAAQDHDAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCTYRQEEKCKATKTVQQREDLNYANNGDHPIMYTMVYYGQHTCCKSPAADDHVVVEASQNSTETHCQSPSSSSDQQHVAHAGDRRSQCSNISVTCSSSAIVEDCNKLLDMLPAADELTTLRFGHQLGNGCKCTVGLKSMLAPISLEYEVLTIDGSISLLIHCGTRRRQKPVQQHLRHHVAHAGDRRSQCSNISVTCSSSAIVEDCNKLLDMLPAADELTTCT >OB12G11040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:632270:633968:-1 gene:OB12G11040 transcript:OB12G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYWCRVVGRERELQHLLFPTPTTAAALADTTAVGGERLPPGLATKIVSRGRKRLRDKNNIVLKLRLDDADDQEMAADGRDEPLRCSKTRRKQQSTTSTMVTTVPDFDGYQWRKYGQKQIEGAKYPRSYYRCTNSTDQGCGAKKTVQRNDDGGGGGAARYTVAYISEHTCKSVESVAPVILETTIVPTAGRAAGTVSVFVGYHHYYMEQHW >OB12G11050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:638897:643058:-1 gene:OB12G11050 transcript:OB12G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKIRFVRCPGCLQLLVEYPSIAVYHCGGCGTVLRAKNRVASTVNANTESGEHNGFSNNSTGDIQSEKMIGTNEQEILPSSNAQPGVLQKKIAFSREERIMSASNIIDSSEHVNIESSLVDWDSGNSDIRIEDIHDEDKGCLSDSSLDSMKKVENVENDGNINSEKGSATDDGSINNEIATTRSMVYLDGAGSNSNFTRELESLAEDNCTINNNVTSQEVVASNRPDEETDSEDIFHPYEGFHIESHEDLIEELMRSLSISDGEDEFVAIAENSELYDDLCSQMGSCRFSWGKKIKDAPQSDPHGRLIEELEMSFSDAEEPLDQDIMVAHDDIFEMVTLGGDGKQNHILDEDGKQKHILDASDANSYEERVLTLDDEHLKSGQSFKQSELASVDTEEMEEGHPEETNMVNHAEANSGTFAAISNLSNNKFCAMLPPSCDKRKEKKSNTYKGRVLCQRLSLDYEDLWSIQNFIESQMDGTSSSLSRGSPSHGILEHSLSNKFNRIDQLERLKKMDDLRDQLNWLSSKKGLGNMYNDEHSCGYDADSIPGSDHIDSYYDHENPPRYPSPDPYSPSHSYRDIGHRQPPIPYSYSSHEFNSYYQSSYAGSTVLEQESLSSSYEEQKRAVMKHILRSLSGASPFTVCNGCFSLVQVPSDIYVLKRKSGKFQCGRCSKALVLSFPATHSEDTKLSNEEDQPNKPVHNRVIGMEDADSISAECSRGDPVSISEECGASFSRSFSGRTRPAIDDSRSRKKVSDSALHRLMGYDSVNKLLAAHQSV >OB12G11060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:644188:648422:-1 gene:OB12G11060 transcript:OB12G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPSIAVYQCGGCGTVLRAKNRVVPVVNTNVESGEHNEFSNSSTGNSQNNKLICIDGQTILPSSNAQPGVVKEKITFASEESTVSSSNSIDSSEHVNIDCLLVDGDASDPDMRMERINDEDKGTVSNSGPESMKAENVGTDGNVNSEKSSFMDDQSIINEVATAQSIVHMAGGGSNSNLREAQSLAGEKCTLSNNNVNSQEIVASCRPDDEIECKSNNVSAGAKDRFQPYEGLHVESHEDLIEELVRSLSLSDDEDNFVDIEENSELNDALRSQMGSCRFSSGSKMNDAPRTDPHGRLIEELEMSFSDSEEVDQNVMIQHNDIVEKVTLDEDGKENHNLEEDGKENNILDEDGKENHIFDKDGKDNCILEKDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDEDDKYNCILEEDGKENHILDAGGSNSYEERVLPMDDGDIKSGQSFQQNELATVNTEEKEEEHPEETNVLNHAEADSGTGAVLSSLSDDKFYASAILPPSCNKRKEEKSNIYRGRELRQGLSLDSEDFRSIQKFIESQMDGTSSSLSSGSPNHGDLERNTSNRFKKIDRFERLKKMDDLRDQLNRLSSQKGLGNRYKNKGLGHLQQQSSYKHIELHPCGYDADSILDSDIIDSYYDHGNLPRYPPPDAFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQPSYAGSTILEHESLSSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALVLSFPATHSEDTKLNQKPLHYTVDGIEGANSFSAELRGDPMRIIEKYGASSSRSFSSRARPDFDASTSGKKASDSALHRLMGYDSASQLLRHSRVFDDGYDSFESMVPVSNRVFRRKNL >OB12G11070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:652490:653476:1 gene:OB12G11070 transcript:OB12G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSGGGFSERKTEHFVLVHGAGHGAWCWFKLLCLLQNSGHRVSIVDLAGADGVRSFDDYNAPLLGLMAALPESEKVVLVGHNAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKEDSALASILLRPWPTALSTARFGSAAGEGDKSVINRVPRVYIKTANDRMVKPEQQEAMISRWPPSEVMTMDTDHSPFSAPELLCNLIIKSL >OB12G11080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:654120:655196:1 gene:OB12G11080 transcript:OB12G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKEHFVLVHGEGHGAWCWFKLRWLLESSGYRVTCIDLAGGGVDPTDPNSVRSFEHYDKPLLDLISTMPEGEKVILVGHGAGGLSLIHAMHQFIDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPKNEIELTFSTGVDDPPTTAALRPEF >OB12G11090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:657232:658296:-1 gene:OB12G11090 transcript:OB12G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTSATVSMGMCPIPSSWSATMPRLLLLHHLLLISLVSDMEDAHHWPMVERRGTHLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAVIAEAAEAGLNVCRTWAFNDGGHRALQTAPFHYDEEVFQALDFVISEARRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEEDFFSDTTIKSYYKAFVQAVVTRINTITNEACKDDPTILA >OB12G11100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:660092:662458:-1 gene:OB12G11100 transcript:OB12G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSFNGGGHISSLAPASASASMDRTCQWVSSPDAPPDLLIRIAHDVFPLHKAVMVPKCGYIRKAVAAARGGPTATVELDLSALPGGVDSFEKAARYCYGASFEISVRNAAALLCAAAFLDMQPAEGGLERRVEEFLAKVGLRTLPSAVAVLRSCEGLLPAAEEAGGEASRARENEELRSELARMRAYMSGMGVQQSKGGGSSSRTTASSGKKGTTSLWLLGSVSRTLSRLNPFKGGIWAKDTSSIGDKKMHSVKPKRRRFSLS >OB12G11110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:661838:662131:1 gene:OB12G11110 transcript:OB12G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKCNLVEREHVVGDPDEKIGRGIRRRNPGLIRRFGWKKKKKELVNSDERVTTRAAIEQRQVIHVPLARPIHGSRSRCRRKRRDVSSAVEAGSL >OB12G11120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:664073:668996:-1 gene:OB12G11120 transcript:OB12G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPAFPFSTGKLSAANSPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSRCGRIRRMVAGTKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIALNASKEQLVSGLAHLECDVGSGKFRMHCQDWWVEDLSALRIDYYHRVIAAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNERVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPAVQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSTTHHTTTLTMSGGDGSLSQRMMPGGSGVPSSCVSPQRDNYASLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRGGGEHGRALLASISRGIGRIATLGVGAQGGESRRRKKKKSSQSQWSSDGGGKSSRRRHKSASSTVTYAAAS >OB12G11130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:675856:677898:1 gene:OB12G11130 transcript:OB12G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASPTAHVHDVAMLLLLVLVQCPASSSCPGGVDFIFEGFHQPSNLSVDGSALILSSGALQLTNSSNHIMGHAFFHSPIKILQGESESTLEVTHWSTIFVFDIVTSSESGSGHGLAFVIAPSKQLPGAFDQDYLGVLGPTTNGNSSNHVFAVEFDTQSIAALNEPNGSHVGVDINSVVSTVSEPSSYYTDDNKRVAMPLDSGRPIQAWIDYDGTTNVLNVSIAPVPMPQPQRPLISTQVDLVPVFKSNMYVGFSSATGKLASSHYILAWSFRTNNGSAQHIDLSRLPKVPRPSPSPPKSAIIKIAALACASTLLVTAAVWAMILLVRRRAALAETLEDWELEQPHRFSYKDLYRATKGFKKSELVGAGGFGQVYKGVLRRSGDEVAVKRMSSSNTREAMRGFVAEIASLGRMRHRNLVELRGWCRRGQDMFLVYDLMPNGSLDKHLFGDGERSLSTWAERLEIIKGVASGLTYLHEEWDQVVVHRDVKPSNVLLGDGMVARLADFGLARLYDHGSSSGQLATATRVVGTLGYMAPELTASGRPTTSTDVFAFGVLLLEVACGRRPIQRDDTTGLDVTLVRWVRRLALRGDVMLALDPRLHCMRYEEQDQAKLVLWLAMMCSQDKPDARPSMRQVCRYLDGELTVQEDAALIFSNTPDFSMGLGWSSCGTMSFTSLRDGR >OB12G11140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:679052:681139:1 gene:OB12G11140 transcript:OB12G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNTSVALAPSIALIHLAMLLFLVCCTDSSSVADFLFNSFEQASNLSLDGSALVLRSGALQLTDGNNNVMGHAFLDTPVRMVGINKMAVSFSTCFVFDIVATGTGGGYGLAFVVAPSKVLPGASREDYLGILGPSTNGRSSNHVFAIEFDTVLSVQGFNDTNYNHVGVDINSLVSNVSEPAAYYTDDDKKKVAVKLESAQPIQAWVDYDGGAHVLNVTIAPAALSTHKPHRPLISARLDLSTVFRESMYIGFSSATGKLASSHYILAWSFRSEGAAQDIDLSRLPDVPKPRPPARGRSTPRSAIIRIAAPACAGTLFSMAMVMVGVLWLRRKAALAETLEDWEVNHPHRFPYKDLHRATKGFSDSQLIGQGGFGQVYKGVLHGASDVVAIKRISSNAGQGMREFVAEIASLGRVRHRNLVELRGWCKRGQDLLLVYEFMPNGSLDAILFGKGTREREEEEEEAPTTTTTLTWAQRLHILKGVAFGLVYLHEEWEHVVVHRDVKASNVLLGADMGARLGDFGLARLYEHGADPVTTHVVGTIGYMAPEMAVTSRPTTATDVFAFGALLLEVTCGRRPTSAGGGGGTHNLVSWVRHCGFHGQFLRAVDPRLHGSYDEEEVTLVLWLGLMCSHSIPEARPSMRQVCNYLNGDETLQPDDSLLLSSTDHSAQLFGPPISMTWTSCGSMSADSLPSGR >OB12G11150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:687124:688113:-1 gene:OB12G11150 transcript:OB12G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSSSQAPGCCSTPMDFLTCLCLLQLLYLASSGVAAQAATLPARALDAMLQDYAYRAFVRPHTGIVYNASVPPNLTGVAVSAVRLRSGSLRRKGFSHFLEFAVPTGIVVEPCVERVVLVYHNLGNWSHYYYPLPGYTYLSPVLGLLVYDAANLSAVGLQELSLVASGSPISISFSNLRSVQPGAPAPRCVWFDLDGVPQFRNLEASNVCSTFRRGHSAIVVNSSEIAPGPLPPGTITPPIPTQGVHSKGSSKGWKIAVGVVGGVIALVLSASLVVCLARYKRDRKLEVMERNAEAGETLRMSQVGRSQAPVALGTRTQPVIESEYVA >OB12G11160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:693733:696750:-1 gene:OB12G11160 transcript:OB12G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPVAADDRRSSFPQPQGSSSSFSYTSIKSMNEPKVGLWGTLARKAKGILDEDGVAHKSDNYTKEKTPHKFDSSSGAQESRSRWSFENHKRTGDTGSLTRSEALAASVNQFGGKLRDALEEGLTIVDNKASNIIEETKKIQIRRKQANSNSYMPSPTFNTFRPPNLSHDRAAAQETQLKASRDVANAMAAKAKLVLRELKMVKADLAFAKQRCAQLEEENKFLREAKQKCSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDSDMEEDNKPGEDSNQTYSEDMFPVVEAYLDREELSPVPSRPESPILSSHESSAPKSSNSQISAANLPNNVSKPNQLVHGTN >OB12G11170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:700906:705167:1 gene:OB12G11170 transcript:OB12G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPLVFRWMQADCKLIKSMQMLHLPPSIQTPPPAQHPLHSSAFSCSPCARRPIGPPPAFASAEFLGSVPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGIRVHHKVCSHEDSSDQSLDSAITNADQNQIGLPILLLHGFGSSVFSWNHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSADDTKPLNPYSMAFSVIATLAFIDQLGTNKVVLVGHSAGCLVAVDAYLEAPERVAALVLVAPSIFVPVFKRKGVKDYGSAEQEWQKKNDSNGSNLPTNSFIRIREGFLELCLRTAGFLMHMISAIGGLIRSLYCKAVVAVLRSSLGVMLVRLIMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTVSMIMDSTSTSKVPVSERLSEISCPVLIVSGDTDRLVPRWNTERVARAIPGAEFEVIKNCGHLPQEERPEEFVSVIERFLRKAFGRANEQDQVFQAAV >OB12G11180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:705848:711300:1 gene:OB12G11180 transcript:OB12G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHLSNTISFLFISFAGLPRPPPAALLTNPTVSYLLVQFLSMSDHQRHAGNYSPVPTQPDVQCLVCTRPFALDAEVNDTFEALAICMDCKSTVLNVDDEITSTSRHMARRRRRSRTASIDSIEDAFSQEFSQLINLARQGCEGDTDSSSVVLQNVSYNSTPNHSQRWHASNDESDGPNYVDFVFGEIESTISFGDYGGDSDTSLDQHSVTARRISIQLDNDGYANTDTDIDPMNARLDQWDSDDQEDVQSEHSDFGEAVDIMIHHQQQSRDIQLSGLSEDESEDAFNWSMTVRQRANMTNLLEDMEGSQIRTAFVGNPGDYVDARQFEMLLEQFAEDNNSRRGAPPAATSFVENLPSVIISTGYQTNGDVICPVCKDPIPIRARAKQLPCTHLYHSSCILSWLGSRNTCPVCRYELPTDDAEYERSKRTATNERDMQVVDHTHLQESMDEISDEPELEVTRHMAIGAVGETISSERSVRAAEQPNRAHRRSGWFFIAAAPVVSLVSLALVLCFTNPPRIGRRQPYCRSPSATVFYLCNILWLRPVKIRKILRKQGIRGPKPTLLYGNTREMKRIQQELKVSQKQGTNNYISTLFPHLFLWRETYGPVFLYSTGAMEILQVSHPDMVKDLGRWTPSELGKPTYLKKSRKALFGGGLFTVNGDEWAYQRKIIAPEFFVEKIKGMIQLIEDATGPVLEAWECMIDDSGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIAQQDAFVGLSALWKYLPTKSNQEIRTLDEQVRLLILEVAKEHRHHQDPHNGLLSAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARAEAMEVCRGRTPLDGDALRRLKIVTMVIQETLRLYPPASMMMREALTDDVRLGDVDVPRSTIVQVPRLMLHLDKDAWGADADEFRPERVANGVAAAACRAAHMYVPFGHGPRTCIGQNLAMVELKVVLARLLSKFAFSPSPTYRHSPAFRLTIEPGFGLPLMVARLP >OB12G11190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:711720:715524:1 gene:OB12G11190 transcript:OB12G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFSSLQWLPVLSPVILCILLFSYLYTILWLRPERLRQKLRSQGVKGPKPSFLFGNIPEMRRIQQLTKSVQEQDAGTSDRVSSNYVATLFPYFLHWSRVYGPIYMYSTGSIQTLSVIDPNIVKELANCKSLDVGKSSFLQKERGALLGMGILTSNGDLWVHQRKAIAPELFMDKVKGMVNLMMEAAVPMLNLWKNDVEDRGGSAEIVVDEFLRNFSADVISRACFGSSFSEGKEIFIKIRQLQTTMAKQNMFIGVPGSRYLPTRSNREIWNLDSSIRTLILNIAKKYEHDSPTTANKGLLHAIIQGSKDGPFTSCTREDFIVDNCKSIYFAGHETTSTTAAWCLMLLASHHEWQSRTRVELLDVCQGRPLDFDMLRKLKTLTMVIHETLRLYPPAAFVVREALNDIKLGSIDIPKGTNISIPIAMAHRNPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAIVELKVVLSLLLSKFEFTLSPNYVHCPAFRLTVEPGEGITRPHDF >OB12G11200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:721565:722245:-1 gene:OB12G11200 transcript:OB12G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTTASGSGSVHTPTAAPFRTHKDLEAARRQRRRRCLCCCLVATLVVLLLLAITLLVLFLTVLRVRDPTTRLVSTRLIGVAPRLSFPAASIQLNVTLLVTVAVHNPNPASFAYASGGHTDLTYRGAHVGDAEIDPGRIPSRGDGTVQLALTLQADRFAGDLTQLIGDVMAGSVPLDASTRIPGRVAIFGVFKRHAVAYSDCHFVFGVAEMGVRSQQCSDRTKL >OB12G11210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:726696:729263:-1 gene:OB12G11210 transcript:OB12G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSANVGQSDATDAVKRTVLAPKNVSEAAAPPASSAAKRRFSSPAPSKQRDPSPALKGASRPSSPSVKGASRPSSPAVRGKSRATSPAPSKCVVPSLAAAKEENRRTAREPAIVVPSRYRQPSPVGGRRGAASPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSTAAAAGSLMKSKVKVDKDTILRTQEAMARRLSDVTTELSSNDGDSSVDEKPKPRKKTESTSVKTKAAAPKIVLHDPKWTDGTISLDGVSDVLSKMGKEATERRDAAAIAAVDALQEALITESVIRNLSKFSELSSASKTSNPLPTVDIFLAIYEDTLKWKKISESIATSGTETVLWENSTTHWVEAALATDLEVLKLMNRAPESFSRKRGADKPKAPSAVEPPKTTLSKRQSHGAPAKVQSKVSPSPTAACTWNKTQGVNETADLATALCREMHTWFLKFVDEAMDLGFHLFEDQNIASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFESSVSVSSRS >OB12G11220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:735971:736639:-1 gene:OB12G11220 transcript:OB12G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAVPEPPCISAGIVSQQQDQDQPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRVDCYDASSSTTSRRPELVLMDVAGNPLLTIRRKRLSLSLSDTWVIYDGDGKGASTPLLSVRRQLRGLRASKALAHVTPLSSSLPRPEAYVVEGSYGRRACAVRDARGDAVAEVRRKESVGDDVFRLVAEPRLGAPLAMAIVIAIDEMFRGGSSLLRRTCSA >OB12G11230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:744757:747262:1 gene:OB12G11230 transcript:OB12G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSAVLRRVPPLTLALALAPPAPPRPFGRLQLLLPRASSSSSSSSSPPVVQGMEACYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSDETSDLWVTAKEVGVQLEQYHGASSLTFAIQDGPQAGQTVPHVHIHVIPRKQGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRALFS >OB12G11240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:747482:747652:1 gene:OB12G11240 transcript:OB12G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYSTFYLALFGEVKIYSFYRLSIKFVVSRILAKYTSFACHKLFLLPCSAISLCI >OB12G11250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:749795:751647:-1 gene:OB12G11250 transcript:OB12G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQVHPSRGGGGCRPDEALVYTVWKRSSMGFQGTDGFSVYDSAGSLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEQDSDKTSSPSVSQQQVFSMRKSSALQSSDEAEVYMSPSSDHHHGQPDASSSPSPSPSFRVDGCFSMRSCKIRRSNGEEAARISSKNASVASVRPAVSLGDDVFSLVVRPGVDVAIVMAIVVVMDRICRRPYAPMACSSSAATSVVHRRTPAWRRPGRPSVSATTCSAWSSGQASTLRS >OB12G11260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:755507:758336:1 gene:OB12G11260 transcript:OB12G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGSKYRFGPHEIDDRQVFRTTPLSFAIVNLRPTRPDVLVCPKRNVKRFANLTVDETCDLWITAKDIGVRLEQYHRASSLIFIIQDGPHSGQTVPHVHIHIVPRRKVDFENNNDNTGAINAKNETFDLDIERKDRTMEVMAQEANEYRALFP >OB12G11270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:760677:762294:-1 gene:OB12G11270 transcript:OB12G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSYSSCRPRHQHAAASTAPPPPPRPAVYTVWKRSTMGFHGTDGFSVYDHAGALAFRLDNYSRRRKLFAGELLLMDGHGSPLLALSPQIISMHDQWNCYRASEEGHGKRTRTQQLFSMRKCSIMQTSHEAEVYMSGPTNAPGRTGHVPSFCVEGSFRRRSCKIRNSNGEQVARITRKKAGGAALSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPLMCSS >OB12G11280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:768319:769367:-1 gene:OB12G11280 transcript:OB12G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPHVSISLTGLAMAVFLFSSSLQAAQGGAEKKKEVSEYYYDVPVRRLVYRPAGGGDEHGGSVRAVRAVHGVPVLRVVEREQLRGRSVLLRHRLQHPRQALRRLRLLPPHLRLRRHQLHQPPAMTDTS >OB12G11290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:770994:775767:-1 gene:OB12G11290 transcript:OB12G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVVERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLPWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGTVQSVLGSGLPSYRVNRRLTTNSVHCRAIPNPKCSPAVPACRVR >OB12G11300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:783743:789528:-1 gene:OB12G11300 transcript:OB12G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATLIDWSVPRGIESFSWRRREGAHLSSEREEPKRGSQSLLLEWRYPLLLRPRTPIPNPRSLPPSHPPLSHFSISVNMEPPGPGSSSSSAKLVAACVIGGIVLGASVLALHLSIPTLPPVDDLRRRLRRRRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEEFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSKGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYCRLESPLDITTSTIIRRIVTNHEAYQKRNEKKEASEKKYYDSKSFVNGE >OB12G11310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:790940:793833:-1 gene:OB12G11310 transcript:OB12G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKPKSKKKKEAASSALPTLDRLHETLEILEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEQTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELDNELPEPPQRTSMEPSARAITSQKPTNDLAELTKLQAEMAL >OB12G11320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:795296:808044:1 gene:OB12G11320 transcript:OB12G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVTDPLAELWNHTMSTDRTDLMCFYPSKITMGGIWVGDNPLDFSMPLLLFQVLLITSTTRAATLLLSPLRLPRYISEILAGFLLGPSVLGRLPHFSDLVFPIRSLFVLESLALFGLVYYTFIVGVEIEVPTITNAGLRSFGFAAGKITMGGVWVGDNPLDFSMPLLLFQVLLITSTTRAATLLLSPLRLPRYISEILAGFLLGPSVLGRLPHFSDLVFPIRSLFVLESLALFGLVYYTFIVGVEIEVPTITNAGVRNFGFAAGCALPPFLVGAVTGYVALSTDDRRKGDTFLNRLSFPIFLGATFSSTAFSVLARTIAELKLAGTDAGLTVATALKHTQSSLLQTTWTLTSGVAIFGVSYLLVRPMLLRLARRAAEGEVVGEVRECSVLIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGLLLPLFFALSGLRTDTAKITSVHAAVLLMVAAMLAAVFKVAAAVGVAGVFGMPLSDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFMSALITAMVSPLLAMVVKPARIMSDQSFTVLVFKSVLITAMNAEGVSARTMAAVSPYVSMHEDITSAAENLHSALILLPFHKHRSVDGGMGVSHPAIQPLNGSVLRFSPCTVGILVDRGLAAVPGAGYRVVALFFGGSDDRFTASEFDALKERKADDGCLREFLDRANEGGGATVEYRERGVFNANEMVAEIQNVEAMGNKDLFVVGKAYGRPAVVGAVSVDLGGESVAPTERSASGRRPWARSAP >OB12G11330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:811636:812505:-1 gene:OB12G11330 transcript:OB12G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRDDRPPTQAKADELADYLLMRAFLSSDPSSDSGGGCIVVLLHRPEYQLSFARVGVDERWAWVNLPDSDFYTDVVYNNRDGMFYAVTHQVAIHAYDFSGGPSAVRRTIVLADQLHGVINLETKYLIHGPNGDGWLQVWRMLKPVRAGEVHDAGAATNGDTTRTTAVYRKTTIWIKVYRVDLAAQRLEETETLGDGGDSRALFIGCNQPFWVPAADGDGECPSGVLPNHIYYTDNDEDYALLYPEAPRDIGVYSVADGSFSPFRPTQPWLTWPLPTWLVPNFGYYHQC >OB12G11340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:836700:837119:1 gene:OB12G11340 transcript:OB12G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFHVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQTTGR >OB12G11350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:837808:837966:-1 gene:OB12G11350 transcript:OB12G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding PESESWKVTLADKETWNQADYSKGYNCRKCPVSKDFNKLITTMAGKVKRMLK >OB12G11360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:842555:844510:1 gene:OB12G11360 transcript:OB12G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASHLLRRAHPPSPAAAGGAACALRHVRLFSPPSHHRSRPAEAEPEVTAAEARRLVRLVGVEALKRRLRDGRDEVVGYGELLDTCVDAGAARTRRDAEALLRALAGAGAGLLFRDKAYLHPEKVVDLVRRAVPLALSPGNDSRKEELKQLQEKKEEIDKVAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFTERLFLSRHRKLCARHRFDMEKYLELQKHCKCPLEGHYSHDPKFHGL >OB12G11370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:845252:845717:-1 gene:OB12G11370 transcript:OB12G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGGSVELRGCTSVLLGQQHSGAMGSVRLSYQGGGADGCTNKLVVIFHFNGKFLFDGMNTGNVNACEHLSFIDRRKVSLHEIHGQAKGHCDVAEEMLFH >OB12G11380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:846666:847130:-1 gene:OB12G11380 transcript:OB12G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITFVVALLALAATSASAQFYAYNEGYGQYLLQQQLLLQQQMLNPCNEFVRQQCSIVATPFLQSVVSSLRNCQAMQQQCCQQLKLMAQQSHCQTISSVQAIMQQLQLQQFGGVYFDEAQAQALLTWKLPSICGIYPSYYSTPCNIPTVGGVWY >OB12G11390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:850567:851211:-1 gene:OB12G11390 transcript:OB12G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRNSNDGGAPPPPTPNHSVPPASQRQQVQGNVLPVTGGESSTTINPPANVNETASAARRRGGILGIGPRVRQQAQAQAQSVPPPPFCAACNRRITPQNDIYMFRDNAYCSEECRGEV >OB12G11400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:858312:858509:-1 gene:OB12G11400 transcript:OB12G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LELGVRFIVLLICCSSTSIILIPFLWATSQASHQSASSSRFDLDLHCDVGPPLQAPDPRFYCQEA >OB12G11410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:858812:864108:-1 gene:OB12G11410 transcript:OB12G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3NAY3] MKGRHHYSSPLPSPSPPSKRRCTALAAAVPALVVCSILLTLVFLLGLHRPGYGSGERAAVVITTKLGFGKHKHLDARMKHKLLKEFSFPGSHGISGAKSGGRSKSKNLSTKSKQKLKDVLSLVQLKDGTRKNKGLHTERRYELKDLSRKSVDTTTDKKENIQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIVDSDLPPFFANKLEKMERTIERAKSCEVGCSNVERKLRQLLDVTEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSMSIRMDQSNKQKLQDPTFQHYVIFSKNVLAVSTTINSTVMNSKDSGNTIFHLFTDAQNFYSMKHWFDRNSYLEATVHVADIEDHQKIYKDVDSHGMKLLWPAEEFRVTYRNRSESFQKQMKTEYISTFGHSHFLLPDLLPTLNRVVVLDDDLIVQKDLSSLWNINMGSKVIGAIQFCEVRLGQLKAYTEEHNFNNNLCLWLSGLNVIELEKWRELQITSRYDQLLQKLQKDSVASFPLKVLPISLLVFQDLTYPLEDSWVQSGLGHDYGISQTDIKKSATLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTDCNIH >OB12G11420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:864343:864597:1 gene:OB12G11420 transcript:OB12G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTETVVFLPSSGSALSLTSACYWRVKWRGAISLQFLFLFFGPPLLPLLWRLHVFFSPITCPLSFAVHQISIFFILLPSCLYK >OB12G11430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:868824:869183:-1 gene:OB12G11430 transcript:OB12G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRPRRRARAGTGSGASSAAGRDPRRQRPTGPRRLLLHHPLQAHRESSPSPGRRLPQPGDESAIPIPTPPIPPPXXXXXXXXXXXXXXXXXXXXXXXXXGRPLTSAGHRSPAGTLS >OB12G11440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:871964:872314:-1 gene:OB12G11440 transcript:OB12G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAAAPQADGEKERAKKLKADEDEVLLAYPSLKDDGKRRKNGEEAGEGAGGEAAVRQGHLAHPQRQGHGHACPREGGPAPCRRIDQGGLRHHAPGPGPYPLLVSGQGIRRRAG >OB12G11450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:876849:879255:-1 gene:OB12G11450 transcript:OB12G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVAFARLQVFHPNLSSNIISMLLAKDNNEIDMIRLACGLDNLLHPIIAKACTNDTNKHSSPLASWGFPSARGEKTPFVVNKVGSDGGKAFLPEEYGWRLPMGNISPSTINTHGWKPCLYFKGVTMHLGSEDIQKYSAQTPQIDKSDWINNHNARQIYLTFSPDSIFSKEDVFNYFSIYGMVQDVRIPYQEKRMFGFVTFACQMTVKLILARGNPHYICDARILVKPYKEKEKVSNKFRHDIHCLIPLLHFFHHSYKEHYIVLGPRILYKDIASHDSFIRMNLEEEQQATEQWKRCLMRLKLLNLQNKGYHLNSLMAMGSHVPLGQVDRKDNVNENDNPVHLEDTTTQDNRLNGIPSIRETASGATSIKAEHTINSMEEGEFGAKTTIPDYVCGFLESEMKYNLPDSPFSSPTKASNVVAATYTSNITITSPNMVASNLFSPNIHP >OB12G11460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:883145:884150:1 gene:OB12G11460 transcript:OB12G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMAAAMLLLLSSSLPLALADTAVLGRRGGGAMSMSKSGKKAGRYVVILDAGSTGTRLHVFRFDVKRLDLLDIGDHIEVFAKVGHQWTESITFDRSTK >OB12G11470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:886744:892972:1 gene:OB12G11470 transcript:OB12G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARLSVSSSKTASRRALQAPSLSRARGGHRGGDGGGTRWEMSGGGRRVDPGLSSYAGRPQEAAKSILPLLHDANKAVPAPLINKTPLRLGATAGLRLVGDEKANQILEAVRDVVHSKSKYQYNPNWINVLTGSQEGSYMWVALNYLLDRLGRDYSKTVGVIDLGGASVQMAYAISSSTAANAPKVPHGKDPYITKEYLNGKDYNIYVHSYLRYGGLAARVEILKRKTGQYSNCMLHGFNGNFTYNGKQYDATAAPQGADYHNCRDDVVNTLNLDAPCKTKNCTFNGVWNGGGGKGQDDLYVASGFYYLASNLGFIDNDAPSAKSTPTAFKDGAEKVCRMSIQEAKAAYPKARDHAYLCMDLIYQYSLLVDGYGLDSNKKITLVAKVKHGEYYIDAAWPLGTAIEAVSP >OB12G11480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:893623:894985:1 gene:OB12G11480 transcript:OB12G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGVLGLPFAMAQLGWAAGTVAIAASFGITLYTLWQMVEMHEEVPGREAVRPVPRARAARVREAPGAVAHRAAAAHRPGVLHAGVRHDRDGGTVLVKKYQFSPGLPHRVVARSGYVAFTMVVAMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWLIIRKPTKYGFSWSMNIFFIIIGVLLMLVSPIGGFRQIILDASKYKFCS >OB12G11490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:897042:899917:1 gene:OB12G11490 transcript:OB12G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKIGDDIEVFAKVNPGLSSYAGRPQEAAKSILPLLDKANHAVPAGLMNKTPLELGATAGLRLIGDEKANQILEAVRDVVHSKSKFQYDPNWINVLTGSQEGSYMWVALNYLLDRLGGDYSETVGVIDLGGGSVQMAYAISSSTAANAPRVPHGQDPYVTKEYLKGRDYNVYVHSYLHYGARAARVEVLKRKNGPFSNCMLRGFNGKYTYNGEEYDAMAAPQGADYHKCRQDVVKALNLKAPCQTNSCTFNGVWNGGGGAGQDELYVASSFYFMASDIRFIDNNAPSAKSTPAAYKDAAEKVCKLSVQEAKAVYPSARDHAYLCMDLVYQYTLLVDGFGLAPTKKITLVEKVKHEEYYIEAAWPLGTAIEAVSPKKKYQEA >OB12G11500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:905433:906995:1 gene:OB12G11500 transcript:OB12G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPALPPGFRFHPTDEELIVHYLMNQAASMACPVPIIAEVNIYKCNPWDLPAKALFGEKEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILATPTSHSIAVKKALVFYKGKPPKGLKTDWIMHEYRLTPASPANCNTPKQRRGSSSMTMRLDDWVLCRIHKKSNDFSSSDQQEEQDQPEGSTVEQQEDNNSCSELAPTAEHSEQPPFQPMAASSMSKSCSLTDLLNTIDCAALSQLLLDGSSDMVSEPPAPPSPLIYTNPCQTQTVLNYNMNNNNNIPHVLEARLDHHDGYVNNYHGLRRKRMMACSGGSTSFDDGSEFVQQVAKKPLLPSGSRGSGFGGGYCNQQLAETTTTFQFQNGNLLSHPFPLNQQQLLLNNHLQMQ >OB12G11510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:913117:914504:1 gene:OB12G11510 transcript:OB12G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTANSLVKSEQDGVGLFLPPGFRFHPTDDEVILSYLLQKFLNPSFTSLPIGDVDLNKCEPWDLPSKARMGEKEWFFFAHRGMKYPTGLRTNRATKEGYWKATGKDREIFKPSSNGGSKQLVGMKKTLVFYKGRAPKGSKTNWVMHEFRLHANLHNHNPNLRLNPKDEWVVCKVFHKKGDEATQMQATTVEDVSAGTPNNGSYVEANDDVIADYFFQLDSVDPSIYFSSPAASSSLSAPPDNDAVPYSSVAANGATPTTTSTTNGSFQLPNYSVSGVSSWNNMPTPGAAAGVVLHGHGSSYSLQHQAAMVKALEDVIRVPDFGTVQMPSSNKGLPTRSATAGALQQNYPLGMPHYKLQNYGDTYLRDRS >OB12G11520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:918648:921895:-1 gene:OB12G11520 transcript:OB12G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAVAVMLLLARVSAAAPVTGPAFLWAPSNYGFSSDETKEIVHYQTVSLKSLAKSVLEEGGWSNLVCSEEDREKSVDVAVLFLGSKLQSSDISRDKQVDPTLADTLKNSFTSSEFSMAFPYVAMSNDEKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDLKKHHNMDSIHELVTSQIEKNPSGKTELVVFCGGGFKDLDQTKSEGELLSEMVTLLKKSGAKYTILYASQPYGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFEAPQES >OB12G11530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:924128:938466:1 gene:OB12G11530 transcript:OB12G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVALLFSSPSLGRPSSCLRSPPCSRRCSSHGARLQRSQLLVADRLSRNRDRKIGTSILRCSSSATDSASSSVFSERWVLEPAGDGEWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGAHARLEKKEGRLLVTDLDSTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMAIDVPSDTNGAEQEAEIAQPKPQEAIFLPAAASLQQQEVRIPCCKAAAASDSWAEFAARVSGEWDGFGAEFTAAGDPVELPEKVVPEAYREWGVQRHASSASAFAYSAGGSYVAAWPKGPAPVLEVEHCVVHPDNGEVRVRLVQTVALAKEARLRGVKVFSEQWYGPYRNGEQLGGCAVREAAFAAGEKLPVSDVIGQWHSNSAFAARFSNELDPETGKFAGLTPDEPAGEGLSRDDGDGIVTLPKQLWSLFKESGDGEEFVCEFLWQPIALRFIHRKAAGDLRLTVHLLLQLAEAASFTAVIKFAAEEFKTPRCHHVCCLLIGNRVCLEEL >OB12G11540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:940719:945866:-1 gene:OB12G11540 transcript:OB12G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPPEFHFGLLGWADGGAVWPDVRSGAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRAVPPEKVSRDKALQEQLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLSPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRATLLYFRGAIYRKEGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKNQWTKMWKRLKEVDKHFEYQFPSQKDDAVQMIWQALARKCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRNRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYNQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OB12G11550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:956614:957050:1 gene:OB12G11550 transcript:OB12G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSIPLLFSSPPNVVEARRRQSKVIDQPWGLSKTGRRPSRTPTARRRRRRGGRRTRWCGTGSASATTPPASAATPSTAAASSWRRARTAPPPRSSAGGRSRPPPNATAPPTPPPAPAGDRRELVRLQTRASRSGIQDQQVRYLH >OB12G11560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:961439:963299:1 gene:OB12G11560 transcript:OB12G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYARLRAAAAASAADASSPLLILPSAADADALCALKVLTHVLSADSIRFSIYPVASAAAAASLLASFSASQPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDVSSLADASDLSAQGDADDHLRVAEEDEDSDDSDSDSDAEGGRRKRRRLSDDADADGDPERLFGKLRREYYRLGTFHGKPSGCLMYDLAHAMRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGATALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCHPQALTKFCFFLMDALKERGARMKPLICACLSREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >OB12G11570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:963742:963972:-1 gene:OB12G11570 transcript:OB12G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNKYRAYRRYTVIYPDQGKQNLSLQSYTVNKKTKCSNKYMIVIYKHRSAVTVVVKRNKLVCLGKLSVIHFKSIK >OB12G11580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:974719:975513:-1 gene:OB12G11580 transcript:OB12G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAAASSTSSSRHPIFADATFPSAAGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSTAARAATSTRASSR >OB12G11590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:981645:982124:1 gene:OB12G11590 transcript:OB12G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATDGDTCPIQFLHRRPTAGGGGQWHNIGAAYAAVRFLRPQGRSLVLYSDPDEQRRIVFAYPILPGDAFERMDGETLYWAEPECGDEFALRFLDEAACAAVCAAISPVTPAALDGLAERLAGLRVAREGGASAGGDIAGRLAALSIGPPMNRPTLTN >OB12G11600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:981835:982099:-1 gene:OB12G11600 transcript:OB12G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPMLSAASRPAMSPPADAPPSLATRSPASLSARPSSAAGPVRWRRRRRRRQPRRGSGARTRRRTPAPPSRASRRPSSRRRRRGGS >OB12G11610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:982766:985925:-1 gene:OB12G11610 transcript:OB12G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 homology region 1 [Source:Projected from Arabidopsis thaliana (AT5G05510) TAIR;Acc:AT5G05510] MRKMEAALPPGTLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLMDYVKDARPLLKKMEKNRIGLKRAAFYMAYALYYEKHKRFEDAEKMYCLGTQNLAEPTGELQKAREQFIQRMELYKKRKSRVRQERMPNEVRSTATTTNKVEGQSGSCTEPKSNPVQRSGSGSNPHLGLQHPLGRKLSRGTSGDTKSLSRYNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDQPSFNQETSAFEIFVDEDGPNGTGPSILHDKDMKQENPKSSQRASTFEIFVDENDTNCNNQKMAQHRNSNKENTKVNQKANEFEIFIDENEPHGNGCNTMYHKSTKCPPKPLHDSRQQANFDFQKPFVGGFAILPDDEDEQFEENYSGVNINSGTVQLIRDKDTSLCSRQTDSKIRCDDFCPAISGLREDTVIHRFVGSAVVGEPKVENACHHGLVEPTINLKEAMDDINNMFGRPLNFKGEKPKTKKTTALSERKTAPLSGFSILADDAPEENPVAQVKPSDPCKFEGQSDLFEPTITTRDVMAEINDMFGMPLDF >OB12G11620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:986255:990470:-1 gene:OB12G11620 transcript:OB12G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3NB04] MAPPVRSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVADLHSNGLDDALLTDLCAAKSAGSPLSSLLSRVSGQCQIFNNPEARTKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDCGCCVVLANKKPLTCTYEDFEKLVSNFRRIRFESTVGAGLPVIASVSRILSSGDPISRIVGSLSGTLGYVMSELEDGKRFSEVVKAAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMEDINVESLYPRELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIEGKGCQVGLQELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADIVDLQDLFHKTD >OB12G11630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:993367:996616:-1 gene:OB12G11630 transcript:OB12G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3NB05] MERPAGGGSGDDDKTVPLLANKQDEEDVRSVGVGRRVVEENKKLWVVAAPSICARFSTFGVTVISQAFVGHISPTDLAAYALVSTLLMRFSTGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFGCAVVLLPIYLFTTPLLIALGQDPEISAVAGIISRWYIPIMFSYVWAFTLQMYLQAQSKNMIVTYLAFLNLGLHLFLSWLLTIKFHLGLTGVMGSMVIAYWIPVFGQLTFVFFGGCPLTWTGFSYAAFTDLSDIVKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISLGFLAATGVRVANELGAGSARRAKFAIFNVVTISFCIGFVLFVLFLFFRGSLAYIFTESRAVADAVADLSPLLAFSILLNSIQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGMILGYVIGFQVKGIWIGMLLGTLVQTLVLLFMTLRTDWQKQVQIARERVNRWYMEENGRSQNSRGNP >OB12G11640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1000092:1000385:-1 gene:OB12G11640 transcript:OB12G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVASTSKTGKGKTQGPEATERGDGSVSVANSKKETRPVFEDNIVLGLALDGSKRTLPIDDGTNPHLSLSETEQDTVEAALSPKDKVQEKADQRNP >OB12G11650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:999807:1009439:-1 gene:OB12G11650 transcript:OB12G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQQVTASNRFCQTNKFRNPEMRSSLASTSLYTGQDCWGHFISDRNYRPMLYGPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICRALDPVVLPSAASQAVKTRLVTFVRSLSTVLAIAYILTSLIQQLQKFLVDMRNPNDSRNMGFDFTLKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKVGIIVADMRKVLAKNPNIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSRFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPHPASREEHKVKTVASTETKPASPDNASISNNSEKQEQKKSVAEDGHLKNSKNDHVTTTSPSSPWSENMDNVASTSKTGKGKTQGPEATERGDGSVSVANSKKETRPVFEDNIVLGLALDGSKRTLPIDDGTNPHLSLSETEQDTVEAALSPKDKVQEKADH >OB12G11660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1009974:1013063:1 gene:OB12G11660 transcript:OB12G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIAFYSAFSFCQVGKKADQTILRLKGMYPSTVLLTNVISLRLLIWQLTIVFTQNLYFRIMRPTAHMTINNIFLPHLFFPSCILHLFSPKIQQSNGQERKGTNKSVHEYNAMTNERCCWVVPQYVEQFLPQPSGELTRFSEAMNHLRQLLTKTTFRKNYSRKKLYRYNPTSLSKRTKVTGSFSTAIRKDVDKGVWLSMCACPACVHVGGAPALCFPAHVGCGPRERRTTQQVPLS >OB12G11670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1015222:1016605:-1 gene:OB12G11670 transcript:OB12G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLRLLLLLLLRLVVSAQTSSPATALFVLGDSTASCAATTLPLNLSSFSSSGKCLFPSAHRLLPDLIGFDEVRKACCGLGPFGGTMGCLTKEMVCPTPQRHVWWDLYSPTEAATNFLANWSWSALPNSNTSICRSVNLEMLAGRIIRFFS >OB12G11680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1019534:1024582:1 gene:OB12G11680 transcript:OB12G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNFVRESLTGTKKEYTDWTSNMASKAIKRKPYAADIDRSEKQMEATIPDSVREPLLGNSTHEPQSDRYEPTLQPDLWDGKGQECLGWIHLISTFIARSVRKIGIAVSQFGSLLARFFRWSCSSHGSHNEQAILVGLSPIQEERLKFLRQRLNVPFDSSSMKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKADGKRAEWEYPFAVAGVNISYMLVQMLDLQSGKMSTKASSHFVQLLREDEMAFDNLFCVAFEMLDAQWLARQASYMEFNEVLKSTRIQLEQELAIGSISSVQEMPSFRLLKR >OB12G11690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1022914:1028068:-1 gene:OB12G11690 transcript:OB12G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSSPDPASSSSPPPPPPSPSSSSSEDDSSSAMSVPCKRRGRTQKSATGAKAKRVKKESKESTDPSANGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQVYLGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEFEKERLEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQEVPALHEEPQDDGQSENAVQELSSSEANTSSDDNEPLAVDDSTECMNEPLPVSDGIEESLWSPCLDYELDTMAGAYSSNSMNFSEWFNGEAFDGSMDYLFEGCSSTIEGSKDSSGLAEYNLFEECNMLEDISDFLEKDISDREQISPQANNISYPQKIISVCN >OB12G11700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1031981:1035258:-1 gene:OB12G11700 transcript:OB12G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYRDPEAIRQSNHSNVPVQQVIEIPPEFDPDSAEFISDICDYTTEFIEEIVHIPFEFDPDNADLAPDVELHSTKLQQEQMEIPLEFDPDSPELSTDMIEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKRRPRRKSSIERLKVSKHLAEEAMNYFEECVSISTLDSTDLSSLEDPQINSVMNVTQKSSNIFFHKGVSSISEPHYPTDRHWHNEDSDNQMRCSISLTGSDASGSHAMMTLIPRTAYSSSDDLDGFDTPKSRSCCFSFTHEPTKTVEGDDVKQYLRSFGKGICKDLREIRSTYCDDDYVFQKMNADLLMDSMKFKNRVNFGGLLICNTRRF >OB12G11710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1039561:1039937:1 gene:OB12G11710 transcript:OB12G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCHTVQTQHCNHHLTIDRADHHTMKKKALQNVLAAIFLLHLLLTAAAASPTTGGLLHDDGNNNAAAAAMTMSSRRLLQQPAAMATNTFRVNGVHQASGKPKVEFDASMKPKPGSNFNPRHN >OB12G11720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1040644:1048691:-1 gene:OB12G11720 transcript:OB12G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKEGGAYLPSIRIFMEVGLILVKFLKKILRSRKSRKFRLSVFLAALSNWCIFHRKRARKVVDTWEKQYNSATKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIGIWDERKVFGTRIEGLKDEILGGSTHAVGNNGNSSNPSSNPSSVSKAVRKDSGTITKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNSAVSVLDRMDKDVDDACTQGIQQASPLISDLQGQEAVLKQCIEQLESVNMARITLINKLREALTEQEAKSELLRNQLHVARAKADHAMQLRQRLGVALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQSLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNGGSASGDLSAGPPGFESNKKPRVENPIHGSDMGASSYFGQMPQVQQQIGATPVLGGTQANQVPGSFPPPPPPLPLLPQFGQNTGGMFGIGPFGMVSGSAPPPPPLPNMMSAGFPRPSGLPPPPLLSQSQNQSQPQQQQSPQAPQQSPTSTGFFPPPGAGFFPPVQVQQSPSVQRQ >OB12G11730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1050107:1051013:-1 gene:OB12G11730 transcript:OB12G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLHYPCILPQQRKLTTPTKLSPAGLLLRPHPPQPQQHHRRRPSSRPDLRCHRRLLTARGDYDYESPPDDDDDEEEDEKLSQAMGGFDTAVALFNGGDFHACHDVVEELWYTSEEPTRTLLHGLLQCAVGFYHLFNQNHRGAMMELGEGLCKLRKLHLDDDDDDDTTIPFYRFQEEVAAALNFIYRTQKELAACTDDLCLTMDGSVSSYQLLGNFAKGQQLYRLQLQPDGDVVPSIVFSAASDSRVKLPTLSATEQHLSALQCTREYF >OB12G11740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1051471:1051680:1 gene:OB12G11740 transcript:OB12G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRVRRGEGEGEVGGREGLVFPPFTCCVFARSWDSRGKDFSGHKSPTSPYGEKITTEALMINIIGFLFYK >OB12G11750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1057735:1058412:-1 gene:OB12G11750 transcript:OB12G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAPSSCLILPQLRSATTPRKLLLAVDGLLHCAHPPLLQQQQRRPTSSATVACSPCKNHRGAMMELEDCLYKLYKLRLDGDVIPTIVFSAASDSRVKLPTLSATEQHLAALECTREYI >OB12G11760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1058822:1059314:1 gene:OB12G11760 transcript:OB12G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDPQAPSLGFLHIHLPPIPSHSSRLPDRSSVEGGGHRCRSSRGATTRCCRQNSTRGPSRSTTSTPSSPPSPVARTLPLTKYSQRYTPQDQQGALILAV >OB12G11770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1058985:1059329:-1 gene:OB12G11770 transcript:OB12G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARNSNCKYESSLLILRSVSLRVFAYIPGFLPPEMAAKRAWKLCSARGPW >OB12G11780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1059938:1061812:-1 gene:OB12G11780 transcript:OB12G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASFLAAFFALDAPAAVDGQNADMGASASSVVATAACALEELTMTNDVPTVTMEYESASAIALASADRPISYMQLPSASMVPVVAPSSRLLLSQLCSATTQRKLLPTADDLLHRANPPLLQQRQHHSDLWCHCRLLTARADYDYNNPAKEEEEESSPKVTGGFETAVSSGDFHTCHDVVEELCVLWCTAEPRGAIMELGEGLCKLRKLRLDNNDDSTMIHFYRFQEEVAVALNFICCTHMELMACIGDLCLTMDGSASSYQLLGNFAKGQQLYRLQLQADGDDVPSIVFSAASNSRV >OB12G11790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1066033:1070797:-1 gene:OB12G11790 transcript:OB12G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEREVVPRVVEVEAQVEVEVKLTSHMQLKIKTIVLLVHSVLQGEDQIIHGNHRGAMMELREGLCKLHRLRLDNDDATMIPFCCFQEEVAATLNFIYRTQKELAACTKDLCLTMDGSVSSYQPLGNFTKGQQRSCLQLQADGDGISSIVFSATSDSRMKLPKAPHIECHGAKSSSASVHTRGDYDYVNSAHEEEEGESSPKVTGGFDTAMVLFNDMTVCYVAQQNHRGAIMENREGLCELCKLCLDDDDNTMIHFCRFLEEVAGTLNFICRTQKELAACTGDLCLTMDGSESSY >OB12G11800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1075073:1076719:-1 gene:OB12G11800 transcript:OB12G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGILQCAVGHHLFNQNHRGAMMELGEGLRKLRLNDDDNATTIPFCRFQEEVAAAFNFIYRTQKEFAACTDDLCLTMDGSISPTSCLATSLRGSSFTACSCKQKVLAAGDGGEEGVEVALREGPVGEHRLQQRVVAPRVHVTAVTATGTAGTVEYLIPKHAVHSLSRQAGSSNFNGIPALLVPFATATHAHHANEAIVDGALAPPPPTVVAPPLGLTSAAATASSRHGNHRGAMMELGEGLRKLRLNDDDNATTIPFCRFQEEVAAAFNFIYRTQKEFAACTDDLCLTMDGSISPTSCLATSLRGSSFTACSCKQKWMMFQP >OB12G11810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1078069:1080263:1 gene:OB12G11810 transcript:OB12G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFTAISGGKNPATHQQLFNDTLLKINKELSYLQFELRACIHQYDGTVYYGVVNNIADEESKLGSKYSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIDNQVVIADGSQSHDSQSRLPTSITNFSLSQKEKTLNELIQDHWLSYTPTGKIGLGIRSFLDFRSWFHNNDIPSCEVCNEAGIKASTCPNEGCNVRIHEYCLEKKFSQRKASIACRGCGTEWPRSEVEDDGAEQANEPEEDQVPSANRRSRTRTRAVKSELVEENERTGPSARRTRRNLRSSKSEAVEEERSAGPSQPTRASKRRKN >OB12G11820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1088010:1088691:-1 gene:OB12G11820 transcript:OB12G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGMDRVRKANAQRVRREYEAIAFKDGEGVDDFAMRLNGLVSQLATLGDPEPANKVVEKYLRVASSRFYQLVLSIETLLDVSTLSVEDITGRLKMAENKPAEITKASDSGEKLYLTAEEWMTTPVCLREARKRRTTDLLDNALEHNLTATVAAVPRSARGRSRRSASSATASGGHRTRTPLMRALIPNVRTDQTHAK >OB12G11830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1088796:1089428:-1 gene:OB12G11830 transcript:OB12G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPSSSPPPEATETAPLHPSFRRAPPPSPGTYVIQIPKDQVLRVPPPDRARRFKKLSARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLAAAFVGAVYLVFRPRAPSFSVASLSIRGLDELTSSSPLTPQIDAAVRADNGANKKTGIDYRGGGEVTVSYSGSSSPAARGPRSTRRRGT >OB12G11840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1093029:1096207:-1 gene:OB12G11840 transcript:OB12G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTNDIILHIKRLIYSSIRLAYQCACDYPIVLGAGILLLFLHRLCPSLFNFLLSSSPVFLLTALLLGALLSYDESVAPIVGENQQALSLKSKISITNCSIDKVETVAVEEHLDNTTTSNEVYVREKTYEGNMHRTQCEEKNGTYMTIHTVLIEEICTKDGTSDYDLQDTHHGGKNVTYMETNSVPCMEPSSCANRSVTVETEEHIGENNKKVELQELGNMNLESDNSKVQYQYQLGEFMSSCWEPVMRREPQDTCSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTSHPFLASKDDLNTSSDDEEDDLEEDASTYENGSEGKKDDGNNWEDVIDLNYLDMDNNSKMDSLMDLQRAKNILKFELDKRLMDLQADDAVQKMEAASRFHVQVPSIFTSRQIPFGFSNGSDEIIELPHLPDSAPSSLLPRKNLCDFSVDKNMAHDIQLQETWTPHSYFSARGHRQHGNLYVQHSTSLHHNCFKLEKDEISKKDAQDSQLDSEGYSGKLFGSLEKHIGEEIKILNAAISDVGVLEVNHEMDEGNRNADSSDDINSSPIQKYIQSTSEEKDPIHAGIEQLILSPPYKINNSDPHIIEGDSIGEFNSLFKCRMLEVLEQSISESSVSQPLTVKLEDELSEPLSSESGTGTPVIDGCSIEDMDQQFAQLNDEALAASDPTCHNEPIQEKPSEALLAGNGHYSELHHEGSLLEFTFDPPVLSVKKSTTDSLPLHTEQPGCFSVAHILEESSVEDIAVELEGAHDQVETHGSSVPTVKHSNSSSCQLHVFSLGSTEEESCLIKQQDNGLHMRSISDATIYKPTTTETEEGTSN >OB12G11850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1103379:1103786:1 gene:OB12G11850 transcript:OB12G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPWTRGIFCKSYRSVSDSAWMQLIKSKTRLTNRGISDSLFVSLMINFSLCLIYTWIWATGSVFMLLSAYLIQIFFSMFLKAIVNQARLLLLLSSCSSLRVLNFYRIYLLVNCGVPHFTVQVCSSSLFFFWSV >OB12G11860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1108958:1112241:1 gene:OB12G11860 transcript:OB12G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWRWPRRELVVLRRGKAYGTNPFDLRHNRGGVIQIGLSKNQLSLDLIEEWSKTTPRHPFAHRQAGLIIENNRRWIRKARERRHNKEGEACHVSVCLHSFPCPYGGADSRPLPPWAMEQIDFFVVLNPFLSHGLPCRMDPQMGLAAEAVLALRAADPLPMLRRRHDALPPRLFAQLHALLLTAGLAHHSPNLSLLLRLASPALSVSHRLHLLLCSPLPPTTFLANSLLAASSPRCFPSSLSLYSLLFLSSTMPPPPLLRPNAFTYPLLFRAAPPAVAFALATHSVKFLGTHAASYDRFLGAALLDVFARCGRIASCRRVFDRIARPDLPAWNALLSAYARCASSAADTILELFARMLSLATIRPNEITLVAVIGACGELGALGHGIWAHTYLVKRQLAINCIMTTALVEMYARCGRLDLAEHVFATTSDRDTRCYNAMLQGLAAHGHGRAALALFDRMRGSGMLVDGVTVLSVLCSCAHAGLVDEGLEYFDKMEIEFGIEPRIEHYGCVVDMLSRAGRLDDAEKLIHEMPIAPNAAIYRSLIRACGIHGKLEFGERMITELRRLDLKDSGNHVLIANLYARMNRWEEAKKARKEMKSMGIDKSPGSSLLDMNGILHEFLVGDKRHPAAKEIYTMVEEIETRLSEHGHRSNTTSVLFDVEEEDKADTLTYHSERLAIAFALIASNPGTPIRIIKNLRVCADCHESAKLVSQVYGREIVMRDRTRFHHFREGECSCGDFW >OB12G11870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1115841:1116137:1 gene:OB12G11870 transcript:OB12G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQITGADDLPPPPPALSPPTELVRPVRAPLMLPTLDTSAFLLGGEAPVVPRTEAAVATPPCDGSLALLGGAAPNYNNSNSSGNKNGFPTLDSWDLL >OB12G11880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1121022:1123090:-1 gene:OB12G11880 transcript:OB12G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSSPPTRPTAPLLHSRRLALPPLPATTAAVDPRKGVRLSRLHAAASCCDSASSAAVTTGGAGGGGGSSGAKDWRLLLAWYLLVLDKHPIITKAVTSAVLTLTGDLICQLAIDKVPELDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTVSGASGAIACLLLDQFIFSPIFIGVFMTLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSFKAHKAVTVE >OB12G11890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1136391:1137395:-1 gene:OB12G11890 transcript:OB12G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEADKGKLPLELKPLLSLAPKIPTLLGYDVETKSTSPLLVYVTPCRPCSSSEQPQEAPPSFSEPIPKSPVPIKATPISVALPTHQQEDESSDGDYKPFCKEKKPASLKKSKRPRQAEESNVANIKRRSIRRNLDNEFNLCSSSSDNPKESVEGTMMMFDSLRRCILQLDEKEDAGRRADLKAGTLMMHNNLRINNRKMIGHVPGVEVGDVFFFRIEMCIVGLHAPAMGGIDYISSKHKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKNKKNQIRVVRSAQDPFSSGKIYIYDGLYRIEDSWTQG >OB12G11900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1138515:1140883:-1 gene:OB12G11900 transcript:OB12G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIADCLFFFFSTTAADETRREICKGEAFVVRREPASETGEAGGGEKNGRETMDASALSNPRLQAMLEEEKRKAMANEVVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFLELKMLTMQRFSGSR >OB12G11910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1146564:1153204:1 gene:OB12G11910 transcript:OB12G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFTGPSMGSREASLRTIFCFLLLFCLGCKCLASELKATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNTVALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVDLTVSLTSSDGLQNLASTTIPVSGASNWTKLEQKLVASGTNRTSRLQITTNKKGVVWFDQVSLMPSDTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDSSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMIHPITITSSYSGSLAASAITWQDSENSFLRVKIINFGSDQVSLTISVTGLQARVNTVGSTATVLTSSNVMDENSFSNPNKVVPVKSQLANAAEQMKVTLAPHSFSSFDLALAQSKLVAEM >OB12G11920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1157018:1165590:1 gene:OB12G11920 transcript:OB12G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKYAFFSTMFSMLLFCFSGTRLSAELELAQTALVEVDVSWELSRKIPDTLFGLFFEEINHAGAGGIWAELVGNRGFEAGGPHTPSDIDPWSIIGDDSSIYITTDRSSCFSQNSVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYVRSLESVDLTASLTCSDGLQNLASVSIQGIDLSNWTKIEMQLLAQGTCRASRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELTYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLAEDLGASPIWVFNAGISHHDEVDTTVIEPFVKDVLDSLEFARGSEESTWGSVRATMGHPERFPVKYVAIGNEDCGKEFYRGNYLKFYEAIREAYPDIQMISNCDGSSRPLDHRADLYDFHVYTSAANLFLMKNKFDRTSRIGPKVFVSEYAVNEPKDTGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPIMINSSYSDALAASAITWQDAEDIFLRVKIVNFGPNAVNLTVSSKGLQAGVNTAKSTVTILTSGNMLDENSFTEPNKVVPVTSKLPDASEEMQALLAPYSFTSFDLALDQYSKLVAEM >OB12G11930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1165495:1175304:-1 gene:OB12G11930 transcript:OB12G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNSIAGCFLSAIITCATERMVSYLQGNYNMSSNARLVMSKLRDSLGRVKKATEEADNRLITNPYLIQQLRRLMRRLEEVRQTLDELCNTFVELKKEDDAASCQQSSGDHAGPETSSYLPPDAKVFGRDDVRELILTMILDSFVNDGEASAESSSTGAKRGAGMRQDDHGTGVLPIAGMSGVGKTTLAQVIYNHPNIEKHFPQRAWVYVSEHFSFKRTLQEILRSLQGNDSSSFSFNSEDRLETVVTKLREAIHQDERLFLVLDNVWDNMCKYRQDLLDAIYVQQKRGSVVLITTQSQNVAQNLGTMRTILLRTLPWDSFWPLFQYHAFGTVQDDQDSNQRLLDFAQEIAKKLDGSPLAAKVIGYLLRSRVDEDKWRRIAESEWWRVDEVVKNILPYLRVSYQQLSPRQRQCFAYCSIFPTNYLFDRDRLVQMWMAHDFIEDINGDGRRLEDVGRDWFDKLVAMSLFQPTLDKNKYVMHDLVRALAIAVSTNQCFVHRSESSVATPNIRHLALQADTVGENFADLHKYKNLRTLLIFANIESDAFFTCLDKMLENSRCLRVLDLSYLEAQGKEWPKAGSIKKLQFLDLSYTRIQRLRDFPRRLQVLQLRGYGSGSLPQSIVKLSELRHLYVDDSALLFISSIGQLTKMEELEMFSVRKGKGFMINELRNLQELRGQICIRGIHNVRSKDEAMEARLMEKKHLKGLALEGTRVPKVVLEGLQPHPGTQELKIRGYGGAEFPSWMMQPIPIAGLANLLCVQLSKCLSLVDLPPFGSLPCLKFLSLEILPSLKSVDGSSFGEFPSLEELKVSYLEAWEEWSVGVAGAEDGQHRQQFLPRIKVLHLTDCSSLKEVPRLSSMSTLSELEISRCGEYVKRLPSCTRVLASLRSLKISQCDHRVSISAHQLKSLENLELMCCKGLRLTDRFRCFTNLRTAKVLSCPELLSEICGDQEEEHLHEEEHCAHLLARLSTDKSLITGNYIQTLGRLPSLRDLFIYDLDNRQAFSEGQMDTWFQHLTSLESLYFAHCRALEQIPATLAGLSSMSRLIVHACHNIQSVPAGVLPRGLDSFMFVDIGHLASRINSHQAVDWPNIAHVPYIDVDNASLSIRTLAECPSSDCRVLQPMLLRIASCRRETPESWAPGRENLQKCKAKDMLKCTEQSGWNGRSSRKKLKQRCNRGDGAWDDVAADALSDDRAKEAYGDVLVSSSSPSSSASQKFHRFRNTTDFWPLAHCSRETLISLGFPLQGMVFSPIPFQPDAPAANEAQPAEIVPKASLVSTIAESFKQMLFPSCDPNLLEELDTQKVSWNQHKHCLAFVSERNQVTVHDFEEPDNKESYILTNDQQKDVKAVEWRPNSGKMIAVACKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGLGTPIRRGLSSISLVRWSPTGDYLLTAKLVVIPTPDHYVLLASRIDNRHVYFCLPRRGANWDPEGRTALLSFSNSTTLGSVHFSSKPPSLDAHLLPVELAEISSVIVSQGIEKLAWDSSGERLALSFKDGNEIYHGLVAVYDVRRSPLISVSLVAGVVAGAVHIPSYFVLIDSLRGGKSLRLKVFYFDGMISAVFLNLKLLVLCSPGQGDAFSKCLENATNIAILMYVNPG >OB12G11940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1181676:1186841:1 gene:OB12G11940 transcript:OB12G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRKTEEAHGIGSLLLLDLPEACVSHAISLTTPGDACRCSAVSRAFQAAADLDAVWERFLPTDYSSILARADDPVDLSSTKKDLFRSLVQEHVLLDKGTKSFSLDKIRGGKCYMLSSRSLGIAWGWGNHPIHWRFLSLADSRFEQVAELLSVCWLHITGKIGSRELSPSTTYSAYLVFKLADDSYGLDSLTQEASITVGDNNVSKRIVSLYPRKQESQSSTSSTSTDEQHNAEEQGECRTRSYPRQRADGWLEVEMGQFYNDQGEDGEVTILFTEIVEQHWKKGLILEGIEIRPKHTP >OB12G11950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1191087:1193324:-1 gene:OB12G11950 transcript:OB12G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHALHFALFAVVSQLLATTRTVHGIRVDPSDIIETIQSECGDIIDCVDMYKQPSLKNPLLRDHKIQLKPSMGPPKIVEKMMAMRRNNSHMIAAEQTWQRSDSCPEGSIPVRRTPANAGATVANQTLAFFSSYGRPPPPTNITTIQDEAGKQSNYNLEIAAAYGVSGPYHGASAWIPIWKTAVEPSEFSKSYLLIASPSVRDFVSIRGKDPPNTDNQVAVRIVVYPKYFGDDFPPL >OB12G11960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1193374:1194343:-1 gene:OB12G11960 transcript:OB12G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVSGFEDRTRRPTDAAGGRRRLGRESVCARRVAEKLDTGGTKILSSDRRPFRESMELMRVSSSVGKGVEDGADVDGERGGDEAGGGLGSSAVGGAAERAEADLDDGADGGGEHGEAKRARSSASLSPCVTKLEKRKEEKATNSSTSSKRATPSPTSQDGSPARLRSSPGVARESPTKTATVKSVFMFTTPLSSPLFCSSDLKWSALSNRTMQIAGFCTEAAAAPRRLGGSKVAAESGANAGAD >OB12G11970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1193641:1194522:1 gene:OB12G11970 transcript:OB12G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFTVAVFVGLSLATPGELRSLAGDPSCDVGLGVARLLLVLELVAFSSFLFSNFVTQGLKLALDLARFASPCSPPPSAPSSRSASARSAAPPTALLPRPPPASSPPRSPSTSAPSSTPLPTDELTLMSSMDSLNGRRSDESIFVPPAGEMQQNRGHHSWEVAYCPISRQHGGRTHSLFPGDASLPLHPSGVASDPRNHSRSLPPSLSSRSLHETVADLRRALHGGPGDGRAEADQRQAGLRTQDSGQENIPSSFSVSSSTYST >OB12G11980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1194969:1196171:1 gene:OB12G11980 transcript:OB12G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVFGQRNLLAFHLRRNKLDELSRYGPFSCSIQRRWKKPVDSARTRLEGRTRDHRLDKLMTQLKNLRLALDLHELISQQRNSYASLQLLSRWRHEIGLNLEIGAFLKKYPHIFNIYVHPIKRSECCKVTTRMTDLIAEEDVVIRENEPSVVKRLKKLLMLSKDGSLNTHALWLIRRELGLPDDYRCSILSNHQSGFSLGSPDTLTLATTDENLAIANVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFREKLGNWQRLPYTKPYEKNGLHPICNVERLEKRIVGILHEFLSLTVERMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLIEPNPVYNVRRKMLGLILSGCRGIDEMDSATWVSEEHNQESAT >OB12G11990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1197904:1200598:1 gene:OB12G11990 transcript:OB12G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3NB41] MFVLAVYSRSDHLCKTASMDAPFLATSLAVLTTLSLLALPLSAASHDILPLKSSLIVEDYKTNSLQSSDGTFSCGFYNIYTNAFTFSIWYSNSVDKAIVWSANRGRPVHSRRSAITLRKDGSIVLSDYDGTVVWQTDGKFPDVQYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTFLPTQRIFATTKLVSTTRLQVPGHYSFRFSDQSILSLIYDDANVSGIYWPDPDYLYYENNRNLYNSTRIGSLDDYGDFFASDLANSKALVASDRGFGIKRRLTLDYDGNLRLYSLNNSDGTWTASWIAQPQTCMTHGLCGPYGICHYSPTPTCSCPPGYRMRNPGNWTQGCKPTVEIACDGTQNVTFLQLPNTDFWGSDQQRIEKVSLEVCWNACISDCTCKGFQYQEGNGTCYPKAFLFNGRTFPTPFVRTMYIKLPLSLDVPKIPIPQSSVHDSTPSQLVCDHVRTITTEAFLNMNEVSGSESKWFYLYGFIGAFFVIEVLFFAFAWFFVLRKEMRSSRVWAAEEGYRVMTSHFRAYSYRELVKATERFKHELGWGGSGVAYKGKLDDDRAVAIKKLENVAQNREDFQDELQVIARINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSNQNVSQARGTIGYIAPEWISSLPITSKVDVYSYGVILLELVSGRRVFDLIVGEDEKVHFILKKFINMICYRLESESLWLAEFVDCRLGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSEDS >OB12G12000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1204138:1205884:-1 gene:OB12G12000 transcript:OB12G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53700) TAIR;Acc:AT3G53700] MKLLETVYSEMGERGIKPDVVTFNTLMKALCRAHQVRTAVLLLEEMSSSGVAPDETTFTTLMQGFVEEGSIKAALRVKARMLEMGCSPTKVTVNVLINGYCKLGRVEDALGYIQQEIANGFEPDQITYNTFVNGLCQNGHVGHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKGILNQMVKRGCLPDITTFNTLIVALCSGNRLEEALDLARQVTLKGLSPDVYTFNILINALCKVGDPQLALRLFEEMKSSGCTPDEVTYNTLIDNLCSLGKLSKALDLLKEMESAGCPRSTVTYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAITFNTLIDGLCKDKRIDDANQLISQMISEGLQPNNITYNSILTHYCKQGDIKKAADILQTMTANGFEVDVVTYGTLINGLCKAGRTQVALKLLRGMRIKGMRATPKAYNPVIQSLFRRNNTRDAMNLFREMTEVGEPPDAFTYKIVFRGLCRGGGSIREAFDFLLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIIEKTDLGDSDVSAIRGYLKIRKFYDALATFGHLLEINNPQWSYR >OB12G12010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1207887:1208351:-1 gene:OB12G12010 transcript:OB12G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVIGVFCILVIISISSPSSLQAEGCEREKDIVMNKDGCYHNIESRLGDQFPKRHSHCCQTVRSADVNCICNKFTETDKTKIALSKWVNVARVCDNPLHRGTNCAGYRVPLRKKL >OB12G12020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1211888:1214126:-1 gene:OB12G12020 transcript:OB12G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLVTFLLFVVTLPCPWRVHVARDWLARGASIAVEDHADDVLRSPDGTFAAGFYNASPTVFTFSVCDFWGYDLNDGEIMPLHDCAKKCLENCACVVFQYKEHMECYLKSVLFNGKTFPGLQGTVYIKVPADFHVPEFHVHQWQHDGDGLATQEHIAGCTPAGDNDSDRKVLLKVSASLSARDAGKAVWPYLYGFLSALLVVEAIVISLGCWLFSSKGLFRQSRVYAVEEGYKLITSHFQRYTYAEIRKATGNFTDVIGRGGSGVVYKGVLGDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQGKHRILVSEFIENGSLAQKLFHRVGSDDDHDVLDWNQRFRIALGVAKGLAYLHNECSEWIVHCDMKPENILLDHDLEPKITDFGLSKLLNRDGSDAALTRIRGTRGYMAPEWVSNLPVTEKVDELVKGVRVSEWVIQGIKVCEMDIRMVVRVTCEKMESNERGCTDDLVDYRLKGDFNHVQVKMMLKTAVSCLEEDRSKRPNMNSVVQALISVED >OB12G12030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1214908:1220038:-1 gene:OB12G12030 transcript:OB12G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADVTSACGSSAAAPAYAGAAPLVFRQEGKIENLVRSVYSEVDEKDVNLDTAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSDHNSGKPFHDVKTLTANGKPKELFFSSDLCAIVEHTKNYLVIEDNEIVHIKDGSVSILKFGHDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDSGVLLGGLKEKEYLKTIRRSRRVVFIGCGTSYNAALAARPIVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMLALAVGSDQISTQARRQTIISGLSSLPSNVSEVLKLDSEMKELASSLIDSESLLMFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDTSAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >OB12G12040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1223063:1227281:1 gene:OB12G12040 transcript:OB12G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:J3NB46] MIMASSQEPPQLQPKPKPKRLYQVWKGNNIFLCGGRLIFGPDAASLLLSIFLILGPTIIFCYQMQSTIHRSQQHMHRAAQFIVISTIPISPQMQDLFFLFMTSARDPGIVPRNRAPPEADGFLGSTTPSMEWSSGRTPRMRFHRAKDVTVNGFTVKVKFCETCQRYRPPRSSHCSTCNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFIFIFSWINVYYESGDNGGSVWKALRKETYSFVLIIYTFLVVWFVGGLTIFHLYLISTNQTTYENFRYHYDKKDNPYRKSIAANFVEVFFTKIPPSQSNFRSWIGEGAMEAGFYTPYIALDLTSPREKIDVEMGNKLVGGMQIPTVLQNIDYGCFEDNPDDRNQNEDNRISPFSSTWAQQTNEGAGTSGIATGEYKNEISNDDGEEIISSNTSSAQTSQEANAASEAESDENDAGKVNISDRTYCLQRSAKKQEKSMRNLVVVDVGEEACVIPGETHPRYCVALRACGTAELRGDELAEVPLGVGPPPMCLCTALSTQRYTDAAATICGLCGWSGERLGLPEAGSSAVERDGRKVATNDVNLACVFLRKLDILIVNMALFLLYSIDFVKMCETLDA >OB12G12050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1226417:1227187:-1 gene:OB12G12050 transcript:OB12G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTQARLTSFVATFLPSRSTAELPASGKPNLSPLQPHRPDGGRGVRVPLCAQGGAQAHRRGDSNSKRHFREFVASEFCRPTGTEGDAIARMRLARDYACLLTNVHHHKDLLSYNIAVDRSDEMKKILNKSAASVGLQLPNVYQA >OB12G12060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1228792:1230806:-1 gene:OB12G12060 transcript:OB12G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDIQRFMPSATDRDAPRGVPLAYQEAVLLVNPKEPQFKGEVDDKYEYSLDNKDNKVHGWISSSHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSSPKRGDLQALWEDAKVQAEAEASKWPYSFPGSLDFHKAEQRGSVAGRLLVRDRYMSSDDMTAGLAYVGLASPGQPGSWATESKNYQFWTRATPCGRFSIGNVRAGVYNLYAWVPGILGDYMYTSQLTVTPGCSINLGDLVFEPPRSGPTLWEMGIPDRSAAEFFIPNPNPKYINKLFVNKDKYRQYGLWERYAELYPDDDPVFKIGESDISKDWFFAHVTRRQGDGYAPTTRRIQFTLDHVEADATYTLRVALAAAHMSRLQVRVNGAAPLTTAAAIGDGNAIARHGIHGVQWGLEFAVKGYMLVEGENVVSITQTRALSPFFGVMYDYIRLEGPPPLWRDPTTMTGG >OB12G12070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1235640:1235913:-1 gene:OB12G12070 transcript:OB12G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAFMLLQFFFFPYVVAAFLLPEPSAAASLPTHGLLPGAADRQ >OB12G12080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1241943:1242218:-1 gene:OB12G12080 transcript:OB12G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFREKIDRAPAIGNGCWDEEEADKKELALLGAKQSVAATACKWLEGREVEEEEEEEEGDMDKLRADRQWGPSETERLTQEWGPPPQKTE >OB12G12090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1242048:1246896:1 gene:OB12G12090 transcript:OB12G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3NB51] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWISFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEPEALNAFELISMSAGLNLGNLFDSEREFKRETRFASKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQSQPA >OB12G12100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1247334:1248115:-1 gene:OB12G12100 transcript:OB12G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XM43] MEQLSKEQIAEFREAFSLFDKDGDGTITSKELGTVMGSLGQQPTEAELQEMVAEVDADGSGSIDFDEFLSLLARKLRDTEAEDDIRDAFRVFDKDQNGFITADELRHVMTNLGDRISDDELAEMLHEADGDGDGQIDYNEFVKLMMAKRRQNMMDGHGSGGHRSNRSDRKSGCCGPSSSCTIL >OB12G12110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1248171:1248488:1 gene:OB12G12110 transcript:OB12G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERIKLPPCRCLIIIDSPAFVLVAGYKKEGTCSRIRIVCCMLHSPQVMLLLFYNTFRESTDDILILIPPKSKHKHLQTYKWTGAKFSETNKVHHVKLLPSCS >OB12G12120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1248869:1253082:-1 gene:OB12G12120 transcript:OB12G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQKAEAASSSLPAVPAGPARVWQPGVDELEEGEELQFDPEAYNYIRGFNIGWPCLSFDIVRDQLGLVRSEFPHTLYGIAGTQAERAPWNYIGIFKICNINGKKREPIPASAVDGGSDMDSESSSDEEDEAVNEDTMSILHLKKVAHAGCVNRIRSMTQEPHLCATWGDTGHVQVWDFSSFLNSLAESGAVAHNEEDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTKPFVGHSASVEDLQWSPTEADIFASCSVDKTIYIWDIRTGKKPCIAVKAHNSDVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKQPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFREKMKEQANAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIVSTAGDGFNMLMPSNIDTTIREADT >OB12G12130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1254240:1255356:1 gene:OB12G12130 transcript:OB12G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIPQISPHLVVGQHYKFQSGINHGFDGMNSRKNLYKYARPRTLIALGRLESNEKSTTKDSNDDLASNHGSLTTSKTSTFHVRTRSRSLPSNEEVTTYDYPCPHPSHPCLAP >OB12G12140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1255694:1257003:-1 gene:OB12G12140 transcript:OB12G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metacaspase 9 [Source:Projected from Arabidopsis thaliana (AT5G04200) TAIR;Acc:AT5G04200] MAQGGKKKLATLVGCNYAGTPNELKGCINDVVAMRDILVARFGFAPGDVAVLTDDRGSPVLPTGANIKRALADMVARAAAGDVLFFHYSGHGTLVPPVKGHHGGCDEAIVPCDFNLITDVDFRRLVDLVPHGASFTMVSDSCHSGGLIDLEKEQIGPSVLSGGAPAAAGADSTPAATRGATARFIPYSAVIDHLRGATARFLPYSAVIDHLSGVSGIDAAHHVAEHLLALFGTDASAMFHHHDHDGKQPARPDDGILLSGCQTDETSADVPEDDEAAAAGGKACGAFSNAIQTVLASHPEPPARPDDGILLSGCQTDETSADGPEDDEAAAGGGKACGAFSNAIQTVLASHPEPVSNRELATMARKVLSDQEFEQHPCLYCSDANAEAPFLWQEEEKTAAAAEPAMSAL >OB12G12150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1261438:1263717:-1 gene:OB12G12150 transcript:OB12G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEAPPSPAVMSRSVFYDGCPGCAMERKLESSKGIPYKEFIFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLGASYMVGRCFASLFWGVVADRIGRKPIIKFSILSVVIFNTLFGLSVRYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQVTV >OB12G12160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1265972:1270992:-1 gene:OB12G12160 transcript:OB12G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPPPEAKVYHDGCPGCAIEQRKEEHTGIPYNEFLFVAITTLASSLPISSLFPFLYFMVSLISMHSILFHETYILQKVLNPFPSLVQIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVFNTLFGLSTKYWMAVSTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPIKQYPHNFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLERGVETAESSATQESVESHKKSLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLLIYRWVDKILGPINSTRIASALSIPIIAAYPFMTHLSGIRLGVSLYIAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGVLFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >OB12G12170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1278321:1284386:-1 gene:OB12G12170 transcript:OB12G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSEEAAAPLLPAGGAGAGVVRGPGCVQERRKARRGGRIPYVELFFVAVTTLASSLPITCLFPFLYFMIRDLQVAQREDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKAYSIEVCQTEHQALGLSIVSTAWGLGLIVGPALGGYLAQPVEKYPHVFSRESIFGRFPYLLPCLGVSFFAAIVLISCIWLPETIHRHEFLHKDIKRIKGLSLQQAYGDSPRKKSLFQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGGVLAVAGASLLAYQLIIYHWVHKFLGPIMSLRIASALSILILSTYPFMTNLSGTKLSFAFYSAAMMKSVLAITISTGICLLQNNAVLQEQRGTANGVSTTAMSFFKAVAPVGAGVLFSWAQKRQDAMLFPGDQVVFLMLNLVELIGLIFTFEPFMVLPPAPDA >OB12G12180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1284925:1292593:-1 gene:OB12G12180 transcript:OB12G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAPLLKGENHPGCPGCAYDRKKELYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSVTYSMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLSQPAENFPNVFSPDSLFARFPYFLPCLCISVFAAVVLVGCIWMPETLHKHKADVNRYETVESLESDFIDLKGKAEQNGTLNSKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKVLGHIKASRIAAIACIPILFTYPYMTYLSGPGLSTVLNIASVIKNNLSVTIITGCFILQNNAVPQDQRGAANGLAMTGMSLFKAVAPAGAGIVFSWAQKRQDASFLPGDQMVFFLLNVFEFLGLILTFKPFLAFPEQQDTN >OB12G12190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1296155:1296476:-1 gene:OB12G12190 transcript:OB12G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDGRQRRQAAAAPLLEKKTTTGEGYCIEGCSGCAVERRKAGSTGIPYGSFLFVWIVTLCTDV >OB12G12200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1298608:1298874:-1 gene:OB12G12200 transcript:OB12G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTCLTAKEKRVSYSCIIWKYSMILVLPLAAGPNTRMQIIISSIYVFLFQDLWTKGVIRLHAYAICNYIKYIVSKVLKLETISDVI >OB12G12210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1299898:1300250:-1 gene:OB12G12210 transcript:OB12G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGRQRRQAAAAPLLEKKTTTTGEGYCIEGCPGCAVERRKALSAGIPYGSFLFVWIVTLCTVSPLLR >OB12G12220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1307353:1308962:1 gene:OB12G12220 transcript:OB12G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEKQSSGARRRWLVDAARWRPSPAQFQAAASLLPPHERTAITRFVREDDRKRALVSRLLQYSLVHHVLGIPLHQIRINRTLEGKPYLQNKNGTFPGFNFNTSHQGNYVGIASEPLCLVGLDIACISKPQGETALEFIKNFASHLTYHEWNCIVSSGSPDEMLAEFYRYWCLKEAFVKATGVGVGFGLQRLEFHHTNWTDIFLSIDGEEVRKWRFWLFKIDEMYMASIARGHPEDAIDSFKRTLSDVVVQEEELQGALDIPEEAFTIWTVEQLLRLHD >OB12G12230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1310439:1312480:1 gene:OB12G12230 transcript:OB12G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRATYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLTSKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVMHRDLKPENFLLLSKGDNAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLATDPWPNISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAADADGNGMIDYEEFVTATVHMNKMDREEHLYKAFQYFDKDNSGYITKEELEQALKEQGLYDAKEIKEVISEADSNNDGRIDYSEFVAMMRKGSGCAEASNPKKRRDIVL >OB12G12240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1313326:1313960:-1 gene:OB12G12240 transcript:OB12G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMF0] MPHRARPMTGLLVFMGVNLVLLNTISPVYDFVCFHPYWERRRERLQKEREALQANGSLQTAK >OB12G12250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1314836:1317006:-1 gene:OB12G12250 transcript:OB12G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTATASAQDTGGFTHVLSVVSSASISFITNCRPGLSIPTEEVRRVVAGEEGAPPVSAVPPSRLMRVVERAGVGLRVKRMAVPLRDTEEENLLDHLEPCLDFIDDGRKEGNVLVHCFAGVSRSATIITAYLMRTERKYLEEALESLKEVNESVCPNDGFLEQLKRFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGNHVFEDDPGVPKQHNSSARSLSNKENQQTAYRCKKCRRIVAVQENVVSHTPGEGESCFQWHNKRKGGQSHNKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >OB12G12260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1318558:1321444:-1 gene:OB12G12260 transcript:OB12G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:J3NB68] MITVLDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADSLQKLIVLALLALWCRLSRRGSLDWLITLFSLSTLPNTLVMGIPLLRGMYGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGAGGVAELQAEAEVGEDGRMRVTVRKSTSSRSEAACSHSHSHSQNMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGGAKQGDEEKGGHSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAGAVHVFGSAGADHGDAKGAQAYDEYSFGNKNEKDGHGPTLSKLGSNSTAQLRPKDDGEERPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGIIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLMGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >OB12G12270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1324450:1329882:-1 gene:OB12G12270 transcript:OB12G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein protein MPP10 [Source:UniProtKB/TrEMBL;Acc:J3NB69] MAMDLDEPFDADKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLVPHLRRQLRRLEQQPPSQAPPRPESKPIDSEEESSEEEEGEDGEGDELELDDVDDEDESGEEEEEEVEEEHEGKAKHGVEDKFFSIKEMKEFVEKGEEEEYGAGAKQGKKKKRKNWMEEESDEEDEEDLDEDEDDGDEEDDERLDLEDFDDDEEEEGAVGDIMYKHFFEEGSDQKVKKKGGSKKVQFQDEPHEKLEVDDKNDVGIDEEALSTHEKACLKMRAKIEDIEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPPPLPSKAPKEHKELDESKSKKGLAELYEDDYAQKAGLAAAPLSISDELKKEANALFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEDAELTQAERKRRRANKKRRYAESHKERPAKVQKD >OB12G12280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1339738:1341334:-1 gene:OB12G12280 transcript:OB12G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIGHRTQALLESDAKGERAPESENWRPRLRLRVSAKGNERNRSAKSHVDQVSGRTALWGPTVRTRSAFSNPVK >OB12G12290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1341290:1345145:1 gene:OB12G12290 transcript:OB12G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEQPLGLGDLPKLSINRLERFSPSACRASADDCSPSNYKHCNGGNDQTIFHGSSSWHMQGHYTNSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVIEATSFVSPKWVPQLADAKDVVEGIRHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVITSAKKHGIGLRGYVSCVVGCPVEGAIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVSVDKLAVHFHDTYGQALANIMVSLQMGINIVDSSVSGLGGCPYANGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRPSGSKTTTALRKLTT >OB12G12300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1345633:1346112:-1 gene:OB12G12300 transcript:OB12G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GALGDGVLGELAGEDEADRGLDLPGGDGGLLVVPGELGGLTSELLKNVVDEGIHDRHGLRGDPDIGMHLLEHLEDVNLVGLHALLALLPAALLLALAALLALGRRQPLLGLGLLPCRRGLLRLLAGLVLRRLLLRGLLLGLGRHGVGWWCRAELGVGGF >OB12G12310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1345681:1346142:1 gene:OB12G12310 transcript:OB12G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:J3NB73] MAPKAEKKPAEKKPAEDKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OB12G12320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1347275:1364394:-1 gene:OB12G12320 transcript:OB12G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSPAAGQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESISSTIVRNFCVVYIEMAFDRLLSEDKGSIAPDLLINISNVPEQHQGIILRLVLKAIGECNTHKVDDTVSSKYQAISGSNDGLVFADFCFHTILYQTPPQGIGCPAGLSVAQSDRVTGKLPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLASASDSQESVAKRGEELLKSKASTVNLEDPDLIKRLFSLFNGTPSAENIASELKVTPAHSSLRIRLMGVFCRSIAAANAFPYTLQCIFGCIYGNATTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEAGSSSRDIKIFAYQAIGLLATRMPNLFSKRTDMAIRLFTALRLEEQSLRLTIQEAATSLATAYKDASVVILKDLEELLLENSQQEQSEVRFSVVRWATTLYDMTHCPSRYICMIGASDVKLDIREMALTGLNLLSDERQSSAIATDSNYPDVAEMVNYIYSQQPQLLHCDEQRNGKLLFPIKTFLAMIKFLMKCFEKSDVPYFLQEDLSNSPVAKLCVILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYMNRLRWLRTLLGHVDAEAREAASRLLGITSSALSSTAALDLLSELTSTFDQNHPSRFENYHGLLCAIGYITAGCLKESYITEEMVQKSTDVLVKVVESEGSALASTAMEALGHIGLRCALPSINRNSSQDALLTILNERLAKLLSENDTKAIQKILISLGHLSWNELSFAHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVSTDVILETNFVSLSQATNYLTGDAPLLVSVNSNKRSGCEESHAMAREEIIKRLFDTLIYSSRKEERCAGTVWLVSLTMYCGQHPKILELLPQIQEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGRLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHALLPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWMTTFRVMDDIKETVRNAGDSLCRAVSSLTVRLCDVSLTSASDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNVGIQSEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRILYSAVLEEKSSAAKRAFASSCASVLKYASPSQAQKLIEDTASLHLGEKNAQLSAAVLIKAYLSNAPDVLSGYNAVVLPVIFASRFDDDKDISALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKAIKRLCDALGESLSVHHNNILKSLLKELPGRFWEGKDAILDALASLCSSCHAAITAEDSGMPTVILNAVCVACSRKSKLYREAAFSCLQQVITAFRDPGFFNIVFPMLYEVSNQSVICKTRGSSPLAASSSAEQDESEGVSVSLDKVLKCVASCITIAFPQDIVNQRKNILEIILNSLSPEESWQIKLSSFLCIKELCHKFQNSDGSNNWPQETTYLVEELFHLTAPKVMDVISLVKIAQVHTAASECLLELSKLYRDFPLADRKGAKFTDELGELFESEKGEQAKSILKQCTTILKDLEDPTGVAREMD >OB12G12330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1365162:1366412:-1 gene:OB12G12330 transcript:OB12G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTFAVPPPPCETEEVPLTVFDLVAPTYHVTVLFAFSPPNPTTRALLDALLATLPHFPLLTARLHRGGGRRRGPFFVTGRGGAGALVVEAEVSSELSEHLPLAPSPELARPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLADAAALVRAGIRAVDRRYFQSFIDFGALHDDGEEPLEPADEDEPGVLSPDVDSDSWLHLELHRLDLGCGGRLAGILPAKVPQDGVVVVMPSLRKGGGVEVFVALWEKHAKELTSIAYTMD >OB12G12340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1369299:1370636:1 gene:OB12G12340 transcript:OB12G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSYYYSMLFLLPPILYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVRNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRANFANYPKGEHAIGMLEDFLGHGLFNSDGEQWQWQRKNASYGFSTRSLRKFVVDVVQAEIADRLLPLLRRAADGGGDAILDLEDVLQRFGFDTICAVAFGHDPRCLADGGVMEEAKSEFMHTFGEAQDLVVGRFFDPIEVSWKIKKWLNVGTERRLRKAIADVHAFAMDVVRTRRQSASVQDRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTASGLTWFFWLLSSRPHVVTRIAGEVRAARKATGTRPGEPFGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGARGGRRVPAGEPVPVHGVPRRAEDVPGEGHGVRADEVHRC >OB12G12350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1371570:1373660:-1 gene:OB12G12350 transcript:OB12G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGAAPTRACLGALVAAYADGGMLGKATEMCERVKEQYGSLPEVTHCNTLLKLLVEKRLWDDAHKLYDEMLAEESGADNYSTFVLVRGLCLEGRVEEGLKLIEARWGVGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTVVTYGSLINWLRRKGDLEKIGSLFLEMKKRGLSPNVQIYNSVIDALCKSRSATQAMAILKHMFASGCKPDIITFNALISGLCHEGHVQKAEHFLREAIRRELNPNQFSYTPLIHGFCMRGQLTAASDFLVEMMGRGHAPDVVTFGALIHGLVVVGKVTDALVVREKMAERQVLPDVNIYNVLISGLCKKHMLSAAKNILEEMLEQNIQANEFVYATLIDGFIRSENLGDAKKIFEFMEQKGVRPDIVSCNAMIKGYCQFGMMSEAIQCMSNMRKVGCIPDEFTYTTVISGYAKQGNIIGALRWLCDMIKRKCKPNIVTYSSLINGYCKIGDTDTAEGLFADMLAEGLFPNVITYTTLIGSLFKKDNVLRACSYFESMLLNNCSPNDVTLHYLVNGLTSGSAINNSISCNTYEVHDKDVLLVVFKKLVSDIGDLRNSAYNAIIFSLCRHNMLGEALDFKNRMAKKGYVANPVTFLSLLYGFCSVGKSVNWKSILPNEVQRDEFKIIFRYKTLFDQYVAESVCYEVSSVLQQYHEESLQQVEQKFANS >OB12G12360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1374247:1374936:-1 gene:OB12G12360 transcript:OB12G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQGLTWDDAVNKSPGVFKAFANFEIKNGVDFDDRNQELPGGGESLNQLSERCVSYRNKIAQDHIGERVIVVCHGAVILELRRHIDPPNSSIRRKIRNTSLTIFRISGVTGRWILERYGDIVHLDENGFLEDAFGGDGASA >OB12G12370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1376772:1381888:1 gene:OB12G12370 transcript:OB12G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQSSYSPRLPSDSPRAIVRNVNSVPTPRPQIDAPVNREQISAERGPGPGDRLASLRAYRRAKGLCYRCGLAWSKDHTCSATVQLHVVQEVWDLLQLDESQDSEISSDISISGELCALSKQALWGDTNHPTIQLHGWIQNLEVLMLVDSGSSHTFLAEHLANSLDGVTLLSPSISVKIADGGILRCDKQLPACKWCIQGVQFRTNMRILPLGCYDVILGMDWLDAHSPMAIDWVYKSNSWTHVLQLQACTAEVTAYKDVFEDPVRLPPRRYCDHLIPLLPGARPVNIRPYRQTPGLKDEVERQVAEMLQSGIIQPSKSSLSSPVILVKKKDGTWRLCVDYRHLNAMTVKSKYPLPVIDELLDELSGASWFSKLDLRAGFHQIRMAEGEEYKTAFQTLKSALLTAPVLALPDFTKQFVLETDASNTGVGAILMQDGHPIAYISKALGPRTSGLSTYEKECLAILLAVDHWRSYLQHGEFLIRTDQRSLTHLDDQHLVTPWQHRAFTKLLGLQYRIVYKKGVENKGADSLSRRSPDGIAELSVASSCRPAWISEVISSYDSDSRAQQLLTALALQPDSVPHYSLRDGIIRYKQRIWLGNCEPLQHRVLSALHSAPLGGHSGFPVTYARVKGLFSWKGLKSCTRRFVQSCSVCQQAKPERLKYPGLPLSSRFNCILVVVDKFSRYAHFVPLAHPFIAPQIASAYVDSIYKLHGLPAAIISDRDRIFTSHFWQELFKAVGTDLRMSTAYHPQTDGQTERVNLCLEAYLRCFVHSKPSHWSKWLALAEFWYNTCFHSALGTSPFVALYGHEPRHLGIDSVDACSFYDVQTWISERGTIIRLLRQHLERAQQRMKAQADKNRSERVFAVGDMVYLKLQPYVQQSLARRAHHKLAFRFFGPFRIVARVGEVAYKLDLPATSSVHPAFHVSQLKRAVSSGAPVCPAIPSTWGDQQVPVQVLDTRHQSRGSGLVKQLLIQWDNLPASFATWEDESAVRLTRTLAKKKPTTHGFKAHPLLGYLPAFLNNSHRFLDWSSELIAGSPEMRMGFWIPGMRTGFVTANPVDVEHILRTNFPNYPKREHAIGMLEDFLGHGLFNSDGDQWLWQRKNASYEFSKRSLRRFVVDVVQDEVANRLLPLLRRAAGDVVVDLQDVLQRFGFDTICMVAFGHDPRCLADGGVLEESKSEFMHSFAEAQDLVIGRFMDPIGISWKIKKMFNVGTERRLKKAVADVHAFAMDIVRARRQSASVEDRDDVLSKFVASDDYSDEMKSIVANVFEELVVDVFKEVAAGGVPEHVFSVTLRMKGGLPMKIRRKTEA >OB12G12380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1382031:1384072:-1 gene:OB12G12380 transcript:OB12G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFLEAANYMQLASLLHKFRYIYIPLSVAALKVVDATTTSSDRATHPVPPPPAASSSHGEDFAKVVVVRHGETAGNALRIIQGQMDIELNETGRQQAVMVARRLANEAKPAAVYSSDLKRVAYTAQTIATACNVSNLVLNPALRERHMGDLHGLTFDDAVRSKPDAYKAFTSEDRSQEIPGGGESLDQLSDRCVSYLNTIASKHKGERVIVVTHGASIEELCRHADPTSSVRMRIPNTSICVFHISSTTGHWILKKFGDVAHLKKVSFPKTAFN >OB12G12390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1386286:1387224:1 gene:OB12G12390 transcript:OB12G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAESCHSRSLPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALGVAALPLPPTLLHCGGSVFAHARAVVLGREVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXA >OB12G12400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1388519:1389179:1 gene:OB12G12400 transcript:OB12G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVTFAPASSPGASLPPPGAADVGGAVCLGYGIAISVGVLVFISTVMLASYICVRAKAGAAAVLVLVNEDGAAPILF >OB12G12410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1391345:1392455:1 gene:OB12G12410 transcript:OB12G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRWGLAFAVVLSTIAEMASTTSAGTDPNKTVVEEVTGWLRLYSDGTVERRTPPGAEPFTAIVQPYAEPRNGVTVHDVTTASGVDVRLYLREPRRAPARRPVLVHFHGGGFCVSRPSWALYHNFYAPLVGKLDVAGIVSVFLPLAPEHRLPAAIDAGHAALLWLRDVACDKDGNDGAHLAPAVERLRDEADFSRVFLIGDSSGGNLVHLVAARAAKDGAPLHPVRLAGGVLLNPGFAREKKSRSELEKPPSLFLTEEMVDKLLLLAVPVGMNKDSPYTSPLLAAEAVAHLQMPPMLLMVAEQDLLHDPQVEYGEAMVHAGKVVETVVSRGAVAHIFYLNFFAVESDQLTAERTSELIDTIKAFINRH >OB12G12420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1397321:1398073:1 gene:OB12G12420 transcript:OB12G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding XWARRRRGPVLLHFHGGAFCVSHAAWSLYHHFYARLAVELDVAGIVSVVLPLTPEHRLPAAIDAGQAALLWLRDVASGGSSNVALDSAVERLRSAADFSRAFLIGDSAGGVLVHNVAARAGEAGAEPLDTLLLAGGVLLHPGARGHXXXXXXLETPPTPLMTQETVDKFVMLELPVGITSRDHPYTSPAVAARAAERRGHGARGEGGGDGAQPRPRDRPRILPQLVRGGVRPCRGGAGTGARRRRQELRR >OB12G12430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1398281:1409697:-1 gene:OB12G12430 transcript:OB12G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIDLVLEKTYDAKAGIGMPDL >OB12G12440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1401875:1402349:-1 gene:OB12G12440 transcript:OB12G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding QESLSRRGRRPSEGRGEAAPARAARLQRRAAGALPSPLQICNAQSNTAPAADEEDRRLKRRRK >OB12G12450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1410546:1411860:1 gene:OB12G12450 transcript:OB12G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRRTSRQQPRTLLVLVPTNCSTPFLYARAPTTAGVTHLAKPYQSFRSCLVQMGGTGDDGDKLPVSNGKGTATAMEVVSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPVSLTMIHMAFCASLAVVLVRVFRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVLLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTVPWYFVELPRLRAAAAVAVRPNVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDSVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEVERRAASMAAAKGGDAEAGARLLPEKDDGDDQKN >OB12G12460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1411407:1411631:-1 gene:OB12G12460 transcript:OB12G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSFTTPATFMVSADVFPTSRNTARLSANAHSEFVPNTNTLGRTATAAAARSLGSSTKYHGTVRNTRQHGAM >OB12G12470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1412456:1418005:1 gene:OB12G12470 transcript:OB12G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTENAASANSVEPTKPEECLEFDEEEEVEEEEIEYEEIEEEVEEEEGEEEEEEEEDEDEDVVEEVEEEEEESDETEVSREVDARHTRESKFKGVHQKDGIEKEKYAELLALPPHGSEVYFGGISSDISSEDLKKLCEPVGEVVEVRMMKGKDDSRGYAFVTFRTKDLALEAVRELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTQDDFRKAVEEVGPGVLKADLMKVSSTNRNRGYGFVEYYNHACAEYARQKMSTPTFKLDTNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKNLFERHGEITKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELAGQVLDCSLAKPAATDKKDERVSLPSSNGAPLLPSYPPLGYGIMSVPSAYGVAPASITQPMLYAPRAPPGPAMVPMMLPDGRLVYVVQQPGGQLPLSSPPPQQAGRHGGSGGRHGGSGVRHGGGGGGSSGSRPGAKRQRGDDTSSSRNKGRRRPY >OB12G12480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1420919:1421513:1 gene:OB12G12480 transcript:OB12G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEIELSSQMMFPNPETPTSLDDFMPSIRTTCTHTHTCNAPGPSATAHTHTCYHTHTQVLSTDDDSCGDDKTRRKKASKPLGNREAVRKYRQKKKAHTAQLEEEVKKLRAINQQLVKRLQGQDALEAEVVRLRSLLVDVRAKINGALGSYPFQAQCGVGNVSSCDGMAQCFVGKSELGVSQSCEPRLMNCHVSPGS >OB12G12490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1429143:1429850:-1 gene:OB12G12490 transcript:OB12G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLHACPEDKSMLIVKCDIIKLSVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFPISP >OB12G12500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1432396:1435351:-1 gene:OB12G12500 transcript:OB12G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLATSVLRRGANDGSALAAVRAEIAHELSSSHASSSPPSLQSQDISDFSAVSDASRGQEVLLRRRDASEEVLVSALLAPLRFEGDEPLPRDALMKIFVSKPGLKPVLRFDCRAFADEGDGGAADYDVTAVCYHSIAGDAGEDKYEGPEFRDLDPRLQVALKGHLVTRGVNSKLASSLVHHLIKKEHWQYVNWLKTLEEMFSKDQ >OB12G12510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1437558:1440976:1 gene:OB12G12510 transcript:OB12G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRYLQEHFDLPAKNPSEEAQRRWRKAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFSDALFTLNVFERSMGYATAAFVLAVLEGSTQPGVWFPEEPEGIAIESRKVLLERASQGTTNFVMNKAPWMIETDPKEVGLGIYV >OB12G12520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1441977:1445418:-1 gene:OB12G12520 transcript:OB12G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVAMAARPVVGTTTCRPCLPLAARAGAAASKPSTSSSPGTGSHVGVPCERGSSVSKAAVRGARLEAAARCSLLRRPPVLLATVAVGSLVAAGAANATELGDSLLGSSGFALADISIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFSAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWKPISGAFLLGGGVYTLLDRLFPATSMVM >OB12G12530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1446129:1448518:1 gene:OB12G12530 transcript:OB12G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWNRHPPWHRRGRRDRPPPRPSPPPYYDGHNCHPIPLWEREFCIYVGGISWQRFYENKKYVSMCKNIEQWDDSEAFDNFKNAKARFWAKYHGQPSDIPLPNPDMYIDRVDHNCKINPELVADLNIVQLPFERDNELLRVDGSCNSV >OB12G12540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1452343:1456461:1 gene:OB12G12540 transcript:OB12G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEIGNKMQAQMNLHSSAGAEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEKVVQEDRIPVLKASQDHLKEFISICEALELIPEDELELSRQKQPDTMANRRAQKVARFKRQKAAETMLLEIKERKERRGRSLRAAALSAPIEVGEEDAFEDDGEEEREAWLATISLALCKSFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHKPLIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTAQMIQESNSAWHKDGSRSAQEEEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >OB12G12550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1459536:1460081:1 gene:OB12G12550 transcript:OB12G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVHLFASSSSSSNKDHKVRRKRSGAKSCSFGSTTSSSDESVATPRSVLPPSMASSSGTKKPAAVTREELEVALRSVVSSDEELAEMLAAAEDEGGLVLEEIAAAAPADEGELKETFAVFDADGDGRISAEELRAVLASLGDALCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMHGCA >OB12G12560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1462500:1468348:-1 gene:OB12G12560 transcript:OB12G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLHDEAEKLNMEFQFHGVVGQLEDLDSGNLQNVLEIKSGEALAVSCNLQLHRLLAADDDATYSSRSAHLNQMASIAQLQHMAAASSRQPSGRLAAVFADALSRKLLNLVPGISSALLSLANSADAHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRSRREGPPHLRITAVHDSKEFLATMATVLSKEAEAFDIPFQFNAVEAKLDEMDFDALRHHLGVRSGEALAVSVVLQLHRLLAVDDGRRQAAAGCLTPLQIIARSSPRSFGELLERELNTRLQLSPDASVVSSLSPNSPEVTAPHATPKLGSFLSSLRSPSSKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSSAPAAERARVERVVLGEEIRGVVACEGAERLRPLVFVIEEVQTYFTFDREFP >OB12G12570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1463371:1464219:1 gene:OB12G12570 transcript:OB12G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEDGDLNDDRKLPSFGVACGAVTSGELGDSDDTTDASGLSCSRVFSSRSRSSPKLLGLERAMIWSGVRQPAAACLLPSSTARRRCSWSTTLTASASPDLTPRWWRRASKSISSSFASTALNWNGMSNASASLDSTVAMVARNSLLSWTAVMRRCGGPSRRLRKAWNSAIHCTGLAAGPEKSTTCTNLSPSMASRMAWFVVRYASFRNGSTSNMWRRATGTRWASAELANDSSALEMPGTRLSSLRESASAKTAARRCSACGASRDGAARRRRRVHRRRRR >OB12G12580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1476714:1477580:1 gene:OB12G12580 transcript:OB12G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQMQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRSKSPLTAAATPRSPFLTHHYLPINAASSAADRHXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGQWPTRRGKATTAEGARPPCTSPMASHN >OB12G12590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1485956:1487682:1 gene:OB12G12590 transcript:OB12G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAPQPDFSRLGAAPDDERGGPAAAGAAAAAALFVSLDDSRGGDVRTLEIGERDVELIAVDGGAAGPESVAFDAAGEGPYTGVSDGRVLKWLPLQRRWVEHSSASNEPQRLRSMGAVFHGPTPMSVDVLNLEAEHHA >OB12G12600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1488793:1490812:1 gene:OB12G12600 transcript:OB12G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTKLLLALAVFAAAAVLSLDLRSDVRLLEIRDGDVELIPLLDGAAGPESIVFGGGGEGPYTSVSDGRVLKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNGKTGELYVADAYLGLRVVSPGENVSRPLVPQWPATQFSFANGVEIDHETGVIYFTQTSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSNDGSYLLLAETTTGKILRYWLKTPKASTTEEVVQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPAQRIQRISSFLTGFGRQVIALRLSEDGKTIEAMSVHGAARKVFKSISEVEERDGSLWIGSVLSPFLGIYHL >OB12G12610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1492247:1496686:1 gene:OB12G12610 transcript:OB12G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIAPDGEEKIACAVGTGPVDAAYKAVDEIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVIDSKHALTGQSFNRAFSGSGAAMDIVVSSVRAYLSALNKMSSFVGAIKASSQVTEGQSVQTTE >OB12G12620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1497090:1501000:1 gene:OB12G12620 transcript:OB12G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQRDPNQIRVSRAQLLAYLSFLQQAQLPDSVQAVNININRGIGEGGGGGEAYSNGGFGAVPASSEAIAALMETTVGETKEKACAVLEDFEEGERLKRTPCSHGFHASCISEWLRLSRLCPHCRFALPAQKDSEQKGATEALKIQILVYKDEQSEQPRVKGEKLRKMTIGITVNPGLSKAIPYNFLNGDVQQYRSEPAVSKNHGIFTLKRERKRREKKKQIYVGMDASGWQQQLGDKSLM >OB12G12630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1501800:1505125:-1 gene:OB12G12630 transcript:OB12G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALLLLAAAAAAAVVLGWLYAAGHAAGGAKKRARMPPGSTGLPLVGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLPRARPPPPPPRPASPPLLAHIDRLVLATMRQWEPAATVRLLDEAKKITFNLTVKQLVSIEPGPWTESLRCEYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIKKRMEEKTENGGVDGEDEVKKEKMDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRGMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYEDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQVNNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEPAEEDRLVFFPTTRTLKGYPINLRLLSESIC >OB12G12640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1510786:1513394:1 gene:OB12G12640 transcript:OB12G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIETPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHAHEDSPISVQADQQEAAVNLIITDYCMPGMTGYDLLKKIKESSCLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEQYQQEQHLQSNSESSNSSNPTNENSSNPTNENSSSTCSNSHKRKANDDEVLPHATRPKHN >OB12G12650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1510786:1515644:-1 gene:OB12G12650 transcript:OB12G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEMEIDDDLPEVPDCIASMVDRSGSVESKRLFLARRTALEMLRDRGYSVPDEEIARTLPEFRAWWGEKSEIERLAFTTTLVSDPSKKVQIVFCPPEPVKIATIREIYRQTEGENLSRLILILQSRILSRARESIKEIFKLKVDIFQVTELLVNITKHVLKPKHEVLSAAQKAKLLKQYNVEDSQLPRMLETDPVARYYGLDKGTVVKVIYDSELTGNHVAYRCVF >OB12G12660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1517372:1522050:-1 gene:OB12G12660 transcript:OB12G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTFRSSEVGAHGTGARLPPIDEQVISMKLVTPSKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWRTPKFTSKYGKDEAIQHVRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQSVSETCFPAGTLAKPNLKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSMTQTNLWDGYSAYEHWAKIEVPKDKDELAELQVRLRKRFPVDEYNKARMELDPNKVLSNAKLEKLFPVTEAVQHAK >OB12G12670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1527711:1528656:1 gene:OB12G12670 transcript:OB12G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALQTATTTVHSANADHLNILKREQEQSSRMHGDVAVVMAELGLCVNEEGKERHLVDGALALLEEKHASWEELEEAFGVFDCDGDGFISPMELHNVMARLGLQQDASHEECERMLHVFDKDGDGVIDFEEFKVMMQGAV >OB12G12680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1530678:1531349:-1 gene:OB12G12680 transcript:OB12G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCRQVLQIFKGEAACEPLPQLVHDPLHPSRHANGRHNQNNNVCRDTDDQCQDVRRRRHPPAASGGVGAHQAKQVQYHGGVQRQQRRPGVAHPLDQQQHHYHQRRHEGGRVAVEEDLVATRIAAQKNGVAGGVDADLALVPEAARRAHRRLVGDGRRGGGGGVFTFLQLPAAKAPMSPTRSRITHTRRAYDRIPCQFHDLTSDKSRCTPFLSRLGRRTTSAE >OB12G12690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1530903:1531328:1 gene:OB12G12690 transcript:OB12G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGGLWDESQVSVHTPGDPVLLGSYPRRYKVFFYCNATSFMASLVIMVLLLIERVSNTRPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALVIGVSAYIVVLVVASIGVARWVKRIMDKLGERLTRCFSLEDL >OB12G12700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1533293:1536630:-1 gene:OB12G12700 transcript:OB12G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKKTNQLPPPASRLWEAGIRKLKYSTILRGSVVPSSAAFDGAAGAAAANSAACDPATLGALSVSSSTKSNTIYQYNDDDGDDTDSVLDDNDTDDDEEEGARGPPSHAEQLLPSGDFYQGDLRGELPHGAGKYLWTDGSMYEGSWRRGRASGRGKFSWPSGAIYEGDLDGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYDNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGRGTVIWADGDRYDGAWEDAKPKGQGTFRWSDGGMYIGLWCQECGETQARGVYYPPSGGPAVPVPREPKEEITKLLEELEMCEGKTASLLPSQKVVTWPGVDPVMKKPVWRPPEVGADQGRRSSVNRRSSVSSDLDCLSLAEVEEGEEGRSDRSWLRTPSCMRAPVPPRPGKKQGETISKGHKNYELMLNLQLGIRHAVGRNSAPTSLDLKASAFDPKEKVWTRFPPEGSKNTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGAWFQDFCRQVDKDCELLEQERIMDYSLLVGIHFKDQCKDIQQC >OB12G12710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1543455:1544967:-1 gene:OB12G12710 transcript:OB12G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLASPDEETTDGVISYVPFSDGYDGRTKPIPTEDESAGSRGASFRSLSSVISRLAARGRPVTCIVCTMALPAVLDVARKHGVPLAVFWNQPATVLAAYYHYYHGYKDLIASNAFDPAYEVALPGLQPLRMQCLPSFLVDKTSTDLSKFVIHGLQELFEFMYREKPKVLVNTFNELEATTLAAMQPYLKEERRGYFRRTKRATWSGLMSSRKVPNWSDQPTIAYLLEEKWRVGTRVYRDDKGIIAGTQLAKGIDFVMGDNEVASKIRERANDLKQKIREETTRGEKSEMSLQIFAKSTIELGKESQSNLRTYKN >OB12G12720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1549255:1550328:-1 gene:OB12G12720 transcript:OB12G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPVLDVARRHGIPLAVFWNQPATVLAAYYHYYHGHRETVASHASDPSHEVVLPGMEPLHIHSLPSFLVDAAHNKLSRLVVNGFQELFEFMDREKPKVLVNTLTGLEAATLTALQPYLQEVFAVGHMPPVSTKARIHMFQQDSKNYMEWLDTHGERSVVYISFGSVLTYSKRQIEEILHGMQECGRPYLWVVRKDGRDEELSYLVDNIDDHRGMVVEWCDQLDVLSHPSVGCFVTHCGWNSTLESLALGVPIVATPNWSDQPTIAHLVEEKWRTGTRMYRDDEGVIAGTELAKGVEFIMGNSMKAIEIRETANAFKHKIHEEAVKGETSKINLHSFAKTMIHSCKEIKIMSSYDK >OB12G12730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1550448:1550942:-1 gene:OB12G12730 transcript:OB12G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAANPHRTTNDLRTLPSSHFLVVAYGIQSHINPAQDLAHRLARIDDDGSVTCTLSTHVSAHRGMFPSSLASPDEETTDGIISYAPFSDGFFGDRSKLISVLSDEETARSRRASFESLSSVVSRLPPPGPPPPPAPRRRRTPILRTPPPPTPPHADVSATDPS >OB12G12740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1553884:1554759:1 gene:OB12G12740 transcript:OB12G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLIYQFCRFNPLFQKRKCCLTLPLEFRINELKKLNFNYDWTKLLLLFYLSHYFSLFSRAFGERATSAGGYGERWRRRRRWGRAATASGRGGICGGGGGESQLRRRCRRPRASGWGRRRRWSRAGGGRVVVGLWRGGGALQRGGGAARRRRAGGRRRRLRAGGRRRRRRIGGGQVGGGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLGRRRRQWRLIVWEAPESSSAELVILVKFRLNY >OB12G12750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1555027:1555248:1 gene:OB12G12750 transcript:OB12G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XM81] MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >OB12G12760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1557499:1560519:1 gene:OB12G12760 transcript:OB12G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFFPINLRLQETGAAESTIYMLYIACLSSGHTRLGWATNNADLHAPVGYDVFGFGYRDLDGTKVHKAWRAKYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKATEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVKFNFGPNFEFFPEDFGGRSVPQPMSDVPYRPFALANDGPAENGTAEKTI >OB12G12770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1561244:1563289:-1 gene:OB12G12770 transcript:OB12G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSATFRSAKIPRAFPPKSGKAAAAASASCSSGAPSEDVAKGKAAARWCVYLIASYRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRGEPSMTSLLQHRDMALSRVKTDLACSHLKITWNSS >OB12G12780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1565509:1566620:1 gene:OB12G12780 transcript:OB12G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGARACYPSAAVTRRGGRRCAAVVRSSLATCKPLGMRIGRQWAELQGARDWDGLLNPLDGALRGELVRYGEFVRAAYASFNFDGGAPSYGSCRFPSNSLLRRSGLPETGYRVARLLHAASTSAPCWLSCRSSYIGYVAVCDEEEEIERLGRRDVVIAFRGTATCSEWVDNFKLEESGGKVLRVVNSDDIVTRVPGFPDADDCGGARDDAPAKRKPRFPRWLVSKMGWEYSDVGRELRLCGQDTWSNVVASHDLDLYLKLVAACTY >OB12G12790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1567479:1574280:-1 gene:OB12G12790 transcript:OB12G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RED family protein [Source:Projected from Arabidopsis thaliana (AT2G26460) TAIR;Acc:AT2G26460] MSSKKNYYKEKVMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIDKKPDAEDGKDTQSRSTKEDQAVSFRTATAKSVYQWIIKPQSIVKSNEMFLPGRMAFIYNMEDGLNNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKQQIQKAMPPPPPPRNNNFSGNEKQSVPVVRADEDDIFVGDGVDYSVPNKEMSQSPVSEDMEESPHNHQKQSYFTEPIYGPVAPSDNAQAWPQPNGYDAIQAQMVAAGYQGEWSGYPYSEQLAYPEQYMQQSTQDYDVLADPNISQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDEKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNDLHKINKILARKKGERDGGDDGGHYDDDLPSGKKHRA >OB12G12800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1573885:1575468:1 gene:OB12G12800 transcript:OB12G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAGGVGGGTGRCRRMRRRLRNLIHDQLAINGDVYNIIMLRSNQPVAPPPRDGDVRPNQQAPPLAREDDITQNQHAPPSTDDGMFSSMDMTESIVVSSEEDASLGESSEDEEEMDFSEYEDEDEEIDVLAPAPQISSEAAASYRQAGLFPTSSKAIQGLREVSAADAKKDECATCLQDFLADDELRMMPCSHTFHQRCIFDWIRLNCICPLCRHKLPTQHEDDMRENP >OB12G12810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1580936:1590183:-1 gene:OB12G12810 transcript:OB12G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEESPMGSAQRRLRAVSAHLPPPEPASAAGVDLAANPTAGEYANVQGYSAVLPEKLQTGKWNVYRSAKAPLRLIDRFPDNPDIATLHDNFVYAVETFRDCRYLGTRVCADGTVGDYKWMTYGEASTNRTAIGSGLIYHGIPQGACIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRVIVVIDGDNANMASSSTTTGVKIITYSRLLSQGKMSSQSFRPPKPEDVATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQIAVLHYGVAIGFYQGDNLKLMDDLAVLRPTVFPSVPRLYNRIYAAITNAVKESGGLKEKLFHAAYNAKKQAIINGKNPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFSGDVLEGYGMTETSCIITAMNSGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGPTIFCGYYKDEIQTREAIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSCLVAIVAVEPEVLTAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFVKEIADMYSQLREAESIKPKL >OB12G12820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1607608:1607766:-1 gene:OB12G12820 transcript:OB12G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLSLWSRGRRRKRVRRKKKKKRASSLCSTTLVLAKLNINTGTTTVSLPF >OB12G12830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1607162:1610739:1 gene:OB12G12830 transcript:OB12G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQSSIDAEQGKVTVSGLVDPVTIIKKLNKAGKPAELWGSKVGVAAVNSQFQKLHLDGGGRGGQPKDGGGKGGQPKDAGGKGQKGGGGGNGGGGSKDVKMMLPPQMPQPTPQQLQQLQQQLQMKGLKLPQFMDGKMPFAAAAPIKDPKSVKFSLPPEDDFGDDGSEFDDEFDDFDDDEDFDDDGLDDDYYDDPKLMKQMAMPPPNAGGGDKKGGNNGGGKKGGGGNEIPVQIKGNANNGGGGGGKKDAGGKQNQGGGGGNGQGGGKNGGGGEANKPRGGGGAPNGGNHPAQGKKGGGGGGPAVGVGGPMGGMPAQQQAMMRPGMMGGGAGFPGMGQMGGGPMTMPMGHHPQGGGGGSGMPSGPEMLQAAAAAGNPMAQQQYMAMMQHQQQQQMMMNGHHGHVHGHHHAHGGGAPAGYPAMGYGYGRPPMPYPMSYPMQPHPHADPYNYFSDENPNSCSENSTSLKAKEERYNTPSQDEEGDNDHCVGGGRNAMEESRMIMMMVVVVVVVHV >OB12G12840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1630132:1634720:-1 gene:OB12G12840 transcript:OB12G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical_protein [Source:UniProtKB/TrEMBL;Acc:G2XMJ0] MLAVFSGDVVEVPAELVAAGSRTPSPKTRASELVSRFLGGAEPAVSVALGDLGHLAYSHANQSLLRPRSFAAKDDIFCLFEGVLDNLGSLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQFTGSYAFVLFDKSASTVLVASDPEGKVSLFWGITADGSVAFSDDIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKHKVTAVPANEEEICGATFKVEGSTILTALH >OB12G12850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1644230:1644859:1 gene:OB12G12850 transcript:OB12G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVPSNLRKQLDAADKCFADGNIKGGKMHDMAVFLFASAPEAQCVQAAFKVHAAAAAAAASKVKLGNADHYAVLGFKLDAAGKPEAAATTDAVRKQHMALCAKLAHSKDTSAAVAAACKLVDEALSALTDIKKTAVMALPLPSASYQQQVARRKAMQKQQDEEFRARAARYQEEEEDAYYGAGDRENAGRGGRRRGRQTSSYPTS >OB12G12860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1650015:1653397:1 gene:OB12G12860 transcript:OB12G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGFRCSENGRPDDSYTKWRWRPSRCDLPRFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLSTAVPDKGSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAEAPAVVKYTIRVDAMDWMSGQGKWRDADLLIFNTGHWWNYEKTIRSGAYFQEGNRVKMKMTVRDAYERSMQTLFHWLRNEVNTNKTQIIFRTYAPVHFRGGDWRSGGTCHLETLPDVTPIKSMELWADLLEPINHVLGNSITTKLVGLDILNVTQMTALRKDGHLSVYLSPSGPASQHRQDCSHWCLPGVPDAWNELLYALFLKRQMVAHHNVSGLGAKSLNTG >OB12G12870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1653886:1657092:1 gene:OB12G12870 transcript:OB12G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G21640) TAIR;Acc:AT3G21640] MAVLDEDPAPAAAAAAASDANSGASDSEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDRVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMAGLGVGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMKQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLVKLFKRKNE >OB12G12880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1658501:1660265:1 gene:OB12G12880 transcript:OB12G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFAEPHPPRPAFDDDWGDFVATSALDSDPDGPTTTTATAKPSTWEKPRGPLPLSLFGAGDDDDDDEEEEVVVANEGPAEPPTAAAAAPQREPRAISNGSSPADLKDLIAGLYGSQPLSSPGAAEAGAQEMVAVDDDGFGDDGWEFKAAPSSDGAEIGSRQAHGDGVEDVRKSMGSDQEDWSLFTSVDENLNHVQTTNHIETHEATEQSVKVFSWSSPNNAAILDLYKETKLVDVVHMTQNSSESVQDYSDMFSNNEMMKIIQLNLQAIGL >OB12G12890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1662874:1667308:-1 gene:OB12G12890 transcript:OB12G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:J3NBD1] MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGHAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSDLLPINFSGLAKAVKPGATIFVGQYLFTGSETTSVWLEVSQIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIKKWGTPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILKEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKILELDD >OB12G12900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1669126:1671671:-1 gene:OB12G12900 transcript:OB12G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G36570) TAIR;Acc:AT2G36570] MSMPILLAEESSGSCAHSCRDSRVVVTMAMLLLRSLGFLAIMAAALSLAMPAAVVAAAVPQPEPEFRWLDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDLSGAIPDAIARLLRLLRVDLADNSLRGAIPVAALANLTGLLTLKLQDNLLSGLLPDFTTVLPRLGEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFLPREPAPTPPSVPSSQQSVVPSNPAASSSSSSVASSSPALATPESRNGAGKGGLSTGAIAGIVVGNALFLFALLSLLVAYCCCSTGDGGGDELPKKRKRGGRVGLEDEDDGLFGHGKGVQPGRPGSAGMRSDDGGDSDGARSKLVFFGVDGEDDDGGSDSSAAGRKETDGWTATSHQQQERRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACIHREYRTSAIPHGNIKSTNVLLDKNGAACVADFGLALLLSPAHAIARLGGYMAPEQEDNKRLSQEADVYSFGMLVLEALTGKVPVHYPQPLPAADADAQRKDKRCSTAVSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVTLQPEQRPSMADVVRMIESIPVDQSPFPEEDRDISMSPSIGITTDDGDGRLSC >OB12G12910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1687401:1688390:-1 gene:OB12G12910 transcript:OB12G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAMISLASTRLSWSNSFVGSVHSLVYPSDFAPDTPRSFLPAKSGKVSNGGEHVRRFLLSRSHSLRQLKWPMAGKHLIGCMVSGSRSPDRERWLHGGDGDLPLSFSYMSASNGDYSSINSQSHDDHHHHTNANLSMSAFESSSHSWVMSAPATTLGAAALALHYANLIVFIEKLTVAPHHICQDERDALYNMLTGRIRASLRARLKPVAKNNMAAAAAARDPIMAAEWSDTVQRVLGWLAPLAHNMLRWQSERNFEQRNVASSSTSVLLLQTLHFADRKKSEAAIVELLVGLDYLWRAGRRELDARAKRLVPAGGENYHDFADYNLQ >OB12G12920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1696632:1699329:1 gene:OB12G12920 transcript:OB12G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRREGIAVASGHGAAAAAAAAGFGLFISGADVSPMAEAQEAAAEGYHRSSPSSPSTSPSTPSPRPAAAGHXGDGAAATAAAWGLAGEKTTPREAGGDSGVRVSGRHGEHASLSSSGRRRGRPRGSGRRQILSTLGEWYAVSAGGSFTPHVIVVATGEDVAARIMSFSQKGPRSVCILSANGTISSVALNQPGSSGSTFSYEGQFEILQLTGSFTIAKEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLHQQPSAAPALPAPMAPPAVLTAAMPISQAAPGNNGCHSPQVSSMPTQSHAGVEHSWAASGAMNLNISSSSTGFSMAGWPRSSQSMRHRPSPDINVCLTPQE >OB12G12930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1702250:1705410:1 gene:OB12G12930 transcript:OB12G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28600) TAIR;Acc:AT2G28600] MAKGDDALARKRSRVRRKRMRSSENAVSARVAGIIASKRRRKSGKRRGCEGMCFSLPTPEDPFNDIHAKKRKEKDGAEYDRPSKFLVVCLNAIRDAAASEDGGGGGIHDTGSWGVELWNCCSAAPPTDVLDTSGLCATREQTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQENAVQVRSICKPLKSLGIHSVSLHPGASIEHQMSGLKSCEPEFLISTPERLLELVALKAIDISGVSMLVIDGLKCFMDLNAGDKLCSIRDAILSSPQITIFSDPSDRSVAALATKLLHGRKIRRLCTNDSVTSRSAFVTQKIHICPRKDQKAPKVKQILEQILTNHAKKTAKVLLVAASNHEAQHLSSSLKLQNCTVTDDSHDNSFTICSSVGLINVLVKDWESMTATNFEDFDTVLVADLPPSVDEYTDVLTGASRHVVVGEVHCIFSSADAPLAKPLSQVLTSCGQVVPEFLRKLASS >OB12G12940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1718570:1721016:1 gene:OB12G12940 transcript:OB12G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVYPNGYGYGEIFLTVTGTENDGVKVAAAAAAEVVLQGGGATGNGDEVSELMGAEEEAAASACEVEGNDDEECVQRRLLRDAHLDYIYTQRRPTKP >OB12G12950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1732932:1733758:1 gene:OB12G12950 transcript:OB12G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDSYHNREIGVFQIKSEAISMSGTTIASAAQPYMIRYKSSPIRLMQTTLLCLPLAMGIRSESQTANLRLFHYREGHGRHRRTALIRVLLQPRAMAAQLPQVYQAEIIVQTALPWTKELVRALKWTLCVWVSVCIYIFIIALVICFVRAPSVISARDRRLHDHQVIQKLSTDLDERSEKGSCGGVGVAVKWKERMRKRKAPHGTIRFTKGSASGVAMEDTGQVIDGPGE >OB12G12960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1744390:1744599:1 gene:OB12G12960 transcript:OB12G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAGLLPRRPGLQNTTLTTTTIFFNLRRSHLLTAVTALGTAFFSIGDSMMEFTKPSNQYLLLDYNLDLD >OB12G12970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1755592:1758189:-1 gene:OB12G12970 transcript:OB12G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSRSMVLTLLGFCVSVLFIVFVCSRLLCALLRRRRARARPPRRHHPPSSSSASSGLDPAALAAFPTRAFSSSDPSSSPSAATQCVVCLAEYEEKDVLRVLPYCGHGFHVACIDIWLMHHSTCPVCRISLGDYPDSKQTMSPLPSAAIIPPCSPEASRSDQCNCLFVSTGHSPRTSQVVRNEPDQANRTLRSPSVEGGNSLPSSEVNPPGEK >OB12G12980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1764245:1765597:-1 gene:OB12G12980 transcript:OB12G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGRPAGRPARGKQMQRTINNVKITLICGFITLLVLRGTVGINILAYGVAGSDAVAAAEEARVVEDLERILREIRSDADDDDEEEEGGGVHASATTNSTTPTARKSSNHTYTLGPKVTRWNAKRRQWLSRNPAFPSRDARGKPKILLVTGSHPAPCDGAPGDHSLVKGPKNKIYYCRLHGIEIVHNMAHLDRELAGYWAKLPLLRRLMLSHPEVEWVWWMDSDALFTDMAFELPLERYVASNLVIHGYPELLFGKRSWVALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGKVLTASLTGRPAFEADDQSALIHLLLTEKKRWMGKVYVEDKYFLHGFWAGLVDKYEEMMEKHHPGLGDERWPFVTHFVGCKPCGSFGDYPRDRCLSGMERAFNFADNQVLRLYGFRHRSLASARVRRLANRTNSPLVNKEAALKMDAKIET >OB12G12990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1774751:1787331:1 gene:OB12G12990 transcript:OB12G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKELAGRNKEGRARTEREILERLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSESAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPISLAGHSSKSSSYACTRSIVVMYCKSTAAGRSIMPKIKTSGVKYPDGWELIEPTLSELQSKMREVNRTLLSWWMLGLCVAENDPHDGKRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPRHLREEQVIECVHCGCKGCASGD >OB12G13000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1787534:1791463:-1 gene:OB12G13000 transcript:OB12G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAGLDRMDTGSSWGGMNPLEAVSSPVAGGGEDGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDDPKPETAASRWNRVSINASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGEPFFYWLDIGEGKDVDLPECPRARLKKQCIKYLGPQERELYEYVVTKGKIIHKHSKEPLDTSQGPKGTKWIFVMSTTKKLYAGKKERGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLANFMNFLEENGVDLKEVEVRASTTEDYYEDPVPNKQNPLATVMESKPPQLILPPNMIEDRANGPSSQTEGAESDNIPIEKAKPTYQRTLSGGLKSPRAAEVPREAILERVKSKGESKSYQLGHKLSLKWSTGVGPRIGCVKDYPMELRMQALEMVNLSPRASTPSPSWRLPAGLSPTPNLPTSPLGPIQTSLPQPS >OB12G13010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1790995:1791309:1 gene:OB12G13010 transcript:OB12G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRAPRRRRPSRRACAWSGSSGTPSAAWSPPHPRRRQPPERKRPPEDSSHPRKSRCPCDPAPPEASPSPPPPSFLRFKVQEEEEEEESEWKWVQPCVAKSGRI >OB12G13020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1802857:1803266:-1 gene:OB12G13020 transcript:OB12G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAGLXXXXXXXXXXXXYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRAADKAKGKFTAEDVAAAGASLQEID >OB12G13030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1811988:1813310:-1 gene:OB12G13030 transcript:OB12G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGLPDRVVEEGLHQPRRLVRALLRRSPMGTVHVHVLGCTVTANVEYMLKTCFDNFPKGKPFAALLGDLLGNGIFNVDGGAWRHQRKMASLELGSVSVRSYAFKIIAQEVETRLMPKRGSDSNRFAFDTICKISFGLDSGCLDRDMLASELASRPFDSKFCAAADVLPDGTYVDGDARVMYHPYAMGRMPRIWGADCDAFRPDRWLTGAGGTFVPESLYKYPVFQAGLRVCLGKELAVTEMKAVSVAVVRAFDVEVVGENGRSSAAAAPRFVPGLTASIRGGLPVKIRRV >OB12G13040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1830753:1831025:-1 gene:OB12G13040 transcript:OB12G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASSSFSDPPSPPSSFSSFVFLTRQAGGGISSDGGCRLPHPDARVADPPPVVSTYNISYNARQRHRVAWEHELDLSMKQTPATLSFFI >OB12G13050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1837592:1841049:1 gene:OB12G13050 transcript:OB12G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NBE7] MAMANVAALPLLVTLLAAMSLLPPAASTYVRPRPRAALSVLHDGNGQTPQQVHISTVGSDKIRITWITDDDAPATVEYGTVSGEYPFSAAGSTTTYSFFLYHSGKIHDAVVGPLEPSTTYYYRCSNSTSREFSFRTPPASLPVKFVVVGDLGQTGWTKSTLRHIGADDYDMLLLPGDLSYADFYQPRWDTYGRLVEPLASARPWMVTAGNHEVERIPLLHPQPFTAYNARWRMPHDAGASPSGSNLYYSFDVAGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLGPAPPGGGRGPGTTTTRRWSPTRCGSPASPPTRLATRKIPLACELCSSTSVG >OB12G13060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1844496:1845387:1 gene:OB12G13060 transcript:OB12G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYICQPAHLLDALICNATATPDSPFPLLLTDARLDALCARISKYYSLRRFVTTTGEPPTNWTRKHDERYFHYSSGMQAVVMALGVCDQVSLFGFGKSPGAKHHYHTNQKKELDLHDYEAEYDFYGDLQARPEEVPFLDEAQGFTPPPV >OB12G13070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1863961:1864828:1 gene:OB12G13070 transcript:OB12G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEASATTYQEITTATAAAAATAAGERGQMIDDGEDQRGMIVPDDSDDDDELFELDIALIDHRDGEEYSRRALSXXXXXXXXXXRRALSGGAGGDEQGDALLANCLLPVSSVSKAVPVTPSGFVVVSSCYSGRYHGGGAVHACDDPCSWRRRFFFTGGGGGGSRTRIIGRHGGNSSSNSARFSFSRFQNMGNFQRY >OB12G13080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1867558:1867800:1 gene:OB12G13080 transcript:OB12G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWIHWVSPFYVHVFKRIVAGAVQLGRCLSLYKVTRQDLANFLFRRFHVIKSAHLVEPESAFGGESFFCQLALVPVIGC >OB12G13090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1868075:1869526:-1 gene:OB12G13090 transcript:OB12G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVEPLIVGRVIGEVLDSFNPCMKMIVTYNSNKLVCNGHEYYPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGQEIISYESPKPSIGIHRFIFVLFKQKHRQTVVVPSSRDNFNTRRFAEENELGLPVAAVYFNAQRETAARRR >OB12G13100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1867471:1874865:1 gene:OB12G13100 transcript:OB12G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCPAIQMAASCVFRTRSAWNDLLQVRERTYQKRHLLFQVTIIFMHGLNESRTSPITRPTIRGSTDIDMVGASEGGGCTLHCLRGSLSTPKCLSLQPCLSG >OB12G13110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1878243:1880996:1 gene:OB12G13110 transcript:OB12G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTLLVVLVVLLGLASQAVLRPPPPKLCGSPGGPLVTSPRIKLRDGRHLAFREDGVQKDRAKYKIITVHAFDATKDFPSPVSKELVDELGIYIVGFDRAGYGESDPNPYRDVKSEALDIEELADQLELGHKFYVLGVSMGGYSVWGCLQYIPNRLAGAAMVVPIINYWWPSFPAELSRQAFKRLIVPEQRTLWIAHNMPSLLYLWMTQKWLPSSAAAMRHPEIFSKHDMEVLQKMMTMPVIENKSRQQGIYESTHRDLLVAFGKWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYIAKRLPWIQYHEVAEGGHMFMLVDGWTDKILRSLLVGKQL >OB12G13120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1883634:1884035:1 gene:OB12G13120 transcript:OB12G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSYRDFHQTSIHGPSFPRTYLCAQNNILQVMNCMLDWSSSNPLNKTLCVQLSLMHFRTQPYSLCSVTFFTVPIYQQSVCVCVCVFGANPITSKCKLRRISTHASNLHEKRGNNASSQICAHAQHYARNKIR >OB12G13130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1884550:1885183:1 gene:OB12G13130 transcript:OB12G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITAHASAFDAIKDFPLPVSKELVDELGVYLVTFDGAGYGESDPNPKRNVKKSEALDIEELADQLKLGQKFYVLQPSMGGYSIWGCLYYIPNRLAGAALVVPIINYWWPSFPAELSRQAFKRLIVPEQRTFWIAYNIPFYM >OB12G13140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1886465:1886695:-1 gene:OB12G13140 transcript:OB12G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKILSVHLKIPWTNSARCIRNTGMPSLSQNSEYMCTNLILPAGKKIHNRYSFTQILTLLDKRAVSMRSILLEQV >OB12G13150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1888460:1890052:-1 gene:OB12G13150 transcript:OB12G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22960) TAIR;Acc:AT1G22960] MARHGVPPFIKDCNRVLRALRDEARWDDMRAVYAEMLQIGIEPSIATYNTLLDSFFKEGRVDQAAKLLREMEARAGGCLPSDVTYNVVINGLARKGELEKAAQLVDRMRLSKKASTFTFNPVITGLFARGSVEKAGALQLEMENEGIVPTVVTYNTVIHGMFKSGNVEAAQMKFVEMRAMGLLPDLITYNSLINGYCKAGNLKEALWLLSDLRRAGLVPTVLTYNILLDGYCRLGDLDEARRFKQEMVEHGCQPNVSTYTMLMNGSRKVRNLAMVREFFDEMLSKGLQPDRFAYNTRISAELILGATSQAFQLTEVMISRGISSDIVTYNILLDGLCKSGNLKDAYVLWMKMVSDGLHPDCVTYTCLIHAHCERGRLREARDIFDGMLVSGLPPSAVTYTIFIHAYCKRGNLYSAYGWLQKMLEEGVRPNEVTYNVLIHALCRMGRTTLAYQHFHEMLERGLAPNKYTYTLLIDGNCKEGNWAEAIRLYSEMHQNGIHPDHCTHNALFKGFDEGQSKHAIQYLENVVLGS >OB12G13160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1892424:1894627:-1 gene:OB12G13160 transcript:OB12G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKDLGLDVTKGSVATESALTQTKFHIMRSGRKVEDPDMLEKIRLTIINNLLQYHPESSENLAMGEFFGIKPPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIVKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >OB12G13170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1896849:1897031:1 gene:OB12G13170 transcript:OB12G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLGCIIGCPFCLVFSFFFLSLPLCCAVFACVVRAIAFGFLNFIIFEKLFGGIIFLYKI >OB12G13180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1900629:1901525:1 gene:OB12G13180 transcript:OB12G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWRIGLRIVAAPFLATSTVAYIVAVASHNSINIPWILGKNSKGRFPLWSNVIFGPFLVLARVYATVKRHMRKEAVYDMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPPISKIEFAARWACEKRSKGKPVYVHCAFGHGRSACVLCAVLVALGIAENWKDAENIIRERRKIKMNAVHRKTLEDWSKYRASQKKEK >OB12G13190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1900462:1908616:-1 gene:OB12G13190 transcript:OB12G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRSSAGQNPHRVLGYGFHGSFANSMPSANLLQGEQGGGAATYFGELEEALAHQVATLRRAQQTASTTTTSTAHHGHTTPFSTAATAAATATARPPPTLDIFPSWPMGSLLTPKEGCSNVTADTTDSASSSKNNSDQKTSSDKHGAVAGMASQLDQIPQQQKKKMAANSTHSDRMTKALDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDLERARSQGLVLGGSPGGNVSSGAAVFDGEYGRWLDDGSRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWIGGFRPSDLLKTVAPQLDPLTEQQVVGIYSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVIDEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPQEILVADESNCGEISIAAQPSESQFSAF >OB12G13200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1915782:1916153:-1 gene:OB12G13200 transcript:OB12G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDSRSKEIKIMSMDDGEILKLPPPPPPSSSSPLNAREVLGVVSDGVLPAAAVVRVKLVVSKQELRKMLLLHHDGLSMEDMVSSLVQKELADEADDEQESCSAWRPTLQSIPEGSVF >OB12G13210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1926519:1934180:1 gene:OB12G13210 transcript:OB12G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64570) TAIR;Acc:AT1G64570] MEVDDDVEDDDMDFNPFLREGSPSETSSSLTSEAECEEASFDDQISSEVYPDGNCVNEHTGDCAHPQNVLLSEGVCKENNPESTSSQVPCENGDDRLNGLEEEALPSEVACSPTLKNSDSMLLEGSEEDAICRRTRARYSLANYSLEELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGDNDTQVCQGDENQDEDENDADFELEIEEALESDDENAENYEGTNTMKEKDGRRRQTRQNRPCTELSGSSNDHHGSTKSSLRPILPYMVPGQSYGWQNPSQSAFIPSSLISVNSASLVNGFTDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCRDQALASRSTIHRQFCFEPQHLCTSFSFDSSETLGYQWMPLIKSPVMSILDVSPLHLALGYLNDVADAVVKYRRSHVDGTADKNRFRKEPLFPTTVFNTCKDANIVSQGRSNSVSISPDSSGKSQQKKSLAATLVESTKKESVALVPFDIARLAQRFFPLFNFSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPGNPIKDVRRMKTSPLTSEEQQRIQEGLKVFKNDWALIWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNLQAVHGQEADNNGSEGAENDDDDSYVNEAFLADTENRSMIIMPYQLSLPRNARNGMMMQSSSSLCEESAVAGDSAEQQKGNSANFDATASYFPFSSCASDGLSSKQKVQQGGSLDRPQPSQFCQEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNPTQLKGTSDSVAKDLFPVPPPPFTESVYRQLNLFPDHSTSVRLHQNGISNGNNTEDGAEQDFQMHPLLFQYPREVLSSYSHPVQNLINHSRDLFPFEKIQTEKSNNPTTDSIEARTPVNANTIDFHPLLQRTEVDMFGGAPGNDCNQPCNQSEGNMREAPPDDQSTDRQKSTSPCEKENNIDLDIHLCSSRDFINGKDLRGTCSKLNDRAEGSRKDKASVSELEVSSHHGIDESNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSDEDQVQGVNPLLAQHKGVSTSGGCGEYQGSNNQSQNQQTLVQLGKQGAATQKPQRFSNAKPAREKLKGDNAKRTGSRTSQRPSTSPTGEPSQTKTRRTKTQLVQIGAERNKSSDSRRSRKRPGPS >OB12G13220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1934674:1935702:1 gene:OB12G13220 transcript:OB12G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCRAAVVLLVAASSLAVVLAHTDGHEGPEFTYISGAIDGPENWGKLSPEYKLCGDGKSQSPIDISTQTVVPRSDLESLERTYAAGNATLINNGKDITASHPPPAMFEGKVGEVSIMGKVYGFHVIHWHAPSEHTINGKRFPLELHLVHKCEADGSLAVISVLYKIGAPDSFYLQLKDHLAELGADECDFSKEDSHVAAGVVQLRSLQKRTGSYFRYVGSLTTPPCSENVVWNVLGKVREIGKEQLDLIMAPLPSKDARPAQPLNGRTVFFYNPPNTTVSFQEYSN >OB12G13230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1938899:1942178:1 gene:OB12G13230 transcript:OB12G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVGMRTFFWLVISVNQKYGNKLNADTTIIDVAGRPLQALYTYQLADENAGINANGPCEITSTEYTCPLEPQNMLKEEYANHYKLSDRLVAKVAVVKKPVSRSEVETEFGVSAYSKHATRTVNPLLTEIGTCRFDKTSVATLSCKQTDGKWRPPSVICCNALLHSIDHLPTSNESGACCLCRYLQLRYPNDGHGLVSSYVLCQGKDMHTVTKWSSFPVTSCHTVCRQEKSSSSGMSNPVQKNHPVGNVHGSGNKVIKIPWTFVSVAFCMILLICLWHLWWSNPAANACQPQSLPLNRSQKLSSEGNPQTERRLSSGRLSSAQLKERRLSFT >OB12G13240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1956704:1960179:-1 gene:OB12G13240 transcript:OB12G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSKSDKKRALDFAAWSFNISTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLAKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFGFTSLATFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVLGMIWYGNASAKPGGKERRSVLPVRSEKLNGALDEKDGSEK >OB12G13250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1945215:1946615:1 gene:OB12G13250 transcript:OB12G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGAVVDILAAAAAAVLLCCFLRPATAMMVDTIRYGPPRGPYRLGAVPPVDLGNTNSCVAGYGGADGESTPMFRLCIPTSVAFTDDGATLVGEAAKNHPAAISGFKRLLGTRFDSPDVRSAAEHLPYKIVDWCTRTHIEVNAGGAAARSMYPSDVASIVIAELKARAEAHLGGEVHNAVVTVPYYFSDGPREAAMNAAAMAGLMTARITGEPPAAAVSHGLHRNKLRDGGNVLVLHVGGGTSDTTVLTYDNAVFEAIASYHDLHLGGDDFDGKLADHFTQLIKHRHGIDIAGDDLAVAKLKAQCERAKKTLTAAGHDTAQVNLHSQLANGVNVSFSGSLTRPQFEELNHDLFQRVISLVEKAMAETKRVPGFDMIDAIVLVGGSTRIPRIRELVKNYFDGKETATATSISSGAVAMAVVVEPDEAVVHGGGLLSHPMEDGYLCMGAGGRRQIGSPMDRCYHEF >OB12G13260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1953207:1953602:1 gene:OB12G13260 transcript:OB12G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDLAGDPPESTLLRRVGDETAWPDVYDRDDSLKENTNPKCFLRNHPAAYGGGASQRFSGNLKPTAAPIIGSSRKLGGGGGGGGGGRFRPPAIFPKKAKTGGGGRTPKAAVPEHEPGRLRRRGWEG >OB12G13270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1967679:1971195:1 gene:OB12G13270 transcript:OB12G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGTDNGVASCGVAKSKSDKKKVLDFAAWGFNISTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLAKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVRYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSLAVFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFIFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKLNGALEEKDGNEK >OB12G13280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1974069:1974595:1 gene:OB12G13280 transcript:OB12G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLLTALFALVATQAMASDHNPLQDFCVADKASPVRVNGFTCKDAKDVNADDFFLAANLDKPMDTTKAGSNATLINVMKLAGLNTLGISMARIDYAPQGENPPHTHPRATEILTVLEGSLYVGFVTSN >OB12G13290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1979423:1979611:-1 gene:OB12G13290 transcript:OB12G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALRRLFEKPLPENPTLLEALSACNQVHHHHKKLVDTASFTEIFGELHFQEKPDRAXX >OB12G13300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:1982573:1987233:-1 gene:OB12G13300 transcript:OB12G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRAPTNLASSASRASPSSSLRYLASADCDVLPRSGGGSAGSPAGSTGSGGAQERREEEEEGEEEEERWSFLALLLALLRKSLLGCRAEGGDGGGGGGGDGCGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPDGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAESALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESDLDDLSLPQKDPSDENGHQTTGLSLDSHPEEGSRRPSFVSEEPLLDSPVHSTEDKPDEANSAEGKFAASACAANVALTTMETEGSTSCSQSVLAAAAPRAAAMNLFQGKGGRSLNSRRTRKGKVQFGTRAAPPAEKSKGASIVSRINSKVERIEAWR >OB12G13310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2000994:2006900:1 gene:OB12G13310 transcript:OB12G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLIESNKPLAETIGKVTVHCQYHNHPPASITRVAVNGMGLQPHAQAQHTDSSMMQSSSAATQAAMQGPSAVASAVPMIPVTAAPTTVLSTATARTGTASALPVGSAGATTGSTVPVAPSLQVAPTAATQGQAVAPQTPTAEQYQQQLQYQQYYQQQYPGYNPYMQQYQQYGQYQQYTQPQAQIAPQNVAQAPVQSASYAQSQVLQPNQPQHMVPFQPQNQPHLPQLQAPAVQPQSQQHPPLQSAPQTQIPQLQPQSQVPLQQPQPHTQPTNHTPVPTQVGSQPFAIPSTQATPSEVQPHHQVMAQQQQPQLQHLPQQQQSYPHMQAYHQPPPMPHAQPQNPSVHAVTGHQPYSQPQPANQMQQGAPLQRSLHVSHQQMPSAQHHALAHPSQGQQPAMMAQGTQHTQQHQHVGHHAQRQEIHASIPPQAAPQRFPPKTSAPSQTGQSYQQGMPSSQPLMHAPLQSQGQQFMQQHHAHTSAGRSMNYVAPPEQFQNQSGGPVKGLQASAMNQQPPTRMASDNVGATSESHGAGQPFGQGSLSLKIPTLEAEKLENATNATGSTEVSGKKGSAESALVNPIASGGSDGSEKKKGKGKVDFAAWESNSHDPDALGGRGTRSDISSDLVKGMSLQQAPQQNMAALGSYAPPGMGPQHPYGPDSKLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSQPPMQQPYEMAPRMLGLNSNQMQISQPIRPDGGMIRPPVGAALPGQHDSTVPPFAPEHVGRSHPPGTKKNNNIGGGPRGGSKALYEVGTNSSQKHSRPFAAYPGRNHVSHKDFEENMKQFSVPTHLEGEGLQRGSRPFEGGLGRPDGFSDSLPGRHPLANHHPGSFPIGYGEDYPRKPNSTDFISPGGEFGHRGIDGMPILRNPGPFLQGMTGGPGGLHKDHLGSSNLPGNGQHDFDKSEFPHTRLHPSDTFVPRNLHGGGWGGGQLHGTEDYGYRGHMHADDPNLPIDYPRHGFPQESAHFGSGGHLRNGDVSWCRICNISCGTVENLNIHVETREHQQHAMDIVLKMKQDVAKRRKMNSGGPKSFKKKVAGKGSFRGNRR >OB12G13320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2003833:2004315:-1 gene:OB12G13320 transcript:OB12G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVASDLLAEAVNMVDDQLLHALTDSEVVHEALEEADDMPAYVDKIAAAAVAYGVEAVGEVLGFVVVAVEFVFEVLIAMADAAETVAELLVLGVGEGGADSEVGMAPCVGVD >OB12G13330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2010628:2010822:1 gene:OB12G13330 transcript:OB12G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFVFNAYFAWHGMKVFICIYMFGFCVSCFLCHGFASLCSNFNGGIHDGCAGSQQVAPLFARS >OB12G13340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2015124:2015459:1 gene:OB12G13340 transcript:OB12G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRLRRRRRGGRRRHGAAARDRRATRRGAQLGAGGRREDAQGPRPPPRPQCRPAPHRLPRLRMRRRVATRRSRAKVLTFLSPILILFPSLVFPAGYFDFFPFCEKDMKELR >OB12G13350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2027207:2028247:1 gene:OB12G13350 transcript:OB12G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSDPMATPGFRFYPTEEELLGFYLRHRLAGTRERDVARVIPVVDVYSYHPSQLRSMAGEASVRDKEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSWVFSASTTRVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVAAAAADDPHTAAAAGAVLLPPMAPPSPPPPNASSACVPLIRNELSVCRVYISTGTLRSFDRRPLDTPPTGRRDHHQALQAVRQQQRRHADDALPSTVAVAAAAVANANLADVVVAGVEAEYSHSHDSSASSKDAAAIDWSSLITGTMTGDFSFNDIDFSSGGIEPWPQV >OB12G13360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2037650:2038916:1 gene:OB12G13360 transcript:OB12G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGGDGDMQRQCRRGVAVDVVELGAALALADMAGAAVKPPPRPACAAQDDEDEEMVATTRLTLQLGNNNNSNVGIQSSSCSSSGSSAGRPALPPPPSSSTSYGPKLRHMLTEEEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSTQNESMKKERETVMQEYLSLKETNKQLKEQAHHLSLSLF >OB12G13370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2042009:2045565:1 gene:OB12G13370 transcript:OB12G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPAPASVGKVTPNLAMDAGGTRSLNLTVLQRLDPAVEDILITAAHVTLYDFSIDLNQWSRKDVEGSLFVVKRNKQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPSSTVLVSNAPDESLTNYFSGAVNVGSVTSTPTAGRTYQTTESVASSHVPLIIPSAAPTHQMGFPSGAASAPPLPILETKTHANHSTNLVTPAFFAPPLSSSTSLVAQASSLMPTPSPLHPTTSNAQRPAYGHGTPLLQPFPPPTPPASLTPAHNDEPVISRDKIKGALHRLVQNDEFISLLYRELQNM >OB12G13380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2047287:2048894:-1 gene:OB12G13380 transcript:OB12G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSATRFPARGSAGLDLNSQADDFLHLGSYQSMLQEEGGGIPPLFQATRSDVPPPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQLVDPGAAEEVPEAGVEVAAGMPPKSPLAVAGRGDLFPLAVVVPCRSVLAVVVPPGRPVVPSPIGVPCTMVRLATVLWDSVAAIGLLDRRLLHPQVPKRTITMPTTSTKMTPTVSAS >OB12G13390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2049856:2050779:-1 gene:OB12G13390 transcript:OB12G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKLKLKLIMASVRSEAMVDGVAEVGAATCIEDVPADVLSLVLRRLDGASLAAAGCTCXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSGRGGLATVAAAMGGARQSRGAEAAARRRYEAFARGTAARKARRDGVVDLCCSGVAAAAVVGLLSTLTLQ >OB12G13400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2070328:2077319:1 gene:OB12G13400 transcript:OB12G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIVVPGLPKGFNEVDLVAVGVILLITVCICYSTKESSSVNMALTAVHVAFILFVIVMGFWRGDARNLTTPADPGRNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVVLVTLLYCLMAASMSMLLPYDAFGPMAWSSGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYAAGPSSSRRTLAFLLAFSLAALCFTLLWKLAPHGGARTGLLVACGAVAVAAVGAFQVLVPQAHRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAALLVYVLYSVHASYDAEEGGEAAALDGAKVLDDDCKV >OB12G13410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2087860:2089752:1 gene:OB12G13410 transcript:OB12G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHLLCQGTKSLTVACSGIYFATRAFCNKLNHKIVHKDKNLSRVERDLIDVITLHNLLQLCVKSRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIVSWNTMIAGYTHNREDVEALKLFSRMHREGTQMTKFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAVLDVYAKCNMIKDACSIFERMPEKTSVTWSSLFAGYVQNGLHEEALCLFRSAQRGGIQLTEFTVSSILSTCASLALIIEGTQVHAVIVKSGFHSNLFVATSLVDVYARCGQIERSYEVFADMEQKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGISPNEVTYLSVLSACSHTGLVEEGRHYFNLLTSDRTVEPNVLHYSCMVDVLGRSGETDEAWKLLEKMPFEPTASMWGSLLGSCRIHKNVRLARIAAEQLFHLEPENGGNHVLLSNVYAASGNWENVVMARKYLRCSGAKKEMGRSWIEAKGNVHVFVVGEREHPGITDVHNKLEEIYHEMRRISYRPNTQCDLHDVHTDQKEELLKDHSEKLAFAFGLISLPPNVPITIYKNLRICGDCHSFMKIVSCITERKIIVRDINRFHHFKDGSCSCGDFW >OB12G13420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2093985:2095118:-1 gene:OB12G13420 transcript:OB12G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHQAWPWGVAMYTNLHYHHHHHEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVYFERVRGSFGVGDRLFIGCRRRGDAAQTPAPPAVRVVPAGQKXXXXXXXXXXXXXXXXXXXXXXXXXXXESAPATAVAAPPPPAVRVPPPTLNAGEQQPWSPMCYSTSGSSYPTSPANSYAYHRSLDQDHSDILHAGESQRDADTKSSSAASAPPSTRRLRLFGVNLDCGPEPEADQTTAMYGYMHHQSPYAAVSAVPNYWSVLSAIKEYPRCRIKLAEN >OB12G13430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2096431:2096769:1 gene:OB12G13430 transcript:OB12G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADHPLKRTRLASYKLVLVGFLHNELNWLQDIVSIQTFGPGQPIPSGTLLRTPKESACVGLKPLVITLLLCLDDDLSNKYSRSLVLYHFIRAFGACGGTSCCWRIGIQNIR >OB12G13440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2098851:2099063:1 gene:OB12G13440 transcript:OB12G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQCCDDCLNFCTMQVRLNLRSFEQILVCAVGMRKGEVQTISRLELFFSICWRTEERLTDVIEKGRKR >OB12G13450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2102809:2103006:1 gene:OB12G13450 transcript:OB12G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGARTYVIPFCTARALSLSACCCPQSVTCRQTAPRINHCLLPLFHLEAILRTSITDYNLTINIF >OB12G13460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2108134:2112609:-1 gene:OB12G13460 transcript:OB12G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALASAPSSSSSSTSRFAIASRAFSKQELDGLRALFASLAAQSRTTAGGGGRAISRPVFLEYFGIRGALGDRLFQLVARESGGGEGITFEDLIVSKATYERGTRDEVDEFIYQLCDVTGDGVLERSDLETILASIRETIFLENKEAGEGSNNRTFEAFINSAVFSKDAGGVARKSMSLSDFRSWCTLIPSLRKFLGSLLMPPDLGRPGFQVPVLHYPENISHDLLLLNKEYAWHIGGGFSQQEVQEWKLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTFLFKLYPEASIFRPTGANKNLQWCAMNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPALSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >OB12G13470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2113776:2117610:1 gene:OB12G13470 transcript:OB12G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATIICLMLKLKKLLQSTLSELASGFQSVSPRFLQDIESTLQCYRASLSEQTGKNKLLLD >OB12G13480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2117669:2117851:-1 gene:OB12G13480 transcript:OB12G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPLLQCSLHFNEVIGNQQPIYFNTSFDVSQGAACEMTSHQQALRTLSHLCLISFTAF >OB12G13490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2118904:2119380:1 gene:OB12G13490 transcript:OB12G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDERKKKRSIFSPLFLVLYIMVFAICDLELCSGEREGSAMSRSNGNGGGSTRGARLELQLNLSPPVGMEVDGNDDSDSSSPTSCVSSDGRSSAGGSPGDKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPSLVDLLQNQDDAGAAADADKKRGKRK >OB12G13500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2136127:2137158:1 gene:OB12G13500 transcript:OB12G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLTSLFSRLAAPDSSFVAAGSPSPPWRQWSSSCAAQPQTASFRGCYDYEEPCSTAAAAAGRGGGRSSVATGRGRLPRKCGEMYKTVNSVYLDDSAEDGGGCEFFGEDDEVVVVEEEDDGFSTTTAADEEWSEAVIRSLGRRTSTDRFFFDDTGPGRPATNSILATARAVAPPPPPLPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWGELEELLLWYLRVNGKHNHPLIVGAFVDLLLGLASSSSSPESTTTATTTTTTTTTSDTSCCCSSSSTVSATTSSASASTSTTSSNAVHVVTVAAAPPAXXXXXSVSGARRRRRRRRSSLLVFLLVLRRI >OB12G13510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2145506:2147414:-1 gene:OB12G13510 transcript:OB12G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARARRSGGGGGAGGRHFPVGGRWRHVPVVDTGCGCRPRRPASPAQLLMSLPSFLRPSLKPPVPRSTSSHSSSFFPSSASTASFSSSSAATYTTTYSSSSNQYPYYYPSGGSHVAGYKKYHNQHQQEAAPVTTTTHGKQQQQRAAAPGMAAARRRASRKKRYEKMEAAADAEEEDVGVAVEKESSDPRADFRESMLRYIHLKEKIEEKLCIYSQNLPVIVNYNWSSH >OB12G13520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2161840:2169149:1 gene:OB12G13520 transcript:OB12G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:J3NBJ4] MLGELLSRVLLLLFGYAMPAFECFKTVEGSPNDARMLRFWCQYWIIVAMVIACEGLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYIKNFADKGTAFFMDVLRYVVSDKAEGSNQEHRNKKSGGWSPFATKRRPPSPPPHEPIFDSNPEAAAVAQVLKAAINNPNPRAPRRGAQNGKHYYN >OB12G13530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2173356:2178245:-1 gene:OB12G13530 transcript:OB12G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVLVRTPLKRKHDREENEAAESNDWMMSPGYANAAGSPVPTPLSGKGSKAFAKSKAAKGQKSCPQTPLCASSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILRADIEALSLQEHSLDQQISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRTVQTVSMDSLENPMTPLAAESNKAAETEPNIQDGLLMPSDAPSSSQDIGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCVS >OB12G13540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2181498:2182718:1 gene:OB12G13540 transcript:OB12G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSPPVVGARPPPPSPPRPPPPPPPPPPAADVRPSPPVATGLRPSPPVVYRCWCAASTPSSAVMPRQPTLPRRRPQLRRRAALSSATAATATPFLHWHLRADLVLVTTRPRDPTPRAPARPLHGGKS >OB12G13550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2183035:2183265:1 gene:OB12G13550 transcript:OB12G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLFQVCAQYVTIFISSHFFILHIFHTLQVCGNASYKTMTIYVSMHLEGTRAMAVVHLFFFFVVLISECTVTCET >OB12G13560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2184306:2184916:-1 gene:OB12G13560 transcript:OB12G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGPGRGTCCCSSLCSFVIGAGFSVLIYWATFQPRRIRAAVDSATLSNLTVVVPGRNNGSGGVVSYRLDVNVNLYNPSGRAGIYYDGLDARLILARDGAGAEIKADGEVGFELELDARVRYKLGFVPIRTKPKVRCTVRIPVKRERGRRGGVDSLLSSGDKCTVKY >OB12G13570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2189664:2190245:-1 gene:OB12G13570 transcript:OB12G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGNQGRRGCCSSLFTSIVTGGLVVLIYWAIFQPHHIRATVASAELSNLTVAANGAGATASYALAVTLSLYNPSLRVNIYYDTLDCELRFRGQLLGHANGAAPAEFYQRRKSSDDVTVSFAGTGVTVPGELGKEKASGSVSLEVAVDARVRYRFGSIKIRQKPRIWCSLAIPVGAGGGGRLSSGERCSVKY >OB12G13580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2199291:2199458:-1 gene:OB12G13580 transcript:OB12G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFMHFFLELILVITHFDHANQLGDLLDQLFFWNYVFMFVWWQQPDPRQPLNNV >OB12G13590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2199797:2200711:-1 gene:OB12G13590 transcript:OB12G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIHDCGKHGDDDFRDGCKRLLTVLVVLAVLVGVIALILYLVLRPTHPRFYLQDASLRQLDLSNSSGGLLSTTVQVTLASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLVGPNVPFAPYLADAISQDCQAGYLILPVGSWISGHYHLFVTCPAFLVTAGGNGAPGAAGFRFQTTTYCHVEV >OB12G13600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2204729:2210701:-1 gene:OB12G13600 transcript:OB12G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAELPRAMDVLARRASALRDALQRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDIARRAEATILRGPHEDLEGYLEAVDLLKGIARFFSSNKNFKGDEGLLTRVNNILSKSALKIEEEFRQLMSTYSKPIEPDRLFDCLPKPPRAPKSDHDADGGHSTHSEHPSKGLETGVCRTPTLIPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAVKLLLAGERRICDQVFDGITFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTVVQDGTVHPLTSYVINYVKFLFDYQSTLKILFQEFDTGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKILQTLSVPGAGSTGSSPADLSNSGVSRAMIKERFKSFNVQFEELHAKQSQWTIPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVEHGKNPNKYIRYSPENVDQLLGEFFDGQQFGEQKR >OB12G13610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2215483:2215803:1 gene:OB12G13610 transcript:OB12G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAADDAAAGAGAGVAPPALDTNVLCVSKCGTCPTVCSSPPPPRRRGRVPPPRGPGRPPAGAPPKGGGGGGSSSSSSGEAKGGRPGGSNYYYFFTSGSRQSCAAPPLL >OB12G13620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2227350:2229920:-1 gene:OB12G13620 transcript:OB12G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPQHGGRNTERGRCSVRPGHFIEQVARRTSKARAEPALGEDVVASGGRKESREANGGVQITGFAFDAGRLTGGSVCRHDASGREHHGRFDPSLRRLAPPPPDRPQTNLRVVRGGAASPSPAAAVGLPSAAVIRGGGGDDGDGKTQSSSMIPDWAPGCLITLARPTQQHRSGPQVWAARKG >OB12G13630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2228693:2228956:1 gene:OB12G13630 transcript:OB12G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTLVRAERHKITVIGQSSNDFLAKKSYHDQEVPRESKSGSLCPYNSADSNIDRSELDRNDWSESGKLKFVQAMSIHWKNFTMIF >OB12G13640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2238131:2238502:-1 gene:OB12G13640 transcript:OB12G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSPLLAKPIGFGFSSSLPTHKHRHTLSSTTTLLPLLSWGPKLSLAAAAELGFLFCCFLLAFPFPLFLLRGSRGRHHSLHGGKTGTLLSVCMLLLLACYLLSALRCPPMNLNPRAPPHGCIANK >OB12G13650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2238869:2244012:1 gene:OB12G13650 transcript:OB12G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEALRRLCEEAGWSYAVFWKAIGAADPVHLVWEDGCCGHPSCSAGSEASEAGCESGSSSAVCTLVRKIMVSQVHVVGEGTIGRAAFTGNHQWIVHDTANDHGLRSEVVAAEMNNQFRAGIKTIAIIPVLPRGVLQLGSTSVILENTSSVQLYKKLCCQLNNRSSMVASASVKNDLSQKAPRPLHGLPSIHPADSCSKVFSGSPVTYEQCYGHDTRTLSTSTSANTGRNTSLLKVAQRSGQAVREQVLYAPDMRFRQQIPYCDRRVDINTQNSAVSSGFISSISTSVEKYPLLKNNIGQLEQGNMEESSGPRNVLLKSLACRNPVVHENSNMSLFRGGDEVPVFLNSHGSFDLLQAGPRVVEANLYNNSTSSHVLDQRYSSTAAVAGYKPSVSYKIPQSAQFIVKMESPRRQSFQDHVAPPSGSDVQVSSDLEATTRQVNSDHMCQNKRTNEVNDSSAALSMQDVKSMDRHKILDISNERTSSFLMDPTTENDLFDIFGTEFHQLHSSLDGDLSWNTAKPQSSDRDAPESSIYVDSPPVFGAPEDEFSYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSTSYCGSKEMKQCKSSGAPPLLVKNELAVSNFAKQPCFLEKAEDGCLSQNNGVHKSQIRLWIESGQNMKCESVSASNSKGLDTANKSNRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQNVTKHADNLKDSNESKIHGGENGPLLKDYFEGGATWAFDVGSQSMTCPIVVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGENPNNNVKMPPGIVQHSVIPATGHLT >OB12G13660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2244558:2249008:-1 gene:OB12G13660 transcript:OB12G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFAGGGAGTDVQAGTDGLQTLYLMNPNFVGYTDATATPGGGGGGGVASMMLLNSAVSTLTPASFVHQPAPPAGPPLRRVVSGGYEEKHAVAPPPEEEEQEQAVMRSRYLKAAQELLDEAVSVSRGVEDAKSAAAVKKKEDSEDGRGGEEDGGGSKSGGGGGAGEMSTAERQELQMKKSKLLSMLDEVEQRYRQYHRQMQAVAAAFEAAAGPRSARTYTALALRTISRQFRCLRDAIAAQLRYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEETKAKEGPVAGDGGGGGSNNTGDSVVEAAKPDSCVGIGMSSSAMVAAGTTTSGDGVHTGGQGAPASFHGGDASFQHKLKRARPGGKHVPDVAVSHRELLMKFMEAGGEGASGHHPDIGDVAGTGGYTLFAPPPYGQFGSDHFAAFAGHGGVSLTLGLPHGAEQTPVSFHGGGAGGGAAGYDMNMQSTKSLATQLMRNFVA >OB12G13670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2261749:2265768:1 gene:OB12G13670 transcript:OB12G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSIAIEIDGEAICLDSVGDNEEQGSQENQEIQIIYDAESEGQVAFDNEEQGGQEHTARNGEEDQENIPVIPSREELTEELRNKIANSEEEAYRLYCDYGHRMGFSVRKGKQYYFTGTKTIRTKDYYCSKEGLKDDEQLTEANFNKPDTRTNCKAMVRFRVDSEGHWRVIQIVPEHNHELVRPEEVHLLRSVRTLSIPKPGVLNAMVNAEIQAMHDNLHMNDDGAECRAQLSIQSYKLLEPEDSESLVGYFKHRTIEQGMFYWDVQVEDGRMTNFFWRDGRSRIDYDCFGDVMVFDTTCRTSKYNMICAPFVGVNHHGQNVIFGCAFLLDESSTSYEWLFKSFLDSMGGRPPKTIFTVKDETISKVIDGVFPETHHCICEWSIENTLQSHLGTLNDSGTFHSMFKKCMRECESEAEFEETWALMLDKCNIQDHQWLADLYQQRRKWCTALHKDAFDGGINSHDRSDSSNNALSSIADESTPPNQFILEFDKLVGSWRTDESAEDIQCNQTSPDCTIKHSSILQHAAEIYTRKVYKSLEMEYLDGCNGTSYQEIQCSETLYRFEFILQRIGPKVCIVFLDTSSMELNCSCKKFETMGILCSHAINALDFKNIDRLPERYISKRWTKYVRRGTYLFPADEFLGQDFIEPEPAFRNKAMRFVYDLLMKSKGHQDTRKLILDMLENGEKTLESVCELRRLNAHPSGRDKDGSKVEKRKKKSAKQDKSSRNVRQAVLSQTTDTVLVDPPNQNQYYAAEDIATNSSIGRPFFYQGYPAAGVSTSQIQGQGHTNMHSVPQCAPQEYSAYGPVHPPTFGSGRNF >OB12G13680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2270009:2271001:1 gene:OB12G13680 transcript:OB12G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPADVAGVVEKADCDASVKETARAVLRMHSGVWSGSPCVSAYLLSRYL >OB12G13690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2277147:2281155:-1 gene:OB12G13690 transcript:OB12G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPSRSNFGPIKRTWDKNKSRNGKRFISERMNGIQKDVERSQALRRWPMGCTNSIDNRRKDATNKPVAHRTKIPTFSKVVGAEISITSKRTETFVVGQCSKGVAKNFEKFSHMKASVNKSDFASTLGTGKVNQNFTSIGRNKGIDVHLAEHCNGKRMQGLSHKLANNSLCNGEDPSREECDEVLCLTDGSNRMLSSKLIVDNEGRIRKALPCANNNVSDRSRKRVVPMQQKCMTNDDINKASENLTSKKPSPQNKHGRYIEANEDESDDVNQNVVCSKDGIFGVRVPTALEAIKRQSLLLNEKPIWRSLLPVVKVTKHSRLEVWPMSSEVSGPTDENIHMILFPHSIRSDKDVDQLIKELMDNDLALRAVVGEAEMLIFPSIILPKQYQTFKGKHYLWGVFRPKKDPEGANTQDKVNQESTSAQGSSNASAAAAAATIPANLQWISSSSGIPTGMMFAFVPQPSPRIEQLIQEMQREGVVFVGMPEMAAGPGLVQATAAAAAAAAME >OB12G13700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2297378:2301954:-1 gene:OB12G13700 transcript:OB12G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSMVINSSQLKFGPSERRITSRFKSSRMIRIAKEHARTQRYMQRPKERTNSNVNITNVDTNTTKTSGVKKYGSSKVSTKEASKTFERNISQVSNKPMNFSGSCNAKVNSAYESSEVLASTSRIAHAYSITSETLPRDARDGLSDQNHGTLALEHMKKINLHKENLEGCVQQGRIPKSLLGEGDDANGSTSKQKMGQMLKKRKTIANDDNEDLEHLTSNDSTLKKKWREFDANEVVDVGSQNARHIKDCVPEVELTISKAIKWHCSLPVDEPIWRPDESFNQLVKEAMENDLAFCAVIDKTEMLIFHSIMLPRQYQTFQGKHYLWGLFRPRKDIVGLAEEQAANARSSMEHGMGLENQEGFKGGAEQVESSHVPNHSMDSEPKDPKVPKEEQAAHAVSSTDYAERSDNREGFKDGTEQVEFGRVLVPSMDIEPQDPEGAETRDTSDQNSVPTLGGSRANQPSVAATVPANQEQIDSSSRISPGSGWMFAFVAQPSPRFLELMQELEREGATIATMPRVTARPGQGQGQATARE >OB12G13710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2324989:2330769:-1 gene:OB12G13710 transcript:OB12G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNFYFGIMQTTVCEVCGVIGCRNLLLSCKNCNGAAVHRYCLEKADFDGMVVDWSCDECHPACSKVPDARSLEVSQDDKTVVGRLSEINEAASSLDTNHDEPRAHGGSGELTLERNKEILQFHVNANNDFRQRSMSANVAQPSPPHEESLAQTISASANTDVLPKDSNCAPSTHTQIGNIGGSSVGLVLTGGKENRSEPSTQLDEAYSGSLSKDSSGEKIVHQASSSQVELPDAAKNFCKDNPRKRRKLILVDDDDDDDDVEVELSNTVQNVKDNRRKRRKLILPDDDDDDVEVELSNTVQNIVMDNPRKPRQLILLDDDVQEDAKNMNPLSLQCEGPIKKHIIDSVYAKESRCLEDDEHDVLLDSLAPQTLENSCPTKKWRRYICTSEYEEEEAIKGSMIADCALNDVENMASQPVDAKDHLQSRMTLASDFTKHQYYIYSQPVGEPVWSGIFMTDSNVSVMLAAHLSTKACPRVFEFARSLQQVIEVIKLPRLKAWPKSWGTSGPTDDSIGLFFFPRSMRPNEELDRLLKEVIESDLVLKAVLGTVELLVFPSILLPEQYHEFQRKYYLWGVCRARKDDPDTAVLVEEQGGLASMSEGGEVQEHHILDHQYEAQCESPKEECFAVKRVEDQLAADRNHEAQKGDTKTALRENSVSPDSCLSSNNPSPPKAGSHYFMQPRGGNKPSEPDVADQQEEQDFTSLPRWNNDRNATNPPSDPPANRLFGFVTAQSARCHQLIQEMIKEGALLFSVPEEMTSAGSGSTTGKSNGVGAAQAPDSGCQHIQELHKPIEFVPIDQDDADTDAASEACLELFPVRQEQIGSTPGVDVQVVELDLSLGASRRPPSALSR >OB12G13720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2336932:2340054:-1 gene:OB12G13720 transcript:OB12G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEAEAEEQPPDEEEEEEGYVETDPAGRFIRYDEIVGTGAVKTVYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMEQLNREIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLRQYRRKHKKVNIKAMKRWAIQILTGLEYLHCQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNQLVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKVVEGKKPDAFYKIKDAEVRSFIESCLAPVEKRMSATELLNGSFLQNDVPISVSLVKNMSEDGHESVSFMLWKGQFLLKGNVDVASHVDLWLRFPDPSGFFKNVEFPFDVTEDTSLSVAVEMVEQFGLTEESRLIIAQLIDAFMVILIPEWAPCVTIGHVVSEGANSNINESMNCGQFRSADPE >OB12G13730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2355327:2358534:-1 gene:OB12G13730 transcript:OB12G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSEGLRRLAAVLFKCCSLDSPNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEIIEDIIDKTFDEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >OB12G13740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2357974:2358484:1 gene:OB12G13740 transcript:OB12G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLLVLNSASNIVLTLLIRPSSTTALLIFLNSSYSASISLTNLTNCFPRQPLRILQAVGTIRRVETAALEQDRSEPSEPFRGIHH >OB12G13750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2363648:2367265:1 gene:OB12G13750 transcript:OB12G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQQMSYLGGHQAQQRHPSHQQSSSNGSQSLSGQSLNDSMDFM >OB12G13760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2371262:2372266:1 gene:OB12G13760 transcript:OB12G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPCSPLIPFSTQFEDSSSSFLLWSPQAAAAPDENANMCEFDGDHSHGQQQDDEFLDMMVQEAAADLLQDDFAFSNADSLASFDVDERLAMAGHDNGNLVAVQEETMESSCDLLLAGAMAVEAGDAIQATAIMSRLDDLLADIAGGGRCDAAAGASLQLRISGVISPLFLCEQIEIDGRSMQMQQKV >OB12G13770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2382327:2395086:1 gene:OB12G13770 transcript:OB12G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSKPHRVDEAHAAIPEASATYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRELHIVHIEGIIARQDISYTHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTEGLHTALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGEMIASGSCGDLFHGTYLGEDVAVKVLRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKKHNVLELPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMQRCWEAIPSNRPAFTDILAELDDLLARVQRLAHIKFKNMPARVPNTLGYDVIQLFVEFRTNGEDMTPNHFMVSSIANACMGVEPLGIGKCVHGIVLRHGHEDNDVVAVVLVDMYAKCGCYESSKSYSSTVHTGLHLLRSIRSKYDIIHAMANPEQNLPECKGWQSDVVMLLLDELKTKMREKGYKWRIGNARVLDADEEEDGDHHDEGEGVMVGVHSEMLVLVLGLLVIPNGMVIKVMKNLRMCRGCHETFKLTNNIMQREFMIQHEKRGSFSTVVLLLLAVGAPRKKLETPKTWRGEQRKRRSGEGPIFSPTSSRSQSPTAQSSPPSCSRVCTWIKSQLFYCV >OB12G13780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2396007:2397347:1 gene:OB12G13780 transcript:OB12G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNLPSGNLMQETPYANLDLHPNHAQMHAPNPGKQGFDHTQAQAPGNLSMHVNQSTDSDHLPEFQFGELGKADHHHCHHHHHRQHTKNGMSDDEDHGVNEDATDSQSGKGKKGAAWHRMKWTDSMVKLLITAVSYTGEDPGADSGSGRRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDTDSEEENDEEHYTLQGDKSALPMHKRLRHMTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALAQKDMATQSADLEEQRLQIEVQAVYLAKQRLKWERFSKTKDRELERMRLENERMKIENKRLELDVRRKELELELKLKGSGNLA >OB12G13790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2398131:2399496:1 gene:OB12G13790 transcript:OB12G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFEATTGNERRAIRVGHACGSTRAGGLTKCLLSLSNGIYRSLMVSVVTC >OB12G13800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2405021:2410970:1 gene:OB12G13800 transcript:OB12G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:J3NBM2] MVVTSKAAATVTLMPLLIHLWGASDFASVSATHAKLLKLGADTTITSNHLVAYCRCGSIAPARQLFDRMLDRNVVSWTALMSGYTSAGLRAMVLKGVAPNAFTFSIAASDCAHLADASLGRQVHACAEVAGYACDNVVATTLVDMYSKVTSVRDARTMFDAMPTTAKNIVSWASMLSVYAQNALGHDAIQLFAEFRTNGEDMAPNHFLLSSVVSACAGVGRLGIGKCVHGMVLRHGHEDNDVVVVALVDMYSKCGCYEYSKKAFDKIKQSSVIPYTSIIVATAKYGLGRCALALFSDMVDRGVQPNSVTLLGVLHACSHSGLIETGLHLLRSMRSKYGIDPCASHYTCVVDMLGRAGRLEEAFELANEMRVDGNDALMLCSLLSSCRNHKRLDLATRAGKKVLEFSQDVAGALVVMSNAYASAGQADNAAAIWSNMRQRGIWKDPGCSWIEIKDIPYVFYAGLASAAGARASEVMLLLDELETKMREKGYKGRIGGAKVLDADEEEDGDYHDECKGVMVGVHSEMLALGLGLLVIPKGMVIRMMKNLRMCCGCHEAFKLISGIMEREFVVRDLNRFHHFKMGSCSCNDYCLGPGMEPSSASLKGINLHKPTAYIYVLAQEKRSNKRKQALTFKGKARGQYVHVLPSEPIGEFMPKRLAAVLVESGKFLLSAKRYSHATCTEYTIFMNADNTSRSSNMYIGKLRSNILGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSLKSSSNTYNIAQVTYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVVARSLDESFGSIFFSKSSIMDHSIRFSSSRYTDISVGGPAVGGQALGDNDEFKERPLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAMQPAAGAPTPSQPTPPPPSDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OB12G13810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2412290:2414089:1 gene:OB12G13810 transcript:OB12G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPKAAAPATLMPLLIHLLRGASDLASVSATHAKLLKLGADASVASSNHLLAAYCRCGSTAPARRLFDGMPDRNVVSWTALMSGYASAGRPRAAVALLRAMVLSGVAPNAFTFSTAASACARLADAGLGRQVHACAEVAGYACDNVVATALVDMYGKAGSVRDARAMFDAMPATARNIVSWASMLSVYAQNALGHDAIQLFAELRSNGEDMAPNHFILSSVVSACAGVGRLGIGRCLHGMVLRHGHEDNDVVAVALVDMYSKCGCYEYSKKVFDNIKQPSVISYTSIIVATAKYGLGRCALALFSDMVDRGVQPNSVTLLGVLHACSHSGLVDIGLHLLHSMRSKYGIDPCASHYTCAVDMLGRAGQLEEAFELANEARLDGNDDLLLWSSLLSSCRNHKRLDLATKAGKKVSEFSQDVAGALVVMSNAYASAGQADDAAAVWSNMRQRSIRKDPGCSWIEIKDVPYVFYAGVASPAGARADDVMLLLDELETKMREKGYKGRIGGARVLDADEEVDEDHHDEGKGVMVGVHSEMLTLGFGLLVIPKGMIIRVMKNLRMCCGCHEAFKLISDIMEREFVVRDLNRFHHFRRGSCSCN >OB12G13820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2416270:2418790:1 gene:OB12G13820 transcript:OB12G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:J3NBM4] MESAEVAASSAASGPTPEMERFLCDLLLDTTQPIAERFRALFSLRNLHGDGPRCALLQGLTSQTHPLISMIKLAAARDSSNLLAHEAAFALGQMQDAQAIPALEAVLKDLSLHPIVRHEAAEALGAIGLVKSIPLLEESLAADPAVEVQETCELALRRIEQQKNADGSESTTISPFLSVDPALPAKQGLSVQQLREILLNEQESMYERYAALFALRNDSGDAAVSAIVAALGVKSALLKHEVAYVLGQLQNKVASDALSTVLKNADEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPRVQQES >OB12G13830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2420712:2427235:-1 gene:OB12G13830 transcript:OB12G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) TAIR;Acc:AT3G55510] MAKKLGKKARKFARKHLQSAAKRNRKQRSQFVRRPRRGGGGRGDDRSGGDGDEEMPRRAEHNVMNNDDAETLVSGLEFPEDEGELNSDLSDSDGYLSEDSECPYYSDSEGDNVVSDSVVQDGLDGENDKMNTEIKKQKKKLNKLMDKDPKFANYLEKWQSELKSYRSKEDSDDDEMDSVDSDADFSDKIPPNDKILTRKTISEWCQLVAKEPKAPSLRSLLNAYRDACRFGVNSKSPSVQRIRSTEVFYHIITFVLSEADNIFRALLEISDDVNKGKIMNLRSAKKWQTIEPLIKSYLQNSLDLLSQLTDNQILAFVLTRLRASAVLFSAYPSASRRLLKILIRLWASGDHDLSLSSFLMIREVSSLLPESLDLCLNKSYNAYLASSKLVNDRNIHHIDFLTDCLVELYSLDVQKSCERATTSIEQLNAILRQASKTKEKEDLRKVDNWQYINCVNLWVRFLRCNYKDYNMHPLLSSVVQIIRGVAHLFPGTRYLPLRLRLVQMLNELSGCSQMFFPIPSLLFDCLEFGDISQKEQGQKAKVNFSSLLKVPKNLLKSRDFHEECILSAIDVLSSHFAQWCYHVSFPEVATIPLILLKRLHEQTTIESLRRPIKRLIDQVDENKNLVERKRDGVSFSPNDKTSVESFVQDLQADKSSGKISQFYKNVPKKFLR >OB12G13840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2431729:2434620:1 gene:OB12G13840 transcript:OB12G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFVDPMYFYLIHTVEGSCVVMDMKIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAARYLKNDFIIDLAAMLPIPQVIVWFVIPAVSNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWVDVCTRENGTNPAIPKCYMDYLDCKTVKDPIRMDWHSRSEIDQRCLLPKATYGYGLFADALNLDITGVNFWDKYLYCLWWGFRNLSSYGQNLENSTYRGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRPRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELIKQEELYYMQGQGGAGDDDGDGPDXXXXXXXGDHKDGGGAHLGATFLASKFAKNTKRSAAAHHGKAPQPRMEDVSSIKFPKLAKPDEPDFSLSTDDVL >OB12G13850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2442994:2444274:-1 gene:OB12G13850 transcript:OB12G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINSNSCRSRSTLPYIPDDVMFNIVLRLPSRSLIRFKYVCKAWHAIISSQFFVHAHLEQSKLKPSSVLMAPGFYKKHRNGQNFAFLMGLYKYQGNNIMQHLHDFPYDFPQVLDTWSHPVHCNGLLFVSDMKDKMLIYNPSTTEVVSLPNGSPNLYKGRGHGFGDNHNKRYKVTRVYYQRDYETLLLTCKCEVLTLRTNAWRQMEDPPYPIGSPVYVKGAIYWMVSRTSLHPEPPNNTLVQFCLTEERFSLLSRPCNMKPSCLTQVGDELYCGFFISEGLSLEIWRCSFGQKPKWTQHCAIQIPPYAIKRPVASPLVAFHGNCWHRIRSTSMTPKPVNWREYL >OB12G13860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2492874:2494809:-1 gene:OB12G13860 transcript:OB12G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSHPMANKEGDPAPAAEEEQAPRPRAPHCPWVILPSISRVNVNLLPPSDDFRFKLELPPRTSAFSIAGRLIQSGQDMVSFTVPRARTIVSLAPPHASAPHIIAVHSRLILISTVSGILFVCDSNTRAATRLPPFLATESRQEPRGDATSSIGVIQDSRSGHSMVAYLLRAKPTTGRKSMELICWSSASASSGWMAKPLTTCPHPLAWGGQGGVITHNDKLYFIDLALGVLCCDPSAEKPGMGYIPLPETCQITRVNARSSHNIEKCRGIKLTEGKLCFIQIASDVLSLWTAVESQDPRWICKFKINLYDIWVDKTYKASGLRPGKVPAIALVDPMRCGVVYLMQEDVLFAVDLRSMRTCLSDKFQPCNGVLHARWHAWVVPSPLQDCFCGRNDGPEQESLLSDDGSSDDEGDSRSWIYAQGTVSAGQAAVEFMESQLEAIQGFKRPEQEPAQDYEAIQGAEGEVQLEHQTDGEAELWLWVHAQGTISAGEAAVEFFESQLGAIQGAREAPK >OB12G13870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2497218:2497445:1 gene:OB12G13870 transcript:OB12G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNMLQASMRMSYLSSLFIGHGLLLYYKGTPQRPLFSPPAIVQVIIFLATAAKPCRWSTQRHVTHVRSCLSVGS >OB12G13880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2498214:2498591:-1 gene:OB12G13880 transcript:OB12G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIRRWQSLICGWRKTGRGRGGGVVGVARGCRGRQRHGGSFGEPLDWRGLMEVLEIGEEVCVGRARFGEDLAPPELVNFSHQGRQLGRSEEGGAVEAASWILCGGLRIPSGTRTAHCRAHHCWP >OB12G13890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2498226:2498567:1 gene:OB12G13890 transcript:OB12G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAMSGPSAGGDSKAPTQDPRCRLHGSALLRTTQLPPLMTEVDELGWCQIFSEPCSSDAHLLADLQHLHESTPVQWFPETTTVPLPPPTSPCYSDDTASPTSSSLPPSADE >OB12G13900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2501670:2502893:-1 gene:OB12G13900 transcript:OB12G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRCADMALSSRPRKTMRININSCRLRSMLPYIPDNVMFNIMLRLPSRSLIRFKCVCKAWYAMISSQFFVHAHLEQSKLKPSSVLMAPGFYKKQRNGQKFAFLMSLYKYQGNNIMQHLHDFPYDFPQILDTWSRPVHCNGLLFVSDMKDKMLIYNPSTTEVVSLPKGSPNLYKSRGHGFGFDNHSKKYKVTKVYYQRDYETSLVTCKCEVLTLGTNEWRQMEDPPYPIGESSPVYVKGAIYWMVSRTSFHPEPPNSTLVRFCLTDERFSLLSCPCNVKPSCLTQVGDELYCGFFVSEGLSLEIWRCSFGQKPKWTQHCAIQIPPYAIKWHVASPVVAFHGKILLLALNKIYKYDTQTCKLERIPLTVEDFMCYDRENNMYQTYSKNVVMDFHLFNYVESLVSIREF >OB12G13910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2505160:2509266:1 gene:OB12G13910 transcript:OB12G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNDVPASSDDDRSKMLKHGDDMASLDRDTSNSEPCDRSASVSLEKAGERDGTSKTGKGLFSWVTGWMKFGKSDADNVTTNRNVIDEASAGSIGGHESLKASACGSGQQEVHEIFTKSYFWDVLQQQISKPLGSELVSKAKTREELAHQLQKLDCWPLKGLAEKDLHQLVNLLVSEKKWVEETPSRNFPFHLTLPHKRTCIPSNSRKFDGLSTLFSNGKRLQPGKHVSDKSTNSSLMREETLTDCHKLLKDLLLEYEYGFNISIFKLRFAQKYGYELDPQKLGYPDLKSLVQIMPGVRVKFPRVLPAENGNGQAGKKGNGDQSNGDDFVWEELGPVSATAETVEGADKEMCYRPPTLSDDDFSDNENHADQQPRRGTETSSLLQIIDSWHNSKDVSCRKTQDIDGLVDCSRSDHGNADNLTGGDAPRPTRPPHKQYSFVSDSEEHKEKDKLVESVLGSLQKARTSKLHN >OB12G13920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2510252:2511050:1 gene:OB12G13920 transcript:OB12G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRQDQQGYCCYFLHGSCDHVLCHHIHVGMQEWTECTVQEPHCTMDSCREKCKTEATSRKCNRMTAGCHKHAKPEQCCCYFYP >OB12G13930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2519617:2519952:1 gene:OB12G13930 transcript:OB12G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPPHPARTPRRGGKAQGQAQFLRAPQGNPCPREVHAEARRGDRRGAHPALRGQGSRRAGGRGGARRRVRRHERRAGATGEAGGGARCSTASCAAAWRASTPPCTMPID >OB12G13940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2521704:2527753:-1 gene:OB12G13940 transcript:OB12G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRMRCLVGGGVEDSPLGAVRRVSPASRRVHNANSNAAAAGTEDKSGFSFRSPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGAKAPASPARVVQYEAPDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSIILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGAAKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTIEDLDAADLSLGKYEAVQPAKSALPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDVMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKTKILDHLREIWALDRKSEWSIWTVHSKIEIPHRYLRSMSEESSHRHSLMRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSVQDMYIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGGRILRAVIFVHGFQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASTDNSKKGQVFTEMLNNCLDQIRAPSSETRIFMRCDVNFDQSAHGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >OB12G13950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2533437:2541571:-1 gene:OB12G13950 transcript:OB12G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLKASTSGTSDYLFTGSRDGTLKRWESKNGVPSFSATFESHVDWVNDAIVVGQNLVSCSSDTTLKVWNSLSDGACTRTLRQHSDYVTCLAAAENNSNICASGGLGGEVFIWDLDSALAPVAKSVDAKEDETPNGNCGPALTTLCNVNSSSNLASTNGQSHGYSPIAAKGHKDSVYALAMNDTGTLLVSGGTEKVVRLWDSRTGSKKVKLRGHTDNIRALLIDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFTHVYSGGRDQSVYLTDLGNRESVLLCTNEHPVLQLSLQDDMIWVATTDSSVHGWEAERENPENLFKKGGSFLAGNLSFSRARASLEGSAPVPIHKEPSSVMPGIPAIIQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVPFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKINLAQETLRGLLVHWSKRKQRSGSHGLSNGDTSTGKDVSLRNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFAMGLTSGQSQFSALDSSSRLGLKPWQKSKPSVEILCNSQQVLLPEMSLATVRAYVWKKPEDLILNYRVVQSR >OB12G13960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2547509:2548394:-1 gene:OB12G13960 transcript:OB12G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDLAVQVSRQPVSGEDFAFATSDTDAAFLVLAHLPGYDKEEVEVVVGEGGREVGVVVGARKEDSFAVEAVVGRRLRVAHRQVVEGFCRVFDVPDGVEVGRISVGFEEDDDLLVVVMPKLRPAPAESGCGEGRLDVESADTECGSSNAEDFEVEPEQDDVAVETEVELDDESSSLELEYEDWIDVESSESEPEPPRDVAVEAPVPVEEDVPVEEEVAVETPVPVEEDVLELPPTVVDIECDVVFEPAYRGLPA >OB12G13970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2550276:2551945:-1 gene:OB12G13970 transcript:OB12G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLEDEFLALLDGPRVPKATNAGDCCKTMKRPPSFEQAQHDSDRCVLPIAADAGAGIGETAPPYPPETVDRLRSMADAMVTAGYVTECTQMFLVARRNAFDALLRALGYEKASIDDVVKMTWEALEAEIVAWTKAFRHTINVGLSTEHDLCGRVFAGRHAAVGRGIFADLSRCVMLHMLNFTEAVAMTKRATEKLFKVLDMYEAIRDASPLMEVMELLHGNLEGKSRLYKDPSLSNIFLMNNGRYMLQKIRGSPETNAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIHRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQTFSAGRQTEKYVKLSADDVETIIDELFDGNAASMTRRRT >OB12G13980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2553401:2554194:1 gene:OB12G13980 transcript:OB12G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPCHGGKDRLPTKGAAEASYGCRFDYDGCSNAQSILKQGRVAKAKMAFSLQDSVMYTKVMGKWQQHLKQATFKVKKLPHKRAL >OB12G13990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2553820:2556509:-1 gene:OB12G13990 transcript:OB12G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKILAIRDFLEDPDQSEDELVSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSGGDGGSSVLTDGDSPDKIQGRNYQNAQVSDFKYSPSPQRHNGLSSERSSNNNGIESMIEKRRTSPAPAYHNNKQNSSISYSTSSSSAPVRTMREQKDTLMDLEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNAFIRKGGGGLPGKHR >OB12G14000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2561573:2567273:1 gene:OB12G14000 transcript:OB12G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLLTVFIPATQRVYISICYVDMMRYDLRCLFLAAFGQSSTSPFGQTSSNPFGAQTGFGQASTSASNPFAPKPFGSPTSAFGAQTGSSPFGATSTGAFGQPSAPAFGATSTGAFGQPSTPAFGAASTPAFGQPSTPAFGTPSSSPFGSSTPAFGASPAPAFGATSSTFGSSGSLFGQKPSFGGFGSSPSQSSAFGGTFQQTQPAFGSSAFGASSTPAFGTTTTPSFGATTTPSFGSTTPAFGSTSTSLFGATSAPAFGSTGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTTSTFGSTPFGTSTSPFGAQTSPFGSQTTAPAFGQTSFGNQAGGTRIQPYSQTPDADSATSGAQPTAKLDSISAMEAYKTKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSALNNQFPQNTASAFPSTSVNNPFAAKPSTGFGSTSTSLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFASSTPGFASSSPFGTSLTNPSSFSTGLSLVNTQSAGLFSSSPAFGQQPFSQAPSGFGSSTPAFSTGSLFSTPTPGMTGGLFGSAPSPFSSTAFQQSAPAQTPSMFSFQPQAQTAATGGFPGISNTMNQTPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVAEKPLASRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDDESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKSSVDINKHKGAQAEEFNKNVLSPTRSTLIENGIHPDDRASNGPEIVIRQGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSHVRDFAVGRHGYGSIQFIGETDVRGLDLESIVEFNNREVIVYKDDSQKPPVGEGLNKPAVVTLMNIQCMNKKTGDLYTEGPRVDKYKEMLVKKAEEQGAEFISFDAAKGEWKFRVKHFSSYGFVEAEIGTC >OB12G14010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2568153:2568632:-1 gene:OB12G14010 transcript:OB12G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFARSLELSGDTVHSCMASMLRRGRLEPRSTLEHYVCPKNTCSSSQGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEKGAEHATILMLHVYGGVLRFYDGPAITGDIYDRWFRLNVVHDAEVSMVAMFVNVERKFSADVIVSRSYYFEFGVYMQHCD >OB12G14020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2575379:2582437:1 gene:OB12G14020 transcript:OB12G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nrap protein (InterPro:IPR005554); Has 396 Blast hits to 382 proteins in 182 species: Archae - 3; Bacteria - 2; Metazoa - 142; Fungi - 146; Plants - 43; Viruses - 0; Other Eukaryotes - 60 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G63810) TAIR;Acc:AT1G63810] MNLSTRNNVRAYGKGGINLPTPKYNCSILEDMFLEENAEFVSSSIADWKALQEALVLLKVWARQRSSIYTHDCLSGYLISAILVFLTVDSGGGLINRSMTARQIFRIVVKFLATSKMWTKGLVIQPTKKRTITKEDMACLLKTFDVVICDVSGHVNLSFRMTKSAFIELQDEAACALNCLDKCRDGGFEELFMTKVDLGAKFDSCLRINLKGNSKVTRLTFCLDDLSWRELEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRDEAIKFRRFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKRIADHVLIKHLVLQKEDLIHVVDQLDFCLLVGGQDPVASSGALFEAFDTLAKQLRLLDDIPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKKSSQRLPNFTATCIQSLEVMIQLEGSGNWPLDPVAMEKTKSAFLLKMGESLEDRGMLVTASEDEVNVLTSGYSFLLKIFHERGLLLQKRAGDDKTQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWISAHLFSSFISEEAVELLVAYLFLKPYPFHVPSSRAAGFLRFLRLLSSFDWTFSPMIIDINNDFNLKDEKDINENFMLSRKSYEQNPHDIERAMFLATSYDKSSEAWTKHSPSKQVLKRMASYAKSSAELLTNLILHGQSGQYTWECVFRTPLSNYDAVILLHQEKLSRPHHVLFPAETPNGKLVICGKPSKDFHPYMPLNKGVVKSLHDAREKLLVNFDPTTYFLRDLKCAFPKTFKLWYDSIGGDAVGLTWENSKKRGRDEADETMLEPASILKEVGNAGKGLVRGVYLLKAPKLQ >OB12G14030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2584987:2586111:-1 gene:OB12G14030 transcript:OB12G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPRLAAGVLLLALALADAAAFLAPVALGGELDGGGGLVECWGAMSELRSCTDEIVLFFLDGETTRLVFVLVSKRWSALCY >OB12G14040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2591723:2592074:-1 gene:OB12G14040 transcript:OB12G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELQALCRVHGLPTGGSSADLSARLATALLPARGDAAAPEVAGAKWGRKSCLKRPGSSGRSGPAKKVKFVLEEEAAADAG >OB12G14050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2594641:2597092:1 gene:OB12G14050 transcript:OB12G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSTPCHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLDKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHAACTEFDRTEKDITPIGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTEEKQRFFGKLKA >OB12G14060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2595934:2596467:-1 gene:OB12G14060 transcript:OB12G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTKLVLKRILPVELGAGRMRLLTSLANLVNLLVHLSAVVVSVLTSTGNSVGHPSRMPGTNTGNLAETPVGLARKTGDTPSSDNTFVTLTLGDTNDVNHLVLLENRINRDLLLKKLVAIVHLVSDSATVDLDLHKVGLLLLQALHLPDLNFTKTRYGEQNILVLRILNKRDPGNLT >OB12G14070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2598459:2600851:1 gene:OB12G14070 transcript:OB12G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76730) TAIR;Acc:AT1G76730] MQNCRGTNKLRWSFQTVFSLFKYDVLLLGRLDVFQDAQCVKVNPDSPQKQVRFLTLSGDKMLLTPQPRLRTGFFSVLESHMIPTGCIPEACTSVGAAKYGRPIGLDEKVKVNLIVIGSVAVDPSTGTRLGKGEGFAELEYGMLRYMGSISDSTMIVTTVHDKQLVNDIPVEKLLIHDVPVDIICTPTQVIFTNTTIPKPQGIYWEKLSPEKLGQIRILQELKRRIENETGTTLPCGPSEKLPPTAQRRRRKRRR >OB12G14080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2605690:2606345:-1 gene:OB12G14080 transcript:OB12G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVEVADPGQQQRRWPLDAGGEGAVPASMSPSAASLAPMLSRRRQAEEMTAMVSALATVVAGGGGGVSLPAKRPAEREPEGAAVEEAWWAYCSELGAAAPSSTAAPFPAEEVVCKSL >OB12G14090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2616880:2620413:-1 gene:OB12G14090 transcript:OB12G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKMRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTQVKLPGPSLHEPNVYDFGTPYNVIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALELCQKLEGAVDDWEEIIDDLIITFEKQHKRKLTYNMAFY >OB12G14100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2621556:2621891:-1 gene:OB12G14100 transcript:OB12G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSQRGQLTWIGRPRWSDAPRRGGGGEVAGEQLELWAGQGGEGGWLALVPMEEEEEEERWERTSLTGEEDEEVAAAASLEAAARAMAAGRNPTSLGKKESERSAGPLPR >OB12G14110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2621629:2629614:1 gene:OB12G14110 transcript:OB12G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSSSPLELFSRHLAAASSAWGVAPSRAPNPGRERRSASWWCPTRSFHATRRMNARDYYDVLGVNKDASASDIKKAYYVLAKKFHPDTNKEDSDAEKKFQEVQRAYEVLKDEDKRETYDQLGAEAYERQASGGGPGPDDFSGRHPFGDIFGDMFDNPFVMRGGRDVKVPIELSFMEAVQGCRKTITYEADVFCDTCNGSGVPPGTIPQTCKSCRGAGVIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIMAGIDDSDTMKVCGKGGADVERNKPGDLYITIKIREDPIFRREGNHVHVDAIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSPAYGNQYIHFNVRVPTEVTRRQRELMEEFDKEECSEGERVAAAASG >OB12G14120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2633886:2636670:-1 gene:OB12G14120 transcript:OB12G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:J3NBQ4] MRPAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OB12G14130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2642636:2647141:1 gene:OB12G14130 transcript:OB12G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:long chain acyl-CoA synthetase 9 [Source:Projected from Arabidopsis thaliana (AT1G77590) TAIR;Acc:AT1G77590] MNPYFVGLLVPIAVSLLLQKRRKTHKMRGVPVDVGGEPGYAIRNYRFEHPVETHWEGITTLAELFEQSCKEYLHMPLLGTRKLISREKELSSDGRSFEKLHLGEYEWKRYAEVFKSVCNFASGLIRLGHEKSERVAIFAETRAEWQVALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVICGQKELKKMIDISTQLDTVKRVIYINEEGISAEVSLAQNSTSWIIEPFEEVDRLGNEAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPHICRKDIYLAYLPLAHILELAAEALMSAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDAKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNDAKTNEIYKVDERGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIASPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFAELCQKPEAVKEVLGSLSKAAKQARLEKFEIPTKIKLVPEPWTPESGLVTAALKLKREVIRKTYENDLAEFYA >OB12G14140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2650251:2651165:1 gene:OB12G14140 transcript:OB12G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRGRWXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGGRRRVPRPKGSPTFSPSEHAANHRRVLQLHRQHRQNSSFPPPPPPAPPPKTVDEHQPVAPSQEQPADIPPPQEELVDVPAAANAGGGIGEATSALDALLLDGPSAPLIVDGDDDFLVS >OB12G14150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2642217:2658433:-1 gene:OB12G14150 transcript:OB12G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:J3NBQ7] MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OB12G14160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2659308:2666095:-1 gene:OB12G14160 transcript:OB12G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYRPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGPMVLYILKKDNLINKLVQDAEIPEPPLFTATHSWEDIPEQNVCLTKPDLSPPERVQEAISCLPASLESTLAPGSPSSSLKRWTIRDFNRAYSSGETTPVQVAKRFLDAVRECSSPGLSMAFFISYNPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLHYPTTGGTRWLGRARPCVVLAGKTNMHEIGAGTSGINPHHGSTRNPYNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTVEDAAVAYSAIVDQSQPSYLRPELNLPLLKSSLSIKNIKLAKYAKWFNDSAEEIRSCCDKALQTLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLRRSEIGWDVRVALSVYGSFSSRAYLNSQRLRNRQMYFHKEIFKSADVIVSPMTGVTAYKLQNDALESGELDYINGAALVRYSIAGNFLGLPAITVMVGYDRAGLPIGLQFIGRPWSEATLLHIAFAMQEACKKNYMKPTVFYDLLKKE >OB12G14170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2671930:2677488:-1 gene:OB12G14170 transcript:OB12G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRTSWLWRRKPSDKSPGGTENTVSVSSHSEHYSDDQEILRPVSNNAPPHPGQLTGISSRIRDDGTQESGDSKPVNDKLVLGVKLNDSSPQHGQSPQPQSSSNVKDEDVKENLKSLNEKLAAALLTINAKEDLVRQHAKVTEEAVLGWEQAESEVTALKKLLEASAQKNGSLEVQVGHLAEKNASLEVQVSHLDEALKECVRQLHLAREDQKEKVRDVVTKSQELESENSKLQNCITELTKQLEAMKIEASNMSIEHDLQEKFQEIKKENLDVKSKLLVQSKDLKILSLERDLSNQAAETASKQHLESVKKIARLEAECRRLHHLTCKTTLINDSRPLASNNCVESLTDSHSDSAERMAAVDNELRNSDSWASALVAELDQFRSGKADEKNLVNNPVEIDLMDDFLEMERLAALPESDRTSSTFDMETDSDKAVTRNNSTKLETEELRNQVADLHAQVENIECEKKELEIALMEARNQLDISCNALVAAKNRLVEMEMELDSANESKHATLGDLERLSSEKKALEFQLESKSVQVEELLLVVASLDENAERKELESQLEQLSVEVKELRLTVTSLEERIEAERVLSMQHQATAEAACNAKESLEGQLHSANTEVGRLRGIVKDLEDEVEKEKVRQEELTAELEMEIETAVGAVKESLQAQLCSANTEVERLHGIVQALENDVEKEKALHKELTAQLEVKIEEERTRSVQTVKESMEAQLCSSNTEVLKLRNIVRALQNEVEKEKALHEDLAAQLEMKIEAERTLSVDAFKESFQAELQSVNSEVVKLRGTMTALEHEVVKEKTFSAELQMQLEAVEAIKRVLESEVESALQDNRKLNEKVETFEAKLKKQVSSAVEFTAKEEAMQSERRAMKQQLDAAKMEVGNLTNKVSLLQGELLQERLLSEEFEQEYRKLEARLSRDSRDAKLWRLANSNGGLKAKQEKELASAAGKLAECQKTIASLGRQLKSLTDIDNMIVEPERLEPREIREMPLDFRNSDADFAVFADELYDFDLPKSNSSCFSPLPSIQPSSPPEEMSVFAGGLSSLSSFRSKRRK >OB12G14180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2681113:2687059:-1 gene:OB12G14180 transcript:OB12G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIITEGTKVAIVSPSDPVYVATQKMREFRVNSVVVTTGNTLQGIFTSKDLLMRVVAQNLSPELTLVEKVMTINPDFATLDTTILDALHIMHDGKFLHIPVVDREGQIAACLDVLQLTHTAIQLVEGGNDTVNDVANTVMQRFWDSTLALESPDEECDSRSEVSLLLASEAGDGKSSIYPPVIGNSFAFKLQDQKGRVHRFTCGSESLNELVSSIKQRLSITDEEGRMQLLYEDDEGDRVLLTTDTDLAGAVLHAKSSGLKVLKLHIDMSDLSTEVTKPSQELAPARRTRLSPVHVGLMAGVVALSGAAVMVYLKRAKV >OB12G14190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2692622:2696034:-1 gene:OB12G14190 transcript:OB12G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 2 [Source:Projected from Arabidopsis thaliana (AT1G47260) TAIR;Acc:AT1G47260] MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGTNIQDNSLVHVSKANISGKVLPTIIGSNVTIGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMAFIAQSAMNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVVREIPPELILPDNILPNKAPNAVAH >OB12G14200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2697273:2701468:-1 gene:OB12G14200 transcript:OB12G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVGKADGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDCESEPWPSISDSAKDLVRKMLIRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACEQFGISDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGAGRRTMRNSLHVNLGDILKPSEN >OB12G14210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2706185:2709900:-1 gene:OB12G14210 transcript:OB12G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPALSVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSIVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTIADASYGNGSLFSGDMGVVMLSVFLLHFAGFIVGYMTAAIGGFKERQRRAISIEVGMQNSSLGVVLAAAHFSSPLVALPPALSAVIMNIMGSTLGLVWQYMTPPDLKTETIDMPNGPDI >OB12G14220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2718893:2720139:1 gene:OB12G14220 transcript:OB12G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQAANLSAMNPLYQFMADQDALLGMGMQQQQMLASASIRHMDWSPDTMLDNLTFIEEKIRQVKDVIRAMAGRRGPAPGDLAQQQQQQLVNADLTCLIVQLISTAGSLLPSLRNSSFPSRSPPPPPAGAGAGAGAGAGQAVGLAAGESSSSVRNEVNREEEMGSPDYEELFKGWTNGGAMDECIGDDDDIAGGEEQGVKADVENPPPALPETSYQVLQLEEDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSAAALAKPPASGDEERAGRPEERPELRYSCPFAGCKRNRMHASFQPLKTILCVKNHYKRSHTEKRHICGRCGAKKFSVMADLKTHEKHCGRDRWLCSCGTSFSRKDKLFAHVALFQGHAPALXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRR >OB12G14230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2723774:2726719:1 gene:OB12G14230 transcript:OB12G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSTAVVDRPDALLFEGATPPQQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKTVANDPIAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >OB12G14240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2728565:2739845:1 gene:OB12G14240 transcript:OB12G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:J3NBR6] MRKKVDERIRTLIENGVRQRHRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHNQSAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVGLLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFEFVCKGMNALEYKEHLHYDVVKSADPEFKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPAASNDGPNSSRLFKKIELNESIRYASGDPIESWLNELLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRAPCGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSAVRLGYGSAAVDLLSRYYEGQMTLFAEDEEENEEPEVKITEAAEKASLLEETIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMILRPLNSDEIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLSSKIDFSDHEPSEYYTNITSKILGDLLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDISATKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIDTAPLKSLDEDLDEAAREVKEQSRATDEANVDPKFLQRYAIDADDDEIQKALNNGKISASGVISVKSNKTKAEKQEKRKEMKKSKRKGADGERSESKKKRS >OB12G14250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2740577:2742220:1 gene:OB12G14250 transcript:OB12G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVCKSENKGVVCTFEGYGYVPSGTTGASIMQVFGGGDSATTLMLHVYGGELRYYDRQLVEAGIYDRWFRLNVVHDVAASELTVFVDGRRRLRVAGRGGDSHYFKFGVYAQRQPSSRMESRWRDIKILRR >OB12G14260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2746157:2753428:1 gene:OB12G14260 transcript:OB12G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22660) TAIR;Acc:AT1G22660] MSLRLLALPPRGLLLLLLPRLRRRGSPAGAVHARAFGPSSFPARARVFSGMAAAGSPPPQERRVEVRERVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSSDIDIALDNMTGQNFCEKVNEYSELLGEEQKTIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTCSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLNEDLKEAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCCIRDLGLFYVVFAFPEKTDPQVPDKCDQLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCLYSALFTPLRSTVYFDKKSKKIPVANYIIRDSLKLKASDADTVVNIHAASEKFAELINLLESNENLANVKEKLDDEYLEIPTDLVKRVSAGLILREIKDFWRAALFISTLTYPEFGSASDCLSHQDELHRRNEKYKTAESSITELELDGVWKMKPLLDGKAIMGIMQAKAGPLIGKWQQRLLKWQLAYPEGTMEECIEWMKQSQSKRQKVECTT >OB12G14270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2756019:2756267:-1 gene:OB12G14270 transcript:OB12G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDGPARGSAAAAASLRRRRTTGGAAARGGGASTMLQFYTEEAAGCKMSPNAVLIMSIGFFAVVALLHVFGKLYRTSSN >OB12G14280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2756055:2756312:1 gene:OB12G14280 transcript:OB12G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDDGEKPNAHDQNSIGGHLAARGLLGVELKHCAGAAASGRSTAGGSPPAQARGSRCTPSGRAVAIGRHLVLALYKGNERLKH >OB12G14290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2767396:2767635:-1 gene:OB12G14290 transcript:OB12G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAAAPETSSSSSNNQRWTNSAAAEAATTTTVTATTTAATRTTATTTTTARSKLATTTYTSEFAMIIHILVMELVVT >OB12G14300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2793956:2801278:1 gene:OB12G14300 transcript:OB12G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDDELKLDGRRSLNETLILLGQKRRTQRAFEGGREARSAGRGCGGAGHGGEFFGFRGGGKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGTGNGNRTLSFVGGNGVSRAVSASSRIGGGLGTSGGIGSSQVVANYDGKGTYIIFNAADTLFISDLNSQDKDPIKSIHFNNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVASQHYNKGDKDGTSTGSRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHICQGPINAISFSPDGAYLAAVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKIVAWGEGHNSWVSGVAFDSYWSPPNSDGTGENAVYRFGSVGQDTQLLLWDLAMDEIAVPLRHPSSGSPTFSSGSPSAHWDSACPPTGILQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTGESILTICREGLIKIWVRPGQSENNQQSNSSELVLGNPVPKDRAITSSNKATGSSFKQSSSVLFT >OB12G14310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2805015:2810122:1 gene:OB12G14310 transcript:OB12G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDHPSHLGQPPLRPARKDSELFGGGRSGGRGSEFFGEPGMTLRVCSTEMGRTSSLYLDRRSPLPPPPPPPRVSSPLYPSVPPAETGFLTGGSASKAGENFGTGSTHSLLDSSEFQYRDHLRETYVGRSREREIDRLYAGRGMHLDRDGEIDRLYPSKDALGAGLVPSTELKVYAGSSSSLLAKERPYGVHDEPCYEPSKGYAMDALGRLSHDTLGHVSGHANRFSDSSLEYGSALDDKMILDITRQKHSKHSPRDASMEYRRRDPVDAYLPPENLHGNGPQVSSPSVRPILGSSSLIGHKDERIDRQVRLPHRMAEGEDPFQGMHDGMERDVQHSYHGDELTRHRRTRNPVVRYSHSPETEHPGFAKHPVQHEFSSFDDDHEFSDREVSPVISRRIPRRAMHHDHVTEQYRSDDSPLGREHYDDDMDSYDLSPKRMTVPHDMVDDQGKYDARYDLPGNRNVFSRITFRDDINEEWTDADQDNYQSTITYGRSKHKPMSQRLSRPTGQSQFGGFPMHGRGGRAKNAKRRLGSALPQFHVGGDRFVRPNKRFKLSEDNHNDPELNHEDAPQNEDLYMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPIVQKRYREAAKGSLSCCVCGSVPRKFLDMDALISHTHDTCKMGLKTKHLGFHKALCVLMGWNWHVAPDTAKAYHSMPDDEVNAMKGDLMLWPPVVVIHNSSIASKGKATDAKIVSKEEIEGVLTEIGFACDKAKINYGRPANQSVFLVKFLPTISGFQEAMRIHEHFTAKNHGKEGFQQIKGGKGKKSAPVDELEELLYAHIAVAEDLGYLDEETKKRCLVRSKNDIEAKADATLNLDS >OB12G14320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2825136:2829750:1 gene:OB12G14320 transcript:OB12G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSHYQNPGELWAPCINRKLIRSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKEHVRVVKELPVDVLERFNHNISSIPNMRTKAYSSPNHYMQKVLPKLRELGVVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFAEPIRVLAENMVERMVKRSTLTGGKYVSVHLRFEEDMVAFSCCIYDGGLKEKIEMENARERSWKGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYTVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTAFRKPNDSIYTFPMPECMCQQDGMI >OB12G14330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2834589:2835146:-1 gene:OB12G14330 transcript:OB12G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPISSISNSIAAAAQCRQEISLTTFFNPTLFRWKQAIQGFHKRAIFAANCSGPATKLSSSLFFFSRRRRRAMGATGLLPPSSWRAVAAAWVALCLVPVVLSLAVLWLPLLCCAVAVVRFRRVRRIRRAAGRGRRGGGRWPEKEDDGGGDRGMLLQKYLQDQMELVGVEPDAVEFAADPPVES >OB12G14340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2851230:2851622:-1 gene:OB12G14340 transcript:OB12G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWSSHEKGTTTEAFVSPPAPAASSAAREERREGEGDGETNMEAAEEEEEEEEEETRRRWWWWRRRWAAVDEDDGEGSERGGGGSGSGGGGCGWDGGMAREWRRTGRVTVGTSTAVEAYSFKYRCDDLS >OB12G14350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2856998:2863097:1 gene:OB12G14350 transcript:OB12G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3NBS7] MAAAVLRRVRLVVLVLAVVGAAEGVETTHIKVYWHDVVSGASPTAVQVARAATTNSSATFFGAVVVIDDPLTEGPDMKNSAPVGRAQGTYISAGKDKVALLMNMNFVFQSGRYNGSTVAIMGRNEVFSAVREMAIVGGTGVFRWAQGYAQARTHTLDMKTGDATVEYNLYIKH >OB12G14360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2876809:2877057:-1 gene:OB12G14360 transcript:OB12G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRHAAAVPMPTPPPTDTPTPVPLTKDAPAAGPDRKTRHRPRPTTAPLPTVVVPDLVVAAASERRHPGPSPPQTTRTSRRL >OB12G14370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2857075:2882145:1 gene:OB12G14370 transcript:OB12G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3NBS9] MAAAAVIRRVQLVVLVLVVAGAAEGAETTTPTTHIKVYWHDVVSGADPTAVQVAKAATKGYFGVAMVIDDPLTEGPDINSSAPVGRAQGTYISAGKDKVALLMNMNFVFQSGSYNGSPVAIMGRNEVFSAVREMAIVGGTGVFRWAQGYAQARTHTLDMKTGDATVEYNLYIKH >OB12G14380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2883669:2884454:1 gene:OB12G14380 transcript:OB12G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3NBT0] MAAAAAAVLRRARLVVLVLVLVLAMVGAAEGAEMTTHIKVYWHDVVSGTNPTAVQVAQAPTTNSSASFFGVVMVIDDPLTEGPDMKNSAPVGRAQGTYISAGKDKECRSVINSLEGHTYVLSELLNFFGSAY >OB12G14390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2888779:2888877:1 gene:OB12G14390 transcript:OB12G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDTGVQARHTLDMKTGNATVEYNLYIKH >OB12G14400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2895744:2899338:-1 gene:OB12G14400 transcript:OB12G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNELLKGSNAARDDANRGKNRYFDVVPFDTTRVRLKQSTSNQSSRNDYINASFINVTEDNRVAKFISTQGPLVQTFEDFWEMVYEYQCPAIVMLTQFASLKCDEYLPLTDQCKAYGNYNVKITNAKRDRHRLWLRDVTVQCNKSSKVHSVRHIEYPDWPDHGVPTNTDAVRQIRKWIQNTPTEHPIVAHCSAGIGRTGAYIIIHSTIERVLLGDKSSYNLDETVKHLRTQRVGMVQTEQQYMFCYRAIADELKDLLRSNH >OB12G14410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2904250:2906150:-1 gene:OB12G14410 transcript:OB12G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLDLSYSDSENLTMDFSWLFHPQTAISDGMPFDSFLQQDGHHRQEQHHVDLDHPFEAEFTTVHEPALAGGSVHPFTTLYDIDHELCRRGINRKGRTDGEASSIFLLMPKAEASSHLVRDVHAGAYDDACGMNGKLVSRSKASRKASKKADAVKGHWTLKEDRKLVKLVEQFGLKKWSQISGMLPGRVGKQCRERWFNHLRPNIKKDTWSEEEDMVLIEIHKEVGNRWAEIAKRLHGRTENSIKNHWNATKRRQFARRRNRSTSKSGTALQDYIKSLDIDTLSPQESLMNNERSGSNPSDMMITTQGTLFLDEYNCSHSHTSEEHIVPSCDGFPADLWRGLFDRKEEEETQYLLYDMDSHVDMNCIFSHSDYGSNIEPGLTSLVTPEEGFSRKQGYAFPDGLVQN >OB12G14420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2911220:2911954:-1 gene:OB12G14420 transcript:OB12G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVRITRLKDMSGALWDDQLCMIVLEEEHYMVYVKDHSKDAEFLNVPLENYVQMAIIFANGQATGRYAMASTEALGNPVDMADSGNVPMDVSNGDGIAARASGVGADSIGKRTVASAVGPSEEELAALHDLTGGSSGDRKRKRSVLNEGDIALITNMTESVNNVAAEIHATAYTEVHPDLCKSVMDLSGFTEDQLDLVLTFLTKDKAESLVFI >OB12G14430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2940334:2941281:1 gene:OB12G14430 transcript:OB12G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAHIRQHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDDEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGLDPQTHRPLNAAAAGQPAPDXXXSSCSEDDQYCYGAPPHAHHHLAAAGCGIDLNLSISPPSSCQPSSPPFAGQEAEASAAAGGASAAAAAGATTTSSYPCHSSSETREKICLCLNHLGLHGGEECSCGGSSASSSSSPPVSSQVFRFTNASS >OB12G14440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2953414:2956829:-1 gene:OB12G14440 transcript:OB12G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSAATATASLRLPLSARASFPSSSSPPRPPPPPPPRAPPPPPPPGPPPPPPPPGPPPPPPPRAPPPPPPPPGPPPPPPPRLAPAPPLRARLLPLLLLPPPPPPPPPPPASLRLPLSARASFPSSSSPPRPPPPPPPRPPPPPPPAMATSPSAATATASLRLPLSARASFPSSSSSPSSSRLRFPLRRRPARRGLAASAFTKLSEASPVPIPPEPAQPLPDEGALPPKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPADLCGSVKVAISDEEAPDRTVLTNAWKSWLEEHITATGKAPPGNVAGNYTWVGPPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRESLKTYSNWPTFPQVFVGGELVGGCDIISSMAEKGELAALFQK >OB12G14450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2956189:2956869:1 gene:OB12G14450 transcript:OB12G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDASESFVKAEAARPRRAGRRRSGKRSRLLLGEEEEEGKEARAERGSRSEAVAVAAEGEVAMAGGGGGGGRGGGGGGGRGGEEEEGKEARAERGSRSEAGGGGGGGGGGGRRRRGRRRARRGGAGARRGGGGGGGPGGGGGGGGARGGGGGGGPGGGGGGGGPGGGGGGGARGGGGGGGRGGEEEEGKEARAERGSRSEAVAVAAEGEVAMAVDEAAATRVGW >OB12G14460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2958859:2963691:1 gene:OB12G14460 transcript:OB12G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:J3NBT8] MEAWHVIDYRGGREICVHSRAPLRRELQIDTTRLYARSIVYKVEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEEKVTICTLELDSNKVQQFSDAIDSSYWFEFFMDDLPLWGFVGETDKNNENKRYIYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLATDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIIMAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFGIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >OB12G14470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2964190:2965874:1 gene:OB12G14470 transcript:OB12G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTTPVTAAAAAVTTTASFSSKIARTEALLACVVVAAVGAIAGLDPVPAVQLPLTAGNVEFVLDEVRPYLSADGGDVALHEIAGNVVRLKLQGACGSCPSSLVTIKMGIERRLMEKIPDVAAVEPVADKGTGLELNEENVEKVLNDIRPYLAGTGGGKLQLLMIKGPIVKVRLTGPAAVVRTVRVAVNKKLREKIPSITVVRLLP >OB12G14480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2968050:2968352:-1 gene:OB12G14480 transcript:OB12G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDKANASAAVLSRDLAAPRRRRSGDGGGHRHRQRGAGQGEEEARVHGGVRGGGLRLPARLRRVPLLPPRLQPPPHLRLRRLLLDLCRERPATTIRDVIPV >OB12G14490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2971707:2975106:1 gene:OB12G14490 transcript:OB12G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) TAIR;Acc:AT1G21690] MLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDERGINVVRTKIKDFAAVAVGTARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGVSLDAQALATLSSISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVVKSLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVHADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >OB12G14500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2978394:2984004:1 gene:OB12G14500 transcript:OB12G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGMPKQFLNKVLIVPRHADWFLPMMVHRLERQVLPHFFSGKSPGHTPDKYIMLRNRVIAKYLEHPEKKLAFADCQGLVTSSAELYDLSRIVRFLDTWGIINYLAPGSVQRGLRMLTSLIREEPSGELHLMSAPLKSIDGLILFDRPKCSVKAEDIAFVASISSSPEMANGDADLDEKTLLEKLSDSFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVIGHSSLDFQRVDGKKDGADNDGDSWTDQETLLLLEAIDKHNENWNAVAEHVGTKSKAQCIYHFLGLPVEDGLLENIEVPEASSSSKVQNNGFLRSNSNGSTSGSSRQSSEAGQIPFINTANPVMSLVAFLTSSVGPRVAASCASAALSVLTSDDSSSIGNDGHAAGPNSDSNSAISSENVRHAAMCGLSAAATKCKLFADQEEREIQRLTATIINHQLKRLELKLKQFAEIETILLRDSEQAERVRQELHAQCVRMVSGIQLASPRGNTMASNPLSQANLRPPGMPGSMHQTAGTPAFYANNMQQLQRQAFFQQQQLQLQQQQRQLQMLSFGPRLPLAAINPPSGTAAQNVMYNNPNPDMPGPSNQG >OB12G14510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2983931:2988455:-1 gene:OB12G14510 transcript:OB12G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVLGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OB12G14520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:2993220:3003318:-1 gene:OB12G14520 transcript:OB12G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSDPMALKRRLLQAAADGHLGSFKRIARRLDDGKGCLKEAVEAVRERGAGTLHLAAGRGRSSVCAYLVEELQVDVHHADNSGYTPLAYAVRGGAIDCVKYLLDHGANTDKRDKDGFAPLHFAALKGESEIAKVLISKGADVDAISNHGMPLHLAAFFKQDGVVKILLDHHADWNKLHRPVYTPLIMAINAGSLKCVKLLIKAGADVKGIGTVTPLIIAANNGLTDFYECLLKAGADPNVQDDFGHLPIEIAAYNNRREDVEILLPVTDPIPHVRNWSVDGVISYVQSLPSVEDDPLYKLKPADMKLEGKKAYERKDYFTALKLYSMAVNLCPDDPTLFSNRLCWMKMGKGTQALMDAQASRMMRPDWPKACYLEGAAQMLLKDYEKACDAFFDGLKMDPGSVEIADTLREAFKCLKISHATKV >OB12G14530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3010046:3013598:1 gene:OB12G14530 transcript:OB12G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWAHPAGLVVLLVALAAVAPAARADKPAKGGQGAGRGXXXXXXXXXLMLTVFLRRLYYVVMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDPMCAALHGSLTGRHRCDSPQQQCDYEIRYADQGSSLGVVVKDSFVLRLANSSVVRPDLAFGCGYDQRVGSSTEVSPTDGVLGLGSGSVSLLSQLKEHGVTKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSASRNHYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVAAIKDDLSKNLKEVPDHSLPLCWKGKKPFKSVLDVKKEFKSVVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSLL >OB12G14540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3014698:3016563:-1 gene:OB12G14540 transcript:OB12G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPPPPPAPQQTAAAVLHPGVYFNPTLEEAVRNYVNRWITGEELPEVNAGLVVVGANVYGDAGAAAAARRRRHPPGYTRGHEYKWFFLTHRKVQVSRRGGRGGKRSERHVATGGRGLAIAIDRNFESTPYNSA >OB12G14550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3021272:3023650:1 gene:OB12G14550 transcript:OB12G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:J3NBU7] MASSFVILNLILSSFLAPISAVAGYVNYLHRGSSLSTKHASDVLQSMDGTFSFGFYNLSSTAFTLSIWFTNSADRTIAWSANQDRPVHESGSKVTLDKDGSMVLTDYDGMVVWQINSSTEAVRAELLDSGNLVVKDQYGGILWQSFDHPTNTLLPMQPVTATVKLVSTDLSHPTSYYTLRFDDRYVLSLAYDGPDISILYWPNPDRSSWENYRISYNRSRSGVLDKLGKFVSSDNTTFYASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWHNSWMALSQACEIHGLCGWNGICGYTPMPGCSCPPGYVVSDPSDWSSGCKPVFNLTCNSDGQKMSFVRIPETDFWGSDLNYVMSTSLQACREMCLSSCSCLAFVYKVYPNGCFLKSALFNGKTVPGYPGTAYVKIPRSFLSSQSQASASELANRLHVCNASKTQTFNYTAHGSKGMGTMWYYLYCFLAVFFLVELCFIAFGWWFMAKTHSARSAMWAAEEGYRIVTHHFRRFTYKELQKATRNFRDELGRGRYGSVYKGVLADNRMVAIKKLKDVKQGEAEFQTEVSVIGRIYHMNLVRVMGVCSEGSYRLLVYEYIENGSLAMFLFHGKGQLQWQHRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDQDFEPKISDFGLAKMLQRDQADPSMSKIRGTRGYIAPEWVSSLPISEKVDVYSYGVVLLELVMGLRVSELPANGSGDEGAALRQLVWTVTEKIKTDDQTWIDGVVDPRLDGNFVRSEVLLVLELAVLCLEKERNQRPNMNHVGQKFLS >OB12G14560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3027500:3036434:1 gene:OB12G14560 transcript:OB12G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3NBU8] MAAAAKSVEEEVAALRGRFAAGRTRGAEWRAAQLRGLLRMAAEAEAEVCRALHADLAKPSTESYVHEIALVKSSCEFALKNLKKWMKPQKVHASLMTFPSTARVTAEPLGVVLVISAWNYPFLLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDSTCIKVVEGGVTETTALLEQKWDKIFYTGNGKIGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGKDPLRSSDLSRIVNSNHFNRLKRLMDDESVSDKIVFGGQRSEHLLKIAPTIFMDVPLDSVIMKEEIFGPLLPIITVDKIHESLALINSMTKPLAAYLFTKDSKLQEQYVAAISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGSFSFEAFSHKKAVLVRGFACEAAARYPPYSMAKLKILRGVLKGNLGAMIQAILGFPRGK >OB12G14570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3035720:3040303:-1 gene:OB12G14570 transcript:OB12G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDHGSSERTTPKTLPLPGRRRRHGRRPPPPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGGAARAAPPRLLRRGLSAASPLPSLRSLLLVSPQELGRRPASSAAAGDAAAELRGAREDVKQLLKSTSCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFEIELKHGANAGLVNALKLIQPIKDKYAGVTYADLFQLASSTAIEEAGGPKIPMIYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERRDEDLLVLPTDAVLFEDSSFKTYAEKYSENQDAFFKDYAEAHAKLSNLGAKFDPPKGISIE >OB12G14580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3041924:3042580:-1 gene:OB12G14580 transcript:OB12G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYKSLLQRELDDDSSSDDDDYFIIAAARIVQMYSGQTRRPGGSVPGHLVIYRDREGGYERMFQDYLADNPTYGPHLFRRR >OB12G14590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3044324:3050086:1 gene:OB12G14590 transcript:OB12G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAYSGRTREGVVPLDLIIRISTDRIDFCSIHLSDEFSQLCSYMNVQIDSLKCLLHELGVIVSEEQDRYQRLDGGAGAGGGGRRSPSSCSTATIVLFVALCLVGAWMMASSRNVPMSVSSTENKSAAAKENDASIVVTDKVEGGGGGNGSDAPQATEEAAGDAGKKDQDAGDAGKEDQDTGDATKTTDVVGDTGTKDDGDGDGDGGAATQSTDSNRGEASAVVTDAIANTTTGGTEPAESGKPAGDTAPPKNQTFSDENGKTEGGEVVRQEDPDKQSAEEAPTDGKDSGGQDRSNADEAPSTDTKENAGESDKKTEQSTEEETRDTKSTGGQAGQNTDEVSMETKDDKSGDDAPTDAKATGDGGSSSKNQATFDDENGKMDGVEQVTEDGKGTEKSSKQVATNGADDGEGQATXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPDEIKPAELLPSGQAELLNETASAVARNGSFPTQASESSAEKKARESNKNAGGETTSTVTEEEAHDWKLCNSTAGADYIPCLDNEAAIKKLKSTKHYEHRERHCPAAAPTCLVPLPAGYRRPLPWPYSRDKIWYHNVPHTKLASYKGHQNWVKVSGEHLTFPGGGTQFKNGAAHYIDLIEEAVPGVAWGRRSRVVLDVGCGVASFGGFLFDKDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAFDAVHCARGRVPGPWHIEGGKLLLEVNRLLRSGGLFVWSATPVYRKTPEDVQIWHDMAALTKSMCWEMVKRTSDTVDETAMVIFKKPSRNDCYSERKQLEPPLCDSSDDPDAAWNVTLQSCMPSLPTDTSARGARWPEQWPERLSTAPYWLSNSQVGVYGKPAPDDFAADNEHWSNVFNNSYLAGVGIDWSNVRNVMDMRAVYGGFAAALKDMKVWVMNVVPVDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKNRCKLLPVMVEVDRILRPEGKLIVRDDGETAKEVESIARSLHWEVRMTVAKQGELLLCFEKTTWRPTEVEAATATAS >OB12G14600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3050708:3051079:-1 gene:OB12G14600 transcript:OB12G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVIPWQLAPSLPTGGDRSVFGSSPSRWQGASCNGDVRSMASHTADATRPPVLSSKSSELSNPGTPLLHSGQLGKGNGSGGGTDDGNARGLVVVVENLGGEIRVYQNFRMPFRMRSQGTLFL >OB12G14610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3055949:3067474:-1 gene:OB12G14610 transcript:OB12G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPESLSAAAEEEEEVLEEEEEEEARGLLYEAYNELQGLAAELGSGAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHVPHCRLLAGSGAGDDGGEESGVACRAMPLADIQLLEGGFGILGKSLFATMAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRLLQSQACAVETLVRGKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVIVSTKLDTKIPQFARASDVEVFLHPPTCVLDGSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVGNLRLFLEELLKKRYIESVPLIIPLLEKEHRGAARKLREVAQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLVNERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSMKCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQVIIHNEETDTDICFSLGELQQKDGENCSSHNVLVKRVQAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKNRAGLRHFFDSFVAQEQLSVNTLAAHSTGLHEPSSALNDNKEDRPKGNLKSSSSPESNSPTIASETRLVDLLDSTLWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKMNSLQRLFQPKSITEKAALFPCSQPLKPITLNLALATSSLLSLRARQPWWHASRIGHRQERGVVDMTGGGKKHGGSGGGGGREVFFHTLDRVPSGLHIDADFPSDDDDDDDDDDVRVSFASATGDHNFQSFRRHQAAVLEDDDEEAAEEEDEDMSKYDMWMSDEHMSIQERRRRLHQGLGLASSRDLALRRHSTRKRMVDIPRSMSKIPPGGSDANKNQQSGKEKEKEKEVTVVAAPKDAGASNTQTGVQLGLEEFEKFIGNTPIVKHLMRRGQSQSHSGPLASPSGGAPPKAEKPAGSKKKGGWLKNIKSVAIGFIQDKDGNSKSTPSTATAAATNAPPSSSSSSCSASSSERLKVHQSGKSCKELTGLYMCQEIQAHEGSIWSIKFSADGRRLASAGEDSVVFALADQPECVLEGHQDDVLDLTWSKSDQLLSSSMDKTVRLWDTATKACLKMFAHNDYVTCIQFNPVDDRFFISGSLDAKVRLRSIPDRQGVDWTDLNEMVTAASYTPDGQGAIFGSHKGSCRFYKTTDCKLSQEAQIDIQTKKRKSQAKKITGFQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTSDGRSPSRRQGASCNDDVCSMASHAAGATKPPDLPSKSGELSNSGTPLTHSGQLGKSGGGSADGGNAWGLVVVTASLGGEIRVYQNFGMPFRIRGQGNLFY >OB12G14620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3070499:3074671:-1 gene:OB12G14620 transcript:OB12G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent protein deacylase [Source:UniProtKB/TrEMBL;Acc:J3NBV4] MAVASRASASIIAGLTGALRAASRGFSPQLCNFHASINRGLLHRRKIQLHFICSFRSIQARNNHSSAVAPKDYCETYIQFLRDKQIVPESDPPSDKDVDLLYRFIDQSKKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRAHSMVTQNVDRLHHRAGSKPVELHGSVYEVVCLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPGCNQCGGVLKPDVVMFGDNVPEDRAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGSLAVPNVS >OB12G14630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3080453:3081858:1 gene:OB12G14630 transcript:OB12G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLMSFVLWSLICGLIPLDPNREVILILSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGPQSVFFVEAVLGVAWSVIWLKFSSEPPRIDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALTPSGTVLCSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEGAKASNMDLTNSETWKTVFFVPGYLCIFSSVIFLIFSTGEKIFE >OB12G14640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3083851:3086741:1 gene:OB12G14640 transcript:OB12G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAGDRVVALHVTTPAAAAGGGKGVLTKMAERIRATDSLAALLRVYDGFCDLNQISLELRICHGSSIKKALANEASSYGAAHLILGVTNNSRSHLRQSSSSSSAAAAAVAKYCAKRVPQSCSVLAVGNGRVVYRRDAAQQQLNQCISPLVETPRRIYRKLVGAATMIKREKAQHDLVIAGGARHLRRSISTPTSAPVSPVVALTPRPAKCQSPEVAVGWPLLSPDLKSALPEWTEMSVAQWAMQLPSRSPALSPLNPRRNSGEQATSPAITSSETPSPAMNGAPEQVAKELASLREKYSSKYTMFSYSELARITCNFSSDRIIGKGGASQVYKGCCDDGKEVAVKVLKSSDRVMEELVSEMEIISSVHHDNAMPLAGFCLDGGGGGKLMLVYDYMARGSLEEILHHGEKEGKVRFGWPERFKVAAGVARALVYLHGGGGNGRPVIHRDVKSSNILISEDFQPKLCDFGLALWAAEAASPVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGRKPVSSGGPKGQESLVMWANSIIHGGKLADLVDPALPDGGFDVAGEVERMTLAATLCIRRSPQRRPSIANVMKLLDGDGDAVKWARSQAGLSFGEDADDGDGDVSPPEKKDIQSYINLALLDDDDSASVSSGGDFTSANMSLEEYMKGRWSRSSSFESST >OB12G14650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3087906:3089864:-1 gene:OB12G14650 transcript:OB12G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIYIVNGPSSQWAKAVRLQAQPASVRQLDFSAAVSPKTSPSIRLDSSPAAAAKMIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETAG >OB12G14660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3107548:3107826:-1 gene:OB12G14660 transcript:OB12G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVMMCALAVQNVPVRRGRPSSSSHAASPAFPASTDTSIGFTTCLVEPFAMAGHPTAVRISRSPFKDRKARRLSYASFLAIDSSSVRGSEV >OB12G14670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3107910:3109305:1 gene:OB12G14670 transcript:OB12G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHQNGHADPCRSSSNPYMILFGAVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIAQTVANGGIQGSLTGISIGAGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMTRATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSGFIARELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVARGSPRWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >OB12G14680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3133267:3133509:-1 gene:OB12G14680 transcript:OB12G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVARGSPRWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >OB12G14690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3148375:3149551:1 gene:OB12G14690 transcript:OB12G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRIVLNCCGYMGNPTGKVYPSGYGYGEIFVPVTDIGILMDREERDTGDTERRLLTGCRLEEGVFLSYNALQPGEENSVRFVISLLTWLCLDDVDPLFAGLQLIIILALRDTCVILSDDKDVTVIKKVIK >OB12G14700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3153877:3155247:1 gene:OB12G14700 transcript:OB12G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33760) TAIR;Acc:AT2G33760] MAVHAHSILLGFGSDRFVQTALVVLYSKCGRLHIARKLFDAIRDKSVVAWNAMISGYEQNGLAERAIEVYREMQVAQVVPDSATFVATLSACAQAGALDLGREVERRIVSDQMDVTVFLGSALVNMYARCGLVNKARDWFDRLQERNVVTWTSMIAGYGMHGHGREAINLFQLMRHEGPTPNDVTFVAVLAACAHAGLVSEGRDAFDSMKRVYRLVPRAEHYCSMVDMYGRAGLLDDAMQFIHESIPEEPGPEVWTAMLGACKMHKNFNLGVEVAERLIVLEPENPSHRVLLSNIYALSGKMYHVEKVRNVMIKRRLKKQIGYSLVELGGTAHLFRMGEKSHQQTREIYQYLEELIHRISDAGYVPETDSVLHELEEEEREVALRYHSEKLAVAYGLMMSSGSTSPIRVIKNLRICGDCHLAIKFMSSVENREIIVRDKHRFHHFKDGSCSCLEYW >OB12G14710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3158644:3158847:-1 gene:OB12G14710 transcript:OB12G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSQSHSGTRRVHFGDSSVLEEKDRGGGGGGGVGEVAEEDEQDECSSVTSHESEAPLAQSMHSLS >OB12G14720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3159900:3160888:-1 gene:OB12G14720 transcript:OB12G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSGRAEVDTSSAFRAAGPPAGSSGRAEVDTSSAFRSVKEAVAVFGERLENQFRPDGGGYGDRRAGREGRTRSNTLAIAASYAKLEGGDGVRATSQWRPNAIGATAKVEESSKKLPVAEAMPMYLVPSSPPFFASSPSLANDEDVASAGGSSMVMGSIRKVEEEAARARQELLRLGEADSGGGGEVMIGGQRRTAAPTRKVQKQKPIVPLIFPLINGVIFSRKKRIKDKESLYMKELYSLLRLS >OB12G14730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3161485:3166144:-1 gene:OB12G14730 transcript:OB12G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G34430) TAIR;Acc:AT1G34430] MPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPAAQSQAASFSSSSSSSSSPPPPPQEATPPPPPPPPAPVAAVSAPVPPSPATQGGSRVVASPYAKKLAKDLNVDLFSIAGSGPGGRIVAKDVEAAAVAPKKATRAPAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKEKGVTMTALLAKATAMALVQHPVINSSCRDEKSFTYNSSINIAVAVAIDGGLITPVLPDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTISNLGMFGVDRFDAILPPGTGAIMAVGTSQPTLVGTKDGRIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >OB12G14740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3167480:3171592:-1 gene:OB12G14740 transcript:OB12G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSSCSCSSSGFLLQLVLALFLSLFLFLDSSMAATAAPPSAPLNTTQASIMKELIAMVPNSAWWNTSDSNPCRWDGVNCSSSNSLSVVTNLTLSGYGLSNSSIFETICSLDNLQFLDLSKNSFINLSPRFFTSSCSMKAGLRSLNLSSNSILMPLGDFSGFPLLEVLDLSFNSFSGSISTQLNSLPKMRSLNLSGNSLVGDVPTSMALSLEELVLSSNQFSGSIPKALFNYRNLTMLDLSQNNLTGDVPDEFLKLPKLRTLILSANNLSGNIPGSVSNVISLSRFAANQNYFTGLIPSGITKNVKMLDLSYNNISGVIPSDMLSPVGLQSVDLTGNNLEGPIPRNLSSTLYRLRLGDNSLNGTIPNTIGDALALAYLELDSNHLMGSIPLELGKCKNLFLLNLGSNELKGPVPDAIGSLDKLVVLKLQMNSLDGPIPSAFFGLANLNTMNLSQNSFTGELPREISKLSKKTKLSVLNLQGNKISGSIPNSISLLTSLIELSLGNNALTGIIPTMPIKLSAVLNLSHNHLSGSIPSNIGLLSDLEVLDLSYNDLSGEVPASLASMQSLTQLVLSYNNLSGSIPIFPGHVEVTDTGNPGLKNGTGDNGKDTTSGKRTHGIFITVVAIAGALVGLCLLGAILMVSYSKRIYRVEDEGSSTEEAVARIINGHLITMNSIHTSAIDFSKAMEAVSNHNNIFLKTRFCTYYKAVMPNGSTYSVKRLNWSDKIFQIGNQQKFGNELEVLGKLSNSNVMVPLAYVLTEENAYILYEHMHKGTVFDFLHAGMSDVLDWPSRYSIAFGLAQGLTFLHGCTQPVLLLDLSTRTVHLKSMNEPQIGDIELSKIVDPSKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVCDGIELAKWALSLSGSPDQREQILDSRVSRTSAAVHSQMLSVLNIALACVALSPDARPKMRSVLRMLLNAK >OB12G14750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3171162:3171389:1 gene:OB12G14750 transcript:OB12G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSFMIDACVVFSGADGGAAVAAMDESRNKNNDKKRASTSCSKKPLLLQEQDDLSSMATCSWLPFDSCTSLNP >OB12G14760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3182650:3183240:1 gene:OB12G14760 transcript:OB12G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCSFAAMEEFTFPCVALEQCNGAKKPPFSPHFAPPPPWFGGGDVVGAVAAAYDHRRSFSAAEKGGGEEADAGGGGGAGWQYYYDGGGGGHGSARFAGEEETTKMDMLWEDFNEELSRAAAAPPCPLSKEWTKEAWLAGDGTPEMRRHAAAAAAAAASGSVVRRRRLSLLMMLKLLKKLFLAHKSNAASRKAPPI >OB12G14770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3185753:3187532:-1 gene:OB12G14770 transcript:OB12G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPCQEPNTKTAHIDPFKMGRIIRPISRRILLLLERGRRRHWRPPPVCSPRARRAPKRNPTASWAFDPSGGGGGNRRRRRWRSQARSRARRRRATSRPASPRTCSIPGSV >OB12G14780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3187855:3192167:1 gene:OB12G14780 transcript:OB12G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 14 [Source:Projected from Arabidopsis thaliana (AT4G33470) TAIR;Acc:AT4G33470] MEQLWAPSLPVLGGRILPMLRHPCVFGSHHPLTCRRFQINERNHKHNGCWTAYCLPSDNEVSIPEANGAKKDLALPDSLLRDARILYCTSPAMGHNKESHPESNKRVPAIVEALEKFELTPKLRGSQVLEIQNFQPASLDDVARVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTTFHECLLSAGAGITLVDSVVAASKLGPTPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLRRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGAGDYAMRCAFDEVIAPAVQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYALAASIRELAAELCGGRCVFFLEGGYNLRSLSSSVADTFRAFLGEPSLGAQLDDPAMLYEEPTRKVREAIDKAKHLHSL >OB12G14790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3197242:3197715:-1 gene:OB12G14790 transcript:OB12G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSTVFEAVFSFFLHPKKRNVKNALSPKALPAAARRPGTCRGRRAGGAGPRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSGKAAASVAGVGKDWNFYILVLSENLLYK >OB12G14800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3198138:3200971:1 gene:OB12G14800 transcript:OB12G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSQTDSQIDSSGDPLSQGGVQITCFTEDLHDVILHFQIIRFSKQIYAWVGCNTAKFGHLYAAATTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPILEAAAERKLIEKLRGLGYARPKAGEANTFTAQ >OB12G14810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3204380:3209128:1 gene:OB12G14810 transcript:OB12G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWLPRASSQLARRAARRLLSGPPPPPPSPQPXXXXXXXXXXXXXXXXXXXXXDRRGFCSVRRFTGESSAAAAAAAVEEPENGLVAGDDHQMAVDFPGGKVSFVAEMNFLPESQKERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACTITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHAAREMAVKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWNDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEIPSNLREQERLLRDTIKKHPADYPADVHV >OB12G14820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3205576:3211152:-1 gene:OB12G14820 transcript:OB12G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPAAGNPYRAEHAAAKKAVALAARLCQKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSLVLKMELPSETFSMVAEEDSEDLRKDGAEEILEHITKLVNETVMDDGSYSITLSKEDVLVALDGGRSEGGPSGRHWILDPIDGTKGFIRGDQYAIALALLDKGKVVLGAMACPNLPFKSIGNHNGGSSGDQVGALFSATIGCGATVETLEGSQRQKISVCSINNPVDASFFEPYEIRHSMRDCTSSIAKKLGIQAPPVRIDSQAKYAAIARGDGAIYWRFPHNRSRLTVWDHASGSIIVTEAGGLVKDASGNDLDFSKGRHLGHEGGIIATNKYLMPLVVEAAQEAMKQEGILG >OB12G14830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3211767:3215162:-1 gene:OB12G14830 transcript:OB12G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPATGNPYAAELAAAKKAVSLAARLCQAVQKDILQSDVQSKADKSPVTVADYGSQILVSLVLKMESPVSASFSMVAEEDSEELRKEGAEEILENITELVNETIVDDGSYSIYFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSEDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVDASFFESYEGAHSMHDLTGSIAEKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHRGYREKIWDHAAGSIVVTEAGGVVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQEAIKEQNQAASPL >OB12G14840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3232582:3232866:-1 gene:OB12G14840 transcript:OB12G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLFPPLLLIVTFETWRLLMRKPVKRYPSGSSFHLPSCLLHLQFNPVMNSPVIYVSYHAIYAVEYVLFNPYHTIYVSASICCLVDYSLDAWG >OB12G14850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3233159:3233368:-1 gene:OB12G14850 transcript:OB12G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPSAALAPANEAPRCSHPPSWLCMPSGGAAWCQGFRSLVKIFSLVTCAGENCNFDNRNSAFRNYRT >OB12G14860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3243931:3246087:-1 gene:OB12G14860 transcript:OB12G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALRMSVRNATRAATTWAASPVGRLVRIEVLVTISCCLLAVLVLLGSGRRATRSGAFRLAVWCALMLSYPAISYTIGLMQSAFVRNELIVVWGCFLLLLLGCADGIAAYSLNDSDQQARTILNQILQLVYVLFLLVSYVGALPLQLKVLLLLLWALSAIKLGMRVRSFLSAGRDSVLTVENKLIADYMSREHVYSGRNYDASTMKGYKYVVVGEADQKDGNGDYHPIDQSNLDRTIVTLEKVWECQGRLLSSNSDEAAASRLKDLCLSFAMFKLLRRRLGGYPLSEASFNKTRDFVKVGLLAAADGHERMYRVIEVELGFLFDFYYARYRSPRETLIPDTILFAAVLVASLCTLFSPAVLSHRARGNSVATGFDIWLTRIVIALFVMLESFQYLTLVFSDWHKVKMLCRYVREPSWWQTHPGLERALKLMCRVRLIRYWNNSVGQYSLLVACLPTAGGGRGLPLPKPMARFLVQSRMTHHRKLPEEVKHAVYLFLRSGLARVCHGEHALEKNGAPGVLYPRPIRQQERSAVQLILIWHIATELYDSKSQRAAPPPADRSVRQDHLVATTLSSYCAYLVSSAPELLPEHSYDTQLLLEGVQRRARESLQGCRSRDDMYDKLPAVPDSHPIDGHKDILVEGRRLCEELHKMPATMKKWKLLAELWVELLLSVAPSDNAAGHVKMLANGGELITHLWALLTHAGVVEKRVGIQNTSYPV >OB12G14870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3252477:3252674:-1 gene:OB12G14870 transcript:OB12G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGESSELRERERGRFLKVFRRNEKIAELLITNKKDWKLQCKVNRLRMCVTLQASSSSDHCRLSIG >OB12G14880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3256889:3259696:1 gene:OB12G14880 transcript:OB12G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNLVVEVTSADIPSSSNTSPANYFAEVCFNGQNARTAIKQDVAVWNERFCFDMRQRDKGPCGDLILEAAVYSYDQISDSKSLLGKVLLSDEYFHRHGANVDPVQYPLLNSTGHENGKVRLKLFLIDAADRILLESEGNNGLNDIYDYLFKSKDGYVEDDNVDQHGPVSLEPDDAAPTEINPNFEPGRLVERMQLLFVRVIKARKLPDMDANGSLDPYVEVQFGAFNRGVTKCLKRSKNPEWKETFAFSFQFQHGQTPNPGVDVIVNDKDVVKDDFVGKVHFDLKDIPTRSPDDDAPLEPTWYPLLDQCGTKLEQASLLLTIWIGSQADEAYRDVWVSLYSSKVYEKPNLWWLRVTIVEVQGVTVRHDDGAAADGRNAQRDTELRCRARLGKQIQRTRAVTKQTTTSGSYEWRYEWKQDLLLVAAEPFFEGVLELEAVIDSSKTVIGQLILPLSAIGTSGDMPVPDRWFDLTRPAAAVQLEDSVDIANSRMRICLNVILDGRYHIVHDSRGYLDDTRPADRALWRAPIGRVHLGILRATGLPLRKNRSAMNPYCVAKYGDKWVRTRTVLDDGGAEHVFNEQHTWSVYDIATVLTVGVFDHCPDAPHREIGKVRIHLSSLERGRIYAHSYRLIILERDGVKRTGELQLAVKLSCGIALLRTYARPVLPRMHYTHPLSATENEELRSGAANVMALRFGRAEPPLRSEVVACMCSAAASGYHHWSLRKSKANFHRLVQLASPFVSLFHGVESVRSWRDPAATLLALAIFFVALWFHDLVPTMLFLCVVFKGVWNYRFRPTLPPYVDYRVSYLDVVHPDELDEEFDTFKSSREANLLRMRYDRLRMISGRVQTVVGDIASQGEKIRSLLSWRDPRATAIFQLFLLTAAVVVYLAPKKLLVGLAGLYIMRPPRYREKNTPSTIASFISRLPSNRDNLM >OB12G14890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3269400:3271206:-1 gene:OB12G14890 transcript:OB12G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYCKGDTGLNMAVRGDKVLLVPADSNDESQQWIQDYDAVGRVADEQGRRAFALVNVRTKQAAVLLDERSNRLEMARYAGGDGGGGGGVVKLSMLWSLGARLPGGYCEVRLLRDISRTLNGINGHVREGTVVGIYNSEPDSVHAIWKFDPINK >OB12G14900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3271000:3271335:1 gene:OB12G14900 transcript:OB12G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQDRLGAKLSNKLKVKNTQTDVLIKIDDAWYIEYLRLVVGIGRDEKHLVATDGHVQACIPLAVYPHRLGPPPDICVSPLQYILIGCPPSMIYARSSVDLPRLNCWSEP >OB12G14910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3279420:3281612:1 gene:OB12G14910 transcript:OB12G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHLSVENATRAATAWAASPVGLLLRAEALVTASCALLATLVLLGSGRRTSRSAAFRFVVWLALVLSYPAVSYTIGLMQSGSFRNDMVVVWACFLLGCADGIAACSVDGSDQQARTMISQATQVFYVMLLLLSYLTSLEARLKVLLSLLWLLNVTKLGLRLWSLLAAGRDRVLTADNWLISKYMAHENVSSVWDFDPATMKGYRYVVTGDDKKNVEYQYGAGEYKVEFRDDDLVTVEKAWEQHDGSLLSEDGKLRDLCLSFALFKLLRRRLNGSPLHEPGDIRTMVFVRRGLAGGDSCDDHERMYRVIEVELGFLYDFYYARYPSPKQTLIPETATFMAAAALSLSTLFSPALLHHHRPPGGSVDYTTTSLDIWLARLVITLFLLLELFQYLSLVLSDWHKVKMLCRYVRHRPWWRRHRILEMLLWLACRATLTRSYWSNSVGQYSLLHACHHSESSCLLTRVPLHRWVKDRLIATRSVTRRSLPAAAKRQIHRLLRSEWLSNVKYGDRTLQRNDLLQELDWSTSRYEFGAMGSILVWHIATAICGDDDDHLSKTRPRTTDNTIVADRREVATVLSNYCAYLLFQAPELVTDEVHDERLLMEAVQEGIQNHLRRHKGHHHRRRDTAATMFAGLREFVRSDEANYAGECVLADGIRLGHQLLSGMPDEAARWSVLAEMWVELLLTVAPSENVAGHVKRLATGGELVTHLWALLTHGGMIKRPAKPFYGSC >OB12G14920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3283642:3286333:-1 gene:OB12G14920 transcript:OB12G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESMAATGRMSSMELEPKTLTLDQLNFAREAALYVLSTKPAEEAIRIFTDGLKPVQLAGAGNVRKGSATTVAADSSSDDDLDIGWVGHSGKAYCRHHGRRSSTAVERDIATAPF >OB12G14930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3305787:3309664:1 gene:OB12G14930 transcript:OB12G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRAGVARAIGVLEVSFSLMFDRGVTNCLKYLEAVPWNEPEEEKIKSLLSQYPCNRSVSQDLFARLQPQEPSSSSAELVVELMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDEELDMKSIYSICHSCLNSLVELFGEASDLGSSGKTTISVGKGPHERICKQVENLAWLLQILIDRQMGEEFVDLWANQKTLTSLHQHVSPMVRYELSRISATIFIAMGSGKLHCTGSSRLGIFEAWFRPLLVDFGWLRKCPKGLNMATLEDGIGQALLTLTLKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFVRSLGSSSSSS >OB12G14940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3314891:3315175:-1 gene:OB12G14940 transcript:OB12G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIRCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVT >OB12G14950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3315021:3315230:1 gene:OB12G14950 transcript:OB12G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGESSVFTLQHLILPTYSLASSSITGAIIRHGPHHGAQNSTSTGASLPSTMLSQFFSSATTTATTPSS >OB12G14960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3317518:3322457:1 gene:OB12G14960 transcript:OB12G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25800) TAIR;Acc:AT5G25800] MVYLQKGPYNRAIITNLRTSSAFLGGRARPQLLGGIPCIINNPPPLLPPDRQSPRSRAADMSTPSASGEAAAATYYDVYGPNAKPDVIFKEATSNSTLNLQDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRHLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRGLKTTNQSNESDGQEKLSSLDDLKDIPFPVKYYTLSEKEMEDNGYNFHLQGFVPTVSAPPGSSPYGILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTNLQEIQEEFVGLVHKETVLVGHSLENDLTALRISHDLIIDTAVLYKHNRGHRFKIALRVLAKKFLNREIQNTGSGHDSVEDAKATLELALLKIKNGPDFGSPPLTSRRKLASILHDSGKKCSLIDDASILERYSDDACNSIAVFSDDDALSRLMKEVKNNKISFVWTQFSKLLSYLRTRAQDPDRVKSCVAEAIALQTCDRKTAQKKTKHQTCPELKEILIELDKKIRKLYSVLPDNAMLIVCSGHGDTPLVQSLRKMLKHEEETIEDRESIVKALEDIQAQAEVALCFCCVKH >OB12G14970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3353853:3356935:1 gene:OB12G14970 transcript:OB12G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETHANGNGNGHGNGNGAAKARRSTSVSRMIESEGAVLMPGVYDALSAAIVQKTGFYAGFISGYAVSGSLLGTPDVGLLTPPEMAETARRICSSAPNTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEDQAWPKKCGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLSALKKAETTRDELHRLTTFTEFNNLVGLDSWLDIEDRFSAPKPLPPPPETEAKEKAKAKLAAAVNGNGATNGVH >OB12G14980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3364195:3365586:1 gene:OB12G14980 transcript:OB12G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFAATASQARAGVIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFITDDLEIECEGKEKYKCGSNVFWKW >OB12G14990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3370871:3372535:-1 gene:OB12G14990 transcript:OB12G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAACLSQLSIPYIIVEREGCTASLWRNHTYDRLKLHLAKEYCEMPHMPYPESTPTYVPKIQFLRYVDDYVEHFNICPRFNSSVESCLYDEVKKYWVVTTHDQVNGMVTKYAARFLVVATGENSAGNIPSIPGLEDFSGHVMHSSNFRSAISYVGQRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHLGMKLASWRLPVKFVDFVLVVLAYLWFGNLSNYGIVRPNMGPLLLKAKTGRSAVIDVGTTELIRKGDIKVFGPISCIKGNVVEFNDGKESYFDAIVFATGYTSTANKWLKHGEGMMNNEGMPKKDFPNHWKGSNGLYCVGFARKGLSGISHDAKNVANDIKAFLDSMAPF >OB12G15000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3384142:3384363:1 gene:OB12G15000 transcript:OB12G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSVALRGYPEIHAALAAALYADKHAPLLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLQHFITLS >OB12G15010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3387249:3391414:1 gene:OB12G15010 transcript:OB12G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADDTLESEEMLAMLYSMAIMRLVNGFVENPQKKTGYSISELAEAVGIPRVLVDIRHESSHRSLPSLRLLRLAAIKAFDWLKCIYWDSQSNAIPDVQVELSSKLHDINHFLSGKDSVKAKSGSKRKRSEKMISRNTKYVRRLYYACPSEVASIILDFFQLDAPESSENSDVQQTDSLDVDQSSGIHNQISNNDMKTIVTKLSEKEPRLLLGILKSVIETIETCESLEYKGESNACLPAKMENLCSLLLWLVTKVKELKDSGCIGLVHEIGVLSSDRNAVPRFCLAKLLQKLLVLSIIGDRRIIDAALLLIDMTTNNVKEKLLKLPALSLGRLARDSTLPESRKEIESVDKATEKLEMFKSQLKQKDICSVENGTGGSLHTIIPEKRNRWSTAKSWTPCPIGMIPCSFSSVPVLPNLDVAVHEDDTLEEHVNFEPDDHPEIIEHYSHAEKQLDAEIITEISKTSPECEISEMPELAFPLKGRLLVGGVWKVVSKEELLSIKSKMKILL >OB12G15020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3392171:3395862:-1 gene:OB12G15020 transcript:OB12G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQEEGAAADAANDRPRAGCGRDCLGDCCLPDSKLPLYAFKASPKKPSSQEDAANTDFFVNLLLGLWEDKMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSFFLKSAPISVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGVMNSGVKVSKLMNYPVRGLVFEGGNSLNDLASVVSSACIWLQDNNVPYNVLISDCGKKIFLFPQCYAEKQALGEVSQELLDTQVNPAAWEISGHIVLKRRSDYEEASEASAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSDEEEVSEDEDAAAYTPVSIAPAVAEGCLVLQ >OB12G15030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3396522:3396689:1 gene:OB12G15030 transcript:OB12G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCLCLCLLFFCLVFFGRACAGFDALLDSVAILLFEGVCYAVAYMDIVGTHVLSS >OB12G15040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3412311:3420435:1 gene:OB12G15040 transcript:OB12G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGARHLPLRLRRLLRSPISRCAGVLAAFAALLLVLSLRQVDRVALPGHGHDPSPAPSEQLWGSNGDGSHACVTPTSRYMAPKESERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPHFIKALEGDVHTVSDLPQSLQSVPRARKHFTSWSGASYYDEVKQLWKDHKVVHIPKSDSRLANNGLPMDIQRLRCRCLYQALHFSDPIENLGKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMRERTSHWKLKDINSTEQRSEGNCPLTPEEVGIFLRAMGYPKSTWIYLAAGEIYGGDKYVSKLRSYFPNLVSKEVLATKEELDKFNNHASQVAALDYIVSVESDVFVPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGSPRKRNGSLPGSKGRARLRTEESFYENPFPECICLTGKH >OB12G15050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3420924:3422903:-1 gene:OB12G15050 transcript:OB12G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G77090) TAIR;Acc:AT1G77090] MLLAEMMSSSVLFLPSSSLFLTKQVTTSKGRAAAAVAAVRCSSRPNLSEAHEEEEEDGVALMGRRHAMASAAAAACGVSVFSFAGESMAVKQGLLAGRIPGLSDPDEQGWRTYRRPDDKSGGHGVGWSPIIPYAFKVPDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFADLDDATIEKIGPPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGLQWKRNYKDLKQIAESFRVV >OB12G15060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3428552:3433420:-1 gene:OB12G15060 transcript:OB12G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMQVQEAAAVDHAVSSMMSLLGAMSSEKKGSAAVAAEKRVEWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRYIEEYLVKEVLPFYGNTHTAGSHVGSKTTRLVHKAARYVKRCMGAGAGDALLFCGSGTTAAIKRLQEAMGVSVPSASLRGRLAPQLRQGGRGGGVVCGGPWDPPSTLLWWRQSLAEVVEIGVDDEGLLDVAALRRALASPEYADRPMLGSFSACSNVTGVVTDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPSTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYDTMELHERVYSEMAMKRLVGNPNVRVLGNTSVDRLPIFSFLIYPPVEDSLFLRVEPGSYSSLGNKTYKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVDNELSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAAYGHRFLPLYKFDWITGNWTFREQAIKYHVLKEELATSVKFAENIKSEVANKLDKKPEPNHMKFETYLEVAKKIALSLPNISQQIVSIPKGVDPDMVLFHI >OB12G15070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3453979:3457480:1 gene:OB12G15070 transcript:OB12G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLSITRTRMPSLQAAAAAAVDEAAASSGKGGGATTPTTLLALLQDTSPEKRGTVAEEKMEWLRSQLIGEDVEFDTPFGRRLLTYADQTASGRSLRYVEDYLVSEVLPFYGNTHTEDSHVGKKTTRLMHRAARYVKRCMGGGAGDALLFCGAGTTAAIKRLQEVMSLAAPCWETRGRLAAQLRRDERWVVFVGPYEHHSNLLSWRRSLADVVEIGLDEDGLVDVAALRRALGDPEYADQPLLGSFSACSNVTGIVVDTREIARVLHEHGAFACFDFAASGPYVKIDVKSGEIDGYDAVFLSPHKFIGGPGTPGILLMNKALYRLRSQPPSTCGGGTVNYVKGLSEEVRIEIKNYQVKYDTLYYDDIEEREDAGTPAIVQKIRASLAFWIKEYIGHDTMALQEHVYTEMAMKRLVNILNVKVLGNTSTNRLPIFSFLVYPPVPDSVSDVDDRLAIKYIIDNNSDHISNRLPLHGRLVTKLLNDLFGIQARGGCACAGPYGNIFLDINHDLTLRIRSAIHEGYLGLKPGWTRLSFAYYLSQEEFKFILDAIEFIAAYGHRFLVFYKFDWRSSNWTFSKQAAAKELSAATDVLLAEDIQFKDDDELDNDKLETNQTKFETYLENAKKIALSLPSINQQIIKIPEEIDPDIIIFHV >OB12G15080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3472161:3472358:-1 gene:OB12G15080 transcript:OB12G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTLYITSISSSQIKLKSIFKNSTKIDTLHYTFKNSNYKFGRIRVIKLEIYMQCDCVRINWCFEGH >OB12G15090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3472289:3481998:-1 gene:OB12G15090 transcript:OB12G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:J3NC01] MNDLMTDSFVSAAAAAAAQGKQGGGSADGGGGGPAPLTMAFLLLMHAMSVSRGQLQVGFYSDSCPDAEDIVTAAVQDAAGSDPTVLPALLRLQFHDCFVRGCDASVLIRSARNDAEVNNNKHQGLRGQDVVDAAKAELEDQCPGVVSCADIIALAARDAIAMTGGPSFDVPTGRRDGLISNLRDADVLPDVVDSIQVLRSRFAASGLNDRDLVLLTAAHTIGTTACFFVKDRLYNYRLRGGGVGSDPSIPPAFLSELKARCAPGDFNTRVALDRGSERDFDLSILRNIRSGLAVIASDAALDASNATRGLVTAYLGAASPRFAQDFVAAMVKMGTIGALTGDDGEVRDVCSQFNTD >OB12G15100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3486356:3492072:1 gene:OB12G15100 transcript:OB12G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSTTAHVSAYTSTGPSLQASVGSGAPGRIRPQPPDLAVVWDGSQLRPLTDKDRHQRVNIFTRHRMAMASAAAAPPQAVHPGRVPSSTLLLFSRRLPRPPPRRRRRPYRLLAAASAREMPWPHVLTVAGSDSGGGAGVQADIKACAARGAYCSSVVTAVTAQNTVGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVKVLCESLKKFPVKALVVDPVMVSTSGDTLSESSTISVYRDELFAMADIVTPNVKEASRLLGNVPLHTISDMRSAAESIYKFGPKYVLVKGGDMPESSEAIDIFFDGKEFVELHGHRIQTRNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALLHSRDLIIGNGPQGPFDHLFKLKCPPYNVGSQPSFKPDQLFLYAVTDSRMNKKWGRSIKEAVQAAIEGGATVVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACDADGVHVGQSDMSAGEVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCLASKLPVVAIGGINASNAGSVMELGLPNLKGVAVVSALFDRQCVATETRSLKSMLINTCSRA >OB12G15110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3499034:3499945:1 gene:OB12G15110 transcript:OB12G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGALEIVFSQIPNFHEIWWLSIVAAVMSFTYSSIGLGIGIANTVANGGFRGTIAGATNVTAMQKVWRSLQALGNIAFAFAFSNVLTEIQDTIKAPPPSESAVMKKATRMSVVATSVFYALCGFMGYAAFGNAAPDNLLTGFGFYEPFWLVDAANVAIAVHLVGAYQVFVQPIFAFVERRVSQRWPDSGFVNNELRLGPFCISAFRLLWRSLFVCFTTVVAMALPFFGVVVGLLGAISFWPLTVYLPSEMYIAQRNVRRGSALWIGLKLFAALGLIVSVAATTGSVAGFVGEFNKFRPFSG >OB12G15120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3509552:3512874:-1 gene:OB12G15120 transcript:OB12G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSVVYDAEAVDADHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGASGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGDVRGTLAGAAVDVPREKAFNVLLALGNMAFSYTFADVLIEIQDTLRSPPAENKTMKRASLYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDLANICVIVHLIGAYQVFAQPIFARLESYVACQWPDAKFINATYYVRLPGRWWPATTXXXXXXXXXVVACDDGGGGAAEAGAEDHPHHVHHAGGDAAALLQRRAGPHRRPWLLAALRLLPGQHARRPPRHPPRRAPVVVAAGHELRLPPHLHRRQHRLRAGHRPQPQSSCTLQDCQLIDHHCLLACLIIQLMMV >OB12G15130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3510302:3510913:1 gene:OB12G15130 transcript:OB12G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGADEVHDDADVGEVDEPEGLVEGEAGEDVARRVVAEGGVAGAAEEEVEDGGHGEAVQRRPLHGLVLRRRRAERVLDLDEHVGEGVGEGHVAEGQEHVEGLLPGDIDGGAGEGAADVAVGGPLGGAEAEADEGVAEGGGDGDDGQPRDVVEAGELREEELEEAEHHHVRAPGGAAVAGAVPLPVEAVHPLHRSACMPYIDRH >OB12G15140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3519112:3519282:1 gene:OB12G15140 transcript:OB12G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIPDLHKIPANGEKAFCFRRCVWTSHLSFNNSKTPAWRKRKGITFDMLFNISL >OB12G15150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3518859:3529781:-1 gene:OB12G15150 transcript:OB12G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:J3NC07] MSAATPESSAAAADSASSKRPIGPSLAEPSPPAKVPRSSQPDPCPSPAEARGDAANGGEVAEGSREEMAGAWNPRAQRYLVAVEYIGTRFSGSQQQPNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVKRAVNHFLQKNEGDIMVTDVCCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKSSAWHIAEDLNVQAMKKACSILVGHHDFSSFRAAGCQANSPMRTLDELTVTEVFPSMFFPSSLERSDMEPLDGPLIYSRTSVVESSGKGSDASNTTSESRCESGGEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTADVERILNSKTVTAAPPMAPACGLYLANVKYDLSI >OB12G15160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3529284:3529940:1 gene:OB12G15160 transcript:OB12G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGHVIFSFSWASSFANLPKPLPSFKQLCSYLVRGTSGEALDYGHHLNQSQLRLEAFHLEDADDGSLVGLLLGAGEPGADVLHGDQVPLRPRVPRASHLLAAPFRHFTAVRGVPPRLSRRGTGIRLGGPRDLRRRRRLGEGGTNGALRGRGVGGGGGGFWGGGAHGAERARVLRGLCATREPDDGRCLKLPFCPSSIFSGSLAPPPMVAGRADASAQ >OB12G15170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3530007:3532265:1 gene:OB12G15170 transcript:OB12G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVTDSTSSTFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKINIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTDFRQNNPTGMDIDVNKKVA >OB12G15180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3532728:3536410:-1 gene:OB12G15180 transcript:OB12G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARSRGRGTRPTXXXXXXXXXXXXXXXXXXXXXXEAPARPHGRPGHRRSSSDSFTLFDGGNTAAAAAAGMYDNVFDGMRGGRGHQVGSWGGAPEFFPEQGSFGRPQGQGRPWDSRQMIRHGGGGGMPVPMREMNGGHLAPPSTFGDHGHGPLPNGVDRKGHGDPAHDQRIGAERKEGAQLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLTQEHLIKRFQQEMFEREIGRLRSLFQQQQHPQQIPQQQAPTHSRSNSRDLDSQFANMSLKHGDPSPGRDAVPGLRI >OB12G15190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3542123:3542311:-1 gene:OB12G15190 transcript:OB12G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLYHFEFTPYLYSTLFKYIFYKYFGSDYEKYENENNTASPLSSLIRRTQKWRRRDSDGMERR >OB12G15200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3545178:3548775:-1 gene:OB12G15200 transcript:OB12G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSGVMNSLLSKLAAVMGEEYGKLRGVRKEAASLEGELRSMRALLEKLAAMDELDGQAREWRDQVREMSYDIEDCLDDFLHHLGLAKNDGSTGFVHKWVQFLKEIRARHQIGNRIQEIKNLVKEVSERRTRYRIDEYIPPNSGHAAVDPRVVAIYAEAAGLVGIDAPRDELVRLLMGEEQGLKVASIVGFGGLGKTTLAKEVYRKLEGQFDCGAFVSVSQKPDIPKLLNRILLQVRGQYSVHTSDLDCILNDIINSLRDRRYFIVVDDLWDSFVWSIIKCAFPENNHSSRVLTTTRIWSIASTCCSNSQEYIYKMKSLTEQDSRRLLYSRICGSHEAFPDIFEDVTANILKKCGGLPLAIISIASLLTGQSYITWEYVNNSMGSIFEGNPTLGGMRQILELSYNNLPHHLKTCLLYVSMYPEDYIIKKNDLVRQWIAEGFVSKISGLDVDDVAGSYFNELINRSIIQPIYIDYNDEVLSCRIHDTMLEIIRSKSSEENFLSVIDDRNTVAPGLHKIRRVSFHYIGEEDGVISASNSRSLSQVRSVAFFNNSFRPSSLELKYVRVLLLEFPRRWRGTRVDLTGICGLSLLRYLKISHDVKLVLPGQLGGMWHLETIELHTSEELSIPSDIVTLPHLSQLFIPVNTVLPNGIGNLKSLRNLEWFDLTKNSMSNIECLGELTNIRDLKLNCSSTEPSEDVSRRIGALCCSLERLSRSPSSLRNVVLLKHFPSWLQVDGLSTLSPPPHRLWKLHLERCLFSRIPTWIVQLRDLHSLKLTIRKAVPMDDGIIILACLPSLVHLELSILVCPEERIVFSGTGMAFQSLKHLVFRCHKPFLDFKACSMPKLQRLELWLDATGWEQCSGTCIPVGIEHLPASLREIHINREYGANKRDIQASKSALSSVFAAHHPGANLIFGGAPWNYPSDDHD >OB12G15210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3555363:3557140:1 gene:OB12G15210 transcript:OB12G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEDSGRRCCPWGMHELSSMKSLLEKLDGMEQLDGQAKEWRSQVREMSYDIEDCIDSFMYSTGKNGGSSAGFVHRIVRFLENMRSRFYIAAKINELMVVVNEVNKRCMRYKIDEYGVPDSSYVHRQTVLWALTPQRSNKKYLEVPIPHDTKLFLIVGSNSAHLTQLDLMVRNNLVPRDFDLIRSSIMSCILQDDTSWLKSAITEHVERVTIPSELTALSQLEHLCVPLCTVLPNGIGKLSSLRTLENFDLARNPVDNIKGLGELTNMSDLKLECGTMKWPLDEETMRSSLERLSSLRSLDLRNSNLHFHGLSTLSPSPRHLRRPAPSLWLHVPWDPRMDC >OB12G15220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3555891:3556472:-1 gene:OB12G15220 transcript:OB12G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANDVAESYFNELVNRSMIQPVMADFSHEVSSCRIHDMMLDLIRSKSAEENFITVIDTPQAVTAMHKNIRRISIQHENAEHGVRLATINGPLSQVRSIAVFRCVCQASFMEFMYIRVLILKHLDTEELNLTGMCGLLYMKIVLVSRCKNLELPSQIAQLRQLKTINISGERFAPVQQKVPRGTKLFLTIRSS >OB12G15230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3581695:3582185:-1 gene:OB12G15230 transcript:OB12G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPSATYFSCRPTNMKFSCCSNGDACSKADNHGTLQLAICIGGGNLNLQIDCISTSTTPREDIFELPLNECTDIVV >OB12G15240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3591569:3603616:1 gene:OB12G15240 transcript:OB12G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPCPEEDDQSYEEHVDFSQNEHAESAVEIMRREREERRRKLKREQHDDGPKPYRPQIRNDHMNQNKISRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKHVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVNYLLRTQLSSVTEALNIFAQRRPPGIYKSDYIQALYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDGNGDLAPSPTHEDDKVITNDDILGDAVPNDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLVDRNFCFRRVQMRFPLRNPNEGFHHYTLIDGEMIVDTVPGLGLKRRYLAYDLMALNSQSVVKLPFSERWKLLDDEITRPRFHDKGHFDSGAKGSPSYKYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDSARVVFPDEVDPSSISGKIVECSWNKQEDCWSCMRIRTDKSTPNDINTYRKVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKPHARNMAQQRRR >OB12G15250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3605251:3609691:1 gene:OB12G15250 transcript:OB12G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKSTASRGSRSGVKSPNPRRRSASTGGAPRLGRWTCCHGEEAAETKPWLPPAEKSFESIEKFYLEAAHRLPLEEIPELVDCLGEVGYCFGLADPVTNVVLNAVAQLGSSFPDDGGSTDLEEEPPPPSPPRKRTRRTYTQCWGFAPFYSFVGLMAFMKVYFRYLTDDQARHYLYLASYDLLLAIKLVHQDRRLPRPRPSLLPDGGKMKTALRVAALQARHFAPEDLLQTMTARYPSHLLSPIIDKLRGSELLTAGDVWAIRDLLLLARQCLPPNVDFSCCPNGDACTQSINHGGTVQLATCIGGGAFVRISTEIVTPNHAQSQPLQYISDLTFDGAAMETKLSKCTTTGSGGCEVNYDLSPPCEYMLSLKMCLLDAIHGFYIRALTVLPLPPTGSDGPMPRGRLLRALLVSGHCYGPLDPVANIILNAILFDATNLPHEGEGEAESLLPHDIFDTHAMSRMASCSLDGLVALLRAITTTTTGAPLSKHEAVEYLWSTQCDLTEKLQPTAVIKKNPYAAAAKASKHPQHTMLGSLLMSLSGETLGHLRYLLRSTSDGSAGCVISSADWEQLNTMIKEQLATMTIMRELLPFDPQVLAASSRGSANVNLQSFARTKLEELLLRYSRQHPWEPRYKLDLICGVQQPRSHLCRCYHANFLASAHSSVLIFNGETITAPTIVRTLFFAEFWDSEPGRFYESIAKPICCPVQDYCTRFGHCNFCREASTIVHPPYSTRSHRDDGGDVRIRDYNVNAIRMYVSVLCICIYGSVHKSKDKGLLEFDIIERGSNLTKNCKFKEWGWVVMDMAFINETSMSMGDCVRKRAGMLECREELRDLVKGYADPVWLDG >OB12G15260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3605468:3605969:-1 gene:OB12G15260 transcript:OB12G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVPRRHGLQQVFWREVARLERGDPEGSLHLATVGEQGWPGSGETAVLVDELDGEEKVVGGEVEVMRKGGAELGDGVEDDVGDGVGEAEAVPDLAEAVDELRDLLEG >OB12G15270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3614966:3615367:1 gene:OB12G15270 transcript:OB12G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTKLAGVGRGNLGPAGIGHRASHRGREGEGIAPLGCSRRHRRSRHHRHRRRRLRLQVRLPLPFLFPFLFLFLSSLWESSSFPGGREKSSPPRGCEAVAATGGDGTGGRHCRRQRGRDLGGGESSPLHVLG >OB12G15280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3615008:3615355:-1 gene:OB12G15280 transcript:OB12G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRALPSPQVPSPLAAAVATPGPVPSGGGDCFTPPRWRALLSAARERGGLPEGGEEEEEEGKEEGQRQPHLETKPTPPMPMVSAASVPPRATERCDALTLPPSVRCAMSNASRS >OB12G15290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3618629:3624514:1 gene:OB12G15290 transcript:OB12G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHTNEMKQDAHDTLVSENTIAMYHDHYVTYHLDLDVDGTDNSFVKSTVTAVHDTGCDPPRRSYWTMREGGRRGASGGLLYGNSLNVLASGIMSGRERHPRRFIEDGRGYADIRVVDEHRGYRDIRVVEDCRGYPGIRVIEDHRGYPDIREGPVMRVAHRPRTPILEEEIEIQEAEIRRLMADHRALGDEHLALHRELQAGKDEIRHLNMIIADISAKKEVYITELVDKRRKLEAELRANESLRDEVVQLQGEIDKHIVVRKELSAKAASIMHELTRENSSKQQIPMLKAEIDALRQELVHARTACELEKKGNFELVEQKKAMEKSMTSMAQEIEQMRAELANSEGRPWGPGVTYGMRMGSPEVTFATPYGDNYNIHAGASEKGYSHIPESSSWGAYDNNRLQYR >OB12G15300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3629648:3630022:-1 gene:OB12G15300 transcript:OB12G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVVPSCKSRFESLPSAFCVTPSTSTALHFSCIICLSDITWWPARSSLQTTLTTKPHSKMKHHKHGEQYTISLLSRILMRLILVPLFSIRTITINSAATWLRSNRVKQSFQFKPFNGSCLIFP >OB12G15310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3630954:3631217:1 gene:OB12G15310 transcript:OB12G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAAAAACGGVVVVPPAAAAVKDSWPELVGVSSEAAKEKIREERPEVDVQVVPGDAFVTMDYNAGRVRVFVDSDDKVARAPKIG >OB12G15320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3631656:3632734:-1 gene:OB12G15320 transcript:OB12G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFHGGAVASGGGGGRIAKSIRDFTKILISRGSGSNARARRRQMRRCKDAAPAAMASASAKIAPAQLQEEEEAAQGGGREQGFCDKCCSSLMEAGGAEEEAAANMTEEGDREWVAEPEPGVLLTLAPRPDGTTNCLRRIRFREELFDAWAAQCCREMFGEVKARVWWEENKGRLHHLYSF >OB12G15330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3634605:3635058:-1 gene:OB12G15330 transcript:OB12G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWDVAPAAAYELQKRRHWTPEMVAREAEKQRWVAEQKRLVAKEAKRRRGGGGGGAXXXXXGDLDKALGEEFETRRFLEELRSQAEARRAASEHPAAADSSNADLDHAPVVTEEEEESDIPARGEEGYLERRRELLGRYCLT >OB12G15340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3642775:3650374:1 gene:OB12G15340 transcript:OB12G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNIYDASLDLLSTILSTTASSSPLLYARGVVGDIYRIFGFVECQGDVNATFGCPTKKDIIAKKVDLTPVISMEFGEVIGVYIRAMLSSTEKSPRKFINSEMEIDTNVEKYKFYGLAQCLADMSTIACHFCLSDLLPTEGSTGVIERSSSMYCSYRYQTYSFFSGDPLLKLPTISIKRKCKTPKSTTKIIKVTIDSNIDSEITIGEETEETIDSQVEHPSALASFEQITASAHEKTIALFLDYDGTLSPIVENPERALMSDEPSEKTFVTVTFLVKTEEQTPFQPASDFLPTISKAFRSLVEAVKAIDGATAENNIFCVCVHYRNVDKKVFKIRPKVAWNKGNAVEYLLNRLGLNSEDVLPIYLGDDITDEDAFKVLHQQQRGFGILVSQKVDGIKKTTEVYTLKEPDEIITFIFITIIFCTLQMVESGVLMPIVCELPKPDVIA >OB12G15350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3669996:3673753:-1 gene:OB12G15350 transcript:OB12G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASSVKGLLRVGGAKAFDQNYVRDYFKSSVSYPVADISSPIRVQHVANGSGLVVRDSKSRDLSVKLASTNGSLKVSEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDSVISFCRNWGVGLVVVGPEAPLLAGLANELVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYHIPTAKYQTFTDPSSAKQYVKEQGAPIVVKADGLAAGKGVVVAMTLDQAFEAIDSMLVEGSLGSAGSRVIIEEYLEGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKCEVMESIILPTVKGMAAEGCKFVGLLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGSVSLTWSPELAAVVVMASEGYPGAYKKGTVIKNIDEAEQVSPAVKIFHAGTALDRDGNFVAASGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRRMVAH >OB12G15360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3683200:3686685:1 gene:OB12G15360 transcript:OB12G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene (AIG1) family protein [Source:Projected from Arabidopsis thaliana (AT5G20300) TAIR;Acc:AT5G20300] MMSFRDWISYRLGSSVLSARPFALSGGNDGAGRGDGDGAAQSEFVETVSANRFRSNDIHTSDSEVTSNPHIGTMSYESDLDNTKSDPLKHVEALQIKFLRLMHRTGVPPTSDVVAQVLYRLHLANLIKAGESDTKRTNLAINKARIIAAEQEAAGGPDLDLPLRILLLGKTGVGKSATINSIFDEAKVATNALAPATERIRRIEGTIKGIRVTVIDTPGLTPHYHSQRRNRKILHAIKHFIKRSPPDIVLYFERLDHINSRYSEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYARYCKNVVLRHIQVAASNTQMENPVILVDNHPMCRRNIKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHSSSRSDGLDTLMTELSDDEDESDQLPPFRVLKKSEYEKLTKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLAESQNVEASNAIGDDYDESTSPEVVHMSDMEIPLSFDSDYPVHRYRYLITDDQVFRPVLDTQGWDHDIGFDGINFEASQDLPKNITASIAGQMRKDKEDMYVQSECSVSYSNKNGHSLIGGMDMQTASKDLVCTIHGDAKIRNLPWNTTEGGISVTKFGTKYFSGAKLEDSITIGRRIQLVGNAGRMVGCGQVANGGGLEMTLRGKDYPVREDSITVAATALSFEKETVIGANLQSDFRVGRGSKMSFSANLNSRNLGRLSIKTSTSDHSEIALIGAVTLFQFFLRRRAASTDKGEQQFDT >OB12G15370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3687316:3693099:-1 gene:OB12G15370 transcript:OB12G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQCGGGGPPGSGDDSGGGWETVEKKSKKSAQQVRKGQWGQWNSATAAAAPAAAWSGSESSRHSGNTLVQHSDRRPAGRGNPRPPPQIKSTEADQQAPRRVVTAPLESGWQWGARPGAGSKEGDLPLSGCDPETDNAKGDDDTSDDDDNDDYMSDDMSDDFDSDGTEKSFETRKKHKLLKSFFEVLETLSVEQLNEPTRQWHCPACKHGPGAIDWYKGLQPLMAHAKTKGSIKVRLHRELAELLERELSLRGTSVVPAGEQFHKWKGLEESTDREIVWPPMVIVMNTLLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEADRLHEHFVRQRTDRVAWQKNNRVKFVPGGKRQLYGFLARKDDMEEFNRHCHGKSRLKFELRSYNEMVVTQLKQMSEDNQQLNYLKNKMVKKEQHSKLVEETLGVVTQKLRETMEENKIVRKKAKEKHLEYEKEMKFQEQFFHDQIEKIHKATEEKEIKFEQLLQEERIKARRSEVGSGSTEDRRQRKEEIEKFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKREYMEKEVELEKELDAALTNLMDKHKPDIFQSSSSRST >OB12G15380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3695715:3702126:-1 gene:OB12G15380 transcript:OB12G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRNPSPFVGMEMGVGKIYFYGDGDGVIKLDGCSPVAIHSLDWSDRCWAPCVVAPLRASSLPHVGSAHRRHRGLDLCAIPLRALPPPSPDLPKRGKGAWVEDAGRGRSVEGHRKHKSRSGKGAPEGRWAWASSGGCHLRRWGRMCVGVGAKVHKSIYIKGDGLKRKICRVPPQVKNTLLLYPTVLDLGHGWVEKKSKKSENSVGKGQRAPCTSSNTSHAPNRVWQVRNGNGSGSVQHSDRRPATRCISRSLPQNKHTQRKQKEPVSVVTAPPANGLQWVPRSSSSVSDSNKDDAPTSSCDPKMENGEDDNAYDDDSDNVYDDDDDSDDDMVDDTESTEKNFEIRKRHELLKSIFELLENLSVEQINDKSRHWHCPACKNVRGGVAWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRMGVSMAPSGEFFGIWKGLRENTDRRIVWPPVVIVMNTRLEQDEDGKWKGMGNQELRGYFINYHVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHNHFVGQRMDRNAWLNLRVVVPGGKKQLYGFLAEKEDMEEFNRSHEKDYLKYEMTSYNEMVVTRLKQMSEDNQQLNYVKNELVKTKQHSKEVEKALGAETQKLQEAIEDSIILKRKTNELFLECEEQMKFHAKFYHDQIEGLRKDTEQKESEFERLLQEELAQAIECDVDYETAQNTENCRLSEEQIQRIVDCQVKDVKEFGAEQDELIKAHEEKKAKVKKEYMEKDFELEEELYAALTSLMEKHKPDSFQSSSS >OB12G15390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3709924:3721073:-1 gene:OB12G15390 transcript:OB12G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHCPACKNVRDGVSWYIGLQPLMDHARARGSKKFKLHAELAGLLEEELYPRGVSVAPSGEFFGIWKGLRENTDQQIVWPPAVVVMNTRLEQDEDGKWKGMGKQELIDYFSEYAVSKAHHAYGPKGHRGMSVLIFESSAVGYTEAERLHEHFVHQRTDRHAWQKNHKVKFLPGGGGERELYGFLARKHDMENFNRHCHRKTCLKYKMRSYNEMVVTQMKQMDEDNQKLNSLKNIMVKKEQHSKLVEEKLGVVTQKRREAMEENKNVRKKSKEKHLEYKNEMKLQEQFYQDQIERIHKAAEEMEIKFEQLLQEERKKARRSEVVSGSTEDRRQRKEEIQKFIDCQVKDVEEFESERDKLIKLYEQKKVKLKLEYMAKEVALEKKLDVALTALMDKRKPDIFQSPTSGST >OB12G15400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3711686:3717650:1 gene:OB12G15400 transcript:OB12G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANCGDPSRRPGVRRFVAPWTAGMSDREATLSPHALLATVRGNRLPLSPAALVAALENRCAVHRDNVRVEVCAPHDFLVTFANPDDANRVVLLFSGNMWIRGCRIDFCHWSRWAAAGSSEMKYLVKLGRCHLVELLPPADARTLEVLAWAANPDEIPKEALLAISDSLLVRPARAPDSMDEIATEMEKAASPTSPPAPPTEKRCLEYTLLVHLMEIVDPNPAPPIGVDGTGPTRRSMGGSQPFGGRPNGTTGGFPHQAVKGHKHTSKQPPTEEKALLLLKAPAMETAVDAVATIIGAPTMISDDPKLPENQGYRFGDDNPKLPEEQGFFLFSNDKDKFTEEKKSMASAVSACMVAELFLPAAAVPPSSPPPVMLLPINSPPGPLQVQGGEAEEGLPNPGLSQLFAELTVGDEATEDLPVGEGEALMAPDGALMAKISSCGNELAAEAGSFEQDRKAVEATYKPLLTYSRRRPRPMGRPKTAQLDASLGFNLAGSDTVADHGPTGQLHGRADADEPQVVQIDLPALVSRFVDSITTSPASSVLGRPPASEPIAKAPRRFIPQDFTPRRSPRINSQGNGARRHVVSKAQQVMMKKLGVDVEGNDAAAEATKKYAKLFCQPLSTSHVRALGELLGLQVDTPPTPICGPGFDQQASLPAAGTRGGILVAWQSEVFLGSLINVGQWSITILLQEKQGNRSWFATFVYGPQRDEDKLLFLQELQDVKGLCGGSWLIAGDFNMIISAEDKNNSRVNRRMMRAFRNSLNSLEVKELYLFGRRYTWSNEQQIPTLVRLDRVFVSTDWEDSFTDANLQALSSSASDHCPLLLSSGQGSTASRHFHFENCWTKMEGFHDIVNETWLKQVNSDDPYIVLYVKMARLTKNLRIWGQRKISSIRLQLQIAHEVIFRLDLAQETRLLTPLERRLSAACKGRCLALASLERIRWRQRAKITALRNAGQNFLRIKTLSRRRKLYIPRLEHNNEIATAQGDMEELARSFFQQTLGSRPTQGRTLNLTELGTKVANLQELKAAFTEEEVWSVIKALPNEKLPGPDGFTNYFYQSCWDCIKVDVMAALNKFYQGNTQNLRRLNTASITLLPKKEGVTSLTDYRPISLVHSFSKLVSKVMAVRLAKRMGELTSLSQTAFIRGRSIHENFIFVRGLALKLHKGRRKAILLKLDITKAFDSVSWPFLADLLRHRGFGHKWRTWMAALLSTAETTVKINGSESLPFKPTRGLRQGDPLSPLMFVLVMDVLRDIFSKATDRGLLSAVDRGLQGPAISLYADDAVIFFAPTEENTMAVKGILSAFGEATGLTPNLAKSSISSIRCHDEEKEMVANYLQCKIQDFPITYLGLPLSLRRLTRADLQPTMDRFAKKVSGWKPKLLSTGDRLTLINSVLMALPVHLLSVLAMPQWAIKEINRKCRRFLWKGQETVNGGHCLVAWDAVCMPKQLGGLGIKDINCFGKALRLKWAVLAKIQKDRPWATLSKYQHDRQLDNLFYAATNVQVGDGKDTEFWKSTWLPCGPIRQAFKALFSHASKPSMTVHQGMHNRHWIRTIRGATSNRATVEYLQLWDHLEDIQLDANGDDSIFWGRESHGNFTASSTYEIFFLAKERFRWGALLWKTRAPTKIRFFIWLTVKE >OB12G15410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3727517:3732591:1 gene:OB12G15410 transcript:OB12G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPTGRLIAFAILHQSYSSELANPYVPLLLNAACDETSEKSERVFVQLLLTSANGNNNTEVLKQSAVDYINGSDSASQALLPREQLEKLCCSNSVESPPQTSSFSKATVRCAILDPDVPHSCVNSSEPSISLPGTKPKSTTDDRDSTLDGLLQEKSWGGLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVEILSKLMNSTDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >OB12G15420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3734518:3734880:-1 gene:OB12G15420 transcript:OB12G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERYDFPRGILPVGVEGYVLRDDGSFEVYFPRDCEFMLARTWLVRYGARIAGAAASGSLTSLQGVYVKVLFVWLPVGEVDRAGDRLSFYIGPVSTSFPLADFADSPHCRGYDHLLAAAA >OB12G15430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3738394:3742706:-1 gene:OB12G15430 transcript:OB12G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGVRHAMEDAYGVIARHKVEGASQLAFYGVYDGHGGRAAVDFVADRLGKNVVAAVTAAAAAVSTTTPSRHHAGPSSPSQQRLQEDNDLTAAIRAAYLTTDSEFLSQGVRGGACAATALLRDGELYVSNVGDCRAVLGSHGGVATALTSDQTPGREDERLRIESSGGYVSCGGSGVWRVQDSLAVSRAFGDAGVKPWGVTCEPETTRRRLTTDCRFLVLASDGLWCKVSNQEAVDAVVSAAVLPSTDPCKELVAMARSRGSRDDITVMVIDLQPFLPTELSRVK >OB12G15440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3757990:3762086:-1 gene:OB12G15440 transcript:OB12G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAAAVFAGKSVAAPVIKEIITKGLSYLEGYFSTETVEGMKSKLQRGMPKIQAVLNVVSVDQIQIKSRSSDLDAWFWQLRDAVEEAEDAIDELEYYELEERAKDDRVSDWGSPFAKMKHKVVKSVKHVGVLDKTVKQLTHHGTIKRLKKAMEGLDRVAEDITGILAVTEYLKGATSINRNQLQNDLMSNDRETGSMLATTKFVGRESEKSRIVEWLTKNVPVDQTEIMLSVNYVPIFSIVGHGGMGKTTLAQSICQHDEVVKNFKIIWITVSNIFNAESVTRKILESATGDEPSAKHLDTLQKDRKEKLENAKFLLVLDDVWEDGKRSEWEKLFTPLRSGKSGSKILLTTRMTSVADIAANVMGVGRDCLMLTELSEDENIELFHHHAFSGVNLQDYMHLKLIGEKIARKLGGCPLVTKVASAHLQGNMTIEYWNSFLCKGLEHFKGTEDDIMNILKLSYNYLPTELQVCFRYCSLFHEDYRFDRKKIVQMWMGSGIISQAESDTLTLEDIGELFLAQLTRKSFFDIKCITYDGRKDREYYVMHDLMHELARSVSSGECTRMSDPATVKDLQDTVRHLSIPGIHKFSVEEIKKISKFKNLRSIIIDAYSVIHKDKLSALQKIVESSKSLWLFYSGLTTTFYFSSKFGRLKHLRYIYISNISPKGICSIINLYHLMVLYCGSFFLRETKQIRCLGNLYRLRHVNVLGEFPVIRLEAIQELSNYQLYRMEGNKMSSIRNLHCLRKLHVRGLENIENQEEAINAKLNEKCHLSSLSLQWSTSTDARNKADETVLHHLEPHPNIRELRISGYKGCSAPFWIEELTVINLTSLALDKCSNWEQLPSLVGLLFLKLLLLDDLPKLQQIGEQSPMPSSNDIKLILPPSLETLDIKKCLELQELTLLPPSLVSFKIEEVNWTKLPRILNLCGEGNGTRLSQLRSVMITKCPCLTSLEGSFFEQKQYMGALYSLDIRRCLQLESVPIPFEEMNELTYLRIVDCPRLRASREAGEMFLSSSLKCLTAEVFSRSLTSIELNGCENLSSLGGLGSLPSLLALEIRRCGKLTEVGSSRTPHPSGGEEVCPVDFDSKTSLRICWLKIDVPSLLLVELIKSFCHTEHLRIDDASEMESLLADRWLLQNRQSLEELFIMRVGSLELLPPNMRDISFLRCLHLYDATQLRSLPHLPSCLHDLKLSGVGQLNSLPDLPNSLKKLDLSGANQLRSLPDLPSCLEELELSDVGQLNSFPDLPSSLRKICIWNCDSDLEKKFAKLRSSERNKISHILRVRIGTSIHSPSQLVALFNGVNFTALALLKKFTYCLLVAVKSIRPLI >OB12G15450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3763902:3764141:-1 gene:OB12G15450 transcript:OB12G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLQIRCSPQTCSQLKPSIECSFTHMNYHCAYLLIESIYEVIACLITLINGLVTSITLSSWDSLCGVDHSHQSHLRC >OB12G15460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3774028:3774213:-1 gene:OB12G15460 transcript:OB12G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDRGDPVRPPRRLRSPEPPSVTAEAPDLNVAGMRRLEEEMRPQHTNIRCFPQTCSQLKS >OB12G15470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3785351:3791813:-1 gene:OB12G15470 transcript:OB12G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCLQVVSADKDELVIENLKSIGFGILERCGGLPVAIKAIAGILIHKEINEIEWGKVLTSPSWSVDGLPDDINHAIYLSYDDLAPCLKQCLLYFSLFPTHSCPSRNSIIQLWISEGFVNGNSNEREELGKEYYNELMMRNLIQKGLSTDWIMHDVVRSFCQHVASDEALASHVGRLKDTDLDSKRYRWLCVQNISNCRNFQKQDSVRTLHLYGRDNGNLNLSDLCSTFSSLRVLQVTKVQHIISIDSLCQLKNLRFLIFDYTQISRLPDDIGKMKFLEYIGISNCEEIEELPGSIIKLERLRCVNLFRTSINSIPRGFSELTNLRTVFSFPAQMCSTSSKEEWCSLQELGPLSDLWSLSLVGLENVSCGSFAAKAKLGTKKHLTLLNLNCKDNQLGDDGLLREEGDISMDEQRRIEEVFDELCPPHSLEVLTIRGYSGQRLPRWMAWKAAAKFDKLRSVWLEGLAYCTKLPDGLLHFPCLKFLTINSAPTIKSIEQHHGNYHNHSQAAVPFPKLEFLEFTGMLEWEEWVWEDTMQAMPLLEKLVLSRCKLRELPLGLASHAKSLKFLKLYKVQNLKSLKNLSSVVDLDVQDNPSMEGITSMPKLRILNIVYCPKLTVLEGIPALQTLFLTDYSMKTLPGYLQDVKPRSLVLDCTLPLLSDISMGPSSSEWDKISHIQQIHGYAPEMHIRRRWYVSYKASKLETNIACSSKSRGIIDGLLMDKERQAEEFLTNQARHAKEMRMVVTRQWSLEPHNNIRELSICGYLGYIVAFSIENLPVENLVPVNLVKRCMTVCCPQSVLDRKPHKEFSLGRTLRHPNDSLEGNAYSTTERSMLIQWIGAAWLSYIFVLTLVASPKMDDLNLIHDPRIVAS >OB12G15480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3795846:3798954:1 gene:OB12G15480 transcript:OB12G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCLQVVSAGKDEYVIENLKSTGFGILERCGGLPVAIKAIAGILIHKEINEIEWGKVLTSPSWSVEGMPDEINHAIYLSYDDLAPCLKQCLLYFSLFPTHSCPSRNSIIQLWISEGFVNGNSNEREELGKEYYNELMMRNLIQKGLSTDWIMHDVVRSFCQHVASDEALASHVGRLKDTDLDSKRYRWLCVQNISNCRNFQKQDSVRTLHLYGRDNGNLNLSDLCSTFSSLRVLQVTKVQHIISIDSLCQLKNLRFLIFDYTQISRLPDDIGKMKFLEYIGISNCEEIEELPGSIIKLERLRCVNLFRTSINSIPRGFSELTNLRTVFSFPAQMCSTSSKEEWCSLQELGPLSDLRMLGLVGLLNVSSGSLAAKAKLGTKKHLTILDLTCSDGLLREEGDISMDEQRRIEEVFDELCPPHSLEELFIRGYSGQRLPRWMASKASVKFDKLMNVWLEGLACCTRLPDGLFHFPCLKILTIKSAPAIKRIEQHNGYHNHSQAAVPFPKLEFLEFTGMLEWEEWVWEDTTQAMPLLEKLVLERCKLRELPLGLASHARALKFLKFHKVQNLKSIQNLSSVVDLDVQDNPSMESITSLPKLQILNIVYCPKLTVLEGIPALQTLFLTDYIMKTLPGYLQDVKPRSLVLDCTLPLLSDISMGPSSSEWDKISHIQQIHGYAPEMHIRRRWYVSYKASKLDTNIACSSKSRGIIDGLLMDNEKRSKELQDLLANQARHAKEMRMVKLLCAFLTIVVGFVCILAYRYGFLL >OB12G15490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3814810:3822386:-1 gene:OB12G15490 transcript:OB12G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHLKGITTKLGVPLVFKSSFDKANRTSSKSFRGPGLEEGLKVLEKVKAIYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFQE >OB12G15500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3838760:3839110:1 gene:OB12G15500 transcript:OB12G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASAMITMEDQIRREQKTQRGRYQYHHAGEEQEQQRRRRRLNNVADRPPSSYFSTEAILVLACVTVSLLVLPLILPPLPPPPTLLLLLPVCLLALLVVLAFMPTDMRSMASSYL >OB12G15510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3842536:3849605:-1 gene:OB12G15510 transcript:OB12G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWLIFFFSVLVEAFVASADTNAQDTSGLNGIAGSWDSRPSNWNGLDPCGDKWIGIICTGNRVTSIRLSSFGLSGTLSGDIQSLTELQYLDLSYNKNLRGTLPSTIGTLSKLQNLILVGCSFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNLTSPGLDNLTNTKHFHFGMNQLSGSIPSQIFSSNMKLIHLLLDNNKFSGSIPSTLGLLKTLEVLRFDNNAQLTGPVPTNFNNLTSLAEFHLANCNLTGPLPDLTGMNSLSFVDMSNNSFTASDVPSWMTSLLSLTSLYLENLRIGGEVPQRLFSIPSIQTLRLRGNRLNGTLNIADFSSQLQLVDLRDNAITALTTGMKYKNTLMLAGNPFCNQGSNDNSCKATGQSNPALPPYKTASNCAALPPSCLSTQQLSPNCICSVPYRGTLFFRSPGFSDLGNSSYFILLEQTIKAKFLNLSLPVDSIGIHDPFVDTSNNLEMSLEVYPSGKDQFSEQDISGIGFILSNQTYKPPALFGPYYFIGQSYSFANGVLQASNSKTNHIPLIVGASVGGAAVVAALLALTICIARRKRSPSQTEDRSQSYVSWDMKSTSTSTVPQVRGARMFSFEELKKITNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGTAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQITTQVKGTMGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVRELKDAPRRKDMSGLHQLLDASLGASSSLAGLEPYVDLALRCVEESGXXXXXXXXXXXXXXXPRSTAAAACRRRGWSPSEDGNFNLYSSFIQSTISYCGN >OB12G15520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3852576:3855729:-1 gene:OB12G15520 transcript:OB12G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDIVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDESLQIVQHYIDKGFKAIEKLLEGCSFKYATGDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDIYMENPEFQAAVPKNQPDAPSC >OB12G15530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3856997:3858056:-1 gene:OB12G15530 transcript:OB12G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCNCLADGKMSASESLQIVHHYTDKGFRAIEKLLEGCDSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSKYPILKRFYEAYMKLPAFQVAVPENQPDASSSL >OB12G15540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3880616:3880849:1 gene:OB12G15540 transcript:OB12G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLEGAFLSKDLFFFLFQKILRKNSFFLVTYSRSLCSCCLIDLLFVWVHSQTHYVFLYSGRFEFLGGVAKCVVITLAN >OB12G15550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3881430:3887065:1 gene:OB12G15550 transcript:OB12G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLRKELTALRKARFLRDPETCSTWRSPLDSRPLEAASSIAHNDGVGDSIAPKHTESPAPSKGEKKQKRVYLYNWRQYSNKSNGSGMKLDEDTNLESPHNSNGVRLKGNAFQDSPVNTYNFQSSTSCTPIKRVARRSRVLLSKKGTIRDPAVLKLLDLHVNSGEQTEDTENCNSESQELFQEGGYLSGTASPPFAACGCVSASNTSRLLKVSRREGSSFSCTPISTRSYYKHGRANSSTIGSWDGRTATSFDGDESNQSTLWRSRRSRVPPGYSSKRNKHKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTSAHGMSLLDDSCDFGSLSFDSSSDELSTNVGEIDLEALSRLDGRRWSSCKSQDGAADLAMADRRSLSQKYRPKIFTEIVGQNIVAQSLSNAITRERIAPAYLFQGPRGTGKTSVARILSMGLSCLATEDNKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIPPSATSSRYKLFVVDECHMVSSKMWSAFMKFLDEPLPRVVFVFITIDPDNLPRAVISRCQKFVFSKIKDIDIVCCLRRICVKENLDVELAALDLIALHSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVGGRSLTDGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNPARSSTTECHKSMNDAVTEAGRESLSSRTASHSISAFGVSKKLLDTKTTSLHSSPQALASHSSRSRLNVNFAYGGECMSVDRILQDSTQRSTYSDQKGLMNGNSDSLTHIWMRCIENCHSKTLQQLLFDHGKLVCVRQCEGYVLAFIAFEECSIKSRAERFLSSITNSIETVLRCTVEVRMGLLPELVAAGLALEMGPKVRRTESDILSCSSNGDQLKATLNTSNRNFDYSKEAKKELEVPTNMSKIPMVDEQRLESAWLQAAEKHTPGMISQARPDRHQVLPQLVSPYERRSSMALVVPSCQADEDLAHEIKALKIVDRYGVQKDQNGRTDNRNFMSPSKLQTDDYCMENCDKESICSEPGRPGCPGLFHCWRTQRSKRIKVKRQMHVKSS >OB12G15560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3887565:3889444:-1 gene:OB12G15560 transcript:OB12G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACEQAEAAVLCCADEAALCRRCDAAVHSANRLAGKHHRVALLLPASAAGTVGPSPAAAAGDDVHPTCDICQEKTGYFFCLEDRALLCRSCDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGAAASGEVLRRR >OB12G15570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3892288:3892482:1 gene:OB12G15570 transcript:OB12G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSQISPKILPDARKSWLKDDKLNGHIDPDGSTSTSIDDVAIGACTCTNGTQMCTYPKSCGN >OB12G15580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3892228:3895356:-1 gene:OB12G15580 transcript:OB12G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGSLLAKTQKLVKGLAKPAPAWLKAMEQSPPPTFPRVDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFTPPPARVFAWRVLELKQQGVNEEDAMAVADMEYVAEKKAKKKAYKELKEIARSEGRRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFQDRESSRAGQ >OB12G15590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3904264:3907689:1 gene:OB12G15590 transcript:OB12G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRTQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMILHGKKPLVEVRKRDNNFQVVIERWATYDGDGL >OB12G15600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3918635:3919885:-1 gene:OB12G15600 transcript:OB12G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGTQGELPLPMHAAASPYLGLHHDHHHHGGGGADGRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRTPSPAAQPRARARRSNSGSSNQPT >OB12G15610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3928458:3932940:-1 gene:OB12G15610 transcript:OB12G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47830) TAIR;Acc:AT1G47830] MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >OB12G15620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3936166:3940691:-1 gene:OB12G15620 transcript:OB12G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSSSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMVKREMELQNDNMDLRTKIAEGEEQLQQVTVARSAAMELQAAADPLRRRRRRAAGHEVLLPRQPASGRRRRTRSASR >OB12G15630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3948383:3948565:-1 gene:OB12G15630 transcript:OB12G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDGLLLYSSPPSREYKYNLWVALIACCKPLKSILQVTVHLSSCSCRFSQILLYHYVIC >OB12G15640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3948678:3952021:1 gene:OB12G15640 transcript:OB12G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMRLIENPVHRQVTFCKRRGGLLKKARELSVLCGADVGVIIFSSQGKLHELATNGTMHNLVERYQRNVAGGQMERDTLQRKQVAEQGIFLLREEIGLLQRDLRSTYDGGAGEMTLDKLQALEKGLELWMYQTRTTKMQMMQQEIQFLKHKEGILKAANETLQEKVKEQHKLYISLLDLHSQQSTQSVTYGNCFFSI >OB12G15650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3969476:3970268:1 gene:OB12G15650 transcript:OB12G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKLQSFVGLRLLVVGCLAAFLLLFAASCRLALRGLPPVFHELEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARSPGTGATDVFVHDVDRPVEDSFSKAFLCEGYLAEQVGRIRRFVIPSHREKDGTPFCP >OB12G15660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3972875:3974428:-1 gene:OB12G15660 transcript:OB12G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFELAVTDPEEPQPSHGPRRRRGRRRPPWRPCPSSLPKEDAVPLIKKTAAEFLGTFVLIFAMLSTIVVDAQHGGVEGLLGVAASIGLAVTVLIMSLVHVSGCHINPAVSVAMAAFGRLPPAHLAPYVAAQVLGAAAAAFAVEGIHHPASRGGMGTGPRGGAVEAFFLEFVTTFVLLFVITALATDPNAVKELIAVAVGGTVMMNVLVAGPSTGASMNPARTLGPAIVTGNYTKIWVYMVSTPLGALAGTGAYAAIKL >OB12G15670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3976795:3978027:1 gene:OB12G15670 transcript:OB12G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAVGTMRTVRGKVLYTACHFIDDEWKLHKVIMDAYPDLHFYDLRAGLLGVDYVCLSPHFIRSAIGKVVDDILYNRDLDNVFMMVWEIEGSHDIDRKLEKYQFIATNHTSTTYMDNVIHSIARLLTLHRDFTGEMYSDLLDLDLTRQDRHRFFSQLGLDYHHLWTYNEDWYSQYCSLEILRNKGYGYTDTLFTELLCMLWGAIYRSIQRISAPNCPTSSNLCLLQLFNLREVFRHQLAKASGEDAFAYNDSNGFHALEDGKDVADILREAMVALDKALQDFYLIWSIPLILDPRYKLVFTKSIFETAYGSQAAEYISKVQQNISELYSAYVEDQTELVCYLEDERVLDLAEGFDILSWWKVHGSVRYPTVARMARDALAMPTSSTLSSEQISHVMSIIRGYSMKGWFS >OB12G15680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3980969:3981704:-1 gene:OB12G15680 transcript:OB12G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTDRLSVVSFTTDACQVLRLTRMTENGKLTAQRVVDFLVVHDSTNIHVGLDEATKVLDTFGFSTDHDVAAMHSISDNTFSFIENLAIMRTRLRSASAASSRSPHRWHVSLSSAYTPECVSDRSKSSRCENHIDVEGRTTTGGATGPATPRIALSPAPNPLQVAHLDPSHHMQSMITASIHQKQTHDSLSFKQIPESTEWAVERLRLFTVRG >OB12G15690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3984597:3987455:1 gene:OB12G15690 transcript:OB12G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAAAARRRFLLPRHRWVRLLPESHLSSSLAPAEGLDGGGGGGGGGRRXXXXXXXXXXXXXXXXXXXXADAVAENLLDRLEDCRKAFPSALCLGGSAGAVRRLLRGRGGIEKLIMMDMSADMVKKWQEMENATEDSLETQFVVGDEEFLPIKESSQDMIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQN >OB12G15700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3987729:3991554:-1 gene:OB12G15700 transcript:OB12G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHTKLKCAYSCLLPKIFARNTAKIGLSLIHPFKKASHVLMGCLCSKGTKDDADATSENKTPSRNSAAVSVRTATSTNNGDNANILRSGNGEKVIVALDVRISSGNNAELKGLSGEHAAAGWPTWLVNVAPKAVEGWLPRRADSFEKLDKIGQGTYSIVYKARDLETGKFVALKKVRFVNMDPESVRFMAREIHILRTLDHPNVIKLQGIVTSRVSQSLYLVFEYMEHDLSGLIATPGLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDNNGMLKIADFGLATSYDPKNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAEMFAGKAIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVFPTSAVVLIDSLLSLDPEARGTAASALQSDFFKKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKNAALGGQGAESVRPGNENHVTNRAIADAGELKQQTHTSSKSNSDDLNPEDSVPGFRVESRARPTTVQLPGCSSAWNNMEGDNDQPTVPGRSCSSVRVANACATRKKGSSHSLIPQFGATDLRSTVEAADHNHPPDRDGGNKNPEVKDAMNHGRKYRRIHHSGPLMPPGGNVEDMLKEHERHIQEAVRKARVGKPGR >OB12G15710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3992317:3994445:-1 gene:OB12G15710 transcript:OB12G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71460) TAIR;Acc:AT1G71460] MASSSSSLAAPNHPKHHLKPVSSPKPLNQARPLSRAPLAGAAAYKRASSSSQAELRPDSKNAPALSAELRRLARVHAHLRVHGLDSNEFLLARLVELYLALGAAEDARQVLDGLPRASSFSWNALLHGHVRRGRGQAGGAVADGFSEMRAAGADANEYTYGSVLKSISGSAARSMAMATATHAMLIKNAYAGAPQMLMTGLMDVYFKCGKVKPAVRVFEEMPERDVVAWGAVIAGFAHKGMKREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRARNLGREIHAFVLKKFQDRKDVANIQAGLVDMYCKCGDMISGRRVFYSTKKRNVVSWTALMSGYASNGRQDQALRCILWMQQEGIRPDLIAVGTVLPVCTKLKALRKGKELHAYALRRWFLPNVSLCTSLITMYGTCSHLDYSQGVFHVMDKKTVQAWTALVDAYLKNGDPSTAVDVFRSMLLSNRRPDAVAITRMLSACRDTGASKLGKELHGQALKLRMEPLPLVAAGLISMYGTCGDLKAAQRVFNRTESKGSLTCTAIIEAYAINQRHKDALELFAWMLSNRFVPNNGTFDVLLRICEAAGLHDEALQVFNSMVQEYNLEASEQNFDCIIRLLTAASRTSEAQRFANLKATLFNLSTPSWNSKQQ >OB12G15720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:3998843:4003753:1 gene:OB12G15720 transcript:OB12G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFAGKAVATAAISFWINKAFTCLKEYKVEDMEDVKNRLLQSMPKIQVVLDIVNPIYVKEQQSALDAWLWQLRNAVEEAEDAIDVLEYYKLKEIAKDHKVSDWGSSLSKVKHKVIKSVKHVSSLDRSLKQFTHRGTLKRLREAVEGLDKVTSDIMSILTVTERLKEVSSHQQEYLNDDRATGSTLTPPKFFGREKEKEKIIRWLTKASTDASGNLMSSNRVPILSVIGHGGMGKTTLAQCVCEEMVMKNFKVIWVCVSNSFDVTSMTSKILESAMGAKPNAICLETLQKDLIQNLKYFTDFLLVLDDVWEDKREEKWEKLFAPLRTGKSGSRILLTTRMRSVAVLAANAMGIETECLTIEGLEEGENVDLFNHYAFSGQNPQDFPNLKSIGKQIAKKLGGCPLVTKVVGGHLRSNMSFQCWNNFLQEGLEHFKGSEDDIMEVLRLSYYYLPTELQTCFRYCSIFPQDYAFTMKELVLMWIGSGLISQAGNKSKRLEDIGEEILSQLIGKSFFETKTKVYQYSQRKEEYYIMHDLMHELARYVSSGECARVIGPGMLEGEKDTVQHLCVSGIDHLSTEEIKNISRFKNVRTVIIEGSSLIDNNTFHIVENVMEKSKSLRLLRSNLENTFHLPKIADLKHLRYVDLRRISPDTICGLVKLYHLLLVDCFNGSQEELKQVRYLGNLDHLRYANYGKIGEFPIGRLTSLQELHNYRVQGGKGNNISAISNLSTLRELEVFGLENVESHEEADNAKQNTKQYISSLSWSARATVENSKDELLLNHLEPHANIGTLKISGYGGVSSPNWIENLCIKNLVSLELARCLYWEHLPSLGELESLKHLWLECLPSLQHIGQSSHLSISNCVDLSLPPNLDTMIVRCCKELKELPTLPSTLVHFEISSVGLTKFPRIGQRCNGNLETKSRLLLVSIEECKYLASLEGSLLVQGDYTKTIQVLRITDCEELEAAPLSFELMNELRELDIRNCPKLRTLRDVVDRFLPLTFQKLSANHCGELELPLVGSLQGLMHLSELVLEKCTRVVSLPSDDVFKSLKSLKFLDIIGCENLSTLGGLGSLQYLIKLKITSCSKLMAVGSSQTAEKSSSGSGGGGEEPVVVPTSSLQIDYLEIDLPDILHLEPLKGLRHTKGLVIGGGRKMESLPEEWLLQNSGKLQSLKILSASSLESLPLHMRDLCSLNFLLLSGAGKLRSLPDFPSSLQKLYVIGCSNELEKQVGVHESPEWNNISKIAKVHIGGSYFMDGKECSEEIFDRQ >OB12G15730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4009188:4009901:1 gene:OB12G15730 transcript:OB12G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLGTRACSFFVCFLSWCHWPSACVMLLRFTFDNICALNFSLVSCDIPLAVSTTFALVVAGLLFSGAIVAYELTHYLPSLLS >OB12G15740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4012057:4015243:1 gene:OB12G15740 transcript:OB12G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQTRVPVVVDYYSRWMARWPTVHSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIIEKGEFPRTASELRRVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWELTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALTLSSQNTSLQVTDFPRVVPKAKPRCDFAAVCVVQIAHALDQGVTCTMDMDNLFLLIKRPEEGLLAGLWEFPSVLVSEGKTDAMNRRKEMDKYLRQLLSIDVKRRSSVILREDVGQYVHIFSHIRLTMFVELMILNLEDDAKQLCKEGQDSTKLRFIDENAVESMGLTSGIRKVYNMVKAFKDKRLKEQSQVTTRKRGRGLK >OB12G15750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4020653:4021618:1 gene:OB12G15750 transcript:OB12G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLMMFLAASPLATVLGTSGGNGLLNIPSEDYLAAAHCPSICGDVSEITYPFGIGPGCFRQGFELVCDNTTQPPTLLFLGNTSTQISSTSSGWYEVAIPAVGFNISIVPGIGDHNITSWQAPAKGFAAQNDSALLVVGCALKADLFDLGTDNAVGSCRTLCFNDTVIMQAQANGGSCADGMGCCTINTASELRGFRLELVRLYDKAAAQLSDWRLHTRAQVLFLQDNFYQFNSTDLSSTWINGSTVGDTVLVGAIMDQPNCPSALMNKSTYACSTNANCKDAPNGGYYCVCPNGNYGSNPYTLPGCKDYSGTNCTLFRT >OB12G15760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4021768:4022885:-1 gene:OB12G15760 transcript:OB12G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSHFWKKLLNIRRGVKDDKMDLMWKAPISLKLMKTAGDGFFLSLDVMLVCKAGIPSKNRRFAL >OB12G15770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4031408:4034302:1 gene:OB12G15770 transcript:OB12G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKKSCGNVTIDFPFGFDKGCYALEKFRLSCTGENMTILERSTGKFLVFNVSVNEGYLDKSDTLLLRSTHYRLHDFHSGIAIGIGCGIGSIILVLGAKVLVNKWKRDIHKRIRWASFKKNQGLLLEQLILDGSAKDKTKIFSLEELEKATNNFDITRVLGHGGHGRVYKGILSDQHVVAIKMSINIEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDILHANVNVKCLLSWDDRIRIAAEAAGALAYLHTAAAIPIFHRDVKSSNILLDDNFNAKVSDSGTSRSISLSETHVMTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLLRQKPIFVNELDIKQNLSYYFVKGLQHGNLMEILDSQVVQEANKEEIDDMASIAEVCLKTRGERPTMKEVEMRLQLLRSKRKFKHFVVSDGEAEPLLSPNASSSDAQSNLIYSAGLTSECISDGYMLEMELSSSISLAS >OB12G15780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4040721:4040912:-1 gene:OB12G15780 transcript:OB12G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALRSIPGGCRQLVLLLLMLMVLAALHEEAPFMASAARVLLQIPTYGCYGSQYYCPPPYAP >OB12G15790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4054979:4056050:-1 gene:OB12G15790 transcript:OB12G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASLGRQVVLLALMLLVLAALQDAPAMASAGRVLLQYPYEYGVNDREKFREVVITQEKPCQRERIAVFGGSETHTDSLGILISKHLFVRATVSEETRKLKNRKVQSFSLLLGCKSCSGFLVLLSYLFPEP >OB12G15800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4055891:4056076:1 gene:OB12G15800 transcript:OB12G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVCSRVAADRTDIEAKPGRRRPSLARPAAPPAPEASARGAPPAGRGTPPSPSPTTTACF >OB12G15810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4060736:4060945:1 gene:OB12G15810 transcript:OB12G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAGSSTDIEAKPGRRKPSPAPPAAPPAPAASARGAPPAGRGTPLSPSPTTPACSVASDLNSQILAAC >OB12G15820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4062833:4063177:1 gene:OB12G15820 transcript:OB12G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAGLLLLLSLIPLREVSAMASTTASAAAPAPLGGPWQQEMVASVEGGRQQLAAAPTTLIPAGHAHHPYHRRYTIRGAYTMHTQQGDFGQWRCCDPRFRCTPTKPCHPPLP >OB12G15830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4072566:4078724:-1 gene:OB12G15830 transcript:OB12G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINEINRSTNELLKITPARTPAVLTSYNLHCEADKPRAESSSKSKRLFLKRSPATAQRFACDLFLLNLRWLAAISGNDTMLFRRSFSPQSQAASRNLQEHIVGFSKIYMMKPEKKQEQPTLEWLSLLKGIRNGKKVGRGTLKGLAAMAKRVACPLIGVRQWKHVSQEVKNLIVESLMSIWDKGNMADPEEKILMISKKWYKGWQSTFSATYKAYDGYDARMKLTLFEHVLWNSQFKKRDLEIGVEPGPLELWKTTHIKRDKWSNEMSETIYLFHETIQEQTREIQKLKEQLAKQAADKEAEKNNSLMQEVKAMMVQQQCNEEVEPQSFITAQKENIPPASKPKSPKLQRISSTSIMVKELEA >OB12G15840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4112056:4112427:1 gene:OB12G15840 transcript:OB12G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARREASGPLLGLLLLLPAVLLLLPSLIPLREVSAMASTTTTSASAPLGGPSQPEMASFGSFPGHAHRPYRMRYTVRDLYIMHTQQVGFRQWGCCSAGRIDPRARRPSCHHPTPCPPSPSP >OB12G15850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4122275:4125289:1 gene:OB12G15850 transcript:OB12G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVACDFMPPLAMLLLLLLQLQSMIMVDAGRNSSACLPGHAAALLQLKRSFNTTVGDYSAAFRSWVAGADCCGWEGVGCGGADGRVTSLDLGDRQLQATALDDALFSLTSLRYLDLSWNDFSESQLPATGFELLTELTHLDLSNTNFAGPVPAGIGSITGLAYLDLSTTFFEAEMDEDYSVMYYNSNTMSPLFEPSLEAMLANLTNLEELRLGMVNLSQNGERWCDAMARRSPKLEVISMPYCSLSGPICRSLSELRSLAVIELHYNHLSGPVPEFLGTLSNLSILQLSNNKFEGWFPPSIFQHEKLTTINLTKNLGISGNLPNFSGDSSLQSISVSNTNFSGTIPSSISYLKSLKELALGASGFSGVLPSSIGELKSLDSLEVSGLRLVGYMPSWISNLTSLTVLKFFRCGLSGPIPSSLGYLTKLTKLALYDCQFSGEIPSLIFNLTQLHTLLLHSNNFFGTVELTSYSKLQNLSVLNLSKNKLVVTDGENSSSVLSYPSIIFLRLGSCSISSFPKILKHLPEISSLDLSYNQIEGAIPQWAWETWTADFFFLNLSHNKFTDIGTNPLLPLYIEYFDLSFNNFEGDIPIPKEGSVALDYSTNQFSSMPPNFYTYLTNTVIFKASSNNLTGNIPPTICGAIESLQIIDLSYNNLTGSIPSCLMENLGALQVLSLKENQLAGELPDNIKEGCALSAIDFSGNFIQGHLPRSLVACRNLEILDIGNNEISDSFPCWMSKLSDLQVLVLQSNKFIGEILDLSDNRDVNNCQFPKLRIADIASNNFSGTLPEEWFKMLKSMMSRSDNQTSVMEHLYHQQRYKFTAAVTYKGSHITISKILTSLVLVDVSNNEFQGNIPASIEELVLLDGLNMSHNALTGPIPNQIGKLNNLETLDLSSNKLAGEIPQELTSLNFLSILNLSYNMFVGRIPQSSHFTTFSNGSFVGNIGLCGPPLSKQCSYPTKQNIISHAADKNSVDVVPFLFTAVGFGVCFGLTILVIWGSHSAKH >OB12G15860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4141844:4141999:1 gene:OB12G15860 transcript:OB12G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIPNLLSFLVHCVNYVTDKMKNQAASKKKNNIAIFVHLVVQGIVTRDNSAR >OB12G15870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4143924:4145166:-1 gene:OB12G15870 transcript:OB12G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQHGWRQEQQRNLHEWGTSHNGGGNNSRQPAARLGVAHGSSRNQAKAGFCKPKQRGQRGWRQGTRQRLIGLGGGAQNRDLEGRARGRGRQTVWRIGSKYLLKQTISPTRKKLADPHDLGEWWLLPRMNFRAKFKGAFDTLVMLTCWLIWKEHNARIFNQKFRSAAELFSDLREEIRVWIMAGFFSIFDDHG >OB12G15880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4148190:4149843:-1 gene:OB12G15880 transcript:OB12G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTKSLAIVLDPLDYDPQRYMEMLTNISFEHGFYKGIGGAIDGPDREFLVKTKWPSFQQPLGSILCGYYICEMLRVNERFITDYDNEYCNDIGRFFNDEGVLPEDKYKPLAWWVQDNN >OB12G15890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4151947:4154968:1 gene:OB12G15890 transcript:OB12G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVRSNNQKRISTSYVEILFIELKRISNKTEHTFTYRSSLRERIENQPSQPPAHRPGSMRVACDFMPILAMLLLLLLLLQSTIMVDAGTNSSACLPGHAAALLQLKRSFNTTVGEYSAAFWSWVAGADCCGWGGVRRGDDDGRVTSLDLGERQLQATACDDALFRLTSLRYLDLSWNDFSESQLPATGFELLTELTHLDLSNTNFAGPVPAGIGSITGLAYLDLSTTFFEAEMDEDYSVMYYNSNTMSPLFEPSLEAMLANLTNLEELRLGMVNLSQNGERWCDAMARRSPKLEVISMPYCSLSGPICRSLSELRSLAVIELHYNHLSGPVPEFLGTLSNLSILQLSNNKFEGWFPPSIFQHEKLTTINLTKNLGISGNLPNFSGDSSLQSISVSNTNFSGTIPSSISYLKSLKELALGASGFSGVLPSSIGELKSLDSLEVSGLRLVGYMPSWISNLTSLTVLKFFRCGLSGPIPSSLGYLTKLTKLALYDCQFSGEIPSLIFNLTQLHTLLLHSNNFFGTVELTSYSKLQNLSVLNLSKNKLVVTDGQNSSSALSYPGINFLRLGSCSISSFPKILKHLPEISSLDLSYNQIEGAIPQWAWETWTADFFFLNLSHNKFTDIGTNPLLPLYIEYFDLSFNNFEGDIPIPKEGSVALDYSTNQFSSMPPNFYTYLTNTVIFKASSNNLNGNIPPTICGAIESLQIIDLSYNNLTGSIPSCLMEDLGALQVLSLKENQLAGELPDNIKEGCALSAIDFSGNFIQGHLPRSLVACRNLEILDIGNNEISDSFPCWMSKLSDLQVLVLQSNKFIGEILDLSDNRDVNNCQFPKLRIADIASNNFSGTLPEEWFKMLKSMRSRSDNQTLVMDHLYYHQRYKFTTAVTYEGSHITISKILKSHVLIDVSNNEFHGNIPASIEELVLLDELNMSHNVLTGPIPNQIGKLNNLKTLDLSSNKLAGEILQELTSLNFLSILKLCGKNTAIITLHNIFQWLFCGEYWVVRTSTV >OB12G15900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4151970:4152200:-1 gene:OB12G15900 transcript:OB12G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDWSSRRRRSSMARIGMKSQATLIEPGRCAGGWLGWFSILSRRDDLYVNVCSVLLEIRFSSIKSISTYDVLIRF >OB12G15910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4165805:4167743:1 gene:OB12G15910 transcript:OB12G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEASSKKLFSASDVAGHASRMDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAIGMMNNYLIGSIKDYVPPSASKAKNFGGDDVPPNFRGMPRKKGPPAPNTFLDFLLPLFVLSLAFAAWYYLTFIAKA >OB12G15920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4171514:4171863:1 gene:OB12G15920 transcript:OB12G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKKDGAPVLFILSLMIITAMVLPSCQASAQYRVNCGDLTRCTENACVDDCRRRGYPASPALVYCMDGRPDQCCCKYVLGHHPN >OB12G15930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4174980:4179149:-1 gene:OB12G15930 transcript:OB12G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) TAIR;Acc:AT5G63770] MDLVGSLLVNMSHLVDTSGLQFFGWLITAGSVGLAALIYALLRLQRAASLYWIKAAAREKKAAWKALRCPSSSHTWTEDYFRGGHPSTCCVCLSSLSSTQGVGSRGADAVVVHRCSVCGVAAHSGCSRRAEKDCKCVSQHGASTLLHHWSERWVEVDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVGQGPAITGMFNSIKEGLVTSSVRGRIKRRGNKKRINNQPGGKTSVVPTNSSILDSVLEGFARLQNLDGKYALTNHKFTENSLKQTHGYGSPNGGKKKYEIVDLPQDSRPLLVFINGKSGGRSGPSLRRRLNMLLNPIQIFELSASQGPEVGLQLFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEDQCTKQVKFMTNYIGVGCDAKVAYDFHTTREERPDKFCSQFVNKLIYAREGAKDIMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVLNIPSYMGGVDLWQNDNEHDDDFGLQSVHDKMLEVVCISGMWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >OB12G15940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4208809:4210045:-1 gene:OB12G15940 transcript:OB12G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHYECLTGDLAAATAVYVPFYPALELNRHNCWSTAKERNGLSGEFLRWLSTQPSWAAHGGRDHFMVAARTTWLFRRRDEAGDALGCGNGFLAQPESGNMTPRPRGQYHVPLAAPPRRPLRLRPLLPPASTRRPWLFAFAGGRRANGTLPIRDHIIDECTASPPARCGMLDCSHGRSATCRSPRRLVGLFASARFCLQPRGDSFMRRSSIDTVLAGCIPVFFHEASTFKHQYRWHERDDDTTTTTDAGRRRYSVVINPQDVVEGRVRIDEVLSRFTDDEVAAMREEVIKMIPRFVYKDPRVKFQGDMNDAFDVTFNEIMARMRRIKKGEMLRWK >OB12G15950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4231939:4232862:-1 gene:OB12G15950 transcript:OB12G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGVHGCCNATMMECRYNTDQYALEVIFHHRMRQYECLTGDLAAATAVYVAFYPALELNRHKCGSSATERNEASREFLRWLSSQPSWAALGGRDHFMVTARTTWMFRRDEAGDAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKHRGVPRPATGPVRDARLQPRPRGQYHVPLAAPPRRPLRLRPLLPPASRRLLHAPLLHRHRPRRLHPGLLPRGLHLQEAVPLARTRRRHHHHHRCRPPAILRRHRPGRRGGRQSTHRGGAEQVHRRRGGRHEGGGDQDDP >OB12G15960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4239870:4241888:-1 gene:OB12G15960 transcript:OB12G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRRPGSDRRYAPVAARGGKRKRSSSSDLVSAPHCSSPSSSSWEDVCSICDDGGDVLCCEGRCLRSFHATEGQSTKLNRCKTLRLTEEQWKIFKNNDGKNGPKYICKNCKYNQHQCFSCGLLGSSDLSSGVEVFQCEDQYCGHFYHAKCLARLLYPDRSTQPLNFEQEIARGLKFRCPVHKCHVCKGAENKDDKKMQFAVCRRCPTVYHRKCLPRFGFTLEIPLPLSSILLLTVYVENMIFFSFCSWFHSTLQ >OB12G15970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4243761:4246474:-1 gene:OB12G15970 transcript:OB12G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSSPSLPAAPSLHPAFPAVVAAQRTRRRASAMPPPLSSTRPTSFIPGGDLQPAGAHERGGMTEGGEEEERGERSPAVLLRAAVSHAVAMVGSYGSLVIVGASTCLIVHIISLKCMQLPSSDKVSFKGFGGIFGKDLPNSGSHILLLAYYYYYYFTRRPLSPSAVCGSMPTTAAVSCGRRPHRSRRPPPCRPLLTTIAVHRTQPLQSPPHPSDDLLTDLVSGADSGAARFRTPTATNFAPWVDVEVVVADAAVVYGVSLNPICVRWCGVERERRIKEKVERMGEEEG >OB12G15980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4248794:4254120:-1 gene:OB12G15980 transcript:OB12G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFITKDPEGYVPITVIAGFNKIKALVHNNSMLAAALRTSSKLVVSDDGKRVKRLQSFTESDMQYLQSRIVVAENLPGDPCYQNLMKIFSAVGSVKTIRTCYPQSSNGNSPATNRSAKLDMLFANKLHAFVEYETIEDAEKAILELNDEKNWRNGLRVRLLNTCTTKGAGKGKKGIYEADGNGEEDVSTSNQSNEKQLEQPSLLSDMLPDHLFDDGSNDKEGPRRGRGRGRGGRGRGRGYHQHNNNHHHQNYPNGQHHNHHGSNHHHQGSNRGGAHHVGTPPNQQQAKPEQHLQLPIGATKQPPGPRMPDGTRGFALGRGKPQPLLPGLCAAVEP >OB12G15990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4261947:4264444:1 gene:OB12G15990 transcript:OB12G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTSYSSRYTNIFWRVYKHFSYLLLSPSRIQRKFQKKKFFRDSNGNGKSTKLLEKQKGKCRDTNTVPYPSSLQDGNGHHASSSHPTLLLSSPPLPSSSPPRLASRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPCCGASPTWPGRCGCELHTTPRIARMESNHIFISAARPTFCLCLAVMNMTNQLFLVFTWIGTFN >OB12G16000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4265064:4267918:1 gene:OB12G16000 transcript:OB12G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNVLNGVFTALYSDDEMNLKYRYKDEELSFIPSISLPSNSLSFAFKRQFTPSDKLSYWYQFDTNYWSAVYKHKATKNVKWKAGYESDQRLGWASLWVGDAGGKTKEVPLKAKVQLTLKVPQDNVKNSAVMFHVKKRWDF >OB12G16010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4266599:4271221:-1 gene:OB12G16010 transcript:OB12G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGARTEGDELIADYVDCLMSLDSRPGQNDSLILEGAPPDVEAVSLNAVAEQDVMREFAPADADDPNEPVLGMTFESDEAAKMFYHEYARRLGFPFRVGRSRRSKGVEEVVIMKRFVCSREGVYKKKQPPSGEATSKRERMSMREGCNAMMEVVREKDHWVVSKLEKAHNHCLGLGTRVGYLRARGLPDASDKVTAMVSDGMTSLRQNILGEGGDGQGILDYLKKMQANDPGCTHAIQVDKNGCLMNVFWADSRAKAAYQHFGDAVTFDTTYKKSKYMMPFVTFSGVNHHLQTVMFGCAFLVEETESSFSWLFETWLAAMGGKAPGSLVTDQNRAMKAAIGKVFPNSFHRFCKWYILSRTKQKLCHAYSEHPALRDELESCVIGSETISTFEASWMSIIEKYDLRKNTWLQAIYNIRQKWVPLYMMDTFFAEISPTHKLETMNDFYKKYFNTKTTLEVFLNQLDLTMASRYENEAQADIDTWFEATTKTAWPIEKQAASTYTKAVFSKFQEEFTESLGYIIQKTEDGSISKFSIRKDEDNPSDVFCVTYNASSKMAKCSCKYFEFSGILCRHILGVFVIVDPRTLPPDYFLKRWTRNAKHDELSEDNNNSHHDATCQSTASRYNVLCANVIRCAEKGSVSKATYKAAKDILHKAYEEIISYERNPGRGLQRDAININEDITIDDERNLIF >OB12G16020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4273537:4273698:1 gene:OB12G16020 transcript:OB12G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSXXXXXXXXXXXXXXXXXXRDSTRLNMSNSEYQTNVNHPKWSTRSTQNTKVI >OB12G16030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4280518:4280892:1 gene:OB12G16030 transcript:OB12G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDKFNICLSSLTFCQQLYQLSHRQVSLYLSLSIYFFYTLTSLSSLAQEASTMVSTVASRMVSNRRAILKRYAVRGLPSEYNIEVVTTMPSTLALSAGPSMVVVKSLYISCDPYVHDLSSDG >OB12G16040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4284107:4285049:-1 gene:OB12G16040 transcript:OB12G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMANWTPRAMCPQHTLTAARGVSDSTEALTIETSVPYKSHVVGRPGRGVATTERELVSFFRDMSVVRHAEIAKLIRDAVAAFAELMGRRDGCSGVEG >OB12G16050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4302249:4305086:1 gene:OB12G16050 transcript:OB12G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVTNRRVILKRYVQPGLFSEDAMEVVTGETPPPPGAVAGSAAVLVKNLYISCDPYMRNRMIRHEVSTYVADYIPGEVLTNYGVMKVISSGHPGFKAGDLVWGVTGWEEYTLIDKPESLSKINHPDLPLSYYTGVLGLPGLSAYVGFFEVCKPKKGEHVFVSSASGAVGQLVGQLAKITGCHVVGSAGSDEKVNLLKTKFGLDDAFNYKKELDLDTALKRYFPEGIDIYFDNVGGSTLDAVLPNMRLFGRIAACGMISQYNLESPDGVHNLFYIITKRLRMEGFVVFDYKGMYHQFEEEMAGYLKEGKVEYVEDIVEGLDAAPAALIGLFTGRNVGKQLVAISRE >OB12G16060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4306000:4306453:-1 gene:OB12G16060 transcript:OB12G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERFKTSVDAEALETNEEEYNEGAEEKQTVDENLEELYDHVEANFGE >OB12G16070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4313464:4315917:1 gene:OB12G16070 transcript:OB12G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTAAVTNRRVILKRYVTGMLSEDDMEVVTAETPLPPADAGLAMLSEDDMEVVTAEAPLPPADAGLAAVLVKNLYISCDPYMRSRMTRHEVPSYVPDYVPGEVLTNYGVMKVISSGHPDFKAGDLVWGVTGWEEYTLIDNPESLSKINHPDLPLSYYTGVLGIAGLTAYAGFFEVCKPKKGEHVFVSTASGAVGQIVGQLAKITGCHVVGSAGSDEKVNLLKTKFGFDDAFNYKKEPDLDVALKRYFPKGIDIYFENVGGSTLDAVLPNMRLFGRIAACGMISQYNLDSPDGVHNLFYIITKRLRMEGFLVFDYFGMYRQFEEMAGYLKEGKVEYLQDIVEGLDAAPAALIRLYTSSNVGKQLVTIPRD >OB12G16080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4319177:4321305:1 gene:OB12G16080 transcript:OB12G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTVSNKRVILKRYVTGALSEDDMEVVMAEATLDVPIGSKAVVVKNLYVSCDPYMRNRMTHHEVPSYVPDFVPGEVLANFGVSKVISSGHPDFKAGDLVWGITGWEEYTVINNPESLFHINHPELPLTYYTGVLGMPGLTAYVGFFEVSKPKKGEYVFVSAASGAVGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKEEPNLEGALRRFFPKGIDIYFENVGGKTLDAVLPNMRLGGRIAACGMISQYNLEQPERVGNLFYIVTKHLRMEGFLVFNYYDMYYRFEKEMAEYLKERKVTYVEDIVEGIDSAPSALLKLFTGHNVGKQLVTIAHE >OB12G16090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4323236:4325682:-1 gene:OB12G16090 transcript:OB12G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYTIGRAVMDETSAGSAKTGYDVDEARDELKLVVGYTIELALRSVAWLARKAARLNEQ >OB12G16100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4327495:4327947:1 gene:OB12G16100 transcript:OB12G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVRGRHKTEVKSERHGELRLAVANLQCKDRHCIWPLCSANWLFLYPSYFLSFPFPNRFNASETQPIQNRTHLVRSNKTLFVGCFAKKQGTTQEQMKPKRTNKNARFTTDSELQSHMNTRSNQTESYFNLDRSQSNGGGTGEAAGGEQA >OB12G16110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4333273:4333581:-1 gene:OB12G16110 transcript:OB12G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEFASSEFLIEVSRTFGTYEGASVITSIKFVTNLKTHGPFGQQNGTPFTVPVKDNSSIVGFFGRGGKYLDALGVYVHPIPQNASAAAPLYECRLNKIRYG >OB12G16120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4334042:4334837:-1 gene:OB12G16120 transcript:OB12G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:J3NCA4] MASASFSIIPSSALMEGMEFSFCNLYLHHTYGGPKPNQSTIVSGNGSTGLGSTVANNWVVYDGLGSDAKAVAHAQGLHIYAGNWHNSFSLVMGVPVEGGEWSIVGGTGEFAMASGVIYKKVHERRPEGNIIELTVHGFCTSLKGRKFVPIKVGPWGGNGGTPRDIKRLQRG >OB12G16130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4338607:4339101:-1 gene:OB12G16130 transcript:OB12G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGMDSSELRKVFKMFDKNGDGRITKKELGESFRNLGIYIPDDELDATMDKIDANGDGCVDVEEFGLLYRSILGDDHRHNQPAGDEDDGMREAFNVFDQNGDGFITVDELQSVLSTLGLKQGRTAEDCRRMISKVDADGDGRVDFKEFKQMMRGGGFAALGG >OB12G16140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4343060:4346242:-1 gene:OB12G16140 transcript:OB12G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPSRSPSLLSLCLEEVASHLSEGAAAAGGCGPGHLDGDGACGGEAGGEEEEEEEGGRLVITPEEVAEALPWELLHRVASRLPPAALESLHHAHEARCCSSTAATSVGFGGPDGGGRGIKRSRCEDFNSAWQALFRLRWPLCDNAGHDSLITVDWQQQYWEKHLQECLDEAAESALLPSFCGNIGELTISAKIMSYVLHSKDIRQHYSTFMYHCRRFGCYSRCLRLQSVLCTAEISGLLQGSKLEKLMFVRIKSELEVNGVCMLLDCHAETLLSLEFIHCQLCPAAMDKICNSVLQKGSVNHGIQNFSIKSSRICESNPLNISAGLLDFLSMGKSLHFLSLNDTKMQPSFAKIIVHTLLESSSGIQTLEISENNAGKRQWIKDLHAFHQQ >OB12G16150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4349922:4359489:-1 gene:OB12G16150 transcript:OB12G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKEDKNVCSKEISTILMIEEVSEELTSGMDNPYAATDISKLSPKANPASAPECGGSEFDNNMASLHNHLTAHLSLFSLKDTWMNMKEVAASADREDPTAILSCPLKTVNKDANEELVTEWRLGMGDFPLVSSECGDSSCESSLSERSSMTSSPCTSFTAHSDTRSEDLEGVDIWVSSLDLNEENSDLLQENEQDLGFLSSDFPSPSFSAVRSLQFCTSNLTPAISHTKEANDSDEPIFWPFERTSYDSPEFDKFLLVSPRRNTMDIGFTEIHRLNPIMQRLHKNRLSSARKNVESHHGSVTLGAKGIKYSSGDKVQKANTAPSRLNRTTRTPSKSQSPGNCEKRKPSHLKISPPGKDKHPQLQSGCTVQELEASDLQKLAVENILIEQFIGLNEFDGHEGLGELLLTMAGTLLQPVALGTTFAGRVSGQRWKSHGTRRPPSMLAMSLSRPVKMAAFVGLRSVHSFSVMPVSNFRSTVASYRSRRGRRTRFVTRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVMTCIYVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILRGLRERYEIHHKLRYTDDSLIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHTRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVIDRLKAKDIALQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVVVLNGQSGLPELSTPVVTV >OB12G16160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4366439:4369561:1 gene:OB12G16160 transcript:OB12G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPPHPNVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMDVVQHCHKNGVIHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSYIDFQREPWPKVSEHAKDLNEEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTNKKGHLTLEELRKGLQVIGHNIHDTDVDMLMEAADIDGNGMLDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDKDKDGKISYEEFELMMKAGMDWRNASRQYSRAVYNTLSRKMFKDVSLKLNHSGPLVAAGK >OB12G16170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4384432:4385391:1 gene:OB12G16170 transcript:OB12G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRFLDDRLHPHAPPETAAPPSPSPPIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >OB12G16180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4397260:4400346:1 gene:OB12G16180 transcript:OB12G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGLVDWRGRPVDPKRHGGVKAAMFIYFLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSLLGAFVSDSYVKRFYTILIFAPIEILGYMLLACQAHFPWLHPPXXXXXXXXXXXGLYVIPVGEGALRVCGAALGGDQFDGAGDPAELRGKMSFFNWFTFCISLGGFVGLVFVVWVQNNQGWDLAFALAAVMALVAMAVVLAGLPYYRHRVPAGSPLTRILQVFVAAFRKRNVTMPEGVVEMHENSECTSIELLDKTPGFKTEANLFKDNMV >OB12G16190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4404194:4409473:-1 gene:OB12G16190 transcript:OB12G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPEVIRRRRRRLLQLCSLYRAQLWALADELSARHAEYWWEHGASPIVAPGAGNAVGDGGAPLPLPLPPAVNGGVRAGSAAGRAGCLAANCGAKAMPLTSYCFDHILSDPKQRLYKPCAFVTKRSGMQSDVTTCGKPALTGITPLRCSEHDPKSQRLFINALKKVGIDLPLTSKSVPKLSLLICESVRQIQMKRRMQLNGAKNVPLHRSSK >OB12G16200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4413228:4416120:-1 gene:OB12G16200 transcript:OB12G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNFHTDVVDDAPPPPPPPPTLVRSPDHCVASTSSAAPAPPEVTVDCRACQVLREVVHSNGLETTKLCIHGGDGAFYHAILDVYRVKSRGLALAHHSIIDFRGWGYDWVKQYLTDYAQRRATGGFAVVQDSLSAFHDALCTPMPCSSHVSDAYKRAASSVAAARTNGDGDHRLVVQTDAVVQPVASSSGCRVGAEAAASSGDRQLVIDTAAIQQPASGPSQADHTVHQMQQCFHRADRTALAIQRERTKKLCLGDVAPYFDQPIAKAARKLDVCATALKAICRKNGVQRWPYRKVRSIDRQIATLRRSGNGDTNEIEMLIASRRRIVAGIIE >OB12G16210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4437938:4440404:1 gene:OB12G16210 transcript:OB12G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSRDPLVVGNIVGDVVDHFGATALLRLVYNNREMTSGSELRPSQVAGEPGVHISGDRDGRALYTLVMVDPDAPSPSNPSKREYLHWLVTDIPEGGDTSNGTEVVAYESPPQPTAGIHRLVFVVFRQATRQSIYAPGWRSNFNTRDFAACYSLGAPVAAAYFNCQREGGCGGRRYR >OB12G16220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4484622:4486001:1 gene:OB12G16220 transcript:OB12G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMEVQPELSLGPTWPAPGGFASTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTMKKSWMRPKEQSVNLELNISTTQASAVPTIDGSTGAATPVAAVAEETKKGTVVSSGPGGNMVAVPCANCHLLVMLCKSSPSCPNCKFVQALAPPPAMPHRKLDAVKPLETLSLLH >OB12G16230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4488973:4490646:-1 gene:OB12G16230 transcript:OB12G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTMMRGFLRARLPRRALGLFRRVFRDRLPTDARTFVFALKAAADSEHGSPSGGEAVHCAALKCRFLGESVLVGNALVHFYANHRLLGDAAKVFEEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVIEGMRPNEVTLVAAVSAVGQMGLLALGVMVHRYVADGDVARSVNLENALMDMFGKCGSVRSAKEVFDSMVVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVRLFMEMIAEGVDPVDATLVSVLSACAQLGCLDLGRWIYVRYIASNKIELTINLGNACIDMFAKCGDVGQASRLFDEMTERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGANVEPDGITFLGLLSSCSHRGLVSEGRRYFKEMKMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNLEIGACVADKLVELDPSDSGIYVLMSQIYASKSKWDQVKMLRMMMRDRAVKKNPGCSSIEVEGRFHDFLVADVSHARSEDIYAALKNIYFHLKQEGYVPPT >OB12G16240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4501401:4501881:-1 gene:OB12G16240 transcript:OB12G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTTFGVHKISCYSNLDGVDVMICHLSSVFVHESSRDQFLPTGPTFGAMMISGQKAAHMALKALGRPNAIDRTIKKEAVAQPKLILASKDDGETVDT >OB12G16250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4511849:4517770:1 gene:OB12G16250 transcript:OB12G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASPCAAVAAADGEGVSSGGERCVFCEIVKGNTPAYKFDEDDVCLCILDIKPLSTGHSLIIPKSHFQSLQETPPSVIADICCKLPLLSNAIVKATQCDAFNVLVNNGKVAGQVIFHTHVHIIPRRKGDNLWSSETYERNFIKHNQETKDLVSGIKELLFSPHNDHTEGSTIPKEL >OB12G16260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4520988:4524622:1 gene:OB12G16260 transcript:OB12G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREGGRSAYLVAALISASCLLLVAASHQEFHEAAGSRTLLKSHEQTNKVHCSRERSRSAWEAIYEYLMPVVEKEKYELPRKCRLHPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHNNLVDYSHGRCLADLCGALHCDLMLKFKKPKSKCSAAAAAKNRHLCESLADSCFPINQGPSASRLHEFFLRQFCDAHTCKGGSKPFPKGGRKETNRFYLALCALIVVLLPLFYLIVFLHQREMKKGGQNLRRISKIGQKKKPS >OB12G16270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4550859:4562032:1 gene:OB12G16270 transcript:OB12G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Secretory pathway Sec39 (InterPro:IPR013244); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G24350) TAIR;Acc:AT5G24350] MAGEDDALYEIRRLASGSHEIPREGEGTGTSGGAGVLSYLSLQGVSRLRERWSRNSTLGWSKKSRRSAAASLFVSRNAEYVGVAVGNHIAVLRKGDGYASPFSVYMNNDRMAFFTNGAWLEAQGILGVVDDLNTLHFIKENGEALTRRTSSQLKLSYPIVDIVVHDGSSSQRPGFYIFTSDGMVHKFDYTQDHEANLQKVAMLIQDVVSTKTPQLPHSVSCVDYHQNHSLMVLVGNPNAILGSNGSSGACFLYVLHFNGNLEFSLSCPSLQFEGTFFPPKDQATFVSSGKVRISPQSKHIATLDLNGSVSIFVLANDKHSASLHPPLNGTQLIDVKDISWWTDNILMLVKEKGNISMYSISGNRIVSDDDNVLSTPQLEKARAVEGYTFVLQSSRYEGNTTFEKEMDCDSKPNLHNVSRSNQCSEMDKIFWRLISFSKITVQEMYSVFIRESRYKEALDFACQYNLDKDEVLKAQWLRSDGDIHDIESYLANIKDQIFVLSQCINKVGPTENALKALLSFGLRITDHFKFSDDSIDGSAWHCRIIRLRLLRYIDLLETFLGINMGRFAAVEYKKFRLVPLVETAIALAESGKIGALNLLFKRHPYTMSSDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECEQMASYINTCPGQLDKSSEIKTEMLLKHSSGFSWPSIAELCEWYRNRARDIDCLSGQLENCLAIIELGCQKGIVELEPFFDDIVCLYQVVYSDELSEFVMNLATWVDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFMKKKSHSSSLSNEVPGESYLVRWLKEIASQSELPICLAVFENGCGESPIHGLFNDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKIKREKSLLASEEDCNFRDAKQALGTSVVSFDDMQHVCTRILSGLSSSGDSYSHDSRDYQLDDIESLDMLEKKLKVAEGHVEVGRLFAYYQVPKPVQFFLSAHLDEKNVKQIIRLLLSKFGRRQPVRSDNEWANMWRDLKHFQEKAFPFLDSEFMLVEFIRGLLKAGKFSLARNYLGGTSSVSLSTEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLKSEEEIAAVEEAIAREAVVNGDLQLAFDLCLNLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSIDGSSITPLPVQSVQDILDLRGDISHDSDIDHVGIVKQMLSKVCTDLSNEDAYSWESTLAENRKLLSFSTLELPWLLKLSNDEEHNGKKQSLKNDHPIKRHQLSTKIKSTNCIIHWLAVNGFSPNDDLVMSLAKSVIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEEELKKQECFQEISSIMNVGMTYSSLNSFKKECSTPEQRRNLLLEKFHEKFTSIDSDELDQIDEANATFWREWKAKLEEERRLADQARMLKQVLPDIDTSQFLSGDVNYIKNVVFSFIDSVKLEKNHILKEAVKIAETYGLRRTEVLLRFLGCTLVSNCWDNNDILSEISEFRDDIVNSAKGVIDMIYSDVYPEIDGYNKQRLSYIYDILSACHSYLKRTSDVELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVSALADMVQVLISMYVDVLAKGLISQQGVYKHYVLGLLASLEGRTEASNSIDCEKLHAVLSEIESNYDSCKEYIRVLPATDILYIIRRYCMLCFPCNLARSNPQEPSWKKPLDALVILWIKLIDDIPVESMDACPYGRAEYLGSIRLSHCMKAFRQLLVDDKITVCQGSDAISMYVKIGLGDGIPMEISYFCRSMILSGCAFECVAQVYHGRQEHLESESVDPSNPLDLLELYGATLDDCLSDLIKGSSESQILLHKLLSSLSRSTEKHGGTLEMIRSGVWGKLISFSENMQLESQLRVYALQLMQCITGRNLKTLPNELVLQVEPWELWYEPGTGASVADNSNNPSSSITGTLVALRSTQMVTTVLPDANITPENLGTLDSAVSCFLHLSESAASVESISVLETVLEEWEQLFSSKEEYVPPQESPKETSDWSDDWDDGWEALPEELESPTKKHGSTSLSVDPLHGCWMEIIRKLVELGEQHKIVELLDRASSRHSMLIEDDEANRLLELVSAIDSLMALKIMLLLPYETTRLRCLQMVEAKMREGAVSVSSNADDQELLVLVLSSGALQKIITNVEYSKLFSHICHLVGHLARSSQKDLLVQWNNEVNAPETSKINKSLLFAKVLFPCFISELVLRGQYLLAGLVISRWMHTHPSLGLMDVVEASVRWYLDSQVAQAQQLGGTDGFLTDNGLSVNHALSTLRSNLVSLLQAALATLPNQDL >OB12G16280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4564219:4564407:-1 gene:OB12G16280 transcript:OB12G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIARDGGLWGFPGVFRPERFLDEASDVGVAGGCDLQLVPFRTGRRACPGTQWRSLSFTSG >OB12G16290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4566440:4566697:-1 gene:OB12G16290 transcript:OB12G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISFVIQGDGVYQYGFLAVFVSRTITVCTIASGSVYRSLNIKSLKKLSGNNIVCDIITCDNDMNFFYNSVIFAMVTNTIKYIWG >OB12G16300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4567183:4568001:1 gene:OB12G16300 transcript:OB12G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAPSLFISLIIVSASNTIAAPSASVVGNGEINPTHQGLIIVSASNTIAAPSASVVVNGDITTTVQEMQRARYFTFVMLIRMVQEKIPQNTTFLMPNDRMLSTASIPENQVLEFLSRHSIPAPLMFDDLIRLPNGTTVPTGHSCQTITITNMEHQKLYFNNVELTSPDVCHVGDLFRCHGINGVIRPIVPRGKGTACPGHVVPTAAPAPASVANQSLETSSLTSSNMGSASATSSMQPAAESPQSSDTSTSQIAFSCIKLILVLIFSIF >OB12G16310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4568754:4573102:-1 gene:OB12G16310 transcript:OB12G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADAPTKTTKASAPQEQQPPASSTAATPAVYPDWANFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPLGTHPFTPYAMTSPNGNTDPTGTTTATAGGETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSAEHGKTSGASANGAISQSGESGSDSSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMPSGGPVPAPTTNLNIGMDYWANTASSTPAMTGKATSAAAPGSMVPGEQWMQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLGDKQHKTDDAGVDNKLQHSGDDSQKKGN >OB12G16320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4588283:4589860:1 gene:OB12G16320 transcript:OB12G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLLPTAILLLLLLLALPPPAAVALTADGLALLALKAAVTDDPLSVLSSWSEADADPCRWPGVTCGNVSSSSAAAGGGGGGVALAGKNLSGYIPSELGSLLFLRRLNLHDNRLTGGIPAALSNASSLHSVFLYGNRLTGGLPVALCDLPRLQNLDVSRNALSGELPVDLRSCRSLQRLVLAKNSFSGEVPAGIWPEMASLQQLDLSSNAFNGSIPPDLGELPKLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTSFLNNPGLCGFPLHDPFRAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLATALRGKYLPLLFRNHAYC >OB12G16330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4597352:4597531:-1 gene:OB12G16330 transcript:OB12G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQQQQVQMQCSTALLVPGDCSLQTQATLACLPLFCRSFDWIIQDCPRTRSHKAQW >OB12G16340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4599451:4599615:1 gene:OB12G16340 transcript:OB12G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPALLRDAHAPPLPKKEVVAAFHLALACTELDPELRPRMKAVADTLDKIGSS >OB12G16350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4609123:4614633:1 gene:OB12G16350 transcript:OB12G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginosuccinate synthase family [Source:Projected from Arabidopsis thaliana (AT4G24830) TAIR;Acc:AT4G24830] MAHAFGCGAATGPAGVGLLHREKAGALSGGLPAAGAAGRVQRPGGKGSKGKAIRCASGSEHGGISTATATSSDQKNGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIEMEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPSNEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGRDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSIDAFMENITATTTGSVTLKLYKGSVNVASRKSPNSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >OB12G16360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4617534:4623165:-1 gene:OB12G16360 transcript:OB12G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKTRKDVPFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENGERNSGKTNAVAPSIGSGTTLGKIEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSLRNNAQPMVYLRIQPLDGDNSSVSSRDALSKEASVDRDSKEVMSATMSEEYTEDTEFASFTDDDDEEAPYPYRSDGNARISSNRSQESLKGKDVRTIGSEDSRSPFDSQREMPSSSTKVRSEEVEKYPIQVQKANGHPGNLSLLSDLPGEQTPSFPPQNALRAGRKMSFAYGMSDSHQRNFGERTYSTLTSDRVKNMRYSMRVPDFSGSIINKKVDSEKEEVKEVDSQDIAPSHDRADAFDRAQAQVPIRISNNRNDSKIRELELRVELLEAELRETAAAEIGLYSVIAEHGSSANKVHTPARRLSRHFLHGFKNWSKDKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNGSAINYVGKTTPRRNSASMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWLQAFTPHMQSANVSSEPLPSSNAKKCYGRITVVGNQQQATLSIDIWKRAFKEASEKLCPVRAAGHECGCLPLLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDEYPEVENGNGDNGAAEIRKPFYLLNALSDLLMIPKDVLMDTSTRKEACTLIFSSIIKRILDGFVPDDFCPDPVQHSLLEALESEEHLEGNIKGIRAVPCSALPILYPYPASGAILSMIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASLNPLAKLKQIGSPNTARYRLLHEVWKLDDQ >OB12G16370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4637703:4638758:1 gene:OB12G16370 transcript:OB12G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMLRLPALDREVAVDFEEMEGAVDLPGLPPVPAALLPAPVMKKDHKPPVPAALLPAPMMKKDHNYTWLVYHGDRFSEAAGIIVNTVAELEPGVLEAIADGRCVPGRRVPPIYTVGPVLSLKPPPEKPHECVQWLDAQPRASVVLLCFGSMGSFAPPQVLEIASGLERSGHRFLWVLRGRPPAHSAYPTDANVEELLPEGFLERTKERGLVWSKWAPQKEILAHRAVGGFVTHCGWNSTLESLWDGVPLVPWPLYAEQHLNAFELVAVMGVAVAMEVDRKRDNFVEVAELERAVRCLMDDGSELGRKAREKTTEAKAACRNAVEEDGSSYMALQKLTKQMAYPRGYENF >OB12G16380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4637959:4638462:-1 gene:OB12G16380 transcript:OB12G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARRPTGSPASSSTRSASRIRRRRGGRGSPSAAPTWTTRGPSPWSSPRTPPGEAPPRWRPSGTPSEPAAGHAAPTRSGARCARGRRLFRAPVAARSCPCSRSRAARRRHAAGRRATARTRAASRAAASTTARDRPCRWAERGVPARTGRRRWPRGRPARAQPPC >OB12G16390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4651508:4654598:1 gene:OB12G16390 transcript:OB12G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANLEDVPSLELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKTPGIDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTAEVQKALS >OB12G16400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4657926:4667132:-1 gene:OB12G16400 transcript:OB12G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60160) TAIR;Acc:AT5G60160] MASVAPVVCDLVDFLNASPTAFHAVDEAKRRLKAAGFSQLSEREEWVGLEPGRKYFFTRNHSTIVAFAIGAQYVAGNGFHIIGAHTDSPCLKLKPVSMVTKGGYLEVGVQTYGGGLWHTWFDRDLTVAGRVIVREKKDGEVSYAHKLVRVQEPIMRIPTLAIHLDRTISSEGFKINNQSHLVPVLATSVKDEMNKLVEENGPEESSGSENIKHHPLLLQFIAKEANCKPDEICDFELQLCDTQPSIVAGAMKEFIFSGRLDNLCMSFCSLKALIDSTSEDSLAHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNARLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAENHHLPVQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDVSHSYEHFKAYFEEFTELDSKVRVDC >OB12G16410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4675320:4679482:1 gene:OB12G16410 transcript:OB12G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14260) TAIR;Acc:AT5G14260] MSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSFWYPYIKELDRQRGRGQLAVESPLLWTENELNYLKGSPIRDEVFARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAGGDSVRLVVDRPYKSGEPIIVWCGPQPNSRLLLNYGFVDEDNPYDRVVIEASLNTEDPQFQEKRMVAQRNGKLAIQNFHVCVGKEKETIAEMLPYLRLGYISDPDEMQAILSSEGDTCPVSPCTERAVLDQLVGYLESRLAGYPTTLDEDEAMLEDGNLEPKKEVATRLVRSEKKLLHSCLQAANDLINNLPDHTVSPCPAPFAPELK >OB12G16420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4688618:4689512:1 gene:OB12G16420 transcript:OB12G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSSAWWWRRWSADGGCWRGAERNRCPWQSVFLAAGRVLSLFSNFPPFYGRTLLWLWGTFEGGLGLRPVLGDGSGLLALPLFFGRQCEGCFYGGCGDAGESLAVPWAGLTTMTLVGAVSLPRGVVVAFSPMSRNFPGENLVPIFGRAAVAFHVVSLLGASLRGSHSEHRQPLASELLAEGVTTLSNDDMVFAISPQVVEAAICLVGILATMSLAAVKLALLGHLGG >OB12G16430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4693800:4694199:1 gene:OB12G16430 transcript:OB12G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVANSILSGVLKNVRKSVSMTWARGAGPDDAEEGLAMTLGGGAELAEIWVKGWSGPRRLWRVDAEGAALAVTYCGEGLRG >OB12G16440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4696807:4698986:-1 gene:OB12G16440 transcript:OB12G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYREATQIELGASELAAEQIKMGCTWASLLQPCATHRRKPPMLVRLCLKELWMLIFMSSLGVMMTKYECIDHVHYGDNLILALSLNKSSRIICETDNVAYYHIQPFNLTSVKINLMVSRAPCLRDQSHGLKDSTLKWS >OB12G16450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4719467:4720559:-1 gene:OB12G16450 transcript:OB12G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQEHLTSSRRCTHKNTYFNGDALATGDDGRLGAVAVHGDVGHSVGDVHRLLVHTFLHVDHVPPAIRLWQGVHRHRDVGEEPGHERLASGEQIPFLVGYPRRDGEGGESSSSRWRSLWWWLSSTSTSLPSGWLSLAWRSSMAAKAAPCWMMATALLEIPRAFSTSVAHHHQRRAASGSRGGPPPPAWHTAAVGKATTAMITGAGPRRRKKVNYELRVIGVATGC >OB12G16460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4720338:4720697:1 gene:OB12G16460 transcript:OB12G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3NCD8] MDALPETYSGRYVIYVKEGVYEETVNITNRMANITMYSDGSKTSIITGSKSIAIEVRVLVCASPRRSKVFLCVHVMRLGITNTAGEEKQQVLVLWVKSDWSIFFNCRIEGKHVVRVGGE >OB12G16470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4749346:4753131:1 gene:OB12G16470 transcript:OB12G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMVVSAYKGVIDAVVAKLRELMAGDMLTTSFLGVSSRDILFLRDELPAMGALLEKLDDADAQDELDPEAKNWRDQVREMAYDIEDCIDEAHIRAAWQIKDLNTRLHDIHDRRKRYKAASHCAAAAAAPATAVDPRISAFYREAASLVGIEEPKRELARFVMEEDEEEKRLKVMSIVGFGGLGKTTLASQVYREVGVQFGCCKAFVSVSQRPDMVRLLTSVLLQLKQHPSHHACGVQDLINSLREYLLDKRYFIVVDDLWDVPSWNIISCAFPQNNQHSRVIITTRHGDVARTCSSDYGCIHNMKPLSAQDSRELFFNRIFGSKDYCPSHLEEVSCKILKKCGGLPLAIVTVASILACQPARLKEQWEYIQSSLATNKFARKCSLEDMMHILELSYKSLPHHLRACFLYLGAYPEDCVISKVELIKRWVAEGFVSHSPGQDALVVAESYFNELVNRSMIQLPYHGYYTEVSHCKIHDMMLDMILMRCKEDNFITVIQDPRASTEGQDKIRRLTIDLNGVVDDKMAMSITRRVSQVRSLAVFGGSKWIPPLLEFKFLRVLFLEFFLREMVIDLTGINQLSQLRYLKVECKECLLDGDIPSQVSIVLPGQIRRLQHLETLELPWVSDCSIPSISDVIDLPRLTHLVLRQHKGGLPDGIAKVKSLRTLHGFNLPVSSLENINGLSELTGLADLSLQCSKGDAEFTTPGWMATLSCSLEKLSDLRVLCVRSNSLSCCADVISSWLSPPFPNLEKLDLLDWTFSKVPTWIGQLHSLRELAIGAKHILQEDVSVIGTRLPFLIHLSLRIVTSSTISIPGKERKIMVEGSTGFAGLRFFCFDASRMSHLEFGAGAMPQLKRLLLGLDPWEWDEATPVGLEHLLHLEEIRVLTAPSTAAVAGSESMKGKSALVKGVFHDAANALPSRPAFTLLPRIRSLSDHVNCCKINMETVACK >OB12G16480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4756285:4764422:-1 gene:OB12G16480 transcript:OB12G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVMASDTGKGPDKGGEASGPPPAPQEGEISNEPQRRRPLNGRTTGPTRRSTKGNWTPEEDAILSRAVQTYKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYISSGLLAQVSCLPLNECSAHCNSSPGMTQQSEDSGCYAVREVENSSGCSQSSLSKVSCSQVHNANVTLGCDLQVNASVDNNETHDSQSSMGLEACYASVEAVASAIPEVHYHVSSCSFDPDQHLQEEFAHGLNLHMSIDEMPSNSSFADNQTICSIEDHEKSMEPYDVAVEMPLAILPSGDSGAEQKLHFMSEDDFNSPNCLKSEIWQDISLQSLLSEPDTVGTDSFSRSNHQSDVYSSKADTEFLAPPYLLQTSNSSSVIEATYGKSLQMSIPPSLICSNDMTDAPSDAIPEPKEIPFSHVEMVTQSSSSSGDAEMFANPCSSNDRHAPSMMESIPECGHQQATNVEEPEASIEREPSVTQSVTAPVEQKDEGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSSMNICTPVRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLISPIPDKRIEKKSGTEKYCGVSDMSSVGIQTSFINAAKDHAVITKSVLRIEKLFSDENKENLGNKIEQAKDGQSAGNEKHIDEQERGEQRSATNIATTYGDLPDNLQPAGILIEHNSNDLVSPYNGKNAMNQKLNTNKESSVVCKEGVPAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPADRTYDALGLVKQINVQTAAALAEAREVLASGGQSENRNSDKENMENRNTKKEPGATILQAKIMAEGRILDFNECTTPVRSSDKKAGSNLGRSLSSPIPSSHLLKSFR >OB12G16490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4778999:4813255:-1 gene:OB12G16490 transcript:OB12G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec10 [Source:Projected from Arabidopsis thaliana (AT5G12370) TAIR;Acc:AT5G12370] MAAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDTRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTPAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRRELSTMAECAKILSQFNRGTSAMQHYVTTRPMFIDVEIMNTDIQVVLGDEGPQADSISIAEGLSILYKEIADTVRKEATTIMAVFPSPNEVMSILVQRVLEQRVTAILDRLLIKPSLASLPPIEEGGLLQYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIYVSHKDEYTEFEQASLRQLYQSKMAELRAEAKQQSESTGTIGRSKGGSATTLPQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAANVRSVFACLLDQVSQYLTEGLDRARDSLNEAAAQRDRYVIGTSVSRRVAAAAATAAEVAAAQGENSFRCFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLLCIDTVMSEVERLLSTEQKTTDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNNIMAE >OB12G16500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4813254:4819559:1 gene:OB12G16500 transcript:OB12G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDNKLRGGGRGGGVPSSLQRLEYSRRLRLLSLAAGSFFFLLVFLLSTRHDAIVLLDTRPGPRAPPSSLRAPAAISGDRAAAPGTSSNVASFHDRTDARTGGEEDVAGEDKPLQTAAAVQATSPRHERQSGARGDIPRVIRIRSPHVHGDELLATDGDGERTMFGSSNTGQFGVSSWLYSIFHRLDYETARQQPRGARVGVWGSEDAAMEAVAAPFARLLTVTVGFYPNLPPNPTICLVHLRSRWFRAVGARASLRLRAAPPQGQGASESHGDLLQQRSNAAAADDEAAKERVHVANVANQTAVAGARTTSDVERESEMVPAANSDGDGQAAAPGDNTTRSDRLDQPRPPLCDFSDHRSDVCELSGDIRMDANASSFFLVVDPASAGAGGATYKVRPYARKGDATSMGRVTEVTIVPWGGLRWVAQLDFGAPAVAMGLRYIQYEVAVDESTLKDRYPRDHEVFTNPTALHKRGFAFVRQTFLKHQDVAVDIRRFKPVLLQALHSLAAL >OB12G16510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4820611:4827756:-1 gene:OB12G16510 transcript:OB12G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRRSPSKEISHRRGRSFGSAVPAKSKDDELTLFSDMQKNDKDNFLLESSDNFDETISKLSYFPDLKLGVNIARREENRDFLNVDGEKNDYDWLLTPPETPLFRSLDDDEDKHAGPAPRGRPQTKPISISRSSTMENAQRSSRSSASPNRLSLSPRSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGKTLTPPRRSPSPASRRMSATSSGPTLNGARGVSPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSLSDRPVSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRAISSHSTERDHFSSYSRASVTSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKSSKSFSPSSVPKRSFDSAVWLMDHRKAPQNMFRPLLSSVPATTFGAGKGNIVHRPTFSHISSVTTSSNASSEHGATYSPCVDIDHERHDLVGQWEANDSPRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGTANCVESTREDLDLKSRTADQISYGIASSSEVGKGEMATCTRCGKLFNVMYVAGGNYCEECDFGEGIFSAGSKIQTTEGLHHKDHTFTESKICIPSEDCPITLDCVEDSIEASLDHQLVSNEPPADHLQRCSIESVVHTNEEKMSGKHLMYLQENTSPHDISDSTLGNNNDTSSHTCCVSDYQEVESAHVTEYEPLRLQKGSQHHEMPQWLSESESDCQRNDFVSDMVASGSHEPGSTGSTSLKVENTEGNGISVLLLEETRSQKWPILEGRPLVTANIHCLEPYFAADSVSLMKRSFGRDSSSASSSIDLGSSRQSDARFERLKSGKTSDSEKSQISCQSIAPVSDMLISGSSASHCPQSYVNVDACHPICSLDTSASRTGVFAEEHHESCQEALSSAIECWSVAQAIVNDDCKAVEDELTQNQDTERMVHDDNLGVNMCSSYTEVPSDIPQSSAADGSFIQNTEEDILEAPAITDYSIETLEHPCDENSSDIPRILFEEVADAAEESKLGDCCVSSIPDEVVSVSSRYATKDEGSGKQIQRCFTLEEATDTVLFCSSIVHDLAYKAATIGLEREQEAELAYAPRPTLTMVEKFIPREDSLLRGPHRRTTRRKVERKIPEGEYITDTASTEVITKEPVPARSSEITTTSDSLKPPKIESKCNCTIM >OB12G16520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4832794:4842032:-1 gene:OB12G16520 transcript:OB12G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSTRRSPSRETFMKRTNSFGNSLPAKPKDDELTLFADMQKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPTRRESHDLLDVDGEKNDYEWLLTPPETPLFRSLDDEEDQSADQDSRGRAKSKPIQISGSSTMDNTQRSRRSSSSPSRLSPSPRSMSRTRPSSAASRSSPPLALRPPTPSRRPSTPPSAKTLTPPRRSPSPVSRRMSTGSTPVLSRARGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRSVSHSRGGSPSPISGRDMGSRGRCQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDMDSMQSVPVSYSSSPAVKKSLSVMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPHDMFRPLLSNAPTTAFNAGKGNAVHRPMFHHNSSMTTSSNASSEHSAGFGPYVDNDQEQHDQISEWDNSHQVHGDIIMFDKLDELNEETSHKETTKFVERDKQDIDMVKSWTANQTSCNDTNSSHVGYGELATCTRCGKFFKMMDLDEEGDYCEECGLLLNICSAGPVTRTLQEAYQQDEITANCKSYAESESPIASDCVGYREEASLGHQMNDEPQTDCNKTCHPLQAMVDANEEMLLAHEVMNHTRNMKPYHAEDSLGNNNDVSFHPFKVSDIQQAEPISAEREQFTDQLNRHNQSLPECFTELDCQHNESISQTASRENYHQLGSTSYASPKVENTDAAGISVLLLQKSSNNKWPVVEGRNLSATNILCSEPYYTRDSINAMKRSFGRDSSSAASSIDLGSSRQSDVRFERLRSGKRAEFEKPRISSTMSHQSVASVSDMSISGSSASLWAQSDVIGDTCFPIDTPERSASRTAVFIEEHDSSYMDALSSGMECSSAVQPIINDDTLVDLNTSGFHRLSEIEGDVIIKNHNMEMVADNDHLSSNMCLSDIGMPSDAPESPAAEESYIPKTEEDTSTEQHCYSVSTLEHPSDESNSGDLQIQSEAVQSSNEENKSDALCTLAVSEDDALVSGTDNNIQELPNDAESPEVIEGSKKQIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDNEQERVHAASTRPTVTIVGKSIPKEDGLLKLPYKRTPNRKVKRKRLEGETTITESAEKKDKDAVSTDHSPVRSSSGITRTSESMKPPKLESKCNCIIM >OB12G16530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4863335:4863535:-1 gene:OB12G16530 transcript:OB12G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASGKLTYPCLIERGLRVLFNNESMVFGLVKLYCIGMCPTGCSGRRHVVIVIFVFCNPPYSGMI >OB12G16540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4864054:4879022:-1 gene:OB12G16540 transcript:OB12G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGVRPPTVEVRWRDVCVEAECQVVAGKPLPTLWNSALSKFSLLAAKLGFSHHQSKVQILKNVSGIIKPSKIALLLGPPGCGKTTLLKALAGKLNKGLKETGEIEYNGVKLDEFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKREKEAGITPDPDIDSYMKAISMEGLQSSMQTDYIMKIMGLDKCADIKVGNAMRRGISGGEIKRLTTGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMAEGKVVYHGSKNFIMTFFESCGFKCPEKKGPADFLQEVLSKKDQQQYWSRSEERYKFVTVDQFCDNFKASQVGQSLSEDISKLYGKSKEHKNALSCSIYSLSKWHLLKACFDRELLLMKRNASFHVTKAIQLGLLAIITGTVFLHTNMSFDIVSANHYMGSLFYALILLMVNAIPELVMAISRLPVFYKQRDHYFYPGWAYAIPSFILKIPSSLVASISWTSISYYLIGYTPEAPRFFRQLLVLFLVHTGALSLFRCVGSYCQTMAVGSVSATMSLLVVLLFGGFLIPRSSMPNWLKWGFWLSPLSYAEIGLTGNEFLAPRWLKITISGVTTGRRILIDRGLDFCGYFYWISVASLIGFVLLYNIGFAIALTIKQWRMALPFTPLAISFHDVNYYVDAPPEMRNKGYMGKKLQLLHNITGVFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDMRIGGYPKVQQTFSRISGYCEQNDVHSPHITVGESVAYSAWLRLPTEIDTETRNEFVNEVLQIIELEEIRDALVGIPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGQHSCQVIQYFQSIPGIPKIKHNYNPSTWMLEVSSTSMEAQLGVDFAQIYMESSMHKDMDELVKGFSIPSPGTSDLHFPTRFPQKFQEQFKACLWKQFLSHWRTPSYNLVRIVFMTFSSIMFGVLYWQQGNITNIKDQQSLFTILGCMYGTTIFTGINNSQSVMPFVAVERSVLYRERFAGMYSHWAYAFAQVAMEIPYVLVMLVLYMLIAYPMIGFAWTAAKFFWFFYTMFFTLLYFLYFGMVTVSITPNRQVASIYASMFYTTQHLLSGFVVPPSQIPKCWIWLYYISPMSWTLNLLFTSQFGFEDDHSIFVFGETKPIAAFVRDYFGFRRELFPLSAIVLASFSVLFAVLYGYSISRFNFQKR >OB12G16550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4886373:4888399:-1 gene:OB12G16550 transcript:OB12G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVVAKNKEDVGGGGLWGIVGGSLSPGSELSELPRSFVEAPPQRLAKRLGRKPGPRLDGPTVSHVEVERQRREKHACVIRLHGVTTIQEVLVDVPATARQDNDTLHPPRRRHPPHGASPEATGQLARSLAPSSAAAREEDRAWRGRIRRHLSXXPPCLPDTPRRRRLANVDTPLSPSPPLVTAALSRRLRPSHAVAVHRPHPCPPPAEGKAEKTERVAGPQQH >OB12G16560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4893295:4893486:-1 gene:OB12G16560 transcript:OB12G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPCSSFSPRSSLLMFSSSAAAAHAVLEFISCEVSDEWLMGDVVVAKNKEDVGGGGLWGI >OB12G16570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4898708:4912636:-1 gene:OB12G16570 transcript:OB12G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKQRERMDRVGVRPPTVEVRWRDVCVEAECQVVAGKPLPTLWNSALSKFSLLAARLGFSHHQSKVQILKNVSGIIKPSRLTLLLGPPGCGKTTLLKALAGKLSKSLTVTGEIEYNGVKLEQFVPEKTSAYVSQYNLHVSEMTVRETLDFSARFQGVGSRAEMMKEVIRREKAAGITPDPDVDTYMKAVSMEGLERSIQTEYIMKIMGLDKCADTIVGDSMRRGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISDYTILVSLLQPSPETYDLFDDIIFMAEGKILCHGSKSFIMNFFESCGFKCPARKGVADFLQEVLSKKDQQQYWSHSEKRYSFMTVDQFCDKFKASQVGENLAEDLSKPYDTSKGQENVLSCSIYSLSKWHLLKACFDRELLLMKRNAYVYITKAVQLGLLAVIAGTVFLHTDMNFDIINANYYMGSLFYALILLMMNGFPELAMAISRLPVFYKQRDHYLYPGWAYAIPAFFLKVPVSLVESIAWTSISYYLIGYTPETPRFFRQLLVLFLIHTGALSLFRCVASYCQTMVAGSVGGTISSLVILLFGGFLIPRSSMPYWLKWGFWFSPLSYAEIGLTGNEFLAPRWLKVTMSGVTIGRRVLTDRGLDFPDYFYWIAVAALVGFILLFNIGFAIGLTIKQSGTSQAIISHDKVNMLHGRDQNMTKDVKIGMRKIALPFTPLTLSFQNVNYYVHAPPEMREKGSMGNKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKFQKTFSRISGYCEQNDVHSPQITVGESLAYSAWLRLPVEIDTETRKEFVNEVLQIIELDEIRDALVGIPGVNGLSTEQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVACTGRTVVCTIHQPSIEIFEAFDELMLMKRGGKLIYAGPLGQHSHKVIRYFQSIPGIPKIKENYNPSTWVLEVTSTSSEAQLGVDFAQIYMESSMCKDKHMLVKGLSMPPPGTSDLHFPTRYPQKFWEQFKACLWKQCLSHWRTPSYNLVRIVFMAVSSIIYGVLYWQQGSIKNINNQQGLFTILGCMYGTTLFIGINNCQSVMPFIAIERSVVYRERFAGMYSPWAYSFAQIAMEVPYVLVQLVLFMSIAYPMIGYAWTGVKFFWFFYTMFFTLLYFLYIGMLLVSITPNVQVASIFASMFYTTQNLISGFIVPPPRIPIWWKWLYYISPMSWTLNLFFTTQFGSDDGGKILVFGETKPIAEFVRDYFGFHRELLPLAAILLAAFPVIFAILFGFSISRLNFQKR >OB12G16580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4921556:4926741:-1 gene:OB12G16580 transcript:OB12G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein [Source:Projected from Arabidopsis thaliana (AT1G67620) TAIR;Acc:AT1G67620] MLSAARSGALARWLPRETLLPRLLSSSAGSPPVRQAQLLDLPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCANYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIVIHALEERAREYYDLESIWTKEVSPNISVQELETSLVKTRRRDHSQKPMKSI >OB12G16590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4931907:4932142:1 gene:OB12G16590 transcript:OB12G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDDMIYAGDLGYMSTPYPSFPSGIDNLYRTEDPNNTIILHPAFVDNDDINIINKDIYNFRYDQTSPRDAQSSTTQFK >OB12G16600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4955948:4958067:-1 gene:OB12G16600 transcript:OB12G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVFAIALVLLLSISLSNATRVLRYSGGGGGGDGGGGGGGGSNGSGSGSGYGYDYGKGGGGGGGGGGGGGSDSGSGSGYGYGYGQGNGRAKRQGGGGGGGGGGGGSGQGSGSGYGYGYGQGGEGGGGGGGGGAYSK >OB12G16610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4970647:4971033:-1 gene:OB12G16610 transcript:OB12G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLEAKVTPACVRACRFLVIMSARSGARAGGWRPREDAAATYYRCTVLVHVRGVRRRLFPRAPRGRRRDRLVRRARGGSELRAAAAGRRGRWTTRPQPQGHGSPAFGSARTTTLELKIMEIMEILKI >OB12G16620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4977888:4978061:-1 gene:OB12G16620 transcript:OB12G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPTDRSSRTCDMVADHHVSFVFVGIISSYFCISIYKVSIFKLFIYNISIYIFFE >OB12G16630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:4983626:4983790:-1 gene:OB12G16630 transcript:OB12G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPICPAMAACPAYLGAKQHPPFLSINHPTQPNTSPHLSFDENPNVRRPESFPR >OB12G16640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5005909:5010059:1 gene:OB12G16640 transcript:OB12G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLFMLLYLNLLQNIKTTSSYGRRSNQTIRVTSGVNMDSMDWEKLVAPVAVVTGASRGIGRAIAVTLGKAGCKVIVNYAKSGMEAEEVCREIEESGGTAIAFLADVSIEHEVESMMRAAIDSWGTLDVLVNNAGITRDELLMRMKRAQWQEVVDVNLTGVYLCAQAAAAVMMKRKKGRIINIASVSGIIGNIGQANYCAAKAGVIGLTKAMAREYGGRSINVNAVAPGWVASDMTAKLGDDIERRALETIPLGRFGKPEEIAGLVEFLAVHPAAGYITGQVLPVDGGLSI >OB12G16650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5011717:5011926:1 gene:OB12G16650 transcript:OB12G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLAPAWFARMVRFYYAADGAGEALPAWARASWTVVIGAGIAVSVLWVSNLWVAFFRDWREERRKEH >OB12G16660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5014655:5020115:-1 gene:OB12G16660 transcript:OB12G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MEEEIRAEFESSGFSIGGDAAEILSTLLTYCINYKMSPGDLVSNWEVYYLNRQLDGLKLEKSYLDGFLSHLQNEVKDRIVKEETSLHIYSNNDVDMLLSNTHTDEEGFLDTPSTKQEKTNTNGESFNSELTPVTTGRPSSSRLAKTNGDRITPFSQRVNKFTQQCVLNSDNVASLPSKDEIESTEDEIIRRVQPSKRCALQVQRSQPEPGCRFMYDRIEDRFNYLGDRIRRSGILFSASGLCGEPADATLASEESMFSVGMVICDGEGRLNEKSILLQGSVEHSRGQRVRLDLKELDQFSLFPGQVVGIQGHNPSGHCFVVSKLIDSIPNSSDAQLPHAKKQAVDSESHQSSDILSRVLSSVIAAGPYTTTDNLLFEPLQELLSYASRKQPQLLILMGPFIDSDHPEIKKGTVDQSFQDIFLFEIMRKIQDFTQYLGHNVRVILVPSVRDAHHDFVFPQPAFDLNLPEDITHQISCLANPSLFSCNEIQFGCCTMDILKHLSGEEISRKPPGGKPGDRIGRICAHILKQQSYYPLYPPPAGVPLDFSLASGALEISSAPDVLLLPSDLAPFVKVLSLDESSEEPKHFICVNPGRLAKGIGGGTFVELNYNEDTDKTSASIIRI >OB12G16670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5023421:5023657:1 gene:OB12G16670 transcript:OB12G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTHAQKADILQKCRDWVKNESPVHLQPVNSPCCEAVRAVRNRNMDCIVDLLTSEERSRHSVSKIRQLHNMCDEDEL >OB12G16680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5032202:5037225:1 gene:OB12G16680 transcript:OB12G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVACEKVVGIDLGTTNSAVAAMEGGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYHVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVNNALRDAKLSVDNLDEVILVGGSTRIPSVQELVRKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDAKLNELREAIAGGSTQNMKDAMAALNEEVMQIGQAMYNQQPNAGAAGPTPGADADAGAGPTSSGAKGPNDGDVIDADFTDSN >OB12G16690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5038967:5039353:-1 gene:OB12G16690 transcript:OB12G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVLKPGDIPAAASQSFGVRGFAIASKAKKGGKGGADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKRPMLSELRRKDSKTLPYEDLKRFVKLVNRARIKEQNALTAKN >OB12G16700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5042286:5046914:-1 gene:OB12G16700 transcript:OB12G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMWRTLGSGLDLEWIEKNGTYRVGLDGAPQQRWLPVDAAVAHGGSDGSRVDADDDGGDRIEGRTVDARVMGGGKGLRGGDNARTGSSKKHELKSKQKLEKKLSFYTKVKDTVTSLNAKKTISKKTKQRNHKKKLKAYDLSSLSEFLPETDASKQQSEAKLNCKSKQALVQREAAQLKAVLTNPQFQLNPFAAIHQHLLSTQPHSARKESDSDKHEKNPKDKKRKKNNNKKNASSTSEAMDI >OB12G16710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5046727:5049856:1 gene:OB12G16710 transcript:OB12G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEGGIICVIREKGIYAFSLDVMLSCSKKEKKEIQSVLAPCPKTEKNVFGSAADTISILKYIVNFWSLIVDILQPTTAKILYVHYDGSYI >OB12G16720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5053278:5053730:-1 gene:OB12G16720 transcript:OB12G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGASGLLRKRADQIIFELNNRFAERSTQLLRCIACLDPRNSFANYSEEKLIELARIYAADFSEYDCIILRDQLDTFIYDVRADPEFSSCSDLGNLAVKIVQSDRRTVFPLVYRLIELALILPVATATVERAFSAMSIIKTELRNKMNDK >OB12G16730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5061855:5064070:1 gene:OB12G16730 transcript:OB12G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGWGPGVAAMIMSWVSLPFAMSELGWGPGIAVLILSWIITLYTLWQMVEMHECVPGRRFDRYHELGQHAFGNKLGLWIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDLVAPNAPSIRTSYFIVIFGCVHLVLSQLPNFNSITGVSFAAAVMSLSYSTIAWVASVHKGRHPAGVDYSMTASTSTGRSFNFLSALGDVAFAYAGHNVVLEIQATIPSTPDRPSKKPMWRGVVLAYLVVAICYLPVAFLGYYIFGNAVDDNILITLEKPRWLIAAANMFVVVHVIGSYQIYAMPVFDMLETLLVKKLRFQPGMPLRLIARSLYVLFTMFVGIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLSIMKPRRFSMSWCINWFCIIVGVLLSVLAPIGGLRSIIVNAQSYKFFS >OB12G16740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5064866:5065069:1 gene:OB12G16740 transcript:OB12G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKSLTGKTITLEVESSDTIDNVKKPKSRSAPPSLAVGSSRLPTSLAPSPAQPILPSSLLPLTF >OB12G16750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5076898:5077137:-1 gene:OB12G16750 transcript:OB12G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGFKTRKRGSQTTKATGTEPAMVIGFKTRKRGSQTTKATGTEPAMVIGFKTRKRGSQTTKATGTEPAISNGFKVSSL >OB12G16760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5136831:5157343:-1 gene:OB12G16760 transcript:OB12G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCLISCFDGEDQGISGGNGRADHFPNYSYSSPSSRLLYYYQPLRSADHQLPLSPPPPRPRRQRQQPPLGYRHGVRVEKTSAQCRDFLKLEFTSTVSAGPRHNDTSYRKTHPNRCSDEKDNHVFVPVLTCGTNLSPLASHGASSLERDLVNLAATSRVPEGLARHVTSSSKVEQVTWYVKILAAYKNTKCPPRSSTDAATLVATALRGIQQTNLEGVLAFYGFPIPPTPKEASETTHPPSIPKGVLFVLKTLPVNAKRIVDGDGFTAYVDTAIPIEVCEDLDAGGQDPNSRRQKRKQERADDLQTSLQNAGHKQIFYGGREIVARQYEIRLRGIDAPEMGMQYGKESQDALVKLIAWKCVTLHVYGQDQFNRFVCDIYCDNVFVQEQMLVNGHAWHFMTYDKRPQFAKWEKMAQDARRGLWAYDNPEKPWDWRKKKRNASKHQQLSSVLTKLNTDDLKLHI >OB12G16770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5140892:5141368:1 gene:OB12G16770 transcript:OB12G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLGALGNGGNIIPPWPNITQPRRNWTGGKVGIKLSEFDHHFAARHAIKSQALANSVEEWTPSTTPELPLEPGAVDLEDSMNRGPQTTHWTMHFDGSLILQGAGAGVILTSPTGDILRYVVRLVFWMTNKTAQYEGLLARLRAATSMGSTASWF >OB12G16780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5162758:5163174:-1 gene:OB12G16780 transcript:OB12G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACCVLTVRTAQIYVIRQAIAKALVAYMQKYVDEASKKEVKDIFARYNQTLLVADRLDGLAGGEDFIFYL >OB12G16790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5177109:5181936:1 gene:OB12G16790 transcript:OB12G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLIFKLLLRRRLSAAAAAPASSSSFGVSSRPAAEHHPSPPSKPSFSSAGPDAVATKDLHPDPLSALHLRLFLPSPQSAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYLPTARSARRLPVIVQFHGGAFVTGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVTVLKWIAKQANLAACGRTMARGAGSGGADSFGAAMVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGRLLDPIKVVAQVLMYPFFIGSSPTQSELKLANSYFYDKSTCLLAWKLFLTEGEFSLDHPAANPLVPGKGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPQAQACAEDISIWVKKYISLRGHEFSY >OB12G16800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5189147:5195379:1 gene:OB12G16800 transcript:OB12G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRSSDGEEEGDRRRRQSGVSDDEKEGGRRRRRSGDSDDERGDRDRRRRRERDSGRHRRRSPSLESDSSSGGDRSSRRHRRDESSRRQKDERRRDRASREERRRSPERKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSTEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEGAVGVVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDDEESDDEDDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQRPASSESGSGDSGSSSDSSSSESESSSDESDRKRSKRRKK >OB12G16810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5200203:5203949:-1 gene:OB12G16810 transcript:OB12G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPLFFFFLPPPRFFFSCRSRALPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPDLASARLVLRHHPLRLRSAFLWNSLSRALSSASLPSEALRVYNLMLRSAVRPDDRTFPFALHAAAAAAADEGISKDKGLELHAAALRRGHLADVFTGNTLVAFYAACGRACDARRMFDEMPALDVVSWNSLVSALLANGMFHDAIWALVRMLRGGFTLNVASLVSVVPACGMEKEEKFGLSIHALSVKIGLNTVVNLANALIDMYGKFGDVEASMRVFDGMLEHNEVSWNSAIGCFLNAGLYGDVLRMFRKMSAHNVMPGSITLSSLLPALVELGSFDLGREVHGYSIKRAMDLDIFVANSLIDMYAKFGSLEKASTVFEQMKGRNVVSWNAMIANLVQNGAKTEAFKLVIEMQQSGECPNLITLVNVLPACARMASLKMGKQIHAWSIRRVLMFDLFISNALIDMYSKCGQLSLARNIFERSEKDDVSYNTLILGYSQSPWCFESLLLFEQMRSVGIDCDAVSFMGALSSCTNLSSFKHGKEIHGVLVRRLLSGHLFLANSLLDLYTKGGMLVTASKIFSKITKKDVASWNTMILGYGMHGQIDVAFELFDQMRADGLDYDHVSYIAVLSACSHGGLVEKGKKFFSQMLAQNIEPQQMHYACMVDLIGRAGQLSESAEIIRDMPFPANSDVWGALLGACRIHGNIELAQWAAEHLFELKPEHSGYYTLMINMYAETGRWNEANKIRKLMKSRKVQKNPAYSWVQDGNKLQAFLVGDGLTITIAAVKEMPSFPGYNATKFMFATTIFWILLLKMT >OB12G16820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5204668:5211041:-1 gene:OB12G16820 transcript:OB12G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:J3NCH4] MTVSSVIYLDSPAGVGLSYSKNTSDYVTGDLKTAADSHNFLLKWFQLYPEFQSNPFYITGESYAGVYVPTLSHEVVKGIHDGVKPAINFKGYMVGNGVCDTVFDGNALVPFAHGMGLISDDIYQATSTACHGNYWNATSAKCETAVSKVDTLISGLNIYDILEPCYHSTGVKELIPANSRLPKSFQHLGTTDKPLPVRTRMLGRAWPLRAPVREGRVPSWQELASGVPCMSDEVATAWLNNDDVRAAIHAQPVSAIGSWVICTGVLDFHHDAGSMISYHKNLTGQGYRAFIYSGDHDMCVPYTGSEAWTKSLGYGVVDSWRPWHFNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLADSQFLNVNPNINTTNKVHKDSCRWITSQKSRQIKHGYRGFAQPQATWRHRREPSLSAWFQSSKLR >OB12G16830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5220696:5220854:-1 gene:OB12G16830 transcript:OB12G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAVCSKTSFGHSLYMILTMCSSPVVCFLRFTIFFFEQRVLFHFLFLHHY >OB12G16840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5223012:5223956:-1 gene:OB12G16840 transcript:OB12G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPVIPWRPDPSPAVLPWPDLLAGAASAARRLVAAHSRHFLALSSLLLLPLSLLLLSLPPPFLPAPFSPSVSLRSPPGRAPLRGVPLTLLALAAAGLYLAAFAAAAASAHAGFFGRPVRLLASLLSVPASFLRLLLTALPAAPLLLLPLLPLPVRISAALAVLGLLLLVPFWSLAGAAAVVESSAGPSPLRQSCRLLSGARLAALCAFLVFAAGIGVTLWGFGGVASHTYDASSGWAGMAPAVVRAVVGTAVLVVLMLYGMVTNVVLYMHCRALHGELTGEIYNEFANSYVFLPFDDGKDRHIVSVVTAWP >OB12G16850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5236569:5239655:1 gene:OB12G16850 transcript:OB12G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAPSEIQNHYFSDSQATISQAIIGLRLDLTGQAGAASVPTGGWAVIRFLANNPGMWYMHCHFEAHLDFGLGMVFEVEDGPTPETSLPSPPADLPQC >OB12G16860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5250114:5254051:1 gene:OB12G16860 transcript:OB12G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWSPSLLLLLPFALALAASVAQAAVVEHTFNVGNLSISQLCQPERIITAVNGQLPGPTIVATEGDTVVVHMINDSPYNMTIHWHGIFQRGTPWADGPAMVTQCPVRPGGNYTYRFNVTGQEGTLWWHAHVSFHRATVYGALIIRPRGGAAAYPFPKPDAEEVVVLGEWWNADVNRLHEDAKETGQPSPHANAYTINGKPGDFYNCSDANQTHKFELKQNTTYMLRIINAALNTPLFFKVANHSFTVVAADACYTKPYNTDVVVTSPGQTVDVLLPKLPEHKDTATAYQFLSNLTALVPNKVPLAVDTHMFVTVSMGTTVCGPEQTRCMPNNGTIFASSMNNASFVLPKTTSMLEAQYSNNATGVYTSDFPDTPPVVFDYTSNDSNTAELQLTTKSTKVKTLQYNTTVQMVLQNTRLVSKESHPMHLHGFNFFVLAQGFGNYNETTDPAKFNLVDPQERNTVAVPTGGWAVIRFVADNPGMWFMHCHFDSHLDFGLGMMFEVLPAEGTSLPAPPPDLPQC >OB12G16870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5287239:5292615:1 gene:OB12G16870 transcript:OB12G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:J3NCH9] MDVISFLLLFISIALVSSVARAAVVEHTFNIGNFSISQLCQPPRIITAVNGQLPGPTIYACEGDTVVVHLVNSSPYSMSLHWHGVLQRGTPWADGPAMVTQCPVRPGGNYTYRFTVAGQEGTLWWHAHVSFHRATVYGALVIRPRGGAAAYPFPRPDEERVVVLGEWWNATVYDMERMAFLTGIPAPHADAYTINGKPGDFYNCSAANQTETFEVRQNRTYLLRIINAGMNTPLFFKVARHRLTVVGADACYTKPYKTGVVVISPGQTVDAVMVASAAVGRYYMAASPYDSAIPQGPPFSDTTATAILQYVGARRKTARPVLPRRPAVNDTATAHRFFSGMTALLRPGKPTVPLAVDTHMYVTVGLGVSDCLPEQLLCNRSAPPVFSSSMNNASFVTPTNTSMLEAHFKNAAAGVYTRDFPDTPPVVFDYTGDESDNATMQYTAKSTKVKTLRYNTTVEMVLQNTRLIAKESHPMHLHGFNFFILAQGFGNYDGSTAVGKFNLVDPQERNTVAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLGMVFEVLDGPTPETSVPPPPAGRPRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPPPPADLPRC >OB12G16880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5305594:5307169:-1 gene:OB12G16880 transcript:OB12G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGEILKLEFLSPHAILQLPSPRSRPCLASSQSLAIDLAPLVVAGESMDSNVGLLGSDIHITNRDPCQFDRPTGEKAKPIAKVGGSSRPNNRRSKNFSTAEDRMLVSAWLNTSMDLVTRTEQQSDSYWARIHQYFHQQKDFISDRNQNSLNNRWGSIKELVNKFCEHYEQILNRRKSGMTAEDHIAQACAAYKLAEGKHFSLIHCWHLLHRQPKWDSRFSQKKQKTHVDASPSTNSSKFKYNPETSNPTVRPLVKKAEKEKRGQDISSSCTSESSHVVVALNNMWSEKKVISPQAREERNDTYTQVLTLERERLQIEKKRVELEMREREERIMNMDLSVLDGPKKQYYMHLQNEILSRGMD >OB12G16890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5319001:5320110:-1 gene:OB12G16890 transcript:OB12G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAAQLLRETKRAVAPRTSSSPAGLIVSGFSTASNFQRLAGKVAVITGAASGIGRATAAEFVKNGAKVIIADIQDDLGRSVASELGPDAAYTRCDVADEAQVAAADAHAAAGDPTHGRAVPRVERGAEADGGGRRRGGGRPPPEDIARAALYLASDEARFVNGHNVVVDAGYSVHKGAEAR >OB12G16900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5334286:5334471:-1 gene:OB12G16900 transcript:OB12G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVVWRWLSSPTIALWHGKGCLVTDESLAFRQATTSSSGVVFLLRCCCVIPSFPAGLFK >OB12G16910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5337169:5339023:1 gene:OB12G16910 transcript:OB12G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHAAAPDGEDDEHETTTIRAAPSACSASYSGGCAKAWRKTKPRHRHADESATVDFSGGPVIPWSYDECDCRDELAAFVASHDLPVGIAGTRSFEAMVRRAFCPQYEGIDRVLTMNDISVAYDERAELLREEFSDGGFSFALSSSIWATSYHGMSYLSVSAHYLDEDYSLNKRVIGFRLIDSAPTAQRILDVARQYNIDNRVVSVTLGDGIANAETMTALAPLLRSYTGGFVFQQSCIFNILSDIVQAGMAEMAEPLGTIRTAIAYAFSSEVNFAAFGKYCDEHDPRMKLLGLEKALKFLGEALNVDYSSTYSQVADEFHQVLSMYEEKFGSTLRPPPSSPEWWKNNRQFFIVLAQLARDILAAPVSTVSSAEAFNINGREVEDQRSCLAPEMVEAITCLKDWEHATYGDQHRMVNAEFASELGLNM >OB12G16920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5343899:5346206:-1 gene:OB12G16920 transcript:OB12G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCWGSSGSGTSSSSSSSSSSYSSSSSSHPFISINGVKADSAFLPISSHHPPAPLSGHLSPEEELPKAAAAAVGRYSAEERRERIEKYRSKRNLRNFDKKITYACRKTLADSRPRVKGRFARNSGAGDADGSQSTELADVLSPPPPPPPPMNAMCNEEDDVPEWWPAVQEALARQEDDDDDLLAAYLGVSSINLYSPRGHSS >OB12G16930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5351488:5369465:-1 gene:OB12G16930 transcript:OB12G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCSRLHPTSFASLRGGSRGSTAVTRPPCCGARPPSSLLAPARCAVLGTAAQTAAPTTSTARKVEVREKQGQLAVPPRLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFSLLPMSFPKVYWDQAVELAPLFNELVDRVSLDGEFLQETLARTKEVDSFTGRLLDIHSKMMKLNKKEDVRLGLTRSDYMVDGATDLLLQVELNTISTSSNGLACGVCELHRYLFMSNKKYLEVPVPYGIKSFPIVRSNNTHPAQLDPMVKNNLLPDGSPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAYHLVGTKKIQQELAKQNVLERNQNSMNFVVSYAHQRFLDNKADIEKIRKCFAGLWSLENDSIVMSAIESPELYVLKPQREGGGNNIYGDNLRETLVNLRKDGSNELAAYILMQRIFPPASLCYLVREGTCIRDNAVSEFGIFGAYLRNKDKVIVNDQCGYLMRTKAASLNEGGVVAGVLEAAKKFQCWIIMESPDEANPCSLKYIDVSKVEFVEGDMFIFMPKSTLQNFGPRSAWRVQRAPSRNRRADLDMSDDGDPMIINDELRLLGNGGTTRTTSRCSVAVLMGVLALVAPPVPMKQMAPMKPPRHQPRVASEPECVDPRKRLLALRLIDESHTGQNIAERVLLVLDEHGLKDKVFSVTLDNASSNTTTIDKLTPSINGYIGNMFMHQRCACHIINLIVKSGLKRLKPYLEAFRTAISFLNSSNLRIAGFKSYCIAVGELISVFIDIHYSRAPNENLLLTNSHWYVAEKILEFLEVFYDATVVISGVYYATSPLMIHQLLSIARHLHAYENDDLLRHSVVPMKTKFLKYWRNIPALYAFAFILDPRELLVCAPAAVPSPQLIPNTHSPKPLIRVSNIGPCVSVGWASLATAALDHLCQAQAVSDHLDILSIDFSHKLPFRLKLPMIKLALQRGLHFEIAYSPLIADAESRKQVLAQAKLLVDWTKGKNLIISSAARAANEIRGPNDVINLCAYLLGLSTQRAKAAVSANCRSLISNALRKKHFYKETIRIDRLLPNEQLNLSNFKLGDWIGWDPLYCKREVQSLETNLEPSNKDQLPGLPVDKSVLVEQLDESSNYRDIPLPSGTQEDSLQVNRGEIICQLPTLPASFGHENVSTILDKPENDENIAHQMQAADVPFVELKSIDQHIESVQDRMQLDETESFKINLASDDSLVCSAFPSTMEPSDATLVNKCSHQSSDILDYGKACRNCESDLTSCVRVDKSPLDSEIPSGSSVLPENKVLDLFNGITVDSETPLWCPPCGRDDEAPSDLALRWDSDLCGDVMMPQHVIKGGIEQITDKQIIHTLRDKIESIDRNGTISTTHAFHGPETVSTACMYNKGSNTTLGTDELTKQVPNETSTSLDEDIADIHKQPLNNSFASGEVEVPQVISEKQSQKVRVHHTAYLPFLGLLKSLHFKKKACKLEWPAPDRSVNKLNMMMQA >OB12G16940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5387985:5390679:-1 gene:OB12G16940 transcript:OB12G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G01730) TAIR;Acc:AT4G01730] MARRESTTAPAPGMAWPRRRRRXXTRILSARAHGCVGFLVLQLVGMAVFAVLVAAFYVVLGPYLGSAVAGNTLLALFSFSASAAAALYVRCTAVDPSDRTHAKKMRRRRRQLARGGRAGGKLPRLRYGYILWRYALRVLRRVEARVMNRWVRRSYLEQWNSSVQLDPMLPFAFTSLDDVVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMSFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMEHRLHIRLPKGAHAALSMVFVIFTLYSTAALGQLFFFHVVLIRKGMRTYDYILAMREAAKAFDPFDDSDSSSDESIDFDSPEKPSFLSRIFRRSDEVTEITGKLSIRIENEPSDGTRRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSTTTSPMKPLPVETKRGPLNPERRHIMAGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRNNFDLRLAEVSRELESHISKQVLCSVVMKGVEDEGSSSS >OB12G16950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5390852:5397464:-1 gene:OB12G16950 transcript:OB12G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGFVGRRMVRASLAEGHPTFVLTRPELGLAVDKVQMLLSFKAQGARLLEASLDDHRSLVAAVRQVDVVVSAMSGYDLLQQLKLVEAIKEAGNIKRFIPSEFGMDPAMMGHAIEPGRITFDQKMVIRRAIEDANIPHTYVSANSFACFFVGNLGQMRTLVPPKEKVLIYGDGNTKAIFIDEDDAGTYTIKSIDDPRTLNRTLYIRPKENILTQKEVIAKWENLSGKVLEKIPITGEEFLASGEGTDYINQVGVGHFYHIYYEGCLTNFEIGENGAEATLLYPEVEYTRMDEYLKRYL >OB12G16960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5409403:5412842:-1 gene:OB12G16960 transcript:OB12G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKSRVLVVGGTGFVGRRVVAASLAEGHPTYVLMRPEIGLDLEKLQMLLSFKAQGARLLEASLDDHRSLVAAVRQVDVVVSAMSGVHFRSHNIMLQLKLVEAIKEAGNVKRFVPSEFGTDPSRMGHALEPGRVTFDEKMVIRRAIEEADIPHTYVSANCFAAYFCPNLCQMKTLLPPKDRVGVYGDGHVKVFFVDENDVGTYTIKSVDDPRTLNKTVYIRAQDNCLTQNELIEKWEKLTGKSLEKFHIPADDFLASMKDLDFAHQVGIGHYYHIFYEGCLTNFDIGENGAEATQLYPEVHYTRMDEYLKRYL >OB12G16970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5415653:5415844:1 gene:OB12G16970 transcript:OB12G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASYTALCRVYLCKKNMCILFPFSSLVNLLWHLGPVFPQYVCSNAFFLLLFFSVRGHRSLFLY >OB12G16980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5430311:5430616:1 gene:OB12G16980 transcript:OB12G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVAVVGGGASGLAAAHELATSGGISVTVYEKEDCLGGSLARTAAGDVDGGEHVLRLDLASMVYNSVGADRHIRTGGVFDGELRFDSLKDV >OB12G16990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5432332:5432634:1 gene:OB12G16990 transcript:OB12G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHPSSYSNVASPPTAYEHSQVSMMPLHYLYHFFFTSVSLCPSPYTTSHLALLTSGDRNWALFHHILSILPWGLGSWNKDHKIVATLSPLHDNACELHD >OB12G17000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5434429:5441135:1 gene:OB12G17000 transcript:OB12G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACECECDHRPRATVHIHYKPTNSYKEFWALAKPTFLDRCKFKVTCPNMMQWFEVLGANMERSDMSFSVRTQLDVGRACEWASGNGISGLLAQKSNALNPRFWCMISDILKFKSDALRYLEDRKNNPDLNLNETLGQFIQSHGYCQLFQEAYLIPICCCIWPCPSQQVLGFSAFFVLSFFHKHHILQFSQFGRGEQLTVKGHSQSYINKVKEELESRGCRIKTNCQVKCISSFDRGYRVLEVDGLEEMYDRIIVDTHATDALKLLGTEATHEELRILGAFRYAYSDIYLHCDESFMPFNSSAWSACNFMGNKSRCFCVTYWLNLLQNIQSTRTFLVTVNPSHVPNHVLLKWNTSHLVPAVAASKASLDLGQIQGKRGIWFCGTYQGCGFHEDGLKVGRAAAQSLLGKKIDPIVNPKQMVLSWTETGARLLVSRFLNQYISVGNLILFEEGGTMFSFGEACKKCNVKSILQVHDPLFYWKIATEADLGLADAYINGYFSFTNKREGLLNLFLILIANRDAQRSSSRISCTRGWWTPLLLTAGFASAKYFLRHLSRKNTVAQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFMDEEESLEEAQLRKVKVLIDKAKVEWDQDVLEIGSGWGSLAIEVVKQTGCKYTGVTQSMEQLKYAQRRVKEAGLADQITFLLCDYREIPACHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAEDGIFVIQFSSIPEERYDEYRRSSDFIKEYIFPGGCIPCLTRITSAMSAASRLCIEHVENIGHHYYPTLVRWRDNFMANKDKILALGFDERFTRTWEYYFMYSAAGFKSRTLGVYQIVFSRPGNAKLGSGFKTQN >OB12G17010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5448028:5448186:1 gene:OB12G17010 transcript:OB12G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMIVGLAVNMSRLNVNVRVTKKINYSLILNVNGEALTRSYPTKKKEDQY >OB12G17020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5452941:5454399:-1 gene:OB12G17020 transcript:OB12G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDMDAAPLLRPVPGSYGVPFFSAVRDRLDFFYLQGEDRYFESRAAAYGSTVVRVNVPPGPFIARDPRVVALLDAKSFPVLFDVDKVEKRDVFTGTYMPSTSLTGGHRVCSYLDPSEPKHAKLKQMLFSLLASRKDAVVPVFRSNFGALLDAVESKLASDGKADFTGLNDVTSFEFIGEAYFGVRPSSSAALGASGPSKAGKWLIWQICPVFTVGLPMIIEDPLLHTVPLPPFLVSSDYKALYSYFSAAASPVLDAAEGLGLPREEACHNLLFATVFNSYGGLKLLLPGILARVAEAGGKLHERLATEIRGAVASAGGNVTPAALEKMELTKSVVWEFLRLDPPVKFQYGRAKADMRVESHDAAYSIKKGEMLFGYQPCATRDARVFGPTAAEFVGDRFVGEEGRKLLKYVYWSNGRETESPSVGNKQCPGKNLVVLVGRLLLVELFLRYDTFTAEQVGKAAAKVVITGVTKAATSVSHGAA >OB12G17030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5476840:5477394:1 gene:OB12G17030 transcript:OB12G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNATSAKLKQRNEIANSQINLAAASILRLTDQKHAPTKSRRPTDLANKSPTRRRNWIEERRGRWSTLWALGGRAFWGWLVPALAETVRWGDDGVQCVSAELEVELLTPSRGCASFSRAKSYGPFTLSLLRAPPRQLATSSHCECPNQSSLAHPRLQIWNSADRRIQYPKMPVDCDHTGPEME >OB12G17040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5480351:5480644:-1 gene:OB12G17040 transcript:OB12G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRATSTANPLPPPRRRPSTRATTSHHGPRPPPDPPWPLQHAPDPSPALCLHRAVSPPPTLSLLSALPGAAAGSGHSPASAPAAALRSGHRGEWTR >OB12G17050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5485542:5488065:-1 gene:OB12G17050 transcript:OB12G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTPAGSAIEEQEREEEERGVRKGEKDREKIEKSNTWNPPVAASVKPVLAALTKGGKGPKAKFVVSPFSAVARDRVVLCHVAAGGGLLASRSEGKGQLIPAYLMVLQLIQFSLFVTALFKARDMWAIPAQEGTWLPIDPFSQDSSSQDMSISTPSLKPAKGRRKKQIIEITDKGRRRSARINKLTPSMGIGRPRGKAITKSSKKLKRVAEKSGILLSLNSLPRDSIESNANGDDTDAKPMDCSIQLLQQIRTEVCGLSEEEVAAEKLTVQSSTGRDAARST >OB12G17060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5489703:5492532:1 gene:OB12G17060 transcript:OB12G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERESPRSAPRRAAASAAATAKDGGGLLSPRFRSAAALAGWDEESLLHAALVVEDTPVRESRRRRRSSSSASAGGGGGGSAGSNTRKRRIRRQPPGSIPAVVLSLDDDDKKPDVVPDGKKEVKEEEEKSVVVGEKEASSEKAAATSELPCMDRLREELSCAICLEICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCAVNTVLWNTIQLLFPSEIDARRTSVTSSSENNDDVVQGGMRSSSSNGISYITQRSTRSSSTGTATRSFITPGSRRSFNPSGSGSSTAGRGFVRASQLVPGARAVSVRTDQSDDDASLAYRLQQEEFMTAFESEGERQPPRSSSSSSAVSAARANLRAMASRAIRLRARGWPV >OB12G17070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5500331:5501949:-1 gene:OB12G17070 transcript:OB12G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFASSVSLGLVVLSAVYVLVALRRSRSSSKPRRLPPSPPGWPVIGHLHLMSGMPHHALAELARTMRAPLFRMRLGSVPAVVISKPDLARAALTSNDAALASRPPPLAGGXXXXXXXVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPATPVDLSECFLNLSNDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLRAVCDEIVDEHISGNRQRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGDKGRVEESDLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPAKTRVFINTFAMGRDPEIWDSPLEYSPERFENAGGEIDLKDPDYKLLPFGGGRGGGRVPRLHVRARHRAGLARQLAVPLRVGAAGRRARRGRQPRRDVRARHEEEGAAVRRRPEERRLRVQGGGAERDLN >OB12G17080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5536681:5537097:1 gene:OB12G17080 transcript:OB12G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKHPSCTTERAHAECSTPTPTTHATPDHDDEICDLPEEFHVLGHPLTSSPNPFPMSLSMQEDDMIYAGDLGYMSTLCSSPSSNVDDLYPPKDNDTIILHPAFVDDGDIDIINEDIYNFMCDQTLLRDAQSLATRFK >OB12G17090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5563999:5566991:-1 gene:OB12G17090 transcript:OB12G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63290) TAIR;Acc:AT5G63290] MPPPPPPPRRLLLRPRRRPPPTCTSPSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRARFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHEAVGIVSACEGLQNWSMDLISSLPNQTEEMWEESLSCTIDARPTHVSVYDLQIEQGTKFGQLYTPGVYPLPSEIDSANFYKIASKRLSEAGYNHYEISSYCRTGYECKHNVTYWQNRSFYAFGLGSASYINGVRYSRPRRMREYADWVQKLENGAWSHEYGSAGMKDMAMDVVMLSLRTAWGLDLHSFSKSFGKSLTESLCNTFRPFVESGLVIAMDMERRALHPSDFELDLQHEGDTGSRVAFIRLSDPDGFLLSNELISLAFGIISP >OB12G17100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5585313:5591963:1 gene:OB12G17100 transcript:OB12G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVRQPSTDAEKTEVGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKPPQAERVLAKETELHLAQKELNKYKEQLNNAETTRVQALSELEKAKKTVEDLTNKLDTINKSKELAIQATEDAKNRTKQLEGGDSLEAVGKDGPLKQELEIAREQYVVALADLDAAKQELRKLKKDFEASLDMRLAAAQQEEESLLLAEKNKEKADQLRNEIAAIQESLMHVKEATEQAHEEESQILAEKDVARNTYKQALEETEKKLSSLKNDFDPAVYESLKEKLDETNSEISSMQKKIEDARAQDLESVATVSTELDDAKEMLQKVAEEESSLRSLVESLKQELEAVKLEHDQLKQKDTETESIVGDLHVKLQKCKLELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEVMQKNAADLRDEAEAARVALAEAEQKLQSALKEAEEAKSAESKALDQIKQLSERASAARASTSESGAKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLESARKEMEDMELATEEALKRADMAEAAKKAVEGELKRWREKEQKKAAEAQPAPEAQAYETASPPVQKASTGKATEKNDGHQRNTRTLLKKSFMLPNITNMFHKKKNHADGSSPSHLPGEKSV >OB12G17110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5592071:5595533:-1 gene:OB12G17110 transcript:OB12G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSRHLCRRATAASPHPPPAPAQQLRCRWAGRPHAPGVCSVVSPSKPGVAAVDVPAAAIPDAAVTGVVERTSVSSLLEVVADDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSEIDSLATAIKLVHRSGGIKRAHELAREKGDLAIQSLQCLPRSEFRSTLENMVKYNLERID >OB12G17120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5604031:5607651:1 gene:OB12G17120 transcript:OB12G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVSSVRGMLAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGAGGGGGVVAARPVDAPEEGLHDVNDGVGSCRVDEVHHKQDDVHVGESRDVLLPLEKQDGSSQDPCSDNSVAIDADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPPSGSKGPASSVKRRSRLHSAKENSSPPNKESNQQATSSVSQNRSILEAFQKSKNLATRETGSAASSSKNLGSSIAARISQLESVTGPVKHADSAVSQVKPPREASLKDVLEIASSSTQLEEQRSSHVTRVKEKLFGFTSQSVHQKANMRKEKGKPQNESFKARPLPNFYRKNKQAKDSSHQSSLDINSHAHATSKEASKDKQICCFPLRRLG >OB12G17130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5608086:5609807:1 gene:OB12G17130 transcript:OB12G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPVLLAILCCCICSYHSAAVVAAGELAGGDAAAAMAARHERWMAQYGREYKDAAEKARRLEVFRANVAFIETFNAGGKSTYWLGVNQFADLTGEEFRATMTNKKGFSANGVRASTGFRYENVSADALPASVDWRTKGAVTPVKDQAQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGDDHGCEGGEMDGAFQFIVSNGGLTTESNYPYTAADGRCKAALAANVAASIRGYEDVPANDEAALMKAVAGQPVSVAVDASSFQFYQGGVMAGSCGTSLDHGVTVVGYGTASDGAKYWLVKNSWGTTWGEAGYVRMEKDVDDSRGMCGIAMQPSYPTV >OB12G17140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5618818:5623444:-1 gene:OB12G17140 transcript:OB12G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGWGVGQCEATGGEAEATGGEWCSTWLLEDHARPRQRRTKISHRNHHPSAASASSNQSCIADERAALLSIKASLLFDPEGRLASSSWRGQDCCGWRGVRCSGSTGHVVKLQLRGSAADCRSLYRHRDGFGGTNQGLRESRSNCRLHSDGLSWLSHLSSLTCVNLTAAVDWVDSISMVHALKELYLQQCGLRRKVSFLRRTNLTGLEVIDISRNAIYTTIAPNWFWNIASLTYLDISSCGFYGSIPDEIGSMTSLEQVFFSGKWEQSLVNHDALKFKKSMQPAGRHSCRWIARDKGKGRHSPLKRKPVAHPVEDAMEKLGTTTTRDQQPWFCTETRGCDAGDLLSCTEKKTQTKQKDLPYYGIYPTTPLPTAGDRSRWSRRGKGADELFAAGSRGGGARGKGKGGSPSGTARALAVASAFASASASAALQPSRRRKKLQPLVNLTVLLLSHTSITGAIPSSIWGLTKLNYLDLCSNRLSDTVREDQLGNLTNLVFLGLGNNTNLQIKASSNWTAPFKLKTALLCSLQLGPEFPPWLRSQTSIQHLQVANASIATTIPDWFWIVFSRADFLDLVYNQISGTFTGNSGVYGSKHHGYFQQQIYWHGSKISMKFQTKGQQLEFSTQIAYLVNLDLSSNKFTGSIPRGTGALVALKGLNFSSNYLSGEIPDTIGQLKQLESLDLSHNELSGKIPSNMEALNSLGTMNLSYNNLSGTIPTGNNLVSSDDSSYIGNIGLCGPPLTREHFSHP >OB12G17150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5619772:5620763:1 gene:OB12G17150 transcript:OB12G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQNLMAVVLKRSFHTAQEVTGVASSCLGAKPWLLISRGGSSEFFHGVFNWMCYRFAF >OB12G17160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5627543:5628007:1 gene:OB12G17160 transcript:OB12G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKRAAAAVALCCMLILLPAVELQQVGAMSKFCRCYTRCYPDCRWSLPRFICVLKCMDDCSPSNKNVATGGDCHSFCLLTICGMAMNGAADAASCVDDCTKNPNLYTKFL >OB12G17170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5654564:5655883:-1 gene:OB12G17170 transcript:OB12G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTSEKGLHNDIPDDVISCEILPRFPFKLVTRFKVISKKYRELLTNNSMLTAKQSSLMFDTVDNDKEDTSKFMIVKAHAMTIEENGTKFCFATFSSESGYWTMSRATVSVHTKVNCRNKKVAYGSGIMYWDYHDLVLWFDIANIVAGVIKMPWILLDVEVKGPIRHNIDTSADGTLVCIIIDKEGLTIYHVVGRSTEIHWELKHERRWIDVMKDSIVAFGFCHSMQLRSGLRTERLTGRRLLRPLGMEDGRFVYIGVRQEWKTKDRILRYDIVTRKTYDTGKELGNRYSMNPFYGYRNSMAEIPPIAVPILGNVCEGNAGGCICAMHAEED >OB12G17180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5686970:5687221:-1 gene:OB12G17180 transcript:OB12G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFERTKQQAFTLEIWYFSTVRQVKELVMQKTDIPVESQRLFLRGKELVDDDKGVQDYSVVEGSRILVVRRRPPAARRAET >OB12G17190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5697481:5699047:-1 gene:OB12G17190 transcript:OB12G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWHPRLGTRLVVVSAQSNFSRVVQTALKVGRDAVEAGTTLVPASVPRPVARIGVTFLALSFALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSRVDEGANSSEDPVEEARRIMEKYK >OB12G17200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5700354:5701130:-1 gene:OB12G17200 transcript:OB12G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFQTAAGRRFTVEIWFFSTVRRIKEYVLRQEGISVESQRLFLAGAEAELDDDGDTERYSIVQGSTVLLLLPEDGAAPSSAGGGGGTVVRVVVSAPAAIAGRGGAVAVEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAQLALPNDGGYFFIYKQNVMEEDRTLRWHDVKNGDTVEIFNGRVTGGA >OB12G17210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5702569:5706161:-1 gene:OB12G17210 transcript:OB12G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3NCL3] MAQHRQGSQAWRDWCDGEGSDDDDDDGEEGVGGVLSAFVCPITMEVMRDPVVVETGHAFEREAIARWFSACVEDGARPRCPVTMEPVDGADLKPVMALRAAIEEWTDRRDSAELRGACRWLTKAASEKEALRGLDRVMRGWSGARVGKRVVRRDGMVPMVAAMLRSGSARVRLRALQALREFAREGDEYRESVSDGDTIRTIVKFIDHEDCQERDLAVSVLCELSKSELVCERISDLNGAILILGKVACSKAQNPALAEEAEMTLENLERCEKNVLQMAENGRLEPLLNLLSEGSPERQLRIASSLEKIVLSNDLKNLVAQRVGLLFAGVVENGTLQAKEVAFKVLDHISSNTESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACGVDFGTVPLDDNRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVVLSSSTTTVLSITSAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFAMDHEIAQVLRSSPTLLGCLVRIISDNDADADEQDAALQVLANLPKRDRRLTMELMEQGAFKYVARRVLSICRRGVTSNAFDSTMLEGLVKVLARITYILREEPRCVALSREYNLASLFTSLLRLNGLDGVQVLSAKALVNLSVESRYMTGTPNFDEHDQKSVLTWFGKKQPGIQLCRVHSGICSIRDNFCILEGKAVERLVACLSHQNKKVAEASLAALCTILGDGVEIAEGVSVLSRANAIEPIFEILKGNPTGTLQQRATWAVERILRAENIAKAASGDRGLSSALVHAFQNGDTKTRRIAEAALKHINKLPTFSQIIDRHPSRRGSSIGSMERYFRSDR >OB12G17220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5727690:5731789:-1 gene:OB12G17220 transcript:OB12G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3NCL4] MAEVQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGVTFEREAILKYFKECGSGARRLVCPITSKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSECSHSDTLQALEYVAEICQRSRSSRHVVRKVGLISLITELLKNSSPKIRQKALESLRFVAKDDNDNKNEIAAGDNIRTIVKFLNHGHVHEKEQAVSLLYELSEYKPLSEKIGSVPGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNLMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESIPLDHNRQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSSTTVQSIVDAIKSSGATVSLIQFVEAPQREVRMASIKLLNNISPFMGQELADAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPRDSVLTRRLLQDGAFSTIITKVIMVRQGEIRGGRFVNPFLEGLVRVVSRITYILDDDPDIIAVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTKIVPAPNPGLCFSIFPCLSQKSVATGACKVHVGICSAKESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVTVLCDAEGVNPILDVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >OB12G17230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5745187:5745435:1 gene:OB12G17230 transcript:OB12G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKDVILFTILRSELPAARGTVISINPNTMVGGQPLGNEYCEVVVNVVMKRDAMLPRSYGDMKTMASALKMSIAWPYNKVIN >OB12G17240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5752392:5753138:1 gene:OB12G17240 transcript:OB12G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSIVEFESANIRIIDQIIPILDKTTQLRAPLFITGETLAALVVIVAVIKTPRSIWSCRKEKGGLDFRDLYLFNLATLARQGWRLIMQPDSLCAQVLQAKYFPDGDILNVSEKPGISYSWRSTVRGLQAL >OB12G17250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5768972:5769416:1 gene:OB12G17250 transcript:OB12G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3NCL7] MLRLSVRQGDQDDDTMTAGVMLLVTIVVDGRSICHHVHLSRHTGYRSLSAALCRMFIDEDDDDDGGGGADRDHGGGLDLSNAVPGHLVAYEDMENDLLLAGDLSWKSPVAVSAEEEEGISNDNGVSNML >OB12G17260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5811025:5818293:1 gene:OB12G17260 transcript:OB12G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MPTARRGGPPPPHENGVGGHRNGDDDPDEEEEEGGGEGEDEVEVEDEDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDAAGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAVALDPGYYQNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTVLVIGWGTSIKIAAIRTDSSQGLNGIQSITASYAKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDKENSISNSVPSRQGTAQRPEIHLLSWKNDEITTDALPIHGYEHYKAKDYSLAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYSEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKQWPPTLYSVSPVISAIEPQLNSSSMTDSLKEALAELYVINSKYDKALTLYAELLKPEVFEFIEKYNLHDAIHDKVVNLMTLDSKRTVNLLIQHRDTIPPNEVVGQLLHTSKSCDKKHLLHSYLHALFETDMNAGKDFHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDCLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVDEGSSRANERSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMRSNSTHDSDEGSGDDDGTPSGESPMRCVLCTTAAA >OB12G17270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5812233:5812546:-1 gene:OB12G17270 transcript:OB12G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKNSGRGIPFGLSINAIPLSVFMSCTFTPASLAQARRSVLHFIEWIGPSPSRKTCSLLPKTFLSAQVLDLLNLL >OB12G17280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5840017:5840244:-1 gene:OB12G17280 transcript:OB12G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCYKSTKASMIILSHGSRERVVSYYSLPLTAPAATDDLLPQHIWPFSPPRFEHTIRCSLHRLEADRVLGRQIYR >OB12G17290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5842339:5846546:-1 gene:OB12G17290 transcript:OB12G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein [Source:Projected from Arabidopsis thaliana (AT5G40670) TAIR;Acc:AT5G40670] MSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVFLNYRRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHEQYGDKEMIPVAANDVAFSVHAVTLTAFTLFQVFIYERGNQKVSKVCVSITAIVWVAAIVCLIVAWPKNNWLWLIDVFNSIQVGMTAIKYIPQAVMNFKRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDIIFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDNV >OB12G17300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5846519:5846725:-1 gene:OB12G17300 transcript:OB12G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDDTTGQRVYPRCEHVSTVSRPVTTPSTRTILRRTRTGHYPRRPHVAGFPSRFVHPSRGLQGMAQP >OB12G17310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5853119:5861546:-1 gene:OB12G17310 transcript:OB12G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPPKSDPDATPIGSISPSSRSSAAAAFEEVEELLTGLAVADRGDLLLLPKPTGWEDGPADGVGGGGGEDSGEKLPGEVSAAEAEGGGGGGGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGSNSSSNKASSPDDEQAPKEEYGSYVPDISVEADSLVFADKGSTSNSENFKKKSYEIIDVKKVRVEPKELKVAKEKRKEFISEVSSQEECKYYSTPGGCKYGKSCKYLHRAGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVGSKDPQLEHDNVDTPQQDVQGSSSQPNASIWPDQRTVNEHHVPYLAPSPSYGAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAPINHPMYKPAEIPGHQQAASEEYPERPGQPECQYFVKSGFCKFRMKCKYHHPRSPVPLPAGALSPLGLPIKPDQPVCTYYGRYGVCKFGPACAYNHPFNFGPVPAAGPPLLPAQYPTPGNYTL >OB12G17320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5896350:5896652:-1 gene:OB12G17320 transcript:OB12G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMHRFPRREEEVVEDGGETGGRRSDGRFSRGSGGIHNTLVHIISDGLILLPMMGKVFGHRSVVTDDIEPPVTGKDPSKVKVTFDGVQLKSVTDDLHL >OB12G17330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5901330:5901641:1 gene:OB12G17330 transcript:OB12G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKCWNKHGEEGFNDRDLATDHTGQGISSHQQSVGHDGVERFLGSQDGESLNDSQTTEHDVNEDDLVDIGDNYVHVANQLERRWFVMPWGMVGIPIRSLRS >OB12G17340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5910326:5915355:1 gene:OB12G17340 transcript:OB12G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMNPFSQMSSSHSVWPVLLLIYNLPPWLCNKRKCMIMPILILGPQQPDNDIDVYLRPLVDDLKTLWSPGIEVYNGHRKAPLTLYGMLLCTITDLPGGRSVYGQCKEEKDCPHCLDDTETIWLNNSKKRVYIRNRCVLPQSHPYRGMNHQFDGTREIASAPRHFSGEEVYNQVKDLPTTHGKKSTILGKQKRDTDEPEIRWKKKSILWELPYWKVLAICHSIDAMHVKNVCGSLLATLINENKFIPMKAHDCEVMLTTMLVVGIRKILPEKVRMAIMSLCFFFNSISKKSWVHPEGSMVQGYSAEEVVDWCLDFIDSKNPIGIPKSRHEGRLAGTGTIREKTLNPDKNALRQAHFLVPQHTTDVSPYIDEHKDHLHQENMERSDAWCRWVQGARSVMKDTYWFTTVDLEHVGYKEEPFVLVDNVSQVFYVADTRNKKQHVVLPGKRWLWESKMKWMKSRFQVKRISRNPSPASGQKSSCAFASEAGDDDNNAANDPLQAQMELEYDVNKEQMEFDNNVDEDHIPSPLMDSIDMLTEPTSCSLITYIGAVCAFFKRTVACDKLEKQHQLRDVAVKESNHPKETGLPWAMPNLNFICGQPMLKTAALESVGPATTALHEYYMKIPAAKLKNGIAILLKYLCRLMLMETKEKGLPIGFLDLEVMKLSTIRADMSYMVDYVRKAFQSFVKEFIMFVHNPGDHWLLVVLIPKWGKVLYFDSQRTRPRDHTLLKDVLDEAFLTYSRTYKMDTSKPIHVTKIPCYQQHFGNECGFYTAHHMILALGLLDVARHECRFYAIWF >OB12G17350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5921384:5929049:1 gene:OB12G17350 transcript:OB12G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT1G59760) TAIR;Acc:AT1G59760] MATLKRKSQKDDPAAELASPPTKTPRSEASESPAPSPAPSPAGGAEPVACVHDGSYPXXXXXXXXXXXXXAPSSEAAGPAKTFPFQLDPFQAEAIRCLDSGESVMVSAHTSAGKTVVALYAIAMSLCNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKALNALVPASENDKKRENGKWQKGLLTGKPSEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQIRSEDGDPEKLLRYSFYQFQADRALPDLEKQVKELELERNSMVIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARIQYSTDEQTTFSIDENVTWGVTINFEKVKTHGEDRRPEDSDYTVDVLTRCSVTKDKSGKKTMKIIPLKERGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVEEVISRFAKDGIPLLDPEEDMKVQSSSFRKATRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSAKIKSMKKTMRSCTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISTADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >OB12G17360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:5919883:5946577:-1 gene:OB12G17360 transcript:OB12G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGFASPDELGNAAAAAPGDVGETEWNMRVAPRARGSLLRAMARDVAGGTSPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKSKTWYGVPRDAMLAFEETVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFHSVPRSSRLRDKNKNESDIMVKENFVGSVTENNNLLSLLLDKSSCIIVPNIAFPIPSFPLTVESEVTVKQRFTTGPCSISEQGVENIAVDHVAVDKITDAQDMSGSLYDCEPNLMACSSRKLYETKNGTQDVATLCLSNSDIQSRGMDEARSHSAGGILDQGRLPCVQCGILSFACVAIIQPKEAAVQFIMSRECISSSAKHGEIASHDTSNWINQSHDDIGPPPGLASGTDDNVKHAISSAHVSDRCRQLYGSNTDGCPSALGLLASAYDSSDSDEETTKDISKRSEKNDLFNQSTNTQISSASYSSTVQRQKTNSHFQEEECEARASSLMKLVKHNSQSIRDADICLGNSGASYSGYLDLVDDITTSVLKSSSDTCVRAAKASVDPDVLTMLKYNKDSCRMHVFCLEHALETWTQLQQIGGANIMLLCHPEYPRAETAARVIADELGIKHDWKDITFKEATEEEIRKIKLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLIDYEGQKSGSTKKKVAGWWCGKVWMSNQVHPLLAREREEQDGSIVYSKAMSTAISHGKVQDEASTRCNTINRSLSKRTSRRKKGGSAEKSKAKKKRATASDEANMHYSELGISSEVIHDQLENSDDRDKHGDGDEIEEATNAQKYQQHDLQNVTRKSSSKKRKDEKKKGSYRELYDKDDDVSYWLNTGSRDDSTIGNSDNTRQQSPDPLKVKSGGKLQGNKRKSNKYKSNDDLLNEDNKFQKMNKTSSSKKQKNEKINRQYQEDQNKDDHMLDVDVGDEATLDSEDKITDDKTEDMKVKSKGKLQSSKRKTSKRQTSDGLRNGNRVAKFACDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHMDERPLKCMWKGCKKAFKWPWARTEHMRVHTGVRPYECQEPGCSQTFRFVSDFSRHKRKTGHSCDKRRKKST >OB12G17370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6016426:6024749:-1 gene:OB12G17370 transcript:OB12G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48425) TAIR;Acc:AT3G48425] MKRFFQPVPKDGSPAKKRPAAAPAPAPGPAAGAAVGEGDSPSRREEQPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICIQEVRMPAAGSKGAPKNPSQLKDDTSSSRDEKQVVLRALSTPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHETDGRVIIAEFDSFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPSKEDCGQPGFTPSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFIVSEKLKDRIVSCEIHGRGIELEGFYGSDHCPVSLELSEEAEAPEPKSSN >OB12G17380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6077770:6078378:1 gene:OB12G17380 transcript:OB12G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKFAIIPSLITCVTQFHQLPAASTQARHCYSPPKPGTGAGASIHRLVYRSLPLPPTHLVDAAIVAQPDLAPLPPPHRVTFTIASTSRPHRCGLDPTDATGGLGRTDSAGWPPTNLTAIEAPPASLSMLPLAHPDSLLLRWRELL >OB12G17390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6082977:6083384:1 gene:OB12G17390 transcript:OB12G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFNRFLYDGGPAYATGSEPTGESVVQLVCRTGGVSVLAHPWALKNPVAVIKEFKAAGLHGVEVYRSDGKLSGMNKAALSVMLSLHFNSFMWILI >OB12G17400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6083975:6084322:1 gene:OB12G17400 transcript:OB12G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTYGLLKLGGSDYHGRDDRDEPDVGSVDLPVLAVSKFLDVAQPIWHGATKEIMPNMTETVPGLNGSTELSSGKDFCNLCLFSPGLEVTEASEVEVLITEFADIALSEIGPQDT >OB12G17410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6089232:6096082:-1 gene:OB12G17410 transcript:OB12G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAVSASQGAMRPLVRKLDSLLRPPEQRPLRKGDRKKILLLRDDLHSLLDGYLVVEPPDTATTAACWAKEVRELSYDVDDFVDKLTTQLRCGGDGSRSSAAAAAWSPGFEIAGRDSLMKSLVQWLPRGWKRTPGRRFLSLTLGMDNMHVQIAGRDSLMKSLVQWLAGDDKATPALKVGSILGPGGVGKTTVATEVYRLHGRRLECRAFVRTSRKPDVAKILADMLSQLRPQHQHQCSDVWEVDQLVETIRAHLQDKKCQRLLKYNLPLISKYFIIIEDLWASSTWDIISRGLPENNCCSRILMTTEIKSVALACSGYNSEHIFEIDPLKDDVPRELFFSRVVGEGNEFPGQHAEVSYDIIKKCGGLPLAITITARHFKSQLLDSLQQWDHIQNSLTTANLKKNPTLQGMRQVLNLIYNKLPNCLKACMLYLSIYREDYIIRKDDLVKQWMAEGFIDSTENEDMEEVGGNYFDELVSRGLIQPVDINCKNEVLSCVVHHMVLNFIRCKSIEENFCITLEHSQTAARYADKVRRLSLHFGSAQVDATTLLTGLRLSQVRSMAFFGQVKCVPSIAEYRLLRVLNLQFWAHQEKTSCDLTSISELLQLRYLKIITGAAVPADTGRRRKNSCCLVLDARTAAASFETVQTERLLHLRLVLLERLPDSRYVFTSIPSWIGKLDRLRVLNIAVMQISQDDLDTLRGLPALSALSLRVQTAPAQRIVVANEGFWALSYFMFACTAPCMAFVEGAMPRLERFSVRFNADEFKQYGPAEPGFEHLASLQEISARIEGPDADADQSDKEEVESALKTAIRRHPRNLVVNIRWVDWIFGVEERDSDEDDSGVCMLSKSSSHQRNRDSKEWEDRNFKMTLKEAGDMQAGSSRQRVEEETAFGVEGMVTECHTMDEFWYLMDQAMESRKLVVIEFSASWCVPSRMIAPVFAEYAKEFAGAVFLKVDIDDLEAIAESYDIDGVVPTFAFVKDGQKIDMIQGAKSLRAKIQMHTASPHFLTS >OB12G17420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6123744:6124229:1 gene:OB12G17420 transcript:OB12G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAATAFTNCVVGKLLKVLETKYTMIKDLSDDSASLQQDLLLLAAHMDDQLRRPPSSSSAAAAADESPTAVLRAYTNLMRELTHDMEDSIERFLHRVTLVDDGASWPRRAAHWARTLRTRLRFAAEIKQLKRRLTEAHERLQRTPSPLPRPHRRSLKWS >OB12G17430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6124241:6136724:1 gene:OB12G17430 transcript:OB12G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPIERLAGMLDEHGGGPQQLRGIAVVGFRGSGKTTLARAVYSRAARQRQFRQHEWVDASKSTDVLADVVRRVCPGKDDARESHEENLRNHLKKAGRYLIVLDDINMEQWDAIESVFENNGRGSRVIVTTAILSVANRCTACKSGPNGACVRRHGWVYRMQSLGEAHAKELALGGGAAERPPELEHGSATLMAKCDGFPLALVSVANHLRCHDNPTGRHCADLCHLLGSLLLDERNVELLAGMATAADNFARLRRVLMDSYAALPDYAARTCLLYLAVFPSGRRFKRSVLVRRWLAEGYARGGEDVLGNSTDVNVADGHFGSFLDQSIIFVHPADDDTAGEGDDDHDGGDHQAAAAARTKCRTHGIVHEFVLHKSMAENFILSSRAPTRKSVRHLSIHGGNTTTTLSTTDLSRVRSLTVFGDGGDAVSSLRKCKILRVLDLEQCTTALSDNHLADICKLWNLRYLSLGSSSGITMLPDKIRRLKLLETIHVSKNKVTELPVQVIGLPCLAHLVGKFKLLLPDHHARMTTAVNISSELLELAKKSKLETLTGFVADENEQAFPRLMSNMRKLSKVKIWCEFTEPQGGGSNVSTPAAATTDHLADAIRSYIEAPKVEETDARSLSIDTAQCSKRLIRSCHGESKFLHSLKPPCRSYLTSLKLHGDLFRLHGLISMLNNLNDLCLSSTTTTLTRDLASAIGGLPLLLGLKLIAHRIEPLEIKRDQFRSLKHLLLAVQHPVLPEIEQGALRQLVTLGLLCERLSGMVQIRHLERLREVGLDARVGEATRREWEAEARRHPNLPTVLLLRNTYSVVLSDETDGRDVDGAQNGGDEAAGIAAAPERSSPDAAAAAVEGSESSSVVQVGSAGSAFQLSGGESGDLTKPTLNNSVAVVEETAPDAQEVSSSVKFTKSYYEDCCPC >OB12G17440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6138285:6138443:-1 gene:OB12G17440 transcript:OB12G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYNLYMSRFISIHMNLGKTRKSYIVKRREYIKKPILLIIYLFISIFHVGL >OB12G17450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6139280:6144304:-1 gene:OB12G17450 transcript:OB12G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTFHFTLRLVFCYFLLILQVIAFGSVPLKSYLPDGDIDITVLGNTALDSTYISDVHSILESEQDSGTELEIKGLQFINAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELVDRKVGKNHLFKRSIMLIKAWCYYESRILGAHHGLLSTYALETLVLYIFNIFHKSLHGPLEALYKFLEYFSKFDWEKYCISLNGPVLLSSLPNLAVELSAINDELLFSKEILEVPFDKLIVLPEVSGGSNINICLKHLNIIDPLKWSNNLGRSVSKGSFYRIRGAFSFGAQKLGQILMLRADLIPTEIFGFFMNTLKRHGRGERSDVGKNDSPEFLLAPEYALGKDAPVLNNSNRSEDENRSPNPHRTSDSYFPGTGFNIHSTYSTGNGNDSFKQGCKDCSAGEDLPPVISFMEQQIYANNKPHILTPSTRTNTLDVPHSCAAESNRSDLHEQKLILSPCSTSNLLDLSGDLDLHLGCLRKIQYHLESMFDGLVQLIQEAFLSGVLDEDSFKIPTESYFSNTDARHPGLLSVSSSKTEIRNLSPVYYSHSTGDICHKSHTGDQVNAVCQQNGSLPSGTHITSNGLTFSPYPTAISENYPVYRFHTTQDLRTYGTGMQSLNNASLFLGTNVLSNALGQFSSPADGSENHNIFGYNSTRNNRVTRGTGSYIPQMSYDTYKERILSEKGRRQREILPNRLFKIKTNQTGHLHQHNSHEVGCSDESNGVITAESTYHIPTNQNSSQQDYSGKIVVPGEGGFALESASTNHATELTQISQPWNVHNNQHGYVCSDNNNMVDNQKPATSESLVRPDNESRELQILHPPEVQICKATASPCIVLPLCGHDGQGNIQESNTCQPSSPAIEVCHPIKTKLDESLQFGSFGPISFSVPCAKFRAVFPILPSIKGPVETPISTLARPQPTATESRSEGFYQLKDEADFPPLQAGSC >OB12G17460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6151166:6156399:-1 gene:OB12G17460 transcript:OB12G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G24690) TAIR;Acc:AT5G24690] MDKLATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEEEEGGLFRRRIVIQELFNREFVDAVMQEWCKTMSNLPAGIRQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRTLPGWLSRGLVGRTLADPSFPHKIAFEFVATFFSSVWWEMNIRKERFEQEWDLAVVNALTASCCNVVVLGLLAPCRSYGSTSRFDFQNAIEKLPNNMFEKSYPLREFDLQKRISAFFYKAAELSLVGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGLDQYMVRRFDVLGVAIFFSTALRLTNIQIGESSRCTWLGEEADPQYSDRLLRAYKRPVEVAGGQEDSRWFISKDAIVSGLGLLGIKQVGTEAALSKPRRKRVVRKKVVSS >OB12G17470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6163516:6164313:1 gene:OB12G17470 transcript:OB12G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTHPVYPIQTKLMVMWFLPHQLHGQVFPLHKKCNACIPFTKSVMHALYSDAACDSGPPCRSTYDSWLWTVVLGRGVSPLQEPVALSELLSETKKSRIHRHQARRREEDAGDRRAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAGLTRHLPRSPRPSP >OB12G17480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6166335:6168428:1 gene:OB12G17480 transcript:OB12G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAVLPPAAHLHASASRRLNPPPRLLHHHPKAAPRRRLAAEFAVGGTGGDGGGGGGRRARRGGVDVAAVGAALRDARTADDVESLVRGFVDGRDEHLPLQVFTSVIRGLGRERRLDAAFAVVEHLKRRGRGGTGAGVNQFVYNCLLGAVKNSGEFGRIRDVLADMEAQGVPSNIVTFNTLMSIYVEQGEIDEVFRVFATIEGRGLVPTAATYSTVMSAYKKAGDPFAALKFFTKLREMYNKGELSGNPEDWEREFVKFEKLTVRVCYMAMRRSLVGGDNPVGEVLKVLLGMDEAGVKPDRSDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKSKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNVLLNAAKRRGIWRWGVRLLDKMQEKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDIVSYGALLSALDKGKLYDEALRVWEHMCKVGIKPNLHAYTILVSIYIGKGNHDMVDSVLRGMLSAKIEPTVVTFNAIISACVRNNKGGAAFEWFHRMKVQSIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMAACRDYGSLVDLNSLGPRPVKKAEPIWIENKFSSSYYVENLPSSTKHFGSTGTSSLYRYRMERWIM >OB12G17490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6169811:6170065:1 gene:OB12G17490 transcript:OB12G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRCSSSFADDNNGRVSDTGAYPGKCDLDHRVYVGEPCVPFIVAYVSLSPLEPSPSYSTCHRRWDCHGHEGCHHVKDRWRKK >OB12G17500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6172017:6174606:1 gene:OB12G17500 transcript:OB12G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPFGVIFEKDNNVNIQYNLPSVPPHVTPEGVYAMARGGREAVTVDCVGREPTEKVADCTGGEAEAGAGKKLLGRTMAVECNDTVLDSLWKARITTSFEIKGIGQCKFQP >OB12G17510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6173351:6178135:1 gene:OB12G17510 transcript:OB12G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSSGASSSLPLHLIIDDALALVSPLQQSFQRSQRHCFGDSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEATCTFFRKPANFPPDFQLSMSELAALDMCQKRAVFKPMNQEEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGVVYTFGSNNSGQLGHGTLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGAPGSRVVTTPQMVESLKDIYIVQAAIGNFFTAVLSREGRVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHNNEDCESVPKVVESLINVRAIHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNFLSPKLVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >OB12G17520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6232411:6237362:1 gene:OB12G17520 transcript:OB12G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSVKQQQEKLKNGYVHCVGLGTTPSDLEMPRTKKCSSTQLQMEREARRHADRRVAYLEDYVGGLQDQIHELKQLVVSHSGQNNSTPRHGSNTPHQSPRDEHDHVDGEHEDHVGGEHVEEAESFPRHELQSDDDNDMHTIRRVVLSNDQHEGGMMCMHTVYFAFSPEKEKLNGTNYADWIRNLRIVLWAEKKEDVLDTPLPEEPAEIATQAAKNAYQKMQFEAYNTNDMIVALQEMFQTQARTERFNVSKAFVETKLAEGAGVGSHVIKMVGYTQRLEKLGFPVGQELATGFILVSLPPSYGNFISNYHMHGAENGLNELCGMLKIAEADIKKGASGHPNFKKKGSWKKGKAKDAISKPTQAPKSGPQLDKECFHCHELGHWNRNCKVYLASLKKKECKGTSGSARGGFQYFITFTDDFSRYGYVYLMKHKSKTFEKLKEFQNEVENQRGKKIKALRSDRGGEYLSHEFSSLLKSCGIITQLTLPGRPQRNGVSERRNRTLLDMVRSMMSQLDLPLSFWGYALEKTAFSLNRETIGYYFYNQSEGKVFVARNVVFLEKEFLKSEKSRKKVYLEEVQDESMRRELTSDANVAEQVDEPVAAETPSQPRRSDRVSHPEFYPKPNS >OB12G17530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6251873:6252846:-1 gene:OB12G17530 transcript:OB12G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSSGCRGQVRFNTPSVGADEGSTIIGSESATPNMLREFSEDVTPSFLGATLSGTATGVDGDPALHTGDIWVPEELFFVEATISFVEVAGVRVTESCGRVFGEPIRISRTGHSPRVVRRNLGDVSLFLMLIGVVLVMIDMALVNPGGTESEEVGSRTLMMACCEAATLALAAALLLLLLVDFDTIGMNPSCFIELISCSWSAGFDDAAVVWRELSLWAAWKGPTGTSTHKMRGEVCPTGRARI >OB12G17540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6252104:6253405:1 gene:OB12G17540 transcript:OB12G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVQPFITLRFGSLWVDVPVGPFQAAHSDSSLQTTAASSNPADHEQEMSSIKHDGFIPIVSKSTRRRRRRAAARARVAASQQAIISVREPTSSDSVPPGFTRAISIMTKTTPINIKNKETSPRLRRTTLGEWPVREIRIGSPKTRPHDSVTRTPATSTNEIVASTKNNSSGTQMSPVCRAGSPSTPVAVPLNVAPKKLGVTSSENSLSILGVALSEPMMVLPSSAPTEGVLNRTCPLQPLDKGKAIMTVETGDTGSQGAAVPGEVPSKSPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTRGYKAQLYPEKSHQNHRVNFELMHPSSSPHRSKNPRRHGAGQRRSVHSSGREYISLLVMPEWGMLIQQRHHLIPFHQLPPCSVLLCKDHFHLHGTDVDVAMLATLGSIFQWQLTHEKQDVNVIKCVMKS >OB12G17550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6260096:6262074:-1 gene:OB12G17550 transcript:OB12G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSRCSSVGRTLMGGLGNNLFGAGNSSVETVARPSHCDAICQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >OB12G17560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6266571:6272287:-1 gene:OB12G17560 transcript:OB12G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCGEYLVFTGAKIPDLLDNVIKGLIVPLEHCRYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVACPVLIIHEGSILFEVHSLLRISHCLCEATIQESTTIQQYHSTINMEMDYLLSKSHLETDRDPAMRGTADEVVDWSHGKQLWELCKEKYEPLWLKGGKHCDLELFPEYLRHLKKFVHSVEKSPSPRNAWRENLDRIEPSRKSVDCFEPSRKSVDFFEPSRKSTDRREKSRPTRDRMRGVEHRYSNVEKTDKLKFSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSIDRLDRIWAS >OB12G17570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6314012:6316107:-1 gene:OB12G17570 transcript:OB12G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILEAGCYTLNPPEPQQRARKEVQEASRSGIHLHRIRWRALSSGELSTGEDQKEEEPTSYPAPTGSSHRACDAQRPNHETNVGAIRLYTKQVMKSTIGHETPMKAIDYLKNMARAKTDRCKAPDQQLACNSGYRFYTQSEQLIGLFYASAYFEDSEDGSEEQVRWPIEALEYSITISQFASVLGLDAVDLSKVDLHNQILRTQETIRRLYVDDSSKLTLGIVKLPSSSLRGECVHPPYRPQIPQALKISSSKGKPVGSTSQLVRPASSSSYLRIKKAVSAIFSLCKKNAMKIKSNEQKINQILRDSGHNIPQESNNEEYIDPFVAYEAELAARAAGASSSCAPRDSDEDTEEDDDEDAEGHDDDDEDDDNDDDDDDDDE >OB12G17580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6344651:6355139:1 gene:OB12G17580 transcript:OB12G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT3G48380) TAIR;Acc:AT3G48380] MAGSRRHPTVRLLCPKKSLLSPPSPSLRWLVGSPRFLPPLTVAAALRCLPGGADASSSSPDLQREADEIRGLLVRGFDVVGALHVGRSAEFGADARGALELARALRERLSGERASHGMVGGCVDAGTGEIQFLVSEGDGLEAVEAAGVVWEDEPGRLLWEKGCLLRCELPLKLPLYASSDDMSGIEARFFSLIESTVSKLRDPHVSYLIEGPLATRDESHYSVILHGNDLDSVSNLTHNESAEVYSANIVSCSKFFPAKRFNLSLTGENADAIQITILSNQSFNNSKANIPVVEYFPAPALASLRLINLKLDILCYSSVDLPVAAAVSELVIPGLADQLNVMKKAIVSELTTQQPQLCPYHFVPPGLFIPVTAIYDTRYGEIEEKQSELRRNLHLRLQLPLDRPLLRISNALKFSIGGTDKKASRNSSSLLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIVSWYKLQQYSSINVPSHREIQQVLVEIGDKDSSFIGSREWIGAIELSFVLDKLLGASCKIINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNETSGDCAFLILDPHYTGSDDLKKIVNGGWCGWKKSVDSKGCSFFLKDKFYNLLLPQRPNMV >OB12G17590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6372807:6373871:-1 gene:OB12G17590 transcript:OB12G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSADPSWRASDLSIPEGLENEDLLQAREEECQGHVFKSCHLQASKEVAIQDASREAFMQLSKIYNNEIATTLFFLHPCHARGDRDCHIRKVRLLEEQSPIHFSKWICAADEAYERALEELDVLRGKIVDYEERYNQLA >OB12G17600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6375521:6377625:1 gene:OB12G17600 transcript:OB12G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTQKGDPFIWSDECEASFQALKHKLVNAPILTLPTSGKHFIVYIDASRIGLGYVLMQEGHVIAYASRQLTSHEKNYPTHDLELAAVVFTLKIWRHYVYRESCDIFADHKSLKYIFTQRELNLRQRRWLGLIKDYDLTIQYHPGKANVVADALSRTGVPNAVMPLISEFERMCISFCFAGVAQQEIQMNIQSSIPVRVRESQQHDRLLFGVRKRILDGRSGEFSLDEHGIVHFRGRLCIPQKLEVKDDILPDAIFLTSSTPFHAQTDGQSERTIQTLEDTLRACVLSWKDFIHQTSEKVQEIRQNLLAAQSRQKSYAYVRRRDLEFAVGDHVLLRVSPTKGIVRFGTAGKLSPWYIGPFLVIARVGSLAYRLELPDSMNRVHNVFQVSMLRRYLRDPEHKIDLDPIRVEQDLTVECQPLLILEFSERVMRRRTIKYVKVPWTNQFERETTWELKEKCGKPTHSSLRPSRFEDESFEGIRM >OB12G17610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6381021:6381236:-1 gene:OB12G17610 transcript:OB12G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFRAITFSSKHLSSCVYPECFFSFFFPPGWNNKDASGLHEEAGALRKGKLPWWWDTDYTRSKRRRPIHN >OB12G17620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6411444:6421198:-1 gene:OB12G17620 transcript:OB12G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTELLEVHNQMMSQRQQYSILVDRLRQLETEKVELERTVVHETKERETYGYANGRYSDFYSVLSGGSASESDPDTASQVADAETDEDEVMYFDTRDFLSVESLRSVSCRRRELLANGCNGLEHLDPAANAVKSTQYPSIKRRDKLPEPKEKESPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMFIGKWDEAMYYVLGDPSTKPKGYDPMTDAVLLWERDKSLNQTRYNLSPFAISLNELTPHLSKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARKLQEKGWQPRWFRKDDDDSYRYIGGFWGAGKQGRRGTGMGFQTSSVSAAHHLGCHSYPSSLCSVVSTIPYIFTEKEKVCYRLYIHIEAMFICVPVYWIQRAEMYW >OB12G17630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6489421:6493566:1 gene:OB12G17630 transcript:OB12G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYLLLVAEAYLVEAPISKVYLVAGQGSKAKLNLRSNVKEAKRGNGSREIPLSGAPQRRVGSKDPNFSEEFSRIMTKRFEMSMMGELKFFLGLQVKQLKDDTFISQTKYLKDVLKKFDMDGAKPIKTPMLINGHLDINGKKVDVKSGQGLGLAENPDWTIRPQPGLSGSCQVLGPCIPLALSYTQIFIPSLIPCLCKIIMTRGSSNVPEKTRKKRQDPVSTSTESDGDNSPSVQYPRGRIGQKKIDEVSPSRRRGKRTANRGCPSGGIRIEEPSSCPRPIGSARQPCDAQRPNHEVAVGAICLFTKLVLTAAIGHETPKKTVDYLKNMARARTDRGRAPEQ >OB12G17640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6530020:6530232:-1 gene:OB12G17640 transcript:OB12G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRTVSSPMFVASAILIKFHRLHEHSLHLLLHCHTRRHGSLFLDRSSVGPKLLECADVPVSFVTPGVLS >OB12G17650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6531421:6542367:1 gene:OB12G17650 transcript:OB12G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAHIATRFRLRRSCSRCVILSMDGFTLPPFESTCIFRNNDIVRVKQKISKKIAQHNDGHCIEDPEVVEKQPLRIDDEMLTIEYEKDDDSNQQDVQYNHQNGDNTTSHFNTQNGNKILKRKCQDGERETPGTSRKKKLKVTNTGKHTGCSNEDKAHQDQDRCGSKKLKSPSTDDANKVMQAEATVTLEKEQKPERDNQSKLNNETKEAECNTQGDTKKVSRSARRKKFKRQLRQKAKEQLKEKVQCQEQQIVADCPSSNKRDVSLSPSRNQNNSSLPFVRQEADEEESDTSDDIVPVVVRPGHIRFESPGTGPDKSPVKEVQTTFQWSGTTSKKKGQKWGMNNSNKKNSDANYHGRITGTETEVNFHAAVNKTNDNDFGVTNNQKVGESSHAGSASEKTVAEKGKSSSEPLDFESLYPLTRLPKEGDLIVYRLVELSSTWCPELSSYRVGKVLIYDHISLRIILLPVTEYPFTAGEKIGEDKSEMLEDMSPYNEDGSLEIEYASLLDVRLLKDTDSVQSAVTTPLKETSTKGGSLVQKPANNVDNSKGKIHSQNLPNYSKDPEAIQEKTRKTIWEENGEASNDEPAVQENGWGAWTPNASTSAWSYRALRSSAIGPTVAFLRGNNTKRGRPYNRKYGK >OB12G17660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6551107:6554558:1 gene:OB12G17660 transcript:OB12G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHIGNSPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVNTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPREEAPVDSAITASVTSPPSTDARASEPQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >OB12G17670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6556835:6569900:1 gene:OB12G17670 transcript:OB12G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQEIVSKCDLLDIGYSGLPYTWDNRQSGDRNVKARLDRALGDSRFMNLHGDTKVDHIPATESDHCALLITVAKGGQSSSIGRGRLQESLKLWDREIFGSIRKKITGLQAELKMKERGLCTRGQQRKRNTAFFQARAKARAKSNRITSIHNSLGMVVSDQRGIEQLAAGFYQKLFSAQEDVSPTIVTQWVPVKVSDQMNEALDNPFTALEVERALFQMAPGKAPGADGFNAGFFQKNWALVKHNVIESVLGFLNGGSMPEEVNKTVLVLIPKVPNPKDFTQYRPISLCSVIYKICSKVMANRLRLILDEVISEEQSGFVPGRLITDNVLIAYECIHYLRRKKGKEGACAVKIDMTKAYDRVDWGFLDAIMSALGFSAKWRELVMKCVSSVSFSVKVNGQLSPVFKPRGPYISVFICDLRGRGVRVARFSPWISHLLFADDSTIFMPASKESAERVANILGNYHKGSGQLVNRQKSAIFLSRNSDDNVKREVHQILNIVNEALGERYLGLPTSVGRVSDGVFNYIPDRVRSFINGWGRGLASWAGREVLIKANAQAVPTYPMSCFKIPAPINKRLRTCISNYWWGSSVDNHKIHRQRWELLTNSKHAGGMGFRDMALFNKAMLGKQGWRLPTRPEGLCAKGEAWLLYAVRSAYHLLKEHNPQSNLAAGSSNNSKWWNLIWKLMVPPKVRVFWWRVMHGFLPTKSELKRRHVEKDDLCEVCGDEVEDFFHIAVTCLCAKAFWEAIKNITGYKLPRLNPKTWVTDILSIETGDPMHAGIIVCGCWVLWTGRNARRRGKISWEPGAAATYVARLVEELVCLEVPKVPPTKKIQERWKKPEQGWCKVNTDASFSVTEHAGSTGAILRGHDGVVQGCKAKWYSELQDVLTAEAVAARDGLRLDIAHGCSRLVVEVDNQACVNQDSNLFLLRYGHYSIFPSLEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRSYNSNVHRGIHVLSAKATDAYESARTKVANFVNATDSREIVFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKIVVVHHVANVLGSMLPIEDIVAWSNKVGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKIDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQIGMQKIHEYEKELATYLYESLISVPNVRIYGPAPCQTDHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVHALKDTIDFLTSEH >OB12G17680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6605811:6615403:-1 gene:OB12G17680 transcript:OB12G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRGKPASPTAAAMAEEEAISSATTAKVAAAKQFIENHYKDQKRLIEQRNERRRMLESELADADVSEEEQNNILKDFEERENEIMRSRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTEDESRFYIAETILAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSEFDYAAGKNANLSTDGDKLLSNYAATRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEESKLSPEAKDLVSKLLCNADLRLGTKGAHELKAHPWFKGLEWEKLYQMEAAFIPEVNDELDTQNFEKFEEIGPMQTSSKAGPWRKMLSSKDTNFLNFTYKNLELSDDPEHPGIAQVKKKNNKPTRRTFKSILHEFDETEDEPQSSSLNSMASQLDELPESLEPSPHSSISSEDSQSRHR >OB12G17690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6620487:6625220:1 gene:OB12G17690 transcript:OB12G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKAIGSAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMISALGRHGRLDEARRLFDEMPRRNLVSWNAMMAACSEHGRVEDARVLFDAMPTRDEFSWTIMVSCYARAGELALAREVLDRMPFPGEKCVASYNAMISGYAKNGRLDDAVKLLWEMPAPDLVSWNSVLSGLIRSEEMSRSVQFFNEMPEKDLVSWNLMLEGYVRSGDLELANAFFARIPSPNVVSWVNLLNGYCQSGRMGEARELFKRIPERNVVSWNVLLAGYVQLSHMEEAYKLFMEMPDKNSISWTTMVSGYVRAGRLQEAKDVLSKMPFDSVAPKTALMNGYLQSRLIDEARQLFDGIGARDAVCWNTIISGYVHCGMLDEAMVLFQQMPNKDMVSWNTMIAGYAQDGQIRKAASVFRKMNRRNTVSWNSIISGFVQNGLFVEALQYFMLMRRDARSADWSTYASCLSACANLAYLHVGRQFHSLLVRSGFISDSFPGNALISAYAKCGRMLEARQVFDEMVGQDIVSWNALIDGYASNGNGVEAIAVFREMEDNGVRPDEVTLVCVLSACSHAGLIDEGLHFFNSIIKMYSLEPVAEHYACMVDLLGRAGSLREAFELIQGMQIQPNAGIWGALLGACRVHKNHELAWLAAEKLFELEPCKTSNYVMLSNICVEAGKWDDADKVRVLMKERILQSSNIYISDKRLDDHDFGIKMASHLEQLLVPAFELHSGKFRATPKVLCNISSGSDINFMVITLELVFSHLGVAAAYNFLANGELGGYVSPSYDKLRTALLQEERANVAKLLEPLRTLWGLKGIAIAIANNANDILI >OB12G17700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6629983:6632720:1 gene:OB12G17700 transcript:OB12G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAALVHQLLFQHEMFSLTLCIILALFPLLLLLLALHYSRYLAADNNKTVSTTTTKQTRDHHLLPPPPPPPSPRRLPIIGHLHLIGDLPHVSLRDLAGRHGPDLMLLHLGQVRNLVVSSPRAAEAVLRTHDHVFASRPESLVAGVLLYGPRDIAFSTYGERWRQSRRLATTHLLTNKKVRLCRAAREEEVGLMMAKVRKISSEGTAVDMGELFSAFSNDLISRVVSRKTTLQGEGEEESGRGKLFRGLVEANASLLGGFNLEDYYPSLATRLGVLSTVMRGKARDVRKQWDKLLDKIIDEHMSKREYDQRHGDVGQDEAADFIDVLLALQEERGITREHIKAILVDMFEAGTDTISLVLVFAMAELMRKRHLMAKLQAELRMTIPKGHELITEEHLTNMTYLKAVIKETLRLHPPAPLLLPHLSMEDCVIDGYIIHSGTRVIVNAWAIGRNPKCWEAAEEFLPERFVDGGSAANIGFTGKDFQFLPFGAGRRICPGINFAFASIEIVLANLLYHFDWDIPAESGMHKDGINMAEVFGLTVKLKERLMLVPKTCEVIHACT >OB12G17710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6661140:6674316:-1 gene:OB12G17710 transcript:OB12G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRRPESGGRVPPELRLAYGARALPLGRAILSLLPSPRQGEPSCSACRGRAVSGCLACCRWEHLLRDGDPVSYRRLVTRAVCAVAADDRSAPPPPSYTPGNSGHSQARLVREMIKLIVANQSCTSKNVLCNGLREGGQTICISDLVSSSSWNILLHRIGDLLMCYLLRHTSIFLCVKKNDYFQVSGVPLNVVMRNPIFATTVARKRQAQTTKAKCHTCYLWKSVDMGESISTCDDSSNSGVYSSVRSTCKIVAQQSPEKRGSIRTESNDPEGCNWPQFPSDVRSAECFSCYTQNPRKRKRLYSWQRRNRKKQLYYLDGSSEEWSKLKSRHFNMSNWLLEDPAGKMNYQAQSFEPTVDNTSLARRNVDNSLEIKEMNADILSSEKSPHSVFDIKGLQGLSCHHSMPEVQYQSTCPQVGLSSSLHLNTFSNCFNCIISSASKCVSLDSLIPRNGIFYNRRTTYSVFHCKHILNKRKRPDALSFVKHIFGVNGCCASFLKCNCHESTIRMSNRLCCWLPKLMKNLIRNSKRCQYKKLFLKHCSVKSKVGSDVIKNDGKVHCSLGGEYCYQSFSQLEAYSTHQQVVSFVWAVLKRIIPEPLLGNSDGKRLLRINIWKFIKLRRFETFQLSDCIGELKVSHYSWLANIEFSDCFGPALIGKQTGSSCAEEQKEKNLLHCWISWLFSDIVIPVLRTYFYVTERESKRYDIFYYPKSVWRNLTVNAIASLNRRNFRILRGEPRKAARRLNCSSRVRFLPKINDIRPLVNLRAKSKDATLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSTRSQLRKKLKVYIVVADVSKAFDCVSHDMVIKIIDDAFKCDEYAVRKCAKVICNRAKNALYHFDSNASIGNGNSIYDFSIQLSSSGGIFVDQGAVSRIQKEDIRHLLYEQVKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAAEAVSEPEFLLMRFIDDFIFISFSMKHARNFLNRMGRGFVFYNCYMNDRKYGFNFCAGNSEPSSNRIYRGDDGVPFMPWSGLLINCETLEIQADYTRYLDVTIISTITVKMDFSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTVRVNIYQAFLLCAMKFHCYIRSIPNTNVTTLELLQVIKRTFRYMHSLILRRMQDVELNYNVHPVLKLRRKEAIWLGLTAYIRVLQQKQSRYKDLLTLLTAELGKYRHLGHECDTLRYAVDDSHSSMFWKFKF >OB12G17720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6676543:6677803:-1 gene:OB12G17720 transcript:OB12G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:J3NCR4] MAPTVMASSATSVAPFQGLKSTAGMPVSRRSGSSSFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLSVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGSEGTTDAQFRSVTV >OB12G17730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6677792:6678289:1 gene:OB12G17730 transcript:OB12G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVHSFAWLPRGLGRSGCGWPCADEGGRPGWHADQVGSHVSARGGAPYLLWDTGDAKAMARAVRLAGDRWATYPPPPVLHPGRLVESSPFNLLFFQPHKYSYIRNILSLISSSASSAGGEIFRPWSCWLLSTDGSPTKYVPTAAGLCVPYPNPIHPDLQTALSR >OB12G17740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6689205:6710811:-1 gene:OB12G17740 transcript:OB12G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPGGAKGSLEGFLVRSPSAAPPPTSGSPSGGGDAGARRSLSAAMDVDVGHPASAVAKDKGDLELKRIAMEFLSHYCSAIPSVMGDAGNGEADKKQKRSATQSFLVPCSNASAKKQRVAHCGGLEALKDVGHQTLFQEQCGTHYGCSDALEELGEVAKVSSEGFVAWQRCSFTPNTGQKKVGFSTAPGAGETPRSVSTKSLISPGEEFWNAAIEFADGISAQADKGLRRPECDVDDKSSCAVALCSKILPRSGQVDIDYEKTVGSNETKYMDESSSKEGSVAANSHHVNSSPLPVKHLDFFHEDEIQVPGLKTKQKGGTVDVNHKGQLKNSSFQRVDNLMHSVDDMNKNTSDMRIDSSATTHNGRLFKLTTAGTNHPTRVGASGFSPTKRDLNQLIHSADKMLTACSNHDKPNKDCTNKFASQETEANTPTSSVLQKDHSKLSSWLPPELCAIYMKKGISQLYPWQVSLIRSVTSCILQVECLLVEGVVEKRNLVYCASTSAGKSFVAEVLMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRGFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSAETSGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVLQGHSVLLFCSSRKGCESTARHIAKFLKSTSIGSSDVDSEFADATCAIEALKRSPSGLDPVLEETLPFGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMSGRAGRTGIDTKGESILLCKPEEVKRITCILRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTASDINRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNESKIYSTTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKRQLTGIRNRSPTRGSGGNALINAQTLRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMVSVFCQRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQDDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLSTIDEPPTRDNMVSHGRGQANGDRNICFSYGAQRASAESALGNDMHPGSSKQITESAGIANNVNISVQEASPLSTGTIDKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSIFFDILGLAVCWENSPVYYCNFPKDLMIAGSNDSIEMWEELTRRWNRIIEIMRRNNVKKMTWNLKVEIQALKYPCVSCQRLARLHLDYKMLKNVEVLDNLYVFLPPISVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHHEAAAAATRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLRKLLVSHNLNGLLETIEGPLVNVLADMELWGIGADMDACLHARHIIIKKLKELEKEAYKLAGKSFSLNSTADIADILYTHLKLPAPKGCEKGKLHPSTNKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLLDFTTLKNDYDSTVDHHENWLLITADYSQIELRLMAHFSKDPTLIELLNKPDGDVFTMITSRWSGKEESLICSKERETTKRFIYGILYGMGANSLAEQLQCSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRQKGYVETLMGRRRFLSKITVGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSVITNGRMMGTTDDFTDEVMQKFSEIRGRCHLILQVHDELVLEVDPCMVAEAGRLLQTVMGNAASLLVPLRTKIKVGKTWGSLEPFHPEP >OB12G17750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6732986:6747750:1 gene:OB12G17750 transcript:OB12G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKILSTPEMNDKQVDNTLLRLRALYTRAKELCESEVSASSSLVGLLDGLLQSGASSAQRKKIELSEQKKKRMKSDTDTTRFSSASMRSQLDHATNLKGEQVAAKVKSDEEKDEWVVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKKGDPSSAPDFGQGRQVLAVYPGTTALYRATVASNRKRKSDDYLLLFDDDEEDGSLPQRPVPFHRVVPLPEGHRQ >OB12G17760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6748668:6748910:1 gene:OB12G17760 transcript:OB12G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLPPRAAVVFQSESSAVDLACLVNRLTSLARKGGCGTLGPSPIEALFAGGRQQHRRIATAPRRGRTLLNATSILSLFD >OB12G17770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6750878:6751198:-1 gene:OB12G17770 transcript:OB12G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECMDRLKDYSYVNIWLKLHRNLSSGRGTGTCLCSTTLRSTSYLDIDHFDHKSYAAGMARISDLEKSRKEAQITMKPLRINLLFAFFKKRIEISHTKANKIHIRGC >OB12G17780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6754677:6768101:1 gene:OB12G17780 transcript:OB12G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPTDLASLGGVAAGARSRASTWHNVLVGMQKRARAAIAAREGRSPSEPFPSLVIGRDGAVCAQGSYAEAQAMFLSPDESKISELVKYLREKKVGVVAHFYMDPEVQGILTASKRHWPHIHISDSLVMADSAVKMAEAGCKYITVLGVDFMSENVRAILDQAGFNEVGVYRMSSDQIGCSLADAASSSAYTHFLKEASKSRPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPDLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHSNRSINSLLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDSFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKSHLKEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKSSKQSANIEVEIIFPVSSDAVSNRSANGSHHLESSTDTDFDKVSIVPGVSSSEGCSIHGGCASCPYMKMNSLGSLLKVCHHLPDKDNKLAAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLSDKLVHQVIHGIGEPTL >OB12G17790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6818849:6827459:-1 gene:OB12G17790 transcript:OB12G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47790) TAIR;Acc:AT2G47790] MEGGGQDTAAMAMEMEVEAEAEAVLCAVPSSLSLSNSSTPSPSSSISSRRLGLKNSIQTNFGDDYVFQIASCQENTTLAVSLSTNALKFYCPATGQYLGECTGHSGTIHEISFSAPSSPQVVCSCSSDGTIRAWDTRSFKQVCLPVWASHELFSFSFGGSNGNLIAAGSNCQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHNKLISAAVDGLICVFDTDGDMNEDNHLLSVLNVETSVAKVGFFGNTYQKLWCLTHIETLSTWDWNDGSRELNIEEARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRTGLEEAIGSAEAILEGGHTDVVRTIYPAASTHLGQNRGIFGWTGGEDGRLCCWRSDEIAEINKSWISSSLVSRVQKKTRNRHLPY >OB12G17800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6827101:6827532:1 gene:OB12G17800 transcript:OB12G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRPRRLEEILLLGLGVEELDSEREEGTAHKTASASASTSISIAIAAVSCPPPSIVPHRGQSVSVPRPAAAVRLTPLPPLPSVLRPTMPMASAKDPAVHRPPNTAPASAHYNAVEEVADRTPSDRSGGWPHGRAGLGAGLG >OB12G17810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6846878:6847693:1 gene:OB12G17810 transcript:OB12G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:J3NCS3] MAPSVMASSATSVAPFQGLKSTAGMPVSRRSGSSSFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLSVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGSD >OB12G17820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6848240:6848464:-1 gene:OB12G17820 transcript:OB12G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPQPTLIHTYVHTYTVDTDTNKLKNGINKLGEGKQKVARSNVQSCQNRIDRSRLHCSIYIVLITSELLWLVT >OB12G17830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6858398:6865168:1 gene:OB12G17830 transcript:OB12G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAQVTSVELPPAAWQRSVVGDQEVMLLEKVRLLLAQAMVRWHPDSDEMFPTTHSDEFVVFASFFERGFSLPVSSFFWGLLDFYKLELHHLNPNFILQIYLFVYICEAFLGIPPHFNLFCHLFMVKPHPAKTLRGWGCQDPTARGVQEGDAVFYSSFPSIDATPQIGALMDLRLYAFGADIPTQTEDFPHPFNASFKHPVDRHQYLSHPPGRIMPKRKRVAEASTDESAAKKSAGNPDVELEVPGLQEEIDEPVTSQPPLPPWPFELMKQFLFQQGTQFHPLLYTKSGSPLLCLGRSGSRRSFRYTTIARPYSITPTLAWAWDKTPGVTERSLKEIHRDIPRLTESDFVPNPSPFTQRIQAHSDTKSQALRLLSPWITTLMEIEKDRAKVIARAKAVDNRQRKEIEAMSPRQNGALELGPSAPLVEEVLQGLCKVPLCFREVGRDSVKADTCQAFVIMKSLYPRVDFAAATKGFASTMTRRRP >OB12G17840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6874023:6874643:1 gene:OB12G17840 transcript:OB12G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:J3NCS6] MAPSVMASSATSVAPFQGLKSTAGMPVSRRSGSSTLGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLSVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCSDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQCISFIAYKPPGSD >OB12G17850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6878539:6886142:1 gene:OB12G17850 transcript:OB12G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPHLRPRVGLPRFLTPHNPTKPAVAVASQLPFPHGQLARAACQPLRHVAAPAEEGASEGEGKEDEDEDDDLVPPSAAAVAETIRRASTASPVRFKRVHREEDEEPRGEGGFTEPSGDFRRLCAEQLEMFRSVVSRDAVLSVYVRPAGSYIMDQLELRRIALYPETNASKIETLMLVGNFTISAGLRAAEAFLVKCQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGYTKISETPDIEIPSHSFLDRPSDITPYTKGKAWDFQTSGDKANSYAQFVTEWKNSSLMISRTLAMAYVMDQKAYLLQQASWQNNIRMSGLVEQIRGPLANIRALAKMLSVHTKRNEITYDIVEDVLIQGDHLKDALQQIQDAVYLTKVNIVRYNEENIKKIEELPSLRTLPHYQSDPKNSSQKVDSLSSHNSDNGDMVIPMPPLWLAPLQHEDARPCDLCVVLEDLVGAAQPLAYRQQRTLNVIGISHPLQVAVEESALRQALSNLIEGALLRTQIGGIVQIYAGEAPVGGILVVIDDDGPDMQYMTQMHSLAPFGSDLADGMYEDNMTWNFIAGLTVAREILESYGCVLRVISPRRADAVIGTGGSRIEIWLPTLRTELSEINEGAC >OB12G17860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6905501:6905953:-1 gene:OB12G17860 transcript:OB12G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLIFFLPRARLRPPRHGRTSAPCVATIGQGASCGRPSEEVDFFSVAQSGDLTSLATPSSHTPQGRGWGVRIRLTDGDVAVLVGEEDFGASEKVVGARDERVGAKRLSRRRPDPLLHLRPHDDGRILEVRRGGVDDRRVWVGERIHGAR >OB12G17870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6907646:6909942:1 gene:OB12G17870 transcript:OB12G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYMLSTITTCLKLLDLISNKFDHVETDQLDVGDGDLGAAPGVKVAAMPLFRMAAELRRLLSTAAALPPTAKLWNLPYRLRRAAGPAARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWPPTAKLWTLPYRLRRAAVPASARAAVSEYLHSTRCLPSSHADSIAARSPRSLYAFLAGLPVVPSTVRTSSLPSLLRRHLAFHPLNELPFFLESIGLPPSTRSDLMFLADHPSLLPAVAALTHFGFPWSRLGLLFPSILIQVPPDLITSRLASLVTCFRPLPRAAIIAACLAFPSLLENDLSKVDRLVKDLGKVFGVLWPDLGPTNDIDAFLGVCRRIRIFYHAGAEFGSIGELVGSNNQRVFLELEEERIRKRLMFFKGLGLAKERLGRFLLTNPKVFNIEFCDVVISVPEYLIRVGLAVDEVNAAVEKNPYVVGKNLLQNLPGVLRAMELDHQFLQKISDGGESVRYLFPDFVLEDASYDIEVERAFLDGMVKVKADKRAQHIDIKLEFLKSIGYGENEIAPKIIAVLHSNKETLQERFDFLLEKGLEYKMLCQIVSVFPKVLNQGKKMLNDKLNYMTKELGYPVEYLELFPAFLCFDLENRVKPRYTMLRWLRENGLLRRTLAPATVLANSEKRFISNLYNVHPAAPKLWLECFYSTKHMEHYLRNIYHLHAN >OB12G17880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6916639:6919449:-1 gene:OB12G17880 transcript:OB12G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-1 [Source:Projected from Arabidopsis thaliana (AT2G21370) TAIR;Acc:AT2G21370] MLSKQNNPRGQRSADGTGEPSLYLGIDFGTSGARYALVDQQGAIHSEGKITYPHVDKVTNWANSWKEALFHLLSDIPSIYRPSISSISIDGTSATTLIINRNNGELLAGPFLYNESFTDALLTVESIAPANHTVCSGSSTLCKLVSWWNSSSAGLRSRDSAILMHQSDWLLWLLHGQYGVSDYNNTLKVGYDPETDAYPSWLMSQPYAYMLPSVRAPGAPIGSIKEDVRAQLGFPDHCVVCTGTTDSIAAFLAARTTTPGKAVTSLGSTLAIKLVSSVRVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFNDEQLVVLSKEIDSSIPSPLDYYPLPKPGERFPVSDPNMMPRLQPRPESDAAYLHGILESIARIEAKGYSLLKELGASAVEEVLTAGGGSQNEKWTAIRGRVLGVPVKKAEQTEAAYGAALLALKGATTTH >OB12G17890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6950685:6953900:1 gene:OB12G17890 transcript:OB12G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQWFPVWLHDIPGIEFRTDNEPFKAEMQTLVTKVVTLMKEEKLYSWQGGPIILQQVFNPFSDVISQLKTYGNILGNFGQAGERYMLWTAQMAIGLDTGIPWIDTCNAFYCDGFKPNSYNKLTIWTEDWDGWNTFMFTGISPSVMAV >OB12G17900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6957543:6958369:1 gene:OB12G17900 transcript:OB12G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNFHDKFFFLFLLPPIIFQSGFSLSPKPFFANCGAIVTFAILGTFIASLVIGVLVYLGGLAFPMCKLPFVECLMFGALISATDHVTVLSIFQLFCVSTYLILWHVYLWFMSGYCLAWLIGCK >OB12G17910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6961587:6966711:1 gene:OB12G17910 transcript:OB12G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKGLSPNYKGKWKVPWIDNPGVFSNEGVTWRGARKVLVQGYDAAEVSRMVMWLQAPVKELAHLAVDSGGDPAYIHRALDPTMLPVPDIHEVKNKCQVTRTPYGRRFANKLQDINSYLVFSFVLIVAQGPSVGLNASLCRYDLFQGHLFLASGIGRLGILRTNPSDIVSPKSSIKTGKNNGQPGDSAGSKRKHVQEAEETPQPKNTILDGSLIGSESKYGGLMTKSSSSVFSTIALLVVYDDGDIERLQLNGNLLMRQPQDYEQVETPFHIVNNFY >OB12G17920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6969206:6976710:1 gene:OB12G17920 transcript:OB12G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLDILEDYLMYKGYQYCRIDGDTGGQDRDASIKAFNKLSSEKFVFLLSTRAGGLAINLATAYVVVLYASDWMMKTKFQYTIEEKVIKRAYKKLALDALVIQQGQLAKQKCMFLLHLSIRMTCCRFGTEMVFSSKDSTITDEDIDRIIAKGEETTAEVDAKMKRFTEDAIKIKMDDIAELYDFDDDKEENKLDFKKLVGDNWIEPPRRERKRNYSESEFFKQALLQGMISSSSTTKGLMNIYTEKCTGLGVAFSMNNVDESEDFVQGLLLRGSYTRKTNPGALPSLVSYGCRSECTPFVKVRRLRSGPLAARVIYQENQLRCIAFANVVWLLVKMHTFCESPKTLFRASCCEGHIPGKPTQSPKTSFRASCCEGPIPGKPTQNSR >OB12G17930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6975117:6975380:1 gene:OB12G17930 transcript:OB12G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGFLAYESCAQVCYAYYHVVGRMPSADGFFQSKCFLLKASHSRYHHVGATNSDIFINKISLGYFSNWIFLMLLTWSHGTFLSCY >OB12G17940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6977871:6979426:1 gene:OB12G17940 transcript:OB12G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVNYRRRWYELGFVVVPIVYGYFWYCFQSLNVENHITIWEKILIKFLSDIFTFSAWKVHMTATSISLGPGEILMKDANASIESNFWRLCKRQSIQSK >OB12G17950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6984103:6992103:1 gene:OB12G17950 transcript:OB12G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDGNFHLLMERRSLLIDTIFVGESCSQMRESALSLTKCLAQTAQRTFSDFEEAVEKDATKNIHIDGTVHPLMSYVINYVKFYLIRDQDPFNIPAFRMHSMHRYTNILTMEFFEKANKLALKASQLLEPTKLGELRMSIARSLSELEMFTELGEQSSTARRKMAIDERIMVETYIHRFYQISQFLNYTTMVFGTTAILKFMSANSQSFFQPYLVKRSIRMQWRRHVLIALWEFSEENIKQRIEQDMMALKPQVEDPNEKNNTDYRENKIHTGWLYSRISMHARAQRPPWYLSVVEGGLCEASSRSSSVFTDYVGYLSKGQIQPKEASTSRTSTVFTDYVRYLSKGQIPPKIDVVRRGLGSYTFSMNGSKIEAEIHSLRDANQKPKIYWGVTGFKGIEELCSKMQINIEGLLGQRFDFIRVSGQVGSEGGIAVELQEPQSRRDLGLSMFYLRRFTKKQCGWSVPVFQIKSCVSR >OB12G17960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:6993567:6993857:1 gene:OB12G17960 transcript:OB12G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPQVTGPQQPTQEAQILLTADPVLYSSFTPFILLANVAAVHDSIRRSGKRMHRMNHADPPMEAGQHQYYQIMTTTIGEYDVLYAAEVFDEMLQ >OB12G17970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7021026:7052831:1 gene:OB12G17970 transcript:OB12G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;carbohydrate kinases;phosphoglucan, water dikinases [Source:Projected from Arabidopsis thaliana (AT5G26570) TAIR;Acc:AT5G26570] MASLRPVDPSLFVGARTHRGLVLLPPPRVVAGAMFRREAIALPGRRGFSCRGGSAASAAERIKEKQRGDSSKKPLVHLQVYLEHQVKFGEHIGVIGSTKELGSWKEQVELEWTPDGWVCQLKLPGETLVEFKFVIFLKGIKDKTWEDGNNRVVELPKDGKYDIVCHWNRTKEPLELLGTPKLELVGEAGKNSREDATASVNIVPEDAENISVDGDVDLQEADSSTFSGQWQGSETVFMRSSEHRNKETDRMWDTTGLKEIALKLVEGDKASRNWWRKLEVVRGILSESYDDQSRLEALIYAAIYLKWIYTGQVACFEDGGHHRPNKHAEISRQIFRQLEMMYYGKTTSAQDILVIRKMHTCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYSEAFVEQFKIFYSELKDFFNAGSLFEQLESIKESLNKSGLEVLSSFVETKRSLDQVDNTDLDKNYIIQVLMTTLQSLSSLRSILMKGLESGLRNDAPDNAIAMRQKWRLCEIGLEDYSFVLLSRYINTLEAMGGSASLAKDVSRNTTVWDTTLEALVIGIDQIRFSGWKIDECIAIGNELLSWKQKVLSESEGCEDEKYIWALRLKATLDRARRLTEEYSEALLSIFPEKVMVIGKALGIPDNSVRTYTEAEIRAGVVFQVSKLCTILLKAIREVLGSCGWDVLVPGVAHGALIQVERIVPGILPSSINEPVVLIVNKADGYEEVKAAGDNIVGVILLQELPHLSHLGVRARQENVVFVTCEDDDTVAYVYSLVGKHVRLEASSINVNLSIVSEENVNPVSSESNSTGNPFAQELQSEFSLPLATEMPMQMFKQESNTSGVNGSYGVLELSEALVESAGAKAAACRTLSVLASLSNKVYNDQGVPAAFRVPCGAVIPFGSMEDSLKKSGLLESYGSLLEKIETAKVENGEVDSLSLELQGIISHLSPSEETIKFLKGLFPHDVRLIVRSSANVEDLAGMSAAGLYDSIPNVSLMNASSFGAAVGKVWASLYTRRAILSRRAAGVSQRDAKMAVLVQEMLQPELSFVLHTVNPIDHDPKLVEAEIAPGLGETLASGTRGTPWRLSCNKFDGKVTTLAFSNFSEEISVGDSDPANGEVIRLTVDYSKKPLSVDATFRKQFGQRLAAIGQYLEQKFGNAQDVEGCLVGKDIFIVQSRPQP >OB12G17980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7054633:7056642:-1 gene:OB12G17980 transcript:OB12G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNKLGGLFRHSALASSVSAGSSPAMFNAARLMSTKLFVGGLSWGTKGTPSGRNYSSFYNTGYRTRNYYFPTHGFYSIVHTKGRESRKTRGFRFVNFVNGDEAKSAMEAMDSKRKCFSALLFIKQKRWFCWGYQLTRSVIFEERNIHVNFANERPPGNRGGGSYGGGGYGNQGGYGDCNQCY >OB12G17990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7061357:7062912:1 gene:OB12G17990 transcript:OB12G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKVYYGVLTEILELDYKHKGNIVLFKCEWVDNRVLNKWVKVDKLGIIDVNFRHLFNTGTKLSDEPFILASQAIQVYYVPDPADNGWSAVIQTNPRDFYDMAKVQTENSECENEYVDQCPDLGGSIYITTDPTDVPPFRSDIDGIFVNTNEKRKKSMASGGGNVNNTARNKYEQERAEKIKTNNIALQAVIEKSRELTNLTKGNLGSTSTHQQRKRKVGHSNMLIAKSQCSTHQQFNKFLIFIL >OB12G18000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7064764:7066305:-1 gene:OB12G18000 transcript:OB12G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMLQSDTSSSKGGTLHVRGRSKEKTYNDSNDRDKNQNGRGRSKSRSKKFCKKKNHFIEDCWMLQNKEKRKSDRKATVVSDAENSDSRDCLVILLVVLVMMNGYLILHVRFISALTEISLVLVRMRDDNLRDLNSAKLYALRGSTIAIVSNDEPSKTNLWHIHLGHMSELGMTELMKRSLLVGCTQDTFNDYCRDEGIVKHHTIPYTPQQSSVAEHMNRTIISKVANTARYLINKPLSIPLDKKTPIEVWFACQTNVIPDGFDEE >OB12G18010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7089850:7102529:-1 gene:OB12G18010 transcript:OB12G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) TAIR;Acc:AT1G72440] MAEAKAKSKSTKKVRKTAASAVGEDVDALKSDVASFASSLGLVGGVGSSSGFDDSDFRKTGPINPSKTPKSPQTADGPQNSQNPKPNKKPHPLDIHGLNAGAKSGAATTNYPLIKAAALSGQWCADADELEASILGARKQVLPSMGLQAIHKILEQKRELAEKLMMQYTAEYDLVKRGSGDLKLLEISAKSGTSADKVSAFTCLIEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRLLPDRKLKSFIQHPLDVLPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPNLKDKAMKTVFILLKEKAEQERRLLTALVNKLGDPERRAASSAAYLLTSLLSAHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTSRGDGPKIAKRLVDVYIALFKVLMSCSHGAEGVQNNKYGKKTTEIGKPKGKKEKGNDFNSHVKHGDPSEGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDGIEHFEDIVENPDGPTSITTEKCNDRLATVEKYSSDAENGCDTIECASPVASAEKDGKGTSAEGSTLHVFYNPRHREPSYCNADHVCWWELTTLALHVHPSVSTMARTLLSGNNIIYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKVDQRHHPIGEELLELAEMEVPPEDVVFHRFYMNKTGPIKPKAKKKTSVLDEDTGELFAHDDDASDESDDEMQELGIGLIEDEGYDYDNLDATTFEEEGDLLRYDSDVELHDISDDTSSGLDSDNEAVKSAKDSDGNNSDGEESVLGQKRKHAAKSGESPFAKLEDYEHLMDVEAEKVTLKTRRKHRVIGDTPSSKRKSVARKKKSKRSE >OB12G18020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7117533:7124770:-1 gene:OB12G18020 transcript:OB12G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G07750) TAIR;Acc:AT3G07750] MVGLSEGEKHFIRGGIAQDLRADGRRRLQFRAMSVETGVIPQANGSARVRLGSTEVIASVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEDLSAELSVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPRVNVSLSAATDEEPEVDVSDDEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHRQICGLTKRGGAGLDASVIFDMISVAKHVSQQFMSVLDSDIAAAEEAE >OB12G18030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7126573:7126938:-1 gene:OB12G18030 transcript:OB12G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSRHRRHGGGAHDRGWAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASLSLLRTSPSARSLLQYLHECRQQPVPLLYVQIQCRK >OB12G18040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7148693:7148989:1 gene:OB12G18040 transcript:OB12G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHEEIKMMTATRSVAPILDRTKTNRDGGEGMRMMTTSIAAAPADELYFVGDRDEDDIDEVGLLGTGAVEVWVGLGCLGLSWSWPTNWVTWVSAQN >OB12G18050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7153931:7155568:-1 gene:OB12G18050 transcript:OB12G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSLYLGLALLSLLAVLTSWRRRSLAHGGNGLRLPPGPWNLPVVGSLHHIAGQLPHRAMREQARRHGPVMLLRVGEVPTVVLSSREAAREVLKTHDVAFASRPLSATTRVITNGGRDIIFTPYGDLWRQLRKIAMTELLSARRVLSFRRVREEEVAAILRAVATAASVSPRPVPVNISALMSALVSDATARTVFGDRCKERDLFVRQLSPISELAAGFNPADLWPASWLARRLSRAMRRAEVCRDTVFRILDGIIKEHLESMEEDRGGAGEAEDILHVLLKIHKDAELQIPLDMDVIKAVIFDIFAAGSETSAAALDWAMAELIRNPKAMEQATAEVRGAFGAHGTVPERALGELRYLQLVIRETFRLHPPLPLLLPRESRERTCRVLGYDVPQGTTVLVNAWALGRDERYWPMDPDEFRPERFADAGGGASAVDFKGADFELLPFGAGRRMCPGMGFALAMVELALASLLFHFDWEAPGMADPTKLDMSEAFGVTVRRKDDLLLRPILRVPVPGV >OB12G18060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7187210:7195216:1 gene:OB12G18060 transcript:OB12G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAAGGGEGGASGTSPASAAVIGPHHLGVAAAEEAMWQMTLGGGGESMESVPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALATRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVSMRGSMYSPGQSATSPGQHTYPGTVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETAGMGDHGMFQSYQGSSVPIGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPTGDVSSLHYQLSPSPGHSGILLDGGSGRSHRVPQSDSQQIPSGDGNTEREAS >OB12G18070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7197381:7197795:-1 gene:OB12G18070 transcript:OB12G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERRALLRTRSRNVGLEWLLVYLHELSETQFTLTLMLLWRIWFIRNELKHGKEACPIVSSCRFLSVYVDSLLLIKQFPNVSVQDGKQIINYYPEKYTEKCKKDLVQSGPKWIKPNQGWMKLNIDGSFAE >OB12G18080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7206237:7211697:1 gene:OB12G18080 transcript:OB12G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase [Source:Projected from Arabidopsis thaliana (AT1G10830) TAIR;Acc:AT1G10830] MASGLERGKVASKESIASIFAKLCTGLCTYNSKKVTMLLLTIIFAIVHSGMASLRENGERMVGARAYRVMFAAISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWISSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLVHTLWIGNSVAVAASVGLIGHHLFGVWNGDKRLALRYGEAFEILKNRTSVVPFAAIIDGRQKLPKDYYKEFIRLPYIAITALTLGAYFAHPLMQASSYKLPW >OB12G18090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7213944:7214504:1 gene:OB12G18090 transcript:OB12G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQCKLRGQAPSSTSHDGAFLSSRDGVLGELQAAAGYKLSTSGTVQGVAQCLGDVPAADCTAADTSMTYRKCSSCTSHGDAFLSSRDGVLGELQAVTGYKLSTSGTVHGWREFDLRDDDPLTYSIDRADARARGDHCLLCRALA >OB12G18100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7223267:7226573:1 gene:OB12G18100 transcript:OB12G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNSVLIVRPSLHESGLGNTSHWAMTGQDGPTCAHRRASLIQKRRQVVPSAPSYSKFQTPRETLATCTGGGGGSGEGDEAAAKMIYDVNSPLFRSFLSQKGGASSDKRKMEEQKPKEQRPKASENKPVMNE >OB12G18110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7229531:7233132:-1 gene:OB12G18110 transcript:OB12G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:J3NCV3] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYTKEDVGMKLERPAEDEAVAGQEVSAE >OB12G18120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7234230:7234517:-1 gene:OB12G18120 transcript:OB12G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRLNVRDKKFFRLVECYHCFIKGFSKIAKPLTQLLKDKPFKWIDKCEKSFQELKTKLTKIDRPLNQLLKKDFQVHTMPLCKGLDVFRCKMEE >OB12G18130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7239273:7243156:-1 gene:OB12G18130 transcript:OB12G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVTASSPELQSLRSTLADKRGGLRDPLLSFDWGVPDSTTSVVEDDDAMIGASMGSRIKQPKALAFRCYAASHRSLTLAVWSLAALVVVVNFHLLIIHKEDESTMTHEIHRSIVRELEVVEEEKFRVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSSVHDMFFPEQKMAIDPSNGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWTWRNEGVVLRGEEKNVTHDLHKSNVLERPKVIYNDWTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFNYLYSKQPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDNMRRLLIAQHREAPALFKYDGTYYMITSGCTGWAPNTALAHAATAIMGPWETLGNPCMGGNDIFRSTTFFSQSTFVLPIPGLSGSFIFMADRWNPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDS >OB12G18140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7248728:7250633:-1 gene:OB12G18140 transcript:OB12G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:J3NCV6] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYTKEDVGMKLERPAEDEAVAGQEVAAE >OB12G18150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7262764:7270143:1 gene:OB12G18150 transcript:OB12G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGETRNLACPYSTYPGKGRRDGVWTGNRGVTKASPASGSTKAQEGTARLGVKEGKYKTSYNNSKICPLRGALYPLYSGVFYRIVIYIAPKIIVHQEDEKVEKSREVVRLDEAHESYGGLLREAEERLESVYRLAMKGRDMVDAGYGDGIRDVPQFAILMRSSHCESYCTPPLCNLPCLPKSKYKSTADDVAAAASPTPATGVAAALAEDKPPPVQKIEAATTDKDDDDDDDEASKEVVVTVVPKSSLKKTNCEDSKNVVKGNAKWMDLLGRISLKSKNLSQENLETRMMKMATPASVSSNKHSLEIITVNSLKGKPKNKCMGQPLVVYCQ >OB12G18160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7272191:7275455:-1 gene:OB12G18160 transcript:OB12G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:J3NCV8] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYTKEDVGMKLERPAEDEAVAGLEVTAD >OB12G18170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7283300:7283476:-1 gene:OB12G18170 transcript:OB12G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLHTFILYLMNHLFMLFCWVDADLLSMEEPEPICWTEQGRINLQGGWCSIRCCEN >OB12G18180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7285422:7287530:-1 gene:OB12G18180 transcript:OB12G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G30010) TAIR;Acc:AT1G30010] MTPRGALRRLLPGHLQPVRRRVSPPPVAADEWPLPDPYALLVHDPIDLLSSLWRRAFAHPLAAPFPNLSGYASRLDLWLLSYQRACAHATGSFPPRHAVPLPTLHSLIRLRAAALRRHPAFPWGASTHLLLRSPADPPSTVPISRRKLEARLANAPPPFQDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSIRSHFAAYLWFISADLTEVVDALSPDTILSCVQKAVSDRKVLSLLKTALNAPIRPGSVPPREKELDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQACHVPNYGHCGILSPLLANVCLNELDWWLEERICEYFCPSRHDSIWKEAGDERCHNPAWPEFVPSSGKEKTKKMDYLRFGSHVLIGIRGPREDAVEIRRHLMEFCESTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGVGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSLPLRESTRNDAPEYSDLLRMGLVDIIEGVQFARMSSIPSCDYTPFPRNWVPHHELVLREYIKLQDPKFFCELHKTIKREEINSPQDDVSRMVWYYKVYGVYVAKRSLQKPNECKNNDEGVNKENQILLDT >OB12G18190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7290514:7298945:-1 gene:OB12G18190 transcript:OB12G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN 2 [Source:Projected from Arabidopsis thaliana (AT3G19420) TAIR;Acc:AT3G19420] MEEQQAKLSDPHPTLSTPVDSQDSTVSSIRTPDPVPQATSNDFSTHVASTDHVAPSPAPAPVVVPTQDSAGREGSSSIFSTSGLSSWAKNLKISQPSPGQESPTGKNTFSRLTSGLGLRLSPKSPQQDESAEGSTTPTTAQSGVFGSFTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKTKPASASADKKSEADSSTGTVAKENSSAASESNKESASNDKDDVFSDSEAEDGSSRGRREKVPKNVEGTNAAKVSETSAVQTEASAAATRIEKASTANEQGTARTADAAPLKGEVTSKSSSATAPPAAADSSSMSEFKAIAADASVFSFGDEDDYESE >OB12G18200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7315451:7316555:1 gene:OB12G18200 transcript:OB12G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSRREFCHLHPENFLLLVADDELSFKAIEFGLSVFFRPGQVFTEIVGSSYYIAPEVFQKRYGPEADVWTTGVILYVLLSGVPPFWPDTQSGICEEVLEGQINFKSNPWPRISDSAKDLLKKMLCPSPSERLKAHEVLKLPGYVIMEWLLIELWIQASFLVSNNSLQ >OB12G18210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7322346:7322606:-1 gene:OB12G18210 transcript:OB12G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSCSPKCVDVAIIWYYCILSVTNPSNEELATMCLLCVEFICPGLSILTARKFVEPEIVVAHGEEENGRYQKAHGLISDVPALW >OB12G18220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7321475:7323755:1 gene:OB12G18220 transcript:OB12G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDDSSLIVTKTALSNFEAALDYRNVPDLAVMLSFPIVGGVDNAALVAWTTTPWTFPSNLALCVNANLVYAKAFKYTTNIELSS >OB12G18230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7327847:7329685:1 gene:OB12G18230 transcript:OB12G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQPARKAATPSRVARFPGAELAGEVEGPPFTAGSRHTGPAKRDSNPFPLAGEAEGQLLQAAMRLAQPAKGGSINMEAKQSDNYKLMCNSMQEADERKRIIKDPKSVVPATFVSFRSRWGAVVCAQTQKTSNPTIWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIVVAFFFLNFSYIIPITFVQFLASLEGIEKVLPFLKPLIDIPSIKSFIQGFLPGIALKVFLVLLPTTILMFMSKFEGLISQSSLDRRTAAKYYVFLFFNVFFGKHHYRVCFRGA >OB12G18240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7340796:7341047:-1 gene:OB12G18240 transcript:OB12G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYLGRILCMHSIHMGRNVPCTCTTTNVLNISSLYVVYLVRSHSLNYESTDIFVGCELQRHSFGLYALNTLLLHIFTISLVS >OB12G18250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7342130:7344232:-1 gene:OB12G18250 transcript:OB12G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQMSRIVNELDSIHLSIKKASQMVKEIGRQIMQPQILGGDAVAKDSHRAIGEHTNGPHLRLEVMCSLQIMMVLNADEIGIKGEGVK >OB12G18260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7346512:7352503:-1 gene:OB12G18260 transcript:OB12G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTNYWKLFVELFAIESEDFIQGLLLRGSYTRKTSSGNYDTERRVDALHSNLKKSLETSFRASCCEGHILGKPTQVHCLR >OB12G18270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7356290:7356925:-1 gene:OB12G18270 transcript:OB12G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCHCFFPSNNEGVTWRGARKVLVQGCDAVEVGRMVMWPPALVKELAHLVVDSGGDPTSIHRVLDPTMLPVPDIHEVKKNKCQVTRTPYGRRFANKLQDINSYLVFLIELIVAQGPSVGLNVSLSRYDLFLLLG >OB12G18280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7359221:7369256:-1 gene:OB12G18280 transcript:OB12G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGHVNVIFSFSAAPAPAPPPRRGRGCRQVVVGRSDGGGNFFKPFNVTYDHHAVLIGGKRRMLVSAGLHYPVPHPRCGNRGVSGYCNTLRASCLGLSMWPSLIANCKEGGADVIETYVFWNRHEPAKGKAEMQTLVTKVVTLMKEEKLYSWQGGPIILQQIDTCNAFYCDGFKPNSYNSPTIWTEDWDGWYADWGGALPHRPAKDSAFVVARFYRRSGSLQNYYMMIPNPDYRGRWKHKKPFFANFGAIVTFAILGTFIASVVIGVLV >OB12G18290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7409521:7409852:1 gene:OB12G18290 transcript:OB12G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSFAQGAMQKLRILSLSFGVGKTTDIFGDFDFGLENLSSLEHANVLIQHSYSRSKEADDAAMAIERSLQLNPKIRMLKLKKI >OB12G18300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7409966:7410235:-1 gene:OB12G18300 transcript:OB12G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWDVPAEVGRNAKKAAMMKQPHHVTKFQSQEGGSRCRICPSIVVAGKEMIIGVSVDCLQET >OB12G18310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7409783:7414287:-1 gene:OB12G18310 transcript:OB12G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:J3NCX3] MEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLEPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYASFRAIADKCGAMLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRSGMIFYRKGPKPAKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKCYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLDVQKERGKLLKYFNEGLENNKDIEDLRAEVSKFATSFEMPGFSVSGMKYKD >OB12G18320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7431889:7435411:1 gene:OB12G18320 transcript:OB12G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRITKSLIVVMRALEEGEGEASMPVTPDTAHKSLYNNRNATMAGSSRSPPVAPGLNQADPEMFQPPERKDRLKGSSQQDTLGYAGAAPNAGTGMTVLVPVKVTQLKLGNDIADTKDIPKPAGDISNVVIGADTPIPQKVSQLKLVKDISQQTNTQKLVGSTANASDCIDVLIPVKVPQPVSTTTSSSHGTAVPISRQVPWVKLVKDVTPQMFTSRPGTAAVKVDYRTAVAIPQNLSQLKLVKDITPHTATQKPATSVEKAIQQKKRKVNNDTGENPVARHKPNINDMPPSLVERSSERSLPVYFSKAMLMDNLRSLTKLHLADELPGTLTTLVKNDGMHFVSRSQLMENLRFLAKSHNFSTVTMNDAN >OB12G18330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7469116:7469268:1 gene:OB12G18330 transcript:OB12G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYHPIISIQSVAPSTDISNMYIIIHNWLLILLWKTHIMQVAELQRKI >OB12G18340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7469704:7469946:1 gene:OB12G18340 transcript:OB12G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLMASSPSNFSSNFTSPPSQSSSAAALTTPVISTPRIAAPPLLPKQTPHYNYSNESPWIGQCAPHGFLGYYMDLGSS >OB12G18350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7471456:7471842:1 gene:OB12G18350 transcript:OB12G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCPTEMVISEVGDSWTITRLNLEHKHALSRTNEIKFLKPHMNITEEEKTLTRTLNLINVPNRMIMVVLSYLRGGLSLVPYTKKDVSNFKTSIRKECGVNGAVLPIFCNGAVRICCSSNFKQTKIIE >OB12G18360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7478281:7478983:-1 gene:OB12G18360 transcript:OB12G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIFPCLYNNHWFIFVVDIKEENFVFLDSPYSEKSAYHIDVHDLIIPGFIMMWYEFSKVNIDFNKFQNKYCVNVIPRQNNLHDCGVYTMKMELRNPRGHQKDLIRLEDISNIRIQISNDLVFSEHNSEEEAKQLLRNFN >OB12G18370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7483215:7483752:-1 gene:OB12G18370 transcript:OB12G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKSIIEGSAFSSVLSFQRCNIPLSFVKWIANHTDVSCSDICVIGDTIPITPHTVHVVLGIPTGGVEINNVHNEAKEFFENHYRKTKPLISFFGSKLLDDKDKNNLCTEDVPRCFMTVALSTFLCPNSDTYQSPKYLGPLVDVNSISNWDWSKFVYDWLITYIGNFKKENNSKSQHSK >OB12G18380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7501261:7502937:-1 gene:OB12G18380 transcript:OB12G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKVGAPFRLREQASFCTRLLALTKRSFVNMHRDIGYYWMRFAVFTACTCVGTVYHQIDNIYNSIQTRCNVMMYMTIFLTTMAIGGFPSFVQDIKLFRRERLSGHYGVNEFVISNTVSATPYLAVMIIIPGTVLYYLTGLTRGAGNVAYFVTTLYTCNILVESMMMVIAVVVPDFLMGVIVVGTGVQGMMMLNGGFFHLPSSLPKPVWKYPCYYISLHKYAVQGLYKNEFAGQTFASDQLVMANATITGHQVLEALQVEMWYSKWVNVAILFGMAVVYRMMFFAIVKVAEKLRAKAWGVKCGI >OB12G18390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7512884:7513545:-1 gene:OB12G18390 transcript:OB12G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNYKTVAGQAIRRGTVSFDYPLTSGQHSVAANGGSRDREEVHFADAVDELVQPAAVGRRAAPVDVREDSAAKITLLSRPEIYTKFLNNSM >OB12G18400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7545715:7552828:1 gene:OB12G18400 transcript:OB12G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSDLDPENIISPTAEELPEEYREELERKKQDLESLTLLCFQKTRQGIVKKDATLPSVSVESKGGTPPIFLLAHSSFNSAPLITNSNYDSRVNGNLVGTFVPPYRTVAYSIPPIPPQGLGITYGTLPNNNNSVLQHTRYTPPNQMPQYPYDTPSPNVFAPQPQIDVTHNRLMAEPPMEPEILKDQLFSIFRDTFGVEPKSRARAYRKPYPDFYDTVPYPRGFRVPDFIKFIGDGSRTTLEHISQFIAQCGEASTSDALKLRLFPLSLSGTAITWFTALPPNSVHTWSQLEEKFHEYFYTGDTELRLAHLTSVKQKYNEPVLDYIRRFRIQETDLAELAHAGLLPYLKEKLEGKVFLDVSQVLQQALGQESRARESKNFQRSNDKSSHPVNLVEDDIMSSDDENIDICAAEWAWASRAKPFVCSALKPVSQKNQQEDIKFTFDVAKCDRIFDYLLPEKQIKLPNGHVIPPPEELKRRAYCKWHNSYSHATNDCNVFRRQVQSAINEGRLKFAEGPKMQLDSNPFLVNVIDFENSKAESIKDKDVIVGEHSMDTVKASSSGPNQGSRPRMIKLKSPEIGRWKINESRSRTTKRVENPKPTFKELLAKYEGKAGGTFTGQPSNFKKPRAPPMQDFDGPDRRWEEFYPTYPWMPPAPMPYESFHPILYAPLHPIPYTLFPPMPFEPFRLGWEEPRRPVFDRLTWLKDDRFNARKRAHDGRSDKPVEKVYRVKKMAMQTKVVDEEVAQLCLGPKEAIFEKPDESSRHLRPLYVKGHINGRPVIRMLVDGGATVNLMPYLVFKRMGKEDSELLKTNLTLNGFTGEPTEAKGIISMELTIGSKTLPTAFFVAEVQGFIIHERGIDIDPTKIEAIRNVVAPRCKRDMQKFLGKINYLRRFISNLSGKVTAFAPILRLKEETEFTWGTKQQQAFNELKKYLSTPPVLRAPKARVPFRLYIAAEDSVIGAVLTQESEGKESVITYLSRRLLEAEMREENVRANDLAQQASGYQMSRDKVLAIERPMLEYFEVNMVESDDWRKPLISYLENPSQTVDRKLRRQALKYTLLHGDLYRPIIDGLLLKCLSSDQSKVAMGEVHEALWAHRISKHGATKVTPYELVYGQEGVLPVEVNLEALRLAKKNNLSAVDYHNLMMDRIDEVSDERLKALHEIEKDKVRVAKAYNKRVKEKSFQIGNLVWKTILPIGSKDNKFGKWSPNWEGPYRITEVVPGNSYFLQNLQEHRLSRALNGRYLKRYYPSVWQDA >OB12G18410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7571155:7585088:-1 gene:OB12G18410 transcript:OB12G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLLDTLAGRLGPEMNRSGVVLINGRQEKLAFGTSAYVTQDNVLMSTLTVREAVHYSAHLQLPDTMPAPEKRGHAERVIREMGLGDAADTLVGGRVARGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVAAREGMAVVAAVHQPSGDVFELFHGLCLLAYGRTVFFGTPSNANEFFTQSGFPCPHMRNPSDHFLRIVNKDFDEENMESSKANRKTAAEATEILTNAYLSSSYSEKTANEIAEMKGMDGAPFRRKEQASFGTKLLVLTKRSFINMHRDIGYYWMRLAIYLGIGVCIGTIFYQVGYSYSSIQTRCEVIMYTTALLTFMAIGGFPSFIEDIKVFRRERLSGHYGVAEFVISNTLSATPYLAFIAVAPGAMLYYLTGLTRGAEHFAYFTATLCMCTLLVESMMMIIAVVVPDFLMGIIVGAGVQGVMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFMGLSFPSDQLVEANVTLSGVQVLREKLQVEMGYSKWVNLAILFGMMVAYRMIFFLIVKVAEDLRPKLRGMRCRWPK >OB12G18420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7589031:7589360:1 gene:OB12G18420 transcript:OB12G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSVHLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTAGILVLIYSDNYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIFIFFGSLCECAPIY >OB12G18430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7625925:7626107:1 gene:OB12G18430 transcript:OB12G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTVEDKGGVRSGGELRWRSYTGEFGQGGGGVRLGLRTGEIAGRRGEEYDRGRGGGGV >OB12G18440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7627287:7629917:-1 gene:OB12G18440 transcript:OB12G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparaginyl-tRNA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT3G07420) TAIR;Acc:AT3G07420] MASATATSSSSSSSHDQDQHASGESYIECFRRVAGGVSAAASGGSARRPRAGIALVRINDGSCVADLQIVVDSSLCPLDQLTATGACVLVEGKIEQVEGAASASPQHAMQMKVDKILHIGAVDSDKKYPLSNAHPSPDIVRANPHLAARTAAVASTARVRSELVHAVHAFFQSNGFFHVNTPIITTTSAGNRGKMFRLIRIFSKSDGDSRITPEVVRAAIKSKTKQVEALKRSESNREAHEAAEQDLQRATALSGQLEQDDFFHCPAYLTPCHTLHLETYACALSSVYTFSPAFQAESLDSDTDRSMAERWTVDVELAFAELEDAISCAEDCLKSLCSTVSKDCSDELKFLSSSQAGGETNSVIESAVSSAWQKIKYSEAVSTLLQVTDKTFESKIEWGLALSQEHLRYLADDLYKKPVIIYDYPKQLKPFYSRLKEDLRTTSAFDLVLPKVGIVACGAQKEERMDNLTSRIEESGLQIEQLEWYLDTRRHGTVKHSGFSIDLERLVLFVTGLKDVRDTIPFHRTKGHAKC >OB12G18450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7632226:7633111:-1 gene:OB12G18450 transcript:OB12G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEDAAIRINYTLIRGVQQYPHEMNKDQKLHTPSGADITLNLYNRLVTLRDL >OB12G18460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7647014:7647229:-1 gene:OB12G18460 transcript:OB12G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHETSYKLKVLLGMNNHIEEIDVYIWCIFLQLAIFSHVNAGSLLIACRICAELCWERMPRLESIQPEYV >OB12G18470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7680853:7682336:1 gene:OB12G18470 transcript:OB12G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRRHIVPAFGEWNQQYEELPITQYFESAMHAGLVRAGHYYHAAAGDLLFKAAGSPPPQKPPKKVRSTRNGMENQAGRREGPSVVCDGCVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGRKHVRSLWMGCVGLNCVA >OB12G18480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7682060:7683573:-1 gene:OB12G18480 transcript:OB12G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTQPSSPIAINTREIDAFSFPFIQQKSLSDIYSMVRPQYRRYSTILDLSGRPYVCRQTNIALVKIRDSSLGALPSHKPERVEQELQQDGQQGSTTADEGPCRYSRKREIEATQLSPTQPIHKLLTCFL >OB12G18490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7719900:7720091:-1 gene:OB12G18490 transcript:OB12G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAADGRQIETRWASWTRPGRFDEQAELLLDMAAAAAAAACASLRCAAMHAVVLALGVILA >OB12G18500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7720430:7723577:1 gene:OB12G18500 transcript:OB12G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKARRRHVVPAFGEWNYYYQCDHGRAAATAAEVMRSAPVVAVAAAAADEWYASYSYGGAVATAEACSDVWFKYSPPPRRPTPKKSRRPEGRVVFKAQALCDRSKHRARLDLNECLLTNVT >OB12G18510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7742755:7744369:1 gene:OB12G18510 transcript:OB12G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATRRRRRRHVPAFGEWNKNYGDEPWPQAGVGGVVIVAEPEPEACSEAWFSYPGRPTSRKTVAPPPPPPRVKARRPGRERPRDDGGKGRLQEEAAPRLSDHSYGLAKKAAVRESTRRPAVDADLYRVPPPPPDDVACKRPRKRAVRGLWMGCLGLDCVA >OB12G18520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7761448:7762211:1 gene:OB12G18520 transcript:OB12G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRRPRRVPVFGEWNYYYGGDELSAACYGGGAPEDKEEACSDVWFRYSPAPRREPTPRKARRRRDQKHAGGGKRRPARTTSSSDSGAATATHSTPAKQATAAEKVVAPRRRPPVDADLYQVPPPEFLPGEPRRRKKAGRSMWKGCLGLSC >OB12G18530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7766402:7768955:1 gene:OB12G18530 transcript:OB12G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMNRREPGILEKNGEKQTSKKKRSYVEGKRKITEAGIKTVRTGIPPEQQRLIFGGKQLEDGRSSLGDYSIQKESTVQLVLRLRGGTRGRRLHHPGPSLLALALKYNEKKMVCRKCYARLPDRATHCRKKKCCHSNQIRPKKRFVSKHTI >OB12G18540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7791767:7793468:-1 gene:OB12G18540 transcript:OB12G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLRYTRVWSHLLSLYVLAWQLPRGVFSCTPADRSVLSSFSDSLDAGIAVWPGGVVNSSSDCCRWPGVRCRRLSAASESELRVVHLDLAGRGLAGSLRVQGGGSLARLDKLSFLNLSRNSLHGPIPPELLLRMPRLRVLDLSHNFFSGQLADMAAPAPAGAFESGYPKSKLAHLDVSLNSLTGLHDGVCRGLPRLRSFSAESNLLAGTVPRSLSSCSGLEYLNMDNNSLHGALGDLNFTRLSRLRALHLGWNQLRGRIPESLSSCRHLRVVNLRRNFLSGPVPSAFRHLQSLAFFNVGNNSITGIAHALRVLQECRALAVKILTMNFHGEEMPGGPGMIDAGAGGIRGFPRLQLLGMAACALRGAVPQWLRASARLSVLDHLSWNRLTGAVLYRIDLSNELTGDIPLSITRMKSLVAADDMSASQASLSDYGVRLYNWHVDCGELWYDGNIPASLDLSQNSLAGTIPPEIGDLRELNILNLSWNALSGPIPATLASLASLQALELSHNELAGEIPASLAGLTFLSSFDVSHNRLRGVIPNLSQFSTFPCSSFAGNPGLHGEYC >OB12G18550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7805738:7809688:-1 gene:OB12G18550 transcript:OB12G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGIKKLLLFPRPSDEYLAGIDGFLEFAYKEKNLDDKIRCPCKKCVNKWLLIRDKVYDHLVCNGMLLGYSPWGCHGETSSFIAANNEQESHNRMDKNMHQHVQDAFGNTDNAPIGNNYDAPNTSNSGPDSETKAFYDLLQGAHEPLWEGSILQQAIQNGKSLPRTFAEVKKIIGKLGLNYVKLHACPKNCQLYQKDKANDDFCLKCGTSRLKNTKEKITLTNKERRSATPRKVLQYFPIKPRFKRELSAKVLHLDVIEKLEELIKMTLCHMEMVFPREFSTIMVHLIVHLATEAKIGPVCYRSMYFVERYLCVLKSYVRNKALPKGYIAEAYLKDECMTFCSRYLEGFDTKHNQPSRNDDKEELIASLDEEQQLSLFPHAEKHLGKPRKCMPTRLGKTYRQGRVTPKIIERTQNEKFHEWFRAHEEWTVDIEFEPSHVSQLGVMFNDANSCQQWVRTDVEGTIVDANNGAFNNKS >OB12G18560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7814274:7817378:1 gene:OB12G18560 transcript:OB12G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEPFLLPLVLAFVSLWPRHAHGKLGEHTDLTRASFPLGFVFGTASSAYQVEGNALKYGRGPCIWDTFLLHPGKTPDNATANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGIGRINKDGVDYYHRLIDYMLAHSITPYVALYHYDLPQVLHDQYNGWLHTRVVRDFVRFADFCFKTYGHKVKNWFTINEPRMMANHGYGDGYFAPGRCTGCQFGGNSATEPYITAHNLLLSHAAAVKIYRDKYQATQKGKIGILLDFVWYEPLTDREEDRAAAHRAREFTLGWFLHPITYGHYPETMQNIVMRRLPNFTREQSEMIKGSADYIGINHYTTYYVSHHVNKTFMSYLNDWDVKISYERDGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIIIIGENGIDQPGNDTLPGALYDSFRIEYFDQYLHELKRAITDGAKVIGYFAWSLLDNFEWRLGFTSKFGIVYVDRQTFTRYPKDSTRWFRKVIKNEV >OB12G18570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7818309:7821148:1 gene:OB12G18570 transcript:OB12G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA binding [Source:Projected from Arabidopsis thaliana (AT1G09340) TAIR;Acc:AT1G09340] MAATASLKSSLLLPSPISDFSGAALSISSQTRRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFEVDAVDPKSRHKGKLETESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFVLSLGNPKASRQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKASKELGWTPEYDLVEGLADSYNLDFGRGTFRKEADFTTDDMILGKKLVSV >OB12G18580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7824625:7827198:1 gene:OB12G18580 transcript:OB12G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGVYRSGFPDPANFGFLRSLGLRSVVYLCPEPYREENAAFLKAEGIRLFQFGIEGNKDPHMSIPVDAIMGALRVILDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLADLSFIESFDVTCMRDCLLRLIYHYHGCLQKSKRLVYNER >OB12G18590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7827833:7830041:1 gene:OB12G18590 transcript:OB12G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit l [Source:Projected from Arabidopsis thaliana (AT4G12800) TAIR;Acc:AT4G12800] MAALPGPHPSAKRQIFSVHRKLTISREVSSSPRRQRQRQFGFASVCVFLVFCSRGAEMATAYAPPMASQLMKSSLSKPRGLPGASLTRRPRFTIKAIQPDKATYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLLGPFALTGPLRNTPVHGQAGALGAIGLVSILSVCLTMYGVASFGEGEPSTAPTLTLTGRQKEADKLQTADGWAQFTGGFFFGGVSGALWAYFLLYVLDLPYYFK >OB12G18600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7899075:7901239:1 gene:OB12G18600 transcript:OB12G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIVVKLDLHDNKDKQKAMKAVSSVVGIDAISMDMASRKMTVIGMVDPVDVVSKLRKSSWAAYIESVGPVKEPEKKEEKKEEAKKEGDGKKEGGGGDAKKEEGGGEGKKEGEGKKEGDGEGKKEGDGGKKEGDGGKKDGDGDKKEGGDDAKAAKKEDGGGGGEKKPAAVAPMPMPMQMHHLPPQYFNMEYMNQYHRRPPPPAYPYVPPPPQYYYVRNMSMEENPNSCAIC >OB12G18610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7916354:7916890:-1 gene:OB12G18610 transcript:OB12G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFSCEFSVHSHETTRSTTIPNGTRLTSSKYTLHTVLLGMLFPGFQTLARVAGDGDAWARRGQRSGRGRRAVPPPTHASSEPAATGVGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVNPVYSWWGGHKKILTLSFYISIDKQKYHKSLGCQITMLTVVFAYSDKTMTQMPV >OB12G18620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7956741:7958599:-1 gene:OB12G18620 transcript:OB12G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSSNASSTLATTIMLSLVSAPSWQVSSPYVGGAPITAIRAAVLKKERSGAAAATRTAERKKRRVLKQTSVLRNQATNPSLAATSALSLVDAAVSLANFSLTAPGTNNWKDSSSKEDKAHSTSSQCRGTTMQSRDKQGSLMMIIVVIIMIISQVAKVRLQFVVIIFEKHGENSKVSKKNGKCLKNFVVRYRGNSNISRCFHAKITTIDIAKTDTIIAIDKGVAIVA >OB12G18630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7964018:7964308:1 gene:OB12G18630 transcript:OB12G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRCYLKVLGAVAGLDAAAKTKGGVEIGLVVLAWVANVATEIHELDEAGELGVAHLMARVGRAAGLGVSTGVGAKGATWLSSSCCTLQLGWRHRS >OB12G18640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7967817:7968587:-1 gene:OB12G18640 transcript:OB12G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATEPSLAADTPGDDAAATAAIRFAGFRTKRAKAGCATRVAERNKRRALHQVPMAPAPTPAAASSPAVLPTLNILGATPNPAASSSSSISAATMAAAAITPSPISTPLFALAAASSPMTGQISSTTSSTLLTAIVLNPVSTPSCQVTSPCVGSVPVTTVCATVLKKERSRAAAATRIAECKKRRVMKQTSVGRNQAATSALSFVDATFSCADVMSPRNAASLHVGPTLVDMDQPSTADVPTEGVNLELSLSILG >OB12G18650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7968052:7968618:1 gene:OB12G18650 transcript:OB12G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAAALDLSFFSTVAQTVVTGTLPTHGEVTWQLGVETGLSTIAVNRVDEVVEEICPVMGLDAAAKANNGVEIGLGVIAAAAIVAAEIDELEEAAGLGVAPKMFKVGRTAGLEAAAGVGAGAIGTWCKALLLLRSATRVAHPALALFVRNPAKRIAAVAAASSPGVSAARDGSVAITIAVSQMVHPK >OB12G18660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7978975:7979348:1 gene:OB12G18660 transcript:OB12G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTATEIVELDEATGLGVAPMMARVSRTAGLGDTTGVRAKGTCPGAATSPDPLPTNLAKRTVAVAAAPLPSVATASGGLPVTTIAVSKKTAPNLAMALQMSTVSKALQMQL >OB12G18670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7987281:7987580:-1 gene:OB12G18670 transcript:OB12G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRATALKKERSRAAAATLIAERKKRRVMKQTSVGRNQAATSALSFVDAAFSCADVMSPRNAPSLHVRPTLVDMDQPSTADVLTEGVNLELFLSILG >OB12G18680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:7987670:7988508:1 gene:OB12G18680 transcript:OB12G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAATKANNGVEIGLGVIAAVTIVAAEIDELEEAAGLGVAPKMFIVGRTAGLEAAAGVGASTIGTWCKALLLLRSAARVAQPALALFVRNPAKWTAAVVAVSSPGVSAARDGSPAITIAFRSY >OB12G18690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8024004:8024774:-1 gene:OB12G18690 transcript:OB12G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGEPSLAATTPGDDAAATAAVRFAGFRRERGKAGCATRAAERNKRRALHQVPMAPTPVAAPSPAVLPTLDILGATPNPAASLSSSILAAAMASAAITPSPTSTRLFAFTAASSPMTGPISSTLLTAIVLSPVPTPSSQVTSPCVGGAPMTTVHAKALKKERSRAAAATRIAERKNRRVMKQRLVVRNQAAKPTPAARSAPSFVDAALSWADVMSPCNAPSLQVRPALVDMDQPSTADMPIEGVTLELSLSILG >OB12G18700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8076645:8077427:-1 gene:OB12G18700 transcript:OB12G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGEPSLAAATPGDDAAATAAVRFAGFQRERAKAGCATRGAERNKRRALHQAPMVTTPAAAPIPAVMPTLAIMGGTPNPAAPSTSSISAAAVAAAPITPSPISTPLFAFAAASKPTMGPISSTASSTRLTAIMLSPVSTPSCQVTSLCVGGVPMTTVRATTLKKERSRGAAATRVAERKKRRVMKQASVVRNQAAKLSPAATSAPSFVDAELSWAGVMSHHNATSLHVGPTLIDMDQPSTADVPSEGVTLELSLSIFG >OB12G18710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8131696:8132238:-1 gene:OB12G18710 transcript:OB12G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNELAKIKDTLCGNLSTKDYEEVFRGIDGKETKLRGYYDNNNNWSQVEFSQGLGVHWESKEYEMLKLLMETMGKQVEVLSHDMNLMCGFIKRKFPAKDWFTMVAAKENNIENIHEQVNKNVSREIVNNIESYDNVHSSPNVEQVV >OB12G18720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8168039:8168335:1 gene:OB12G18720 transcript:OB12G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYHFNCKLAYFCSNIFLNSTMLQFSKAFCVIVALKYSFEKTQSTHSLAKRPISGAFHQPPCRIDASPDKPHTKHVVPNSLITQERGHKTVAEPMLE >OB12G18730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8173704:8175826:1 gene:OB12G18730 transcript:OB12G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQGKQPPGFQSSNWLEVEKPFQNFQGNPSNFSDKERIGEMDKSNFGQRSDKTGPVFPVKQLEWGKKDGAVDQNRQTEQGNRTQVLKCFRCGQDGHHQATCSKPPLCYACHQIGHICANCPLNLNMKEVKLCGFGILGQGFYSLHIESNVEERSNIPVRASVMASNMTEEAIDELTSIWVKMTGVPKIARNESAIKALTDMMGEFEEIDTRSVNAEGPIRVKCACIDPRELHIAVHFYINKDKDGKGDEKKDGNKDRDDEEGGFGDDDLLDDEEEDPMFKKLSEKSLYRSKGSRSAPPATKKKSFVEMVTSDKKSDIITKESVHCSQALVVWEGVVGQESQDDNLSLCAPDLQMDMNIDNVLCLAADKLGEEEKCDIPTDSDIEKQMEEDELEEINQFKEVSYKKKEALKKKGPQMPTRMSLRHKAKEIPVSLKAEILTQKKNLEATDNEYINSIANVVSIVLGSDKEEIDKNITTIKAKEVAQAKIAELVGNKNMRMRFRKPGDLVGVQETKKESFSKGELNNIRKGNFKWAWKASREAS >OB12G18740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8189376:8189699:1 gene:OB12G18740 transcript:OB12G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYSLNTICSIACLQAKAQTKWAFYSPSTENMHFLMAGMRWLSLEFDDWYLSVCAVEYQGCDRFWCYGTWWCMWLLELGASYWLLAGWHFWWLEFFCVGCSNPGFL >OB12G18750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8204230:8205489:1 gene:OB12G18750 transcript:OB12G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFPPPCPPHPPPPDDGEAALASLPADLVREYLGCVRCNIDRHRAGTRGETWRAQIRMLEPLPPPLPLLLVPRSDGPPVFHCVLSNWRTHPYFVRERAHAARFYGSYDGSWLFVSLRQNDQHFLLNLERPHVRINLPNTHLRSSLVPPFHFRPKVVEDRIVIVAATFTRQPTEQGCVAAGIIGYPPCCPAEDKWHVAFWGMGNGEPVISQSFPPTNEDLEVEDLLYSPGPEMFRFLTRGEHIREFHQPIFPLCDMDTQVQYFQRRGRDDDRPVLARYLVKSRGKLLMVVRLGTREPPSPTMAFRLFQPKVRIITNAQGKVDAEHHWAELRALDGRILFVGRGCSRSYDVAQRYPFMEGIYFLDDRSFHDHRIAFRNRARRRYHCNDVGKWSGTPPQVRQCISEYGPSNESSPVWVIP >OB12G18760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8211963:8212420:-1 gene:OB12G18760 transcript:OB12G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPRSEKHYLSPDSVLDAVTSLRFPCRKRSSPWTDLDAVRKMTRMRNKMEKLDDGIMMFTGDLSNLTLADINNPEQQLEFSVTKIRARKAKFRTINLIMAQMLLIN >OB12G18770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8215088:8215387:-1 gene:OB12G18770 transcript:OB12G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAHNTAHNPRTHPRRCPWPPAPPAAPPASSPPPPSRHPGFRGRSCGVATALDHPPPPWGERRRHQAAESAFGDAVAGAPQRRIILLRQGESAARGRL >OB12G18780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8222986:8224224:1 gene:OB12G18780 transcript:OB12G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPLDDGEPQLTNLPAYMVREIVAGIRCNLDRERAGDLGGTFRAQIQLLEPLPPPLPWLLVPRSVGPPVFHCVLSNWSTHRYFLVDSAHAARFFGSYDGNWLFVSLHQNDQHFLLNLERPYLRIDLPNKCLRRFQVRPFHYPQILVEDRIFIVAATFSRQPTEQGCVAAGIIGYPPSSPVVDTWHIGFWEMGNKAPVISQSFPPTNEDLEVEDLLYSRGDEAFLFLTRGEHIREFRQPIFPLPDMKRKVRYFQRRGGEGDGPVLARYLVESRGDLLMVVRLGTREPPSPTLAFRVFQQEVRNVINAEGEVEAEHHWAELPALEGRILFVGRGCSRSYEVAHGYPGKEGIYFLDDRTFYDHRIVFRSRARRRYHCSDVGKWSGTPPQVRQCISEYGPSNESSPVWVIP >OB12G18790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8232888:8233655:1 gene:OB12G18790 transcript:OB12G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPLDNAEPPLAKVPADIVREIVSSLRCKIDRDRARYLGETWRAQIQLLEPVPPPLPWLLVPRSIGPPVFHCVLSNWRTHRYFLVHSAHTARFFGSYDGNWLFVSLQQNDQHILLNLERPYLRIDLPNTCLRNFLVPPFQYIQILVEVRIFIVAATFSRQPTEPGCVAAGIIGYPPSSIVEGTWHIGFWEMGNQAPVISPSFPPINEDLEVEDLLYSRGDEAFLFLTRGEHIREFRQPIFPLPDMTRKVR >OB12G18800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8238371:8238520:-1 gene:OB12G18800 transcript:OB12G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCQQVDHNYPQKKIGGANRSFQKSWFKKHNWLEYSVAKNAAFCFYCF >OB12G18810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8240084:8243291:1 gene:OB12G18810 transcript:OB12G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWQGVNTKIWIKLTGTNASFKIISTGDAQDHFLLNLEDPDLFFRLPDRRSRGLIVLHPFPLEPTLWEDKIVIVAATLSRQPTERGCVAAGIIGYLPICQDYDIREIAFWGMGDGEREISYTFREKDPDLKVEDLLYSHVDEAFLFLTRGEHTHEFHQPIFPLEHTNQEVVRFQQRRGDGDGPVVARYLVQSRGKLLMVVRFGNHQLLWPTSEFRVFQAEVRNATNAQWEFEAEHHWAELPALEGRILFVGKGCSRSYEVAHGYPGMEGIYFLDDLCFYDPMIVFRARAQRRYLCNDCQEFARRLLARTQNQRTRFLDEHLAHKEQELL >OB12G18820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8253256:8255265:1 gene:OB12G18820 transcript:OB12G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPLDNAEPPLAKAPADIVREIVSSLRCKIDRDRARYLGETWRAQIQLLEPVPPPLPWLLVPRSVGPPVFHCVLSNWRTHRYFLVDSAHTARFFGSYDGNWLFVSLHQKDKHFLLNLERPYLRIDLPNTCLRSFLVPPFEHLQILVEVGIFIVAATFSRQPTEQGCVAAGIIGYRPSSPVEDTWHIGFWKMGNEAPVISQPMNEDLEVQDLLYSRGDEAFLFLTRGEHIREFRQPIFPLPDMKTKVRSKKRLIEFYGSRDWCIVLYMSAATRNGLPVNFTEKMKTLRLLAQTLNPLTAHNSSFLSLHSPFLFF >OB12G18830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8268684:8273552:1 gene:OB12G18830 transcript:OB12G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTTAGSNGWRASSAPRSYWPPPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDESGFPGIYDVPHFLKTLKHDIRIVTSLPEIMSKGKAKKLKAHKIPPPRDAPLSWYTTFALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIKYREQNFAKKELIYRERRLIGKCPLTPEEVGLILRAVGFANSTRIYLASGEIFGGDRFMNPFRAMFPRLDNHSSVGPEKLEENARGLAGSAVDYMVCLMSDIFMPTYDGPSNFANNLMGHRLYHGFRTTITPNRKALAPIFMDREEGRVTSFEDRVRQVMFNTNFGGPHKRIHPESFYTNSWPECFCNMNPKNPADKCPSDDPHEVLDGQLQNED >OB12G18840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8284116:8285098:-1 gene:OB12G18840 transcript:OB12G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLGMARETRRDVVENGECGLSSHGAFAFGKLLYLHIQYCQGFNIKNVLMAQWHQPEYTL >OB12G18850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8329961:8331157:1 gene:OB12G18850 transcript:OB12G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRKILTRVKRIRFADSQIECEVVLPQTVANGGKSSSMASSDDAQRNLKLRPRTSSSGDGEAADDIIVHVLHNSHRLLYAINNDHKRVDIMDSNNYKLIGIAVNGHHGALSKRIMKWLIDALQTAVLKSFCWFGEFKKNFMDCPQDANLI >OB12G18860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8338814:8339344:1 gene:OB12G18860 transcript:OB12G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSNDGDAGKMLLLISSDGEHFQCLLDLACDHAAEFIKDMMPEQVRELFGIENDLTPEEEAAIRSENAWAYEI >OB12G18870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8346047:8346643:1 gene:OB12G18870 transcript:OB12G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDAAEEWGLSAWPPWSSLLLRVMSRRRTWVALFLAVYAGLLCSSWRLLESVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGSRSASCCARATRSPRSAPRPASPPSWPAADDDDQRNWPVPPPFFSPPPSSVNFFVSIPFRSSNSVGVSVFLPPFLG >OB12G18880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8352215:8356327:1 gene:OB12G18880 transcript:OB12G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEMDVVEGNRTWEHADLPRGHHTITLKWMFKLKMNEAGAIVKHKARLEGIDYDDAFVLVARMESVRLLLRWLLKKVGIYIDDLVIAGTKDAEGLAFNSLLIDRFGMKKITILLNYTTDNLSDSRQSPTNESTDQPKRFRVKLDPIILMVFYAIREFIGGGCALDFCLSSKRYVSAVNTELKSYVQLLADDVSCKKLIMFFSKKG >OB12G18890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8356695:8358905:-1 gene:OB12G18890 transcript:OB12G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTRILLSFLSVSILHAHTTICSTTGNVDASRLDTYVVRVRPPPNFSIDMSNIQLESWYRSFLPPRMASSNSQQAFIYTYKTAIFGFTVKLTEAEKEFVMKKNGVLEVYKDNLLPLLTTHTPDFLGLRLSEGSWKKTGMGEGVIIGILDTGIDSRHISFDDDGMQEPPAKWRGSCESSQMKCNKKLIGASSFKGGHKSLPPMDDSGHGTHTASTAAGSFVDGASVFGNGNGTAAGMAPRAHLAIYKVCSRKSCAESDILAGMEAAIADGVDIMSLSLGGPPKPFYSDVIAIASFSATRKGIFVSLAAGNSGPFSSTLSNEEPWVLSVGASTMDRQMEAIVKLGDGHLFVGESAYQPYNIGSLQLVYPPFEPFHINCLFVKDVAGKIVACEHTHSASLIGRIVKDAGGSGLILLGQEGSGHTTFAELSVLPVSYVDSPDAVAIREYFNSSNNPTASIIYNGTSLGNTQAPVVAFFSSRGPSTVSPGILKPDIIGPGVNVIAAWPFMEGKEADDAKHRTFNCLSGTSMSTPHLSGIAALIRATHPDWSPAAIKSAIMTTAYVVDNQNKPILDEKFNTAGHFAIGAGHVNPFEAIDPGLIYDTDEAQYISYLCGLGYTDAQVEIITHQKDSCQNGTKITEAELNYPSVAVRASAGKLVVNRTVTNVGEANSSYTVEIDMPREVKASVSPTKLEFTKMNEKKIFSLSLSWDIEKTNHAEGSFKWVSEKHVVRSPIAIF >OB12G18900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8364894:8365088:1 gene:OB12G18900 transcript:OB12G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFFYLFCSEEISNPFHSLHDCIHQNRSLVAFHPRVPSSNLLLARSSHIGQIKFSRISITFSCFF >OB12G18910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8367243:8367981:1 gene:OB12G18910 transcript:OB12G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGSGVQEAAAEREARSVDAEEACALLSAGRHRYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAREKNPHFVDQVAALYATHDPIIVGCRSGVRSKLATADLVAAGFKNVRNLEGGYLSLLRAANQEPPET >OB12G18920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8379762:8385907:1 gene:OB12G18920 transcript:OB12G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELELEPREIKDVLRCILHTIFFHRTLSLVRPKDVDCDFFEITYVQCGLPELEKEVDEKIDQFVAWIEKHPNRSSQVCLSFFDEKSKHPSWFSNKTERIYWEQWFINLHVISPKRHGKSHSSKALTNIGGQALEESSSRRAALESSIHEVLFQIINFANEKKDHIPAIPDRIFNHEISIPSSPDSMFGWNTDVLRRVLNSGHPYSL >OB12G18930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8393960:8409120:1 gene:OB12G18930 transcript:OB12G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAGHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTHISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFSGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINNHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPVQDPDQSSDKTKPSNLCVIHIPDLHLQKEDDLSILKQCIDKFNVPLEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVVGDALKSEEDHLYSQKRLIKALLKALGSATYSPANPSRSQSSNDNSLPISLSLIFQNVEKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSAPREDKGNELASSDERTEMETDAEGRDLVSAMDSCVDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDTVANSSEVAKLGKGAIPSLFVVEFLLFLAASKDNRWMNALLTEFGDGSRDVLEDIGRVHREVLWQISLFEEKKVEPEASSPLATDSQQDTALGDVDDNRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPTSSSDASSSTKSEEDKKKSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSASVVSVASSVASIVLEHLNFEGHTISSEREIIVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFSMNRLPSSPMETDSKSVKEEKETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNTRFPQDAEKFMKLLQSKVLKTVLPIWAHPQFPECNVELISSVTSIMRHVYSGVEVKNTVINTGARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTSAQEEDGKSNDLELEEETVQLPPIDEVLSSCLRLLQSKETLAFPVRDMLLTMSSQNDGQNRVKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKVALNLLCSWELEPRQGEISDVPNWVTSCFLSIDRMLQLDPKLPDVTELDVLRKDNSNTQTSVVIDDSKKRESETSSSTGLLDLEDQKQLLKICCKCIQKQLPSATMHAILQLCATLTKLHAAAISFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKNKEKEKDKPADKDKAAGAATKMTSGDMALGSPVSSQGKQTDLNAKNVKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESGTASSADMDIDSSSVKGKGKAVAVTTEESKHAVQEATASLAKTAFVLKLLTDVLLTYASSIQVVLRHDADLSNTRGLNRTGISSGGVFNHILQHFLPHSAKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSPTGCKPPILRMNAYVDLLNDILSARSPTGSSLSSESAVTFVEVGLVQYLSKTLEVIDLDHPDSAKIVTSIVKALEVVTKEHVHSADLNSKGENSSKVVSDQGNLDPSSNRFQALDTPQPTEMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTPNESTMEIRFEIPRNREDDMADDDDDSDEDMSADDGEEVDEDEDEDEENNNLEEDDAHQMSHPDTDQDDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSSVLHLPQQRQQENLVEMAFSDRNHENSSSRLDAIFRSLRSSRSGHRFNMWLDDGPQRTGSAAPAVPEGIEELLVSQLRRPTPEQPDDQPAGGIQENDQSNQQHLNGSETEAREEAPTEQNENNENAVTLATRPELDGSESTGPEPHSDALQREVSGASEHVTEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDSQAASRSRRPSGSIVPGSSRDISLESVSEVPQNQNQEADQNTDEGDQEPNRATDTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRGRRGESSRRGDIIGSSLDRNAGDSSRQPSSKPIETEGSPLVDKDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDATEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTCHAETPDQRRGKAVLMEGDSEQNAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPPGPENAMQDAQEGANAAGSSGSKSNTEDSSKSPPVDSESSLQKVLHSLPQGELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQERKDSDHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANTATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGQKSSGSHANLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPLDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYFKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQINAFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >OB12G18940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8409733:8409957:-1 gene:OB12G18940 transcript:OB12G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLASVVLLLFMFAAALSPAALREVADQEVHAASARRRRPSSGRPDGKAIDQGIGYILMALALVLTYVLH >OB12G18950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8418684:8419373:-1 gene:OB12G18950 transcript:OB12G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding XVLVMEFVGPPLRHVLRRERGGRAGRSELEVRLAMRQLLSGAKRIHDAGLMHRDLKPDNVLVDSRGNLKICDLGLSQSTAAPPPYSNPIGTRWYCAPEILGSTDYDDRVDSWSLGCIMAELLTRKPLFRGSSDREQLGEILDVLGVNDIKQWRGYKGQRLPGGCGPNSFLRCFFPCPAEARMLGRPALSEAGFEVLSGLLTCNPERRMTVEQALRHRWFKEPDSASLRHR >OB12G18960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8445333:8445677:-1 gene:OB12G18960 transcript:OB12G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLTRKPLFRGSSDREQLGEILDVLGVNDIKQWRGYKGQRLPGGCGPDSFLRCFFPCPAEARMLGRPALSEAGFEVLSGLLTCNPERRMTVEQALRHRWFKELDSASLRHRP >OB12G18970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8451718:8452920:-1 gene:OB12G18970 transcript:OB12G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLDFLLAIGFRFNPSPQEIVSYYLPLLIAGEQPEDTRDCIHHADVYGADMDVEPGRLAGRFAPVARSTSSDRFFFTACRRVKRRVSRIAGRGTWVAQSTTDVKNEEKVKIGELKTFKFKKERGRDDYSDWLMEEYHCHPGGEEAGDVEPVVCRIIRLDEFVRFVHDEPEAELLEESLVDAILAPETQEEESSNPMEGFVAPADGDELLKS >OB12G18980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8453630:8471641:-1 gene:OB12G18980 transcript:OB12G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:J3ND40] MAASRGRPLLGSRATEMLLVVLFLLGSSSCCSAAAAGEGVLRQVVAGRSVGGDGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATPEMWPRLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTLVTKVVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNFGQAGKRYMLWAAQMAIGLDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEQKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARIGAQTSVFTVESGSPSRSSRHKPSTFSLTAGGPYLSSTWWTSKETIGTWGGNNFAVQGILEHLNVTKDISDYLWYTTRVNISDADVAFWSSKGILPSLTIDKVRDVARVFVNGKLAGSQVGHWVSLKQSIQLVEGINELTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLSDGDIDLTNSLWTYQVGLKGEFSMIYAPEKQGCAAWRHMQNDTVQPFTWYKTMFNAPKGADPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPKSGCSSSCYYPGAYSESKCQSNCGMPTQNWYHIPREWLQESDNLLVLFEETGGDPSQISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNTATPEVHLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHASSTLDLVIEACVGNNKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSVIKEPRDEM >OB12G18990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8493831:8495277:1 gene:OB12G18990 transcript:OB12G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMGRLLEVQTMPKAGHAVDAAQEKEPSWVDELEEMKQRMLEWHERVAEENNMIHEQIQNQENYPSPGFAHSVAPAGRRGLKLLRTVLLHHNLGSLGEIKKGGRTKILMLSLQLKPRAYRWITSLDVPKNQLSWLEFCDMVKKRFASKSNISITNTFRNLKQYGVVDSYIDKFEELMAVVKKNRPALTEGYFLEYFISGLKEHIKRPLKSLDIQSLVHAYEHARNYDGGNLHYKIALGGSSYPNRLGQK >OB12G19000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8515154:8518094:1 gene:OB12G19000 transcript:OB12G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRLRLRLPPSPSPRLLRPVSLPLPPPPPRPLLASTRPPAPHPPLPSSDPVEANSEAAAALEEEEEGSVASVGAGGYPGGLPAHLRAARAGLGDPAFFLLAFVAVTTSAAFTSMVAVAIPTMLAMRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVEAGLGQMRDLARQQAASMIEERANLQTIPNAAKKSSGSSTRQRRQEKGPFTR >OB12G19010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8516794:8529855:-1 gene:OB12G19010 transcript:OB12G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPANAPSFGPPGWQGQARPRVMSAGMQPMPGMLPNAHGQGMPSTPAIPYSPHAGAQVSTPSKIDPNQIPRPIAETSVIIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGEMGPVRCSRCKAYINPFMRFIDQGKHFICNLCGFSNDTPREYLCNLGPDGRRRDADERPELCRGTVEFIATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFETNRVGDSAFGAAMKASFLAMKSTGGKLLVFQSVLPSAGIGSLSTREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFESVMRVRCSQGLQVQDYTGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLPCTNVLSNLFRYADLETQFTYVVKQAANAIPSTPLSQVRDQVTNTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSVGLRTEGRLDDRSYWVSIASSISVLLAIPLVFPRMIALHDLTSRSDDDSLIPTPLTLNSENTLDSGIYLLENGEDGFVYVGNAVNPATLEQIFGVSSLAGAPNLLVLEQYDNELSRKVNDVVNEIRRQRCSYLRLRLCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >OB12G19020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8552073:8553692:1 gene:OB12G19020 transcript:OB12G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQGRPRPGRQGRPGLRRRRAGGHRRFPRRHGVVVPVLQVQRRQGHQLLPRGGRAPPLPVGERLTAYFSPYLHVTISEYGHERFRRSDFFLAVEAYLSHACARRARKLRADLGKDARTLQVTVDDHQEVTDSFRGDVKLWWYPSKKSSRTSVISIYLGQDESRFYSLVFHRRHRDLVLDEYLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPARFDTLAMDPGQKDAVVDDLMAFRDSKDYYAKVGKPWKRGYLLHGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLYIETTGKSIIVIEDIDCSVDLTGKRKEASGDRKDDGGEGSDDKPKLPTDADRDDSGSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFRVLAKNYLGVEQHELFGEIGRLLEEADMSPADVAENLMPMSRTKKRDPDACLAGLVEALNRVLKEEAEAKAAKEEEEETKAKAQAATNGGKDEGKDETTSPEADKANGDIKYIL >OB12G19030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8585295:8588419:-1 gene:OB12G19030 transcript:OB12G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVRSMSRRGRSGGCTVAAATAVVTTLLWLDNLFVPPDAVRKRKANKKTLLKQEKKYHGRQPVKYLHYIKMRHPPYLPLPPHPGGAPRRHIAGTAAARQEIGLDFKSLYKIEKCLVTVELLIYLLQFDKEGIISLTLTPPLLASLARLVRSDEYPEKCKRSKLKVAGLVQSGSKWTKPNQEWMKLNIDGSFADRDKRVGIGMILRDSSGMPIFTACQSIHQSSYALEFEILAFKKGITKVLQWTLFFLVVESDCVMVVSLMTSREFDRSQVAFIIQEARCILDGDRKIVLRKINRSQNKVSHELTNYARTQDIFMF >OB12G19040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8614992:8615594:1 gene:OB12G19040 transcript:OB12G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRRSNGAWEINLVRRGPAAARAARCSRLTLLWFGVVGVVVALYVCVSYVWASIAAAGLLRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTGASWAGCRRAGTRSTRHASTGGCATTRRAPCAAPTSRSPERRRRRRRRRPSRVRTAE >OB12G19050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8631654:8632166:-1 gene:OB12G19050 transcript:OB12G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARRGVVVLRVRPGDPRGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXACGHAFHAPCIDGWLRAHATCPMCRADVKVAGGGSPV >OB12G19060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8646311:8652215:-1 gene:OB12G19060 transcript:OB12G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAGSAPNGCTSTVKESEEQHNLEDCDNDGSCISGIKLISSMVVKKKRGRRAPPSSRRLSGNRVFSNEDAVDNCNHAKESQAGNSSDVALSPSSRKPEDQGQSANPKNLFEKATEMITESPTGCKKSFWEEKESDNKRGRQATLRAKHGGLDIETTGKGVSASEACENASTPEDTSAEFAAARSVNPEDNSVDPMDNVSDAHVITTSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMKVMMEEVPEGEWLCEECKNELEFDKEKKKLEKSQLKVGASKGQFFERKPDKIANASSNSYDDEASESFQGKNSKLDTALKNKSSENGVKDEDGDNKELNSTNQCNNITMKRKDEGAEIISSIRQSIPERCGLSMGVEPRKRLPLSRESSFRLDVVKGKQSTTQVPTSLAFDAAKNLGPPLRQFSKSTSFNNSKVPKVKQLVNEVPPKPNNLKDHLSYLAKKEGPVGILAKSPFFKKPKSCESGNKAKSSLLPLNEESKVMNPPVSHNVTSDRVTSILGCPSVTASMTNQASSKEESKAQHLATGYSEVNKQPLAKAPGSKIVSSAEKSSSILGSGVQRKVIQNTDPAHQDDKANDPTSLRPGAISSNLTMRCQRCNEAGHYTQFCSVDKISLSAVKPVGERNMKDSSAKRNKTSEATNMISADKAAFRSVDQSENILKWGPCHNPTYRPKDPLSTSFGHVKKPSQLYGRTGEQDIRNTSNSRGSTDCSKLKPNECQPVSVMAGRFVYDSFTMPDSLVDKSNQVLIPGYGSKVSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRLNSWPRQFQENGPSYENIGLFFFARDTDSYENHYSKLVENMLKNDLALRGTIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKGQMNIPPGVTLSTCKPNLNMDVDQSISILTSDHSLSEGQNNGDKSDHDLVKSVPCEDYQCPQTTGNPCADNQCPQTTGTDPQGCSNGENISNQPLRRNESKDHCHVSITASSSTNNSTQLATEQQKFSCSEDQDTKDSSNSNACEPMLDVNTVPLACSISSVCEKGKGIRAIDLNDADNLVDVDISTCEVNSGTVDPVSHITATPHKRSVEVANWVDEVNGKLEQKKIKLDYIGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFSLDLNAMDDPVTGNVVNLSSDDEGMPERDVPDLELELGDNKFPRNTMFSFLPPKAGENQNKEHSLPTDTPGSLSLSLAFPASREHAGKLQPELQRQLPEMSSRNKISSIWDRQ >OB12G19070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8663110:8663479:1 gene:OB12G19070 transcript:OB12G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEKIPVRVKRIRFADSQNECEVMLQQTLANDGQYSSMSSGDDAQRKPKWRRYTSSYGNGEADNDRCDSV >OB12G19080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8667587:8683588:-1 gene:OB12G19080 transcript:OB12G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT1G50240) TAIR;Acc:AT1G50240] MGIEDYHVIELVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVIFFALYHIVYLLPQANFSDWLARYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMTTHFKNFLKGLLNKSPQSRLTWPALLEHPFVKDNSVEPAADNESTPFEVKGSEATWKADEIQTSRKQPFAADPQIHWNCISYLEYMEDTEVAAFKEDMKATFQMSDLGPLSFYLGIEVHQDDSGITLRQTAYAKRVVELAGLNDCNPALTPMEERLKLSRASTAEEVDATQYRRLVGSLRYLTHTRPDLAFSVGYVSRFMQRPTTEHQQAVKRIIRYVARTLDHGLYYPRCPGKAQFIGYTDSDHAGDIDTSKSTSGILFLFGKCLVSWQSIKQQVVALSSCEAEYIAASTASTQALWLARLLGDLLGRDTGAVELRVDSKSALALARNPVFHERSKHIRVRYHFIRDCLEEGSIKASYINTKDQLADLLTKPLGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMTTHFKNFLKGLLNKSPQSRLTWPALLEHPFVKDNSVEPAADNESTPFEVKGSEATWKADEIQTSRKQPFAADPQSRNTTENRENVYDKHNNYKKVEGSKNAAEDHHSSSTVPVSDISTPSECTALDKLEKTSETVKGANAIVEDSEALSTVLSPIKVWLSNPRELNIDGANQSLRIVKKLIEAGSYQSCATIDDIICIFLEFTSVIIRTKLSDAYSLAVKCLAIARKLLDTSEGAVLQSYDRHWSSLYELYSQILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHATLDGKMQDQGSLPAPQKKDSLPDPDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDPSFCAIICGPMNSSSNLYEVEYGGDGTIVCDMFSLLSLCGSYLNKDSKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASVILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFSLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKESSDEKEGSTHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLGDMHLKALATWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMGQYIQVLLEVNVPGCILRSFDHVDLEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPITKETLLDFLMIVSDLARMSKDFYEPIDKAGMIGYLKNFLSNEDPDLRAKACSAIGNMCRHSAYFYSSLASSKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDKLYEELRRSIPQLTNLLLAPEEDKTKGNAAGALSNLVRNSNVLCEDIVSQGAIQALLKMVSNYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDSTISEYASAIATKAYQP >OB12G19090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8694444:8697107:1 gene:OB12G19090 transcript:OB12G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRLSSIRSGVRIRWAEEEEEEMEGCNDKSSASSMEKETYGESKYGGIAPKKPLISKDHERAYFDSADWVLGKQAENGSARAAIESLKPKLKRTPHHQLPPRKPTCASS >OB12G19100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8707196:8707537:-1 gene:OB12G19100 transcript:OB12G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARRHPFRGSSEREQLGEILDVLGADDIKQWRGYRGQRLPGGCAPWSFLRSMFPPPLEAAIAGRPPLSEAGFEVLSGLLRCNPDKRLTAAQALPHPGVKETDSASLRHR >OB12G19110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8745408:8749869:-1 gene:OB12G19110 transcript:OB12G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEHITMAQRITTIPQYENHDKTFHLTLPRQSHHTSGFGPSFTPSRASPLVPRWIWKQHRTIVTPRFLSILHHAHPCLSTSNRDKLDYESHRCPLWLVVKNLNELFAQLIGGADISGERGCRLTAGAHKAVRERGRRERAATRSNGEGGTPLRRSATSRGVGVGARVAVAREGKEEEGERELTDVKTTTATARMGQHRGGDGSSTMTMRDRWGRRMKEIERRVVSGRSRRTLPFPFPASDSREITGAATFVYTSIFFVQSRLPSSVPPMEVTGKMPARVKRIQFVDSQNDCVVMLPQTVASSGQSSSMACGDDAQRKPKWRRRASLSGDGE >OB12G19120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8753620:8759964:1 gene:OB12G19120 transcript:OB12G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHAVAVDVAAAATPSLGLTVPNAAADNPLQVTFAAKDMELTEWEGDILAVLVPESGVSGATPPSSTFANAAALARLDGQLGGLLSEASAEEDFSGKAGQLVALRLPAATGSHGFKRVCLVGVGKVDAPRSAAAAAACRSAGESVAAAARAAQAHSAAVALGSPKPGWGHGEDFRPEAAAAVASGIIVLGLHEDSRYKSESKKVHLERVDFIGFGAGDEVESKLQYANDVSSGVILCKELVNSPANVLTPAALAEEASKIASTYSDVLTATILDQGKCRELKMGSYLAVAAASANPPHFIHLCYKPPGGNVKRKLAIVGKGLTFDSGGYNIKIGAATNIELMKKDMGGAAAVFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALIYACKQGVDKILDLATLTGYCRIALGPSIAGVLTPSDELAKEVAAAYEASGEKFWRLPMEESYWEQMKSSVADMINTGSPLGGAITAALFLKQFVDEEVQWMHIDMAGPVWNYKKKEATGFGVSTLVEWVLMNSS >OB12G19130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8762227:8769139:1 gene:OB12G19130 transcript:OB12G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKMDDLVSNAEENNGACVDSGSDESEDVKVCDICGDVGEEKKLAVCSRCNDGAEHIYCMRVMIPEVPEGDWLCEECQADVQIKKEKNTLEISQAKVNTICTGGNMKAANVGNLELNEEDKGNDKSRKRTKEDTGIIGKPVPGTGNVCCAPVPNGCISTGNKEDGSSCVAGGEAANDSELGYGHQQTSNGRNTVSNFKVLEGERHNLRGSNDVPCSSEVDVLSCMTLKNKSSTMSETKRSEEVEDVKVCDICGDVGAEEKLAVCGRCSDGAEHTYCMRVMMQEVPKDDWLCETCHNEVENEKRKTNIETSELKVGGFKGQSFVGPINKSVNTANSSSSKNVVVAETMGSKVSDRGYEMNCGNKRKDGDAGITSLARQNPLSRESSFKLDTNKGKNLAGHISTTLTCNSLKNQKGPLRGQLSKSTSFNNSKVPKVKQLLNELTQKPKTLKDSLSCPTRKEGPMGILAKSASFKKPRSFEPVNKAKLSTVNPLVSENARNDILTSILGSSSLTGSVAVPVHSKAQSSAQHLNKGNKMADSNTLGTSGGEGARNFLGHSGIKKPLHTKGPADITLSSAGMLGPGAQRKTIQVPDSSHRDDQIKSPHSLVPSNSSRNVSIPGTASLRDNQTVPSLRGRSVDSISATSNNMQDKKIMFYSEGFPLSSKHIASTIPELDYSWRGDFELLRTGRSPVLFEGLQAHLPCSASPKVLEVAKKFPSNIQLEELPRQNVWPPQFHANGPTIDSIGLFFFARDTQCYEIHYSKLVESLLKDDLALRGNIETAELLIFSSNTLPNNFQRWNMFHFLWGVFRVRRKDSLNVPPDLPINDNVGSNGVKSLFHPLGGNHLEGQSHDSITARFQTNKSSAVNDSLPVPTKKSLKLAYSEQKEKMGYPSEDGCDIQFDYAELNTCSVSPIHEKVNNSTTIKMDNAKHLMDGGNVDTISHVLGGLHKRNVEVANWDDKVNGRPQHKRIKLDDGGSVCPVSGNHVNLWGYPVLSLTRKFETGITGDNISGKHLQRCRVDVLTVADLRAQCLLEMEYSTRAQFISSLQLWWP >OB12G19140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8775538:8775780:1 gene:OB12G19140 transcript:OB12G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREGVGDDGRVLGRRDGRARVGELDPDLARGIRRRRSGTRAAAMATAREGRGGDWRARMGPREVRRARMGPRKMTKQG >OB12G19150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8777603:8779775:-1 gene:OB12G19150 transcript:OB12G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >OB12G19160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8783513:8785621:-1 gene:OB12G19160 transcript:OB12G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRFPGDLFLLNLRRLANTASATTTSFSHTTLGFSMAWKALGTSKIYSVFFDLPDYAFA >OB12G19170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8834632:8835833:1 gene:OB12G19170 transcript:OB12G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3ND59] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAASSKASSVDDDDN >OB12G19180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8840548:8841443:-1 gene:OB12G19180 transcript:OB12G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKKLSDLTSQSTLGDTGDWWEAYGDYIELQKLAKTIVSQCLSSGGYAELHEEIEIFEPEPLPPTNQCIDEVFIDLSSHKFLDNLVIVKKKRAKDEEGAKKNKKN >OB12G19190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8843363:8844567:1 gene:OB12G19190 transcript:OB12G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAVIGDMIGRAISLLVGNFSSYRSTEGQILARYDLVRRAELESENNDDVPLKPFSMSMFNLSKQTYKMEMREPRDVQPKHIIEDAANLGDILHELKQNVFNQRFLIVFEDVHMEKKHILEEFMQSLSCSIQGSKIVVTASKKQVATLGTVQPINLKFLPFLEYWFFFKAHAFDSIDVEENPGLVEASKSIAMKMNGSFFGAKIIGSMIRRNPNLKFWFLASQNFIGG >OB12G19200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8847727:8849365:1 gene:OB12G19200 transcript:OB12G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPWQVPTLTGMRMGPNFNPRVTGYRALRTGMEFYPWGPEGGPFMSNPPRYHPYMWVMRVFVALLERERGQRRPHQARAPRRKKPLRRRRPPKMTKTPDPQEDKNPTEEERSTGCIEASG >OB12G19210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8851851:8852699:1 gene:OB12G19210 transcript:OB12G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILSAIISEVLGRVISLVVGNFHGDRSTEAKLQRICRMLIKIHSVVEEAKDVEKEMIINFLLHEDDLSIRKLDVLSILGDIGAGKTTLVQHACDDSRVRSHFTTILLLNFSNTYKMETHEPSVVQHPKHVIGAEMLEILMTPLHELRQNFFNRRFLIVFEGVDMHSKHMLEELLQSLNCGRQDSKIIVTTNNKNVATIGTVQPINLKFLRCLEYWFFFKALAFPGRDAENPGLLASGKSIAMKLNSPMFGMLRANPKPKFWEVCFSPTKSNKNTLRSWY >OB12G19220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8858560:8861200:1 gene:OB12G19220 transcript:OB12G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRRKSSKAKKGSSAPAPLQSKEKVAANAEGVVVAAGSNSRQVAPDDSLPLGAAAAGYASSRDEVFYEACPWLESDCEDEFFSINGASADGTPARSFRANSGSHTAPPPEPRKLPTLGAILMAEPLTPPPPTRLADLLRERQESFAHDGAGVGVISRNGSYTTSSCGGAGGSSYYYCCMPSLPRAAVGVRYAGRRKRR >OB12G19230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8864490:8867273:-1 gene:OB12G19230 transcript:OB12G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTAAARSSQRQVSTDTGCGIRSDTKEIGFWALRRGWKAFSFSHMISRYSFSFLIKGITPFF >OB12G19240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8873717:8877086:-1 gene:OB12G19240 transcript:OB12G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:J3ND66] MQARRLLRGGPREHRRPDHLAGAAAAEAEQHADGQPPRHGRCQGLPHREPRLRDHRERSVQARLEEPVERGGAPVHLPQVGHGVPHRPPHRCHRLPHQPRHREHLRPQDAPHGAARPRQKYWAGFLYFAGINFGLTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVTVRYHAGDLLPVTIVGVLGGVLGALYNHALHKVLRLYNLINEKGRVAKLALALAVCALTSAMLYVSPFAVPCTACDPAFGEACPTTGKSGNFKRFNCPDGHYNDLASLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRITALLLARFARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVTLQVLERVSTVVEALRSTRHNGFPVLDRPRPGVSELHGLVLRSHLVAVLKKRWFLPERRRTEEWEARERFTSAELADKAGDVDGLDFSPEEMAMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKFQGPEISPIVGILTRQDLRAHNILGAFPHLANKRKTH >OB12G19250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8890053:8892153:-1 gene:OB12G19250 transcript:OB12G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLLLVASAVAAFVVGYAVADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLEAAEADRHPRPPQPPASATGELSWKAYLLYEELKIVWHALRKVAKHQGRHLAPSIHALL >OB12G19260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8895264:8895686:1 gene:OB12G19260 transcript:OB12G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAREFIRLNKKDAPAKIRENIHWKRSTQDKLKININGGYQCNTNQGGWGYVIRDRFGAVVQAGVGAVAHLMDTFHAEVLAYAEAIRAAQERGMSRIELETDSLLLQQALQDNSFNLLALGGVILEIKNEISMCFQSAIV >OB12G19270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8917056:8926994:1 gene:OB12G19270 transcript:OB12G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27120) TAIR;Acc:AT3G27120] MAEPPHTVAGGGGGSGGPTNWRKEADERLRRLHSLQFGADVALEGEAEKNRDPAAAQLSSELLNFISDTLMHTIVNKYLYVVPVLTLDVFDFSAAFKLAEKDVGCVFSKPGEVSIEKIKCSKYFQALLQKSKGYAAEQMKTTVCHEPTIEEGPLEEESPADIENENLSIRASKLVTQRKLTSLLSHKPLKANGVPDGNMFKAASNISKENAVAENGVRTNHTDNRHTAYMDLEEDDRPRGLLHNAKRKHTGFRSPICERPNSPLSNDEADAPVNEFVTARTKLEMDSVQKHRHNGSQGASVSPQCDNNLSNRNYGVRPSWNSRRGLRGNFVPPIRNNGGSSGNMTSRIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFKLTEEETKVVCKLTEGYSGSDMKNLVKDASMGPLRDALQQGVGITKLIKEDMRPVMLKDFETALQEVRPSVSSSELGIYEEWNRQFGSLAN >OB12G19280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8934532:8941848:1 gene:OB12G19280 transcript:OB12G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKGGGGGGKGGGGGGKKKEVKKETKLGMAFKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVATLEEAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQVRVVRRDNGAKADIPTADLAEKVSVLLDEIQKNLFETAKQKRDACLKVVNTWDEFIAALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGTTCFASGKPAKKWSFWGRSY >OB12G19290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8942457:8943899:-1 gene:OB12G19290 transcript:OB12G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3ND71] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDIAKPRIDAWNSDQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLSMAKFDWDHPRHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >OB12G19300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8950546:8952341:-1 gene:OB12G19300 transcript:OB12G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3ND72] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSDQLPIYEPGLDDVVRECRGRNLFFSTDVERHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGVSYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKEVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIRINDYQKSRFVNRVVSSMFNTVSGKKIAVLGRTPATPGRRRPSTCATACWATGRASASTTRR >OB12G19310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8951451:8952344:1 gene:OB12G19310 transcript:OB12G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVVGHLGEAIALADVDEVEDVLLEAGAAEADAGVQELGPDPGVLPDGVRHLGDVGAGGLAERGDGVHGGDPLRQERVRRELRELRRPQVGGEDPLLGDPVRVHLLESLDGLPPLGGLPAADEHPVGLEEVLDGGALREELRVGEDLVADALAVVRQDLLDRLRRLDGDGGLLDDDLVGPGHVGDHACGALPVGEVGGLARPEAARLGRRVDGDEDDVRLGDVPLDVGAEEEVPAPALPHHVVEAGLVDRELVAVPGIDAGLGDVDDHHLDRWALEGNDGHGRAADVASSDAADLHHL >OB12G19320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8966605:8968158:1 gene:OB12G19320 transcript:OB12G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLECCSYYLQLPLLTCCLFLLYSIFNVFAAARSCGSGDDQLAPRSYPVVGCLVDFYRNRRRLLGWYTALLAASPSQTIVVDRLGARRTVVTANPANVEHVLKGNFGNYPKGKPFTEVLGDLLGGGIFNVDGEMWYRQRKMVSHEFSARVLRETDSGRGDVVGVDLEGSRGARVLHAALCETMRLYPPVAWDSKHAAEDDVLPDGTRVGRGDRVTYFPYGMGRMESIWGPDAGEFRPRRWLALPRDGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASAVLRRFERRPVAEGSPVFVPLLTAHMAGGLKVTVTRRRHRQQNGTCER >OB12G19330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:8992548:8995288:1 gene:OB12G19330 transcript:OB12G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRIWGEFCKNPPITKPMTVRHCEVKDLSSVMKRSGDIASLFQKHASKKKIDTGSNAFTVDGLKNWNIGEKALIKHLDSKSHMAAQERYIGLINPKEAIDYNIEKWSDEDFVFIMMRTSTPSIHPRPHKYNFMILLPVLVHVNSTIKNDGEDPKGRGFARGTSDCKTAPTSDGRHNFKRTLIWNECPRGRVVAHHKFAMTIGDCIGC >OB12G19340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9005778:9010622:1 gene:OB12G19340 transcript:OB12G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGRKSSSPAAKPAEARAVSLLAGLPSRGNFVADNISSSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEGKDVGSRTPGESSKGKRSASRNLDGKNPSKRPNTGSSAGSSSLEELPSVFSEQTLQSFTVEKLRILLKERGLSPKGKKDELIARLIEASA >OB12G19350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9024638:9027798:1 gene:OB12G19350 transcript:OB12G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALRGPSDYAQEPTRHPSLRINAKEPFNAEATRRDLVASYITPVDLFLKRNHGPIPILDDIASYYVSIGGLVDRPAKLTLDDIKRLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLIGVPYHTEITLSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLNRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVAGYALSGGGRGIERVEISTDGGRTWSGAVRYQKEGVPYVPGDVTSDKWAWVLFKTVIDVRGDTEIIVKAVDSGANVQPESVDTIWNLRGILNTCWHRVRLLTIHNLRSLL >OB12G19360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9051026:9051260:-1 gene:OB12G19360 transcript:OB12G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTSSLLGWISIQIEEARLFDIRTERIRTQAGSPTKLRGFTWNSGSSICMS >OB12G19370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9053390:9063472:1 gene:OB12G19370 transcript:OB12G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKSNISPSIIGGIVDSDNVKAYLANIESNYKARTKTYASTLIMKMRSSVYDGKKGIRQHIMEISHMAHQLKTMDMKISEAYLVHFILNLLNSDYDPFKIHYNTQREKWTIQSLSPTQWKRKSVKKPKGRNILTSSTSSTLRKGATRSTSPLEIIHTDICESFLVTFVDGFDSFITFTDNYSRYGYIYPISNRSESLEKFKLFKAEVENQHNAKIKIVRSDRGGEYYGKHAPFGQSPGPFAQYLQIHGIIAQYSMPREPQQNGVAERRNRTLMEMVRSMLSHSNLSNKLWIEALKTVAHILNRFPSKSVPKTPYELWTGRKPTLNYLKVWGCHAEAKLFNHQLKKLDPKTATKIFSESGVPILRFQSHKYLELRKKVKISCFYQSQAIAMSTDVTSASSKGNLQTRYQVVVAATSDMGIGKDGVLPWKLPSDLKFFKDITMTTSNPSKKNAVVMGRKTWESIPIQFRPLPGRLNIILTRSGSFDFATAENVVTCGSLDSALELLSTTPYCSTVEKIFVIGGGEVLRQSLNAPACEAIHLTDIESSIQCDTFIPPIDLSVFHPWYSSYPVVENSIRHSFITFVRVTKSIAEANDSSGKELTGNDSKKNKFEIENFSFLPKVIFQRHEEYQYLNLVQDIIRNGAKKNDRTGTGTTSKFGCQMRFNLRRSFPLLTTKRVFWRGVLEELLWFISGSTNAKVLREKGIHIWDGNASRQYLDSIGLSQREEGDLGPVYGFQWRHFGAEKVYIIDRYIDMHADYTGKGFDQLMDVINKIKNNPDVIRIILSAWNPTDLNKMALSPCHMFAQFYVENGELCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVRGDAHLYRTHVQALEEQMPKQPKPFPILKINPLKKDIDSFVTSDFKLVRYDPHQKIEMKMAV >OB12G19380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9089482:9089889:-1 gene:OB12G19380 transcript:OB12G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGAVLVRAPTVRVKVNRLAVLVPAARSRATRVTDAGLPAKRGSYWRVVPGGRGARGASISTSGRSRWGGGAVCNTWRRVLPKRDVHVRLKRCLGCLKKLLLKYCIVLHYIQWFLVINTQHVWTVICDTNGCN >OB12G19390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9099309:9101984:-1 gene:OB12G19390 transcript:OB12G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCLPRQKIEAKMCRKRVDGDTHPATGRRPAVEAIGGRRRRRDEEELEEEEDEYMQFEEEFLMFCAREEEKMAAASSLRSATAVTAKKPRLEKPTKDEEAASEKKSTGGRRIGGKARRRPRKSKHGFRGVHQRTYGRWAAEIRDVIKGSRLWIGTYETAEAAARAYDAEASRIHGPDAWTNFPPPPPPPPPPSTPPPPPPPPPPSPAVTSNTAEPAVALPAAGEPAAAAKAPVPAVLARALEATNGWGFEPYSMGLLGSVSGMLAYGGYDDDDEPEELMPPGDDGGCLWLF >OB12G19400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9173623:9174320:1 gene:OB12G19400 transcript:OB12G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKANVMISTPTASTISLTATTGCHMLKIEGYSLARLLGNGKRFESPKFKAAGYTWRIVFYLTDGNIISMYVKLVHILQNVTAEVQFSLLNQYSAKKTPAPYKDESISYIFRNPSYNNYYRYSLFVGPTKYIEQDGDSIVMRCDIKVLNKPKVYSLSLEKLGVICHCKDDTCERLHDTWSMVSAERSVKVN >OB12G19410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9181979:9183508:-1 gene:OB12G19410 transcript:OB12G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILSLSFYDLPSNLKTCFLYLSVYPEDSEIKVDELIWTWAAEGFIHVKHGQGIRELGHSYFIELINRSMIQPAYILRDGRIWTCRVHDMVLDLIRALSVDENFVTILDDEQYKPLPKKMRRLSIQKSNSDDKVRYPTMSFSQIRSLAAFSHINQVPSLKIFHVLRVLNLRRCEYIENCHLHVLVHLVHLRYVGLGSTRITDLPEQIRNLQFLQTLNVRCPQIRQLPAGIIELTRLIRLHVDFPVLLPDGFGNMRCLQELSSQCDDSGGGGCRGCHQERSRNPSQPSQTFDG >OB12G19420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9210235:9210393:-1 gene:OB12G19420 transcript:OB12G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGLVRCKSIHNFTVKAFVLSFSVSVSRHVLVAVGDLFMIFALVQTKSNLS >OB12G19430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9210917:9211438:-1 gene:OB12G19430 transcript:OB12G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKWNGMISLLLQQNNITKQIFTTVTTDNVGPLPQAAAKTANPIPIATPKKRPPAPTALLPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPRRRRASTRFRSTSGPPTSSRPS >OB12G19440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9213675:9214099:-1 gene:OB12G19440 transcript:OB12G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGINVQQSAMVQSLRTLALKVQFGVEMQVKLVPLLLRCFPCLETLYVMSVPFDGPVDVGVEFWDHVD >OB12G19450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9218660:9223577:-1 gene:OB12G19450 transcript:OB12G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHPRSEPLSPLVLDLGPQAIIDVGLLPLPIVLDSVPPSPSPGEVVNNPVKPRLLPLAGACNFLSGKVCRDGHRHEYTSMCGLSGIEYLNGGRKVRTLILTMVDFAAPLSSVTGQGDRSRAATIFSLDGGRTYEVTLPAEPPIRNRFWLGSSHGWIVTADADSAELHLVNPVTGQQIDSLPPVDTVEHVRRCHNDDYDYEIVEYNWTMEQRDDAPPTEVKAGELAGYLLMRAFLSSDPAADDGDCIVVLLHTPWYQLSFARVGVDERWAWVHLPDSDFYTDVVYNDGDGMFYALTHQAAIHAYDFSGGPSAVRRTVVLANQIYHIWHHRHRDQRLEETATLGDGGESHALFIGCNPPFWLPAGAGVLASHVYHSDNEEDYALLYPEAPRDIDVYSVADGSFSPFRPTQPWLTGHYQLGLFLALATTYHQVSYNN >OB12G19460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9229700:9229960:1 gene:OB12G19460 transcript:OB12G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAAGAAAAAVCVVVVLVLSSAVAPAAGEMHCGDCDIICSSCVGSSSVTAACSHQCDGCSPEACQSCLRALSQECLTSCHDYCTTHCD >OB12G19470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9233992:9234272:1 gene:OB12G19470 transcript:OB12G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPRAAIPVLIAAAACAVLVLSSSSITPVAAAMFCGDCDIICGASCGGDGVRAECAGKCDGQSPAEACENCLRVAKRKCLTSCGDYCATHCT >OB12G19480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9234635:9234826:-1 gene:OB12G19480 transcript:OB12G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVGVSYVSLLNVVAYHCGVPFVSYLWEIGQDGTLLAGVQDQMSYDTFQLNSVGTIGYNSHQ >OB12G19490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9240021:9242972:1 gene:OB12G19490 transcript:OB12G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06400) TAIR;Acc:AT5G06400] MDALGFLADDGSGPSCSVTASGSEVSFREVGNGNAGDIEDISEVVHMVTEVLRSEVPGSPIEQRLENLGVTYTPRIVSMVLNRCFKKRHLGFKFFDWVRQVPGFQHTTETYNTMLYIAGEERNFEAMERLMAEMDKDMCLKDIKSWTIVMSSYGKARQISKMLSTFQAMGKSRYVAVDSKVYRTILRALCNSAKSELALEFYKDMPRSIEVGSDVFRLLLCCLARSDNAEAVLYVRDDMIKSMKYPEEYCYLEALRSFCVSGKLEEAKKVFQQMMNKSLANSSAFEILLRGLCKNGRMDKALQVMEYMKSKSCASSATFGFLIDGYLRKREHMKALELLQEMKEYGCVPLASSYTQLMQHLFAFDQHEAAYRLYEEMQENGIESDVVTITALIGGHVRNGHISEAWDAFRKINENGQRPTLKAYTVFIQELCKASRPLEALKLLKDMLESDFKPSEQIFDRIIFSLRYNHCLEEAINVERMRASFNSCSPREGLQCRTLDQVDYTDKLKKLSGSGPDGKERTLEFNGHPLYEDSDISGILPCDDTQHLEQEKDYNNEDVEQIYQILSSSNCWSSIQQALEMLSLYFTPNLVDAIMKRCKANSHAALQFFSWVGKRSYYMQTTKTYNTAIKLAGSAKDFKHMRLLYRQMVLSECCPTVDTWNVMICQYGNAGLTEMALETFYHMKQGGFQPHKATYCHLIMYLSRRKGRKVDAAIKIFHEMCHAGYMPDNGMVCTYLSALCECGMIDRAKSSVVLLCKHGFSVQAGYSILIRSLCRSDRMAEALSLFNNIEKYGCSRSTYMYGSLIHALLRRDRFDDAAAMLAEMKNLGIPQSTHMYTSFMIYYLGKRDVSKAMDVLKEMTDNGCEPTVVTYSALIRGHMAMGMVSEAWNVFQHMKLKGPVPDFETYSMFMSCLCKAGRSEDALQLIHDMLNGGIIPSAVNFRTVVHGLNMEGKYKLADSVLQSKWHLRSQRTFSGSLVANSCV >OB12G19500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9245623:9248503:-1 gene:OB12G19500 transcript:OB12G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G06410) TAIR;Acc:AT5G06410] GGARGGGAGECWSCGAGGAFLSCGACGSVQPVDPAVDYFRIFGLEREYNIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIHIDEEKTITDPELLMEMMEMREAVSEANDPQTLEKIQSQIKRKFETWSNSFQEAFDKRDFDRAVKATQRMRYYERAVEETVKKL >OB12G19510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9257364:9265122:1 gene:OB12G19510 transcript:OB12G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEELLKRRTFTRSEFEYLSELLLSRTAGSKLLNPGVGNIKKMHFHEKASGSTDLPVDFSVRAFNTSDQVVSPAELAKAFMGSRSSMGSPLRLRLHDPCSVPTKSMEANTTHITKPPTLPLLPSARMHSSNTSDRPGSNYMTPNRSAIYKMSSSPYFKSAVSSMDLSGTVSSYKAPSSLHTYGRQVLKRKSNVLSNESAPAGPIRKMRQRYSRVSPLLETRPGHRGYIGSHTSKLDEGLEHSVQSQKRRCTDKVGEVTQSGVNDRANDSMFGQAPAQSIQMAAKILKQLDTIVPSQKEGTLATRPKYADVLHVEDLISQKNELLARSLLKPSSSGVNESSLLNKSNGAAKFTSATKDGNIVDAASDISAPLASKDCLEMDNYRGSTKLSLHQGNDKTEKLQSPIPENNDMNSQVINKEKPPTLRSHAPSNLVLSSGNDRNKMLGSSNGFSFPIPAALAAHSQAPPTPTLASPPTLSVEKQQLPASSSVENIPRVFRPVSEASVSKRCDTKSNADNPLITSQSSGRVVSFTSNPVFNVISSKPTTLSNGLVDTMKSSAAPVFTSSGPTKSVCSTNAGSSSTLLPKFSFQSGFQTETSSAQQSSGTQDKIEPSITAPFSTVSSSLTKGSCTLSNMGTSGSSPSSMIFAGTTSQSRTNISASFQFSSQSASESSLAGQDKSKALSNSAPFGFSPQFGITSSFAAQGKTEVQSGNSNSLFAQSSTSNLNLSSSEKVNPGNSPSTNSSFGSSAPGSSTTNSSPVLSFAAGSGTTSATTASSSSVPDTSSSFGRTAFSTLPMFGNSLTSTTAPPSFGSSNTASATSPFSSTTNPVYSFTSAMPTIPNALTATPLFATASPTVGLSSGTDQMNGGQMTGDKNQLAFSTASPFGLPSSSPSTPNLFSSPATQFASTTPASPGIFQFGQQSQASSGGFSIGTGGNNEKPGRRILKVKRKK >OB12G19520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9266981:9271099:-1 gene:OB12G19520 transcript:OB12G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAISGAQWVVSKALSPLSDGLVEAWTASSELGANVEAIKTELLSVQATLAVARGRETDNNAELAKLLRKLRGMSYDAEDVLDELDYFRIQDELDGTTNAAVDDGCVQSFLRNAHHTCIDISEQVRSLCRRRQDDGEDEEREHGRARHGWPCCGSGTRNRMVHGDQEEVVGRRRGAGGTIVSTVGKIFPCYSARTTNAASEEHTMDTTPKLVFNRVDASRKMKNIVEQLRSLRANVSTILGVSLGSNPGPTQHITTMNRVTISQSTEPKLYGRDNVMDKIIDDIIQGEYCDKNLSVLPIVGPGGIGKTTLAQYIYRNDKLKSHFDVTAWISVSVNFNVYKLTKDILNEFGVAQSRRNGSLDHLQESVENELKSKRFFVVLDDIWEDCRGDEWKKLLLPFTKGEEKGNVMLVTTRFPIVANRVKRIISQPIELLGLDYKHFMSFFWACVFDDDKQKEKHTNLHEIGGEIVKKLRGSPLAAKTVGRLLRNHLSLEHWRTVLNSKEWEEQSKVDDIMPALKLSYDYLPFHLQQCFSYCALFPNNYMFTSDEIIQLWIGLGLLRSENQNKRIEYIGSIYLSDLVNYGFFKMDDREDSMKFVIHDLLRELALKVTSLECLSIDCADETTIAVPTSVRHLSILVHHTDMDKSEKDDIGRRLEDLERRLKSCNLHSLMLFGEYSEEIFIRTISNIFAQAKSLRIVHLSTLSRHMESMLLNLRKLLHLRYLRLGSNSDHEILLPKTLSRLYHLMVLDLRDWKGRCELPSDMCNLVKLHHFVCQDDELHSNISSVGKLKFLQELKRFEVRKQNNGFDLKELGELSELGGILKICHLENAQVDEARQAKLKHKTHLQKLELDWDSSRDGIKIDIEEQVLEKLEPHCNLEELTVKGHGGTTGPTWLCSSITVKNLEMFSLENLSWQVLQPFHQLPNQKVLMKDGRDISRIFLSLKRLYLVGLSKLKYWIGDEPYLEGTCHLFPNLETLSITDCAELTELPFLHCAYPPEHHSNRQWLPNLGTLVIDQCPKLSSLPPIPWTPTLKYVWIRTMRPELQCLCLSYNYLTIEGMCSLDEKVLAFHNLTGLNRLTITSCPPLISNTRHKLPVDSLSIKSCSFSGTEGTHMLSHFPNISSLQIEDCPGIKQLGVVEAEEQQHQSCSPSSAAQENELQGLLLLPSSATLTRLFINQRGKELKSKGILFILTQGNLSELTVWSTPKFFMGWDTVLQGTQDEQGHQHLLEHSSSKLQRLYTDDIAGVLSTPICSLLSSSLTVLCFEQNDEVEHFAEKQEEALQLLTSLQELEFRECPKLQCLPSSLHRLSTLRRLAIWECPAIWSLPKDGLPSSLQQLHVYGCGNDELKQECRELTARQLTGMTHLNIKF >OB12G19530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9286595:9286906:-1 gene:OB12G19530 transcript:OB12G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRTPIPLFLFFAFAFILESALFSQSGGLFLLLLVLGVIRVWLDGGKNLPWWHKTSHATASIRGTNRGEHHQLWVDLHFHQDSTMLGLLVGRLRRWHLNIM >OB12G19540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9291650:9291853:-1 gene:OB12G19540 transcript:OB12G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITARRFTSKALLLLTVSNHHIAIDLFNPILIKRSVSDHQESSYSIHPESTLNDRECTKLKQRKE >OB12G19550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9292785:9292961:-1 gene:OB12G19550 transcript:OB12G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELEIYFLIIIKVVEKIYGEKRIAKNNLPNLAQILYSLYTYRVVVLLRKIMRNSASYCT >OB12G19560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9295402:9295809:-1 gene:OB12G19560 transcript:OB12G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGFQSYGLEIDQCAKLSSLPPIPWTPTLQHVSIRTVRPGLERLFLFDNSLTIEGNKHLCSLDEKVLAFHNLTGLNRLIIRSCPHLIVPSEGPNNTRYKLAVDSLVIERCSFSGKEGTHMLSHFSNISTLCI >OB12G19570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9297519:9298628:-1 gene:OB12G19570 transcript:OB12G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAISGAHWVVSKALSPLSDGLVEAWTASSELGANVEAIKTELLSVQATLAVVRGRETDNNAALAELLLKLRGVSYDAEDVLDELDYFRIQDELDCTTNAAGDDGCVQSFLRNAHHTCIDISGQVRSLCQCRQDDGKDEEREHGRARHDWLCQAWPCCGSGTRNRMVHGTDQEEVAGRRQGARGTIVSTVGKIFSCYSTRTANAAFEEHTMDLVTISQSTEPKLYGRDDVMDKIIDDIIQGEYCDKNLTVLPIIGPGGIGKTTLAHYIYRNNKLKSHFQVTAWISVSLNFNVYKLIKDILNEFGVAQSGRNGSLDHLQELVEKELKSKRFFVVLDDIWEDCREEEWKKLLLPFTKGERTGNLMLVTT >OB12G19580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9323956:9324261:-1 gene:OB12G19580 transcript:OB12G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGYSDRSGTRDFMSQSDSHTFHDELDHYTDSSYYTGSRSGSASRIGMASLDLNSTAGAASTLAAATASTSRTSMRESKLHLEGGRAINMGKSVFMEEC >OB12G19590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9337256:9337942:1 gene:OB12G19590 transcript:OB12G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPASLAVLRAALLGMAVVLSMVTWVPHVYSCARIFLLVSLPSAASTLATPRCLFVFSNIIVIFLANESKLSESEGESFTDDAIGFRVGAFTPPATTTQENDVAEEEENMSEEQEDGMAILHDDHASLQQRQRDEPEDVDAASSILVAEEPGGEDDVVHLCDAETEEGEAVSSQDEMMMMMMMEEEATEEEDAGLPTDELNRRVEDFIARFNMERQLEARMLVCCC >OB12G19600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9357160:9357795:1 gene:OB12G19600 transcript:OB12G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHGFIRISLLALGVLSMATWVPPVCSFLRSLFMVYLPSVASAIVAPKCLFVFSNLIVVILVGESRLGHPKAGESVHKEGGEVVMVEEAGLATPAIAGDDDRREQLQVDQSIAQEEVPEMDQSEDQGRRDRAAVGDEVVTEMMMLMEEEGVGELVLDDEEVMAEEEGRRREAEEERDDLPTDELNRRVEEFIARFNMERRLEARMLVCCC >OB12G19610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9377440:9380820:-1 gene:OB12G19610 transcript:OB12G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 [Source:Projected from Arabidopsis thaliana (AT4G24740) TAIR;Acc:AT4G24740] MECLAEMPRAPLADRRPRKRQRLGWDVGPEMHQVPIGLCGQEVANVISAVTLGLSTVSSQGTQEIPRFASPPQREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNNYRSFPVDLVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPCHMLKRADRHSEKYVRKGRLNWPEGCASRESMKAVMKLPRLQNLVMQNVDHSGGEFIGLLQGLLRYDPASRLTAQEALRHPFLREQNERRR >OB12G19620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9432499:9434646:1 gene:OB12G19620 transcript:OB12G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVNGVFNWVATPAMVGSLLLFYPPYYLFRTVYSFLSYLFPEDLTRKVVLITGASSGIGEQLAYQYALKRASLVLVARREWSLRKVADQAFELGAPDVIILPGDVANPEDCKRFVQAAIDHYGRLDHLVCNAGIASVGAFQEIPDVTNYRSQLDVNFWGSVQSTFAALPHLKRSRGRIVVTASATGWNPVPRMIFYNAANAALINFYETLRTELGSQVGITIVTPGWIESEMSKGKFLKDHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAARQGERYLTLPSWFSTMYLWRVFAPEIVEFCYRLLYMHRHGGNQADAPSKKMAEAGAKQLLYPTSLRSAEIKDD >OB12G19630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9441802:9442523:1 gene:OB12G19630 transcript:OB12G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMITNQLLVFTIAILVILLVAAPGAMSQESCTAPSSIDVQQSNTGEKVGTFDTMFEVTVTNRCTCVVNAVVLRADGFASSVAVDPMLFRQAGDTGYLLGDGRRIQSAESVTFQYAWDHYFDMAPASMQAEC >OB12G19640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9443456:9444052:-1 gene:OB12G19640 transcript:OB12G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYIQKGLREIPSKETLGNMQEGTEGLGFAGREIGIKSKGLSSAALALASSCRIM >OB12G19650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9482765:9484264:1 gene:OB12G19650 transcript:OB12G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVDKWVGFGSTMVGFGLLWSSLPERVREEARDIITSWTPMLASYFSSYEQITIPEYNDDRQFQKNKLFDVVATYLRSRCMEEARMLKAQLSNDGREDTQLSLDDDQDVVDKSFRGYPMRWCLGTTSKNPSNRINPFPDEHGNTVTRHYTLVFRKRQRQLVLNTYLPSVVQQGRVLIAENQQRRLFTNHNNGMGGPQGLWSHIPWKHPATFDTLAMDPVKKDELIEDLKMFQKGKEYHHRVGKAWKRGYLLYGPPGTGKSSLISAMSHFLGYDVYDLDLTAAGTTNNELRKLFLNTTDRSIIIIEDIHAIRQDLTTMFNDKKKVTNGDELQQLRLPRDSWYERDRVTLSGLLNLIDGLWSAFGGERIFVLTTNHFDQLDPALIREGRMDMRIEMSYCRLEAFRVLAGNYLGITDHPSFVEIGQLLSETETTPAVVAHNLMPRGKRNAQECLAGLVQTLKKKKKAKTETQTSRSRGMSPVERPTYNLRKKKDGRGNRS >OB12G19660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9503263:9503478:-1 gene:OB12G19660 transcript:OB12G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLADGPTDLAISDALVACHSHLGDVSSSALFHFQCLVQSGGTPSTDSSAALLTPPLTRHLVKPNPSQS >OB12G19670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9533249:9533626:-1 gene:OB12G19670 transcript:OB12G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRVVFNEPIHIAEAAASPSLMLMPTSAKAEDNSSDEDRGLPPPRQLTDPHYPLGFSHFDAAGGRRAVVAAATGELHADGGRRAPRTAMGWRARGRGRERKKRERRGREKKREKILRVCLYRI >OB12G19680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9538183:9538624:-1 gene:OB12G19680 transcript:OB12G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYLNSVISPIYTWVPITEALDRAWEPYVTKGGKHDAKRTGITHKLDFPIAQQTGLMCGFHVCHHMSNLSQQLASVKSTTFDASKIRGEIAAFLLTDVINPKGQFHASKYKG >OB12G19690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9539445:9541093:-1 gene:OB12G19690 transcript:OB12G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNQEITTSAARECIGILYKSFKEVPDEEKELAWERLKNKFDYPPEAGLALKQQALIKINSSWKKVQINVGTGGDIRKANTWTKEDEAARRSRAPVLFADLEEERARNWARARVKQNPDGTVMFPNQADANVYRQMQHFAVSDQSSQSPQVDVSPTGLPSSYASTGMDAQNHVVPSAVDHMNEDTAPCVLQVRVTAKFSSDAAEGLVFKPSETIRVHGAQLLNGHAKVQVDRVLDGWVTFPLENPPNVEIVTLGAAKGTYIQWPKCDIIIRMKTRPPIPPPKDMPPPTEPNVEASIVQALTDPHFGCGPAL >OB12G19700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9553971:9554661:1 gene:OB12G19700 transcript:OB12G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNDLCSKGTPSPVANNPVHIIDTEEKEAIDVDDDTLQGSRTDKRLNWSREEDTRLERVKEPLSDFHACWVKSARVWQSGMSDDQIKEKAKEMYANQNHDKPFTLEHIWKVVRGEKKWSAYVNRLNKEKETMQKLTQLKW >OB12G19710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9557499:9559334:-1 gene:OB12G19710 transcript:OB12G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKWVGLGSAMAGLGLLWSRMPEHVHEEARHIISSLTPMVSAYFNPYEQITVSEYSEEPQFRRNKLFDAISAYLSCECVGDARKLRAELGNDSNGPLVGLDENQEVVHSFRGARMWWRLCSKASKNRGPTIISILPGDADEPRCYKLVFHKRHRKLVVEDYLPGIVERWRELTARNRQRRGRRLFTNHSVCGKGVWSSVPYNPPATFGMLAMDPGKKREIMDDLTAFREGKEYHSKVGKAWKRGYLLHGPPGTGKSTMIAAMANFLDYDVFDLDLTSIKNNAELRKLFLDTTDKSIIVIEDIDAIEVDLATKRKGKKAANGDQNDGHHQLPIDLSDNSGDDKSKVTLSGLLSFVDGLWSACGGERIFVFTTNHVGRLDPALIRRGRMDKHIEMSYCHFEAFKVLASNYLGVADHPLFVEIGRLLDATQMTPADVAHNLMPRGKRKRNADECLAALVEKMKKAKMESASPPVDPVDEANEERFHSD >OB12G19720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9566325:9566630:1 gene:OB12G19720 transcript:OB12G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVVEGADASAQTRARSVVRPDDVPQIGGVGGGKGEQRRWWMRCVGNGEAWPDGKGNDDGDGETRRSTGVGDEEAWLDEKGNGGGADGGEEEVRRRRAA >OB12G19730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9570444:9572007:1 gene:OB12G19730 transcript:OB12G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSASSTPPALAAAAWAVVLLAALRSDVTLAATVSSDDNAGLSPTMPPTPPLAAPVPAAIPPAAVLSRRLLRPPGADVAGGGGGGGGRGAGVRTHRVDDGCAGTEDIAIYQGRATALPSGVPAYTVDVMNRCAGGDDECAIAGIHVRCGWFSSVSLVDPRVFRRLGHDDCLLNDGRPLLAGETVSFEYSNSFPYRLSVAVATCVAPAAP >OB12G19740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9590487:9592781:-1 gene:OB12G19740 transcript:OB12G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCTMKKPRLCVLSLLEVTMVALLLLVHGVQCESSTQGGGGGGGAANLTVTGTVFCDACSSSSFSNHSYFLPGVRVRIDCMISVKSASKEEIKITAEKVTNTFGAYQLDIPAIDGFECAASXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAVDATASAATAAGVPVPAPAADLLAAVPTPAATAGVPIPSPAIPTLPATAIILPITTSTTAAATAAVVPLAVPAIAAVLPAISVSHDTVASAVDVLSQRSEHLVVFQTAAMRKYILFFCLC >OB12G19750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9601087:9602202:1 gene:OB12G19750 transcript:OB12G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSTTKEFEPLALDGHNFPTWSMDLKVNLSLREFYEAIIPPRGTSAPDNKHKYNALYMIRAHIHKSEYLTEEDPHVLWNALQKRYEQQKAIVLPKALHEWNHLRLQDFKSVGEYNHAIHKICAKLKFCEKEPSDADKIENTLSTMMPAGRILHQQYRERNFHVYSELINTLLQAERHNEILVWNSNKRPFGAAPLPEVHTNTQTTNNKNGESKPPFKKFKAKGKGKGKGENKRKREHKPHYSHKGKNIPNKDIDKSKLCQKCGCYSHITKKCRTPRHLVNLYLKSVGRDHPNQGQKYEDHFNFQKDVTMEDVAPYNFPNDIDMTNASCSKTVPIEPSNNETQLPEKKLMDTDKMLVEFTSTDMFGDLN >OB12G19760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9608185:9608556:1 gene:OB12G19760 transcript:OB12G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRYAQILLLRIELMKNSLYFFKSEMKPPISELLEYPEYFTYNLSQGSKPQYMRASLDWFLNCSDQRFEERMRADFIEGDAPGHSFPMGGKLQMPGSQLISDDHNEQSEVEVLYRRTVML >OB12G19770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9615594:9616454:1 gene:OB12G19770 transcript:OB12G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGGDARANQSRRGNTNRSTGNQTCPAMAAMQDLAPDAGAGTTDSATAEAYVHRLATELCRPYDGSNFERFLLLLRQRPRGAGLFPADVAAALDELEELPSEGSAPLAALERDVARRWRAGQERALRDVCDVMLPGVDELIDDADADVSATVRRLNLVARSVDRTKEDLAAVAAAGGRDGGGSESDVETTALVLYLVERLGHGQAEEAALTAAMHAKIDHLCKLFDHRDAADEWVLAKETAFDAQPEIPPPSEEELDLVSATTHRYNQIFFTLRDFLLRENDNR >OB12G19780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9625879:9626631:1 gene:OB12G19780 transcript:OB12G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGGDVPVSRGEATQTAAPASKHCTESHMSCSCSTSPAVAAMQDSPRLPPDAAAGTTYSVAAEDYVCRLVTELSAPFSGSNANSEALLLLRQRPRAAGFFPADVTTALNELEELHSEGSAPLAALDRDVARRWREKQERALRDAWDGLLFIDKLIEDADTDVGATCKRLYAEEAGLMATMDIEELFRLRSAAHGRVLAKKAALAAQPELPPPSKEEVDLVNATTHRYNQIIFALLDFLSRDNGNSSFF >OB12G19790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9635410:9638601:1 gene:OB12G19790 transcript:OB12G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGRHTRGARPTGGRGVADWRVGLAGQRDRIVEEGEARRRRPVGEGRRCTVAAGDGVVATTGVRRAVTVWQRMAAVATRWPDSEGGWWRQLGRVTPLARRGQRRSGVGDGDERTTRFRLRTETLGVTNLTPLNRISTLRFGGADEERCDRKVHIISYFKGEIPSTIVLITLLSGLSSFDPFSDDPDFLFCLTQNFSLKKLAFSRLILEKWCTTLPTIQSFTWCHLQTGLVIVVVRELRMRQIIIPYSTKIKSARSKHVFKNLIHPFCLTIYLRKEENKTRPSNLQLQLAKTAPHHRHSRRRTEPYFNLRNNLLLTPALALALVGEN >OB12G19800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9646996:9647692:1 gene:OB12G19800 transcript:OB12G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQDHPPAGADPAAVAYVRCVTELSACSVGRNTDRLPPPQLRRRPRGVAFPAAVTAALDDLKELFSEGSAPMAVYARYKARRERAEHEGRLHDAVSLRLSVVGRIRDLALESVAARRGLEEARYFVRRTLRLLRRDAAEGGRDDGGADAEAASGRVVSLVELLCHFQGREAALVAALEAVDAAEAAELSEKAALEDIPEVPRATEEEDQLMLEAAGRFSHDVDVLVKFT >OB12G19810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9656323:9656835:-1 gene:OB12G19810 transcript:OB12G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEPSPAPTPTPINGTPPPPLLAPTQPPRSSPPPPPVLVPTNPPKSSAPPKQSPPPEHTPTPPPPPPLTPRQPPKMPPPPPSSGGQCPKEKVLALSICTKLDISTLLLTPGLAKQDCCPPIAGLSSKDATSCMCASLKLKLDVTVDTFFIETVLRLCGITVLGNLNCLL >OB12G19820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9656471:9659536:1 gene:OB12G19820 transcript:OB12G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQSCLANPGVRSSVDISSFVHMLSARVSGGGGGGVGVCSGGGLCFGGADDFGGFVGTNTGGGGGDDLGGCVGANSGGGGVPLIGVGVGAGEGSIGIGGGTLWGGGARGGARDGVGVKRLAVGAVAGVGGSSGLIEGGGGTLCGDGTRGGARDGAGVTLLGVGVGAGACSGLIVGGGGTLCGGGARDGVGVTLIGVCAGEGDGSIGVGDGGGTLCGGGARGGARDGVGVKEVGVGAVAGVGGSSGLIEGGGGTLCGGGTRGGARDGAGVTLVGVGAGACFGLIGEGGTLCGGGVRGGVGVREVGVGAGARVGGSSGLIEGGVTLISVGAAAGACSGLTRGGGGTLCGGGARGGARDGVGVTLIGVGAGVGDGSVGDGDGGGTLCGGGARGGARDGVGVKEVGVGAGGIVGGSCGLIERGGGTLCGGGARGGARDGAGVTLVGVGVGAGACSGLIGVGGTICGGGVRGGARDGVGVTIIGVGAGAGDGSIGIGDGGGTLFGGGASGGARDGVGDKELGVGAGASVGGSSGLIEGGGGTLCSGGARDGAGVTLIGVGAGACSGLIGGGGGTLCGGGARGGTRDGAGVTLIGVGDGACSGLIGGGGGTLCGGGARGGARDGVGVILIGVGAGASDDSAGDDDGGGTLCGGGARGGARDGVGVREVGVGIGASVGGPSGLIEVGGGTLCGDGARRGALDGAGVTLVGVGACSGLIEGGGGTLCGGGARGGPRGGARVTLIGVGASAGDGSVDVGDGGGTLCVGGARGGARDGIGVKEVGVGAGASTGGSSGLIEGGGGTLCGGGARGGARGDVGVTGAVVGCGVGSARTGGGGLLIGVVGAFGGVGPPLTGGGGGARGGFLLGGVSGSSALAIEKSARVTTARRTTLGIEVLNAMSIRRGNREINLPYLQLINSELMDIWGHSQLNSTFVGKRAANY >OB12G19830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9658448:9673702:-1 gene:OB12G19830 transcript:OB12G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:J3NDC5] MAGLSLQHPWAFAFGLLGNLISFTTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEALLITINAAGCVIETIYIVIYLAYASKKAKVFTTKILLGLNVGVFGVILLLTLLLSKGENRVVSLGWVCVAFSVSVFVAPLSIIRRVVQTRSVEYMPFSLSLTLTLSAIVWFLYGLLIKDKYVALPNVLGFTFGVVQMGLYVFYMNATPVAGEGKEGKLAAEEPQVVVNVGKLTATPDRSSGGTTTIIVARRIITSTRTYPD >OB12G19840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9690150:9690317:-1 gene:OB12G19840 transcript:OB12G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNVGLAAGQLGTIRSRLWGFGGVESSQAVVGVEERCHSGTTWDKSWECNSVVLDS >OB12G19850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9707930:9710391:1 gene:OB12G19850 transcript:OB12G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSYQIITALLALTISLSLCSSFPQEMAELSVFATSILTKVTTFAVEYAINDIKLACNVRSELEKLKNSLGAICAVLKDAERKQCTTSSVKHWLENLKDFVYDIDDVLDDVGTRALQQKVGKGEIRTYLAQLFIFPFELARNIRKVRERLNEIAALRRNFDLKEEPIDTPSDRIVQRETYSIVDERKIVGRDKAKIDIVKVISEAAESKSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKTLWACVSNVSDLNHIVDVIIQSDSGESNKQLTLEALQKKLQELLGDKRYLLVLDDISNDNISVWEELMNLLPCAKSGSMILITTRLSKIASVLKTIGPYEVPKLPHDECMKVFARYAFKGEKAKDTALLKIGESIVQKCDGLPLAARTLGSLLFMEDISKWQEVKEIKVPSNDILSVLKLSYDALPSDLRACFACLSTFPKDYEIFRELLIMYWVAMGLLSTAGGSREAIRMGEKYFSELAGRSLFQDYVFSHDGTISQIKMYNFVHDLAISIAPNEHATISCENFSASKRVKHLVWDQKDFTKELKFPKQLRRARKARTFASRHNYGTVSKSFLEDLLTTFTCLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKFLPNSLYTSTCLVQITRGAAKRCQKTCQLEISACPMLTSLTEGLGSLSALRDLFVFNCPKLPSLPSSMNRLVSLQKLVFHNCDELDLMEPKEAMGGLKSLKSIELVGLPKFETFPDSFESAAASLEYLKISDCKEFKKLPDFIQRFSSLKKIEIPERRT >OB12G19860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9715720:9716190:1 gene:OB12G19860 transcript:OB12G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVDAREKLGRSLAKWFHANDIPGRKANYPFFRAAIKLAQQLGEWVHIPNGREIDGLYLDMNYEEMEAHMLEYGEEWSDYGVTVMSYHDVHHKFHGIQQWAYVLPQINKCNRSCPKCRIYL >OB12G19870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9737278:9738176:1 gene:OB12G19870 transcript:OB12G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKECAARRALPPGFRFRPTDEELVVHYLRRRALDTPLPPAIDIPDVRLLAHDPSDLLPPAVRGGGAVTAGVRFIDFFARADARGRRPASPVSSSCVTDASAEHCREQETTSRGASD >OB12G19880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9767159:9771288:-1 gene:OB12G19880 transcript:OB12G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLFGGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQSFSLSIFALINMLHKESTMKPCTENGLRIHILFMIASLKLFYHQQPFTCMPQLELFDWMIITVIFGQMVPLLYANEVEQKARID >OB12G19890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9773896:9781588:-1 gene:OB12G19890 transcript:OB12G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREHVERIRRERFYIGREERNPLADDIHQAVTYLSQELYSKDVHFLMELIQSQHSAVDSKTEMVRNVENAEDNQYPPDVRPALEFVIIKKDITATGAASTLLVFNNEQGFSAANIESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEEPSEDCDIGYIVPKWVDEKPSIDDIQAVYGYSKRLPTTTIILPLKSDKILPVKKELSSTHPEILLFLSKIRQLSVREVNDDPKASKLSQISISSEVDYRTQKDINAESYTLHLAMQENKSCDKEECTYYMWKQTFVVKPESRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILFDSQWNRGILNCVPSAFVSAFEALLKSSSNAPSFALPPIFRFLPIGSSSIPMFDSAPEEFYLEILSFIAENWRLKFSNTSMLDVPLIKVVTGADSPSYCSISGANMRCMRICIASNVNELPWLIGWNKELSTNRSVFFLPLNTQKSLSTFCRRTQIIEWLQKIVRLETTGLCEYAFAVVKTINEASSAIAYCHFLYHSYAKKYIAEGRIMNLCHAMPLLDKCGSVIKQRNVLLVPAEGSNWFTLIGTNPWRPQKYIDLSEDYRSSGTYAGNYTPQGHLITFLRTYVQAVDVPFMHPPNASFPTVSSPLARENAFLLLQWIKNIRSCNDNLPQNFLSCIRNGKWLKTSVGYKSPSESFLSSVRWGSKRQIQFIFSGVPIVDEEFYGNDVRMYVEELRTIGVQFEFASANLHIANQPLTKDNAILLLQWMRDLRLKGVQLPHNFMNYIRNGSWLKTSIGYSCPSESFLLPAHSGNLGEIVSGFFNVAMIDQEFYENKICSYKDELQSVGVRFEFADASAHIVNYLTAKSSNGALSRANMFVMLQFIRNLTENKLTSVNFIEKVKKGCQFKTFAGNRLPVNSILFNSEWENASVISLVPFIDTLFYGEDILDYKAELVLLGVRVGLKKNYQMLVDNFRLLGDEITPDVTFLILKCLRYAETPQHFVKRLKELKWLKTCLGFRAPPGTFLVNDDWKCLLTIVDDVPLLDLKFYGDEIRVYGEELSKVGLIVGFVEASKAIVCRVKKLLRNSSFTKEMGVAMMECYRELSTKHQKLPVDLVKCMKHERWIHTSLGLRAPPEAIIFSSEWECISKISNLPFINDEDYSEYGQGKGMNIYRDELMALGAKTELKHGASFVILGLKIPYDASAITPEAVISLLKCIRSWMAYGSALPENFMSSINTRWVKTTAGYRHPKNCLLFGLAFSSLLHRDDGPFVDEVFYGQEILSYESELRALGVIVDSRAGCALMAQCLKSSCNGDAISRIYLYLEASRWKPRNANDNWIWVPEGSDHGQFVNPDRCVLYDRNGLFSSQLHVLVTWYEYKLLRFFKTVFDVKGHPTIGDYRRLWIMWQNSNSTPPPKDCTAFFEFVEKNWNPEIGKYLAGSITKVPGCSGDQILLLEKQDVFIPDDLLLEDLFSKQAEQPLFVWYPPAILSLLSPAKLNEIYSTIGVQKISKVVTPDKAEDLDLDSLTMVRKETVIKPGLLMIILAFLADPVLDIPAEKRHEMVSCLTNAAVYETVVPLTVSYQVRLSSGRIMNVKSAQLFRWEREELRLFITKNCGSGSLDNAERIQCAAYFAEEISKGLLFDRVDRVHALADLMTAGFLLDFDVPAVQFLLKFKNLRLLKEDEQFCYLATFHG >OB12G19900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9788578:9789003:-1 gene:OB12G19900 transcript:OB12G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSLYFPRQVKCYMKQLLAGLDHCHRHGVLHRDLKGSNLLLNNEGELKIADFGLACTFDPKSKKPMTSQVITLWYRAPELLLGATCYGVGVDLWSAGCILAELLIGKPILPGRTEVGTNDRFNYISKMFSIHVGLCSPL >OB12G19910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9797733:9799398:-1 gene:OB12G19910 transcript:OB12G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRAKLGLARESSVKRRPSSTYVLLTVKGPTATCSLSCVVRHPRVARRPLPPSLGVLAATRVATGDPVEGWLDLHGGEHRGHGTPPPTPRARLRFWDVEFDPGWDAGVRLPGSPVYFPERSNCRVRLYQNSPLSGEFDPWVRLVGGLWYRLARLREDLHVAIRDARQFLYVAGCSVNTAITLVRDAAWMIPEADGVTLGELLRRKADEGVRL >OB12G19920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9799921:9802717:1 gene:OB12G19920 transcript:OB12G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSLGIFSILVIYAMFVAHKIQSEGFHLTNQDVNMDALHATYEGSYFGFVTTMDVYGFQINNGQRIVSSIWIVNRGGNDGDEKNEIMIGWQRDSTRTTGCYDMKCPGFELTPGSPLAPGDVIRPVSDVNGARQKITIKVFRDKPTGNWWKHYGFNRASAATGCYYPASLFTTLTEKATNILFGGSALAVDGVPSPAMGSGSLPSIMSDRAASMEGISLIDEDGRVAPFDAETIKTETMSFCYAMTPIFGANSSRIEDEIDELLGML >OB12G19930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9812473:9813811:-1 gene:OB12G19930 transcript:OB12G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAPARGRAEKGESPMEHILDFFNTWSRKAEELASNIWLNLKTAPSMSEAAMGKLSLGAKALTEGGFEKAPPPPRRRRAPPQGVRLLPLDGDWPRGRHALPHRPEPRLLQRPAALLHGALRPGRLELLQGDGPARQGRRRRAGGHAGEVRARRHRGRPRVLVHGVRQLRQGRAPPLAGRLGEGDGVPSDDDDDRHAGGGSNGLRSSFFFLLFFLRV >OB12G19940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9823427:9823579:-1 gene:OB12G19940 transcript:OB12G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPADITADPRYKNHHPLINPSIPISSSAAFAISFSKSIPAASIFLSNLL >OB12G19950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9831718:9831897:1 gene:OB12G19950 transcript:OB12G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYAAAFEAHEVDGEVLPCLTMDDLRDMGIGAVGARRKLYCAIQRLPPPPPPPPPPRR >OB12G19960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9852656:9853360:-1 gene:OB12G19960 transcript:OB12G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHASVRQCGWLAHAVAVDPCRRREPPPLPQITRSFLRVRDGRMTVRVVMRYLVNKLGLEDDSQFSSPHTVGHSSG >OB12G19970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9853876:9854148:1 gene:OB12G19970 transcript:OB12G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPSLHRRYQQHQHHDDGDDDGDGGCRLLLVRRRRRTSTAAGPWAWRCGRSSNVTILSCLLPSCGVAARRGRHHHGRERYKKKKKKVQ >OB12G19980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9879477:9879635:-1 gene:OB12G19980 transcript:OB12G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCFLGTFCARQWSLVLKEEDGQKVREGCLMLEKRVSGFFAMKGWNLRKRLGD >OB12G19990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9882692:9883078:1 gene:OB12G19990 transcript:OB12G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQVFVLCIFILVCRAHTTSTNNRQESMALLQWKSTLDSINLSPISSWSPANSTCSWYGVLCNATEHVIKLHLPEAHIKGHLDAFNFTAFPHLIELNLCKNHLVGAIPASISQLKALTYLDLSFC >OB12G20000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9889456:9890443:1 gene:OB12G20000 transcript:OB12G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEFCQLVFLKFLDLSSNQIYGDLPTCWSNLQELVFLDLSSNAFSGNVPTSTSTTCQLTSLHLANNSLTGGFPSALRYCMNLTMVDLQDNRLSGEIPSTGIDLSSNILSDGIPAELTNLKGLQFLNLSRNNLSGSIPNKLGNMNALESLDFSCNELLGHIPTSLYSLTFLSSLNLSNNQLSGRIPTGGQLETLNDPSIYSNNFGLCGVPLNECPVENSSFVSE >OB12G20010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9893548:9895014:1 gene:OB12G20010 transcript:OB12G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTVSFLSSLDGIIDVVIEIFYQKHLDYLVDLIASSRPPRNISRAYLGLDNTYLRLRHVVKNRVTE >OB12G20020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9902670:9907117:-1 gene:OB12G20020 transcript:OB12G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3NDE4] MNVELKAEPDTDEVYAQLTLLPEKQQDGNGNGNGNASKDQVDEEAAAPPAAAERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGDNGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYEESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGIGDSDPSGWADSKWRSLKVRWDDAASIPRPDRVSPWQIEPANSPSPPNPPQATRTKRARPNVISSSSDLSAVNKEVASKVIANSQQNGLTRAFHSQESTNLRSRFGDSSELNTSQKLTMWSSGSNQEKNNAIVQRELGSQSWMQMRRPDSSSEILSGFQPQKDTRNPLSSFPSQISGNRSNTWNTINVHYPDQNVNHNMFPGTWSFMPPNTAFGVNQQSYLMPPDIPLPQRSLNAKFSGNGAFTSLRAHGIDQRSSGWPGHIEPSSHIDDASLSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPEQLISPPSVAFDGKLQQDALEEDECSDPSKTLKPLDGAQHDSATEKHQSCPEGARNIQTKHNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELITELDQMFDFNGELSSSCKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSEDSRSTSMERGLVGEGLQGGLSTPSLNSENC >OB12G20030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9940094:9945690:1 gene:OB12G20030 transcript:OB12G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGEEEAGERRLRGPRMEREAVIPVLKPKLVMKLAYLIEQDMDRAEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQIMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDESKLDSKLLTTYFKDHPHDNLPSFANKYIIFRRGIGIDRTTDYFIMEKLDVIISRAWSTLLRVTRIDRLFSKKPQVKSKKDTKKTDDINEDTEEPELFVERIRLEKIELSMRNLMSKMTIQEPTFDRMIVVYRRAGNKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVRDAVKKLERLGIVHRDSIGRIVCVSLKRANEILGNTTEELVMRAQQSPTA >OB12G20040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9951572:9955790:1 gene:OB12G20040 transcript:OB12G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G51390) TAIR;Acc:AT3G51390] MGRLARTSPSGLVLAVRHTRTILLHQKRRLRLRRRRRRRALAIASAMASTSAAEPGIRFSDRTRRSSAMSLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWYIGAYGALVLITMVQYFYTAGSSPGYVIDAMKAESTMHATYINTATLSKQSSPNNGSLNSPLSLPQQQKLNPGTSTSSWLQRVADLYPSGSGSRDWTCTYCRVIQPPRTRHCHDCDKCVLQFDHHCVWLGTCIGKKNHCRFWWYIFEETILCIWTVALYIDSLHLDIDKAWWKDFIGVILVAVLIFILIFLLLLLIFHTYIALTNQTTYEVARRKRIFYLRGIPDKVHPFSKGICRNIYDFCFSREKGYVLEAVPPLEELEARAAPYTCRDIICCRCC >OB12G20050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9957265:9958089:1 gene:OB12G20050 transcript:OB12G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTDRGKKIKGNPRKMPVISSSPQCNHLSVIQPLKVKIFQSNAAAISARATTVRARAAKRPEKYIGCGAKGSTQAQWAIPRVHQACRDLEFQGLHDWPHWGLHCRTGAGQGYYSDCVKVGKDSIFHCSSSGFRG >OB12G20060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9960616:9965563:-1 gene:OB12G20060 transcript:OB12G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDTAPVSCSNLLHIKVKAEEKDGGCRDYPVEDDLEQLLKVIDSRTFRRTLSPGQAGADALRKNGQKKSARSGTPQTAGIRISSKQVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNDESHLHDDEKMNLIEISITPEKFSKNSSRATSEYSEECDFETADGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLDKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTISKKKVKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCVDEEKTNLGPEESGEKSLEWLGAEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRNFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSISSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEVFYYKQFSCVYANDNVSPATVNPGKQPDNGVLSFYRSLRNSTPRQI >OB12G20070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9983466:9985093:1 gene:OB12G20070 transcript:OB12G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGCFLLEMMRTAKRGGGGMPDEASSVYAPNDPVFGRHGALYVVPYVRRDMLIVENQLPLLLLHKLVAVESGRVPDDMGQVEYQVNKMVLRFISPSCNSPPPAKERSELHPLDLFRRSLLSGPYQRTRAAAARDADGHDEDASDIIRSATELYEAGVQFRRSKTTSLHDVAFRRGVLSLPLLVVDDSTEYTLLNLMAFERLPPRARTHRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLHAGAGNDVTSYVFFMDNLIDSARDVALLTSKGIIQNAVGSDKAVAALFNGLSRDVPLGTGGELDAVQRAVNTYCRKPCHVWRANLVHTYFRSPWAFMSLAAAVFLLALTVTQTVYTVLPFYKGGSGAGGTSPSSYAAPAPR >OB12G20080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9986188:9987921:-1 gene:OB12G20080 transcript:OB12G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTDGCFLLEVMRTASGWEANDYADDDPVFSGHGLLYNVPYIRRDMIMMENQLPLLVLEKLVAVESGIKENEDFVNRLVQRFLSPTAWPPATGVGLALHPLDVLRRSLLAGPAASPRTPPETAATDDIIRSAEELYEAGIRFGRSPTSSLLDIRFHGGVLYLPAIAVDDTTEYILLNLMAFERLHAGAGNDVTAYVFFMDNMIDSARDVALLTARRVVYNSDKAVARLFSGLSRDVVLEPESALDGVHRQGTGVVRARCPLWRANLVHTYFRSPWSFLSLAAAVFLLVLTVMQTVYTVLPFYKGG >OB12G20090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9989446:9992519:-1 gene:OB12G20090 transcript:OB12G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDVGMRAAVMCRKLLPLPRFTAPQNTPLGVLPPQDLGGQRKPLLLPPLSFSLPSHFKLPTIERKLTLIRCPYTEKTNLAAKQLQAAAEDWSENFLSMQPAGCVINWAQSHDASHAFHVPKGITDLKQHEFLSLTQGNKSIKEYLCEFNHLARYAPDDVNTDTRKQNWFMNELSDEMQLELAAHSFLDFQDLVNRSVAVESKMKNLENKRKRRRPVQFSAAGGSQRTCG >OB12G20100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9994969:9996056:-1 gene:OB12G20100 transcript:OB12G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYHNSGTVSANAVHAPHDAAPGEATACFHHPPATAAAMAPSPGMKDSSAQRRMVTRRRRPSSNDVNNDVEHHNGQHKCHHGDDVSSAAAGDDDDENGGGKRARESSAVAAAACDDEGPSTVQQLQSELPVNLLFQTLDRGGNGEREKQRPSQRRGERETGNSWGPQEIIISLSRKKRAYVRAKIRMAFGDVAFLTVANS >OB12G20110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:9996390:9997478:1 gene:OB12G20110 transcript:OB12G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRGKIDFFPSSTLAMNPPLPFQISLCPSSSTPSRPKSCLLSAAHALLPHRTCPDPPPLECFETEEICAAPYKPAPCLCRVEWFKTEEYSSSSRSLRWWSKQLGAF >OB12G20120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10003514:10014976:-1 gene:OB12G20120 transcript:OB12G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G16630) TAIR;Acc:AT4G16630] MHMQGKMSMAASDKPGLQAKVKSCISLGLGQGKGIYVRSVAAEHARRRTTSIDEKITQALRGGGGGRPAIADGDSEGDEGSDVEDDSEDDEEEEVVEGEIDDEEDEVEESEDGDDGVEESDDEEAVDEEDGEGEEKSDEEEEGEQEQDGEEEGGDEEAEQEEEDTDKQGGAVNPSNFFASSEGASFHANSFLELNLSRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRAREANQEAVLLALCLKTFKDKVIIFSGTKHSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDVRTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECGKLIEQLEDQISTIIQEEREERILRKAEMEATKAENMIAHKDEIYSRPKRTWFATEKEKKFLAKAAKESTSQGKSNSGVISAQQAEDLRLKEKRRREREKNLPRKKRRRLEAEREMLEDESDGDEEAKESKGGKKTNKGQSLVDVAYRRAKSMKATGKRGAGTGKVKNDKKAKQHSEKGPTRQEEMQELFQNDMSEWKQGRSLKKNNAIRKKSKNSFKSKSRYKRRK >OB12G20130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10013113:10014240:1 gene:OB12G20130 transcript:OB12G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILALLHLVLLVVDLPLHHLLLLVVLAIVLHIRALIALGVAVGDGGPSAAAPTQGLGDLLVDGGGAAARVLRRHGLRVGRELPRRLRRLPPPGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPPYPLPCLQCLRFLSLFAVAIDLACLVGNLSGIRFRVSWNSLVRCVLLVLGFGSRFPCDYPRAHVGDRVPFSLNVR >OB12G20140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10017251:10018256:1 gene:OB12G20140 transcript:OB12G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYALGTWFFSVAGALIAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQSLSASASTEGEN >OB12G20150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10022027:10022405:1 gene:OB12G20150 transcript:OB12G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWCLKTGVNIMQLGYKLAYKFLSFLKQWRLLVPERLEEVVDKFRCVLHAKLIGLCRFCPLTVTLLQQDGDTKKIVACSIEKDLIKNCFYFLFLLILIGGLV >OB12G20160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10022397:10022705:-1 gene:OB12G20160 transcript:OB12G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAEKEKRKEKEMWHQPCLKLYQGHITVGSTNFCWSNFVSIFFTNPGIMYFFASALLCPLVSTCKFTSKFLFTQFINVRSFCVMTGQLSNFYLPKSARLN >OB12G20170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10029424:10034836:-1 gene:OB12G20170 transcript:OB12G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYYQFDRTTDKINSKISRSAIEPIDRLSGGVSGELGARRQRPGAQAGAALRAGDAHHHQRRDHSNPALAELLAELRHLAAAANEEEEERAGLVLHTRHTARAVARKLTSCSPAAPKSHVDATATAAGKRLPCYSLPCVHHDDGGDDDDDIVVGSPANRRRSLRGIWSSKEQQRKPVVSAPKLKFDRVEMSDKMIDIIEQLKPLCAKVATILGLESLGYSSSAKTQHFDLEHRPRTNPEIIEPELYGRESQKQKVVDEIINEYCANDLTVLPIVGPGGIGKTTFTQHIYDKVKNHFQVRVWFLLVLDDMWTYHEDEWNTFLSPFRRGGTKGNMVIVTTRIPKVAQMVQLMSCSKKLDRLQDEDSMQLFQACVGTKTWESYPGLKDVGENIVKRLKGFPLAIKTVGRLLRNQHNLDRWTTVLKKCHGSFYKIFGDILRDAKSLRVIFLSGASYNVEDLLYNFAKLVHLRYLRIEDSYIPGTILPSNMNRFYHLLVLDVHYHSGELGFLSNMRNLLKLRHFLVWDDSFHSCIFEVGKLKLLKELRRFVVKREEKGFDLDKIGHFLELHGSLGIYNLRMAKEIKEADEAKLVQFNRLGGLKLDWGAEQCENPIQEQNILESLKPHNNLRGLHIAGHGGATYPNWLGADFSIRNLESLILQGVNWGILPLPGKLCMYGCEELKCSVHGQGFDSLRMLKLVEIPKLKKWCGISSCILLPHLQNLYVCGCPNLIELPFSDATSNQSKQSMTYFPELQNIDISNCPKLLSFPPIPWSHSLFYVSIEGVGSEFYWLWYDKDKQLRIKGKDDGDGSFWDLLDLNKLMELPRLEIKNCPPISVDHIKMLTCLEILSIEGPSNTLLALGSEKDARYKLSVKKLTIDSRGASGSELTQWLSHFPKLSYLKISNCPNVTELAVEEQKTMAAANKTVGTVIAGHQQETEACEEEVTAAAGDQGLLLLPPQIQQLTIRGCRELSFRSNSTAPLVDRGGLQGLASLQSLTIFECPMLLRVFPFPDLWCGGHGDPATASSPKPHRPEPSWDWDQSQSQSQSQVVERLYTDGVRNKGIADYKSIYKGKI >OB12G20180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10038697:10042138:-1 gene:OB12G20180 transcript:OB12G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFLAVVARAALQWALSSLLLANGAAFCLIAAAASQERAAFRRLLCDAHVAAIAAAATPEPEKKQEQEQQQQRTRSASEQIEEEKRDGAEAENSDKHGGMEAPRRVVSIGSEICERDHVGDQRSAGDDADGDGEDPYVSLFELAPISLVDFLPGSVFSSSPDLANLLKLYTEGNGGRRHRDGLIDGTAPAVPVVGEEEEEEEEEEEEVDAVAINGQGPDANGETAATITIAGDPSPERSHDDLESSEVSPVR >OB12G20190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10038736:10039752:1 gene:OB12G20190 transcript:OB12G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRRRITGNGDGGGSLTIRIRTLAIDGHGVDFLLLLLFFFLLLADHRDSRRRAVDQAVPVTTAAIALGVELEQEATEILLNSLNFTNV >OB12G20200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10045522:10050117:1 gene:OB12G20200 transcript:OB12G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) TAIR;Acc:AT2G18460] MAGKERERERDRELLLIPVAGDHAGAGAGPEDYDINNYHILQAFSRVIRSWAWKKFMTGCVILLPIAITFYTTWWFIRFVDGFFSPIYIHLGINVFGLGFATSITFIFVVGVFMSSWLGASLLGLGELLIKKMPLVRHIYSASKQISAAISPEQSSRAFKEAVIIRHPRIGEYALGFVTSTLTLCGGVAGDGGGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIEIVLSGGMSVPQIISAGGGAVGLGGHDRTVKNP >OB12G20210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10050130:10054146:-1 gene:OB12G20210 transcript:OB12G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33670) TAIR;Acc:AT4G33670] MELRDLGGTGLRVSPVGFGASPLGHVFGDVPRDVARAAVRRALDLGVNFFDTSPYYGGTGSESVLGDCPRAAAVPHDRVVVATKCGRYKEGFDFSAARVTRGVDESLARLGLDYVDILHCHDIEFTSLDQIVNETIPALQKIKESGKARFIGITGLPLSIYTYVLDRVPPGSVDVILSYCHYGINDTSLLDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPKELKLACRAAADHCRKKGKNITKLAMQYSLMNKEISTVLVGMNSPEQVEENVASAIEVSTSGIDDELLHEVEAILEPVKNMTWPSGIQQP >OB12G20220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10079318:10081081:1 gene:OB12G20220 transcript:OB12G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGSAAAVAMCTPAFAARVARSRWFVVFASTYIFALYSKELRSALGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAAMNLAGYLMIYLALERRTAAPPVWLMCAYICVGANALTFSNTGALVSCVKNSPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDARSLVLLIAWLPAAVYIFFVHTIRVLPYRRRRDGEELDSGPFFCFLYISIALAAYLLVMIVVQKQVRFSHPAYVVGATALLIILFLPLAVVIREEHKAASQLEQSLQHPPSIAVEQPTKAAADDDGAGMAVVSSSSKPAAACGGCGWIRNMFRPPELGEDYSIMQALVSVEMLVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSIKTFISLISIWNYAGRVTSGFASEIFLTRYKFPRPMMLTLVLLLACVGHLLIAFGVPQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRVAGRMYDAEAARQHGGVAVAGDKICKGVQCFKHSFLIITGVTFAGALVSLLLVWRTRSFYKGDIYAKFKVAPAAATATPEAEEKTKKDGAHESN >OB12G20230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10082091:10085671:-1 gene:OB12G20230 transcript:OB12G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTIIILSGCGSDVIDTKTIQQHYQRRGGWRRNLCRWEDNGGNGDKRIELVVAIVANGGGCEAGGDTEWIGWVLVGGEAGRQLSRLVVVVVVVVVMAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEESQKYKEGKFIFEKSKIVKENW >OB12G20240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10091931:10095211:1 gene:OB12G20240 transcript:OB12G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTNGSFLLGSGGYPGAQMLSFSSSSSGHSGNAGLNCGSSDVTSMQEVLARVRGPFTPTQWMELEHQALIYKHIVVNAPVPAGLLLPIRRSLHPPVLPHFSSGGILGSSSLGWGSFQLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQTSHAMKATVAIAQPLMGASNGKSSGSHGVSHELTKSLGTNLTDTSPKQFNRMLLDKANRTEDFQDCANQQRKPSILENTKGDNLSEEMSSQEDYAVIPAGALMNTPQSENVNLTPQQQRCKKSQPNLIHHLFGRGIQHDDIQLSISIPVDNSNLSTNHNKAQVTHVVGSSSSEGNVARASWVPDSWETSIGGPLGEFFTNTSNAPDNKSKSRQHPPSLNILADGHTTSPQLQSPTGVLQMTNFSSVPSSTVSSPGSLSAMACSLQAW >OB12G20250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10110368:10110580:-1 gene:OB12G20250 transcript:OB12G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGWSVLSFSDARRHVGAEVAAAVLEALTEEAAAELLAATMLTWNGRPRCDGDVDAIGDAARCVVGAS >OB12G20260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10124582:10133001:1 gene:OB12G20260 transcript:OB12G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEDTGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEVIHKKDPTAGQKIKQREVYDQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGERVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVHNQRVSVKAEGSSEETLGSQKMLQNDNGDHRSNKTRSNQSGSRVDVGANDGLQHDKQSLTENQNLPSHGNSSEIRDGNRSGNDGSSVTSLMVNRIDKDKVKAQMEKQRKLKGDVARKVDVIDDDDDDLERQLEHGVELAVEDDKIKQERKQNSSHVTTIKQEDHRIADQVTGNGHLSKQNLPETSQEAPMNIKKEQYNSYGSKHHESHDTAHERGERDYKRPRPEG >OB12G20270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10135017:10136974:-1 gene:OB12G20270 transcript:OB12G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLGGGVVDKTSAMASSSVFKAMAERAGPSVRKQALTLTDAAASRVRQLLGLRQRSYLRLGVKARGCNGLSYTMNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFIDDPLRSEFVFTNPNSKGECGCGESFMTSTNKGSA >OB12G20280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10136729:10136929:1 gene:OB12G20280 transcript:OB12G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIPEERIQKERKERKVRGWRKRAWPRNAGLDGCSPVAARMRRSGDGRAGRGRDRRGAAGELAGG >OB12G20290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10137947:10139428:-1 gene:OB12G20290 transcript:OB12G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYQIVCGPVCSPSPITTVEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGATAITYCTMSWILSVSQQRPTTISYEPVAYTSLGSSLFSTLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYALIAMCLFPVAVGGYWAYGNMMPSGGILTALYAFHSHDIPRGLLASTFLLVVFNCLSSFQIYSMPVFDSFEAFYTGRTNRPCSVWVRSGFRVFYGFISLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLAFCVGGVWSIISSGMKLKFFKPPS >OB12G20300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10150571:10151020:1 gene:OB12G20300 transcript:OB12G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSGTDKEVINEQMIANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARMNEALEKKKKEITEFELKYKIRIRKADSDTREESGMKEGSAQAQGVLVGPASGQ >OB12G20310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10151669:10154586:-1 gene:OB12G20310 transcript:OB12G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPEFGLQSNYPSPRTTAHRKIPGYAIVSIYREKIFAPYEFFGHATNIGVTKRLPTSLPVAAMPCRPGCVAALSCHAIKRGQKVQFWKNFA >OB12G20320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10164621:10168185:1 gene:OB12G20320 transcript:OB12G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGRSFSKNGLLGSIWRSRSAAASTPSQPATTSRSIPVVLPSSPSLPEARPPPQQQPTETAPPVPIVISEPATAGQPPPPQPQPPQQEPTSLPTPPPSNTQPPVQQRQQSRAKKPAHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKASGREYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPSIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAELARVIVGVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFADVVGSPYYVAPEVLRKNYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPSVSEGAKDLLRKVLVRDPKKRLTAHEVQCEPLFFFLLTAPATPSDPLQGCNIHHSFSLSPPGHPWLQMSGSAPDKPLDSAGGGGGRVLSRLRQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTAGFGKKGHQYNLSSGFRDALKSHS >OB12G20330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10200117:10201619:1 gene:OB12G20330 transcript:OB12G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding QALEADRETMRQAIVSMGADKAQVVLLKEIAQHLCKEVAPPLPAVTVGHHLYKGAAAPPAVTVKVAPPVPPLIMHRRMIEAQPIVRTSYVASVVKWVTSIISWRRKTPRIKYPVGQRGNNVGLLLLLDKAHRPGHGHQKMPKKI >OB12G20340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10202120:10204518:-1 gene:OB12G20340 transcript:OB12G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKANAGFLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPSCNQTRESINEFVTRCDTFKLTNADKLNVINWRPSSAADAYAMIEECGKRFSKDDRGEACNEDERVEEFLELVKEAFPSPPPKPEEMTE >OB12G20350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10207792:10211528:-1 gene:OB12G20350 transcript:OB12G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPDNQEDPRLSAYCTDKIADAIFADHVELGSQYADDDSSPEAGWVPMAARRQSQSQPQLGSSRLGVFLENDNFSDDQSLASAFKNMSLALRDCSVDIATNPFNPFSIASIAPMSGRYSASRVNSSADSMMNPSHRQDALRPSSLNINVAEYMEPKYGVRNVQMYTGLHGSDYVSGDPFNRPSASPLHKQYFLDGQLQANAPYQQMGPNFGRQDTDAERHYLLQSQCAYQQMPQVAGSDVHWIRSNQHGFIHSPAIPASGAPTYLGIPKVDQPAHGSADAYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSREICNYCQIKQAEKLNHRYGLRRSSKGFLQHQFFDKFSIKSFPGKTMMKSGGINSMRNIQSGLEPNGCIEMNQIIAHHGDNQHLNIQGNDLLRLDWPTSQALSPMESEYGLAMKTAQMNFSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTTEDVKKVFDGIIEHTAELVVDPFGNYLVQKLLEECNHDQKMHIIYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLDAAMEYYFELAEDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVIQYILDLRISWATDEILNNLAGHYGYLSMQKSSSNVVEKCLREAAGPERVKIIHELVNDPKLLHILIDPYGNYVIQTALKECEDAAGRAVLIGAIRPHVAALRNNMFGKRILSKTYLKNRKY >OB12G20360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10234728:10234925:-1 gene:OB12G20360 transcript:OB12G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLSRSHLHAKKNLETKPSMQKIHNLKLKTQNSSSKNSDSLTTSLPHRDTIFPFLPLEAWCEE >OB12G20370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10239619:10252116:1 gene:OB12G20370 transcript:OB12G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPVEVRAAALPSFPCPPHARPLPRRPDPRGGLPAVYARCRIWAHHPSPTPLAQQQQEDEEMLVPHQELPAVTAAAAAPDAAQPMEVVAQTEPANTAESQPPEDPQTSRFTWTIENFTRINTKKHYSEPFVVGGFKWRVLIFAKGNNVDHFSMYLDVADSASLPYGWNRYAQFSLAVVHQIIPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSKGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLGPQEEAQSVGQLREVSNKAHNAELKLFLEVETGLDLRPIRPPEKSKEDILLFFKLYDPEKEELSFVGRLFVKSTGKPSEILTKLNEMAGFAPNEEIWLYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQSEAKTRVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVIADLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEETNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLLVIREGETAAEILERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDVDVVSARFQRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >OB12G20380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10260368:10262192:-1 gene:OB12G20380 transcript:OB12G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT3G04620) TAIR;Acc:AT3G04620] MQAVRPAAVEEAAAQVQLRREEEEAVEAAAKEVVEEEVGPEEKEVAVVGEEKAAVEAEEEEEEVEHDEETAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSAEEEIKV >OB12G20390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10273754:10274699:1 gene:OB12G20390 transcript:OB12G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGERPRAAWEVDLSRLQIRRLVATGVHGALFQADYSGQDVAVKLLDWGPDGYSTPELVARMRASVRALAAVWHGFDHPNVARLVGASMGTAGLELPRKDGAASPPPPDRACVVVVEFLSGGTLKEHLIAHANSKLRYGEVVRLALCMARGLGFLHANKIAHRDVSTDNMLLDGRRNVKIADFGVARVEARDPGDMTGTTGSPAYMAPEVLEGKPYDHKCDVYSFGICLWEIYACEMPFSYDLSFAELTSAIVHPGPRPGIPPYCPIGLARIMQRCWDSDPAARPEMAEVVSLLEALNPNSAGGMLPVRTKK >OB12G20400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10276974:10281264:-1 gene:OB12G20400 transcript:OB12G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSPLQLIQPPPPLPPPPAQPPSTKSTTSPVLDPPRKSYKGMAASLTAAGAVSVVVGLAVVAWLARRRKKMLSKTMADEELEESSLFHDEDDFERGAGPKRFRYSELVVATDNFAAANKLGEGGFGSVYRGFLSELNVQVAIEKVSKESKQGKKEYVSEVRIISQLRHRNLVQLIGWCHGGGSLLLVYELMPNGSLDXXXXXXXPPPPPPARLRCRGRSGTTSRSASPPRCCTCTRTGSSACCTGTSSPATSCSTPPTTPSSVTSGSPGWSTMAGARTPRWSPERWVTWTLSACTPGRPAPSRMSTAS >OB12G20410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10281501:10281971:-1 gene:OB12G20410 transcript:OB12G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHLIVFILVACGLCPAAFGGGDNDSRGYIPAYPNCSTTDNYTRNSQYQLNLNQLLNILPVEAKSNGGFYRTSLGAAPDEVFALIMCYSDRNWTQCENCLYAAANGISLWCPYSRTVDAVYDTCLLRYSGSSASFSTANTNVPTRPAWAARGGS >OB12G20420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10288907:10292097:-1 gene:OB12G20420 transcript:OB12G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGPRPNAFTVTSVVKCCSKLRAVHEGLQVHGFLAKAGVGSSVHVGAALLDLYGNIGYVGDARRVFDEMPQRNIVVENTMVACNVRAGDLAAAREVFDGMTEKDPISWNTLMSGYLHTGDAAAARGLFDEMPLRNLNSWNIMIAACSEEGLWVDAVMVFNRMRVIGFKPDAATMAVLMSACAQLGSLAVAGQVHGLLQKCYVEMNCHVQNSLIDMYAKCGSISQAQFLFNETYPKDAVSYNVMITAFAHHGHARDALELFNLMVDVGLQPDAITFLGVLSACAHAGLVDNGKHYFESMRTTYAVPQSSDHYACMVDLYGRAGLIEEAHYFVKTMTVKPHAGVWGALLSACRQHCNVDVGEIAAKELIRIEPKNPGNYVLLRNTLARGQQWDGVENVHQSMRGKGIDKTAGCSWVEVDSVLHEFLAGDFCHPSFVGISTILEHLYMELSQLMFFLVGSLSCSRSQVRAELHFSRSKSRASSCSRPAPPWALPQQCCPLDEFRGRDNTQSREYRWPTNQNREYMRAKESGAKGVVRAGRAGTRVRHALPPGMKNGDNTG >OB12G20430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10295880:10299750:-1 gene:OB12G20430 transcript:OB12G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEIGWLVQYPEFLGVNLERWIVPRHNVVEHLRSIGGLGDPIEMKHYVRFSRRRFYNMFVKPYPECERIFGGEVREREKEVQFYFSNETLLFCKLGAEPTTSILNEASSLRVLQESKATPTSYATAEAIDFEESKFTRSRSMGFQLDDYPGSGANNRHSPHSEGTR >OB12G20440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10306331:10312832:-1 gene:OB12G20440 transcript:OB12G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGVFSRTSKAFSQAAIKNLGYWFLGVNFPDAISVGEILSIGSLNVQRMLGLIDLMGVKEPLIDFSLHNFSKLEIAQYFALPCSLITCMNWERHIQGKGSTDLLGAASQSMQERFTSMSWGAAAQGLQTATGVVTSIDAWGEFFNYVSSAISSTRSWIGIHQRPDNNYVHQIQEEMQCLQNDLWKLQTTLPKIRNLVERLEWQIYKKPAAELLPHIKDAVLDAEDIIDEFSYYELKSKIEGRIEQCQTLPGCQEFYASVIRGSFTRVKEIQAKLNHLHCQFIDLGLQSATQRFDKFVRPETSSFLNESKVFGREKEEKTVLELLGLSPEDSTGYKRKRSRVEVLPIVGLGGVGKTTLAQQICNNQRVKSHFDMILWACVSDDFNAKRLTKEVIQSSKKETSFDNLDSLQSILKDIVESKRFLLVLDDIWDDVMANGGQEWQRFCAPLSNAFHGSMILITTRSQKVADKVRTMDCFPLEGLDEVVFWEFFVVQAFGTEFMSYPELEDIGRNIILKLKGSPLAARTIGRLLRTNLDTTHWNNILHSELWKLEQERTDILPALRLSYMYLLPHLKKCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHAHSELVSRSFFQKASVTSNKYVIHDLMHDMAQLVSQDECFIVNKADDFQKIPPNVRHLSIFSKRYIGFRHLMALCKYKKLRTLLCSKAFEEKEFASVLDSWFKELQHIRVLSSSLPMTGDIPEGIGNIKLVGYLCFSSQHTFSTLPSSFCCLYNLQSLDASTCVFRSLPCEFGNLISLQKFRAKNFSYLPGEDLRIRFLGGERIKVLKHINKIQGNLLVNLPGVKSKRNIGLAVLKKENNIYSLQISPLAEDASNDQEQLEVYESLHPHLDLQHLEVTGYQGADFCPSWFLPTNLPNMTLLIFEECHNVKNISLSRSPCTAGFQSLTNLYIIECTNLSNIKQFLQPCHMPVIKMISIRGCQQLVSISAERFGGFHSLEVLVIRDCPRISWEDGLSLPPCLTSLSLVRCGDISKWIPDCLQNLSSLFQLQLVGLSGGTCIPGNIRMNNLPSLDYLEICNFQHLEFTGAREAIKNINNVLIDRCPKMKELKQPFSKGDVTFLWGIPTSNWYMS >OB12G20450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10318851:10321169:-1 gene:OB12G20450 transcript:OB12G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDVHGFPGLNKNQISSAEIWVVNEHRRDLTDRNFLLAGWMVHPYVHGDSKTHFYTMWTVDNGIKTGCYNLDCDGFVPVNNAPITPGDVLEPTNGTLSVTIKIFKKKDDGDCGYTQSVVGTPSPPMGNGQWPGKNSASFRDIKFVDANGQGYDPAPWPIGLVGMSTNTKCYQVSPYLDGIFHYGGPGGCTTISSESDDEVYHFEPDKTMPEQTQIQSGSHDTEMPSLGSAPQQAEDGVIPMEVEVNHELVPTAQVGVSDTQSSMGSFVALREIFATIHPILNNTEKHQQEEEATKLAEEHRLDYKHQQQQEEERRRSASQSHVELCNQSKKIQRNLLQTQVEGHDVFKTPQQNVQVAADLLGDVIQ >OB12G20460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10325416:10329877:-1 gene:OB12G20460 transcript:OB12G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIGMKCSGAILISLIRLSKQYAAYFFQTHKCVRALEAATERLRERLNDVKTKVDGATRKGMQPSHEVEGWQKRAEQACAETEKIQAKYDKRAKCMGSLSPCICVNYMIAKSAAANCQAVEKIYSEGSFEEFGIMVPQPSTEVPITDIGLTSTDRYRNLAVKFIKDDAVSKVGLWGPGGVGKTHLLHQINNLFHKNPVFDVVIRVTASKGCSVAKVQDAIVGEQMLLKKDDTESQGVVIYEFLKSKNFLILLDDLWEHVDLGKVGIPNKDGSVGNYKQKLLLTTRSESVCGQMGVKNGQRIKVDCLDETDAWHLFKENVGAEIIENHPLVLPLAQEVANELAGLPLALIVIGKAMSTKRHPREWQNCIDFLQQSRLHEIEGPVCKEESVFARLKLSYECLSHTKLKDCFTSCALWPEDYLLDRNKLSEYWMGLGLVDEDDIQRSYNAGHARIHELVDKCLLEETDDDRLIKMHDVIRDMALWIVRNEGRDKNKWVVQTVSHFCDTERMLSVGTEIAELPAISEDQTKLAVLILQNNHLLESSVTGLCAFVSLQYLDLSRNWFKTFPTEVCNLVNLYYLNLSENKIKYLPQELGCLLKLEYLLLRSNPINDIPEDILSKLSRLKVVDFCSLQLEQRATFEPPFGALECMRNLKALGITIGKIKCFNELCKTNLPVRSLCVAVRSKYLDEWKKFAFSDSLFGNDLIQKNLSELYIFTQEEQIIFESNMPHRSCNVETLYICGHYFTDVFWKGVESQDLFKNLKRLDLISCISLTNVSWVQRFPYLEDLIVYNCEALQQIIGSTSNNDNLTIADEKERKPLSHLCLKRFTLMFLKRLTTICNSSFHFPSLECLQILDCPQLTTLPFTTVPCKLKIIHCDDKWLEHFQCDDNIKHSLQPLFKVISMDNDYALQKFLDSLYAEWIQHRFEDDELEEVKDETDQGVDN >OB12G20470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10331263:10332569:1 gene:OB12G20470 transcript:OB12G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQHAYVNGPGGEEGHLRLDPPAHPPDLLHRSSPWPPQPDILRNRLPAFLAYIHDHRFYPHRGKESKNGDWGREGCGDGQCMPTAGLARKSSARRSRHSRDTGERDLNISPSAVGMTARRTGCAEDGGGGPVRLRGLLAFVRPHLLPSSFLRSPPPPLPHDHRVVHLPAPSASFCVADLLDGRGLYNSEVSIRKELTFESPAAPLFTKSTTSARHRWQGRRALRVFGNRDMLITFDLDEPEGREKELDLDDNEVKEGTADF >OB12G20480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10339658:10342041:1 gene:OB12G20480 transcript:OB12G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRLESEKHIDFSLTSPFGGGPPGRVKRKNMKKASGGGDGGGDDDEE >OB12G20490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10348485:10350452:1 gene:OB12G20490 transcript:OB12G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIARDQHGCRFLQQRLDDGKREVDFIFSGVARHAVELMVNPFGNYLMQKLLAVCDDEQRMAIVINLTKDPLVLVRISLNVHGTRAVQKLIESLGTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNKPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIAANGFELAQDAYGNYVVQYVIDLKVPTANAALTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAAPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >OB12G20500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10360212:10361626:1 gene:OB12G20500 transcript:OB12G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASEARSRSKDSAVLSAPSLARQDATGLGEVGPQHSKRRPNSTGDMGRGYGRSVCPVLRGGILEPAPSAFFSFNSDLRNKRPEEYDIEAPIGNEKEYIEMDLLLGVADLHSEKAVEVAEATMNGFPPAGRSFTCSSSDSEDDSDDSDEDGGDEQNMLPKMKMKQRLKHQKERSPINDSKIIVLN >OB12G20510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10393966:10395102:1 gene:OB12G20510 transcript:OB12G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRGRPARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTVFWGRNKAEGSLREACDAGTYTLVVISFLDVFGGHGTPGLDLSGHPVAGVGDDIKHCQSKSIMVFLSIGGFGSQYSLPSAKAATDLADYLWYAYLAGSGNGTGVRRPFGDAYVDGVDLFIDQGPPDFYDVLAARLWSYNKQFRARTPVQLSATPRCRYPDRRVERALATGVVTRINVRLYGDGYCAAYWQQEWEKWTAAYPDSGIYVGLPASEQTVGYVHPKNLYYGVVPVVQKAANYGGVMIWDRYADKRSNYSGYAIQWA >OB12G20520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10415078:10420130:-1 gene:OB12G20520 transcript:OB12G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMPMPAQAPAAPSMPPATGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQTTISRPQMVPPGGNYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLPFAGQMFMRSGVVNGMPLQAEMHQAAGALGNQNAGATSEPSGTESHRSTGAENDAGSD >OB12G20530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10423790:10427990:1 gene:OB12G20530 transcript:OB12G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGAGAAAAAGEEEAGGEHGPFPIEQLQASGIAALDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVISSPCLAEAEARFQISPEGVTDVKD >OB12G20540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10426009:10429890:-1 gene:OB12G20540 transcript:OB12G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHAAAAQNPNPTPNASAGPPPISAYYQTRAEHHAVVSSDWLAHAAAAAASLPEADAAAAADDSAASLLSPGSNGGGGIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDACTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAQSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >OB12G20550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10428810:10429725:1 gene:OB12G20550 transcript:OB12G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTKKWNRKGDLELVGCLLELDLELHHGGGPAGADYGGERHDGCHGLRGLGLAPPEVELLDPPAAVAPGGEERRGGVVGGGGGVG >OB12G20560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10432624:10433156:1 gene:OB12G20560 transcript:OB12G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAADQAGYGRRHQAVQSAAARERRRRITGKTAELSRLIPGASRLNSTAEMLQAAARYVKLLQAQVGVLALMRSAGEAKKEVPSMAEERMHALLASGGAQERLAGEGMCLVPTKLVRAIAGDKAIKSSLAVKRDLNRFMESLEH >OB12G20570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10437770:10441061:1 gene:OB12G20570 transcript:OB12G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFTLFNVDIILCWMQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERAPCHVPLKILSIEDGRPLKSFSHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAAVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGSAERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >OB12G20580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10441841:10444119:-1 gene:OB12G20580 transcript:OB12G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homologue of bacterial MinE 1 [Source:Projected from Arabidopsis thaliana (AT1G69390) TAIR;Acc:AT1G69390] MAMATATAISGSFGGEPGAVLAPSASVLPAPRRLNSLASSKAQFSSFTRRRSFNLMLTPKLLCIEHQSLSKSSTQTFALSRNDFSPITQDVEGFLHNIVNMGFLDRLKLAWKIIFPAPSIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >OB12G20590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10446772:10449784:-1 gene:OB12G20590 transcript:OB12G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAITDADHYGRLGITRLASTDEVKAAYEKKCEELNSKGLEEEEIIKEHDLIKESFTILATEEERRLYDWSLSRSGQPERYVWPFEVDPLELAPEPPKEPEDEFPTKLVGYFFLAWFMLSVALSVTLNR >OB12G20600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10453082:10455283:1 gene:OB12G20600 transcript:OB12G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase 2 [Source:UniProtKB/TrEMBL;Acc:J3NDK2] MIMLALSGSARSNGALNAGYFCFQALLHAYDAAVQKKRPILAVQVLITGFLRSVFIFLPFFAFQAYGYLNICLYGNMEEMRPWCKAKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYCIIHYTKLLHRLFKSISIHELIVATVEGRSSEAYKSSDVDTVLNSGFSTTTNKAQGHADVKRRKSVATDPGSMHNNRSNDKILEVNEGCPILVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPKSKRWGYLICIYFIAYILLGSLLFTNFYPFT >OB12G20610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10455478:10456647:-1 gene:OB12G20610 transcript:OB12G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPEFSYCVARTTFRAHALGVAAAWVVQSIVEIYRCFIRKPSNEQELLDEMDKVKMFGKKIYGITVKCGFSLVFASIGAGVGVLVHPVHGQWLGCTLGDFAGPIVAILVFEKFQLPL >OB12G20620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10471270:10471893:1 gene:OB12G20620 transcript:OB12G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSQMAIQLTLLLISCSLYRMYSSSSSSSSASSSFFSTSSSSSLALLVLVISTCLSLLFSNLRQLIRARSHKAKSHPSMEDAVHQEENTVPQDELAGDAPEDLTGSLSESPVSEECTEDGSLSDEEDGDDDESLIEISLVDGHSVGQRKQCAWKEQDLLAEFLPDLLLEKRDFMDILSEISEEDNLIEIDIARGSIKCSNFGIKA >OB12G20630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10471653:10471883:-1 gene:OB12G20630 transcript:OB12G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFEHLMDPLAMSISIRLSSSLISDKMSMKSLFSSSKSGKNSARRSCSFHAHCFLCPTECPSTREISMRLSSSSPS >OB12G20640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10478167:10478829:-1 gene:OB12G20640 transcript:OB12G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTQLRTLSITRVSTSHVRDLWTSIKKMIKLTRLAVSTKRHVRSPQLGTPTYLQKFYLSSDKSVKHHDWRPSFAIFSRWSGLTQDPLGSLLQMPSLVYLDLCEPYDGEALVFRFGWFPKLRELHLIRLQRLNSIEISDGAMMNLSELEFRRAVPEGLGFLRMLKYLRADKMPGGFTRAR >OB12G20650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10488078:10493622:1 gene:OB12G20650 transcript:OB12G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCDIAITFSDARSRKQIAVKNDSGRTVMVPIFQSLETISGEVSVAPVPGKRIEHLGVKIELLGQIELYLDRGKFYDFTSLVRELDIPGEIYERKTYPYEFSTVEMPYESYNGTNVRLRYVLKVTITRNYMGSIVESRDFCVRNYSPAPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGSNAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLETPQAS >OB12G20660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10497952:10498146:1 gene:OB12G20660 transcript:OB12G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHIAGAGHYSTPPCGFCGRATVAIDFAAVPAGFCTCNACLHDLAGVPGYRCPVCNFTLHREGC >OB12G20670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10502224:10507078:-1 gene:OB12G20670 transcript:OB12G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLPAFCLHRIRSSDGGGGAPPICARQGQGGGGDGDGKVKEGKEEEEERAVGRKVMVVADGRGGRCAGRTALRWAVSRSVRPCDTVVLLDIVRGAGNGKNRDDPRRHCQHLETMRSICQAKRPEVRLLSSCACGAFGGGKERGPAIVEAAKKQGVSLLVMGKKKKRSLSWRLLMMWMAGAGKGGGVGDKGGTVEYCVQNAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >OB12G20680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10513885:10519984:-1 gene:OB12G20680 transcript:OB12G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLRRIENEVSRQVTFSKRRSGLLKKAREIAVLCDVDVALIVFSGKGKLYDFASPHTSMERILEKYARHVLFEGNNMAQERPEVEGSMSYDHIMLRARIEALKKSQRNLLGQELDSLTLREIQQLEDQIDTSLRIIRSRKNHLLLKSIAELQHKEKMLMEKNTILEKASSPTEVLLGNTAPTEVVGVPISNAADAFVPNLNICCDDSDEPGTVAVPLGWSKSNSGLPWWMLQSP >OB12G20690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10526770:10528367:-1 gene:OB12G20690 transcript:OB12G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGDEALKSAERPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRSEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGNKDGK >OB12G20700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10531490:10535729:-1 gene:OB12G20700 transcript:OB12G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLTCQQRRIFLEARGPHVSEGEGSFVISHGREGPHRKKKERFGCRRRGGEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGNLTELGANVEALQKLMAKKAVFVDEDIFSKASLAADQARTIKILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTAKVFKLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSD >OB12G20710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10535829:10539462:1 gene:OB12G20710 transcript:OB12G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKIGNLLKKATSSSPSLYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEARIITDRETGRSRGFGFITYTSSEEASAAITALDGKDLDGRNIRVNTATERTSGFRGGYGGGGGGGGGGGGGGGGGWGGGGGGGLGGRNIRVNPATGRTSGFRGGYGGGGGGYGGGGYGGSGGGYGGGGYGGGYSGGGGGGGYQGGGYGGNSGGGYGNRGGGGGGYGVAEGAAGSDAFSGMNLGGDGGFGGNPAGAGGSTPGGFSGAAGGSFESSKNDELMDDLFKDDEPDNYANKQG >OB12G20720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10544934:10548498:-1 gene:OB12G20720 transcript:OB12G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKENPVLTACQAPSGRITRAQAAANRGRFGLVPSVPLPARTQRKQTAKGKTKRGALDENTAAGTAASAPQPKRRTVLKDVTNIGCANSSKNCTTGTKLQPKSKPTQRVKQALSKKQCSKKVPKLPLPAAGGNSVVNDSRNSEETQKVDLLAQLDEHTDLLGNEGALSLQNIERNRDSACHEAFFEARNGTDKPEFADSKAGDSCGLDFIDIDNDNGNPQMCASYVAEIYTNMMASELIRRPRSNYMEALQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTINLIDRFLSQHYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKAEVLKMECLVLNDLGFHLSVPTTKTFLRRFLRAAQASRKVPSITLGCLANYLAELTLIDYSFLKFLPSVVAASAVFLAKWTLDQSDLPWNRTLEHYTSYKSSDIQICVCALRELQHNTSNCPLNAIRDKYRQQKFECVANLTSPELTQSLFS >OB12G20730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10555991:10559818:1 gene:OB12G20730 transcript:OB12G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G08790) TAIR;Acc:AT4G08790] MALASLAAASLPTAAAAVRSRVSLLRRAMAASPSSSSCAGLAGSPARVGVVQMTSVGDLDANYATCSRLTKEAASAGVKFLCFPEVFSFIGSKDGESIKIAEPLDGPIMQRYRSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEAHWEILLCARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADIDLSKVEAVRTKMPISEHRKFDSDWKTS >OB12G20740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10562954:10563133:-1 gene:OB12G20740 transcript:OB12G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPRQTYGNAPLAKKNRHDVTLFYHIGKDYPYPYPMYLTLLGDFVLESINFYFIPLK >OB12G20750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10563745:10567225:1 gene:OB12G20750 transcript:OB12G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74250) TAIR;Acc:AT1G74250] MASAAAPKRCYYEVLGLPLDCSPTDIKLAFRRLALALHPDKQPPGSDTAAATAALPELQHAHSVLSDPQERAYYDSHRSQILFTDTSSGGARGASASPVPDLFSFFSASVFSGFSDSGRGFYKVYGDVFDRVLAQELAYARRMGMPADSVATPPVIGNLDSPYAQATAFYNYWLGFGTVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRMVDMALKRKAEEEKKKAEEMARKKEEERRKKERVMAYQEPEWARVEEDEAGFEEDEEEEMKAKRKDELYCVACNKKFKSDKQWKNHEQSKKHRDKVAELRMVFEEEEEALKEAEEELEEVDVGFDFKPAQESEESDFSDAAEELAEELSEGLEVRDEESDDVNMDNAEQKVGSYDETSVLEEMLSRRKNQNSGFVPLQEEASPRGAMGDGDEADTSYEINNVKKKGRRRRAAKKGQGEGTCADNGQGMKSVIQPEESRHDNDENGVDDKMEGPSSNEENASASKEDQLKGKTGNTKKNKKNKKGTEKSTAIPAEQKDTLKGKKQREVSKAPSNDCETCGRTFDSRNKLFSHLEETGHAMLKTRQKNR >OB12G20760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10567581:10571077:-1 gene:OB12G20760 transcript:OB12G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLGCATSLVSCQKVFEGPLLNSLFSIRQGLKMYVIEDKGGAIALMLASLIFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGESKPSMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVGCFLVAVILGSAVHASNAADNEEKLNGSTNIYKLGENGSVDSSKEKDAAKDLENGASAAKYVDKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIVAVAVLMASSGHRSTK >OB12G20770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10581318:10587591:-1 gene:OB12G20770 transcript:OB12G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVRDIGGAIALMAVSLVLLGTWPVVLAVLERRGRLPQHTYLDYCITNFLAALLVALTFGQIGGDTPETPNFLTQLTQMQDNWPSILFAMSGGVFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHTNTNGDTKNEELTKHLLDKDEPKDSEEIKSDVSLAAQAVEKLEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVAFAGVSYSLFSPAFNLATNDQWHTLREGAPHMVVYTAFFHFSAACFAVGVALNVWFLYRPMVGVPRSSLAAYLRDWKGRDLALLAGMVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVLAMVILMASSAHRKPL >OB12G20780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10596995:10597797:-1 gene:OB12G20780 transcript:OB12G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding CEQVLRPLSIGVSANRQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRKTYLLLGCMLAMFVAAVALLMASAAHRKTG >OB12G20790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10597967:10599957:-1 gene:OB12G20790 transcript:OB12G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGEGRGGAVAMMAVSLVFLGTWPALLTFLERRGRLPQHTYLDYSLANLLAAAVVSMAFGQAGGSSPSFFTQLTQASAFAALFQDNWPSILFAMAGGVALSIGNLISQYAWAFVGLSLTNIICSSLAVVLGTTINYFLDGRINRADILFPGVACFLVAVFLGSAVHTSNAKDEEEKLSVKSEEIELSCDVSDKVMLLPDQEALNDCDGEDCDTGTAEFIIQVEKRRSIKILRNFCIAEAAGARLQQVTRTGPGGPRRSLLLPLLAGDQPGRQRPVAHAGRRRPAPDGLHRLLPLLRLLLRRRRQHQRLAPPPSTAAAYAGDWDGRQWALLAGLLCGFGNGLQFMGGQC >OB12G20800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10621841:10622619:1 gene:OB12G20800 transcript:OB12G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASPVPRLDASAVDPATVAYLRDLVGALEGKCFHLACDGQFAGDVTDDLFRLRPEPNLLHGVPDVVASAVKALEEILRKGCAALAAYGRHMVRLKREHKEEALGDAMAELLSVDDVIVDNYDAFDATRAHLLATMRAKQHLINQIAAVITSPAGGGGGGDCLTAALAALTSLLPRLSQAHQREAELQIGMNRMILSFLRMFWHLQIAKARVEAIEAALAAAASLSGDWSYDVQLVRDATARFEESAQILRQYMA >OB12G20810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10629967:10630245:-1 gene:OB12G20810 transcript:OB12G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding XAEAATGAVVSLLERLNRAEEEEAALAAAAESLRASLPWLVEQLEMAKDDAKEEMAQLETMPPQLAGDGDDVLVLFKAERRFAKSLRVLRRFM >OB12G20820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10636126:10636551:-1 gene:OB12G20820 transcript:OB12G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLESLDEVVVDQYDVFCATRALVDEVGLPAALISLLLHLGQTHEMEAELEMSLWRTIHNFDILAWHTDIAKARIEAVEAAHDAFPVLLGYWLDVQFICEAVVRLEDCKGILCGSMV >OB12G20830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10637627:10638379:-1 gene:OB12G20830 transcript:OB12G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASPVPRLDASVVDPATVAYLRDLVGALQGKCFHLACENQLTGAATDDLFRLRPEPTLLHGVPAVVASAIKTLEGLLRKCASQALIAYARHEVRLKRSLHEEKLAAAMADLESLDEVVVDQYDVFRATRAQVQAARHAKQRILHQIAAVVDSPDGSVEALASLLPRLGRAHETEAELEMSLWRTIHNFDILAWHTEIAKARVEAAEAALQVYPVLPDYWPDADAQLVRDAAARFEESEGVLRRFMAP >OB12G20840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10644251:10647179:1 gene:OB12G20840 transcript:OB12G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:Projected from Arabidopsis thaliana (AT3G12180) TAIR;Acc:AT3G12180] MSVELILWLFSFASIMVLIGLTAYQASSPSRFHFCVAGHFLGVVAYLVKRLTGQLICLSDLEFDYINPYDSSSRINSVVLIEYLLQGALCASFLLTLHWFPFLVMAPVAYYHGKLYMDRKHLVDVTEIFRQLNWEKKYRMIKLAFYFSLFIITIYRSEKLVLIQECSPCQYHAKLLLHKLTIYIFVPSDRLVMTAVMLFIDEDANLVDTRTI >OB12G20850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10648295:10649936:-1 gene:OB12G20850 transcript:OB12G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMAGEDIFARTPCLLDLPTYLRLCRVLAARRVGDLTKPEKAGSKKPVASSTVVVDVDTGKKDAGAVVWKDIVAYDSSPQPPENTTIAGGGTKKKMKDVSVAKGKNPIKPSPHGKAKIVAEQIDDDIAATKKKKKKLIKSVGSKLTGKASSPELKSGDAAASPSDQNKQKVIKSRTTTTTTKSVKPPKPKQNLVETGQEIVHENSSSSSSSSSSAAIDTKEEKPHHPLGQEHSSGRAPTATAAAAHRRAKSMSIAGSSKSVRFPFTRQGSRSSTTTTTSSFKVTRSKSSRTAAPPEQAEPAPATRLRFFRRGDAGGGSSSGGAGIQLRIRSLRRRGSSRGGGGTAAGGFVVPAVALRHQKTLEKKKSRRLYNSVIEETAGKLVMARKSRVKALVGAFESLISKIGK >OB12G20860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10649136:10649873:1 gene:OB12G20860 transcript:OB12G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSICSATILALPCGDGLIGFFPLATLTSFIFFLVPPPAMVVFSGGCGDESYATMSFHTTAPASFFPVSTSTTTVEEATGFLLPAFSGLVKSPTRLRDDAXXXXXXXXXXXXXXXXXXXXXXXXXXASGASAAQPGEAPSPRRCARRRTCRRGGTTQPTAGGSQARRRPQLSPRRSHAGHGGRRCSRPRSPPSEQNENHKAEQEQDQRQRPGCKNSPPPQPPQTPRAMDSPPCCKNAAEAEIGR >OB12G20870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10654191:10656250:1 gene:OB12G20870 transcript:OB12G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagocytosis-associated family protein [Source:Projected from Arabidopsis thaliana (AT3G07525) TAIR;Acc:AT3G07525] MCHHDRYIVAKINFDPLSYSNYFCKYAKFREILNPELGTGIWLSGFVMGGSSVRDGTLSLGDFVASTKALVEKWEKIDVGSSLPDWQWKPRCKMDAQSEEEGYLALEGVYRNHGGRQEQIEDGNDFNDADNVTDDTWVQSSSDNVHVYDYHVVYSFSYKVPVLYFQGHHAGGQLLTLDEIKEDLPSHSLKILGESKWTFITREEHPHSSRPWFTLHPCGTSDCMKLLLEGIAEKDQQLQYLPAWLSVVGQAVGLNIPLELYGKNEIIP >OB12G20880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10663632:10667098:1 gene:OB12G20880 transcript:OB12G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 2 [Source:Projected from Arabidopsis thaliana (AT2G31955) TAIR;Acc:AT2G31955] MMPLRCLSELARRRRPHRTFDAMRVGLQYFMTTCSSTKAADSNRLSNTYATSCANVPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPNSELLSRDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVMESIDVAIELGFRSVKVNCVVMRGMNDDEICDFVEMTRYKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFEGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRTGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >OB12G20890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10670601:10673803:1 gene:OB12G20890 transcript:OB12G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:J3NDN1] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDSLLSQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >OB12G20900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10681398:10685872:1 gene:OB12G20900 transcript:OB12G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPAMGGFGMSHREALAQVTAQATHSQLRMFNHTEQPSFSAAPTSSEAMQHMNSAVNMAGVSDMVTGAANNENAAFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAADQNEQSNDTTSGLSGIKRDQDAMYGMSEQLSSLSDGDDMDDGESRPHEADDKDSDSKKRNIQISSQRTSGEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSSQQNMQNLSSNQASLARGNFNNINQRPIGVLQFKSEE >OB12G20910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10689093:10689392:-1 gene:OB12G20910 transcript:OB12G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAVLLVRGDAATAVAVALPATLATAAVEGLFQLRIARPYTLNGGRLTSSMVCEGFLIAYIHSMICVLDTVITCMVYQTCKASHSCDLLELEEKGELEA >OB12G20920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10695827:10696024:-1 gene:OB12G20920 transcript:OB12G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLQIILFLLDLGAKTARPPHLIYYCLKANMLISVYVRSDTLFLCCKMCLKVHNSLLSIESLT >OB12G20930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10699370:10702806:-1 gene:OB12G20930 transcript:OB12G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHLVYYYYYSSWLTLSPNPLENPAAAAAAAAPAMSATATAIRSGELLACSVALRRAAPVAAVSFRTGRAARKAGRLAMRVVAAASAEGEAVEGGEAKGKGKGKKRAASGIMKPKPISPELQEFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGLLNPHFQK >OB12G20940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10703090:10705088:1 gene:OB12G20940 transcript:OB12G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFGGAGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASNLVCPDRVKKSRPYG >OB12G20950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10704537:10707503:-1 gene:OB12G20950 transcript:OB12G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAIAKSAGLLREIKNKQSSNLMSRVQPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDTETASSPTSNDATAFDHPFV >OB12G20960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10709138:10712289:-1 gene:OB12G20960 transcript:OB12G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTQMLLFIISALFSGAHIDTCSNAHGEEIDHISLLNFKKSISTDPHGTLASWNDSSHFCEWRGVSCRNSKHPRRATILNVSGQGLAGMISPSLGNMTFLTVLNLSYNSFAGEIPPLGYLRRLKILTFESNSLQGRVPADLANCTNLRELYLLMNHLVGEIPTEVASLSKLGILDLSRNNLSGVIPPSLGNISSLSELITTENQLEGRIPNELGQLSRLTVLAIGSNKLSGGIPQSIFNLSSLKAMSLERNQLRMPYLPSDLGTTLHNLQLIYLDYNQFAGPIPPSLSNASHLAEIDLSFNSFTGHVPETLGSLGKLMWLSLEFNYLVADDKRSWMFMDALTNCSSLNVLALYQNQLSGQLPSSVGNLSSQLQYLLLGHNKISGSVPSSIGNLQGITNLGLDSNNFYGSITKWVGNFKIMEKLFLSGNSFVGPIPSSLGNLSRLFSLNLEANKFDGSIPAAIGQLQHLQLLDISHNQLNGSIPVDLFNLPAAITLDLSHNILNGILPREIGNAKQLSGIDISSNKISGEIPETLGDCESFETIIMGNNFLAGKIPVSLANLKNLQLLDLSHNNLSETVPGFLGSLKMLHTLDLSYNYLQGEVPKNGIFTNATALILTGNQNLCGGITELHLSPCPVEPSRERRLPHSRKIVILVACPMLILALIIIVLFLCRKKLEQNSLMMPSVLDMHLPQVSYMDLAKSTNNFSPSNLIGKGAHGSVYRGFISHLKTDVAVKVFNLEMHRAQRSFLAECQTLKGIKHRNLVGVLTACSSIDPRGDEFKAIVYEFMPNGNLDEHIHSQQSNEHGVGHIILAQRLNIAIDMANALDYLHHSTKPLVVHCDLKPSNILLDDDMGAHIGDFGLAKLRNDCASVSAGCSTSSVGFRGTIGYAAPEYATGGHISTAVDVYSFGVLLLEMLTGKRPTDAIFMDDLSLISFVQTNFPDKITTIIDEYLQEDGDTLNKEAQSACDGRVHECIQSMLEIGLACTQQLPKERPNMQEVARKLLATKVAYHKSSEC >OB12G20970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10717864:10720024:1 gene:OB12G20970 transcript:OB12G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGSEDSLDLVRTMRMASYGLLISGPSLHLWFNFISKLLPKQDVVNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETMPEIIARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKADVNVATSS >OB12G20980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10720602:10721191:-1 gene:OB12G20980 transcript:OB12G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRIQKQLMLEMQSISTQAQAKADNRTKPRLEMDHQQWYRNSGEKKAPFVEDFDLELTLATGAGRKQEKPSNSDSGATVSSSTSAESEPEQRFPESNVTIRFQNESKRHDDQLMQSPWLYQCLSLKMA >OB12G20990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10719722:10726633:-1 gene:OB12G20990 transcript:OB12G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTICSVSTTNERLELWKLSKVGPAEFFHLRLGVWCKLSILKRIYLKRLVLFTIPFRMNSLLAIAGLTNLKKEEGFKSDEILTVRSTVIGRLFVILVSLFPCEVKGDVLTFRDSILSNGEKRFGTLRYFFVGSEQITYRFQPFDDRKIFSDVASVEPEALCLGLAVATAAGDLAVRGRRRGRRGGGEVALAFFLQRNSEVAILGRDCPCQ >OB12G21000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10732757:10732966:1 gene:OB12G21000 transcript:OB12G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQKAMRQLSCQYLSSQKHNTLTISLFCRCIEFSSLPATKCQVGLKVTACFTCSVIEKCAFPLISAPDR >OB12G21010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10733311:10736092:-1 gene:OB12G21010 transcript:OB12G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHAAAGDGSGEPPSTSGPGKEREGGGDEAGREEEGFDLAGASAGWVEARTSCPHLPTMPADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLYHYQDTGHCLALSFSDLSVWCFACDSYLDVQSILELRPVYEVVHLLKFGQRPPFRSLDVLDLSSGQNGSTSSLS >OB12G21020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10736196:10738311:1 gene:OB12G21020 transcript:OB12G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKVSPMIFTVVLNIDLHCFCLLHLW >OB12G21030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10739506:10739727:1 gene:OB12G21030 transcript:OB12G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTLLARKGGCGALSPSLAEALFASSLATSCSQNTTTVSHRGRTLLTTISIPSLSGYMIDHHIVFPFLFKL >OB12G21040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10740703:10742827:-1 gene:OB12G21040 transcript:OB12G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYCSSSRQVAGHGAAVAGDDGFKSCRQKQQQQQQPPETGKRAAPPRRKAMKHAYDASGQQDLVLVVSLDSITKIG >OB12G21050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10746171:10749180:-1 gene:OB12G21050 transcript:OB12G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDIWQAHAGSSQSEGSELDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPTIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDITSVVTTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDDEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALKEQQIILAAAAAAANSTAATESSPVAGPQENEKVDKIYEPLGINIQQLPRDSTATSTDQPFGPSEFHVDTVEGGVLNNVEEHQFLIGMSPAREEVGQDANGELNSSLVELENSPEDICFSLHLGEREPKRLRSDSSSLDIDLQK >OB12G21060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10755209:10757817:-1 gene:OB12G21060 transcript:OB12G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDLLIAQLGAQELSMVVGQEEFDKSAAGRAAKAQMKAMKEAKASSNQGEPVLKVSLSSVTRLKMLTVNESLLVLGFWFWEDAAQSFSDV >OB12G21070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10764377:10765102:1 gene:OB12G21070 transcript:OB12G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPKRKLLMSNILYDFFRSEYYCFKQPACGGCTLLCRWRAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPPASTPSLRRRRRHLHPSRTAEEAASPDVLRNAVCCRSATLSEAPTRRESSSGRAAYAAATFIPRWAR >OB12G21080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10770423:10772637:1 gene:OB12G21080 transcript:OB12G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G10200) TAIR;Acc:AT1G10200] MATSFQGTTTKCTACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAMKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQSGSVEDEDSEY >OB12G21090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10775035:10777461:-1 gene:OB12G21090 transcript:OB12G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVPVCVNFVGNNHIVVEASASSGDDEDEAIEGGSPPEVMYMHFANRRSPGAGRKRRSKQGRRRGRVMEAEHFVRIADAEPTEARPLQGLWKGISGSQTLEFYLVTYDDIGGITCRQVADTRGQNSGYTPIFWTTNTTFLEEPFSEKELNHYTGREHIQVLGPGHAGTENRIVSRILCINSSYDVVDPHLSAHLDDRRNVEGRIWLYEDGTFGFGFNGSNSIIDLKHVSSDGCILDDLH >OB12G21100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10784828:10787409:1 gene:OB12G21100 transcript:OB12G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMASPTTSSSRGTCKCGGADDVKEKKTATTTKKKKCELVGYEELPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWTHLLGFLLFLCLTIFTAMVIPNGGSSSRTSSRSRSNATAMDYYHYWGDLMVMANMTGLLRDHEALAACLLLHPAHLSQHEQISTSCPTNTSSSFSLSHHHHHQQQQQHAMQDAGEVAAAAAVSEPITRWPVFAYLGGAMACLLASTACHLLLCHSERANYVTLRLDYAGIAALIVASFLPIVHYSFLCDAWLRRLYTGAAAELIRQKKKNTSEQ >OB12G21110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10789918:10793034:1 gene:OB12G21110 transcript:OB12G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSSSPAIAAASAAVVALAVANRVLYKLALVPLKQYPFFLAQLTTFGYVAVYFSVLYARYRAGVVTRDMMALPKRRFAAIGLLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIIGCFLVASGVILAVASGANEGQFLSEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGRRPDIFVVNSFGSGFQALFVFLLLPLLSNLRGIKFAELPAYLNGGAECFLNVDDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGSELSSSFILGAVVLLMGLIIYNLPQSSKKQSKTE >OB12G21120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10796220:10798156:-1 gene:OB12G21120 transcript:OB12G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTLARLVCQDPRIVSTAFDFVVWVQVPPDFAVDAIEKAMLEAVTSFSPQYYNSKLLQHALVGKRILLVLDGTWEDSSVEKWRALMATLRNCSRGSRILLTTRMRSVVDMVEAAIGSPAECLELGELGENDSLLLFRSRLPSQVYSEDYAHLRLIVEQIADRAGGCPLLTEKVASWLGSHLETHHWNAVLKEGWQKLGLEDIFASLRLSHDHLPSELQNCFRYCSIFPKGYRFNKVELANMWIASGMVSFSSSEQDGTGLQNKKDANLFTIPDLGHLRTLIIQGESCLDEESERVLGKILHSSKRLRMLYLDVPSLSHALDRISDLTQLRYLFLYSCDKSHIQRVFKLYRLQVFKLNYFTGKDADLSGIKNLCSLRCLHVPDNMLSKILQIGISTTLQELHGFEVVKNDDYKLSILTNLRRLSLRNLQNVRDREEAMEVKLKDKPHMRFLSLSWNKHSNDPDNLDHQVIDCLEPNKGIQRLHIYGYNGVQLPIWIEDSLPIHLVSLELEYCMKWRTLPSFKELSSLKYLKLEHLFQLGTVLEEQHGSKESDNAFLPPFLNTLISGGVLN >OB12G21130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10798639:10799283:-1 gene:OB12G21130 transcript:OB12G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAVGVEVELGAIEEELGLYSRESVETLAISLLDRAMSYLYEIDSKLKWPHTRVFFRNAREICDGLRTDLTNWPRPTPCGCGYEEILRLRAGVEQFEDLIEEIVPEKRGRNRNPTFSFKILKAKTANRSGNPGSRCARLGVQMLESGLLQLRGYFPVVGQAVLDDVARRPSRTAPDRDQDVIGREREKEQIVQWLIKRPSSESSEIVDAGHC >OB12G21140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10806408:10816842:-1 gene:OB12G21140 transcript:OB12G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHRAFRYFEGPFYNQDRRQRLLQSLSAVRAACGGSPRNRLAGLDASRFMAVVAELEDGIDDVECTRLREVGSLFDDVSHPWSVNIFAPVPELEDVVLRLERIAHMINLQTRVSTSEFVGDLPGRRNERRNPAYTVLLFGRDVEKEQIVQWLIEEHQPASRNHPAVSVFAIVGMAGMGKTQLAHHAYTDSKRFKLYKLLADLLNAGTRLVLIISETRRNFCLFWMMSGKIIIWMSGNHLSDSLKDCEVGSRILLTTQMQSVADMAADGIGVEAECLKLSELDEANNFNLFESHLPSNIRADNDNYADLSLVGEQIANQIGGCPLLTIRVALQLSLNMNSQYWNTVLQEGWQYVSGFDFLLASYNHLPPELQNCFRYCSIFPKGHRFDKLELVNMWIGSGLIPPDPVEVRFFDVGEQLIPSRVMEKTQKRGADGPKQLLPPWAETIVTSKSIRKNECSFGGTKFKTDLTFQESADSNHTKYCKESMGITTKNKQHLKFLSLSWNKYFDPENLGHQTINSLEPNKEIQQLHIHGYSGVRLPIWIENSSVIHLVSLELECCMKWKTMPSFQKLNSLKYLKLEHLLQLEFIGPVTEEQFESNELENVLPPSLNTLIIRWCPSLKNLPAIPFTLQQLIVKHVGFFVLPMIHQSYTGTHGSSSSSSSIKSSLALLHIESCENLTSLGVGLLEQQQYLLQSLETLLVRHCGNLSHLPAKGLTELHHLIFLEIVACPMLRNINTKGSLWPMSLKKFDINPCGHIDDSLLMSLQNLTSLRRFTLFSCSNIRELPSEEVFRTLKNINDVSIVRCKNLLSLGGLGAALSLRALSILCCDKIHHSYSPKAGCSFKLHKLKVDREAMLLVEPIKSLRFTMELHIGGDYAMESLPEEWLLQNASSLRLIKIGVAKNLQTLPAQMERLESLQNLHIERAPAIKSLPQLPLSLNNLIIGGCDPRFLKLYEMDVGSELDKIKNIAHFDIKAYSEAQLACRARLKRRVETTSVKGVEPPTGRAATTQLVAAGRLLRSWPILMIPPVAQGRARAGQNARLPPPSQRPSPATYGMGADKARKYDVMDNGVSELAPNASINLKRRLAPPSPLPHQISWINMAEAAAFLATKAVAQPAITYLVNKALNRISADGDLRKRLQQKMPTVEAILHAVNQQHTLSNPGLSAVVRQLRDAIEEAEDALDSLEFLQLKSGTDARNKVGVSSVVSSISKKFSGSGSSRATESLKNALKKLDAVLDNAGQSLSVTHATSCSHGQENVQDSASRWETTRELTTTVFGRLKEKDAIIEWLGVQAPNSRDHKLSVCAIVGAGGMGKTTLAQFVCQDKKVRDHFGNKIIWVHISKIFDPKVLVRKILESFNRYKASADALDTLQNLSKQFMTKRFLMVLDDAWEDAENERWEQFLGPIRNNAPVGGRILLTTRMKSVANAVKRQMSLDTYKCLELGGLDEENTLKLFNYHVFGDFTPSDSVELRLIGEQIAEKLNGCPLVAKVIGQQLQGNTDHARWNKILNHDLYQIDEIDTKIMEVLRQSYQNLAYDVQVCFQYCSIFPPHHKFKMEEVVEMWVSSGLILQREDGIKNQEDFGREYFNILSQKSFFSLVPRELTVDPSEDYYVLHDGMYELACSVSIGNCSRLKATDRNADIPQTIRHLYVEGINAQIINIISKSKYLRTLVIANEENSIQLELANDLKKSIKGRTSLRLLKLYGNGWFCMNDAIARLEHLRYIYLSSTEEYNLSKIFNLSHLEVLQILKIEKENKSNSISINLPHLQKLHLPKSTLSRIPYIGRLTTLGEVNGFSVKTKDGHKITELKDLRKLQKISVLDVQNVIDHSEASAAELDKKLDLKLLSLEWSTDQASFDDMVLNKLVPDSNLKHLVISGYNGTKPPLWMESKYLSNLVYLKLDGCVEWDKLPPIGYLWTLKHLFLMHLPKLKYIASSSYSTATYGYRSTIPDGLPPHLITFVVNDCPCLSEIPGLPLSLQHLDIGRVGMSSLPTMCDHRGLRHASMMESQLSILNIEACKFLVSLNGCFLQEEHCKALTVLSLVRCHMLISLPDAQVCLRMPKLESVKIIECSELSSLGGLEALSYLKLLRIEYCANLLGASSSRLPPASEESSYLKLETLEIDDHLLLAISPLRNLCLTKRLIMLGRSKMVELPAEWLLQNRSHLEHVEIRNGELLESLPNMNEMHTLRSLILHNTPLLQSLPFMPPNLWVLVINGCCNALHENYKASGSEWTKICRIRYPLIDPKRPDRYAIFPCQLVSLGSLSF >OB12G21150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10819693:10820429:1 gene:OB12G21150 transcript:OB12G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDKSPVGTPQGGGSGSGRGSILCHLCAWAAVIGEGSSVGEKDNEALVTISQAMPDAVMMAIADKDTWNLHRSSPHLWGRCILSVASVVPDKFLQIVGIIEQWGDVSKISVTEAIGRLRAFEESLKGRRRENEDGHLLLTRAQLESLSLKEKKNHNRGGGHGKAGGYHGGGCGRDNNCDDDASDDSDDDERKLDRKKVKCYNCGIRGHIAVDCRKPRKEQALLATADDEPCLL >OB12G21160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10822374:10825130:-1 gene:OB12G21160 transcript:OB12G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTLAQFVCQDQKVHDHFGDMIIWVHVSKQFEPVDLLRRMLESINPKKPTVNTLDMLQLDLTKELVTRRFLLVLDDAWEDGADKKLGKLLAPLRNSAPMGGRILLTTRMRSVADAVELQMRPDGYKCLELRGLDHRDIMQIFNHHASHVLCPHEHVELQTIAEMIVHNLGGCPFVAEVIGQYLRDNIDHINWKDVLNQKAPLTDQIAPRVMDILRLSYEDLTSEVQLCFQYCSIFPSHYKFRMEALTEMWVSSGLILQSTEGNSDQEKIARERFNILLRKSFFSLIPRELHPDPSADYYVMHDLIYELSRLVSSEEFSRFKITECTTADVSERVRHLYIQGINSEAINVISKSKYLHTLIIVNEEWPLKPELVGEFKKAIKNIKRLRLLRFDGNGWFDMNDDIAELKHLRYICMSTTNKGLNKLFQLYHLEVLKLLNIEGEEQAIVSNICNLPNLQELYLPKLALSRVPHIGRLTNLRELNGLKVKEEEGHKISELKDLNSLRKVFVFDVQNVSNCSEASSAELSNKKDMELLSLEWSNGHINEKILDTLTPYNCIRHLSISGYKGHLPPVWIRRKFLTKLVNLKIVGCVEWDVFPSLGSLFSLKHVLLEDLSNLTCIGGPDGDGLPPFLVTLVVKGCLKLLNLPHLPYSLKHLGINKVGIYCLPPSNQKPLQNVSAVDPQLCSIHVDSCPNLFSFGSCIIEEEHYRALTRLKIVCCSMLKNLPSEEHFRRISTLESIEILQCQSLSTLGGLGALSSLKLLKIQQCTGLTATSSRIPVAPAIRCSLVLDNLEIDDHILLLQNPLSSFCLTRRLIISDGSEMLASPEEWLLQNSSQLEHIEMNNAMLLKSLPLSMDNFNSLRSLVLHNAPLLQSLPVMPPSLWALRISGCCAHLKNECKIHGSEWEKLLSIHNVRIN >OB12G21170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10844764:10851941:1 gene:OB12G21170 transcript:OB12G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:J3NDQ9] MEGMDAYRGAVMHTSSYKKGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAATSMVIRDKIHVLPREILGISTFGLSVFLLKWFPIKWVDAMLLFFSRLILGNIEKYGLRRPKIGPLQIKCSTGKTPVLDIGALRKIKNGEIKVVPAIDCFTENGVAFVDGCREDFDAVIFATGYKSNVPSWLKEEEFFSESDGFPRKAFPHSWMGSNGLYATGFTKRGLLGTSYDAAMIAADIARRWTNALAAAADHHHHHR >OB12G21180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10855891:10857011:-1 gene:OB12G21180 transcript:OB12G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSAGGMAVCLFVLGFSLRVSSSPSPGSDDAWWAAATSVTAASAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAANRVMSALVGMTFISLYEAMGMAGTFYLFAAFSAAAWVFVYACLPETKGRSLEEMEALFDATQPSSSPPAPAPGRRDPRIIEDGM >OB12G21190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10856728:10857165:1 gene:OB12G21190 transcript:OB12G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIPTNALITRFAAVPMPVPCARSRRGRISDPYIQITGPNPSENVAMNADAAVTLVAAAHHASSLPGDGDEDTRREKPSTNRHTAMPPALESSIGRRPRRSARKSGTTMATVLLTPRRMVAPSTACSDVTPTWLNTRGAYSTSAA >OB12G21200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10867191:10867875:-1 gene:OB12G21200 transcript:OB12G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDGDVESPLLAASAAAADDHDSPSSSAAGSSFALACAVVASVTSIIYGYNRGVMSGAQKFVQAELGVSDAEIEVLIGATSIYSLVGSLAAGWACDRAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLRRAHARPARRRRRLRVRPRRRPRLHRRDRAAVVPRLPRLHPRGAHARHVFVSACRSPPPN >OB12G21210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10885486:10897641:-1 gene:OB12G21210 transcript:OB12G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAVIALMIMSVFLRTRMTTDFTHANYYMGALFFSILMIMLNGTPEISMQLRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSMLDSLVRICITYYGIGYTATVSRFFCQFLMLCLVHQSVTSLYRFIASYFQTSTASFFYLFLALTLFLVFGGFTIPKPSMPGWLNWGFWISPMTYAQIGTVINEFQAPRWQKETIQNITIGNRILINHGLYYSWHFYWISVGALFGSIILFYIAFGLALDYITCKFATPSISNYILSSHAKCMLLQCIDLFAAKMAIPVMEFSITFHNLNYYIDTPPEMLKQGYPTRRLQLLNNICPGVLTALMGVSGAGKTTLLDVLAGRKIGGYIEGDIRIGGYPKVQETFVRVLGYCEQLDIHSPQLTVEESVTYSAWLRLPSHVDEKTRSEFVAEVLEIVELDQIKDVLVGTPQINGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFESFDELILMKSGGKIIYSGPIGERSGEVIEYFEENSGVSKTKNNCNPATWMMDITSTSMEAQRNIDFAILYAESSLHRDTEELVEKLSVPAPNSESLCFSHRFAQNGWIQLKACLWKQNITYWRSPEYNLRRIMMAVISALIYGALFWKHEKILNDEQDLFNVFGAMYLGSTTVGAYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEVPYVFIQVVLYTLIVYPSTGYYWTAHKFLCFFCTTFCSSLSYVYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPRIPKWWVWLYYLTPTSWTLNALLTSQYGNIEKEIKAFGETKSVSIFLNDYFGFHQDKLSLVAVVLIAFPFVLITLFSLSIEKFNFQKR >OB12G21220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10900123:10906769:1 gene:OB12G21220 transcript:OB12G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTSFFFGPSLLFPLLFFSQFLTLDPSLDGIRDEAAPEEREVALVKAIGMGGNEPLARRARCLGLGVRADHVRSHEGEGLMIPLTSSRALIFALPVSTPSSFTRPFESYTRSRLTPTLWIRITEKQQLSFIFIDTDNRERCTGKRKRACQFSPFVCEESVDGHVRCSLADCLRTRASSALPTCCCQATGFRVHPQCLQRHSGYVALPIHRGAAHHLHKRQAATIRAKLMHKPCLLSFSTRSPSSLSSWPPIAASRPPATGGRSPTFAYNGFASNNLTLDGVASVTPNGLLMLTNGTIQKKGHAFHPSLVPFRAARSFSTTFVFAIFGQYIDLSSPGMAFFITTSKEVLSTSLPGQFMGLLNATHNSNREARIFAVELDTLLNAECRDMSSNHVGIDVDSLVSRDAADAGYHDDGTGRFQNLSLISRKAMQVWVDYDVTAAEITVAMAPLGVARPKKPLMRTTVDLSAVLQVQDAAHVGFSSATGILSSRHFVVGWSFALDAPAPTLNISSLPALPPSGPPRPRSKLIMAIVLPVASATVALAVGVAVYILVRRRLRYSEVREDWEAPFGPHRFSYKELFEATKGFSDKNLLGAGGFGSVYRGVLRRSSMEVAVKRVSHESRQGMKEFITEVASMRRLRHRNLVQLLGYCRRKGQLLLVYDYMANGSLDKYLYDGNKGTLDWPKRLHVIRGVASGLSYLHEDWEQIVIHRDIKASNVLLDDQMNGRLGDFGLARLYDHGSDAQTTHVIGTMGYLAPELGHTGKATPSTDVFAFGVFLLEVTCGRRPIEQAEGDNRVILVDWVVEHWRNGPIAKAADMTMLNNGFSLDELSLVLKLGLLCSHPLPNARPTMRQVMHYLNGDMVLPLDLSPAYLGSTLLERMYNNMELNQNVMSCVSSTSFGTISSDLSGGR >OB12G21230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10901347:10903605:-1 gene:OB12G21230 transcript:OB12G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLALAGETSSDLASCADDEQHLDESAVQRNQNGAANTGDARENLLLDSSKSGALRRREFLDNLLKNIEHDHLRFLHRQKERIDRHGLVKLLGVETGRAKINALEDVSGIIKPCRLTLLLGPPGCGKSTLLRALAGKIDKSLKVLKLSSF >OB12G21240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10912114:10914965:-1 gene:OB12G21240 transcript:OB12G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTSLIFSLLQKWQPVLAALVLSLVPCLLLLTRSRSWKSKGLIKLPPGPAGVPLLGTLPQLGPLPHRALRDLARTHGPVMRLRLGRAAAVVVSSAEAAWEALRGHDLDCCTRPASPGTRRVTYGLKNVAFAPYGPYWREVRKLLTVELLSARRVKAAWYARRQQVEKLLSTLKQAEAKPVALDEHILSLSDGIIGTVAFGNIYGSDKFSQSKSFQGALDDVMEMLSGSGSSAEDLLPGAIGRFVDRLTGFVARRERIFRQLDAFFEMVIEQHLDPKRTPPENGGDLVDVLIDLWNKPRGTFSFTREHVKAVIFSTFVAGIDTNAATILWAMSELVRKPYVLEKVQAEIRAVVDGNERVQPDDMPKLHYLRMVIGGYDVPARTRIYVNAWAIGRDPASWPASPDEFNPDRFEGSEVDFKGEHPELMPFGTGRRMCPGMSMAVATVEFTLASLLHGFQWALPEGVTAGDVSMEEEGRLVCHRKTPLVLVPTVYVPPRP >OB12G21250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10919640:10922879:1 gene:OB12G21250 transcript:OB12G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLILLFILLSLHREVASSSMDGGHFAYQGFAGANLTLDGLAAVTPNGLLALTNFTYQTKAHAFHPTPIRFLNESRSTTNAAAATAAARSFSTSFVFAIVSGYDGLSDHGLAFVVAPTTNFTAANAGQYLGLLNAANGTASDRILAVELDTILTPEFRDIDSNHVGIDVNSLVSQRSQPAGYYDEDDGGGAFRDLRLNSREPMQVWVDYDGQSKQLNVTLAPARVRKPRNPLLSEAIDLSTLMADAMYVGFSASSGVVFTHHYVLGWSFGLDGAPAPPLDFSKLPALPRVGPKARSKALDVALPLATALLATAALAAVFVFVWHRRRFAEVREDWEEEFGPDRFAYKDLHRATDGFKERNLLGVGGFGRVYKGVLRASGLEIAVKRVSHDSRQGVREFIAEVVSIGRLRHRNIVQLLGYCRREHELLLVYDYMPNGSLDKYLHDPHMPTIFWPERYRIIRGVTSGLLYLHEDWEQVVVHRDIKPSNVLLDEHMNGCLGDFGLARLYDHGTDAQTTHVVGTMGYLAPELVSTGKATPLTDVFAFGVFLLEVACGRRPIERGEHGSRLVLVDWVLEHHRSGSILEAADPRLAGRFDIEEITLVLKLGLLCSHPLPNARPIMRKVMQYLDGGQPVPDLSPTYVSYVMMALMQNEGFDSYVRSTPCSTLAISDGALTYGSSVTVLTEVGTKGGILLSTDENYFSILDVRTHMHSLSATINMRETNTVWSITVVYGPQSDAEKIVFLQELKDLKPLMHPAWLLIGDFNMIYKASDKNNDRLNRAMMQRFRGTIDQLEIKELHLPGKRFTWQNSGPNPTQTKIDRAFCSIDWDLMFDSARLHPLSSSSSDHSALLLVGRDQQPRMTSFRFESYWLKFPDIQDVIKKSWEKQILAENPFSVLKLKLLRLARDLRRWKN >OB12G21260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10927747:10929045:-1 gene:OB12G21260 transcript:OB12G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMEINTFVVQLPTYMRDANKALFEPRVVSIGPYHHGHRSTGNMEVHKDRFRRSFLQRLGNVSHQDAIDRCIEGALQCYSGSIGMYTAEMLTRDGCFVVELLLRWNEGREAHVDNHIRLMSNCIYYDLLLVDNQIPFFVLDRLFNEFLKHVNGHPIFNRESNLVNLFIRFFNNAGQFSWANLEVLNLPHADDQPIRHLLDLQYRLVISNNMGIGEQGRCNNCSFSLCIDVCPVATMARGIPGANELEDNGVRFHVKELDQHVKLFDVTFRDKTIGIPRFEINFGSKILLANLFAHEQANGGGNGAGVVVVGAVTSYVVLMNALINSREDVVVLQRKRIVDNLLSNEEEVASFFNELGRCALVDVTNHRYTAMFRDVNSYLRNRLNCCKYCAIFSLKHCKNPLTCLSLLGAIMLLIFSCTSMIFAILKYARG >OB12G21270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10933319:10934032:1 gene:OB12G21270 transcript:OB12G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVEVPEINTFVRLPTYMREAHRGLFEPRVVSIGPYHSGKESTLDMEAHKDHVLHHGFFQRPGNANHAYYVQEVTNRCFAQARRCYAGGAVDDYTVEMLTRDGCFVAPPRILDNLLSDEDEVASFFNELGRCAMVDVRKHRYTGMFRDVNRTPLACLSLMAAVLLLSFSCISMVFAVLKYTRG >OB12G21280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10941029:10942435:-1 gene:OB12G21280 transcript:OB12G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHEGDVQVQGQEIIEERLEIAVILVQGSAARVQETTTNTTIVHLPMYMREANKGLFEPRVVAIGPYHHGHESTIDMEAHKERFFHGFFERLGNSVNQQDLTAECTNGALQCYSGNVGRLYTTEKLMRDGCFIIELLLQWKEGDGAHLDSHMRLMSNSIYYDLLLVENQIPFMVLDKIFEKFRRHNSKHPIFKDTWLVNLIKFFNQDGQFSWAYTNLSEEDLSNAKQVRHLLEIQYNLVIRKNNRNNNNEQKHDSIPCLCGNICRKVKPTPLGIPGANELQDYGVKFHVKENHQQNTDMFDVTFSSKTMAIPRFKINFGSKILLANLFAYDQIVSQPAGNNNCVSVGPVTSYVVLMNALINTKDDVVVLQREGILDNLLSNEEEVATFFNKLGRCALVDVSHHRYTAMFEDVNRYWRDGCCCKHFVTFRMKHCRNPWTCLSLMGAIVLLVLSFISMIYTILQYYKRH >OB12G21290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10946811:10948706:-1 gene:OB12G21290 transcript:OB12G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVEAPLLAASSKPAAAAPPRRNKYSFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLAVSDAQIEVLSGAINIYSLVGALLAGWTSARLGRRLTIVLTNGFFLAGPLVMALAGGYAALMVGRFVAGIGVGYALVIAPVYAAEIAPASSRGLLSSLPEIFINGGVMLSYVSNLAFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRRDEARQVLARTSDTPAEAEQRLQEIEDVVAGGGVSGGGGVWKEVATKAGVRRVLAIVVTLQFFQQASGIDSVVLYGPRVLAAAGVTSNTLLLGLNVVFGVAKASSILIAMALTDRVGRRPLLLASTGGMTASLLVLGSVFAAFQGRARDDAAVAGVAVAVVVAFVCTFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRIMSGVVTMTFISLYGAVTMAGAFYLYAAIAAASFVFIYACLPETRGRNLEDMEELFHTK >OB12G21300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10951345:10957960:-1 gene:OB12G21300 transcript:OB12G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3NDS2] MGLERRVKVADVALRCVVCGLAALAAALVGTASQTRTFFSLEKKARFTDMRALVFLVGAHAAAAGMQRWFVLKSYLSTTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPMVLGFFIFVVIGSSPKTCSAISHVSLLVFEIAGILGLKDYRYLIV >OB12G21310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10957812:10958051:1 gene:OB12G21310 transcript:OB12G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNLAFFSSEKNVLVWLAVPTSAAASAASPHTTHRSATSATFTLLSNPIHQRSNVDVGARDRWMDGWSWAMAPSMAIL >OB12G21320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10961516:10964786:-1 gene:OB12G21320 transcript:OB12G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRRPTGLQTSLSLASSEQVGSPEMQEPGSNSDQGHDSATESASSRETWPAEPNHGSPRRKEKEVGNGIPKLQVIRGASRLDRMSLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQGRGDLTQTMLSLAHHVQLEILVAIKTGIQAFLHPSVTIPQSRLVEVFLYKRCRNIACQSALPAEECRCNVCANRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSGTGHAEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPADAINPRMILQLLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAERLRKKQQVDELESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKSEGHQ >OB12G21330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10968357:10968593:-1 gene:OB12G21330 transcript:OB12G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALTLNFFLNRANFSMGLTLVDPWPLMSLGPTNVVVGPRPSLPGCSVVLGVEVADMPRILLKGRGVRRFDFPKLHL >OB12G21340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10969789:10976113:-1 gene:OB12G21340 transcript:OB12G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT SHOCK PROTEIN 89.1 [Source:Projected from Arabidopsis thaliana (AT3G07770) TAIR;Acc:AT3G07770] MSAASPIWPLARPPVWNLLPHGTGPTCHRLKTITLPRESGPPRVFNGEPGVPQLQKRLLSVLAAPKLIGTDSAASPRLREGSLVGRRYESSAAAVDSSDMPPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELDIRIQTDKDNGVITITDSGIGMTKQELVESLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGEADSSSYTIREETDPAKLIPRGTRLTLYLKRDDKGFAHPEKIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEPVEAKKEGDDEPTTEVKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEDYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEYEVLFLVDPMDEVSVTNLSSYKDKRFVDISKEDLDLGDKNEEREKEIKQEYSQTCDWIKKHLGDKIARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIKGLNAACRINPDDPEALKAVDILFETAMISSGFTPDNPAELSGKIYEMMSTAMASKWGSQAQPVEPNLQQPSSLSSEPIEAEVVEPEPVDANQQK >OB12G21350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10977234:10981927:-1 gene:OB12G21350 transcript:OB12G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif (SAM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07760) TAIR;Acc:AT3G07760] MSRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >OB12G21360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10985574:10988877:1 gene:OB12G21360 transcript:OB12G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGPREEEEVGFSSDGGDSTDAESSGGAARAVPGSNPILNRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGDALGPTLPPAPVRSGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVSCTLRQLRGKDDVLNAGVAGCCTGLALSFPGAPQTMVQSCLTFGTFSYIIEMLNRQEPALAVPSAIGAKDVKAGQRVLPPFTLALPQEGMEGFSSFQSFLSKFQGK >OB12G21370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:10996235:10996551:1 gene:OB12G21370 transcript:OB12G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3NDS9] MGMADGLSLDRAPQHRVPGEQVPAVRPVEHIIPYLIRRAKENMGLLSSSSFDRQLLRKELVRRFKVAMLGCE >OB12G21380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11003510:11006863:-1 gene:OB12G21380 transcript:OB12G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKITSSSSASASPATTECASPPEHKLGAVVDAVVVGGGGTTAGQQLDVTAAAMKSMWVDSSAAXXXXXXXXXXXXXXXXXAVGRSYGGQLLPLPDQVCGVADTPPPFFHAHHSVSTPFKHGSHSHHALFHGGYYGSSGHHGIAMDGSFIGGGGGGDHSNILFSAVSPLLDYPSIEAAAAEDHTMININNNPKTGSISISSNTTAETAATLSSNITDNNSNGNSNIRNSNNNMSSSISLMNSSNMVYWDEGHHHHHQQQQQQHMSRNVMGEWDLEELMKDVSSLPFLDFQVE >OB12G21390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11021669:11025000:1 gene:OB12G21390 transcript:OB12G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFQTSVISSAMFLTGMAANPLAANLTAATIGQGIGWTLWAKAAIVPGLLSLVFVPLILYLIYPPEVKTSPDAPRLAKERLAKMGPMSKEEKIMAGTLFLTVGLWIFGGMLNVDAVSAAILGLSVLLISGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPSLFAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKMIGLW >OB12G21400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11039068:11040449:1 gene:OB12G21400 transcript:OB12G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPGKNLVPSNLDDLFSAELAAASRSPRYADQGGAAFSPTRKAAVLNQFQQQQQSLLSPRAAAVAPEPVSPMSSRLLAALAQREKMQQHTLRSMSSRDLAAGASLLVGSPVSSSMSKWGFPSGNPDWGADDEELGRLKRCSSFELRSGANGSHEPDLSWVNTLVKEPTPEKPSVHRTTITTAAMDSIGILGQTTSREHIVGEEDTAGVISSWLEQLQLDEMVV >OB12G21410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11046973:11050473:-1 gene:OB12G21410 transcript:OB12G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIGGASALITADFMGEEAPEFRVERVTLESKDGLRNAIDIGDKTYVIGRSDDNPKSSITIRVLDKLTQTWVVPTALGVPPTPTNSRSEILVNNDKILIIEKGVPLNDSIWFLEVGTPFVKQQSKIKGTEVVAWSKGVIGEGQKPVVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLETCYENLKKLLSLDDDHEDSNDSFIKDGKATACYSILSKTNSEILLQSETNEAEKGTTNLLSLDLSSVSGGAPGRTRGLKISSVN >OB12G21420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11057254:11058112:1 gene:OB12G21420 transcript:OB12G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNGSSVMISPVVQGKFQQGVMNGMQNKNIGNSTSVPVSGSCFNGTGFLSGNSVNAPPAREVPSYSITGIGGNATF >OB12G21430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11060682:11061556:1 gene:OB12G21430 transcript:OB12G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSSVMISPVVQGKEQKDIMNNMQNHNIGNSTSVPVSGSCFNGTSFLSGNSVNAPPPPQMPTYSLTGIRGNATF >OB12G21440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11064092:11064940:1 gene:OB12G21440 transcript:OB12G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPQDPSYIPNCRYRYDFCSENLKELCLGRIITVDEIGLCSVLGKCKSLEKLCLQFVLGLTDSDIVTLAQNCKNLKSISLQLEPMFCEEPEGRVFRTPLTDDSLKALALGCRMLQAVELTMFSCEPSYPEIGFSQEGLVMLFQSCPIRDLVLCGANIFDDEGMKAILSARFLESLELMDCKAITDAGIRLHAYSPSLVNLTLRQCDGFSDAGVSEIVSSRKLNSLIIEGCSRVSQKVVLGAAKSVHCDQHYPGYGELDRSSVKSGLDLVPKIFSWVWDSPL >OB12G21450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11068074:11068400:1 gene:OB12G21450 transcript:OB12G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIHIIMKSFTSQANKIEGVIPYAQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQLLVQKSETHELQKKLFWLKRLRLLGVQYEIQVSFKTRLDRKKLQAAL >OB12G21460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11068992:11070607:-1 gene:OB12G21460 transcript:OB12G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLELELVVDMPFSVGITEIEWPMAIGEDNDIPELPNVTNLELTVDFMSGGHAIGATLAKLISKCKKLQNLSITIDINDDYEEEYGDIENCSNSSCFCRRPEGWEDMVAISLGHLRVVVIPGSTSVGDLTKLVQLLVASSPALVTMMDEYGTHIYGGEQRQPAAVCESRTSAQENEEDEAEDYGRERKRSKTMDGI >OB12G21470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11078442:11080641:-1 gene:OB12G21470 transcript:OB12G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAVDRISELCDDVLLHILRFLPSARDAARTSVLSRRWRHLWSIAPRLRFDIGDGVEVRGDARRLVAAIDATLSRRACEGAAVDVLEISFVELTFFYPEDALEINETTVWFLKNCSAMDHLELELVVHMPVEGMKWPIGDLMNDITELPNVTNLELTVDLMSGGHAIGATLAKLISRCKKLQHLSIDIDINDDYDEEEYEGMEYCSDSSCFCRQPMGWEDKAISLEHLTVAAICGSASVGDLAKLVHLLVANSPALVTVKDERGTHSYGGVRRRTSAQENEEEGQDNGRERKRRKTMDGI >OB12G21480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11084503:11087527:1 gene:OB12G21480 transcript:OB12G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLLLLTVLSRRDRCDEPDVKDLEISFVYRAVGGGGGGADAFRYDGDSFRGFWILYKCKGDAVTQARVDAWLGFAGRRVTGTFALELTPMAVASRLRVEIPRSERLEAIRLVLAGQVLRLPDAAADGAFSSLTDVLLGHAHLLHHKGDDLRLGQLLSSSCCPHLRRLQLMDLAGLVYLRLDAAGTLEELRLINLGLNWMQVDAPGLRKLAVVNTRLHLATAATVSAPRLQEVTYEYDDHRRGGVRHQMVLDGNGAARLRVLSHGVPGTDNNRAAAWFLRHFAGAADRLDVGLEMDFDKGKLDDIPNLAHIIDLRIRVSISPDTTGTHSIGASVSKLIAKCSRIEHLAIDIDEKAGDCILLGCKCGHNTGWQNEVISLERLRTAEIHDFAAFDDQIELVCALISSSPALEKMTVALPLPYVEERERQDGERVFLSVPYCGGRWTPCARNRRARGFGSFTQYEWTPLRL >OB12G21490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11090565:11095820:-1 gene:OB12G21490 transcript:OB12G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLCFSGENEGSTKAAKMLPCKLCNKKYHRNCLKNWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDAAYHCFCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISDEKYQQFQSDQNLHYTCASCRGECSQIRDAEDAVRELWKRRDIVDQDLMASLRAAASLPSLEAVSPSYPNSDDEKLGAYVTKNDGRNTLKFSFKSNSSKPALDSSEQEKSTIKSSGSNKKHSKKKGNQNNKTVADQDEIFLEKRNEAKSLVSLGDQSADVTHDRSSFKNDADAHVLSSAHSIEKALKSSSVKVPAHNADMIPKVKIKGSKVPSLHFKDVGEDSAAKSDTGKGTKLVIHLGSRHKTRSGSPKSEMSNSQKEQELSSIHGGKVDVTSQFKSSRSEIKEKSVMKLVRETGVQQNSLLGDLGATKKHATGKRSNATVSGMENASESGTRSRSFGHKQFVNHMTENQGNASFSLNNSPDSLKPSLLKLKFKRPIFEQPSTQSSQPEEPGSWASPQEELSVAKGQRSKRKRPSLDKIDGLEGKTPASKRHEQNTGDEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGVVSNVINGTLCLQFDNGRSENVELGKRAIRLVAQRSKGGKR >OB12G21500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11099119:11100764:1 gene:OB12G21500 transcript:OB12G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02820) TAIR;Acc:AT4G02820] MLVSRRLVPLAAGGGAARMVTSAAEVAAEGGGGEGGRGDTLGRRLLKLIYPKRSAAVVLRRWSEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERAKGPSTCSALLHTYVQHGRRDKAEAMLGEMAKAGYLTCALPFNHMMSLYMSTGELEKVPEMIKELRRYTTPDLVTYNIWLTYCSKKNSVKSAEKVYDLMKDEKVAPDWMTFSLLGSIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRLWSKMRQLFRKFSDTEYKCMLTSLTRFGDIAEAESVYSEWESTSGTCDSRIPNTILSFYIKNGMMEKAESFLNHIVQKGVKPSYSTWELFVWGYLSNDRMDNVLECLKKALSSLEKWDPNPQLATAIYSRIEEKADIEAAEKLLVMFREAGYVTTEMYNSVLRTYAKAELMPLIVDERMDQDKVAMDDETRRLLRLTSKYPIGEVSTLMS >OB12G21510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11101591:11106083:-1 gene:OB12G21510 transcript:OB12G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSVSWVAHASVLGGGGGGCRVVRRPSWDGGRLTGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNAKALSFEDCPDTVESPVTHELGQESVEIVDMLDDDQADKGTAMDSQEVGSSQNNGHLFEAAVLNSSVQNGNVEETTCLETNSEKQDEVPCGQAIEIDPRSSEKQSPSFSHTPHSENEIEMDSTVDAHETTSSFTTGVISFSEHSAVSMNGSLVQDHGTLSDDYHGAATDNAADEADSFLQTNGVLQANQTLLQEHETRSESVMGNDVQTVDGQLNSTPDAFNSNTSYPETEHTAKSIEVSEVKSLQDECEQSTTVANADEEENAESVVSHSELDTKVPSHIKGKNLEEDSSELKQSVSVMTKEEDDSKPEHGDSTTTAISRKTGKVQAKKETNLFWLIIRAFVVAMSKIWAK >OB12G21520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11113822:11116915:1 gene:OB12G21520 transcript:OB12G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGERSVRVNIEAQASDPAGLATPFEPQLSDGSPPSRSHELEWQHQATWSLTAAGRSSFRLVARSFRFVFGLDDDGKVPASAAVAPRMRRSRRLSRWTGWFTWSFLSRQVGRVFYWLQLASATACVTLSAKLARDHDNCSKIGNARGLLDKIIDFSAIGAAGAGPVITPSRAKAVKRSLQEPFDQRATDPTVHGGAGHRDDDGREAAARGGGGGGGGGGLRRVAETTSELECFHVFSGSAGLSRHAVSLSALVDTALELMATRATDDQ >OB12G21530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11119154:11123801:1 gene:OB12G21530 transcript:OB12G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G20790) TAIR;Acc:AT2G20790] MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRTAWETEGGGGDGDVTGAEMPLPADYEVAAAFAERRRREGTARGSGIRTSISSVGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAQVIGDIITGDTAETEVIVSTGPSVGGLLDSLTGSIGISSRPKPIAAPVAAPTASVSSPVGAPQLESLKGGVRPFDKDLLRNFILGAMPFGTPQDLNYANVTSIRTTGFSADPLPTDQKQPAWKPFLYKGRQRTLFSSLETLNAALYDRDDVQDFLTVSGQVTCRAELEGLPDVSLPLSGLKAACVEVSSFHHCVQTSEPTDDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFIMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITTQRVNSSFRSLSSSAFVEDSDSEDNTKNGANLDDYLMAKMNKDLQAVDLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSVQASSGDYILWNTLGKCPSAALPKEL >OB12G21540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11126118:11130760:1 gene:OB12G21540 transcript:OB12G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:J3NDU6] MSAAAEGMPPAAGPEAVGEMVREATAWCALHGLVVGDRADPRSGTVPGVGLVHAPFSLLPTHLPVSCWRQACELAPIFNELVDRVSLDGDFLQDSLSKTKQVDDFTSRLLDIHRKMMEINKEENIRLGLHRSDYMLDSETDSLLQIELNTISASFPGLGSLVSELHRSLIDRYGNLFCLDSKKVPENAASCRFAKALARAWDEFNVDSAVVMMIVQPEERNMYDQYWLAKHLKESHGITTIRKTLSEVEAEGHVLPDGTLVVDGRTVSVVYFRAGYTPNDYPSEAEWTARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLENKEEIAKIRKCFAGLWSLDDEEIVKSAIQKPELFVLKPQREGGGNNIYGLDVRETLARLQKEGGDALAAYILMQRIFPKASLANLVRGGVCHEALAISELGIYGAYLRNKGKVVMNEQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKLI >OB12G21550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11132842:11132994:-1 gene:OB12G21550 transcript:OB12G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAELLQPVMSLTWKNCTVPLLHKLPSMDITSRARAKKLVCCITDATKY >OB12G21560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11133292:11135516:1 gene:OB12G21560 transcript:OB12G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum auxin binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02980) TAIR;Acc:AT4G02980] MRTAAAHGPDLAGAGRGGLILLALLAAAAFVPVAESSCPRDNSLVKDISKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSAMKYPGQPQEIPVFQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPIKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >OB12G21570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11143533:11144531:1 gene:OB12G21570 transcript:OB12G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLEMKNSEMFCAKDEVAMQLEATKEAVRMASDQKLAVLMSLNELKNTSQVHQIEMEEKVKAKVEELKAMGAKKAEMDARVVSLEAELKAAVAKRGELEADLTAKKREFDMVKGENDRLHLEVATAEKKHRASEAEVERLWAELGVLTKEKEAAAKAFDAEKAGIMRELEELKRKVDEVQASKAATEKAGREKDAQAVKLRAELKELHASMSELQASCDELDTRRVRLNDEKNSVQEALDAEKAEARKLKSRIEVLENCNGEKDGEIGKLKVALEEKKEKIDVLSNNIELLNLAVAEAQKRRKGGIWAWLYAATTTMVAAISFIYATRSR >OB12G21580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11146082:11147008:-1 gene:OB12G21580 transcript:OB12G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:J3NDV0] MSSAGGGGRGKSKGTKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKSGKDKADIGSASQEF >OB12G21590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11147801:11148226:-1 gene:OB12G21590 transcript:OB12G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAHTIGVGHCNLFGARLFNFTGAAAPSADPTLNAAYAAQLRATCGSASNNVTAVPMDPGSAARFDAHYFVNLKLGRGLFASDAALLDDRHAAALIHDLTGQDRFLAEFKNAIRKMGRVGVLTGDQGEIRRNCRIVNSK >OB12G21600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11153306:11157720:-1 gene:OB12G21600 transcript:OB12G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRRPAMEVVVVVVAVGCDASVLIDSTSGSSAEKDAAPNGSLAGDDVIDSAKAVLEAVCPGVVSCADIVALAARDAVSFQFGRDLWDVQLGRRDGVVSLASEALSNLPAPTDNFTTLRSNFAGKGLDVKDLVILSGGIFYK >OB12G21610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11163932:11164366:-1 gene:OB12G21610 transcript:OB12G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSADLRPKGSFPSFRHGPKIHGTAAHLIGPRGMWPIYESRGAVLAHQPAAGAVPTREPPRKPHAPCPRGRTRGAGRRNQPPVPDTSSFFSTEAKGYCAVRSLVRHGGGAGKPRRRPHTRAFQRRRQCWGIGGDEGGGGEEEE >OB12G21620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11165344:11165529:-1 gene:OB12G21620 transcript:OB12G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPRRRPKDDGASEDAAACGRAGKEAGGDGEEASEDSRARRLGGGRSTLRRGTGRRGRR >OB12G21630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11187126:11187908:-1 gene:OB12G21630 transcript:OB12G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWSTCPSAATHSTVPCQSDTCGTVNQQQARRCRYVDGGWFWSGRQPGSRCASTAYPYNPVTSECSTGDLTNFHMSANATSNDTNLLYLEAFTAVDACTPQQLLASLHAGATGATGVAGFSQRPLSLPSQLAAQRSFGKKFALCLPGFAAFGDTPVYISREGASLVNYTDAFPYTPILTNPRSSGYYLPVKAISVWWNAPNVTASLPAGALDLDVRTGRGGVVLSTTTPGFSQRPLSLPSQLAVQRMSAKIPLNHSICG >OB12G21640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11199442:11201777:1 gene:OB12G21640 transcript:OB12G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKEELRTDPGVDEVTMVGMRSIGSSCGITEPEQSWNFSNNWKMLNIEEFHYIIVKNMLQQQGFISRTRAAFGTTVKLTYIDRFSRARFQNC >OB12G21650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11257926:11260093:1 gene:OB12G21650 transcript:OB12G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNKWSEYVDRVPLKNISRTFIDPYPTTCETLAPSLSPPRPVDLVVELADGTDGRRQRRTALIMAAAANIAGSMCVGDITVLALVPTVFLRMRLLPALVLVCAVYTTHRYVDFICAVGVVVAMKEPRCDNVVARSDGRRWCLFGVFDA >OB12G21660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11273512:11273760:-1 gene:OB12G21660 transcript:OB12G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPCTPTATPPQPPSSPPPPPILGRKNCKTRHQKSHERTEASLSPLLGSWGYKIPSYSSSPLSLLALHQPMAFVFLEAESSERA >OB12G21670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11283391:11287609:1 gene:OB12G21670 transcript:OB12G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activating protein with PAK-box/P21-Rho-binding domain [Source:Projected from Arabidopsis thaliana (AT4G03100) TAIR;Acc:AT4G03100] MEIGWPTDVRHVAHVTFDRFHGFLGLPVEFAVEIPCRVPSASASVFGVSAESMQCSYDGKGNSVPTILLLMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQYNSEEEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAASGDYTPYSSPASSSQHNDAEYYGSERDMDRSCEMSDMHSEISRSGRQVDFLVRYNTCFDGEQEGVDPLSDVEEVFLKQLESDLGVDKREEGAKEQDEVTSEVMAVKSAEAELNVVEAGDRQKEDGAEPLQ >OB12G21680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11285339:11290101:-1 gene:OB12G21680 transcript:OB12G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAPPPGLFAAAALHTSIGASALEENRHADDSNTISDNTLEQLGFLDQESNDASVSTEKKQSSSSKCKSVEDIPRTAAVKRCKNMDSKKLVSNSNNNSCLTGNQAPRKLPRKGDHPVQLRGNEPFQDARPPGTWICKNAACKAVLTSDNTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDRDCCESSCHIECALQHQKIGCIDLGQSMPLDGNYCCAACGKVIGILGCWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQQLCSLAIDKADEWLKSNGQAETKQIDTLPAACRFRFEDITTSSLVVVLKEAVSSQYHAIRGYKLWYWNSREPPSTRVPAIFPKDQRRILVSSLQPCTEYAFRIISFTEHGDLGHSECKCFTKSVEIIHKNMEHGAEGCSSTAKRDGKGRNGWSSGFQVHQLGQVLRKAWAEENGCPNEACKDEIEDSCCQSDSALHDKDHVAHVVPHELDLNESSVPDLNAEVVMPTESFRNENISTSGKNGLRKSNGCGESDTCAEGLVGEAPAMESRSQSRKQTSDLEQETYLEQETGADDSTLLISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEHERRVVVTFIRTLADDPSSLAAQLLDSFEEIVSCKKPRMGFCSKLWH >OB12G21690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11292586:11295007:-1 gene:OB12G21690 transcript:OB12G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALREAEAELTVYVHPSNAADVRRAVARQLSTTLFSYEDRFDGVLLAHEVIVDGGQAKILNGLVPFFGVLVHANLLLYSPQPDMILEGKVELLGKESIHAIVLGVFSAAIMSDDINERFKFKRRGDRGKFISRSDKHHVIRKGSMIRFSVKRVDTEMNCHITGSLIPPHTGSMHWLSIHDAEYTSEINSHIRRSGNIRIKIEQNEQDHRTLDNEDGVISSERPHKSRKRALEE >OB12G21700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11296853:11302739:1 gene:OB12G21700 transcript:OB12G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phenylalanyl-tRNA synthetase class IIc family protein [Source:Projected from Arabidopsis thaliana (AT3G58140) TAIR;Acc:AT3G58140] MASSIDVVKEGDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYEYFDKNFSGLFNKFDDLCPLVSIRQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSGSGMDGTAYAAADLKKTLEGLAKHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKNNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINEEFTENNLCEVVRGIAGDLAEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVKNKLKVELR >OB12G21710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11303266:11306469:-1 gene:OB12G21710 transcript:OB12G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G03115) TAIR;Acc:AT4G03115] MQPAPGGAPGAAASYYALYHFGTSGVAVAAATAVTHPLDVVKVRLQMQLAGQRGNLVGMGTIFTQMVQLEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGALATALTNPTEVLKVRSQMSTSRTSTIGVLKNIVEEEGIKALWKGVGPAMARAGCLTASQMATYDEAKQALLKWTPLEEGLQLHFMSSCIAGTASTLATAPIDMIKTRLMLQRECKGARVYRNGFHCAYQVVLTEGVTSLYKGGFAAFARLGPQTAITFVVCEKLRELAGMTAI >OB12G21720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11307795:11308097:-1 gene:OB12G21720 transcript:OB12G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSSTPKPCRPVAPLRCCHGAGAGMDLHDLSKIFSTPNGIGSGLALWQLRLETYDQIKRVNA >OB12G21730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11309026:11324101:-1 gene:OB12G21730 transcript:OB12G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHTRLEGHIFNCLDLGGCGLQFADRYIVCLEFKLEGDKKKKRDKTKNDERPKWEGGEYEGDEEPRLEALLLAMEMGAEYVDVELKVADKFMKLISGKKPETCKIIVSSHNFENTPSAGELRNLVSQIQATGADIVKIATTATEIVDVAKMFQVLAHFHEKKVPIIGLVMNDRGFISRVLCPKFGGYLTCGSLEKGKESEPALPTATDLINVYSIRKIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLASFLSTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIAKDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRASQPTDATTSPLTGRLFVVVGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLIGAPALSLTELENYHPEEGMILANTTAIGMHPNVNETPLSKEALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDSLMHDIVLTKIKPKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVADKFMKLISGKKPETCKLIVSSHNFENTPSAEELGNLVAQIQATGADIVKIATTATEIVDVAKMFQTLVHCQEKQVPIIGLVMNDRGFISRVICPKFGGYLTFASLEKGKESALAQPTITDLINVYNIKQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVSLNAVYVPFLVDDLANFLSTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIAKDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRATQPTDTAASPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLLGAPALTLTELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDSLMRDIVLTKIQ >OB12G21740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11325093:11325937:1 gene:OB12G21740 transcript:OB12G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALCRHGLNADEGVAEVRAASRASRREKGEDGGNRAYQVCSTWKKKADKPCIMHAAHNPYKRRRNQQATRWVELVHIGNKQHPMATEEQAQQPSEPAPWQAKSKKRNCMAHTTVTQQPWTNRTRRSKWTK >OB12G21750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11325953:11326195:1 gene:OB12G21750 transcript:OB12G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCMQQKDNEQHQKGKQLTQTTRENRKRKRAHHAAERLTVHPAQPATKKVCKNVSPNCNVHDSVKCWESLKSRPGLRF >OB12G21760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11328160:11329733:-1 gene:OB12G21760 transcript:OB12G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSLASPLLALAHGRPSPTSKAQPFLAARRSAARSSPSASARASSPWRALPAPRASGGEQDGRVQELRVPSSWLTPAGAEQESEWLRETLHKWLDDEYCPEPANVDISNTAARSYYESLTAKESDLGEILLKMVGDLQKLSYQESFHGAFSAANAAVRLISQRMESSSPDD >OB12G21770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11330960:11332323:-1 gene:OB12G21770 transcript:OB12G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:J3NDW9] MILRCSPANYAFFRCHEANFLVNLMSFIHTSHCSTLIFCCCAFQATNEISSRSSVSFNSQRMNFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKRQLVLPDGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >OB12G21780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11333480:11333707:1 gene:OB12G21780 transcript:OB12G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCHLLSSSGSQNSFTVSFKLGCISFLNFYFKISPTDDPIQLKLTMSVTLNTAFLESLQFAHLNKEEMRPYVHEP >OB12G21790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11342076:11345768:1 gene:OB12G21790 transcript:OB12G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plus-3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G61040) TAIR;Acc:AT1G61040] MARVWLWRAPGLPSSPVPLRKGPQPEKGGGGGGGRGGGWQEGDDDDEFDEGRRSGDDSDSAPSVGSDLYKDEEDKEQLEKLSELDREMILAERSARIDDYKLKQLARASSSKTEKSRRDSSPPPPLSRARSSTRTDRSAAKSALDELRAKRMRQQDPEGYRNRFKDLIPQSGSPPRRRAASPPSDGSNDGDNRGRTNDHGRITDDTRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLVSGCFVRLGIGKTKSGIPQYRLCIVRNVDANDPDRHYKLEGYTTCKYLNVVWDNETTAARWQMTQVSDSFPTEDEFKQWLQGAEKNGVRIPTRQEVLEKKEAIQKAYTFVYSADTVKKMLREKKSAVRRPINVAAEKDRLRNELEMSLARRDEAEAERIRTKLNQLQNMSQPMSNNVKAAKLEAMNRKNRADNFKNASEMKPVNTSLKAGEAAYDPFSRRWTRSRNYYAAKPEGENAEVPNGDSASAVAGNEDKKNGPRGGTAATAAALVAAADAGKLVDTNAPVDLGTESNVLHNFELSISLAGLEEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >OB12G21800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11346333:11349099:-1 gene:OB12G21800 transcript:OB12G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGMKLPSFPEYVVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNVANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDMPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIGQLARLLWEAEKSGQYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGTIGEDNVINFPLDDFPLPSVKSGEDVSEIIEVAARNSDQRKAFCGDSVSEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >OB12G21810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11349955:11356168:1 gene:OB12G21810 transcript:OB12G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAGA-associated factor 11 [Source:UniProtKB/TrEMBL;Acc:J3NDX3] MVCMSGHFKMASVLKLVMMENHAAPDDLTGDKGAAQILHKQLIDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAAHAERCSSGKVNPNDSMGTGINDDCTTKKPPKKGRKIKLTTNGNQKVHIKVKTKSQSENKNIANGFELDNVHASKVQPICSTTDQRLKTSANNAAITSVPGGHRRDAPVPLATKVYHSQGNYRLRLELGQIYRQSCPEHSGSYSIQNSSQENGVMASYLSPRDNSSLNIAQKSFIPQTKSMDQLLASTPESCPVVPQQVAASVPNRPQAMSSQKADFHISAVKNEIARSRCSKDVVQHSKNTGNKKAHQQRNGTVPVIKSSVD >OB12G21820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11360176:11365367:-1 gene:OB12G21820 transcript:OB12G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEFRPTETEFLTRNDRSSSSTRPFPLGNQSSTAHSHRSENEEKDCYLADDEFDEAGAAAASRAVDAHRLEPALQRGVGGGIAAAEVADAAVGAEPEAGHVEHHGRRFHRHKADGTGEQRQSNLLSPSRRGQKAYVTHGATDGSEGGDDVVSDTRHVSHDLVRNLSRLGLVLAERFHDLKTNKNNYLSKCSGKRKQTKPSNLQLQLAKTAPHHRHSRRRTEPHFNLQNNLLLTQALALALVGEKLSKAEYKPPYSTSNTQERKNNACNRVSRIG >OB12G21830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11365038:11365969:1 gene:OB12G21830 transcript:OB12G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPVVLDVAGLRFCANRGVGDLSCCDAAADAALESRFEAMGINRTGGGRCAGLIKFIICESQEETVAVAGVETPGWWRTHVLFLYGFQVSSRFLSIARVSFDFVLISTSQRHRRFADRRALGVGLISDWSRTHCASERIIA >OB12G21840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11367581:11370835:1 gene:OB12G21840 transcript:OB12G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDFWSTENEFCAAFASTPNNQSSCFNGYGALSNTRKLSASPSGMCLEKISDGPYSSMVAHPDGSSKAFFSSQDGKIWLAAIPEQGKGDILQLDETDPFLDLMPEGYLGSEFRFVSTAFHPDFTNNGRFFVSYICDRTQSPSSASKCSCDCDLSKLGSVNCSYPCQYSLVVSEYSAKGPSSNSSESTYADPSEVRRIFSMGLPYASNQAGQLLFQPSEEYLFVPTGNWQEDKKSLLGKILKLNINDLPELNESASKSLCGNYTIPVDNPSADDSGLRPEIWAFGLTNPGRCSFDSAKTYHLYCTDDAEGEYKVVDLISKGGNYKWSDVYKGHTGAPPPWAAQGTKPSDSIIFPVMNTPTASIVGGFVYRRSTDRCLYGRYLFADMYTSALWTTDGKYTSNAIHFRCSRESPMPCNDSTNNPLGSIFSFGEDNKKDMFILTNQSRDDFRWVKENL >OB12G21850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11374052:11375704:-1 gene:OB12G21850 transcript:OB12G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: rRNA processing (InterPro:IPR013730); Has 898 Blast hits to 687 proteins in 142 species: Archae - 2; Bacteria - 28; Metazoa - 200; Fungi - 99; Plants - 63; Viruses - 0; Other Eukaryotes - 506 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G03180) TAIR;Acc:AT4G03180] GGLSLAAFANAKSRNTGYNPALIKKQKEFYKNAKLISKYKRSKKQLNQSDGPPPLPLPNPNPKEGVDANDAPKLHSKKKKRVAPSLKDEYEKKHAEDEKAKKEREAIIQAKREEQEKSEARRKDLREKMFKKTRSGQPVMKYRIQHLLETALEISNK >OB12G21860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11378244:11383562:1 gene:OB12G21860 transcript:OB12G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G11980) TAIR;Acc:AT5G11980] MDVLDAGHRASPEAPSASAASDMSGASVLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRTQLEGFDRHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEVPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYGYLTGMVSCHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIRDQCMYCAMGLGLVGLDFRGLLPPIFEKAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCSACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSEISIERRQSGSIERKQSEGIERKQSGGIERKQSIDEASGTAATENGVTADGPPPVANDDSGTSSAPAQPDVQTAATAST >OB12G21870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11389003:11393080:1 gene:OB12G21870 transcript:OB12G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G62970) TAIR;Acc:AT3G62970] MGGARFPGEEDEAGDGVPLRDVGKMEHGCEHYRRRCKIVAPCCNEVFPCRHCHNEATASGDRHTICRQDVEKVVCLLCDTKQPVSQVCISCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCTKCGSCYAVALRDNHHCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMDCFSEMVHHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVNFHVIGHKCSHCNSYNTRSTSRPVDSSGSSSPSTSDSSENNP >OB12G21880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11394297:11395001:1 gene:OB12G21880 transcript:OB12G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIWRYTKKWQKVKCLKAGRPKPQFVHPSIRPAQPDGGLGRRHCSFDPGGAASAPARRGREERRPDELQDPGHAEAVSLYVNHDFVCYVLSQREEVVVELERGGPQGVRRFAQVGVARGETSNFHMVFGLAMVED >OB12G21890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11397149:11397349:1 gene:OB12G21890 transcript:OB12G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAILNLRALIPGYFESNSISWLESVPCVARWSVAAVPSQYWPSPSVIVVVRRQILCRNVLGVEE >OB12G21900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11403533:11403844:1 gene:OB12G21900 transcript:OB12G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKMASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMERALLKLLGRGPPVPAVFIGGKLVGGTNKIMSLHLGGELIPMLKNAGALWL >OB12G21910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11414241:11414552:1 gene:OB12G21910 transcript:OB12G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKLSTEKAVVIFTASNCPMCHTVVSLFSDLGVGAAVHELDRDPHGRDMERDLARRLGRSPPVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLRGAGAIWL >OB12G21920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11420107:11420634:-1 gene:OB12G21920 transcript:OB12G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFIVNSEQHTGVVGPLDHTKSCPENRGVRRLKNLEPNGGVTIWGQEQETTQNKLKESKINPSIHPLVNPRRQRGDTRMQSEICRAGQSKKRYRRQRKKKNSASDSSNRSEQETNKERTNSSRKRYGFFSYQELPAPRESLRWKKKALLSHTLSHCHSALGERRKGSHCGRKGA >OB12G21930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11420131:11425536:1 gene:OB12G21930 transcript:OB12G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMKIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRADDLAFRCSSDTYDLDDRALVSSRNWAVLSTEGSRPSPRFAHAAAILGSKMVVFGGDAGHQLLDDTKILNLEKLTWDSVTPKVRPSPNRPSMKLPACKGHCLVPWGNSVILVGGKTEPTSDRLAVWNFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKLYIAGGGSKKKRHAETWVLDVVEYKWSVCVVPSSSSITTKKGFSMVPLYHRDKIVLVAFGGNKKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELASHLNNCAPLYSNSSVARHSLASTVEHPPRRESLSESLLQNTNLGTSLHRQFQQSEACSLAQKLQKPIDDDRYDDTDDGSSCQASTPKEYRSKRTGADIQIDMARILSSKEEHLETAGSNARRVARCSSDISHLYNAKITDLIKRSSALEDQLATALASKDQAEKNLSLVINSREQLERRLANKDKEAEVLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAITDETQKELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQMVEGRAGTPKNPL >OB12G21940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11425788:11432681:-1 gene:OB12G21940 transcript:OB12G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) TAIR;Acc:AT3G27530] MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHNASNQMLLKETIGFDPLIAILKIRRGSAFNFTQQKTVNLLGALDTVDLLLMGGGSSGEPGKDANKATNQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEEPHVQPALSAIFSIILRTSVAQEFVAADYVFKCFCEKNPSGQALLASTIAPHPNQQHATFGAASDMPFGSILLQALVSSDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSIAASAEGENGQSSQLEDSYIQPVILRLLIFWLVDCANAVDCLLESAVHLNYMIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLRFDELRRSFTHSSSGQHQRKQLSRSSANSMSDFQEIEEQEINKGDQHPVLSEIFDFHFVSFLSKLETDIRDNIMDIFSRTKTATAIIPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLARNAMLAEELVRTGGGNNADSSQRPSSGRERVQIEALRQELEGTTRRLELLKAENTQIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDAEVKALRQGGGGAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSARLAELGEDVDALLQGIGDDAALPDDDDDEEDEDDE >OB12G21950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11434124:11436924:1 gene:OB12G21950 transcript:OB12G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRGAAGRARPSPAPPARPSPPPRPPPPQRASPASAGGGGAQDRLGVSQSDVTASPKDAPGVLKERDPSYDEMLKHMVGRITTKPGGKPEMGEAFVVDRYNRPLPKVRTSRSEPGEGGHRQLPPGTINVSHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVVQNIVQFVSLPQDEHVNKKEEN >OB12G21960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11439022:11445003:1 gene:OB12G21960 transcript:OB12G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSASSGASEESKVVVAENGKMVDVQDKEITMEGLCSISSYDQWTRLAASGPLPKPRYKHAAAVVQEKMYVFGGNYNGRYLGDMQVLDFKSLSWSKLEVKSQSEPSELTGTTSLAPCAGHGLIPWGNKILCLAGHTREPTENLSVKVFDPQTCTWSTLRTYGRSPISRGGQSVTLVGDTLVVFGGEADGRSLLNDLHILDLETMTWDEFETTGTLPSPRSEHAAACHADRYLLIFGGGSHSTCFNDLHLLDMQTMEWSRPQHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVSETLVLNMSTFAWSVVTGLEGRAPPTSEGSSLVLHKVNGEDFLVSFGGYSGRYSNEVYALKSSQKSNLRSGQINEPETNGLASVSMEANSSRGVIFEIEELQDEKVIKRADTSKTLLQAVTGEKNKIQEKLNQEELQSSRLKQELANAETKNVELTKDLDLVRNQLSAEEARASQLESEISELQQRLQKMEALEKESELLRLEKDADSDDSSSGSNQRPGDRGFWRWNG >OB12G21970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11446131:11451240:-1 gene:OB12G21970 transcript:OB12G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04600) TAIR;Acc:AT3G04600] MVCGGSRRATVTVWTDLNEILDLYERGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEETKRLARENAKDIIACGFDIERTFIFSDFNYVGGAFYQNMVKVAKRVTLNQATGIFGFSPEDHIGKISFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPKLGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIKTKVSKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELEHVKKEYKEGRMLTGEVKQRLIAVLSELVARHQRARAQVTEEMVDAFMAVRPLPNMFG >OB12G21980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11452618:11452965:1 gene:OB12G21980 transcript:OB12G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHFVQGHVDGTGEIAAFRPEGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVVNVDEDAGWFDFMLVRYTQDNIVLPKKKVGDKVNLEADILGKYVEKLLAGRVEAMSKADS >OB12G21990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11463439:11468096:1 gene:OB12G21990 transcript:OB12G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGGTPPAAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGGDLPEFLNSSVVTNGWPEVEKRFDRLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDALARRRGITAQLLTKDQVREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDISNLEALLLLPPSQAPSKLVTHSSNISQLISQKLVPTNDRNPLRRGLRKLGYFMEDNWKRVWVVALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLDAAIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAVYEPMKKYFGQTRVPNYWWFVKGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSEGNPLKRLSGFNMFWYSHHLFVVVYIAFVVHGVCLYINRTWWKQTTWMYLAIPLLLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIYMSKPPGFKYKSGQYIYVNCGEVSPFEWHPFTITSAPGDSYLSMHIRCRGDWTSSFRAIFSQICRPPMNGQSGLLRADCMSMEHNARRCRRCGWGGGCVAVVQVPEAADRRAVRRAGAGLLEVRRAPPHRPRHRRHPSHQHRQGRPQPHPGRRRGPRLASGQPRQGRRRRLQGVHDGPGVLLLVHAGGRVVRVVPRRDERGRRPRRRRADRAPQPLHQRVRGGGRPVGAGDDAAGAPPRQERRRRRLRHPGPHPLRPPQLARRLQAPRRQPPGPARRRLLLRRPDPHAGAPPPRPGLLPQDHHQVRLPQGELLIHSRPRSSQQKSP >OB12G22000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11469495:11473139:1 gene:OB12G22000 transcript:OB12G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLHFRSLSSVQTSPRKEELLPVEEKISELDESQSKLMGRLRGLKEDLLNWRTSLDTQVTKYKIELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDTTDNEGNKGSDEGNKGSDEGIASEGVSDTLEKLKLDDNPKDHSESSSANEEKDETTAEDSTVDKTVKAESTSDE >OB12G22010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11474302:11480022:1 gene:OB12G22010 transcript:OB12G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:J3NDZ3] MTPVVHCSVGNISLFHIGSFRPSHEIQIRRFHSTERYSRVPSPSRRRLLQPQRAFNLISIYKRSSWSSARRPRTLSAATVGTDVAVEDPNPPPSGETSEESSEDAPATTEASEQAEASTSSTPKAGRNIRKSEMPALNDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISTLFTIGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTEAPKAASGGRNTTATASRGSPRQTRERDDAKSETNYVQGQFLNGTVKSTTRSGSFVTLPDGSEGFLPREEEAVALFTLIGHSALEVGQQVRVKVLNVARGQVTLTMKEGEDDEDDLSSLNTELKQGWSRGTNAFELAFRRNKEISSFLDQREKTKIPDVQEAAVASVGTALDDAVGIEQSSLPETSNAESLAIDSSITEVKETDSTAAVEDSEMSKTESVETASSVVASEDDSTVDNKLTELTASVSSTEAASTESVTTETTEAVQAEPAPPETTEAASTASVTTETTEAASTESVTPETTEALSEKSDTTETTEAASAASVTTETTEAASTESVPTETTEAASEEPVTTETTEAASAKPVTTETPEAASAESVPPETTEAASAESVTTETTEAASTETVSAVVEESALADEESSANLTTSVSSVAEVPAPLVSEAPSQEIIEDSASVTVEGSTDDLTVEYDSSPSDGVELSSNGAPDSSSDEPNEPEESSVVEQVPVTASSESEDKEPAAVTEEVAASSEKTAEVAAVADEASTTTATISPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDNRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETELEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVNRFVRYNLGEGLEKRSQDFAAEVAAQTAAKAPPAPPPKDEKAEETTETEEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDIQKAQEFLRKKGLSSADKKSSRLTAEGLIGAYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVEYVSMEDIPESVVSKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFARYNLGEN >OB12G22020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11480459:11482342:-1 gene:OB12G22020 transcript:OB12G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVVNGHELVKELSGKEECTAVLFYASWCPFSQITRPIFEDLSSMFPQIKHMAVEQTNVMPAVLSRYGVRSFPSILLACGPYAFWPVGSKDLDSLVNVYTAVTGQEPVAYLGPRKWSAAGAGSTHHAKLWKKTINEALKSEPYLAFSILFICLKMLVVFFPKISACIKGIWVQYFRNINLGILAKLTQLLQCVPHAVDLRKIWSKWRLMGGAMNNRVWASSLASMSFGERSSPRAAVLD >OB12G22030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11482968:11483273:-1 gene:OB12G22030 transcript:OB12G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARFDLWVLLFSSFLGGRRFIDQSQDSPVRFFVDLSFSSQKFDLVVLLTQLLFVAVADKSVGVSSLRDSNLTFFFFPDSRADWSRLMQLLLMKLLFHFELIR >OB12G22040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11494825:11495007:-1 gene:OB12G22040 transcript:OB12G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFISNHMEGMVCCSRSTSYHNARQSLNLSSPQTNPNHLPHFRRPNQRTDDSRTAMHD >OB12G22050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11506380:11508857:-1 gene:OB12G22050 transcript:OB12G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGMPRRLAVAACLSAAAAVLLLAATSVAALSDAEASYIAGRQLLSLKVGSDLPDDFEFDIRVDITFANDRLRRAYIGLQALRRAIYSDPKNFTGGWVGADVCSYFGITCEAALDDPSVTVVAGVDLNAGDIAGYLPVELGLLTDLALFHINSNRFCGIIPESFSRLTLLHELDVSNNRFVGGFPEVVLQIPVLKYLDIRFNDFDGELPPQLFEKSFDAIFVNSNRFAGHIPETFGNSTATVVVLANNRFIGCIPRSVGCMKGTLDELVLLNNRLDGCLPPEIGELANTTVVDVSGNQFVGSLPEELANMTKLEQLDVSRNLLAGTVPEPVCKLPALTNFSFAYNFFSVEPAACVPAETAVVELDDTSNCLGGARPGQKTSLECAPVLSRPIDCRTNVCSARPSSPSSPKPTPAPPTPSSKLSPKPQTPAPPTPAPSPTPVSKPSPKMMRHAPPPPPLSPEPTPMPTAEVPAPSALEPPEAYAPEPSPPPPTPVSSPPPPVKSPPPPVPVHSPPPPVKSPPPPVPVHSPPPPVKSPPPPAPLSSPPPPVKSPPPPAPVTSPPPPPAPVNSPPPPVKSPPPPTPLSSPPPPIKSPPPPAPVSSPPPPIKFPPPPTPVSSPPPPVKPPPPPAPVSSPPPPVKSPPPPAPVSSPPPPIKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPIKSPPPPAPVSSPPPPIKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPTPISSPPPPVASPPPPSPVSSPPPPVKSPPPPVSSPPPPVIPSSPPPAPSLPPPPPEELVILPPILSHTYASPPPPQFQGY >OB12G22060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11506444:11507945:1 gene:OB12G22060 transcript:OB12G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGGGGGSDGAGGGDDGMTGGGGDETGGGGDLTGGGGELTGDGGGGEATGGGGELIGVGGGGDFTGGGGELTGAGGGGDFTGGGGELTGAGGGGDLIGGGGELTGAGGGGDLIGGGGELTGAGGGGDLTGGGGELTGAGGGGDLIGGGGELTGAGGGGDLTGGGGELTGAGGGGGFTGGGGELTGVGGGGNLMGGGGELTGAGGGGDLIGGGGELNGVGGGGDFTGGGGELTGAGGGGGEVTGAGGGGDLTGGGGELNGAGGGGDLTGGGGECTGTGGGGDLTGGGGECTGTGGGGDLTGGGGELTGVGGGGEGSGAYASGGSNAEGAGTSAVGIGVGSGDSGGGGGACLIIFGDGFETGVGDGAGVGGAGVCGFGDSLEDGVGGAGVGFGDDGEDGRAEQTFVAVDGAREHGRALERRLLPRARAAEAVARVVELHHGGLRRHARRRLHAEEVVREAEVGERRELADGLRHGAGEQVPRHVELLELGHVGELLRQ >OB12G22070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11516349:11516912:-1 gene:OB12G22070 transcript:OB12G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKKERVRSCCRRKKRMMMMEAVQQKSFSCCGRERFRSEDDNLDSAAKERERENDKCLDPQLWHACAGGMVQMPPVNSKVYYFPQATRSTCRGRAPSCSPPGVSRRSCSAASPALGSWMIRTPTRCLPRFASSLCGPTSRATPPTPTTALAPPPR >OB12G22080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11534490:11534720:-1 gene:OB12G22080 transcript:OB12G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMTPDEDFIIDFVGGEFGTDVVVGAGFSGHGFKMAPAVGRILAEMALDGEARTAEEAGVELRHFRISRFMGTSE >OB12G22090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11542973:11545683:1 gene:OB12G22090 transcript:OB12G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEKPVSAGSGKDWVVEMEKMLDGADRAAETARWSKPSIYRVPEWLKDMATDDGAYRPRLVSLGPFHHDDELLRPMEEHKRRAVLHIVKRSRKRLGEFAAAIAGVADELLDAYDNLGGRWRREDEGGRRRFVEMMVLDGCFLLEMMKGLSDRRAPDDYAPNDPVFSVHGMLCLWVGIRGDMLVIENQIPLLALYRLEQVWRGNTPLSEKDINNLVLNFVYDPLKDREMPREDIIENKLCLHPLDIYHKNFCELTEAGIHLKKCRGTHVIDYRSRVLCLPTVSIHDGTEKIFLNLLAFERLHGDAGSEATDYMIFMDNIVNSERDVALLRSKGIIRNLLSSDTEAAQLFNNLSKGAVLSPFSRLHDVRRKVNAHCRRPWNRWRAILEHNYMSNPCVLISLIAAAILLVATLLQTVYSVLGVYKS >OB12G22100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11548320:11548853:-1 gene:OB12G22100 transcript:OB12G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVVIPLINTVMVEFKNGTYPRGSFLNIDIPTDAAHHKGYKTTKQGKYMARIGWEQTVYKNPAVESYQTANMDIQCWVDSELETFE >OB12G22110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11611297:11619133:1 gene:OB12G22110 transcript:OB12G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPEEEEREVDELERMRGWARDADVASRKAEEAAMRRHAAASWLRRTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDAAGPADGSALCAYQYFENLRNFLVVVEDLGLPTFEVSDLEKGGKGVRVVDCVLALKSLSESTKTGREASCKHGGLVRPFIGRKYFILNNTDAFMNKITKGHSAEPITNGFSDGQNITTDFSIESNEMTTSDSLSVLFRTVLKDKKPEEVPLIVESILSKVIQEYEHRNGNQTMMDEEEQKLLDMTKKVNHEIVNGDGAAKQFQLEALTKFDLQHKQIQELKGALSFVKYGMEQLRLQYSEEFTKLGKHLYSLSDAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQICLSTSIAGTEERTITIITPTKYGKDGHKSFGFNRVFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIQAQRKGIIDYEISVQMIEIYNEQVRDLLQDGGNRRLEIRNTSQKGLAVPDASIVPVTSASDVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVQVQGKDLTSGTLLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETISTLKFAERVASVELGAAKANKESSEVRELKEQIACLKAALAKKEGEPENFQSTQSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEIQNNATPLQKKTSFDFSGVFSDNYSSNLAENCNGIQKMDRVAVHDEKSENGNSFLELEPDAAQLPTFFYQRYNHDKQRRRAEQVEIDDLDSIDANTSCSSDQEMLLSSSGLKAGGNDSRGVFPIKKPQAKSTKVTATRNPNHAMKSPMSERKLQTPIRNGKQVAFSTIGGRRTPNGKISTPK >OB12G22120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11616530:11621080:-1 gene:OB12G22120 transcript:OB12G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWNDHGGDDHCGGELSRPRRRWRALVLGLGVRRRRSSSMEGVFGFREFMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRRLSTPAPAPPRLLVGCNQRPRYQLMFLNGLKPVYTLMKLEAKDGSALKVAIVERLENNQMHIVRFGHLSSAKVEVVALHGNFNAKNEEQWTPEDFNKHIVCGREKSAQLLTGNLTLKLNGGEASLENATFTDNSSFTCTKKFRLGLRLVNNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHLALSNSGVDTVQKFLQSYVTDEKKLIQTFSKMSQAAWKTIINHAMTCEVGDDICFYEVKDNNMGLFFDAIYQPVGVKFGDSYKPINELNQIEKNAVETMKQVAYENMNGIRYDHKMVNNCPVPLLRFCGGGTSALTNFIPNQQIPTCAQYDSALKEFSSGQTLVSTEDFSSLQGAFNASVDRSRFVQGETSNGQLMGNVTPNNPNQGNILPGPRITPLCIPNNERTYYSSYATASAAHSNIEADQIATQIGQYGHIDRSHLPEESFKRFSPDSFFHTDEVVALMQPHLLPSNSESFSSQLNLVSNDQSSHQVAARFQPSRTDSFDSSSCDQLIQNFMSQFSSNDGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASVHPQARPRLVPTI >OB12G22130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11632288:11632692:1 gene:OB12G22130 transcript:OB12G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYTKVSLLVTFKIIFSSTFHLETFLLLISHDSTRSICIIVQLLLFSQLVNLLVDSCCDQTATLLINYYAFTTYTDIIWFIYRGPTKGCQGRVMLTFENNISSKIGIRFDKQIPNGNDLGGLCEADHGFFCPG >OB12G22140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11634800:11637392:1 gene:OB12G22140 transcript:OB12G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLTFNIIAPIHLRMRKLYFLLKGEEIIPMPHLKVTLNIFFPGILYCCCGYSLRRGLSMVQSTKQNLDSERHSENKSLSNALKNVVTKNKFEKDVLSDVISPNDIGVHFEDIGALDNVKDTLKKLVMVPLQRPELFRKGQLRKPVKGILLFGPPGTGKTLLAKAIATEAGANFINISMSSITSRWFGEGEKYVKAVFSLASKISPAVIFIDEIDSMLGRREAEGDRKTMRRIKNEFMVHWDGLRTKDQERVLVLGATNRPYDLDEAVIRRFPRRLMVDFPDALNRKRILNVILSEEELAPDVDLQSLANMTDGYSGSDLMNLCVTAAQCPVEEIIDKEEKERNLRRAEGSPQPPVCGTEDIRPLTMDDFRFAHRMVRSSVSSDSRNIRDLYQWNDQYGEGGSMKDEFNYYI >OB12G22150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11638440:11644341:-1 gene:OB12G22150 transcript:OB12G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT4G15890) TAIR;Acc:AT4G15890] MSNFSLMLNLPFCPTTFYALLPNHKIFKFPGSLLPLAAGHGRRRDGHRRRRRDGIPALHLGLPPPPPPPPPRRSAGSTSPPLSLASLTFLSSPSSSSSLFPRLASAGLPASSLSAPLAASLSSAHPLPAAVAYLRLLLAPASAAGRDGASYPGSGSGNARNRKRKNQQRQSPAQAPPSLLPKALALLADAAGRLPLEEHPDARRSLVDPAAELAAFDILVAVLGSDYCAEAVPDVVRALAPVALSGSKSAARAAAVEFLARKVVPLGAEGGEDGVRKAVGYLPRYLAAKAPEKSEARALAVEAIVEVLRAMGPLEIEGFAGYVVALAKGKAKGRLLAVDLVLAMLPLLLPSGGDDCGVREGSWGLKFVRVLVERCSDTVGGVRARALTNAAQALDVLSERGMEVDRLQEVMRIGNMGLGELLRRRCTDDKAAVRKAALVLITKSIRLIGRPVDESLLAATGAACSDPLVSIRKAALAAVSEVFRNFPDERVTKEWLQVVPPLVIDSETSIQEECENLFLELVLNRICQAANLKLTDDSYDMLEVFPEGTLDLLKSICDGEVVPCIKRICTSLGKKKKLKPLLASSLQNIITISESLWLRSHRPIEKWTAPVGAWWLLSEVSSFAPKSINWKFLSHHWKLLDNVGQEDKDEVCTKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSFLSRIENFDMHLSEVDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKVVNILDGYIKETSEAARGPKFFTPLSGKLKGRKDTSAPKSMSQAVTAVFTLGSLILACPIASVQNVIPLLHTIITSGNAEPRPKNLAGGAVSFKDLAPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELEKSDLATLRNNIMIAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIYVLNSCFGHGANNESQGRSDVGPTLFTIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDSSEMDEEGGDGGPTSAALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLEGKNSPLTGCLMECLRALLKDYKSEFDEILVADKQLQKELLYDMHKVDAAGKGKAAKVAEAGPSRASPANDGGGGGKKVVDGSARATARSVLKEVNRNTPTPPLHSMSVPKRRRRAHRVAPPRRAGLGPAARAVWVRRGELACRDRGFRFGVTTSTSIRLCL >OB12G22160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11647888:11654118:-1 gene:OB12G22160 transcript:OB12G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAAASSGSAWSSSRSAXXXXXAAATAPPAPSYDDLFGAAAPSAPPPKGAGAPSPNLDDIFDSFKEPSAAAVPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSARFDDVFGGNHAPPPAYDDLLGGFGSKPEVKEVQEEKRKPELAATSAGFDDLIPGFGGRVPMRSRETVGTKEKNVPMSKPTSMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKPQGKKHDNTADSGLCEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPAHNFSRKNTTQQPSVENFENIFPKSHSARYSDVHVDIGASGSEKYSGNGLDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDDYPRRSNQNYNHHRSSSNQAGVSSIDELEDFARGKSQRSAYDNADPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKLRNREQQEQDDEVRFNTQDHEERERQERLEREREMRQREEKEREQRRFEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQQEARERAAVEARERAERAAAEAKDRAAAEAKEKAATQARDRAAAERAAVERAQQEARRRAERAAVERAASEVRERQAAEAQERQAAAVAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPTVDPMFNSQTQNRGAATSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQEIEGETEERRRARFDRHQRTRERAAKALAEKNERDMQVQREQAERHRISETMDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >OB12G22170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11662762:11666986:-1 gene:OB12G22170 transcript:OB12G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoside diphosphate kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63310) TAIR;Acc:AT5G63310] MDAMAVLARTARPAAPTLXXXXXXXXXXXXXXXXXXXXXXXXXXGRVALSAGWGGRAARARVSAGRIVASSVEQSYIMIKPDGVQRCLVGEIISRFEKKGFVLKGLKLFQCPKELAQEHYKDLKDKPFFPSLIEYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKETELCEWESVQTPWLIE >OB12G22180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11672091:11674205:-1 gene:OB12G22180 transcript:OB12G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:J3NE10] MLGASSMQIRDMETGKKQIKMLRFTSARLLLRPTACRRLYHACSAGAAACGVVGERVTVLTIDGGGIRGIIPGTVLQFLESELQKLDGPDARLADYFDYVAGTSTGGLITAMLTTPKGDGRRPKFAAGQICPFYREHGPRIFPQRWSKVASTIAAVWGPKYDGGYLRGLVRSELGEAKVGDTLTNVVIPTFDVRLLQPVIFSTYDAKHSPLKNALLSDVCIGTSAAPTYLPAHCFTTDDGAGKTRVYNLIDGGVAANNPTMVTMTMIMEEIIANGKAASYLEKTECGRFVVLSIGTGLTSSEEHRYTAEDCSQWGALRWLRHRGMAPIIDIFMAGSSDMVDIHVGVKFQLLHSERNYLRIQEHLQDDALRGTAAAALDAATPENMDRLVDVGKRMLEQEVTRVDVETGKYEKVPDEGSNRDALIRMARTLSEERAARLRRRATNPVTAAGATGTGF >OB12G22190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11681298:11684627:-1 gene:OB12G22190 transcript:OB12G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEEWPEVQYINGHAVFKQYLTRVVTGLGVLIFTWSTVVLLGGFVSLLDNRDFWLLTLITLCQTQEASGAITGGVVEGFSGVTRLLGTEYTTANKPPAEPAPAAAGAAAPAKHATKAPMKLDQLARRVRARAMFVARATLILFRFLVFTTIVVPLVIVYTLGMYISTAISLYRLTQPDLGVGSAAAGDGTAGANLQKPALRVLYVMALVQGVLYFYGLTFTSTGRKMERKVADSYELASGKDSAVRSYAEATMDGCTKDLSFAKGRNMVTYAMGLVESASTDTDDFASGVTILNALIRLQLRAQQALMRQLLIGSASSAHILGKLFEAASRSPAEEGGGGLRELAAAIVEHFAVDIRLSKVPGGIECVSSMLELSTVTPELDQRKHTLHQSLRILRMLAGHNDNCRVISNAEGLLSGVMAPVNSDLLHRVGHEAWRPVVEESMELAARFVAAPGVAGVRLRRGISSNEEAVAAMGSILECNECQPPLQHVAIKILTQLAMDASSSTSAGSRERLARSMMRVFFDDGKASSSVVRKRRAAQALAMLSAQSQSIAAIILHANGNAIAALKHTILHSEDNEIRVSAVEILTHLRDHYTNDDEHLAELKKAIKDLMPEV >OB12G22200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11701237:11711506:1 gene:OB12G22200 transcript:OB12G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSNFDYSKWKEVETVNKYALFMGYMSMAVRGMGYLVFLWTTVILLGGFVSALEKMDFWCLTIITLIQTVGVVGTVFLRKNVKKVVSSYRKGFVSTIYTFYIPKKSEKDKNNKNAYGNDGRMTINKKEDLHRRTEEVDHWWPLWLGRSVAFVAYLVQQLVFAVVAGPLVLAVTVLYFCGLVISTGLAAWRLLRRDYGGGGVNLQAALDVLYGLVLLQGVLSYYRLSSRFCERRLAHDVAKAYALPDPEVYRSNTQRWRYYTARDSLRRYIRETRIGCEKDPSFVKRWNLVKYAVDAMKEAETPHCSQFVHGASILDALLANPELQEQHTLIKEQVIQSPSAGDLLRKLVQLADTGRGCPKMYGVWVMAHLAGDLRPEQLQLQLPRGSDLFRCVASLVRVPGDDKDIGFDFLQDVAAAGLSLLGNLVAHDDGWCRAVADNEDMVGSVMVPLRCDPDHTRFQHGDTRSSRDYMRIRERIGASVQVLRRLVAAPGDAGEMVRRRISGRRDVMASMEAILRCDECDDCRLMEGALEIYTLLRGEDEPSIITTMAGHFIKRLVLIFVKRTTQDEDTILAGQMLAKISRDGKENTRIILEAKADVVNDLTGILEGKSTKCGIIAAQILEQLCIHHTDDDDDVVHKLKEHLKDKIPKVICLKQDKTNTFCLFILNQHVILMINLTLQILAETLPTNGEYYFWYERNREILEAVLSVIVAMSRNLMKAQDLAALFDAITSEDSAGFSILDKLNQLFEQLTWVITAHIMGALKLVMEVFILTARHGSHDATKHTRRLMGSLADAAKQIANSEDIMVLAGSCSGQKTIISLAEELRAAVDNLQRSREHAPEMAVFLHTQKSEKDKNSKDAYGNDGHMAINKKIGGIRCLPGPAAGVRLVAGPLVLAVTVLYFCGLVISTGHAAWRLLCRYYDSGGANLQATLDVLYGLVLLQGVLSYYHFSSRFCQGRLAPDMAKAYVFPDPETRIGCEKDPSFAERWNLVKYAVDATKEAKTLHCSRFVHGVSILDALLANPELKEQHALIKEQVIQSPSAGDLLRKLVQLADTGRGCPKMYGVWVMAHLAGDLRPEQLQLPRGSNLFRCVASLVRVPGDDKDIGFDFLQDVAAAGLSLLGNLVAHDDGWCRAVADNEDMVGSVMVPLRCDPDHTRFQHGDTRSSRDYMRIRERIGASVQVLRRLVAAPGDAGEKVRRRISGSRRMMASMEGILRCGECDDCLLMQGALQIYTVLRGEDEPSAVAKMKGHFIKRLVLAETIIRTNGESYFDWYERNREILDAVLSLTVAMSGNFLKAQDLAALFDAITREGSGFSILDELNQLVEYLTWDISIHKMGTLRLVMEMFILAAKHGSHDATEHTAKLLKSLADAADDIAECEDIMVLAGSSSGQKTTFSLEEELRAAADKLQRSRERAPEMAVVIDHPTSVDATRTE >OB12G22210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11716064:11718823:-1 gene:OB12G22210 transcript:OB12G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13650) TAIR;Acc:AT4G13650] MTHRGATSLGRSLAGFLAQEDPAKVLRLFAAKAREHGGLGAVDFACALRVCRGNGKFWLVVPEIHANAITRGLGKERIVGNLLIDLYAKNGFVLRARRVFDELSARDNVSWVAMLSGYAQNGLEEEALRLYRRMHQSGIVPTPYVLSSILSSCTKAELFVPGRLIHAQGYKQGFCSETFVGNALITLYLRCGSFISAERVFCEMSHRDTVTFNTLISGHAQCGCGEHALEVFDEMRLSGLIPDYVTIASLLAACASIGDLQKGKQLHSYLLKAGMSLDYIMEGSLLDLYVKCGDLETALVIFNSGDRTNVVLWNLMLVAFGHINDLAKSFDLFCQMQAAGIRPNKFTYPCILRTCSCTGEIDLGQQIHSLSVKTGFESDMYVSGVLIDMYSKYGWLERARCVLDMLKEKDVVSWTSMIAGYVQHEYCKEAVAAFKEMQKFGIWPDNIGLASAISGCAGIKAMKQASQIHARVYVSGYSADVSIWNALVNFYARCGRSKEAFSLFKEIEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSDVKFNVFTFVSALSASANLANIKQGKQIHARVIKTVHTFETEVANALISLYGKCGSIEDAKMEFSEMPERNEVSWNTIITSCSQHGRGLEALELFDQMKKEDIKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSHEHGIRARPDHYACVVDILGRAGQLDRAKKFIEEMPITADAMVWRTLLSACKVHKNIEVGELAAKRLMELEPHDSASYVLLSNAYAVTGKWENRDQVRKIMKDRGVRKEPGQSWIEVKNVVHAFFVGDRLHPLADQIYNFLAAINDRVAKIGYKQEKYHLFHEKEQEDKDPNALVHSEKLAVAFGLMSLPPCIPLRVIKNLRVCNDCHTWMKFTSEVMGRKIVLRDVYRFHHFNNGSCSCGDFW >OB12G22220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11724367:11727400:-1 gene:OB12G22220 transcript:OB12G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47710) TAIR;Acc:AT2G47710] MATEEAAEAASASAGEAEGRMPMVLGVDESEHSYHALQWTLRHFFSAPAGQQQQQQYRLVVVNAKPTAASAVGLAGPGAADVLPFVEADLKKSSMRVIEKAKELCAQVTDALFEVVEGDARNVLCEAVERHQAEMLVVGSHGYGAIKRAVLGSVSDYCSHHAHCTVMIVKKPKHKH >OB12G22230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11745867:11747212:1 gene:OB12G22230 transcript:OB12G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT5G49130) TAIR;Acc:AT5G49130] MEELKLMRSLCLPISALNLLHYVKSMVTVLCMGLLGRDELAGGALAVGLTNVTGYSVLSGLALGLEPVAGQAFGGGGGGSLPTPPGFNLATLAFLWISLTWAGTAQKEPDEPTGSAEWADMAHFSEWAQLLRLSLPSCLSVCLEWWWYELMTITAGYLRDPAATLAAAAIVIQTTSLLYTISVTLSSAVSARVAYELGAGRPRSAHVSFVVAMGLAMVGSCVGLAWATLGRRPWVHVFTDDPTVQSLAASVLPVVGLCELANCPQTTGCGVLRGSARPVVGAAINLCSFYLVGAPVALVLAFGLDMGFLGLCLGLLSAQVVCLLCVGFATFQTDWEAEALKAFHLVGSGDDKGGLAQKENV >OB12G22240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11758896:11762957:1 gene:OB12G22240 transcript:OB12G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHQLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLGLLSKGSSSLKRVDVSRCDHVTSQGLASLLEGHNFLQKLNAADSLHEMEQCFLSNLAKLKDTLTVLRLDGLEVSASVLQAIGESCNNLTEIGLSKCNGVTDEGISSLVAPCSRLRVIDLTCCHLLTNKALDSIAANCKMVECLRLESCSSISEKGLQQIATYCPILKEIDLTDCGVNDAALQHLAECSQLQILKLGLCSSISDKGLAFISSSCRKLIELDLYRCSSITDDGLAALANGCKKIKMLNLCYCNKITDNGLVHLGSMEELTNLELRCLVRITSIGISSVAIGCKNLIELDLKRCYSIDDTGLWALARSALNLRQLTISYCQVTGLGLCHLMSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLNGLKDVLSPELLQMLQASGCRIRWVNKPLVYKD >OB12G22250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11766550:11769633:1 gene:OB12G22250 transcript:OB12G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFKTLCCWAELCHQEVRQRTCWLCGIEQVELMEEVVAVAARLRADEAAAIFVWREKEEEEALDDGVVEDEQGDTQKLHRIYENLNRDNIMAVNGSVTSDGKATEQLYQIHKVDEINSSELLSKRMSFDLLYFGFQVSEAVENYDHNSVSQCAEITADGMVSEHVEKYDHNSDMDCAEITTPGMGTTSVTENDDNSSKNITENKIIVHDCKGAVNSDERTVSLSNLGIAHEEHDNHNCVAQDTTSTESAANQEQFSEEMKMAEMAEERETTPMAETVEVKLEEESVITPMVETEVNPAEESVATPMPETAEESETTPMAETAEESDTTPMAETESDTTPMAPHQWLKQKG >OB12G22260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11768833:11769228:1 gene:OB12G22260 transcript:OB12G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKVKLAEEVMKENEFEQKEEKAKQEPTEPVSSLAYSSVPSSVPSLLKQTVNKRMVNPGWNETGMKLEQDSPYGELKDHELTKGGAAMGGAISTMVRRTDSMAILALMFAVTIGITIVMRLYVPLQAT >OB12G22270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11771333:11773096:1 gene:OB12G22270 transcript:OB12G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATASSSSYTSSSGSSDSSSSSESDRRRRRRHRKEASSSSALKARKDRRSRHKRRRRERRRAPSDDESYSSSSYDSDHESKSRKHKKRSRSRKSRERERSKDRHSKRDKSKH >OB12G22280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11773309:11774346:1 gene:OB12G22280 transcript:OB12G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWIAGCLAVWFCSLQCGSSTQKKDSERTSGPVQLSKFLGRDKEEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >OB12G22290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11775317:11777342:-1 gene:OB12G22290 transcript:OB12G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNLNDLRFKQLKIVCLALFVVLLTWKLEKGSLRNTGELLRSEPRALSHPDKSKYIDHHYNSKEFFSSSDALVQLVDEVGREATATPPPPPQSVVHNAENVTVQRDASSPPEKKECDYRNGKWVPDDRRPLYSGLKCKKWLSDSWACRLTHRNDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSLMCMLTAGDDHPHVEDAGKHYGLVTAHHAKRPDGWAYRFQRTNTTILFYWSATLCDLEPLGRSNSNPASGYAMHLDRPPAFVRNNLHRIHVLVLNTGHHWNRGKMKANRWQMRVAGAPPHDRGIKIIWRAKNLTIHSVVRWLDEQLPSHPHLRVFYRSLSPRHFFHGEWNTGGTCDSTRPLAKGNSVLQDQSDDGEAEAAVRGTGIRLLDITALSRLRDEGHISRYSSTGRGGVQDCLHWCLPGVPDTWNEILAAQL >OB12G22300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11781582:11792412:-1 gene:OB12G22300 transcript:OB12G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLUB [Source:Projected from Arabidopsis thaliana (AT5G54440) TAIR;Acc:AT5G54440] MANYLAQFQTIKSSCDRIVVAVEDVSDLWLNVKENFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRYPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQACKMAKKVYARLESDFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEITNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAAVLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLHRPVEVAARGYAFVVSFSKTLALQENGLPFCFREVWVITACLGLIKATTSHYDGTVVAVDSEKEFCRIQGDLYSLCRIKFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEIMAKEKMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSGDGSGLDANSKHSPNKSASNYMSRTMSGPVTSETSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTPLASLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYEMAAKSYEKVCALYSAGGWEELLADVLPDLAECQKILNDEPGYLTSCVKLLSLESGLFTSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSIAVWSAFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEVMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLKIEESQMIEIETYIGDVEHVGYTDASKTSPSFTDTRKVEKVRIEDGKIKIPDWASDVTTLVWFPVRAIDDTIARGASPASPQKQSIVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILHSEVKATLHVKDVWLDLQSGFEHIGKGDGRPTSNLFPLVIAPSSRAGILFVMRLSGTGDIDELEKADSMLNIKYGISGDRTTGAHSPVPVTPDDSEELLFKIAVKLKRPVLDPCLAVGFLPFSTDSLRVGQLVNMKWRVERLKNPEDASLVDDEILYQVDANPQNWMVAGRKSGHVSLSNTQGSRIEITVTCVPLVSGYVHPPQLGLPDVGEANISCNPAGPHLVCVLPPALSTSYCIPA >OB12G22310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11800062:11800457:-1 gene:OB12G22310 transcript:OB12G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTVGTGEGCDLEGVEVEIVHRGRGKKEFRQGKVGVWLGLRRRRGSATQGEGKGIEGVGGRIAHGGGQGGSTTGGEGEIVLAEGEGVGFGQERRGERRGEERRGEMGRGLLDLKHEQNSDFDPKTKTYG >OB12G22320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11808971:11812780:-1 gene:OB12G22320 transcript:OB12G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHECKSQDIVSCSKHSTFNNFNLFNCLASLLSAFIVGEGNLQHEYAGSNSQLLEGPEVKEELISLLGYLKLCMYFSKKPYKVFMEFGEYDESDVLIKKSKARLMKPSFTVVRDRSAKCFFLFIRGAISVKDRLTAATGAEVPFHHVVAQDGCVSKLVLGHAHCGMVVAARWIAKQAIPCLSEAIAQFPEYGVKIIGHSMGAGIAAILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHTPFVSDPRSKVVDVDMLVPNNSEAEVKTSSNSDAVVKKRHALACWSCVAAVQKQSTAKHGTHDMTNQTDVDAKAEKIDIQAAQLVSISMQELDLQESDNDDDDTDRGGKAPATNETDEDQAMELLETLTEPSSSSHCQEPRQLYPPGRIMHMVGLPCSEPNTSEQGGREEAVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLNLAQDIDSDQLGSL >OB12G22330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11844634:11848965:1 gene:OB12G22330 transcript:OB12G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNAIFYLRSFADKGGAQLYVPRGRWLTGSFNLTSHLTLFLEKDAVIIGAKEVSEWPVVEPLPSYGQGIDLPGARYRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVLVHNVTIQSSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITFGRPTSDIHISRVDLQASLGAALAFGSEMSGGISDIYVGHLNIHGSSKGILFKTAPGRGGYIRDVVISDVQMEDVHVAIKFTGDWSTHPDSPFDPSALPMINRITLKNMVGTNISVAGVLSGINDDPFTNICLSNISFSIANSTQSNCWSCSNISGYSELVFPEPCPDLHHASSNSSICFSLLSYHALAAA >OB12G22340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11851399:11854819:1 gene:OB12G22340 transcript:OB12G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALPEAAVPGTKLGHKLGLDTVAGKEALDDTTKDGAKKGGSSRSRLIHMGQVWSKRSIAVSLERLWNVFSDPLAMPKVCAGFFDAIELEGDGGPGTISILKFNPAIKQGSYKTRLVARDDATHFIKSEVLHVPLGRAGKMKSQSTEMKFEAAGVSSCVAKLKLDYELEEGGPLSPEKEKIVLDGYFGMIKRIEDYLIAHPTEYV >OB12G22350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11862793:11864594:1 gene:OB12G22350 transcript:OB12G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCISDERAVAVSAERLWKVILDAPAMAKVCAGLVDAVEVEGDGGPGTINTLKLNPAAEAGSIYKTRLVARDDASHTLKSEVLEAQSKVGKKLKSHSLESKLEAAGDGACVARLRVECELEEDGGALSPEQEKAIAGGYFGMLGMIEAYLVAHPEEYA >OB12G22360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11867541:11869110:1 gene:OB12G22360 transcript:OB12G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCFSGEHAAAVSAERLWKVILDVPAMAKVCAGFVDAVEVEGDGGPGTVHTLKLNPAADVGSVYKTRLVARDDASRVLKSEVLEVQSKVGKIKSQVLESKLEAAGDGSCVAKLAVECELEGGAPLSPEQEKTIVDGYFGMLKMIEAYLVAHPAEYA >OB12G22370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11872192:11873509:1 gene:OB12G22370 transcript:OB12G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVRDEHAVAVSVERLWKVFMDGSAMPKACPGLVDAVEVEGDGGPGTIYTMKLNPAAGVGSTYKTRVAVRDAAARVLRSDVLESASTVGKLKSHTTETKLEATGAGSCLAKLTVEYELEDGGAALSPEQEKKIVDGYYGMLKMIEDYLVAHPDEYA >OB12G22380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11876287:11879580:-1 gene:OB12G22380 transcript:OB12G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKMRGCDMPAFLDAGRPPCDCGFMICKECYAECVAAAGNCPGCKEAFSSAGSDTDSATSDDDEAVSSSEERDHLPLTSMARKFSVVHSMKVPGAGAGAGKPAEFDHARWLFETKGTYGYGNALWPKDGHAAGATNGFVGVDEPPNFGARCRRPLTRKTSISQAILSPYRLLIAIRMVALGFFLSWRIRHPNPEAVWLWAMSVACEVWFAFSWLLDSLPKLCPVPRAADLAVLAERFESPTARNPKGRSDLPGIDVFVTSADPEKEPPLVTANTVLSILAADYPVEKLACYLSDDGGALLSFEALAETASFARTWDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNAGMYPFTSVFLLVYCLLPAVSLFSGKFIVQHLSATFLVFLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVVAGVDISFTLTSKPTNDGGAGGAGAGGEDDAFAELYEVRWSFLMVPPVTIMMVNAVAIAVAAARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYISPPAGARERIGGGGGFSFP >OB12G22390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11879833:11880273:1 gene:OB12G22390 transcript:OB12G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSASPATPAASPWTTRASASARGSSARSPSSPPHPPRGSLPATPPPSPAPPPATTAASTSPPTNSTQTHQTSSNSTSNQLSSTPASKGRKKSSRLHHPNSSSSRLRIGRDRIGRENYRELEIPLHMRWIEAIDASGTERIYSASPF >OB12G22400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11887684:11895433:1 gene:OB12G22400 transcript:OB12G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAWERLAADVRLHGSCFDALIGLEDAEGSGDEEERGAAEVACPFCDEEFDGFGLCCHIEDEHQAENRAGVCPICYDGVGMDLVSHITSQHPSFFKGKWRNRRVSHGSHSSSRAALKKDAKYLQYRYGGSTRSASQNTEADPLLSSFVSNFTDIDLPKDVQQEFSDEMDEKSVSLEQKEQKPVESADDEPLLPEVKEEKTRRSQFVQGLVLSLMFDDIL >OB12G22410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11894554:11895223:-1 gene:OB12G22410 transcript:OB12G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSDGKSSRSHQLPLGIILFGGSDGCLQSIFRMETLHKMSSNIRDNTSPCTNWLLRVFSSLTSGSKGSSSALAKNCSKETLFSSISSENSCCTSFGKSMSVKLLTKEDSRGSASVFCEAERVDPP >OB12G22420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11900663:11903317:1 gene:OB12G22420 transcript:OB12G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGHLLLLSPRILSLYIYMSARRQEIRGCRHLQELAASCVRTLEAALESAINRIPEEVTKALTNFVHNPSICNTLSERNQPPRYKLRFTNGLSNEVFTKKGICDVNGEPLKISVDADDPQDAGSHRLFSAKIRVVVLDGDFNKNDQGCWTSEEFTNRIVRPRDKVGAVLTGELELSLKDGEAHIHDATFVDNSKFMRSGKFRLGVMAIDDLGERIQEGITETCFVKDRRGEGSRKHEIPLLDDDVWRLKKISKDGVFHEALKKSGISNVKNFLRLYYTDEHALRKILIKATDKIWTTIVEHAKKCDPGRQLYSFMENNNVVLFLNSVCQIVDVKQGDQYIPFSELDRTLQDKVGQLRKSAYENVTQLIPINQCVFQESWMQEPKFSDQIQGHMDPNFADIIQEGTIVQKTNTPYFLHAFTNGY >OB12G22430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11905275:11905463:1 gene:OB12G22430 transcript:OB12G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPMYHPQKVNSCWISELFFCSKHKAWFYQEAIVFGILDLLRSNKKYLEVLNCFLSLDLAK >OB12G22440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11907688:11907894:1 gene:OB12G22440 transcript:OB12G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGFWGAFGLHLAFAGRESAALACVLDFGLTSGGGGGGGGGGGGGGGGGGGRRRPAPAQLSSPTNL >OB12G22450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11907956:11912899:1 gene:OB12G22450 transcript:OB12G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRELLLVRRGSEKRLRVTLPGSAAVGTAPPSPATRMLRKIVLVLLFLLRMSERVTMVQNISKIGRMVQKLHNVQGVIIRKLEKLDKMQEQIEDISHEVKQLKLLYSNRHADIHPGLEPNQEQTTATGSNTNVQLRFLNSLKTPIYTEKDITAESNEAIRIGIFEGDNMVTDGPLSKVKVEIVILRGDFSNDGRVSWTEEQFNSHIVQGRNGQGFVLGGDCCIWLKNGENCLSKIRFKEGSSRTRSRMFIMGARVPKSENIGIRVQEAVMKPVTVLDRRNEANEKRYPPKLDDEVFRLEEISKDGSYHKRLKNAKIFTVRDFLKALNTNDKKLREEVLQMNKKTNSWDKMVRHAKGCCLRDQLELKAYRSEEENVTLFFNCVHQLVGAVFGYDYVIYDNFDPAQKIIVNKLKDNAHAKLEDTSSDYVLKNNIPELVITTPATVAGPLNHYDHEMRHQGNVAAETVCNGQTFYPNPSCDCSTSNYNDVSTHDYRDQETMLLPDWQLDELLNESMPPAGWMAAPQSHVYQHGQDPSRLPFPGSGHNSNC >OB12G22460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11913567:11915350:1 gene:OB12G22460 transcript:OB12G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGATSSAGAGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYLMNQGSKHQSHQDYMERANKARSERLSSGQSSSSKE >OB12G22470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11919144:11925615:-1 gene:OB12G22470 transcript:OB12G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G02120) TAIR;Acc:AT4G02120] MAEPGKEAAAVAVEEEPERPAAAKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKHWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLARSAGPPELRDWTQMAESYDDLKNPVKIALVGKYTNLTDSYLSVVKALLHACVACSLKPSIQWIAASDLEDATATSAPEAHAKAWETLKDSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGIKDADSEEFNSNTPARVVMYMPEVSKTHMGNTMRLGCRRTFFRRTDCLTSKLYGSPSHVDERHRHRYEVNPAYVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHVGTSE >OB12G22480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11938768:11938923:1 gene:OB12G22480 transcript:OB12G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHISVNCTKTCSAINSKVPMDYTCKEKEAICAGQKFPVCIFEKCGSHFLIF >OB12G22490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11939482:11941284:-1 gene:OB12G22490 transcript:OB12G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSKRLKWTSEEDDKLIRFIQENEEGTWNWGSLPKQVGLMRSAKSCNSRWNDYLRDKSGRGNFTKEEDDTIASLQQAIGNRWSIIAEYLPRRSSIEIKNYWRHLKKRVHWISNRNGRSEIVVLPNSNIGEKKMDMSWENESSTSTRSNAAGKELVRSVSNLEQLTIQEANSFNNNVPGSTNLSNEGNNCKTDGEDLGFLHDFESYSFEDMSFSDNILDEDCNLNYGGYVSGSTACLNNTTISGEGLALPRESNWSDYKYTTMLNNSTEIDGLRSRRNRAEFTACRAHP >OB12G22500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11944151:11947895:-1 gene:OB12G22500 transcript:OB12G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVVFAPDVLLGARSGSGGGAATAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQARMFPAYFMLISVCAAVSVAAFAYLHPWKAASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLEM >OB12G22510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11953225:11954781:-1 gene:OB12G22510 transcript:OB12G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) domain protein 40 [Source:Projected from Arabidopsis thaliana (AT3G16890) TAIR;Acc:AT3G16890] MPRLGLRPSTAVYNALIAASVRAGAVDAAYLKFQQMPADGCRPDCFTYNSLVHGVCRRGIVDEALRLVRQMEGEGIRPNVFTYTILVDGFCNAGRVEEAIRVLDRMKEKGVAPSEATYRTIVHGVFRCLERDMAYIMLSEWLSHEPTVHQSACQTVLYCLSKKGMAKEAVEFAKTISTRGYLLDSTAFGTVISCALKCLEMSDLCELLDSFIKNGGNPGFDVYIMVIKSLLNCKNFPKANHYLWHMVSKGVLSSVMSYNMVIDCFIKAGALDKAEEIVKGMQEKGFLPNLVTFNTLISGYSKSGNIHNAKVVLKMLMEHGFMPDIITFTSLIDGLCHTHQLDDAIVCFHEMAEWGVRPNVQTYNVLMHALCSAGHVNRAIDLLNKMKTDGISPDAYSFNALILSFCRMRMVDKAQNIFNSMVRFGVVPDNCTYNSLIKALCGQRRVSEAKKILLAREHNGCSTSNNQSYWSIVCALTKMGHFSEAGELMNKCLSRNVELSCGSNQSIESAVAVQVVNA >OB12G22520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11960115:11960336:1 gene:OB12G22520 transcript:OB12G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAKAECGGGKESWPEVVGLSIQEAKKVILKDKPGADIVAVPLGSPVTDDLRFNRVRIFVDTVAETPRVG >OB12G22530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11961943:11962343:-1 gene:OB12G22530 transcript:OB12G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGVVACCLECFLEGDALALFAYGVPPWVFGASRYTALQVAQDTCAVEEEEPAEAVLRRANAALADDDPAYYCKTGRHYASRAVPPEVAASAAIVVQPRLCFAVSAGAGVGVCVSIYIYIYVCVCVFC >OB12G22540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11966835:11968192:1 gene:OB12G22540 transcript:OB12G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKVLSRRIETWELRRGDHIYARRRKLGVIIYMHHGIYESHQKVIHFLSSADTMGSSSSLAFTVCASCRNGGGCASTMRGGGVVACCLECFLEGDDLRLFAYGVPWWFFNCTNAGVGQDNCSMEAEDPADEVLRRANHGLRHGGFGGGYHVALNNCFDFAYYCKTGCHYVSAVDVVRDVAHPLRPSPYSFQELRSTLRRWLF >OB12G22550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11969733:11972812:-1 gene:OB12G22550 transcript:OB12G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEARSWGPTFAFNVMGKGLKYASPTWQKRTCVVPTLNAGRHVGALVGALRRKRGAAWQVSLAEQLASNLESVFSSNAAEIRHSQTPLVEVSSVIYNFSWRLVIYPYLKQLTPSNVNIVIDNLVICTGHRQLLRICFVYFEHRCRVFKLLPSPL >OB12G22560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11994085:11994456:1 gene:OB12G22560 transcript:OB12G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLQIAQEKAGIVPISYRRVPCVKQGGLRYTITGNKYFILVMVANVGGAGDVAGLMVKGNKRVKWTTLKRNWGQEWQTSEDLTGESLTFRVMTGDHRKATSWHVLPRDWQFDKTYQATKNF >OB12G22570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:11997977:12001767:-1 gene:OB12G22570 transcript:OB12G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYSASEEELRYHMGLSNFTTGKGKVNLGESNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >OB12G22580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12006794:12008328:1 gene:OB12G22580 transcript:OB12G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:J3NE50] MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKSVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >OB12G22590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12008945:12011683:-1 gene:OB12G22590 transcript:OB12G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G56345) TAIR;Acc:AT1G56345] MTKLPLLLSPRAATALTTSPSLPPARRLAGAADADQALTMSAAEAGEYPVPVSPPYPAVSKDVELRRAMTASARSAAYSSATVVFEDEWLAVVDKPAGVYCDALLSVLPCPGSSAAASGDTSTKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHEVKKTYLALCIGCPPTWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTQFEVLGINGNCQFREPSNLEVDEIESITVQEKAADLNSNSDVKNSSMILVRAYPRSGRTHQIRLHCQYLGIPIRGDVKYGGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLASWANEFISTME >OB12G22600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12014518:12017291:1 gene:OB12G22600 transcript:OB12G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLKNDGTIDALRMKIIAQLKANLGMRVTTPRFSGGNLPEDMKNNTMMMVEQSKVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILDTDGLGKEIPDTVEKVFSRLSGIDMMPPPPSASLLSHQDREINNIPVDGEKSKELDTPETSSSSRKRRYADTITKGAGGAGTRPNGGVTSQLDGSEESN >OB12G22610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12015011:12022186:-1 gene:OB12G22610 transcript:OB12G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT4G02060) TAIR;Acc:AT4G02060] MAAASTKIIDFAAERALAKDFLSNFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFAEAIDELMPESTEAYVVDEDRDILMTQRVDEGADGGADGTDPLQKMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYFGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLVDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMENDLEMARHVVHVHQNLESPALGFTPLEPSVLRAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >OB12G22620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12030006:12031979:1 gene:OB12G22620 transcript:OB12G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSARIAARAGDIAGQYVRVEQENTVLRARAAELGDRLRSVNEVLRVVEDFSGVAMDIQEEGPPDDPLLRPWQIPCPAAAIAGAPLLQY >OB12G22630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12033837:12034057:-1 gene:OB12G22630 transcript:OB12G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:J3NE55] MAGGRIAHATLKGPSVIKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >OB12G22640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12036860:12039539:-1 gene:OB12G22640 transcript:OB12G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSAAGAPRLDNSLTRRRRSSGTPRRPRPESSPAAEKPDASSSSSAAAAAAASDEDAASSDGEVPRREVHLDGGPSPDRATEGVLSPANPRGLHKTKGSNQLHSEGSVGGGGGGSSSHGARVMTANHEPSTGDKTRKLKLKIGGIRRSAPAKPSPDVSDSRSLPAKPPRPGDLQQRQKHGNKAEGTKDSSRLPSSRDKKTKKEKSIEDASTPEQPAKFQREPSSDPVRKSRRLSKKPIVDSELDEDYDTNIVEDLGTSEGTEVHTREPTKKVGSSSKKNTTKKAKSRSTAYEIDNDFVSSRSIRDSKKRSRESTDVDNTEEEPASDNELDAKNRKQKSVTESPSNVRSEPLTTRRRALQSWMDGSSNSAIEFPDGLPSAPSRSKKDKLSEEEMHAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKERAARAQTLPGNTIRWVMGPSGTVVSFPEEVGLPSIFNSKPCNYPPPREKCAGPSCTNAYRYRDSKLNLPLCSLKCYRAVHGNA >OB12G22650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12043743:12044246:-1 gene:OB12G22650 transcript:OB12G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLVACVRVLLVAAAVAASPAGAAADARKSAAERLGDPETPPLARGPLGDCVDSYEDIAYSLDQAEKAMAAGDRGTTGTMLDAVRTDVDTCDQGFEDREELEPLKPKQDEELAKLASICIDIAAAAGLRH >OB12G22660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12046566:12046982:-1 gene:OB12G22660 transcript:OB12G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCQMTVVPPPGSSAQKLDAAAVLRLAMGAVRAKAAAAKKDAGAIVADPKTSPLARGALKDCMDSYDDIAYSLDHADQSMAAGDKDTTGTMLDTVRTDVDTCDQGFEDREELTPVMSKQDAELAKLASNCIAIAVAAGLR >OB12G22670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12051318:12052572:-1 gene:OB12G22670 transcript:OB12G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPCAARPSKGSSKNSKNMALNDGATAIAMAKSEVLVREYDRERDMEAIEKLERSCEIGTGKGFSIVTNMMGDPLCRIRLFPLHVMMVAEVAGGEGKELVGVARGCVKRVATGLGGDDGGTVAAGYILGLRVSPLHRRKGIGSKLVKSVEAWAALHGAR >OB12G22680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12057821:12061822:-1 gene:OB12G22680 transcript:OB12G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:iron-regulated protein 3 [Source:Projected from Arabidopsis thaliana (AT5G26820) TAIR;Acc:AT5G26820] MTLLSTFVPRCYITNVEVDVSTLNEEEALDDHPPLLPACAIPVVHLRDVPDSSPFPLHERAPHPTDFEELPVLSEGEQHTLAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYALKNVTSASTSTVVLKPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLLCETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVILGQVINKFSCHALDSSRTLSDESICADLLDVRKIVQNGLSAIRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALIVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEAI >OB12G22690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12064755:12065033:-1 gene:OB12G22690 transcript:OB12G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGLPPPHRTQIHHGDPTTQPDQTKLLPNSSHKNPITGSPTSPPPPPIPIHQTKNSFHLSGQEKAPKRPGLSRRHLALTASDLDDKTGRIRRG >OB12G22700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12065713:12069377:1 gene:OB12G22700 transcript:OB12G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:genomes uncoupled 1 [Source:Projected from Arabidopsis thaliana (AT2G31400) TAIR;Acc:AT2G31400] MGRSDLARRAFDAGVAGGYGNTVFAHSALISAYARSGLANEAMGVLELMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLKDGLCPDRKTFNSLLAACSRAGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNMELAMQVLLDMEAKGVKPNVVTYSTLIDGYSKLEKYEEALKLCEKMKSLRIQLDRVCYNTLLAIYVKTGKYTEIANVCDEMEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGIAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVITEDDPEVGDMGIVGVYGGQIVRVVNPMSRGGRPATEIRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLVGSREVWSQAQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRRRAQQVVFEGINRRVWENTWGEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRIAGASTLRRVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTVNILLLHDERVQQQAASPSPNHLVPRLQALQL >OB12G22710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12074319:12078106:1 gene:OB12G22710 transcript:OB12G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:J3NE63] MSAPFSHYYVYTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSAKNNVDILHGGTLTAPVEIIRCLKSIKEYAFCSSPYPLVITLEDHLTPDLQAKVAEMLVKTFGDLLFIPSSDPVNEFPSPESLMKKIIISTKPPQEYKKFLKSQDNQNINVGITNLAEEGSLRRIDSNAEESDGKDELDDQDEDSSDEDDSKFQQDTACEYRNLITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQKNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLVRTGPNGEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTQTIEDQWVPVWNEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGNRYKSVRLLMRFDFL >OB12G22720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12077505:12077801:-1 gene:OB12G22720 transcript:OB12G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGADALLQLRHRQACLPPKVMLLRHIILMDLDPQHSQLWYRQRECELLVPHWYPLILDCLSLLHHTICPYSSDPHLNICICIHHSHYSFTFYLAT >OB12G22730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12079093:12082389:1 gene:OB12G22730 transcript:OB12G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPSGLPMPSSSVRYFAQGIAPVSHDDATQRNSEVGLLMKMQVKRKAKDDGTKPPSTPKASEQVIPPDNTKPYLPLINSTFVLCNCLEHSAGTVLTTNPEAE >OB12G22740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12085406:12085765:-1 gene:OB12G22740 transcript:OB12G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTTASSKGCSFFSPSRFPRQIRAQIGARTRANPPPEIPQLQYLGISPPNQPKNRSFSSPETVLGFFYLDKYSKLVWNLSPKEKNFFLSKIKKIKHKERIKYRGDREINNEVWGNEMTW >OB12G22750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12086733:12093596:1 gene:OB12G22750 transcript:OB12G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDSCDINVVNDIFRRISLGESWTGKFPVKNKAGDRFSAVTTNTPFYDEDGSLVGIVCVSTDLRTMEEIVSSPSISARPHPESSRPFCEASCSNNNRKSNLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRTDENGMEREGGSGESHCSDRDTKEEPTSTGTTTPRGDAPRGAFATEENSPGKSTKANSDESEGKIGLHKILSSKAEALLNKKGISWPWKGRENDGPDVKNQVAWPWLHGEQDSSQNHQKFPDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDLRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILNCWETDSQLRPSFQQLLERLRELQRQYNVQTQMQRNASAAAKNSIEE >OB12G22760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12099860:12106404:1 gene:OB12G22760 transcript:OB12G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLIVDAYRVGEFPFWFHRTWTTGLQLGIALTVLYNAVGPATIASVFVIVLTVLLNAPLAKEQQNFQNKLMEAQDLRLKTMCESLANMKISKLYAWENHFKGVIGEFRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLEPINVFTFVAALRLVQDPINHIPNVIGSVIQARIAFSRINEFLGASELQKDQVWMEYGALSQYPILIKSVCFSWNSSENSNLRNINLMVKSGTKLAICGEVGSGKSSLLAAILGEVPKTDGVQVCGKTAYVSQDAWIQTGSVQDNILFGSTMDKPRYEETLKLCSLLHDLKILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPISAVDAHTATFLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIVHAASYQELLSSSREFQNLVNAHKGAANFPNVNMMDNNGDKCSFKRENVVVYDEGKESIKKAESSQVIRREEREIDDTRLKPYLMYLGQNRGYMYSILVAIANIAFTSGQLAQNSWLAANVQNPSVSTLNLVLVYMAIGICSVIFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPLSFFHSTPIGRILSRVSSDLNVIDLDVPLTLSFSISATLNAYINLGVLCFFTWPILFVVAPVIVTAIRLQRYYLASSKELMRINGTTKSLIANHLAESISGAVTIRAFKHEERFSAKLLELIDNNASSAFHCFAATEWLTQRLEIMAAAILSSSAFVITLLPQGTLSSGVAGMVFSYGLSLNMLFLFSIQNQCSLANQIISVERLSQFMDIVSEAPDIVEDNQLPDDWPSVGKMVFDDLEVKYIQDASPVLGISCTFQGGDKIGIVGRIGSGKTTLINAIFRLVEPSGGTIIIDDQNIATMGLHDLRSRIGLIPQDPILFNGSIRYNLDPQGRFSDKQIWEVLGKCQLDEVIKEKRGLDSPVVEGGSNWSMGQRQLLCLGRVLLRRSRILILDEATASIDNATDAVIQKIIRTEFKDSTVITIAHRIPTVMDCTRVLVVNDGKMVEYDQPQKLMETEGSFFKELINEYWLQISRAGL >OB12G22770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12110071:12115258:1 gene:OB12G22770 transcript:OB12G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) TAIR;Acc:AT5G49510] MAAAAASSSSSSAAAAATPQGVTERRGIPAASFVEDVETYLRQAGLDVNSGLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKRALGEALTADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQATSQ >OB12G22780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12130985:12131364:1 gene:OB12G22780 transcript:OB12G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAWGFYTGLMEGGGEVASSAPEVRRAMEGVDDVVGLSGEAMDLLRTPLVSFCKHQVITVTDLANKTKEA >OB12G22790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12132337:12132753:1 gene:OB12G22790 transcript:OB12G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLDEGAHVVVCPEGTTCREPYLLRFSPLFAELSDGVVPVALAAETATFYGTTAGGWKSMDALYYMANPRMCYTVEFLPAVDTTPVREGKVASTELANGVQRRLAEALGYECTMLTRKDKYLMLAGNDGVVRRRDG >OB12G22800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12135594:12138286:-1 gene:OB12G22800 transcript:OB12G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT3G17170) TAIR;Acc:AT3G17170] MEATAAARPLALLLAPQPQSQPRLSFPRLRLSFPRFSASTLSSASGGGGECRGRRLTVAAAAAKRRRGKGGEDEDERVDTHSFAPKAGEATGAFPEAVLLRKKMLKEDGQVAPEFADAEEEKLYAFLNIEMESDLNLKRMRHYEVVYLIHEDRVEEVEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHTLRAQQDMDDEYFDDEDDVEEEQEEEEDDNGELESADYHDDDAEADDEPEIILVDEVERDDNENIRRRNRTLKIEKYAAEKVLR >OB12G22810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12139302:12139935:1 gene:OB12G22810 transcript:OB12G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHYKYAEVPDAPALAAVYESRCRALALVGRVRVGPDGVNATASLTRHLSTKFLSFFSRIPVRSMYVSCTKRMESSDAKYHQDKIAKQSQS >OB12G22820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12139928:12140161:1 gene:OB12G22820 transcript:OB12G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSVSVCFCTLLIICYFSEQVSPSFKPILQKDLAIGSKGSIMRSKLSMYFFHTKPRFNIIYVIPWRFNLSLFILNEH >OB12G22830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12143207:12146068:1 gene:OB12G22830 transcript:OB12G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Rhodanese-like (InterPro:IPR001763), Serine hydrolase (InterPro:IPR005645); BEST Arabidopsis thaliana protein match is: Rhodanese/Cell cycle control phosphatase superfamily protein (TAIR:AT2G40760.1); Has 5925 Blast hits /.../2 proteins in 1592 species: Archae - 0; Bacteria - 2946; Metazoa - 156; Fungi - 408; Plants - 229; Viruses - 0; Other Eukaryotes - 2186 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G09280) TAIR;Acc:AT1G09280] MAALEEHVAEMSSNALFEGTDFKLASCEDPVDERVARECGFTSLSVRLVKELVTLCSNPSLATPQITCAGRHLSAAEFHSVLQSVAGTTSDSEPPAEKSDVVVLDARNVYETRIGKFHVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSSRCRCSHCRMLVLVCSTCQDSSKQYVCELCQKNGKQCCQISLRQDCETESELIDSSDFGRPATINQIAASTIPRSNGSDQLRKLKILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYRPNPDHYSDRSSLPSSKPKRKFAWLVAPNSTCDTEQDWKIVNALFDPLQYQQQTVGFEESYAYLENALSQMGKIDGILGFSQGAAMAALFCRQQQKTCGTLKFRFGMFCSGYPAPIGGFDGEIIRLPSLHCFGNGEGHDRQIANMASTELANRFDKGCCSIIEHDMGHIIPTRPPYIDQIKGFLSNFL >OB12G22840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12145602:12145778:-1 gene:OB12G22840 transcript:OB12G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIMLNNGATTLIESIRKFSACHVCYLPIVTFTVAKAMKRGEPNYLTVETTNWCWIP >OB12G22850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12147270:12152854:1 gene:OB12G22850 transcript:OB12G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT1G09300) TAIR;Acc:AT1G09300] MVAALRLLRRSLRSSEATPRFLSASQNLVQRVAYNTRVVDVGQPTPQSHPELLAEGEITPGITSDEYISRRKKLLEVLPEKSLAIIASAEPQMMTDVVPYPFRQNGEYLYITGCAQPGGVAVLSEGTGLCMFMPDTSKQDVVWQGQTAGVEAAENFFKADKAFPLSEMQKILPEMVEQSKVVYHNVKTLSPSYKNLECFRRALLNNKVKDIAYYTDELRWIKSKSEIGLMRESAAIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDGRVKSGELLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGASINEIHDHSVRMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKPLEPGVIITIEPGVYIPPVPILKENAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHITTLMNMGSSSNSMMDAHELRAASYS >OB12G22860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12158375:12159019:1 gene:OB12G22860 transcript:OB12G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYVGDEAGQAKTRLLLEETGLPSGLLPLRDIVECGYVEETGFVWLKQKKKVDHYFAKAGRHVSYAAEVSAVAEKGRLKKITGVKAKELLIWVTLHEICVDDPPTGKLPCKAIGGLSRCFPVEAFEAPPAPTSAKDTTNGGDAVEAAAKKDSNGTDKPVAAKEEKEDVAAGDAAVDEIDEKMKELNKDQVVQQAEALTAKN >OB12G22870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12162641:12164879:-1 gene:OB12G22870 transcript:OB12G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:J3NE79] MEKGVVFVVLVVLLASPWPASSQNQQNTFTVNPAAARNKAASSGAAGSFSEFITENVQHYVLSERKYASKAKALDAELSAAEGGAGGDEGRFKTVGDAVRAVPEKNKKRVILDIRPGTYKEKVLIPYTKPFITFVGNPKSPPTITWDDTAATRGKDGAPVGTVGSATVAVEADYFMASGVVFKKGGQAVALRVFGSKAALYNCTIDGGQDTLYDHKGLHYFKNCVIKGSVDFIFGFGRSLYEDCTVVSVTSQVAVLTAQQRSKSIADAIDTGFSFLRCRITGIGQIYLGRAWGDSSRVVYSYTTMGKEVVPVGWDGWNIQKPEHSGIYYGEYKCSGPGALSSKRIGWSLVLSDVQAKPFTGTHFVYGDSWILPPPKTT >OB12G22880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12169601:12171451:-1 gene:OB12G22880 transcript:OB12G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQRSRSVVSSSSTTAPVVMVVPLDDDGPAQDLLMRPVQDQEEEEEQESAAEELRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSARFKDAMRYLWMPRLADVGQVGDYHGYTTTTSDVHGMPVMTPSSSDSFATSESYDGALYANVHKNEIVNGGDYQVQGATQGYCNSESATQGYCNFESKQLHNHGHSQFQDPDLVGWVQGFSEGLSENFWSLEDIWKMQ >OB12G22890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12181828:12186499:1 gene:OB12G22890 transcript:OB12G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT4G15510) TAIR;Acc:AT4G15510] MPIAAAIAGGGRRPPCPRAPPRAAARFSCRCASRPGLCRQHARLFTDRIDENRFHWLAILAVPRRNVLSTSAVFLFGSKQIALAETTGGTFREYIDTFDGYTFLYPRSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYMTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSDILSASSKVADDGKLYYEVEKIFSPYESFGHTINIGVAKRLATPLSVVNIKSYASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEQVFKEEEGDLRRVMDSFRVIKTA >OB12G22900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12185584:12193798:-1 gene:OB12G22900 transcript:OB12G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G49930) TAIR;Acc:AT5G49930] MVKARMSTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRLFERTDFTKLKDTMMMSNAVDDKGSSQATSGSVDGQEPSVSPNGVPITDKSEEPSTTTGKKASKNKQSGSNAKVSNNAQSNKSTLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPQGSLDDHTIQSLVKSISRFEDWLVDVMSGQRIPEGYILMQNKSAAKKNLAPLEFEGSSASHKIYDEYCPILLNQFKSREYNEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDHSVKMAELIEYNLEDVDAAIQAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDVMDDEEKTAPVEKVEVDLSFSAHANARRWYDLKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDNPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEEAIPDVEAEPQKLESNAELDDELDSDKETGQEKHDDESSLNNTNVNKIDNPIPANAYITDKVDSSEQLSEIQTVESSTTSTSKGQTSDYSVSSQLEDLLDKNLGLSPAKVLGRSSLLSSSPSSVAEDTDDLDTKKASIKEKPYISKADRRKLKKGQNIGGSTSDSPNGEAIKKPGNSQQEKVKTNEDKTNTKPANPKVSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKASQKDKPSEDVVDSSTAAQSKPSAGEDDRSKICYKCKKSGHLSRDCPESTSEMDPTDVNVGRGKDGKDRSSAPAGSSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFMHTTDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEN >OB12G22910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12195726:12198692:-1 gene:OB12G22910 transcript:OB12G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGASHGAIGALLWKLGALLSDEYNLLTGMKSDIIFLKAELESMDAFLKKLYEVENPDEQSKFWMKEVRELYDIEDIIDISMVSLGYESNCKLSGFKGFTGRCMDFLTNVKTRHWIAKKIQYLKCCVIEASNRRARYKFDGYVSKLSRTRIDLRLPAFYTETTRLVGIDRPRDKLIKMLMGGDDALLHQLKVVSIVGFGGLGKTTLANEVPGSLKDNLRGVHDMEEIGECYFNDLINRNMILPVKIQYDGKADACRVHDMILDLIISISVKENFVTLYGDKNHKMMQQHKVRRLSLNYHVREDIMIPSNMIVSHVRSLTILGYAEHLLPLTNLQFLRVLDVENKMVLEHDYLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKLLASIIRLQRLVCLRLNSLELPEGIGNMQTLQELSEIEISHNTSVYSLQELGNLNKLRILGLNWSISDSKCDMKTYAYNLVMSLCKLGMLNLRSIQIQSYHSLSLDFLLDSWFPPPRLLQKFEMSINYYFPRIPKWIFSLDYLNYLDININPVDEETFQILGDLPSLIFLWISSRVATPKERLIITHNGFQCLKEFYLTCWESGTGLMFESGAMPKLEKLRFPFNACDVCHLHGLMDFGIQHLCSLKHIHVEIVCHGAKLQEVEALGVLSKVQLTSFLMSLLLK >OB12G22920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12200206:12200370:-1 gene:OB12G22920 transcript:OB12G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLSCFLVDGLTVPGSAHLMKFHTKISVTYFISFILTKLANLEIYFAQLYSLF >OB12G22930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12203629:12207215:1 gene:OB12G22930 transcript:OB12G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVIIKLSALLGEEYTKLKGLQREVEFMKDELSSINALLQRLAEEGSVLDVQTEWRNQVREMSYDIEDCIDDFMHRLHRIGIAEAAGPVHRVAQRLKALRVRRRIANQIQELKARIEDASKRRMRYKLDERTFEPGITRAIDPRLPSLYTESEGLVGIEMPRAVLVKLIVEGKDASFQQLKVISIVGPGGLGKTTLANEVYRKLEGQFQCQAFVSLSQQPDVKKILRNILSQISQQRYDSTNIWDEEKLIDSIRGFLVNKRYFIVIDDIWSIQAWRIIKCALIMNNFGSRIMTTTRNVTIAKSCCSPQHDHVYEIMPLSTANAKNLFLKRIFGTEDICPPHLEELSYEILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMRRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDHLVKRWIAEGFINAEGGQDLEEIGESYFNDLVNRSMIQPVKFRYDGRVDSCRIHDMILDLLTSKSIKENFSTFLGDQNQKSVLQGKIRRLSLSYYSQENITVPPTAIISYCRSLSIFGYAEEMPSLSKFRVLWVLDIEHGEDMESNFMEHVWRLSQLKYLRLNVRSIGALPEQLGELQHLQTLDLVSTKLRKSPKSIIRLQNLTCLRIGNLELPEGIGRMRALEELSEIKISRNSMVSSLQELGSLTKLRILGLRWCISSDIHGGTETLVDNLVSSLCKLGRLNLRSLCIESGFKYSIDFLLDSWFPTPHLLQKFQMAMWYYFPRIPVWIASLDNLTYLDINLNPIEEEALEILGNLPALLCLWLTSKSAAPKQRLIMNTNMFMCLKEFYLTCWSIESGLMFQGGSMPKLEKLHLPFHAGTGTGTDFGIQHLSSLRQLVVEIICRGATIQQVESLEKAIRKTADLLPHRPTVEI >OB12G22940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12207680:12211833:-1 gene:OB12G22940 transcript:OB12G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAESDNGSEASPTAAGAGRDPVASPVKAKARAFLELEATSASESSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGACQEALASYRRALLSQWNLDDDCCTRIQKRFAVFLLYGGVEATSPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGKTHWDPSVMEHLTFALSLCGQTSILAKHLEEVLPGIYHRTERWYSLALCNYASSHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNAESLDGHLKSTALHFLGSCLAKKSRIATSDYQRSLLQTESLKSLSEAISLDRHNPELIYDMGIEYAEQRNMHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVKNGTEEVDDKVSEFEVWQGLANLYASLSYWRDAEICLQKAKTLKSFSATTLHAEGYTHEVREQVQDALAAYFNAVSTELEHVPSKVSIGALLSKQGPKYLPVARSFLSDALRLEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >OB12G22950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12232905:12238493:1 gene:OB12G22950 transcript:OB12G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYRQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRGLFLAWTGWVCVWTAILLFLLAILGAASIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFIPSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYSSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMPNVPLLYILGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNQLVATARQSMRQNVSLSQLYGSMQEAYQQMQTPLIYQQQSVKGLNELKDSTVQMASSMGNIDAPIDETIFDIEKEIDDLLPIEVKEQRLSNLLQAMMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTTYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEVLDNIVTRSRGEIKRLNSPKITSSGGTPVSELKGIRSPCISENTYSPRITELRHDRSPLGGRSSPRTGETRPSKLGEGSTPK >OB12G22960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12239505:12240023:-1 gene:OB12G22960 transcript:OB12G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFSRNSLLSVTHYLLSADQMMGKEQSLTVVHTILIQPSPMDLKDGSLRLPFFSAAPMNSSHYSLDPLSSSRVLVVEVTPVHLSSTVSSPVKAIWFTSPDSRAVGEIIYRERSDDDCQQWRCCWHDRVADSYDPMISNSLSSMQMNSLEVMICLVSEGQCSRANAVPAFKS >OB12G22970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12241061:12242649:-1 gene:OB12G22970 transcript:OB12G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQKALPPELADNVLRLYRECLRRAKFIGHQKHNTGLLVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >OB12G22980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12246339:12255675:-1 gene:OB12G22980 transcript:OB12G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLKDGFVSYLRGFATITPPIPKQIVKFCGITYSAKIETSTFRYETFGNKLLEWFVQPVRSLSMGQRSTELHILKGIDGYIMPGSMTLLLGPPGSGKSTLLKILAGRIDPGKDHGLTGMALYNDKTAYEVQKSRLIAYVCGQLNKHIPFLSVRETLEFARDCTQGLQPENFTPQMRKFFAYALVEGQDPFLEYVLQILDLKKIENHLVSTISKTDRDKLTTAELALGTYSVILYDQPLASSDASMTYGLVNTIRTIIRIQQSSAVMTLNYLSQQTFDLFDRVTLLGEGHVLYQGPRQDAVTYFAQQGYMKPPHVESWEFLQDIAAENSMQYLVPRSTQRSLEELVECYYSSDHYQDILRVIGMSKELSTYWVESEPGIGLSLRKITTINSDASPDDHQEMEVVVAKLLNKPGTSSGVESTGNIQIGDVINENEPQWVQLKKPYVQPWWTSTRTLIQRQLRILKHLYILGTLRLFQALLYSACVYFLAGLTMENDGKVFFEYLFLMFLVAYFGSSIFFFLSAVSSIPEVANALAGLVVSIFLLFSGFVIYPYNIPHYWKWLMHINPIRWANLSFCDQQFSNGYKESCKEYPEQLNFCKGYRHMVVGKAYLIYAELLTNVSKYHLVPYAIIIGWILFVQLLALMSLNKIEFSEMSHYVPSINKRKLSKNYLYDLETYESSCDDYLEGSIESGRYEFLGLPKLASKTAKIMSEDGSDGSWMEESGVETQPAHLSIPVMPVTLTFMDLSFSRCRKGEKEESLDFQNVTGYAKPGALLAIVGGNNGSTSTLLKCLAGRPPPDGNIIGDLQVNGTRPSTDFTRRVGYVEQLDAHQPYLTICESIQFSASLRLVNGVTKARRQHHVETVLDQLGLRYYANHLVGSLRDGTVKTFEVAKKLTIAVELAANPSILFLEDPLSGLDSSGTSAIMNVFSRLIVSRQTVIATVSYPNARALSYFHQVIILTQDGDQAYFGPVGVNCNEILGYFTEIPRLPPYIQAQNPVSFIMGVIGIGIPRKKVAVADIVGEFQNSYLLEIAKGTITRVTTAENESRKHNNMITGNYNYSASPIRQLGLVLLRTQRFLWRNVHYTYSRFTGCVMVGLLMGSLYFKIKYEDTYGVTSRSLYIYMQTILIGVISANNVVPQIGTDRLAYFREMRSKMYHPILYPLSWVVSEVPYFLVATLAFAGIGNGMAGIGTQTATEFIAYWSVLFLFTLCMTYFGMMVTFLAPSPILAAFLVSIITSMWVSASGVVVVFSDIRFYKWMYWTNPFQYAMNTLTTISFYCDTSQCQTCCSCPRLPDGTYVWDRIAIIRSLNQERVCADVMTLAGMCTMFATLAFLFFIVLKHNLPQTH >OB12G22990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12249350:12251316:1 gene:OB12G22990 transcript:OB12G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWIRQIEGGYGLGHGVRWRKFELGAFVDQTLALLIDTASALHLWKFLLPHHQFGYCLYFRPHWKSQVKDLQDIFQEGVLSFHKGICEEFSHLRCEIFWLETLCAVPCKLKGFSDR >OB12G23000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12260757:12269423:1 gene:OB12G23000 transcript:OB12G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPNTTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASSTLPTNHLQTVTTRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKEAAQNEQGKSLPAGPTEEILSANSQSVDNIKTEQHVYDHGGAPFPAKSLPNEVQQPQPYPANFCVPPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFPPNSEATARQGPGHGGYQPKNGDAYLSMPPNSYMMNQPVIPVRPVYQSPMSYDGYYGPPPRASFNNPNVRDSPFVGGPHQPGILNQFPNQQEKFHPGHPQSRPGKHEVAPNEHLESDRIHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNRRADIRETFNEKNRVLMKSVPDHRGPADASHLSIPENVHPHPRETDDGTIRKKFKDDNPIIPDQQPVIKKNVALIEKIESLNNKARNVDVRNIAEPLSSKEAKEKQQKSAPSKEVQKQVKLQNVPAGGMAVELSHSELTETTKAGNPGESTRDRPHRRGDSSRSSHHGPVKDRIANNSAGQGLRENSGTDSSPIISLRNSQHDQPPDDALKLEPVMVTDDMPASLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDAPPEIAVVQQKQNAGFDETAKPASLAAESCDVACDSHTALQPPNGPKHTELSVQSKSSTPTHALGVGKDPTVHNSSSSARNSEHEGQKVIAQSHGINVPKPRQGYRRRQAVSEVSEKFPSEKSSAVLSTESGKKIVEALLDTPTAIVTSHDDTLAHNKKSARHSRNKKKSDEAPVTCKHPPVVLNEQDLVKAPSDKVPSEPKMQTSSEPKTHTAGVIISSSIVPSKGTVVTVGSIMVGGISFGSLNQADETDEAHSSSFNSHPRRQQAKKSGKNQQAVRPIERPHGNEGAVWAPVKLPGQSEHSGDAMRKAGVVAPTQPAGQNTNDGENITKTKRAEMERYVPKPLTKELQQQNLEEIIEKSTGGKLETAPEAKKWEGKKTNRGHGKSHPSWRRRNTDESTLVGPNATELSDNYQESHEPQRQTDQHQSLEPDRQEDALASNSSAVAETVTSVVTVTSAKEHGAANRQRRQHVKAQKNEGSNYPTENKDQAAAPPALGIDSNSYERRSMLRSDVKNSGTISQSRAHWKPKTISQSQSNSHEASISQSQSNSHGNIAKDEHVDSATPQDSSSINLAGNSGGDDEKHAHGGEKRHVEDHQKSESHETAEQQLSHAPRRQGNHNGRYHRGGGTNRGRGYDAGKPSHGANTDRRRGGTHLEYQPVGSFSKSTDFQQNPSVDERAEGPPVHRERVHNKGPHPAGQFVKRNPASAPSANAYRDE >OB12G23010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12039674:12275112:1 gene:OB12G23010 transcript:OB12G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNAKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATANPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >OB12G23020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12276593:12279540:1 gene:OB12G23020 transcript:OB12G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Magnesium transporter (InterPro:IP /.../7); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G03345) TAIR;Acc:AT5G03345] MGIGHVLGVLGGALLAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLVVPAKFLSVLPHSEENRIVSLPANLDFMTFNHRGRALPSDPDLKLKT >OB12G23030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12282111:12291348:1 gene:OB12G23030 transcript:OB12G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRPAAAAAAAAVAANPSASPTRPPLPLPPPAASRSSAAAAAARVREEGEVSSGADDDESLRTRFTAPSNVSKYADASAQVLSASLPGKGSNSLNLSSVFPHKTVAPSYKKTTRVNQGLFKPGTNRNLSWQKPVSSDNLVISFSDDDSGSDSGKSKQDKSRGRKDSSQDTHKALINVHTGIMREEAPQQKIHAAKVGSASWSAVPLTFRNSVVGRGSSATFPRRDPPARQITPQKAFHKDGNVMGVSSAVHNLESLRHKIAARENELKVKRPMSPGLLKDSSLPTGHSRLPSEKVGFEASSIGACSRLNDPVAHDGRPTKRLKPNPECSNNQVLVNHIPPVPSGKSLGKSNVQSSKRREHIENGITMDCDVNEAICAVTTEPLDGHHNGAIKSLSLSKIQHTIIQGADNHATGKHCPAAPPTLNEQSAVEDANTLVPITSAQAGASVETPSIQLKDHMLSMLNGQHVIPSDTATVSNLRQYLRPGVENAELNYGDQIAITGQNTSLLSLLEMEELQERELEDAQEHRRRCEVEEREALRAYRRAQRALIEANERCAILRRKREACSAQVHGFIAENSSLTQPLSIQNARKGLVMPSKLNSQTNADQVPGNQGGRSGSPCPDESPQQPVDKHEARSHNFDELAASTGDPKFVSTVNGNGTPSDYMEEDLLFPSSNRARSDSLSNLEDHIEETIHVYQVENRQISDESVQDYELLEASLRSRLVERFGKKSYLNNAEATEEFSFGKVSDVGPLLQEADDNVMTNLEGTVDLGNDGHEKIADSSNAPSIGNCDHEENILSSRELCLPLGLTHLIFPSSAPQNAARHMKNVFPGLHKKAADDKNDCEISNAASVTTVSVPDVAQDHAKDNAKIHSTTCKDNAIVSSGIDPFWPFCMFELRGKCNDEECPWQHVEHHSWRKSKHTKDSKPSVSGRIPYGLFRHILPMPTYRVGSNLVRADLNLIQSVLASSIWQYWQRGFCASFPLPLSVQRVLPSDAPFLQAGDDSIASFDRDRQLLSFRMLDSRKNKIMQGSVDAELFLEGALIIYFGKFNKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCCYELWLMYINSRLRFDDRLDAYNDALSMLCQRTANTDMELKDRSAFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCLYVSIYRKLPEEIIDQLEFLKDLPDALVWSPIELTVGNRSQILVLLNYAARKIAVDINETVENGDPSYLKLSQFLTVNHISCLAALEGFQSCANLLVKYMEEYPMCPHILVFSARLDKKYGSCPGLKGFEELLLGWPKEVQGSQYLWNQYAEHALADNVELAEKVLTQWFEECGKESGMQSDRDTGAVEINNEEFVRPSVSSIQEVGSGTSRSEDHIFWLLNLSLYRMLENNLQEAKVAVDKALKLAHGESYEHCIREHTAIHTLEKTSSSSDIQAQSTFSLISGYLVDQRNLPMKDLLSRRFMKNVKKHRLRKLIDDIIGPTSADSSLINAVLEVCYGPSLLPEKIVEVKNLVDFVESVMEVLPANYRLALAVGKFVVKHCSSPDPTSIGTRFWASSVLINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHAYLNSCKTSGNSTDSIAEAARQRGIELNVNASLA >OB12G23040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12292860:12293132:1 gene:OB12G23040 transcript:OB12G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLMGALVEARERWRGGGGGAALRRAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXGADMIVPPVFAGLAVGASACFVRALAIGRRHEDY >OB12G23050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12294184:12298279:-1 gene:OB12G23050 transcript:OB12G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRWWSTADGLLRVAVAVAVAAASAAAAEREVPAMYVFGDSLVDVGNNDFLPPPAPRAPEPPCGVDLPPGFAGFAGGGGGRFTNGFNLADIIAQHVGFKMSPPAYLSLTTPGRRGDLRRGLVGVNYASSGSGILDFTGNGTISLGEQVKLFANTKAAMVAAGEVDGERINHLLSRSLFITCTGGNDYDAFTDGIVPVSEAPAFIAHMVTTYIKHIKTLYKLGARRLGILDMLPLGCLPISRLPMENGSCSEEDNWQGRLFNRLIRREMAAAVAGSMPDLKYSIGSIYHTFTDMTVNPDLAGLKEVARACCGDGKLNAEADCSANAKLCPDRDDYIFWDRVHGTQAAYHRCVHGFFHGSPRYAEPISFTQLVTPPAVDLEHRSPRTNRTARI >OB12G23060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12301605:12308849:-1 gene:OB12G23060 transcript:OB12G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09470) TAIR;Acc:AT3G09470] MEGAPAPAELAVARGDEEEGEEEAAPLVSGVRGGAAASQTRDLHLMSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSKRALVIGTSGYLLFIAANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGQDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKRNNAPTHSSFGAMMKYIVAPLKDRRMILIVPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKSSTARS >OB12G23070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12311156:12316899:1 gene:OB12G23070 transcript:OB12G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diaminopimelate epimerase family protein [Source:Projected from Arabidopsis thaliana (AT3G53580) TAIR;Acc:AT3G53580] MAVSTPRSAAAFLERRESEHALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPNVPTKLPSTKNKAVVQADLVVDGSIWQVTCVSMGNPHCVTFGTKDLKDLHVDDLKLSDIGPNFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGETLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHVYMTGPAEAVFYGSAIH >OB12G23080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12318068:12319640:-1 gene:OB12G23080 transcript:OB12G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKSNMSPSSSSSSLTYQSCHPETPSMILGVDEQEHHGGSGCITSIMKGAPADMDGYPMDQIWMEIEAPNVLAGPCFDEGKEDAYNSVSGPLLPTPMWDYCCPETCLTMDDEIKVASKYGYGKGVGPCY >OB12G23090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12330008:12334224:-1 gene:OB12G23090 transcript:OB12G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGTNGGDAKAMMEIRDKYELKKNWMGDPCAPSAFAWVGLNCSYSSSGPAWITSLNLSSSVLTGAVDPSLGDLKSLQYLDLSNNSLSGPIPDFLAQMPSLKFLDLSSNKLSGSIPQDLLQKRQKGSLVLRIGNNADLCDNGANNTCAPESKQSKTILVIAIVIPVAVATLLFVAAIVILHRRRNSQETWMANNARLISPRERSNVFENRQFTYRELKLMTANFREELGQGGFGTVFLGYLEDGTPVAVKMCSKTSSQGDKEFLSEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMHGGNLEDRLRGEASITAPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTRNILLSGDLDAKIADFGLTKALAFAGGAMTHVTTQPAGTLGYLDPEYYHTSRLSEKSDVYSFGVVLLELVTGRPPAVPGSGGGGESVHLAVWARERVWGEEEEEAASSSAAYDVNSVWKVAELALRCKELPSRERPAMADVVAELKECLELEASRARSGCGYDDYSSSGGSSVPTTATATSSRAAAANASAAASAAAVSDAQIGELRQESVLELAPR >OB12G23100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12345093:12345410:1 gene:OB12G23100 transcript:OB12G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGGGGGDGDVWRRDKRRGARAGRHRRRARVRGVRVRGGGAVRAGVPPRLLPRARLALAGLAAVLSLLTDQIDQHACSPEQTPSGRPWNRSAVSCSSFVWIQLAA >OB12G23110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12350803:12354607:1 gene:OB12G23110 transcript:OB12G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53480) TAIR;Acc:AT5G53480] MCVMLSCHDCVSDAQLFFLRFNMSLDITQVLLSAQSPDGTTRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGVKAQIKGLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNAKQATLDTLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLTTYMQDIFSITAKAVRGDEESVALQAVEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLASLVNVALNFMLSALVKDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITAENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLAPFFQDIVQSLIFVTRREDAGESRLRTAAYETLNEVVRCSVEESGTIVMQLVPVIMMELHQTLEAGKLSTDEREKQSELQGLLCGCLQVIIQKLGGMEATKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDSLYNGKDMDDAVMKTAIGVLGDLADTLGVHAGPLINQSLSSQKFLEECLASDDPLVKESADWARIAISRAVSG >OB12G23120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12355774:12356375:1 gene:OB12G23120 transcript:OB12G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAFVAVRVSDGAACRGPPEYFKNRCQLTRTTPTDVEPVPQSCRAPGELKVVFCQATMAAADMLIRTVVAAADS >OB12G23130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12365494:12365889:1 gene:OB12G23130 transcript:OB12G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISRARLALLAVAVAAAAMSASAAYTGCAKPRKVTVQNLSGRDLALSEQPLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGQCFADAVPGGNCHAVDELKIIYYSP >OB12G23140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12369327:12369743:1 gene:OB12G23140 transcript:OB12G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANPSPSGGRPTSADALISGFSLTFLLLFGASLTRFSQSHFAGHTRHPQGTVHSQLLSMLRCGGLEPHATYDKHAANYGSFTGFMGPHESKYSITSSAPIVLTRGGFTPNCMSPTTESTKSLESWKIRFNKESNSGP >OB12G23150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12376658:12377476:1 gene:OB12G23150 transcript:OB12G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFLLYKSLAFTPPKPIYQLTLSRAVAKKKHLKWPRSSSSPSLSSSSPSPAVVAAGTAAAAATKLTMHNLCTYPVWPLVTPNTGFPSISGNNVRLDGGGRGLVSYDFPASFWAGRVVARTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGGAVVACRGTAGYFKERCPLTRTTGSDVEPVPQRCLAPGELKIVFCQPSMVAAVPGLIRTVVANI >OB12G23160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12382977:12387524:1 gene:OB12G23160 transcript:OB12G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDTSVQSDIKLWPFKVIPGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDEKIASKLPAADKKKIEDAVEAAIQWLDGNQLAEADEFDDKMKELESLCNPIIAKMYQGAGADMGAGGMDDDDAPSGGSGAGPKIEEVD >OB12G23170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12394285:12395289:1 gene:OB12G23170 transcript:OB12G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQQQSLQCLIGGGGGSDHHHLMPPPSGLAPLPSAGTADTAASAPAGGSSASLQAAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAAAALEASLEGYHHHHHHGHHLGHLPLLQPPPFLQQGLHGYHFADGDGGLLADGFPRGVASGLLAQLASVKMEEHGTGNGAGAGAGGFGGAHEQYWPGNAGAGGGWPAEFLSGFSSSSSGNVL >OB12G23180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12403137:12405496:-1 gene:OB12G23180 transcript:OB12G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:J3NEB0] MKKFQGVILQLEQALCDIPYNQLDISDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSLYNKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGESRPIAIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQRMSTSALTPNYVLRSLIAQWCESNGIEPPKRSTQPNKPTPACSSSERANIDALLSKLCSLDPEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGTGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVQMIGSGTPRNRENAAAVMLHLCSGEHHLAHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESQRQASVQAPETDIPEQLDSPASQSYQALD >OB12G23190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12406950:12407255:-1 gene:OB12G23190 transcript:OB12G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVSMLWSFGESVIWLFQIKLIAIVFLWICSRTCHLDMLHAFSGHLCWTCLIAVEQMLGLIPPSVSLLVETSRISTCPCPTSFMVVVHMLFRVKARVVVD >OB12G23200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12419468:12422496:1 gene:OB12G23200 transcript:OB12G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAACKHLLARGFRLVVVGYLAAYARHFAVDEHVRFRSKVLAVEYVGASDDTGAFGWELWNGDAFGNGSSAGGRAVLAARSSSDVRAARGRWEGCRTAGLRAALGGAERVRGTYNAVQPAHQDIPLLDWWREGTTAADKNIRKGLKTLYILVALELWCERNRRIFQKEIMEDERLLTKIKDELKTWVFCGMINLARIVAKGHY >OB12G23210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12425696:12428002:1 gene:OB12G23210 transcript:OB12G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGLEYTLTEEEVAGGRGGSSLPGVTARSGSRYELVGAEEPDGDEASWGCSEPASEAELFLLARDEHPKTTRNSPESIFTWDELRVAKPEFWRWSSKKTVAGDGDGDSESMAAAGGGAPFLTRRRGGAKRINEAEVDDHHPFSFGRHGRMESSPSSSSFLLLSSS >OB12G23220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12429164:12434214:1 gene:OB12G23220 transcript:OB12G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKRFDKQSPGCLEGLFNFLALNQRLQMPKMIAYRKHNEGSSNTLRVKVPKPKNRSEKDESVLNGANNASPTAKVGTFIWRTLMFKKRTLRKDQKKSESPASSPSSSRLMRSQSIHHSKCFNYVVPDELASHYHSMIESSSNEVGSCHSAPPLLQDSPKGPIVQEPCRSSSSKHSLHAEAPCETVPLSSNDETEASSKQKSRDAASHHSKEFMDFLELFNAHRELFLKILHDPSLLAPLENQDQEASSSGAVPLNKAELFSRPGGSSGKRNPIFDRNDSENNRKSEIQKSPSRSKSDIETAKVIGTRMPGGVDGSSISLTESKSLRKSGSTSNRFKAIRKKIKDAVKENRKEIARITKDGVFHKLPYGQKVAGFMKSPSTEKYVQEDKRMRRSYSIAESIDKYSTLYESISKDSKISPERPSTAFEGDASSKDKKPPLPMKRITSLPEMRLYSPQREVLPEVSDSQIVATVYNLESGCFSSHQTDSFSICTEGNFYPDDITERAGDIYSEHNYGESALLGSLEEDLRSMLRSPSLPSFAQSFSHRRINSLPSFDRSFFQDRVTSFTEHSVADSEPTFENMQLEDDDWLVKPPHPPGPYASSLKDDEWLVRPLQSSGVNTADHEDEEWLVSTTQLSGGNAADFEDEEWLVKPVQPSNTDALNSEFQFIHEFAEDLGSLHIYVNDKNEADFQYVKDILKKSGFGCGEADWYAANQPVSPVIFEEAEFSCQEIDMANDEPHSVVRRMLLFDLINEVLMGIYDSSLVTGPWHSRFDSRTRPIPMGSHVLEEVWANVSSYLSLQWKPGLTVEDIVAHDVMMKDSWMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >OB12G23230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12438033:12439133:1 gene:OB12G23230 transcript:OB12G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGNCNCGSSCKCGSGCGNMYPGLAEKTTTTSATVVLGVSPAKEQFEGVGKAAESGEAGHGCSCGSSCKCSPCNC >OB12G23240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12442441:12442644:-1 gene:OB12G23240 transcript:OB12G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHDDSHCPIDLSSCRGCTCSRSRSRSLRQPHRSRQPPRRACERKKQKTSSGMERILEFGTNLKLS >OB12G23250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12445453:12446248:1 gene:OB12G23250 transcript:OB12G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCSCGSCGCGGGCGKMYPDLSEKNTTTTTTTSVTMVLGVAAEKGRGEAAFEAAASSGEAAEGCGCGSGCKCSPCNC >OB12G23260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12448653:12450003:1 gene:OB12G23260 transcript:OB12G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCSCGSCGCGGGCGKMYPDLVEKTTTTTAATTVLGVAPEKGHFEGAGKAAESGETAHGCSCGSSCKCSPCNC >OB12G23270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12450161:12452195:1 gene:OB12G23270 transcript:OB12G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKLRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVKRCAEKFLKHSMRVGMRFAELNQGVATPD >OB12G23280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12453182:12453770:-1 gene:OB12G23280 transcript:OB12G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFKFRKGCVTFYVYAVRTAGARGFARADELRAVIEAVAKLKDFLDHTAMLALPGQRSIDGAAAATAAAPFHAVMAYVFELYNFLTVQFLHGIHICVILQI >OB12G23290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12458555:12459882:1 gene:OB12G23290 transcript:OB12G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHIAVPAAAAAVSILAAPMLLLLLHAVAGGGVDVGSSSRLSNQEHHASSRPKLTEAQNDVSRLRPELKGRLWQKFLVEISHRIFDRISMFKNSM >OB12G23300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12466262:12466883:1 gene:OB12G23300 transcript:OB12G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKQGVQTGGRFPSCSLMVMGVRLLPPRHVPAAAAVVILTALAASAAGGVEDSMGSKYLYDDGGAVVGATTEAAVVEDEVPPEFPVALVGVGGGREDDKVRRSLDKDKLYCKPDECVGGSSYISHGHGCIYENHCQSGPPQ >OB12G23310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12469739:12470230:1 gene:OB12G23310 transcript:OB12G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTQYSIREEGCKLASPQPRATYKRVAKVPGRINQATGKMIGVDDDVRTYDTMHGNDRRRPAAGAAPLVVLLLLVPVAMAAAGGGYSARAVGGEDYAGMMAALMVRGRQRLEDVVAAELEAGNIGYNTVLHRGQPACLPTCAGGGGSYTRPCTYCGATRGA >OB12G23320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12474732:12483533:1 gene:OB12G23320 transcript:OB12G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17760) TAIR;Acc:AT1G17760] MDIYNVEAAEILANEAQLLPIAEAAPIYEKLLATFPTAAKYWKQYVEAYIAAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSEGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPSMTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPIGSYKEEQQCMAWKRLLAFEKGNPQRIDATTANRRVTFTYEQCLMYLYHHPDIWYDYATWHAKNGSMDSAIKIFQRAVKALPDSEVLKYAFAELEESRGAIQPAKAIYDSLIAENVGKTSLAHIQYIRFLRRTEGIEAARKYFLDARKLPSCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGEKSVVLSSGATLGTGQVGSNTKSLPQSSKVVRPEISRMVIYDPRQMKDFSTTSSGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLLQSTLPVGHNVDKSGLQVPGPATSDLSGPGKSGLNQNGSVHRPPRDGQSTKRKNSERARGQEEDDTTTTVQSRAMPRDIFRLRQIQRSRGLGSSQSGSAAPSSGSVFSGDQSASSG >OB12G23330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12484405:12485703:-1 gene:OB12G23330 transcript:OB12G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT2G37630) TAIR;Acc:AT2G37630] MQMRERQRWRPEEDAILRAYVRQYGPREWNLVGQRMNAALDRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDSRRPPPEPSPDERGRYDWLLENFAEKLVKERPVAVAPLLMAAPMLPPWMASLFVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAARGGARVPAAGGGGGVRGEDAGAAGGAGRRRGARGGGVPRQDGRPPPRRRAQGAEDGRAVGRQARPPRQVPRPGRHLPPLASRRDERRRRPVMPPPPPRFLDVTNFVKPLHAADAMSFCMLSCSLDFAFFASSLVLFETVGIFEFTSLEKKCNLESLLIIPSSLALFNNKWTKIAN >OB12G23340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12487589:12489297:1 gene:OB12G23340 transcript:OB12G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYYKYTENWICVAGYYYLSGGSNFHGVGYSKGYGLRSRLAASSTPIAEQNPKQFQQQQQEQTQEEETVVLLGFAFLELTDFTVAGWLAVTRDGTVGEGDG >OB12G23350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12511175:12521812:1 gene:OB12G23350 transcript:OB12G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAFGKSCSWEKLPKAGTLAKANVHQNKAEYMAEPGDANTSGLPAHLVTLASDIHSKLNFHKNAYGDYIDIHNCLHRVIEERGKLQQEREAVLLLVAEKEELIKKNEEQTAEIESLKKKLQATGTKDTHEVCESDFQNNQSEGVQTRSMHKRKRQCQGHASENVDGQELMEDLSDTSNLEQHPSIEFEDSRCSRIACDKWKLVFQGFLEIDNGGRNFGIKYMGQLSDKPFRLACVEKFALKEAEAVAKASELCCSWQEQLLNPDWDPFKTVTVGDFSEDIVDTDDDKLQELHATLGEVVYKAVVNGLSEMKRYCRLSDRTIVPELWNFKENRKATPRNGGVVVEGIRRHSKKRLSMTCGSHLGTAVQAYKWWREEYSELQEFTAPLPLHQCNMATGAGGDVGGRLAALASKVDSKIYHLKDVLVEYMDIKSVVGEMAQEREMFQHEQNVMAGNEAMLEAMKEELVAANNELVATKEDISRKNQELEFVKKKLQESEARSNQAEQQSGIVAELIQPRGVQTRSMQKRKGSFQGPSGYGADDQENTSQMDVQSPGHLKLMTTPDAQKRSVEKQKQLSRRLLYDAGELESTEGHLSEELVNTPNAGQTSGVLVSKDDDLEAIREELIKGFLEIDIGGRKLGIKEMGELNEKAFQAACLAKLPPEEAGSASYELYSSWQKQLSDLSWNPFKKITVDGACKEIVNVDDAKLQELKSAWGEGAHKAVVNALMEMKEYNTLGDRSIAYELWNYKEGRKATLRECVEYITKQVKQLTVTKRRKTRRW >OB12G23360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12530305:12530583:-1 gene:OB12G23360 transcript:OB12G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGDMPTSRRTCTGNVTVGWKLSRRTASSGDGEGKKKSTHRTQDAGMTSGRRHLPAARSTAIDPCKCKPSICETARRRPPITARRARAVAY >OB12G23370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12530393:12531907:1 gene:OB12G23370 transcript:OB12G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRAAGRCLLPLVIPASCVLWVLFFFPSPSPELAVRRESFQPTVTLPVQVRRDVGMSPPPPPPPRPPRGDSYTRRSVFDAILAGCVPVFFHPGSAYTQYRWHLPRDHTAYSVFVPEDGVRNGTVRLEDVLRRISAAKVAAMREQVIRMIPTVVYRDPRGPSSGVPRDAVDVAVDGMIERIRRIKRGLPPGGEDDGGEHRWDTYFDKQ >OB12G23380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12535153:12540098:-1 gene:OB12G23380 transcript:OB12G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKAAAAAAAGKENAVSRAVAEDDTALLLAAVGSFRKEALGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITPPPVDARDQLTRTPLHIAAEVHAARCVELLLSKDARADLKLVDGRPLLALEVALLSRRVQVKWSLDSSIEDLLSCLQEKDLKAVRLLAERTREVGEVAYKYAMEGRVAPLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSVVDEALSIGDAPVRDGNERRKALLCEIQLLNQFGAALWRDRIIDKRSLSPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPSKTKETPLFLAVKNGSVDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSSIDKESCKEFLDDDFVDYDSDDINESCTGLKTSASHRDFRSRGSAQGGKSKSHSAPKQGSKLVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAELQKTSFRHHNQEVPKVTHSLLDGELNEEHNTRNRRPLPEKCLPSWFFIFRKWLPGFLLDATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVTRPKYVPLLEPFSLDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSENNLQSQQGDECSRSNAESQQDSASTDNGSLLSEVTVISTTKPDSIESIPTGKSDLIEPVPTRKPDLIEPATAKKSDLIVPEPTAKLDLLQPVPTRKPILIEPASLTQKIVSEPMRKTDLFESGLARRIGLIGSRPTSCFVDCPVERPEVTPSNCETDMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAGIMEQALDQRCQLMVVCCGAEAIVRCSPCMHSIACRGCFLASVTLLKNCTTCGCMIQHFKFV >OB12G23390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12547385:12550366:1 gene:OB12G23390 transcript:OB12G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTDEILQVDVLERHLLAGLSPDDYNGACEDEILYDASFGATEDKFVKYQITRWILLSVLLILAWGVGLLMLLYLPIWIYVCRRDFRSRKLCLTPHAIIYKVTKPVTFPCFGALRNEKHVVLHSVSDIIIEQGYLQSLFGIYSIRIENIGVRRPASDDIQITGVTHPHDFRKAVLVHLLNTRNLNLSRKAYAHDDHQSTSYTPVAMSSVPPLGDLILEKLDNVEISVKKMQALLEGVETSRMKT >OB12G23400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12553637:12554331:1 gene:OB12G23400 transcript:OB12G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPHLSATQCQAIKPQELKISPWKNKQALRTCGSVQGWCFFFCNIFCPSDLL >OB12G23410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12563108:12564781:1 gene:OB12G23410 transcript:OB12G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFLKGMEEANKFLPTENKLVIDLEASSENYLRGVEEAKRFLPSDDKLQVGFNARSVAPGTAAAAPVVSVKKESVDVVVGSIGGGGGRKNPYDDEELELEGGRSSKQTAVQGDDIAARAMFDQVMMPSHENCTEMMEKLRIAMQEEAAKNEAVSGKGANGKVKGGRRGGKDIVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARLAGTGSLVHQSLMAKRTSAVDILQAYQLYMAAICFKKVSFMFSNHTIFNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVTITGIDLPQPGFRPTERIEETGRRLSKYAQEFHVPFKYNAIAATKMESVRKEDLNIAPDEVLIVNCQYQFRTLMDESVVIDSPRDIVLSNIKKMQPHVFIHAIVNGSFSAPFFVTRFREALFYYSALFDILDATTPRESEQRLLIEQTIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGFKQLPLNPNIVQIVRDKVKDCYHKDFVIDIDHQWLLQGWKGRILYAIATWAPNDALSYF >OB12G23420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12565932:12566176:-1 gene:OB12G23420 transcript:OB12G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAWPVCSDLSLSLHSSTGLAPCDGKYSPNLVNTFCRIDSDVAFRFSTNFI >OB12G23430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12572947:12576551:1 gene:OB12G23430 transcript:OB12G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCMDDKWKLTKKGSRRSEEGRASRGLARSGTSRLATLVKEQRASRHVPGVETVGPPVSIRVGPCGGDVVPTTRGFWPPPSPSLPSLAGSFMGAAAAVAVELRGDRSGDESAVTGRGKKGLASPGTPPSDGEDSGDSWVDSGSGSEEDQEDQNIMQNNNNVEVYLLDGMHRPFTVDDFPRLSSDHSVQTETPYDITFQRFTSEGLQHMVLSLTNAGISLVLLTDSMMNLECEMPKSLAYAIDNYEIVCEAKDGNLVCKHVTVYFHTNLLACGHLGMSCLSLTSPARGICITCCVLFEFQPCIRTEDSSEAEDEDEPKVRSVVWT >OB12G23440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12588055:12588339:1 gene:OB12G23440 transcript:OB12G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLHMIVFLHLRHGHFSPIYMHESESFMKTGWLYGEKCGLDVKFAALTNAVQATVDIKILYSSISGLDLKLYAKTSGFNDVICIFRETVEVG >OB12G23450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12589979:12594309:-1 gene:OB12G23450 transcript:OB12G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEERGHADRSLLFIGDEDDDIEADRDGASPPSSDPGSFSDRSEQHRRGGGGGGAARARGVCRQSIDMMSVVPSPAMSSIIVASPNLTRLGNSIKEAGSSFFLNRAASSAMGHEGSLRLTRPLLPSSLSQLSSASASQPQPPPVKESTDSLPPRPPAPRAGATEAPPPKPSSTCMESSYIDLPPPTTKCGQRQAILNGLNVLCGVGILTTSYGIKQGGWLSLILLPLLGGCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSVVLYLELYASAVEYITLLGDSLSSVFPSADLSFVGVYLNAHNLFAITMALAILPSVWLKNLSLLSYLSAGGVIATTAVIVCLFWVGIGEGIGFHPGGTALNLTHFPVALGLYGYCYSGHSVFPNIYSSMEERSKFTFVLLFCFILVTFVYAGVAVSGFLMFGESTMSQFTLNMPQQYIPSKIAIWMTIINPYTKYALTLTPVALSIEEALPKRLQTYQVATCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTTIWEVVLCITIILLGIICACVGSYTSISQMITR >OB12G23460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12600706:12601265:1 gene:OB12G23460 transcript:OB12G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEGGEGGFRRPDIFDSMETMSELGFFAGSDVDDNEDGGGGSSKAMWDSLISEAGGGGRRGAAGPAAAATTLLQQVRSHYDPSFMRALIKLDN >OB12G23470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12601898:12612345:1 gene:OB12G23470 transcript:OB12G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATTASARARRPPRLDAEWFDFPGEHMSAPDENVSTEVAGMPYSRDMQFEAARYFGNFDSENQAAHQTPKEPSYKAFYGSCNPGRMIGLGGSPYKLARPSSSKSFYTDSFSDATRFNQLNLQKQIGADGNTKSRSELSRDTIGGLSGKGSPLAKSEKQLEQYMVKALSAQPYHPVFPVSQGVSSCTSSSQHAVSSSGEVKYIGNSGQEMQVACQFAKESSHRALYGSKNPYESTRLSDPYDKIMSPSSFKGANTGSITSTLSRMNLPDTSAEENTNSNQLKLQKQIVGHQGFESGSGLNRDDVGYLTATGDPQNVQVGQNMVKALSAEPYHPMFSVTHGTSARSSSQHPNVARQLLEHPKFQPRIHRTHPSVQPTIKAASTSMTPHFKEPCYQDNSSLTDSSSTAQGMDNVWDSNPGMSLLRRTAVSTRDVESNSSSLGDRVHADDLEIHSTLGHQTVANSMQTQYAPQAVRQSSQQSLNVDVRETYSAFGHLSAANNIQRQFAHQVTRQPSQRPLYESSNRSLLTGPDSDSQMELFRPSSSHRIITNVISGELPRINLQNETMMGKANFNQQNLQRQIARHENTVPRSFGTYPEFPITSFLCQQSSESSQLNSASAKCSPGSGSSSTRVGQQAEQSTMMGTRPSNTVSGGAGPLLGSPLSSMSGGSSSGGNPNHQGTVSSPVYQPGRYNTSSTLPLRMKAHAREAYATSSDSLPRATRRNHQLNLDSAEQRSQCVQERGLDETIESNMLTAQQQFQRQLHRQLQRQLQRQLQRQLQRHHEGAVASKMDSFYGNDETSVRRGPAEQFLKIAKDHEAFFLSFYHPARIPAHVMNMLDQCFGIDKEKEMPRPESNPTPGFGSPKLAHKNDTSGFERSDLDLSHTHDSKRLDNKELNALLLHGKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPEEKLMVFKEIMPHFIELVTDVFGNYVLQKMIEHGAAPQRREIIGCLFGSVLSLSCQLYGCRVVQRAVELGDLDQKIQIAKELNSNLMKCIHDPNANHVVQKCIEHMPPRFIQFFVESMYGHVVELSVHPYGCRVIQRVLEYFDNPTVQEIFLEEIMGDVYYMAKDQYANYVVQNILQHGKALVRSAIINKFVGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLITSGETEALMVMVNDQYANYVVQKVIETCDEWQRKLIVRRLKVHHIQLLNCTYAKHVVARLERLIEIGERKTTNPRRSRRHGKDPVVPQQPGNELE >OB12G23480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12612782:12614905:-1 gene:OB12G23480 transcript:OB12G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G55550) TAIR;Acc:AT3G55550] MRRLTVLLLFLALLLATLAASQEFTYAGFRNGGGIGNGPNLTFNGVTELRPDGILRLTNETSRLIGHAFYPSPLRLLAGAGGKNGTAVSFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLPGALPSQYLGLLSAADVGNATNHVLAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNIKSGDTILAWVDYDAGARLLNVSIAAAASSKGSKPAAPLISFHVDLSPIFLDQMYVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDVSSLPSLPRPAASKNRTSLILASAFSAFVALVVLAGAATYAAYRYKNRDVVEPWELDYGPHRYKYSELKRATRGFRDRELLGSGGFGKVYRGVLPGEAEVAVKREWEHVVLHRDVKASNVLLDGDMSGRLGDFGLAKLYEHGANPSTTRVVGTLGYLAPELTRTGKATTAADVFAFGALVLEVVSGRRPIEPSAAPEELVLAEWAWERYAAGEVEKVVDARLGAFDAGEVAAAVKVGLWCSHPAPAGDKNRWQNFDRPEMISAKSLDLNRSFVTRAYSV >OB12G23490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12625701:12630257:-1 gene:OB12G23490 transcript:OB12G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGCVVVAPSTAAADAAAGIHPGDEGKGVTVDELKEALRRRGPDSLGCERLRVHADGTILGIGGCNFGNGDGGDVGDRELCFIGATLQLRGAEPVPQPMVARSGSILVYNGEIYGGVHVADDQNDTQSFLLSLESCCSCDCHALVRDEACPCCGSVGKSVPEILSTIKGPWALIYWQRDSKTIWFGRDAFGRRSLLVHWPTPDDSRFILSSVSPPSFASNNTASTVKGVESGVDNDFSESTKMNYWEELPCGMYSIQLKGLEKNGMCLKEACIFKVRRHDWVDSSLDKLIRWKRKSIVPTVDDLTVHKNPVGDYHLSQNFKNPTEAENNTAYRVLIALRESVMLRTKANRLFQDDLDKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTALNNLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSICSMQDGSRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVALDEDMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWEIAKLDEPVGKGDKKILREVASLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHRRALH >OB12G23500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12631127:12632527:-1 gene:OB12G23500 transcript:OB12G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1118) [Source:Projected from Arabidopsis thaliana (AT5G08050) TAIR;Acc:AT5G08050] MDAARAVAMPSLSPTTLAARARPSAGGGGSRRRRLPRVAAMATQKPTGTRRGTAVYFPVGEPGPRQTTSGKAAKPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGLALLLLAAGPAVVYLVPEEYPWEVAVQAVAALVCVVGGSAAFAASSFVSKLQSSSS >OB12G23510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12634527:12639348:1 gene:OB12G23510 transcript:OB12G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNVNIRLLRPFLQSGKSKPFDSTVRLRSLTSGVGESAMCGIALILSGGRIVVVPPATVTDAAAGIHPGDEVLHLPPVPNGKGVTVDELKEALQRRGPDSLGCERLRVHEDGTILGIDGCNFGVGDGGDMGDTELCFIGATLQLRGAGPVSQPMVAQSGSILVYNGEIYGGIHVADDQNDTLSLLSSLESCCSCDCHAFVRDEACPCCGSVGKSVPEILSTIKGPWALIYWQKDSKTIWFGRDAFGRRSLLVHWPTHDDSRFILSSVSPPSFASSNTASTVKGMESGADNDSSESTNMNYWEELPCGIYSIQLKGLEKNGMCIKEACIFEVRRHDWFDSSLGKLIQWKRKSIVPAMDDLTLHKNPVGDYHLSQSIKSPAGAEKNTAYRVLIALRESVMLRTKVNTLFQDDLDKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGKLAPDRISALAGLKELQRISPIRRWRLVEIDTALNNLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSICSMQDGSRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVALDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTMLEIPLWEICKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRALH >OB12G23520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12632147:12644796:1 gene:OB12G23520 transcript:OB12G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial import receptor subunit TOM5 homolog [Source:Projected from Arabidopsis thaliana (AT5G08040) TAIR;Acc:AT5G08040] MAGPAAAAVEKLRALWDSQVNDEEKWAFNYKMIKAGGLFAASIFVMHNFGDLMAI >OB12G23530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12651222:12654698:-1 gene:OB12G23530 transcript:OB12G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGSSHKNPEPGRSSFHRMVLGQLVRDFGFDEENAPCNTPRRSLVHSRFGGSAGRNVASTSGSTSAVSVSPGEYVRDPGSLLSLQPWIFKRSGSQNIEEKMLASGGREDGKGKNLMDAFRDGSAVEISPRSPGLGSGPGRGRGALRSRRSHRHLIKPLVPIENSYIPQLYSEDFEIDECTFGPVPSPASARPFIVTNGRRVISKSRYEPVPVPFNIGFEKEGNRNISKIPESVIGITSLPELKKSKRARQGFQNATMDLSGIQTTKPSKPTGLIDRLRIFSTGVSIGIISSILSNKNELDTLKSTLKRMENLVQDLHDELEMRDGLTVKELPNEVSVEHADDESKAHLIDSGPMSKIEEELEAELARLELNITSKCLEEQTFDFSEVDQDLIGDIVQGELKIDMARRDLTDYSSESDHGRDSRESSPDCTHDANYPVSPRDLSIRLHKVIQQRLEERIKELETALAQSEKQTEVQVVATEQILCERTCSDSNSGSPNQESPVYIQETNSLAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEEYSVDRSLIWGMEDGSARKLKKVPTWEQILKSEEPNRTRESDGDDEDESEDDDDQDSKMLIQQIVERTKQGSPVLIHAQRILFSVDD >OB12G23540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12658326:12662221:-1 gene:OB12G23540 transcript:OB12G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NEE6] MIRLWLVATWLIVCAAAHPGEQPLSRIAVERTVLAVDESAHVRASPLVLGLKGQNSEWVEVEFFHPNPSSDDWIGVFSPADFSAAICEPENKRQSPPDLCTAPIKYQFANFKNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVTFANPKVPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGVKGGRRFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLPNGTQIWSNSYSFKASPYPGQDSLQQVVIFGDMGKAEADGSNEYNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFKFCIVHTEEDWRPGTEQYKFIEQCLSSADRQKQPWLIFLAHRVLGYSSCSYYEEEEGTFGEPMGRDSIEELLQKYKVDLAFYGHVHSYERTCPVYQSQCVVNASDHYSGLFKATTHVVVGGAGASLSEFTTSKIKWSHYRDFDHGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >OB12G23550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12664500:12668518:-1 gene:OB12G23550 transcript:OB12G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NEE7] MIRLWVVATWLTVCAAAAHPGEQPLSRIAVERMVLAVDESAHVKASPLVLGLKGQTSEWVDVEFFHPKPSSDDWIGVFSPADFSSSVCEAYGVPQYYPMLCTAPIKYQFANFSNDGYSKSGKGYLKLQLINQREDFSFALFSGGLKKPKLVAVSNKISFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGPRLLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLQTTNQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYKNLDSGGECGVPAQTMFYTPSVNRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSCTYYEEEGTFEEPMGREALQELWQKYKVDLAFYGHVHNYERTCPVYQSKCVADGSDHYSGPFTATTHVVVGGAGASIYDSKFTTSNINWSYYRDFDYGFVKLTALNRSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >OB12G23560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12675064:12679161:-1 gene:OB12G23560 transcript:OB12G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NEE8] MAAAVRWVVLACVAVGCATGDEQPLAGXXXXXEQPLSRIAIERATVAAADSASVKAHPTVLGLEGQSSDWVVVEFFHPKPSNDDWIGVFSPSEFSAEICPPEYYGDLPPNLCTAPIKFQLANFKNDAYNQTGKGSLRLQLINQRADFSFALFSGGLSAPKLIAVSNKVSFENPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAMPFVEWGHKGGSLTLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDTLYTYRLVHRLQDGTHIWSKSYSFRASPYPGQDSMQRVVIFGDMGKAYIDGSDEYGNYEQASLYTTNQLIKELDSIDMIIHNGDISYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADSEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYSGQFNATTHVIVGGGGAMLSPFRDNVPYWSFYRDHDFGFAKLTALNHSTLLFEYKKSRDGKVYDQFTISRDYRDILACSIDNCPRTTLAV >OB12G23570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12680116:12684929:-1 gene:OB12G23570 transcript:OB12G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase1 [Source:Projected from Arabidopsis thaliana (AT5G07920) TAIR;Acc:AT5G07920] MDKMMYPSWSDVSAYISEYWSVIIATIIFASVSGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPAASHIWRKEFDSRSKGLKCCVCLKSVSSPQYMGGVVHQCDICGATAHPSCSGNAHKDCKCISMVGFEHVIHQWGVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSKETGDICDLGPLKRLILSPLCVKELHWTGAAGLFSSITHGANELASNVRERIRSRNKRYRKDTVSVDSDSSGTIDPPSDIEGDTHEANNVAKRREDHVNGELPEVHESSESENDKQLMTDNTTSRPNGQLEDSHIQNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVIELSKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPLAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSPPKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNIMENTFDYFPWDVKLEIDGSKINIPQDSEGILVANIRSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIGIKTTMPIQVDGEPWSQEPCTIEVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENSGIISALQKRTLLQEIASRLL >OB12G23580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12685596:12686004:-1 gene:OB12G23580 transcript:OB12G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSLRPLFPRSARASPAEAEAEGGLPRGGAGRGGFLAAAQEGLLLPPPAPIPRRAGALPSSVLCPTIDPPLEITHDPQKWSMMLK >OB12G23590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12689433:12691755:1 gene:OB12G23590 transcript:OB12G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVRRASRARRPFAVVAVAPSAAEMNRENVDGEALSFSQCLRRFGKLAVAGEARPGGGRVAKKCSTPAAAYDAPRAPLWDCSEEREKIRARLSSPDDDGAEAEGEGRRGGGDGSRKRTRRSVALREAMVGLPEPGEGRVRYMVDTFERLLSLSSDPGQQQSRCATAATRRRRRRKTKKTPEARMTGPSWPPARPDEIDVSYPSIASSSEVSFSIHGVRLRRSSARDEPRLRKRGDSISSSERSWSRKKIGVTIQRPFNLRTEKRGRMKEESLVQRMKNKLMEEERLRNPLAQGLPWTTDVPKNPMKPLMKEPTEPIDVVLHSEVRAVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSRPFVPKRSVKPQTVPREPKLHPRLSRSNSKT >OB12G23600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12692843:12700983:1 gene:OB12G23600 transcript:OB12G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSGSGLVLFRFVLILFAFVGVFQERRAAARGGDYDEQDRRVKGTEVFVGGLPRSVTERALRELFSPCGEIVDLRIMKDQRGISKGYGFVRFAERECAYIAKRQKNGFELQGKRLAVDLSLDQDTLFFGNLRKEWSIEEFEELIHKTLKDVVSVDLAMARNHDSSSGKRRLNRGFAFVRFSSHAAAAHVLRIGSRTDFLLGGLHPEINWADKESHVDDEEMAKVKTAFVGNLPANVTEEYLIKLFEHCGEVVRVAISRKGQYPVGFVHFACRTELDNAIKEMDGKTIKGPDRGPAFRIQVSVARPVVENDKKRTREEVNTRRSNLSRDKIDHSYGRHGHDSYDREAKAPRLYNEVSGTDPYEAAVVSLPSAVKELLLRILRLGIGTRYDIDIHCVKSLNGLPEKAAAAVLNQFLISGADKHNKGDYFASLIAKYQAETFGSALMLQGFSHFPRNPETQNTRFSHQDYEYTASGSSRFNSFVDYPYPSYVDDPASSQSRNGRYAEDRPALVRYPDSRSRQEEIVRIERFPESRLAHEPRHDTGRHLDIGYIQERSSNIDRSAQVAISYREGEYISASRYNTDTGPAFSSRSSAEYSTARQQVRFDPFTGEAYKFDPFTGEPIRPESNPRHSGSLY >OB12G23610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12703106:12703456:1 gene:OB12G23610 transcript:OB12G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLARRLRRPASEDRPSTALRPLPLSSSSTLRRLWHRAAFPSRSGRLPVIIDPVPAPSAVPLLLPPRRTATCLYSSHAAEREREEKEGEGEGERERKRPTCGTLMSDTQNREDG >OB12G23620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12708410:12709406:1 gene:OB12G23620 transcript:OB12G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGLHHGGAVARAGRVLRAAAAAFFHGACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSHHRRAAGWTKLAPGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCTRSDADELRELEPDDKGDLQA >OB12G23630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12711543:12713224:1 gene:OB12G23630 transcript:OB12G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWALGRIRPNISPEGIWGYTARRRWPAQASAAQRWRAKAGGEPLVVTLNCLECRDIGERAFVRAGRLLASAFMYAELYLVEIGFHIIDGDNLEKLFPGSSVSLRPVSLAGKQLLVVQFALVVALTSWLSSIGVLAHADVPLPSTLSLADPSYTCPPAKNVSTFLSTCGLLTPEATANETGMHLWFLTDHRPAGFDLPPSSRDDNDVDVWGRSTTAVGTAPCCPSAQVRQCRVVQVVVPDVGVQDAAGPQLDQVVRSDQLQHLRRVAAESGAGELDGAPGLAAAEATGWRGRRCGAELDGATKFQL >OB12G23640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12713238:12715838:-1 gene:OB12G23640 transcript:OB12G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIHTYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQPRAATTCCAGAGEQQLARCWRAADGAGEQRPWPPQASPTCRRSSSRRSLVASSSLATSRSSDRYPPHGARHRL >OB12G23650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12737374:12737526:1 gene:OB12G23650 transcript:OB12G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAEKCPCFLLVCLVSYLFDDPIRIYIYIFVFFVFSFESSWLGALEQA >OB12G23660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12738741:12741756:1 gene:OB12G23660 transcript:OB12G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKILSNAPIVRASDAGSCSAPGEVVHVETSAATRRKSDVSNITFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPPMSNSVCTQLMHCEDAFCIADAIQKFERIFDGSCVAQAVGQYLKRDGNKMDRPIQADIQESEKPKIPSPESCDVSDAQVEETRTRNEGIKILTKLRRGEDACNTLKSLKDGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLICPRAGLLVPQASEKISESCWSILEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPAAMFLGDSDGEGVSLVLYFKLNENFEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFFVGPNYFEIDLDVHRFSFISRKGLEAFRERLKNGIIDLGLTIQAQKQEELPEHVLCCVRLNRVDFVDHGQIPTLLPCDDD >OB12G23670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12741929:12745108:-1 gene:OB12G23670 transcript:OB12G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKGAAVASPVYECVQERVGVGVGGKGGVDMEPAGAARGVRGRRRGEEGGGGAWRRRRVLGRGGRHAAGEHAPVRGGGAGRRRVAVVAERRRRRGRPRAGAPTQPNQANLTNGGGGGADRAGGGQGTYSSVFRARSLETGKLVALKKVRFDSVEPESVRFMAREILILRRLQRHPNVVSLDGLITSRSSSTLYLVFEYMDHDLAGLSSDLSLSFSEPQVDDDSQPNLVMIQRWAFRHVDVTDTWTVQIKCYMRQLLLGLEHCHARGVMHRDVKCANLLVSDAGELKVADFGLANVFSRSASAAVPMTSRVGRTEVEQIHRIFKLCGSPAEGYWRRAAEASPHAAVFRPQHPYECRLRETFRDMPDAAFELLEKLLSVEPAARGTATDALASELTASTGFAWAKKPRPDPTATTTTTTKRGSTKVPRTNSNGAHAAGTRAVTSYEAEKQEMIKQWAQVADAFTSSDAFNSRPCEPMAATTKQQLKSSKKHKLVGGAGRMDRVGFSGPLLSQPRRIDELLHDHEQQIRRAGRRSWFHKGSKREQH >OB12G23680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12744511:12745269:1 gene:OB12G23680 transcript:OB12G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRETTLGWRWRRRRMRISRAMKRTLSGSTLSNRTFFSATSFPVSRLRALNTLLYVPCPPPARSAPPPPPLVRLAWLGCVGAPARGRPRRRRRSATTATRRRPAPPPRTGACSPAACRPPRPSTRRRRHAPPPPSSPRRRPRTPRAAPAGSISTPPLPPTPTPTRSWTHCTTPTTTTSSSPHTPATPPPRPWTPRTPSPPGQETTRRRGGARNRGASSSASSSSTNHHLLLLLLLLFFHQSNGGELLLSS >OB12G23690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12749279:12752366:-1 gene:OB12G23690 transcript:OB12G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVESKTYLPGYFAMADSSVNSNGNWLSCHEESKPSGHVSDSFTITTPNGSPDYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKDLMAQFKSQECNGYPRSADTLHARSYSSQATSGDVKRVWHVVPPTSGHDIKQSSINFAKGNGAPLINNNGRSSKKMLDLQLPADAYADDDDDDDDVEILEEKPATILPRTNSSVGGIVKLNPGNSEGCSYMEKSWITGLHPQHASTVNVLNKAAEESSSMKMPDYIGAGTSTSQSQRYSSGRVNLNHQSLEDNMREKLIGEASGSNFLGANEETRHNNSFNHRKDYQNVSMAWFKQEQSGINFSTGHYLPRYNAFNQPMVAPSSSNAAVKSPWQGSNTSYTANSHYGSVDMSFAQNGFFNGFSMDSINTPMATHHYHNQRSSKFPGEPQCRKHSPLHDVNLNDAPQDVTAIQEQGSENSPVDISWLRKDPIDPVKSQVQPSCANGQSQVLLGSTAYSEGSTKILGFPINAAAEKDSRCLLTKRETDMEMQLHNKKDDTNPRNLIDLNAAPLMDEPDIDVHQSEGGTIPQKAVDPSEDSLAITAAESLVAICKDVFQAGSSPADTLRWFADLAIASKEDTMVCSSESETDDDFEALTLQLQETKGYEPYSAPRTVVEHKSNEEHGPVTASLVQTKPRRGRARKRPQKKDFQKDILPSLASLSKHEVSEDLHTLGRPTPSKRGGRNGSQSRGRRRTRSVAIAVEEVEVSPPPAPMPPPPPPADLDADALGITGWGRTTRRCRRPRCPPANNASLRLA >OB12G23700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12772969:12774444:-1 gene:OB12G23700 transcript:OB12G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29230) TAIR;Acc:AT3G29230] MPLRDSFTYSFLIKAVSAAGVGPVRAVHSHVFKLGSVEDTFVGNALIDAYSKNGGFLDARKVFDEMPARDVVSWNTAMAAMVREGELSGARKMFDEMPERDTVSWNTMLDGYTKAGEVDAAFELFQRMPERNVVSWSTIVSGYCKKGDLEMARVIFDKMPSKNLVTWTIMVSACAEKGLVDEAGKLFAQMKEADVELDVASVVSILAACAESGSLSLGKRIHRYVRKRKLGRSTHVCNALIDMFCKCGCVNRADYVFDTETVEKDSVSWNSIIGGFAMHGHGEKALELFAQMKQQGFNPDAVTMINVLSACRHMGSVDEGRRYFSNMERDYGIMPQIEHYGCMIDLLGRGGLIKEAIDLIKSMPWEPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNFAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELDEAFHEFTVGDRKHPDSDQISEMVDRLSSHVKRAGCVPAGHELLVQ >OB12G23710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12784958:12787901:1 gene:OB12G23710 transcript:OB12G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:J3NEG3] MDMIRFMYSQSWAEGESEESNVLTLDSIRSSLIKQEDTIIFSLLERSQFCYNPGTYDRSASGVAGFNGSLVEFMVQGTEKCHAMMGRYKSPDEHPFFPEQLLEPVLPSARYKNVLHPAAASININKKIWSVYFDDLLPRLVNEGSDGNCGSSAFCDTIILQALSKRIHYGKFVAEAKFQESPAKYKPAIKAQDKDKLMEMLTYVKVEENVKRRVKSKAMAFGQVVVSSDASPTADGVPLKIKPELAAELYDKWVMPLTKEVQVQYLLRRLD >OB12G23720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12790615:12792989:1 gene:OB12G23720 transcript:OB12G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVIKEVIRVSTPESVRPKSSGCPVNSPENVDGSSVTPDLKRKEKPVPHYQRASTGSCHDNCKSGLHHSLESKKYWPDHRRRQGSANIGCRKQDQDEILQRKGRQRNKNLSLKISLVSDGNASAKPELIKVKLPMEMAFDNSESSPCVQELSAEASERVEAGTLPCDDGKWLIPDDNVPCCVDGESSEGAVSIELEMLLAIQDSDTSEDHIADVILPSERVDNMPDRPENKCAGSEKRNTQVVMTSEKHGNSGHGTETESKSLHKESVKPKAKETLTTSRSNASNQKSGRTSHRKSSGTDVENPNGSKLVRTIKFNRDKKCSSTVASDVPKAKEVKVPSPANVMDQSSKPARQSKLKVLMAKDDQSPSVNSVKQTGRKMIVTNVKSAHVWQKKVEEKVILSPLKLSRSINMSAKSLLSIKMRAAKKEKTAKSAPPGKSNSKVYGAENAVADTKEKNLKTASPKVTKVAVNNKEGHPLKEKSAAPRTENTRRPKSATTVSSSSIMLQSPRKLTLRRGKVLNLQSNSEPNTTARRLQLRPAKTAEDSNSNRSKESTTKNEKNKGSAAPSGSKDSGSPRAETVVLRQHRDARDHRKKKKEQGWLLNDVIEEAASRLSGTRKSKVKALVGAFETVISLQERKAALT >OB12G23730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12795350:12799704:1 gene:OB12G23730 transcript:OB12G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWLLIPLALCLPTLIRSEDYSDVTIVVRGSETIASTSDEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVLYGTPNLGSPCTPFSKVSSGLFGFSQGCITMERWDAINNLFVNTGAVITFGLNALQGRQQMGKGVWGGAWNSSNALELMEYTVSMNYPIDSWEFGNELSGNGIGASVGAEQYGKDIIELKNIINQLYGNSRKPLVVAPGGFYDQKWYARLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLGQTSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTQTFVPNPDYYSALLWHRLMGNGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINAREMAGIRRESSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQGGNPYARTVLLNGGPLQLTENGDIPSLPPVLVSVNSPIYVAPLSITFVVFPDFEAEGCER >OB12G23740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12800005:12804868:-1 gene:OB12G23740 transcript:OB12G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3NEG6] MKLKAAARHFSYRTVFHTVLILAFLLPFVFILTALVTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRIVRDLYRVLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDRELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYFHFVLASDNILAASVVVTSTIRSSLKPGRIVFHVITDKKTYPAMHSWFALNPLSPAIVEVKGVHQFDWLTRENVPVFEAIETQHAARSRFHGNHLASTSVGDTPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPGLNKVVFLDDDVVVQRDLSSLWGIDLAGKVNGAVETCRGGDAWVMSKRFRNYFNFSHPLIANNFDAAECAWAYGMNIFDLSAWRKTTIKDKYHHWVKENLNSDFTLWRLGTLPPGLIAFRGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >OB12G23750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12810142:12813193:-1 gene:OB12G23750 transcript:OB12G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGASRWGGRPCLLRLPRVVANKSPIGTKERVYHRGHRCSGLQDFASPDEADRLPSWLESPAHSEMASDGPQWHFCQFCGKGFKRKSNWSEHEPLCKNKPDAQGGTDILNFHVPRRKRSALPEQHVMKADRPPEEETDVLDALLLLKEQPAYPGETEFSDDEPAANDLSQVLTACSDDRSSSVDCYGGDERRVNVHPNVVVETDDLSRNVGSRGVTNSVDDSDVIQKQKRKPDLSIADCRDLVEMQRQNMKADINIPGHKDSSAMQMQNETKTVKDGADINVPEHRVSSVMQMQNEKLDLSLLRHNNSSDNEVSTLSDSPMDDSNALVPTDTNLNKETKTVDIYIPEHIVSSVMQMQNEKPGLDLLPHNNSSDKEESTLSSSSMNYCSTLVPTDINSDKETKTVSGDQNAADVMGTFVVRYYRRRKKQLQNDQEASTVMHLQNEKPGLDLLPHNNSSHKEESTLSDSSVNDRNAADVRDTFVVRYYRRRKKQLQNDQEASTATWPATVPPGLSSESQNLFESAWITSRLKGLGC >OB12G23760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12817509:12819041:1 gene:OB12G23760 transcript:OB12G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCSYCDSEAMHKLAQLLLPGLAAVCVDSTTGDLFKKPSVVAVDLRKEMVDYFTQRSETFISDSLIESEVNQDQENEMPEDPFEIISIFMDDFSSTKRNIIGHVSWWLMSDSRDDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEEHLADHKAQCNFRPITCPNEGCRAKVSVRCMQDHDSACPFKIITCEQSCEKRLMRCDMDRHCVTVCPMRPMKCPFGCDSSFPECNLEQHCSEFLQSHLFKILKVIHKKGFTDDGLKDHALQLEKYDNDGKLAKSWDVRSLTNAVKNLEAKMKDDGSS >OB12G23770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12820046:12821397:-1 gene:OB12G23770 transcript:OB12G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSDKSSSSSVESYGGDERRRVDALASIAQMQQQNMKAGINIDDQEHPSVMFRGMASDGPQENSRCSFCDKHFKGRRSCAEHELRCKNNPDAHSHTQIQSSGNAQGGIDVLNFHVPRKRRTARIPVLPEQPVKKPASDYAQGGINDNDAPRTPPRPTTSEVNADPSVIQRQNNDLSAIDTNSDKQKETISGGVDTNIPDHKDPSVMQMQSNLPPHNNSSDHKAPTCSFSSTDDLNAQVPVDANSDMEKKTHAIQIRRRTH >OB12G23780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12823929:12829539:-1 gene:OB12G23780 transcript:OB12G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFFYECKEVLKIQKFRRMVSYAGFYCFTTLLTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPLEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGTSSKLQQQQLSSYVCNVHRISSLATVDSDSDFPYSKSQNVFPPECDRVVVFPEQSSGVPPVLLPLLLADWKDKYQEASSITSDDTDMAPRGRPRKYCCSKCNKSFRSGNALGGHMSCHWRIGNQPKSTSSPPAAVDLRLPLLRPCNDKPSLLSLETQCQMCSKVFSSSNSLRGHMRMHGGEKVVAMPEEEAAGLIEALAISDSMQNLMVFSSVKRKRSLRSKRQTPEFSLEEIDAADALLLLSERFHKMSAYEDCYLGDKDDSSLRSIVLTEVNTNVLDHSLVRSVDSKVPINDSNSAYANCYGQSDKENCLVPIVPKKEMDLNDFDHELVGDAALRKPRINSSDEEMKFGDLSVALKEKSHRCITCGKSFGSGQALGGHKRCHYVWKCDRPRGFAGRPDSVVLKERKLKLEPQLWCGTSNLR >OB12G23790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12835630:12835878:1 gene:OB12G23790 transcript:OB12G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGKGNPPKRRRSLVWEHMKIDEPSIDMATCIHCSNPLTAKSIGGTSHLIRHITKCLKRKGLTEELDQFLTKTKGTNYSRE >OB12G23800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12839930:12840432:1 gene:OB12G23800 transcript:OB12G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKNIRDMDKNINSVHKNINNVDKNIHDMDNNIRDADKNIHGVEKNIRDVEKNIHDVDKNIRGVDKNIRGMQKNTHG >OB12G23810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12842748:12842999:1 gene:OB12G23810 transcript:OB12G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSALTEGVLNHTCPMQPLDKGKAIMTVETGDTGSQGAAVPGEVPSKSPSQLRADAPEFIPSSVKKSKTSQRKSKKKRTL >OB12G23820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12852369:12861258:1 gene:OB12G23820 transcript:OB12G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:Projected from Arabidopsis thaliana (AT3G19553) TAIR;Acc:AT3G19553] MHSNVRTSVSLSKKTSTPSPYTLTHQHRKGGARRLGGRKRRRRRTRGQRRRWKGSDGPDEEHEDEGVEVDCDGGMAVTEVGANGWVAGGGGSHFFHYMTVPNKQHKNKHDVNKPLLEGLLQNGRLKFGQLMEQTISKVPEALSDAGGFSEIPCIMEDASNANDSPHSSVTGAKDSVRAGGGALLPILGFLVLPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPVAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGVALSPPARSLAVLALTAALTYLNFRGLHLVGLSALALTAFSLSPFVALTVLALPKIRPSRWLAVDARAIEPRAYFNSMFWNLNYWDKASTLAGEVDEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEGSRHGTPTYSILCSAMGVVILSFMSFQEIIEFLNFLYGLGMLAVFAAFVKLRVKDPDLPRPYRIPVGAAGAAVMCIPPVALITTVMCLASARTLVVSAAVAVAGVAMYYGIERMKTAGCVQFLAPAPPDSLHGSSSSAGSDDGNDVEDVRAVLLAAADEEHAGEGAISVNKDN >OB12G23830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12865374:12865604:1 gene:OB12G23830 transcript:OB12G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKFFLSPVKFGAFFFIAVSPLLIWGRVCPKFELGLLPISDLLLFRYASDLGFGVDLLGSFAELLDQHGGWWFSCW >OB12G23840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12865827:12867072:1 gene:OB12G23840 transcript:OB12G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSKKVARVDTLELKSQMVKKLGPQRSELYFHSLKKFLGGQLGKEEFDRICVAALGRENIKHHNFLIRQAATGNSQTSAVSNGPVINHGMMAGARRGLMMASRERRFDRPSPLGKSPLGHQGTSEFVSAGSKAPLEVVSVEDGEEVNQAGGSPVYAQSRSPIRAPLGVPMAQNSRPLVPHPSEICYNNGELPEAQCLLKLLENKLKAEGLSVTRECADVLNSGLNVFINQMLKSCLGVAKSRGKTRMSQANRSTVAAVNGGQGQVFSSGLPGYYYQASLLDLQTAVRCNPQLLRGDFSRLGEEIAYHLLNR >OB12G23850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12870230:12874458:1 gene:OB12G23850 transcript:OB12G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCPTGPPPFAGSLAGASSRAAR >OB12G23860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12874752:12875382:1 gene:OB12G23860 transcript:OB12G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPVPQPQDDMNSKKLKLKLKLSICMHGCMDAKVTWKKGCCSEKVQKVAADGH >OB12G23870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12883392:12884111:1 gene:OB12G23870 transcript:OB12G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKIVYFQRRRPTPPPPELEPEPPDPRRRLCRGDPAAPRRRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRPPPPPPPPPELEPEPPDPRRRLCRGDPAAPRRRRSSLSKQVSFCRFLAHLFYSVFSSGAKRGRISPVFFVVFF >OB12G23880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12884595:12886379:1 gene:OB12G23880 transcript:OB12G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVFCSSRNIKEFAGVMDNSGNKRDTAKRVTSAGNIIEQAGSTGSNSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSSSETIRAGVAPGVSTTSDSIFGSLTSCFHADVTIAPCKLQASTAESFNIEDEHTLITHCSEPAATQEEVASCKGTDGDELAGPSVECSICLERCGDADGLIELRCKHIFHSACLEQWLRSRSDCPYCRARVLLTAE >OB12G23890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12887586:12891124:1 gene:OB12G23890 transcript:OB12G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLARPAERCLGRGGGGGGGGGDGLMWHAELKPHASGEYSIAVAQANAKLEDQGQGVTSPAATFVGVYDGHGGPEASRFLSSRLFPHLQRFASEQGGMSTDAIKRAFHATEEEFLQLVKGSWIKQPQIASVGSCCLVGAIADNVLYVANLGDSGAVLGRRGPDGRQVVAERLSNDHNVAEEEVRKELTDQHPDDSRIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPKFRQSVCPIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYTDIKHIDRGTRRHFHDDITVVVLYLDRHKHGVQPMLGNRNSFRFTNAPVDIFSGSADEVDHTTLSS >OB12G23900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12899547:12901306:-1 gene:OB12G23900 transcript:OB12G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYGMGKVRMVATEFETGGRVVRNRMAARTAESGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPHGEKSKAGLLPCHSAKVAALEKADDNVAWSGALQRDFK >OB12G23910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12919280:12923261:-1 gene:OB12G23910 transcript:OB12G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAMVGADIQEVIGSAIAIKILSRGFLPLWAGVVITAMDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKGLIIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKEHQVREALRYYSIESTMALAVSFMINLFVTTVFAKGFYGTKEAGSIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWIRSMITRSFAIVPTIIVALFFDASDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEEVMGVFKIGRNTQAVTWTVATLLITINGYLLLDFFSSEIRGLLSGSILCLAVLAYASFVLYLILRGTELSNQIITTIRKSFS >OB12G23920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12926045:12926263:1 gene:OB12G23920 transcript:OB12G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRASVQSCRFYLHMAQNEGVRPSVLKTKLSVHFGARSSVRSFTDDESPLAILYSSPPAVLFFSFLLARRS >OB12G23930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12927532:12927846:1 gene:OB12G23930 transcript:OB12G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVTSLLSPVHEAVKRKRERGGYNAGVVAVVCHKVPIDKGTSESSLLGAGSPLPSIPLHSSRRVLYRLRPDTSMERKDFIENLHFSIFSHAFRYSRNYGLIVPT >OB12G23940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12929899:12930255:-1 gene:OB12G23940 transcript:OB12G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >OB12G23950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12941930:12945523:1 gene:OB12G23950 transcript:OB12G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALWNLIKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSNQFHVVVESLWNPFFKLLASSIMTGYTLFNAQYRLSLERTLEFSEEETECQKGEISEDIDHVISVRRSAMFFRLPEDTGLTLESEMADEKLCGNMDGLGNLSIEAKKLILGMQSRLDSMEKEIRELKKKKSSQQMQEFAGEEKNELLYYLRSLSPEKVVELSESSWPGVEEAVHSVVYGLLATLSPKMHTKVSPTSENMANGALNFGPEDGDFAELVEDVPLPFQPVITIPRDRLARLLFWCMMLGHYIRGQECRLELTHLLTVPSDANS >OB12G23960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12946483:12948473:1 gene:OB12G23960 transcript:OB12G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARRQAAADYIEAVQVDVTANMRAILVDWLVEVAEEYKLVADTLYLAVSYLDRFLSAHPLRRNRLQLLGVAAMLIAAKYEEITPPHVEDFCYITDNTYTRQEVVKMESDILKLLKFEMGNPTIKTFLRRFMRSCEEDKKRSSLLLEFIGSYLAELSLLDYGCLRFLPSVVAASVVFVAKLTIDPYTNPWCKKMQKLTGYKVSELKECITAIHDLQLNRKYSSLTAIRDKYKQHKFKCVSTLLPPVDIPALYLQDLTE >OB12G23970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12949331:12949900:-1 gene:OB12G23970 transcript:OB12G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADESSVNKESSEQLLTGEQDDSATWLSLTLGAKGSPEEAATEAEAANCLESEPPKTSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMVMGLPLEAHAAFVHSLRVNQSSVIQKTSQQAQIRTAPRFYEGSISWPPLAYEEVTNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >OB12G23980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12949686:12950043:1 gene:OB12G23980 transcript:OB12G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLHENTLCGAEVLGGSDSKQFAASASVAASSGDPFAPRVRLSHVALSSCSPVSSCSELSLFTDDSSAGSIFYHVSGSGFWLSFAAHVWCIFFQFSDGFIKDDEVRRQREFFLGANN >OB12G23990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12953711:12955277:-1 gene:OB12G23990 transcript:OB12G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDVPMDGGGATLLRVGGIAVLFLEIIEESFRATGAPSLGDFFSALRWVDRLRGVVAALRRLQARRDAFVARLIDDHRRSRGAGDGDKEKKGIIDALLTLQETDPNHYTDNVVKGIVLSLLSAGTDTTALTTEWAMAQLLTHPEAMKKVRAEIDANVGTARLGEEADMASLPYLQCVVKETLRLRPVGPVIPAHEAMEDCTVAGFRVRRGTMILVNAWAIHRDGDVWDTPEEFRPERFLDAGAATAPMLPFGLGRRRCPGEGLAMRIVSVTLAALVQCFEWGVDEAGAVDMAEGGGLSMPMATPLAAICRPRVVSASF >OB12G24000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12959519:12961075:-1 gene:OB12G24000 transcript:OB12G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPEVATGTASAVVAGLFLVAAVAVMLRRGGGGAAPSPPALPLFATARLAALAAHRHAEVACLVEGILRDGEGTVTLRPRLFELVLNVMLLAVTARRHAGDVRRFQDIVEETFSVSGAPSVGDFFPALRWVDRLRGVEAALRKLQARRDAFVSGLINDHRQRHSAVAGDDQDNDKKGIIDALLTLQETDPDHYTDNVVKGIVLVSIHLPLRSIAKCSDNGTQVLLTAGTDTSALTTEWAMAQLLTHPEAMKKARAEIDANVGTARLVEEADMASLPYLQCVIKETLRLRPVGPVIPAHEAMEDCTVAGFRVRRGTMILVNAWAIHRDSDVWDAPEEFRPERFLDVGAATAPPMLPFGLGRRRCPGEGLAMRIVGLTLAALVQCFEWEAGGVDMAEGGGLTMPMATPVAAVCRPREFVKSVVSASCI >OB12G24010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12963193:12965653:-1 gene:OB12G24010 transcript:OB12G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAIIHLAMEISQALALASLLLFTWLLFHLLSYQAPPKNANGRARRRIPSPPALPVIGHLHLLQKPLHRSLAALVARYGDGSGLLLLRFGARPVDFLPFLRVLDLGRVNRRLAGIAKERHDFGQRIIDEYRRRHLATATTTSPSPPPPPRTVVGDLLRQQESSPESYSDDVIRTVCLSLLQAGTDTSASTIEWAMALLLNNPEALRKATAEIDSAVXXXXXXXXXXXXXXXXXXXXAAAETLRLYPLAPHLVPHEASRDCAVAAAAGHVVVPRGAMVLVDVYSMQRDPRVWEEPEEFIPERFMGCGDDAARWRMMSFGMGRRKCPGEGLAVRTVGVALGAMIQCFRWERVGKEEVDMSEGSGLTMPMAVPLTAVCLPRVEMMPLLKSL >OB12G24020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12970433:12986828:1 gene:OB12G24020 transcript:OB12G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALATSVGINLGLTVLLAAAYALLRXXXXXXXXXXXXXXXXXXXXXXXXXXWRRTEDDVHAAAGLDGVVFVRIFVFSIRVFAAAAVLGVGVLMPVNFLGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAAYIITGITCYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPVTNGGSVSDSVDKFFKEYHPSTYMSHTIVHQTGKLRRLLNDAENICTKLANLKSVRRRSVDPPRRFLGIFGGNDLVGKYQKRLEDIEENVRMEQSDATRSRQEVPAAFVSFRSRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWISKFVVFVASVLLILVFLLVSAFVQGLTYLEQLETWLPFLKNILKIAVVSQLVTGYLPSVILHFLSSYVPSIMKTFSIMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFLDPKEIPSRLAVLVPAQASFFITYVVTSWTSLASELTQTAALLYHLWGSCAKCCKRDESKPPSMHYHSEIPRVLLFGLLGLTYFIVSPLILPFVLVYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHNGTIFSLVLMHIIAIGVFGLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEQSKPEMAEFFSNLVTAYNDPAMKPIQLSTNSDDRTAPLLSPA >OB12G24030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12992041:12993185:1 gene:OB12G24030 transcript:OB12G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASPSIERKRKATEDIDAVAPDPSVAVAGMTEVFVHGHGEEYSFHVDDFLSDECSNEQSGNSSQELDDDDDGEEVEEEDELDFQFMDAPDASTTAGLGEVGVLCSPFDVVAAELGGAVEADGETEPAVHDAMRQMDYERKISASLYALSGVSECLRIRAGAAREQLSGLREACRKKQQEAAAQQQQQQEPSRSPAIEASAAAAAEDGKAGQDDSSGGASEAASGGDGDVLMWSSLDLAPICHMA >OB12G24040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:12993657:12997732:-1 gene:OB12G24040 transcript:OB12G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40190) TAIR;Acc:AT2G40190] MGRESHPKTQSPTRARRVASMAFLAGLAALLSALLAAALRRRKWVEASTYPHFTMIGQSLGSVYLAWEALSKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNNSRIAGSIWLSRCKILYYSAFSWFYGLVGSCTHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERPTTPPILISVAQFRPEKAHGLQLEAFAIALDKLGPGFPKPKLQFAGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDSHQTGFLASEKEEYAEAIVKVLRMPEAERQEMAAVARKRAQRFSEQRFHEDFTDAVRPILTSTRS >OB12G24050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13001852:13003224:1 gene:OB12G24050 transcript:OB12G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLFLVLLCSCTALLTCTNGGDGGAGLRMKLTHVDARGNYTDRRGRARPLGDVARAEALVDTGSDLVWTQCSTCLRRVCAKQTLPYYNSSASSSFAPVPCKEKLCAASDLYFCALDGGCSVIASYGAGSIVGFLGTEAFSFQSGTAELAFGVVPTLVFHFRGGADMALPPENYWAPADKATACMAIYAGGRYRSLIGNFQQQNMHVLYDLANGEFSFQAAECSAL >OB12G24060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13011121:13016501:-1 gene:OB12G24060 transcript:OB12G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVITVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIATKASIDPSEVEWSYTYNRRKLPSENGLDAHWNGVRKQQTVPRDWWVEDLCDLEMCLYRRAVLAIKSKGKVGSEVIGEALRAYAHRRLLSSLDSAVSNGLDCTRHSAALETIVSLLPSEEGSVPCSFLLKLLRAACLLGSDEALRDSLTRRIGAKLDEASVSDLLIPASPGEDAMLYDVGLVSAMLEEFMARRCDDDDDGAKLQEDDEEEAMDGGDSSLGNVSSSSSKLAIAKLVDGYLAEIARDPNLPLSRFVAIAEMAPLAFRPVHDGLYRAIDMYLKEHPGLSKGEKKRLCGLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQVRAAAAAAAAGGDGDGLPARSLLPREDGNSYGSSRSAATTATEDDQWACGVPTSSDVNSFRSMSLANKNGGGGGCSGRSTGGGGDTGSNKNGGGGGEACKKPPSSAKGSTGGGLMPKKILSKLWSGKASSGENSSSDTSESPGSANLEETRSTPSRNTRHSVS >OB12G24070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13007950:13009014:1 gene:OB12G24070 transcript:OB12G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKREGSREREGGGESNVRGSSPAGRPEPRHLGRGCCCCAPACQRVPSMWAQAAAASGCLLLRCPATLGAGLVNVAAAGEEEEERRGAAPVVLVHDIFGLGKAFFRRFVSLVQRLVGLSYFAGAEKKDDCVLVPDLGSLTCIHDR >OB12G24080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13030509:13031372:-1 gene:OB12G24080 transcript:OB12G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEAAPVVPAASSAAVVVGSSSSSGEETWEGLHRGGGRVEGRGKRKRSTRRRQQHSEEEYLALCLLMLARGRRDGDGAVAAAAAEHRCSAAAAVHRCSPAMSGSAGGLRSKPRAAAAAAAEHRCSAAAAAAARGFDLNLPALPDIAGEQRCTAAAAEHRCSAAAAVHRCSPAMSGSAGGLRSKPRAAAAAAAEHRCSAAAAAAARGFDLNPPALPDIAGEQRCTAAAAEHRCSAAAAAAAARGFDLNPPALPDIAGEQRCTAEAAEDDEVLSPLAFKKPRLMIPA >OB12G24090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13040184:13040351:1 gene:OB12G24090 transcript:OB12G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEEAARKCEMVQKNHTTLLTDGQDAPSFNARTLSPSLESVAFNTALKKLNFF >OB12G24100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13041334:13046743:1 gene:OB12G24100 transcript:OB12G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMMVQPMMHPVGAGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGNPGDKARVIQTFLFMSGINTLLQTLIGTRLPTVMNASFAFVIPVLSIARDFEQNNYPSSHQRFTHTMRATQGALIVASILNMILGFSTIWGAYARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAIVVQQYVPQYFHHYHDRITFMFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSIGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGMSVPQYFNEYTASAGTGPARTNAGWFNDIINTVFSSGPTVALIVASVLDNTLEARAHENDRGLSWFRPFLHRRKGFSDPRNDEFYSFPIRVHDVIPSRFL >OB12G24110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13082178:13082519:1 gene:OB12G24110 transcript:OB12G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFHFLHGDGDHPLARLSPQTKNTKTDSEKRDYRRGGVLQDSPGSKKWCGVAMEKLFEELASEAVKELLRAVRGTFFCRSTAERRGEAAAPAGTAPAPPWWGRAPERRGAGGAR >OB12G24120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13082788:13087592:1 gene:OB12G24120 transcript:OB12G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPMDTLPSKAMAMGLEAPPPKGMPMEMEVEEDDGVVGSGVRVGKEKVKEMVMSGGGGGEAVGICGMGGSGKTTLAMEIFKDHKVRGYFNDRVFFETVSQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTRTYDMELLDEEAAFSVFCRAAFDQETVPQTADKKLVRQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDCHETKLMERMAASIECLSEKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGRDALNNRRQLVMPRREESLPKDWQRNKGIPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASTVYYLPPFIATMQNLKALVLINYGTVSATLDNLSAFTTLNDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKMDLSMTFPRLSNLTIDHCVDLKELPSSVCEITSLESISISNCHDLTELPHELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >OB12G24130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13083070:13088865:-1 gene:OB12G24130 transcript:OB12G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAGPLGMEMPIMHDGDRYELVKDIGSGNFGVARLMRNRASGELVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTTPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPSSRITMTEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMHILAEATIPAAGTRGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >OB12G24140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13105222:13105413:1 gene:OB12G24140 transcript:OB12G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPPPLPPPPPPPPNSGEVSFWAARRAVDDGLVGGGAGGALPRRRPADAGGEDGDGRAGEGGRR >OB12G24150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13116454:13123224:1 gene:OB12G24150 transcript:OB12G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: cytosol, plasma membrane; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Beta tubulin, autoregulation binding site (InterPro:IPR013838), Misato Segment II, myosin-like (InterPro:IPR0196 /.../ubulin/FtsZ, N-terminal (InterPro:IPR019746); Has 345 Blast hits to 341 proteins in 161 species: Archae - 0; Bacteria - 0; Metazoa - 131; Fungi - 140; Plants - 55; Viruses - 0; Other Eukaryotes - 19 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G37190) TAIR;Acc:AT4G37190] MAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDGASGCDNQMAGGHGHGLWPPPPPSEQYTASQHSTSQSGSPQGPLQLTVSVTAGDSCDGGDEDDGRCNSTLSVTTEELRPVSVQYSEFSLAPFFWRRLSTERSDPCQIHWIFLIATCGGCAWRCSCANESGSFYPFSVGGFANYVGSHFWNFQDELLGLADDPDVDPVFRTAALDMDVLYRTGETHQGVPTYCPRLVSVGSRGSLGSLSSSGNLSQTSAPADQLDIITWSGNVTKSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSQKSVEDKDLIECLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVLDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAARFLENIADDYTNTPVLLYCVRDPVTLGSSRNKRESITRSLHDAVSFAKLSSYCNLMVPIGPPSLSRSYLSPYLYIQDEKPFHSSAVCAAAIHSVTVPFRLTRLGPSSNLAHSSGNLDIGELVHILSDQGRLNMITALDVAMPAPSLTDRDAMGNIEMKLQSLTPEIRDEDEDPYSVESLVIHGAIDRGGQRTSISQVKDSVCSVYEGRETRAKFSHLAVSMCPLPVPLPFPSIFRGNIGRHGEILGDNSEGTQPKGSIDIESVPMAARLRSSSAVLPFIERRSGSLQKHGVARGSVGSQVLRDWGFGREEVQDMGEHLAKLLRPFYPEMDLTSDSD >OB12G24160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13127112:13132441:1 gene:OB12G24160 transcript:OB12G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:J3NEK8] MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVTGIADKLATSPTDGLSTAEENIKRRQDVYGVNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDIVHLAIGDQVPADGLFICGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHERQLFNWSGDDALVMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIQEVNNPKNGSSLCSELPETVVKTLLESVFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVILELPGGGCRAHCKGASEIVLAACDKFIDDTGSVVPLDKTTADKLNGIIESFANEALRTLCLAYREMEEGFSVEEQIPVQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLEELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKNMFGLEGPDAEVVLNTIIFNSFVFCQVFNEISSREMEKINVLRGILKNYVFMGVLTSTVVFQFIMVQFLGEFANTIPLTRLQWVASVLLGLVGMPISAIVKLLPVGSS >OB12G24170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13141706:13142237:-1 gene:OB12G24170 transcript:OB12G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRDSTYGYIADDPGQQNVCFHADAAGSVRSFRFAMRYLLESAGRLLMVRRSSRKEEQAPIRFEVFEADLTGERHPAGGRRCGACTAGRSSSTQGVLKSLHGEDVGEYSVGVYDMRDGMTSPLPLPESAKKDIQLHHQYPVWFFPTH >OB12G24180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13157207:13159934:-1 gene:OB12G24180 transcript:OB12G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEEAEECSAACGFSLTCQEDGAELGDGVVDDGDGDVYLLYNAAAGDDDEEEEYVEQMLSKEASFCCSSSSSMFDAAGGDGDGEDMPSLASEDWFRQARLAAVKWILETRGYFGFGHRTAYLAIAYFDRFCLRRRVDREAMPWAARLLSVACVSVAAKMEEYRSPALAGVAMPWAARLLSVACVSVAAKMEEYRSPALSEFDAGGGHAFCSGSIRRMELLVLSTLGPSTVAAAAILAASSGTPLAKEALVSKMSNISPSCLIDKEHVHACYIMMVGDMNRRSSSKRPLQCSDSNEITTTSTYDSVLVDDVTDTAAFAATMAMNKRLRLEPPRIH >OB12G24190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13165433:13169766:-1 gene:OB12G24190 transcript:OB12G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35910) TAIR;Acc:AT4G35910] MAAAGDSSSSSCGGGGCGPHCSSSAEDATAERMGRLSLSRECGKCGGGAVAVAVAGGVGLCGDCFRAHLFGKFKLAVTSNAMVRPTDSVLLAFSGGPASRVALQFIHEMRSKAIESWDASNSQALPVFGVGVAFVDESIFCLKPKHEIEAAIEDIKSIVLSLSAGVKAMHIAPLEDVFSTGSKDGEGRLREAVDMIDDDTGREDFLQCLRMLLLQKIALENGYTKIMLGSCASAIACHVLSATVKGQGYALPADVQYVDTRWEVPVVLPLRDCLAQELILLCELDSLKTQEHINKPSNGINSLVASFIERLREENPSREHTIVRTAQKLKPFSFNKFSADGYHDFLPSRLRPKFQKVDSDESTFPEILCLICGSPFSESELQNLESTKHEAQKKIDLYTSHCCQSCHFQILPNDENLNEHFFSLLPKFWTGRVDTVSASHSLLRDQIEEYLLEDNDGN >OB12G24200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13170163:13171767:-1 gene:OB12G24200 transcript:OB12G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGLISDMSWTVFDFPSHGDESEMMAQLLSAFPIHGEEEGQQELPWFDQSPNPCYYNCNASSTAYSNSNASSLAAPSEYEGYCLSDSNETLGVSSSIAPHDPSMVQVQGAPEFLNVIPNHSLDSFGNGEPSREGLDSAGVTKKRKHSAEEEFDCQTRVSKCTKKAEPKRAKKAKQSGQKDANATIPNGSCSSSDNDSSSSQEAADAGATSKGKSRASQGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGLDLNIDR >OB12G24210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13174011:13174178:1 gene:OB12G24210 transcript:OB12G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLNMYLPKFGGSITRYFLQFGYIIIHLHKRKKYQVLINLHQTKSNRFLFSPS >OB12G24220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13174455:13181285:-1 gene:OB12G24220 transcript:OB12G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAVVPLHDHYLACVDCYLGIVLIDVESPNYFNYIPLPAAAMNDRCDDIQDIGLHDPARCISLDSAGMITLVCIGTNTNSSNSNRRSMRHASSRPRPPFIIESWRLVDVHRRASWVRGSAMEAGEFWDLCSHAKQPLLPHVAPSFPVVSLVHPHAISFLLQDKDNKLNWMIEIDMRKKALLSPAVLYINQKDEKKFCASRSNPQQSSNCGNRDYFGRCFVPSLLPSYLKQDVTESRIWSRMMQKSKQERAMHEIERRRLGIHENDWEEESSPGSWPYIGFLHGIMFQDITTMLLKPEAFRDTIDLFVERYKDKGITVIAGVEARGFIFGPPIALALGAKFVPLRKPKKLPGKVISEEYSLEYGTDKIEMHVGAAEPNDRAVVVDDLIATGGTLSAAVKLLERAGAEVVECACVIELPELKGRDKLGNRPVFVLVKAD >OB12G24230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13188698:13201544:-1 gene:OB12G24230 transcript:OB12G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 2 [Source:Projected from Arabidopsis thaliana (AT3G19050) TAIR;Acc:AT3G19050] MSASRPPMSGGQRGAGLGLGFSMAARGTPVSFAPVTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTEKNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMSGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRKGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSMCSASETLSTLKFAQRARLIQNNAIVNEDASGDVLALQHQIRLLKEELAVLKRQHVTRSLSFTSDIFERSGVDADDGAERMNMDEENDNDAHDRRSLQDLSTSNKQLRLLEETLAGAFRRESMAETTVKQLEAEIEQLNRLVYEREKDTRCAKMMLKFRDDKVHRMEALVHDKLPAESYLLEENSALLKEIDLLRANIDKNPEVTRFALENIRLSNKLKSYHQFCKEGERELLLNEVSNLRNQVLPILDGRTEAEQQNNFPANLELKRTSRELETCRGELQVCLEANGKLAREIADLQNELSNIINSNREDQTNAVEKFSSVLNQYDSHAPEKKDQFFHEGFTINTDDILNLQLELDIIKTILAEERTTRAEVEKRTTCLGNELKTANIRILETCRQGETMQSELSDARSVIEALESQQIMLINELDELKETNQQSLEHLKNRDLEIARLKNELDVYRRQDFLAMEEPKVQLLKCFENDDSPFQIKLKRMQASLEKARNLNTRYQRDQASHSSAQQEMDEVRRQVEVETAEVIMCLQEELVSLQQQLDASTKNELLANQRLDEAQLESEQLNDRLLELMKENECSSALIKEKEKKIGMLTSEWDRLTSDIGSFLLDGNSALDEASDQAAFISESISHRKWIGDQVQKMCQGISHRDELLKELQNRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQEKVMSLLRSQISNEGNVLQQQREELQRIQLLLDESIETSVQKEVIEQNYISLQRVMGEEIHRLELQLDQSKRDFTQLLSEIQDKQHGFERLKNEESTVLLKMLSDVLKAKGIIHEFETGFNAMHSSFSVDREVVVCQNSDLNVEDRVGCDPTGAFKSGEEHNGDSLRELSKEIECVVCTLQMLQSQMVNLLQQKENMKQYHSLSQRTIKDVRAEVLQLKSEIIDKENCYQARLKELEIKMQEKENDTAASFISWHNEKEALELEVSEAKSLAVQKSFEASALISKFEEAQATISDADTTVKALVEANENAKFQIENFKENEALFLCEKERLLTEISSLKVLVEVKDQTYENLLLEKLDFVSSDVEWMKSKLQQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRSKNELEMCSVLKGKLLLDINHNFSRIAKKEQETTELNSRLDAFEKKILRLQAQEEAMLARSNSMYNELSVLVEEIDATNKSALAAESKEKEELCHQLDEALLCNSMLKDIIQEDMDPLQVKNAMPANYMKGCSEFELCSRLADYRNELVTTNTIAKDIESFVLSSELVQCKAQLHKKELMFIHALDGLTTEVTLSRVDHDLGSAVILSLLEDNNKTMIDLNNLKWNKEKLMGNIHALNEENLKLRSVVGSLESSIESLQTELDGRTKALLQLQYSHATILEELNLKSKATALGISREKDLKLENDLLKNQHLDIVCKEQRMVELVSNIDAEKLFVTIQGRLEEVADQVQMYTSDQLNMVTKVSSELDFIQMSIEELINHNDFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADKLIKFTEAIESLEHELASKSHELDDAVSGKQLLETQILKSNQRVSSLEEQLANKLNELKLAYVEHDALRSKLNHIEGISYTMEDELADKDKAIERMEEELNALRSLLDAKSSFLQSLQNDFAKLLDEKKYCETQVLILSEKLEMAQALADESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELESTVCALENKVDVIKEEAERQRMQREELELELQKVRRQMLAVPSSGKAMGSLEGELVDFTDSSRNSTEIYNELLAAQENIRILQKDVAEKETEISQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKTDNASANACSSKPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELSAAKQRIMELEGVAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWASLVDNQQKMDAQESTISHAHESKEQSNEVMKLKSQLDEFIEERQSWLDEISQRQSELGAARITIEKLRQKEHFLVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHEENTLLKKQNEELSAKLQKLGAIVARTKEELARYRVSDGKDPYQQMEEEELLKNRLKESEQDRNKLAENLSSLCITVLKVAGVRNHETDASLLKALEALNELQLRIASMESEVEDLKLKCKLLHENARLSELRSESSSHSSVVREGHSRSPSISSFR >OB12G24240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13227600:13228739:-1 gene:OB12G24240 transcript:OB12G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILLCQRHPLVRVLGAGHPRLCREHKQPDCGIPSPSSLLAHDLLPQLRCAELQRRPPEPRAACLGTLGPRTLRHPAHRLALMVEHCEQPPVGLERVALGKQPERRATAHREHQGVLARRHIQVLEDPLLDVVLGRAGLGAAVERVVAQVGIELGQCEGRGGGVPAGVEEAEAAVVEADPAGAGGVEVGVMLQPEAVDVGGGGVVGVHGEEAGDGVGEERDVGEGAEGPGRGGERGGAEEIPLRPQPREGAGARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPVILGQEDGGAVGEGLP >OB12G24250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13228113:13230455:1 gene:OB12G24250 transcript:OB12G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDYATTSDVDRLRLEHYSHLDAPGAGRVCLDYCGFGLFDSGWDSSSSSFTLSELNANLSNHALYGGAEAGTAENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARAKGAKTRSAWFRWPTLKLCTTELRKEIVGKKRGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVKIVPVFPQYLSDSVDGFDAMDDLEDDSGVHKDEKSASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGQFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVVSFDAAVLSVSHDADCLKEDPEEEIFENGQRNHFRQVSEIQEEPEVEEVACQHALNGDVDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVDEIDGALSMGRRVSFSTEANIIVDRLHRASDAAEASGYAFRDDDGCVSDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPDSKDGDADHLVHIYGPKIKYERGAAVAFNVKQSNGTFVNAEVVQKIAEKNGISLGIGFLSHIKLDLNHKQLNGAFDIPEASFYKNGRRDSKNVTVRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERFTIAADHSEGQT >OB12G24260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13233378:13236170:1 gene:OB12G24260 transcript:OB12G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKSHSACKNCVIDHYWHHMDDHGKSFIKVMIGDFKNGLTIPEKFAQNFRGHIPETINLETRNGNRYKVRLVKELNNLVLRSGWAKFASAYELEKGDILVFIYSGNSHFKVWIYDPSACEKELSCANMEQFPYVQERSISYDNHTRLRKKAKSANRYVDSSSYSRETLEISPARSSWKSTENVSSSEEHDEPVNSDCTQKPTKSFCDLPRMCSMTNAQKAEVNALEKKIQPQIPFYITTIDKASVTNGSLTISKDYAVRYLLHENETIKLCHSGRSMTWDISLDIETDDQYAISTGWLDFIRDNHLQEGDTCVFEASKNKREVALIFHPLKQSLHSKPPGYAPSTRCPRNGISKPNYIVTKFTTLSGKLEREVEAKVQAIQSEIPIFVAVMRDSCIRGNASVLCFNAKYAAEYLPRESKTMRLLLPKKKYKCKVAFQINHRVHKLVGGWRKFIGDNKLKVGDVCLFQLMKNEKLTMLVHIIRKSEYC >OB12G24270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13239870:13241768:1 gene:OB12G24270 transcript:OB12G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCESCSKWQEHYYREHMDVSRIRFFKLLTGDFAHGISIPEKVAEVFSGQITKGFKLKSPSGETWRVGIEKVADELLIMSGWEDFAKAHELQENDLLFFTCNGHGNGSCSFDVLMFDASGCEKMCCFFTGKKDSYMCKHFDSRGGQNAEQCLSTDSEDTSTPSHLIRSPYSTSKKSSGKTKTNPRNAPENPNSSRCHVKREMFEEEKSGDNDDNGDDEHVDHHYSRLANYLTSEEREEIFSLIVPSKFAADHLENRSHEILLIRPNKKEKWYVKYYHGSTNRGFNCHRWIKFMRENRLREGYICIFELMKGARRVTMTVHVIGKVGNRFVLLG >OB12G24280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13243388:13243543:1 gene:OB12G24280 transcript:OB12G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISVGTNPPTVWKHQTWLTSLDDINMRIQILLRQQTNQTEKQYLVIQRL >OB12G24290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13245265:13245602:-1 gene:OB12G24290 transcript:OB12G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPFASPSSSPVAAARPLAAMMGSPLTTAALLARLTAHPAASVRACCELSQGISFRRTCQDR >OB12G24300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13260429:13266322:1 gene:OB12G24300 transcript:OB12G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTDRSCVAGHGHAREMGFLMRRGLVAEVDVFDGFNNNMVNTTSNNTTASRKSQSPGEQQQLQDLQNGWPPHYIAASESDHLLMEQRLMQEIWKGAAANDHHDQEEAAAAPPHHEHGRRRLDGQIVAERPPPPPSNTSSSSGDTMASSLDWLLAPLPTRRQKNKYRNNNDDDGETAHHRDEAAAAMAGGVKDPSLEMSLGRQGWQITMEQCSSVESSSKELTLLKCL >OB12G24310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13274130:13274778:1 gene:OB12G24310 transcript:OB12G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKFYTPKTTEMPYVKCIADDPEQQEVYDMMNHIYSEVVHRYLAELGGRLFMVRRWMRFPVNSRLGDLDETFRFEAFEADLSATPCQWRKVRGFGGRALFLGSECTKFVRASKCVGVQEDCIYFMHRTYDNPSREYSGPCVDPLGDSGVYNMRNGGITPLLPEAMMAKLRLKRQFLTWFFPADV >OB12G24320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13281160:13282008:1 gene:OB12G24320 transcript:OB12G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPQLADAVLRARMKFKWDVYTKVILSSPPDSSMDPLIAALISEHHYVVISTCKHHDAISVGYDSNVPLADIAFLNGELYALTMKEGLYVYSPNNGCLGAPMNVSPGGFHQRITDAPKERELYYFLPGLLYVVSRYLAVCDGRLFMVRRWLSVPAHTRLGDEERTSWFEVLEADLTIAPCQWRRLHRLGGHAIFLGSKCTKFVRASQCVGGVQEDCIYFMHRIYDNQAREYWGLHADPLGDSGVYNMRTGAIKPLLPESRLAELPLKHQFPTWFFPTDL >OB12G24330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13307007:13307201:1 gene:OB12G24330 transcript:OB12G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADRRRRRRREIETRSVQGGGRKGAAAASGVEERRKEGDVGACGGAMSDATVFLLLDHFAPS >OB12G24340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13306977:13312688:-1 gene:OB12G24340 transcript:OB12G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMPEGRKCLDCIGRPVAESKRDSLGRGSRVLRRLLSAAEVELVMRSERECAANQLRPEDVYVNGTKLSPEELVMLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNRANNQCGEEPVNVVNRAIPDYLEQRTIQKLLLVGSGTSTILKQAKFLYKSKPFSVEECEDLKLIIQSNIYNYLGILLEGRERFEEEAIADRRTTCQSDPSSSGHCEPELCNEVTEYSIPPRLKAFSDWILKAMAIGNLEDIFPAASREYAPLVEELWKDPAIQATYKRGSELPFLSSAASYFLDKAVDISRMEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYDDANGNVVNKMMETRQLFESIALHPIFAQMDFLLLLTKFDILEQKISKSPLTSCDWFSDFTPLVSRNLINGTSKSSRGSSTGATLAQMAAHYIAVKFKSLFQSFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETIYSEEPSSYSH >OB12G24350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13317270:13319616:-1 gene:OB12G24350 transcript:OB12G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSRVLSAVLWLAYLSADSVAVFVLGHLAVRAGGEPVVSFWAPFVLVHLGGQDSISAFSRQDNELWQRHLLNLATQAAVAGYVVAKASWPDPRLTAAMAIMFVSGFFKYAERTLCLYLASPAKLMSEALNDLSSILRIIQERIEGKVWPSVREANTLVAAFDKILGDMSLPEDSGTTIVSVDAPSNMLDYMVAAANDLPRILEKVIQCKKHNYFRVYDYAGRCLKNSYQKLYTKHNLRICLYAPSGRLICKITNCQHSSLLKNVVETMFTTIFVFLVTLYNVFPFLPALIALVLLMAADKGGQLHTSSRSSADVTVSYILLVGALILEVSSSAMIIFSIFKPKLAAKNIILPEWTRSKQWSEKLAQYNMIKAVQADHHTKASCISNCLVAKCAGLLCSLTHKTITVDLGQTPIKEFILDNLLDYGLRKEWSCSSSRGHLVLQRWINGSYQHPGSALPKSTSGSVDFPTSVLIWHIATDICYYGEIESGGGGAATTSSSSSSSTSSSDQLKDQKEMSRQLSNYVMHLVFKRGVMLTSKSQLVHDKVCADLGGDEKDAIVKLFETNIEEKKKQATDIDEEQAGLNSTEAKVHIHSASPATTTPSSKHAQKLELLRRAEAALHHPVMSDAREVAKVLGAIGGEANRWGLIAGVWAEMLYYIAPRAGAAFHYESLSTGGEFITHLLFIMRLLGPFMPTPDGASSSSSSSAP >OB12G24360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13335139:13341292:1 gene:OB12G24360 transcript:OB12G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGIREFLSWMEWRGEEAVAEWSGVEWGGERRTAMAQLRGGGGGGGGSGGVVGGDGRTGLLGSLVKEDGHVYSLAAAGELLYTGTDSKNVRVWRDRREFAGFRSGSGLVKAIVIAGDGRIYTGHQDGKVRVWRASVEDAAVHRRVGSLPRFGDLLKSAVNPSHYVETRRRHSAVWLRHFDAVSCLSLDADAGLLYSGSWDKTFKVWRVSDSRCLESVRAHDDAVNTVAAAGFDALVFTGSADGTVKVWRREQGKGGTTRHAMERVLRKGESAEKLYKSLCLREKEIEKLLVHLIFLTTNYNICNNLNCICFA >OB12G24370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13345730:13364790:1 gene:OB12G24370 transcript:OB12G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MDPQGEILHEKIENLVSSLEEKFASVFSSALLNCSQVRLDDVTIQVRYLDDSHFFILRTSDLQFGPEHAFRFSLFRGLVQSSMPSRKKNHLLVKCAYFEFLLKENDQIDCTASFTGTTGSVRLDNLQLTAFGIHVTSALWEIAPKAIPSLMVILETTSQKEDYEVRSGRELWKIAAHKLKNSIACHRLSLSKAISCASFWQRYVHAYISLLSLVGYPSEKVIKKNCNRVKSTRKVRETIRDHLKTVIELEEKIPVEAIARGRRAARSKLTVSQQQGEQELSKALLASYTLIFLSPILYLWKFLIFICWPMWRFVSSGRGWRSCAHVFPCAFDDSEIEVQLSICLGELSVTFIPLGGHFTGTPKLNKGNKGYHIDIPAVHLVIKSSCILYTACCTTQSFFFVIGELKANLSGIPKLSQSTNGSITRRNSSFGTEEFAEDTNAVIILWSDSASMHPFSGKQPNESFSYNGDSSVALLQSDMEQLWSFWTVVSTFYNDSGVMHHGKPSVIFEFKSFLVDPYRSTSGFQHCRFTVGRISLDLDYLCASSTYLLYRQFMHYKELKELTEKSAEFLNRSDSCATRTSGIVYKLRSLNQRLKLVIPYVIPENTLQISALIAGPSMRLIFDNNSLLPNSKNNQVPLFSQMNNKSHITLNLAYVECVIWPASLSSLTPMRAHSHVEESHNTFYGVKEQLEPHRLALESAGNVYSGTVALDACFKFSDLTLLVDHIESNHQSHILGPMSANFQLSTSWKYVSSFFVTRNILSINLGGGIVGCMAFLFMDDLFPIFQLIEGMQMLALNSELVEVKYSQCFIRRLVSSCSNHIEGSTMDTGENIIQKETVDRHTDLKVEMELYLEPIHIIINASQDGLVLNPAMFSNSDVNYISSSPVFEGVAAQESLDILALGVWFCCRSSSLKLLLDGQCTDFLVSLSGIQSVVFENQPQMSICNDILQYSTMLSSSPYDKNQFIISDCVFHLCAGPNKESLINEKLQDEFRSSCSTDSLGIYYFIKLEFTEVYIGDYSMHNYLTEVNKPSKQKIMLLIHDDLQIVKCKIKGGLIFLETLSLAKFVLCCKNYFRLLMDLSSRAASNSVKDSRTSIYAGGDSSVTNRSPVCSSVHSQSEESQLSAVKCLDVDFSQFSLTLATADKSGTYHGLTLEVEASLQQSDLDMKMFEVKHFSISSISIIPDTGHLQLRVVSAPRFRSSKSVALPSQSEIQEYLPFVEADNVLTYDHNAPSSSNSTMESSTGNPSLEFSSHKNYILSHFSAYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEIILSLYTPFHEILSSGSTQKEIQTGSPPHQELLDNMECCTVPDGAIVAIRDLDQQMYVSVKNTGSKYQVVGTYHYSLSGECALFKVKHHKGWRSDTPYISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVEKPSSLWTTFPLRFDGFEDDSDDGKSCKIISRDSYHFVNKKNSYGIAFVDGLLEFVKKPGNPFKVKVLDESLFSDVPRPFIPNVNLDNNTYLDIDNELTFAMRDSLETGVSSQHVTISIDKIVFTITHEILDTGNVFPLVQSCISDTRIITQIFPSKIRILSSFKVVVQSFNARKYLWEELISPITSYVFLRYRFFDLVPVTRYSRMPLRFFVHLKQADIFFNELSIDTLLYVAGKLNVMGPYAVKSSVIFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPHDQNIVSISLFKEGAFSTAPINVFLQNSGIFAWRTRILSLKDSRSSSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPHKSNEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISECSENLGQITLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSVDGQHVTDLHFLVHTLGREVPLHPTNGSPVFHRNAEVPLQLQREIFIYPTVQVYNFLQTDIHVILTDYEPENTREGNFDTIGKQATITSGSSAYLYVNPARFTFSVTLISYGLKSKAVNSSDWLKRIQKQTSRAQFLDVELEFVIGAGRFHSSLRLLRQEKGLLEVALFTRYALHNTSDYPLLCTASHKRSLPMSGTEKETINFPPQDGCILASMSMSSWFTRSSKLRISLHNEKGSEAFIDLEALSGFTEFSLEIQDNIMPRRKATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATTISVRQCFVEDDVDGVAIEAKHRATLHTWKPEKRREVNYFDLFVKKHRNVSEDSHIFIQFCPKETGYGWSGPICVSSIGRFFVKFRRSQGMLTDEMKRGTLQSGKGKQFASVDVIQETASFVLHFTKPPKAALPYRIENFLNEASIMYFQKDSVESDVLHPGESEQYTWDDLSLPHKLVVRIIDTPALREIKIDKINPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHSDNPKADNIQRALANVQFRITSMCIHLLEKGQQGEEKAQSLSTILAARFQHVSADSVITDRYKHITLAIQSVNVDEKWEGASFGSILRRNKLQDATLSENMLRIIITLNSSNSSVKQVQYCSIILQPIDLKIDEETLMKIVPFWRTSLAPPGTPSTQFYFRHFEVHPIKIITSFRPGSPHTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGISGTKRYLGDLGKTVKTASSNALFAAVTEISDSVVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKGLLKGDSSTIRPLRHLRNEPEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGITSRAKKPTGDGDGDGESPSSSSSSSMGVFARRSSRLWSVGRFAVSGMVAYVDGRLCRHIPNPIARRISENQISKHNDGRPNYERKCEMDDEVAQEEEDRTATTHGSHATVKICITCGPNRKPS >OB12G24380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13366038:13371709:1 gene:OB12G24380 transcript:OB12G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQVGSSSKKNLNVAYEDLTKILGLSRFDSENLSDVSSTGLPMKCALDPTNSVHMRNITPENGLKSAEVSSDNLQDTSISCESPHSQFGKAKFMCSFGGKIMPRPSDSKLRYVGGETRLISIPRNFSWNELVQKTLKIYNQPHTIKYQLPDEDLDALISLSCDEDLQNMMEEYSSLERANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKKSISGNNLLSQSSHHLDNSPLPCRDTPVCQTDRESGAKALGGYTVNEAPSQFFISPFTHQTVAESSMTSSPNLNRQRTMKKSRMRLSAEKSTLNQEHEDTSEVYNGSNLETMLPDHQHKKQNDTETGIGAGTSPHHFHIQNQVKDLGMPQNESGLSSLTNYDMPIPVQTPFYSEKVSIHPENSVLSAEGMTHAFSDPLLKDRKQVLAANLSSAADSHIAPISQEIYQTKEPERKLSVTKPDFVRVKPADVARTEEPKCLVSNHTDQPYNQGIVGAASVEPTIHYQQDSLSSNVRKGHDGGSTVQQQDKPYHQENRAGPNVTPRFGFVDTGFNSCHARAPTISSDELDALESSVPTSIPATDHFVNECSVGSQVENSARGSQIDNLNSGGAGADYGTTGCVYGYDKVAPVPHASLPINPFDAFTSQISMANRESSVYQNGKVDQSSVHNYGLDISPLIGISNSDVSANLPSSQNPSPVCVSSREVPLECNIACSHVVNGFDPTFIDNESMKLNDRMYNNVQMEAPVIVEDVTNNVPLGIPSSRPLIPHVEVAAEERQQAIISSLKDDDAKSDGPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAKILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSRSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPLIPKNCEPEWRKLMEQCWSADPDIRPSFTEVTDRLRAMSSTLQAKGQHQGNK >OB12G24390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13372407:13374315:1 gene:OB12G24390 transcript:OB12G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAAAPDLRLQFQDGQVYLADTSITEQLPMGYPVDAPFIRSERIFGLLDDYRRVHAQYERGDDDAVPDIAAWGLEFMEKVVTDTDTLHDLFLAAWTLGIYGLRDLCAQMTADLVKGRTVGEVKQLLGITDVGMTPDEEQELQRNNQDILRLR >OB12G24400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13376139:13377862:1 gene:OB12G24400 transcript:OB12G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAAAAAAGVPTVLVRGGDGVVFRVQARRLVELAPGFNWDLPTIASIDVYGAVQEYRVAVRDFTDPATGEVLDRDGLQHRVDGIFAEWVQGVEELGHLVRAATDLGMNDLLTECNKRVQELPLVEQQQVMQFSQNAPGHLFGFLNAENIRYGDAFHSTGIEFTAA >OB12G24410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13379792:13380439:-1 gene:OB12G24410 transcript:OB12G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWGRRPFSCVRATTYVEGIAFLHGKLYVLTSQEGLHILELDGTGFRRRIADDPEKTTYVHVAGELNLGHKIVRYLVESGGRLLMAVDSLRGRALFIDGAGAARSVLATSQHGAGARQDCIYFMVAAFGGYHGDSGVYDMRTGDISPLLLPERVQRDMPDLQRLHGLYPTWFFPAD >OB12G24420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13383533:13385820:1 gene:OB12G24420 transcript:OB12G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESIITSSMTGFLPPLATRTQASPPAYLPPPLAINPHADWRGLPEDALAEVLFRLPVLDLFRLGYLFTPNWLDIWRAKPLMLHDRQFSTPPIAADDVADAITNVLELHVGDGVQLVGGVEGGDEVHGWGGGHELDGGTDGEDDHPGVVVNAGGGGVNGGHYHHDGGLDDDVDDEAGEVVDAEAGDVVGDAGAGPGPGAAVDGAAVDGAAAAAAAGAVGGGQGEGVVVVAPGVGVDEGVISDSDEDLYGYDDIPVPAAGYEIGRVYTFRVESTRWRPDHLDRWFAALERGRAREVILANLFTPGRPHLPPGIRDCTSLLGLYVFFFTVEANHIDPLAVARLRVLGLYGCAAAPGLIRRALLPRSEIQALIIRDSAVLQDGDEGRLAVAATRLRRLTISNSEVVTVTVDNAIQFQDLVAAGPSKLTLTINGVPSLKTLSMDLFTAVLEIDGIAIKAGMVEQPPQMPCVRHLILRVNYTEMGDMVPRQRDDEVTVEEGLVKSYDKHIYEGSNLFDGLQSFKYHLRQIHHRPFRGGKYEVALIKAILDKVGALSVLSMEYSPGSLTSDTLSQLQVTLDIFKLHTPNDAVRGDSVCIAAIEFEQAFSIFQ >OB12G24430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13388811:13390263:1 gene:OB12G24430 transcript:OB12G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVSKNSPPARHEDGVAAPPALDKDAVLRRIRHRRRANRPPESLQSLLLTQQAAAPPPAASGEATAATRGGGIGTFVEEMITEYKHIKLTTSI >OB12G24440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13390437:13395280:-1 gene:OB12G24440 transcript:OB12G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAIPAVAIMPSPLFLWRFKVILFLLWGLCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYARVFDLAQTHLSHREIFRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLMVLLSPFDMFYLSSRFYFLRTVWRIMLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSVAIPLALVFPYLCRLFQCLRQYKDTKEKTCLFNALKYSTAVPVIFLSALKYHVFPDKWVSFYRPLWLISSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSTWTNLLYGQIWVYYWVLASNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRFQWVFFRVENEWNKMAAKQNLEMSSDIPSEGDRLLDSNSHTV >OB12G24450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13407050:13410068:1 gene:OB12G24450 transcript:OB12G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAGGLLFAHLLLLILPDGALSAGAAADAGRGCQRRCGGLLVPYPFGFSGGCLIELACVDERSSTAALLGPGATNATEMGAYTVVDRSFNSSASTFAINLPPSCNRTVSDARRWLTGANYGVSSHSGLFLRGCRNATNASCNVPVEAMLRPTNCGGGSNGTTSSSSSLTCIASLSLDPTAKQRGEGLFVRWEKAEEARCDNLLTSVYAETRDGVFSLEFAMAEMGCGSSISFYVWLINFT >OB12G24460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13412462:13416863:1 gene:OB12G24460 transcript:OB12G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGGLVLFLLLILPDGALSATATGRGCQRRCGGLVVPYPLGFSGDCPVLLSCDERSGSKVAVLRRPTNGSTTPSTGQQYTVVVDKPFDSTNSSFVVSLPPSCDRDVLRARRGLAGANYGVTSRSGLFVRGCRNAASTNCTLPAEAMSTMLRTAACGGAASSNGTPSSSVACVATISTEEEMARGVGLFARWDKVDQLGCDQLLTSVYADTREGRFSLEFSMAELGWWVNGNCSAAAAAGSGGDIDKAGRCAANATCHDVQTPTGEWGHRCSCKDGMDGDGFAAGDGCFFAAKSSRKKILMIVGGVLAGTVAAGVLLLCCARCRRSAGGGRNILLDGDLRPKLADFGLSRAVRLEASLSHVSTAPQGTPGYLDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFARPAAEVNLASLALDRIGKGRVDDIVDPALVDRGDEWVMLSVRHVSELAFRCLAFQKDVRPCMSEVAAELSRIRDAAPASVPGARTGASRPMMVDIGFDGVDTTPAAAKKAGSPVSVQDVWISDQSSPSTNGSMPRFA >OB12G24470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13417490:13417714:1 gene:OB12G24470 transcript:OB12G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKVECNKCGKFTWNGCGKHVTSVYEDIEKGKHCTCKPWPGVDTKADGSTSTPKEGLTGLHELLISPTLIALP >OB12G24480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13416603:13419716:-1 gene:OB12G24480 transcript:OB12G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFCAALPFPVVSRVASPMPSRPRSRRRRRPSLAAGCCQGAPRSAGAPVAVGSAAAGGGGTRRCELFDLHQQVVPFAESWAWQQSIVLRRKGLVGTNEDHSDTLIALQHPPVYTLGTDSTEDYLHFNVKDAPFEVHRIDRGGEVTYHGPGQLVMYPILNLRYHQMDLHWYFRSLEEVIIRSLWSAFSIKASRVEGLTGVWVGNKKVAAIGIHISRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILHMASDGKETDGTTLMDKAYRSLLKEFAEVFQVSLYHSSDWNIQENNNFS >OB12G24490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13426346:13426711:1 gene:OB12G24490 transcript:OB12G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAKRCKAASLGWWMLLLFQEQTPRSALTALLLFHCIGRLPSSHLGQETSSIYTNQNKSHEFCFRIELGPVLKLCKLQTFFFFFCSGRNHFGWITSPWEFTKTLKMASLLDMTLKLPAD >OB12G24500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13429074:13430204:1 gene:OB12G24500 transcript:OB12G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVVHFSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHRRRHRLAHRAVTSPHLNSGAAAAAAAARPPGERKKKCKLPRVRTHVAYVAKSTRAIT >OB12G24510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13435454:13439114:1 gene:OB12G24510 transcript:OB12G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHKNPRLLALSVNKKHAASSRPVRYSSCGLIRTGLQGLFFLELFVRVGQESLEVSEETDVRLVASEEMEESRPKRVEPAQAARMRTVPIAVTPEGFWCCPSPAALHKSLKXXXXXXXPPPPPPPRPQPQQQHKTASAPHSRAPSVQNAPSVTDEPVAGDAPDEQQHAQPAAAAAAAEEHKICVGFGQPETSDLTVMLYGKEGIAVRMSVHRDVLCRSSGFFAEKLAAASGGGGGHGSPAVPSCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRVTSDNLKSPTDTLAHIMEMVLKSTDDRARREMKSLVLNLLKDNSHCTDGSSDICSELLYSSCRGCLDRLRLLFGEASEPDFSAQLTRQITLETDNLLWLVEILVNQRICDDFVTLWASQSEIAELHGKLPVASRHTVSCVTARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQAR >OB12G24520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13443609:13447420:1 gene:OB12G24520 transcript:OB12G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFKGSVNRVSRGHYDGNWHEGHPSDNIGGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYSLEEDEQLARALQESLNADSPPRQNIPVENVPTERVPSREPPQIPFHSAGYRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEFEFAMHEDQPYHKSCYKEFFHPKCDVCKNFIPTNKNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDVKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRILDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSASMSATSVASSSSSSSTPTSSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHIKITGSFPY >OB12G24530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13448871:13459038:1 gene:OB12G24530 transcript:OB12G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGAWLLALAVVVAWVAGVAAASLSPPGQDLGAQIEYRKLWKWFNFNSEQVDTGQKFINCMPCSRRYVFDVYHDILTGQLQRRDLIETSHSDDLCKGLDYDLDVPKLSEFHRQLVGEGSHRRLVYQVKFSKCADAVANFLDNYLANLVVIENLPNGVFADPFELQHFVERKVFLDVHVFGDTNLELPSALSNRSAVEIHVDLRSSVSVDCNLVVELPLHARYPPLDANGYATVEFGNPDLLLRYRKKDTIADSCSWLLKDLDAAPVEKAEWRIPCGDKAHLEFVSKAEWMLGARVDSERHENCGRLTHLASEGCIEKACKKKQVLAAVLFKCCDLELPQPKGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRTLVHNLALGDVLPHVLNIFPALTGFDEFARALSVFHPSAPPDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEVVESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKFSDSSNPLHNHRYSTS >OB12G24540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13461960:13462283:-1 gene:OB12G24540 transcript:OB12G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAGAPPIRARALLLLPPRTPDLTPPPPXXXXXXXXXXXXXXXXXXXXXXXVASGGGGGGGELRGCVRACALVERESRGGCEDFWLLFRLRFFFFFSFFFLTRCV >OB12G24550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13462924:13468975:1 gene:OB12G24550 transcript:OB12G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLTEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRTKLSRLIEDKLRWSSFNAFWLGMDQSVRKYMTREKTDTVLKVLVKHFFIEKEVTSTLVMDSLHSGLKALEYQTKNKKGVPKLAEMVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDRRLVELGWKTLEFFALAHIFNRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKSEQADIKEIVIESSLPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSCELLHNDLEDSESSPVNWETDASETQATVPEGVEMQNENAGKRTFVDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNKDHDTRSGLAQGGLNSVPNGIAGSVSSTSGNSKDPRHEHEDDKVVLQKKQSAHRHTDVMSPSKLRMTEASVSPIKKQHIFSQQPKSSLENTNNLSSRASEASGAVTATTAMAVTTTPSAQLVSNKGPLTVHVTQNERSVPVARNPQVPTASKSEAQKQNSLVSSGTATQVTSVSRPLSAPQVPAAKQTTPVASAVQSVPLLSRSMSAFGRLGNEPSASAPSYIPRSYRNAMMEKSSVGASSYTHQTNSSGQRVAQSQSMFSSPSILSPENLAGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSTGAEFEKFYLFGKPRSRPLSEISARSTPFQPQGQVNDEFPHLDIINDLLDEDQIERRTLRVLQRSQQAFARQFSMPNNSSTPDYGMFAQSDPYLYDQSEQYYDEDIPRFYNSLSGTSRGLRERSYSQFDLPSYSNGQFDDMMMNQWPYSQTDLSLPNFGADINGYPYQSSDYPNPANGVNRFPSYRPANGH >OB12G24560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13469556:13475189:-1 gene:OB12G24560 transcript:OB12G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:J3NEP8] MVLPQQTANLLEADGAESFICKLQCSVSDGLPHATPVPDRMTQEHELVNGVFQVLQGFDTALLYWDKDALGYCQKAGVYVSHLSHMSLRTVLKPFLFAATCLKHVELFVGRVRSCGHGTPTLSAFASSVDSWLTRLRKAALKEEEQLFLSVDRTITLLGLTDSMSSLYSGAEHLYQVVQGSVPDAFWSSGAQMASTEVAVHVVTHLFKKLNEVCLVEDGEGEPYHMLLVIFTGSLLPYLQCLDSWLYDGILDDPYEEMFFYANKEVTIDQPAFWEMSYMLRVRGPRADSSSTLAGSESTRKKELMSQEATATGTFLKSSNQGSADILCPVFLKDIARAILSAGKSFQLVQHVQDAHRIQTREVIHEFNVDQHGNYISQQKFQPDTSSLRIQDKHLACEEIIEESTGQFGNDPRKMGFLTLSESFLICLSGLLENGVHVDDYLRNLCADNAPVNKKFVHSKSSVQETEETCGENSSEKTWLKLLREATSGRKYDGMEKTLARNAVMRDPTFVPGDHQDASSIAVERHFNLSCYENPAIIACQEMLQKNKNSWSDLNISRSFHLPPLNDENMRKAIFGDRDTSGTRPGDTQSTTYLPRLDGTDYKFGFQFDDSEYARQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRVLKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNSWDDDFELNTLLQESIRNSADKMLLSAPDSLVVSLAKHDTRNDEETTTSRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKGGGSTVQNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHDAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGPRPR >OB12G24570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13478429:13480675:1 gene:OB12G24570 transcript:OB12G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGHGENPELALGQNHHDFGQEDHGLGLGHSHELGLGHGHDHDLVLGQSHEHEHDHDLGLGHHHDSQLVLGHDHHGHTSELALGQGHDDDPHSLDGQDHNGLAMTENHELTLTDAHHLDVDQNMDQLSLEQAHELALQPAHDYSQGPLAVAPVVQSRKMVVSPEFQLAVGQEFPEVMSCRRAIRNTAIACHFEIQTVKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFSIRTIHDNHSCVGINHLGHQQASVQWVANTVEERLRENPHCKPKEILEEIHKSHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPEYCRQVERTNPGSIARVYGNPDDNCFRRLFISFHASIYGFVNACRPLIGLDRTVLKNKYLGTLFLATGFDGDGALFPLAFGVVDEETDENWVWFLSELHELLEKNTENMPRLTILSDRRKGIIDGVDFKFPTAFHGHCMRSLSETFRKEFNNPVLVNLLWEAANALTVIEFETKLLEIEDTSPEAVCWIRRLPPRLWATAYFEGTRYGHLTANITESLNSWILDASGLPIIQMMECIRRQLMTWFNERREASMQWTTILVPAAERRVQEAIERARGYQVARANEAEFEVISPHEGTNIVDIRNRCCLCRGWQLYGVPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKTLWNETSDQGQAEETKVDIIINPPKSLRPPGRPRKKRIRAEDRGRIKRVVHCSRCNQTGHFRTTCAAPI >OB12G24580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13478784:13484079:-1 gene:OB12G24580 transcript:OB12G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G04650) TAIR;Acc:AT3G04650] MHGLGGRMATRAVAAGDAQQQQELVFDHAAQFFTVSDERFQRLVDEWIGKGLVREWRGLIGELDAGGHFRPIPSSTPRYIGVNGMRPLADAILPKSDLVEVVRRCWISKLEPFNGMWRLFENEKPHGQYDAIVIAHNGKCANRLLSTSGLPLLTRQMKLSSVWALLAAFEDPLPIPQSDPYGTFEGSFVKDVDSLSWMANNSQKLFPLQTGRPECWTFFSTAAYGKRNKVPQENIPKVTSEKVKEDMLQGVELALGLSRGSLQQPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAALSGMSLANHIADYFASSGERPEEFAMGLHENLNQVEGHDIGQFPGLETQKLQVAEAQLQPST >OB12G24590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13489677:13493951:-1 gene:OB12G24590 transcript:OB12G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycolate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) TAIR;Acc:AT5G43600] MEEFAGFPASDDGDGGLSYSYSSSSSLYVDSDGLQRQIDELASFSDSPAPSVTRVLYSDQDVQARRYIKGIMKQLGLAIQEDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAISMLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELAQALKETFDNQNVSFFDAADSAGYKMHPEDLHNVFSKKDKYFAFIELHIEQGPILEKEGIQIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNNVIEKVRQSAIEISKNRGVVLSEFKVINQDPPALSDKSVIRAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMARLSLQ >OB12G24600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13496411:13503005:1 gene:OB12G24600 transcript:OB12G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNMDGHTESPVEHDMSGIANEEQAIPLGDVPEQYKEDPENTYDEESKDPSYEESGIPYNEDQLDMNDGNAGHQNEEDQAIPSEEALGEQQGNAENDDKKWPGWPGESVFRILVAAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAVMISAKDEPDALVPPAVDGLLRVHKRITDGLDVEPDQPQRAAAGGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILESVPPVALSDDRVVEIQGEPTDVHKAVELIASHLRKFLVDRSVLPLFEMQMKVHSAHREQPMPPPQTWGPPPPWGHPSNLPPGGPGYAGNPQFMPPRPQDHYYPPPDVPPVEKQPHYGISSYGRDAPPSGAPPASGNQHTPHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPQAPAPPSQPVDAGYSSYPPYGGTSYGSPPGSAGPHNGGSYGGAPPYPPSYGY >OB12G24610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13507196:13510949:-1 gene:OB12G24610 transcript:OB12G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTFHTQISAPHQGQNRKNPFFTNNNIEDSISLTLVLDTADPHGEQFPAAHLTNPTAGISPRHPSLQPKADLRAARSGGLFLVRFSSGRPPAMEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGDACAKFRKVVSLLGNGGAGGGAGGGRGGGGHARGRIAGRSSKPAAVLREKGFLERSSSSAHLGMMMASAATPSTSSAHLRNRIGGGAPESSSRGLDLVSSSSKGGHQFEPPKLVQPLSVQFQFGATAHRYPFQQHQQKLQAEMFKRSNSGISLKFDSPSATGTTMSSAFMSSLSMDGSVASLEGKPPFHLIGGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPTQSAQT >OB12G24620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13522368:13527110:1 gene:OB12G24620 transcript:OB12G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPYYSGDLGDGAAVDITLDQMFGDHDDSHSGDLFELVWACAAGGGAGEIQYHAGAPCCHRRTAAAAPEMPPSEDEMAAWLSEIVTGSGNDDHQLSRAAGGEIIQAPAVESGERRRMENAEKLPRKEEKPAKHKARRNPRYAETHGLTEKRRRSRINEKFKMLQQLVPGCDKSSQSSTLDRTIHYMKSLQQQLQGPSGPPGLGIAGLMPNSVGLAMYATVMRPAAAAVYPAVVQPRPAPFVPSPAAVGVVRLPLPWPSRSAPALAPPAEGGRPVVAGGLPHPAAAPVVPFGAPMLPLVPMMAAAGPGGAPPLMYPPSTSIYWGTGVPTAPTLDPSLFEMKSVYMQVLND >OB12G24630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13532904:13542389:1 gene:OB12G24630 transcript:OB12G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQDPAPAGGFIVTDDDDNGETAAGGGGGAENHYLSNMLRDFDYFTEDDLLELTWQGGGGGGGGSSFGRPALSPVSYRRLSPEPAPPEIVPGRMLLPEQPTLDDDHPAAPSEEEMAAWLYPIVSGEVAGRRTEADDDVGRRAALPEMEDTSGALTTTKMWGAVAHEKDKKRPVDFIFLRKIIIIVSVRTLCTSLEQPYSDSLTMSPTQDAASDDSKKHRSPAHNLTEKKRRFKITEKLRTLQRLVPGCDKCNQVSTLEQTIQYMRSLQHQLKLTSVVAPPAALSPPHQDVDLFEFMGHQGGGGGGGGTSFEQPAAASSPEPAAPPEIVPAEPGMLLPEQPPCDHPAAPSEEEMAAWLYPIVCGGEAAGQLAATDGGGRRGALPEMEGRPGTTTKDAASDVSGERKKHTSSAKAGARARSSRNAAGAHNLSEKRRRVKISEKLKTLQRLVPGCDKSNQASTLEQTIQYMRSLQHQLKLIMSVVAPPPALYPPQYLMPPAVTSSLTTVPMPAGMVLAAAPGPGAPPPPVMVPCMLPYPAVLLPPPPPMYRPAEAAPGVPPASRRHGYRSKNSSSSLRQKH >OB12G24640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13548519:13550044:1 gene:OB12G24640 transcript:OB12G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSTAAATASSGGDTPQLTELPEASAVVVGAGEAEMAAWLRQVLEEGSAPDRPADQPAAGGIRTISSSDDVRGAVAAAGKMPVKEKQQYHCPGCCRQPRSGGAGKRSGSGRAAEQRRRDKINEKLRALQRLVPFSNKSDQASTLDGVIQHIKYLQHQLQMMSNCSYSTAVQPYLQPAGGGGSVVAGRGWPPPPTTMLLRQQRQFVVPPPACRPPHHGD >OB12G24650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13557708:13561918:1 gene:OB12G24650 transcript:OB12G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPSVLALEAAQDGNLRLLRKLAKNLDLRGVKSKDGLNALHFAASHGHLECCKFLVEESGLDVNSVGHKGETPVFCAAIDGSVRVFRYVLDRGGDPARPHDRGSTPLHCAADHGHDEAVRLLLSQRVHVDPLNYRGAPLHLAASKGQDKALKVLLEHGADPNRVVNHIFSPLMMACCAHSLKCMKLLIEAGADANGAGADPNIPNEHGRIPIMVAAARGQRELVEILFPRTKPMPCLPDWSVDGIIRTMRSVHIEPQDAIPVEQEVSDAKSKGKEAFAKGDYLTAVYFYTLVNKFPLDATLFANRSLCWLRQLEGDRALLDAQQCRLLRPGWAKAWYREGAALSFMKDYKGAVDAFWGALKLDPGNDEVENTLREAIECMKRAARSEEDKNP >OB12G24660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13562954:13567715:-1 gene:OB12G24660 transcript:OB12G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLSHHLIFSREIFFPVDSLFFAILSILHCPSFIHYEMCTSFLLTLSCFYPTVCRKCIYKKLNDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRSKIFPLKRKKINTEGIESPIVLPAKRKERSISSLVVNTPEITPKSLTGRRTRAATRKSAAALRDLGPIIPPVKKDSDNSNKNADNSSLLDSLSKVPQTRRQLLSNAETSSHPSNKDKGGDNKDFDKSELWRPLNCLVEAASKTKSFRSSPAARGNQPNESASSANASRTKAKEYLLKPKVQDEKKEIPVTTVPFKRKGPGRGRKPAQPPATAVPSSAVSKNDKLSTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARPLQTVVGSAGGDYVMVISYGRPKSA >OB12G24670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13574749:13579712:1 gene:OB12G24670 transcript:OB12G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARRPPLLSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLEENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITSEEDGLKACNTLHDAGPRKVVITSALIDNKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYR >OB12G24680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13587762:13588025:-1 gene:OB12G24680 transcript:OB12G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAAMKSPLPVAAAAADGKSPLFCPKPRRPVAPLRCQHDAGASMDLLDLLLSKVSVTSLAPRSTASSCGSFPGEFADVFSGLVL >OB12G24690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13603941:13607962:1 gene:OB12G24690 transcript:OB12G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVDATMLACVAFSCPSLQSLEISMADGAANRMTGEELTRFVSEKRSLSVLKLDGCSNLAFLNINSSSLSTLWLSDLSSLSKSVINCPNMSELSLGFTQQNNDSTDLISLMDSLGRTCSNLRNLHISSIHLCNEAVFALESANLRGLCVLSLILGSKITDAAVASIVRSYASLDLLDLSGSSITDNGLGMICKAFPHTLTRLLLALCPNVTSGGVQVATAQLPLLQLMDCGKSLCANSQPEAERSYFGEIFGGIKFCSKLPVQRKQQPTYQKLIIKHANLKKLSLWGCSALDALYVNCPELSDLNLNSCTNLHPERLLLQCPSLKDVHASGCRDMLIGAIRNQVMNEFASAEPRVPCKRLADGSKRVQVPHFMLEQQFEEEKWGGGLKRSQCTVHLS >OB12G24700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13609335:13613596:1 gene:OB12G24700 transcript:OB12G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03030) TAIR;Acc:AT1G03030] MAAAQVAAAWAGGYVSAGRSRAAAAMATPCSSIGGGATAGFRLALPQRSIRATTRNKGQIVVACHYQRQEASASAPAPQIEAKSMEEVYEALAEHLSSVLKNIEHLDSKYIVGIAGPPGAGKSTVASEVVQRVNNRWSQKHDHSSSLISTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLQTLREEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDVWRDIRALFDEKWFIDIDIDVSMQRVLKRHVATGKEPDVAAWRISYNDRPNAELIIKSKKTADLVIGSVDLSS >OB12G24710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13614470:13614646:-1 gene:OB12G24710 transcript:OB12G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLLPQLKVLPFVMLNYAQTYFPLEIMSPYNHGSFESFQGAACPSLKTICAAFTKK >OB12G24720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13615390:13620312:-1 gene:OB12G24720 transcript:OB12G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:J3NER4] MAADLGFEATELRLGLPGGDGEAARSSSSGKRGFAETCIDLKLKLEPAATAVVDDEKEEAAGEEDVDASPPASGGMKRSPSQSSVVTAAVQLPDPGKPRAPKAQVVGWPPVRSFRKNILGLGLPEKKEKEKEKALVKVSMDGAPYLRKVDLSIYTSYLDLSNALQNMFTSIGNCGSQGMNGMNESKIADLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >OB12G24730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13628185:13630196:1 gene:OB12G24730 transcript:OB12G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVENLKATELRLGLPGTEEAVLMPTPPSTPRAGSKRALAGEPEAKKPAAAAAAPPAAKARSILTDTCCHGVLQGSGGGMAAGEVVQEELPPDERQEQASGGGAAAAAEGGGRRRAVREGEHGRGAVPEEDRPQDVQGLPRAEGGPRGHVPLLLRRRRRRRLPVGLRRHLRRQGRRPHARRRRAIRDVHKHLQEVEDYEGV >OB12G24740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13634786:13640466:1 gene:OB12G24740 transcript:OB12G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAGDGAAEVNCAGGGGGGDPGAYAAVLKSKLDLYCAAVAKSMEAKLEESSLGYLNSQASDTSQLVSQASFNGYGSTIATNSNAIHDNDDQGKPANSATSKEHSDDDGDLEENTNPANAKRTKRMLSNRESARRSRKRKQAHLNDLESQVSELRSENACLQKRLADMTQKYKQSTTETSNLRADVNTMRRKVNIAEEAVRRVTGRSLLLFTTSETPASSTPFSSGLSDAASALVEDDWANSSLPDEAIPVSCPAMASMRCVASLENLQKRIRADSEAASTLSVPEAAASDKQY >OB12G24750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13644987:13645673:1 gene:OB12G24750 transcript:OB12G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHACHSSRHTTLVLAGVGADAVDCEKLTRAYSEVAAAAKAYPCYDVVPYEQFEVAIQAVKLWIADRVVLHVKNSLGSSIHRNCDLLLRHRLHIIGEIQLPVHHCLLALPRIHKEILTRVISHPQALAQCELLNAMGLNVAREAIDDTAATAESVAIVGLWDTAAIASYRAADLYGFQVLVDGIQSAPESDLAQRATESEARTDDGRVVDSLCVGCWRPTRGLVGP >OB12G24760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13654044:13655151:-1 gene:OB12G24760 transcript:OB12G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRRNGGVGGHPLPAVGDLRGRRRDDEQEQLKVVVVDSDSDDEHEEEMKLEEEFRRYCETGELQPLIPTKAVLKDHRSKKDKQPAPAASKNRIRGVRQRRNGRWSAEIRDTTVLGARVWLGTFDSAEAAVLAYDAAARRIRTGGNAKAKPSLPAPAAASSNKMKKQPPVPAVPKKMKKPVVPAAAEMVPGDGEPAGELAPVLLADALEATDGWEFEPYYGMSLHGLQGGVYADDVPADELQGGGAGATVYAADYGCLWSF >OB12G24770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13657823:13659347:-1 gene:OB12G24770 transcript:OB12G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPASRRPPAPTAAAALQRGKNRRNKKKGKTGRRPAVSWHGFRGIHRRKSGRWAAEIRDVIQGCRVWIGTFDTAEAAATAYDAAALAIHGSKAKTNFHGYRATPPASLVQTKEPPAAELCYAAPSPVLLAHALEATQGWEFEPYIGVVHGLSLMDINYTYAAADEEQGAAAAGLWYF >OB12G24780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13667180:13672145:-1 gene:OB12G24780 transcript:OB12G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKEEEEYDEVEEQEEDDDRRRFEEEFLRFSMMEDQEDDDEVLAVSPPRRPPAFGARVANHCINQNVIWSLGYYLPTLRVNFDTLANTTSTVQSVTMLETGGDGTKAKRRRGGARRPASKHGFRGVHQRTYERWAAEIRDTVIKGSRFWIGTFDTAEEAARAYDATARRIFGRNAKTNFPADDTCPAPPPAAKTTTPCSSSKRPKKHNMIGGSAAPRCRRGGDHGAAAPPQAAVADGSVHLASHPPAPAAVDMLSLSTAAAQALEVTAGWEFEPFFQELLVGVSPLEHYRGSGKEHVAGGLDLWSF >OB12G24790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13686979:13688261:-1 gene:OB12G24790 transcript:OB12G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:J3NES1] MAMDARRKKSKSGGGGEVLLGKYELGRLLGRGTFAKVYLARSVGGGEAVAVKVIDKAEVMGTAGMAPRVLREVAAMRRLRHPHVLRLQEAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYELPQWVSQPARRLVSRLLDPNPDTRVAVESLATHHPWFKRSLSVDSQLDGLLNGQPERAVAFQAPAPLNAFDIISMSPGLDLSGLFGESRTSREKRFMTTESPERTLEQLGRAGGKLGYVVVGKKGVEFLPLGGLSPSGIAAMSVEMSEVAPPLMLVELRLEVAAGDGDGEVQGFGWEQLRVELGDVVRAWHSCEDLGEL >OB12G24800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13704360:13705190:1 gene:OB12G24800 transcript:OB12G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVQLRQEQIAEFREAFSFFDKDGDGCITLEELDTVVRSLGQTPTREELAEMIRDVDADGNGTIEFAEFLALMARKAVRCGGWGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRRGAPGGVQGVRQGPGRPHLGGGAQARHDQPRREAHRRGGGADDPGGRPRRRRPGQLRRVRQDDDALRPIKIQPFFFVFSFYLIT >OB12G24810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13731413:13731643:1 gene:OB12G24810 transcript:OB12G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTPSMIHPCMFHHLLRISLQYNVMTCSVCLDVSLAKEVVAHHLSLSNQLQVFVGISNHLHPLKAMAMASTFVT >OB12G24820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13732693:13732986:1 gene:OB12G24820 transcript:OB12G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVPYLHGCIRHSAAAEYMFLGAQSGNCVEGGPISPHKKMGRAHGAQLSICLGRFAPTLTIWAISRYDPLHGVFFAKIFYRKNIVLKNHINLFYIL >OB12G24830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13736142:13741433:-1 gene:OB12G24830 transcript:OB12G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMSQSDSSSLQIGTLNLCLANFTCFMEQQEVSPLTSGRLSHSSGPLNGGGGSLPDSPPVSPDVDDSKALALSAADQEEVFDLMVFGVCLVCEKKLFFILATISVLVVSCFHKQAPLQDCNMFSAPTLHCHLWVKSSRKLLAWGLMLMASMIMSCCVPPHDMLALRGAATLKARALKDVWNVAAVIPVEKNAITGGNGHHHHHKHNSQKRQHHHHHGGSNTSSSVSDDLAVDDDTNNFLSICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGAVMLKMKSRHVAGTITKKKKSKCSTTTIHVWRGHGCFVLRVTNNGGVVVGADVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECSSQREYDMWTKGVARLLAAVADGRKRFA >OB12G24840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13749388:13752452:-1 gene:OB12G24840 transcript:OB12G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQCASLDPGGLNSAAAAANRGTGSRTMNGPAMAATGKSKPELGNNKCSNRDDLRFHLIRSERILEDPDTNARTEFRDDNLSSLSTKECSQSYREDEDVWWIALVAQNVIRPSQTTFLKERNIMEGAIILHETLHEMHRKKLDGVILKLDFEKAYDKDDTILFMENDLDGANNLKMVLGAYKKLSGLKINFHKSVLKKLDYYRSRFFWQCDEYKKKYRLARWSVLCPKTIGDSHFWAGLMTAKEPFQTLGHFIVNNGKQVIFKATQLTRLWPLLFKEDEGEEVIAKCKLLEKRMMELFSTSGWNFRRRIET >OB12G24850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13754380:13754990:1 gene:OB12G24850 transcript:OB12G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLTGKKDRKGKDKGDGQSASSTMAPTTTPSAREKRRWSFRRPAVVTGGKEASAYSQGQGQGQLASTSSHCFSDVQAVVHDQLAAVAASPAPERTAYDVVEEAAATKIQSAFRSYLARKALCALRGMVKLQAMVRGQLVRRQASMTLHRMQALVAAQRRA >OB12G24860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13759805:13767096:1 gene:OB12G24860 transcript:OB12G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:J3NES8] MDEAAAAEAVQLLDGEGEFGGEAAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTIVLDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPDAHKDTPISEFFNVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIAHEKFSCLTSDAEWMDLENAVQSGPVPSFGKKLGYIVDVHMQEYDKEAIYFDEAVRTTKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTDLNLTSESGKGFAASVRETTESSLNEFNQGCADAVIKQADWDYSKILEKVRRDIEDHTLAIRESKLSELTNHAKEKLRKALSEPVESLFDAAGHTTWASIRNLFKRETEAILPEFRKNLSGFEMESTSSEQMVSNLRDYARSIVENKAKEEAGKVLMHMKERFTMVFSHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVMTAIRWDEKPDRIENILTSTLLDGSVTSKGTSASSDPLASTTWEEVSPKYTLITPSQCKSLWKQFKAETEFTITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMVLLRNPIYLFLLFVGYLLVKALAVQLDINREFQNGVVPGIISVTAKLVPTLQNILNKVAADQQQQGHHQEAAAESLERQQQPQQQQQQPPPLLLSPRSPMSELRRMHMPFSPVPLSPVRRAASPSPSSSSSSTVSSPRNSGEDQKPRQMVEPDNESNNAYSSIV >OB12G24870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13768453:13772595:-1 gene:OB12G24870 transcript:OB12G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRNILPIHQSLTDKVKKMTFPGDVADSAHRRMARRISLGNERTVTFSKPSPERNSVSSTRSIKEYTTTQNVKELSVDSSEAGDEVTSKAVITKTSSILRTPKSLPAKTYTTRNQLEPPKTSYIRTHRSELPSRTTPNKSTRPARRASLPLSTYETPNKRSISILEQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHKLSPAHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >OB12G24880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13772766:13773071:1 gene:OB12G24880 transcript:OB12G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETGKNTKLRIESGSEGRQKKDGVFGGGSNSPPRDLLLLSLPFPLSSQSLYSPRKPKATRRRKKMRPKLKLSKLPEEREMEQCYRERGGVMAETREEEECDD >OB12G24890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13774244:13776234:-1 gene:OB12G24890 transcript:OB12G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKNMLLLLLLVVLLLLLLGFKGNCRFIPFAKQREDKKDDGDLTNHMASDLQITMQMPLTLIGL >OB12G24900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13779680:13784097:-1 gene:OB12G24900 transcript:OB12G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04190) TAIR;Acc:AT1G04190] MAEGGGDAGGAAAAALKDQGNEQFKSGNYLKAAALYTQAIKLDPDNPTLYSNRAAAFLHLVKLNKALADAETTIKLKPQWEKGHFRKGCVLELMEHYEEAISSFQIALQHNPQNTEVSRKIKRLTQLAREKRRALDVENMRSNIDVAKNLESLKTELAGKYGDAELEQTIFSFVVNVIESAIKVWHDTGKVDARVNFLLDDQNTDTEKYAPVVNIDKAFESPHTHSSCFTFLRQYSEDSFSKAACMVAPKSIISYPQVWKGSGSRKWKLDQSDGFFVQFESPTLRKIWFVPSTTEKGRTLCRSPELLDISIHEVLPRIFKETA >OB12G24910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13785230:13791673:-1 gene:OB12G24910 transcript:OB12G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKQMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRHAQRKMAEAFPDEFQLDLDDDLPAETSSTETDADSRDMTPFFLSFINSGDSKKRAKDDQDHEKLQKEISNLSQENQELKKKISSVLEKSERAESEVVCLKEALAQQEAEKEAAFSQCQQSSDRLQLLKSEIQQTQEEFKRLKEEMQNGLQNLSTAEERCLLLERTNQNLHLELDKLKNDSKEKHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKNGEASKFNDIEASKARLHNDLEKIREENRKLEEQNNSSTSAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKVLQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKEIIKHHEGVKALYVENLMQLERTLERNAHLERSLSAASTEVEGLREKKVALEESCKHLNSRINGFQSERSMFIARLEGISHTMENLSEKNVLLETLLSENNTELEILRRKLKDSEESSQALRNQNSVLRSEKRTLVHEVDSMNGALLNLEAQYAELEGHHLDLQQDKNKALNEVIKLQEMLRLEREAHKELNYSGKTQFSAIQKQLGLLLEEARCKENQLQEEENKIIEARTEIFVLQRCLVDMAEGNSNVSGQLQKQKEACKVQEEKLTFLSQNNRNLTEGIGSVMEVLHLDDKYGSLDLMKLDVIMQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLLKISCELRKEMEARNRKVEEMKGEAKFLVRQLSELQESRQSLQAEVIKLIEENSSLSGKLYDSREKEKTFEDDLSTLLGEAISTDILGVIFKSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGKRRTTRRDTKLLKSGRKSQQDSIVNMEQRKEVDHAGLEKSNEMLREKLQKLQSEVQVLRSKEQPVIDVKSCDAEITKLLANMQMATANAALFKKKVVELIASCESFEISEMVQKEVLKEEITRRNSYVNALKDKLSAVEIENSRLKVDLNGDFTLLGALQTEVNALEKQTMSLAKDCLPSNKLRVEEFSVSPQLSKIAVKPSEDQNATKMVKDMELQKLHGTIKALQKVVIDTGVVLEQERLDFNSNLQDARKQIEMLKLRDMAADSDASEVTYERMLKDIQLDLVQSSTPGRSRAAAAASHRRMRRNAGAQAQPDADKTHGLWSVVRASRQQQHDEEDLRPPQSEASAERGRRSCSSELTVVKDLSVDKQELLPRPAAAVEAPHREWKKKVIERLSADAQRLVDLRSIVGELRASAEAAPELEDVTAQMVEAEGAVAQLIDTNGKLLRKAEEFTSADVGGGDGGDLRSRSQRKILERVRKMSEKIARLEQETQRFQHALLRHEEERATEVLEGAAGGVPVRPAARQPAAASRSVVLHASQGHRRLTPLSLSLAGGRRAMKKQRVDQKELSFYFSFNYIFLIRFNLVELKKIQV >OB12G24920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13803430:13805776:-1 gene:OB12G24920 transcript:OB12G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGTKNILAGPTPIERNGAEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESWQITRRPAEEVRGEAWRYLRRPSEDGTSDVMEGHADPKFQRDNKPPENHVHVDRYSIPRCIEVMNAMADLSPIEKSLAPDIFLDASNREIFLSLTVDIRTMWLKRKMKSLV >OB12G24930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13809280:13811199:-1 gene:OB12G24930 transcript:OB12G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSGVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVKFHSRVNMTCVNPENGMVDQRKFGLLSNWRREYTMEAILTQLKKEMAASHNRKLVQPPEGTFF >OB12G24940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13817261:13822836:-1 gene:OB12G24940 transcript:OB12G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDLARLLLWDAAKWRACDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKLLIRDDSVHLSRESHSPNSVRTRIGATSSIRMIRCKNIVSENCSITCYLNESNSNKSSQSIQLCSDSAESDKDQNTDGKKDPTREQDHTDLEFVLLDQSGPEKMDSLHSGNNACENQLSEQHKDELGSFDGGYMIAHQSNSDMLRLTPPFESETHLVNETLGADNVYCESLLTDGSSGSYIQNSAPDPHLYWAGTVEGSATDYTPQMIPGACQSQLLPNDQIGNKLNEPSDYMPLDQNVSSQNLRGMRRRCLFNEKAGAANKGVKNTSVRHSSNLTTPRRRTSSSDNNLKTLRTPPCALPGIGLHLNALAAVPKDKTALRNDTEFSLNQASNAPSAVGSSPPTADPHTVNDDSSQTAVVAYVDECSQGSPKKKRHKFDNGDSTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPSNMETVLSTREQIESRNPLAFAPKVIRTEPGQEIADDSNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSVTCRCEGCKNAFGRREGVSILSMEEAKRGSEDKNGGVKEETIDNDKQLVIYQDTTNLTPPESVLTTPLVVDCRPLVPLPPLSSKKPRSSTKLGGYSSRLEGPLKSDILLSPFESYAEMMLGDGTSNTLKGESSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDLTNVDPNASSLAP >OB12G24950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13822863:13823093:1 gene:OB12G24950 transcript:OB12G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVSPQTKTLHVLASLTKVSGALAGKRNGCFGQAKPDRDLALNPNPYLAATSARDQLRRSCGCRRTKPPATSNLST >OB12G24960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13826905:13832854:1 gene:OB12G24960 transcript:OB12G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylcrotonyl-CoA carboxylase alpha chain, mitochondrial / 3-methylcrotonyl-CoA carboxylase 1 (MCCA) [Source:Projected from Arabidopsis thaliana (AT1G03090) TAIR;Acc:AT1G03090] MASRLLRPRRGWSAAPGPLLLLSRLLSSSSSEAEAAAVEKVLVANRGEIACRVMRDRGALHVRAADEAVRLGPPPARESYLNAAAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAKFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGNFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTTTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNCLSNFQIAGLPTNVGFLQELAGHSAFEKGLVETHFIERYQNDLLSTSAQASSVAHEAAELGAILAAACICQKDHISSEVSLRDKTLSVWYTHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFIESEDGSSGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQMLRAEQLPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVIEAMKMEHVVKAPRAGYVEGLKATAGQQVFDSSVLFTVKETNTN >OB12G24970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13834021:13836836:1 gene:OB12G24970 transcript:OB12G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVVPELEEEEAAAAALGRVYGGGAEEVREVEGYVRADEVDLQILDLEIEGRVMADRFRRLNSGGGGWEHDGDGGDRPKAAWEIDLSKLEIDHVVEHGDHGTLFRGKYYGQDVAVKLLDWGADGYSSEAQIAHFRTSLKEVVTVWHELDHPNITKFIGASMGTSNLNIPENIPDHSSKKGARTALPERACCVVVEYLTGGTLKQHLIKQFRKNKKLPYREVVRLALDLAKGLSFLHSKKILHRDVKTDNMLLDPQLNLKIADFGVARVVEAQDPKDLTGTTGTLGYMAPEVLAGKPYNRKCDVYSFGICLWEIYCCDMPYGPHADLSFADLSSAVVHQNLRPEMPKRCPSAMASIMTKCWDANPDVRPEMEEVVRLLEDLDTTKGGGGMAPDGTRLGCFCFFRPRGA >OB12G24980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13840399:13845256:1 gene:OB12G24980 transcript:OB12G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQMRKLIMKNPNQVKCTISEILLRGGKKNIRRVFLILKRWVQDVVLLDDEDVQPEGQVEGQVDCVMHDRWNEAKIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYIKRTKLCNDDCRGKFYIFNTYFYSKLEEALLGKGKFVKLRRWWKGANIYHTSYIILPIHGTAHWSLIIICIPSKASNSGPIILHLDSLQLHSSAKIFDTVGRYLKEEWCHLGKNPPGISISETIWEDLPSHIQKEKVQVPQQRNEYDCGIFMLYYIERFIREAPERFTRDNLGMFSRSWFEPEDASELRQRIRELLLEELESARLDDALSEAGASDRSDNEEEEDAIRGGESEAAASPDSSEMIVEGGDTIISNEYIKEAAASGEATSSICRSAEKLTGCVLSEEATLSDSVKDDEEDTTKADPVSSQNEDDIIFLSTGTWKTNEENTHKQQEPEICFDSSDSEMDDVKIIEAPSQRTNKQKCCIF >OB12G24990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13849664:13853109:1 gene:OB12G24990 transcript:OB12G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSAGGVAEQEGGATAAALRRWVEAGGGRLVMDGGLATELEANGADLNDPLWSAKCLLSSPHLVRKVHLDYLEAGANIIITASYQATIQGFESKGFSKEQSEDLLSKSVEIACEAREMFLKEHSDRPMQHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLEVLAEAGPDLIAFETIPNKLEAQAYVELLEECNISIPSWFSFNSKDGVHIVSGDSLIECATIANGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIRAISRSLNQRHSVPRPPVA >OB12G25000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13854768:13859875:1 gene:OB12G25000 transcript:OB12G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OB12G25010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13860093:13861026:-1 gene:OB12G25010 transcript:OB12G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERAKATGPAPPCRLSPRSATGPGWAAPTAGSDAELRLVNPVTGQQIDSQPSVDTIEHVRRCQSADYDYVRRSGRRQLRLRSAPHAAASALVRAGGRRRALGLGPPARLGLLHRRRLQRRRRHVLFYALTHQAGIHAYDFSGRRPAAVRRTVVLANQIYGITGTETKYLVRATGDCGGWLQPAHDGTMTWIKLFGVDLAAQRLEETATLGDGGDSHALFIGCNQPFWLPAGAGGLPNHVYYTDNEEDYALLYPEAPRDIGVHSVADGSFSPVCPTKPWPLPTWIVPSLGYYVPSS >OB12G25020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13863860:13865228:1 gene:OB12G25020 transcript:OB12G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIWSDMLFDLLATVFHTFRDPADLIRCGAVCHSWRPAAASVRDRHPLLSARPPSSCLVYAVSGEGQGDRSRAATIFSLDGGRTYEVTLPAEPPIRNRFWLGSSHGWIITADADSAEVRLVNPVTGQQIDSLPPVDTIEHVRRCQSADYDYEIVQYNWTMAQRHDNPPTEAKAGELAGYLHHRAFLSSDPSSDAAAGCCTVVLLHRPRYELSFARLGIDERWAWVRLPDSDFYTDVFYNDGDGMFYALTHQAGIHAYDFSGGPSAVRRTIVLANQIYGIIHTETKYLVRAPGGGGGWLQVWKMMEPVRGADGAMTHMFETVWIKLYRVDLAAQRLEETATLGDGGHALFIGCNQPFWVPAAGDGVLPNRIYYTDNGEDYALLHPEGPRDIGVYSVADGSFSPLCPAQPWLTCPLPTWIVPSFGYYRQVSNVGDEGKQFLAYE >OB12G25030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13868536:13870754:1 gene:OB12G25030 transcript:OB12G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYLVVVVVAAVFVSLCDAAVGQDKKVVLPFAPSCSTTSNYTGDSQYKKNLDQLFSTLTGGAIAGGWFNTTSVGNGADQVFGLLMCYADRNATQCQECLAGAAAGILQKCPGSRWANANCDACLLRYSDASFFSAADVTYGADVNISFYVFTSRYVENMASMDGTRRALTSKLAERAGDTKLRLHNDSVPYVDSCLGTSRLYGMAQCTRDLAASECRRCLSAYVGELTGIFPNNSGGAIKGYSCYLRYQLWPIDITLPPPSPLPPSSPAPAPPSPPPPPPPTVSTVLVAGLTVGAVSLLAVLGFSACLLLRRRRKLAGRTREKEMDEGDYFDDVADDFENGTGPKRFRYRELAIATDNFSDEHKLGEGGFGSVYRGFLKEMNQHVAIKRVSKRSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYNTKADVLPWPLRHEVVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMVTGRANAESDVYSFGVVLLEIACGRPPIIAQHHQPSEVDDDRIHIAHWVWGLYGKGALLDGADQRLTGELDATEMERVMVVGLWCAHPDRSLRPTIRQAVGVLRGEAPPPSLPARMPVATFLPPADAFNYTSSYDVSGNSSSSSGTSTTRSSPSTPSSMATETSSLLK >OB12G25040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13872817:13875045:1 gene:OB12G25040 transcript:OB12G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSTLLHQLAKKISNFHRTVAMAMYAGSDYIVVIVAVVGSLCAAAAAGQGDKVVVVLPVAKACSTTGNYTGDSQYKKNLGQLLSTLSTAAATGGWFNATSVGDGADQVFGLLMCYADHNAAQCEECLAGAPAGITQACPGSRTVRANYDACLLRYSNETFSELTYGADARVALYEPAATYVENMTTMDSARWQLMWKLAWRAGDTALRLSSGSREYADRRLGSSAIYGLAQCTRDLAASECSTCLSRFVAKLTRIFPNNSGGAIKGPSCYVRYNLWPFDITMPPPPLPSPAPPAPPPAVSTGLVAGLSVGAVSFLVVLGFSVRLLLRRRRKLAGWMSEEHEMDMDEGDFFDEEAAAMEDDFEKGTGPKRFRFGELAIATDNFSDEHKLGEGGFGSVYRGFLKEMNQHVAIKRVSKRSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDRHLYNANGDVLSWPLRHEIVLGTCSALLYLHEEWEQCVVHRDVKPSNIMLDAAFHAKLGDFGLARLVDHGRGSPTTGLAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRLAGELDATEMERVMVVGLWCAHPDRSLRPTIRQAVGVLRGEAPPPSLPARMPVATFLPPGDDSGYNTSSVVTGSSGSTGTTLSSRMADTPS >OB12G25050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13875079:13879925:-1 gene:OB12G25050 transcript:OB12G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSRAAAACLRGAGSRHILSPSSSSCSLLLKETLLPLLIIISTTASLLAVGGRVGWARAAEFGCGASVPAALGGVGSFGIAARCNATSSSAVSEAASALPRTEPVVSAEWLHANLRDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFGAKLQPHLIWNLDQVKENIDAKTHQLIDARGKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQLLDSSQKLLPPEELRKRFEQEGISLDQPLVTSCGTGVTACILALGLHRLGKTDVPVYDASWTEWGAHPDTPVATAA >OB12G25060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13882283:13891211:-1 gene:OB12G25060 transcript:OB12G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLVLIAVVVSLCATVVVAVVGDGRPLKMSCAGNYSAGSQYHKNLDELLAAIPTAAAHNHGFYNGTVGAAPDEVFGLVMCYGELTLDAGPCRKCLARAPAGIMQLCPGSRTARAVFDECVVLYSDVSFFSVAGDLTAASFNVRHDVGWRSTSTQGFAPYDPPYVVDAAAMNRTRSELIASLSVTAANSPLRLASNDTRYGGSPSMYGRSMYGAVQCRRDLPASECTRCLSGYAARLPRLFPNNSRGAIKGYSCILEYDIVSYEVPWMELPSETTRRDFQRERALQDQVLSSRRRKRQSRLVAAVIGASAGGVFVLLCLSLSAWYLLYRRRTAATAKRSELDQPLNEATHFRGRNLEEELEQGTGPRRFSYGELAAATDDFAEGNKLGEGAFGSVYRGVLTTMSESDLPVAVKKVSKSSRQGWKEFVSEVTVISRLRHRNLVQLIGWCHDHGDELLLTYELMPNGSLDDHIYSVENVMPWPARYDVVLGVGAALLYLHHEAEQRVVHRDIKPSNVMLDASFNARLGDFGLARLVDEGRRSHTTGIAGTMGYIDAECFLAGRASVESDVYSFGVVLLEVASGRRPAVVTDGGDDAIHLVQWVWDKHGGRAARILDAADARLNGKFDGAEMERVLVVGLWCTHPERAMRPSVRQAVGVLRFEAPLPSLPAKMPVATYGPPVATVPAVGRSDGSAGATVSMAAGSASATSSLACSG >OB12G25070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13882494:13882720:1 gene:OB12G25070 transcript:OB12G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGCVHQSPTTSTRSISAPSNLPFSRASVEDARRPAAVLVPDPLHEVDRVVATVRDHRRTPAGGDLEEDDAKAV >OB12G25080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13892047:13893989:-1 gene:OB12G25080 transcript:OB12G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20760) TAIR;Acc:AT4G20760] MAAARAFSSAAASGACAGVSMVQGASRGIGLEFVRQLLGRSDGGRVVATCRAPDSAVELQRLRQEHARRLTVLPLDVTDESSIEAAATSIGETHGSLNLLINATGILSVPNVIHPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPYLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >OB12G25090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13897101:13897607:-1 gene:OB12G25090 transcript:OB12G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRNVLLRKCKSLSRSLSRSSSYRNLRSMSTRELGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAATATSTTTTTTKPIAVNCEVVLFDHLLWMLDNAVDLRAGGDGDDDDAAMRELAQLYAY >OB12G25100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13900696:13907602:1 gene:OB12G25100 transcript:OB12G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPINLAHGAHREPKMRNGPRPVNPLEIRRLPWPKPYSALCRHHQRLLSPSPPPQNPSAKPLSSATVAMFTGGQSYVSAPPAFSADGRLLLVCTGRTVSVFSASTCMLVSELEGHEGDVTAVVLAPSPAGAAAAKLARYCWTAGLDGALIYWDFAAAELVRKVQVGLPVHSMAIPNITRGSKGVDIYTPFAFVSVEDTSKPSNETKALRGQLKIVDLTKGREVGSLLAETRKPEKIVASSSGEFLGIPNKTKLHVWRIPLKDFKPDKIRKIKLHHTKKLNTLAFHPSERIVAGGDVTGRISIWRGFGNAKFSGSHGARSNEDEDRNDVRGNSDADSCTTWHWHSGGVKFLKFSTDGAYLFSGGMEGVIVVWQLDTGKRRYKPRLGSPLLSFVDSPDSSIACVSCMNNQVHLLKMPNMEVMRSIAGIKLPISSLSLDGCYRGLYGFDYSNKLVAVPTEDYCIQFYDLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGNFMCTVDVKLPEEELGGLITLKFWNQGSRAGEYFLSTVIYEPHSDAGISAIAFRPGKNMAVSTSLGGNFKVWVQSMLSQPSDEKEQSGWRCQSVGSYRNKPMTAATFSSDGSVLAVAAESVITLWDPDNNALVGVIAEALSPITKLSFVGTSQFLMSISQSSKPQVAVWNVPNLSMQWSYSLFAEAACCSSSGSEFAVLGLLSCPDGGTLAEQDGVILLFDAENLKPVSSWSVKKARGGSVAFLKGDSSLDANTKDMIDGEASLLVYINGSHEYVIFDPRSNEELHIGKSAHRNIQTEELAPIGYASIFGELPKLESKKDVPEIPFIPSERPWETIFNGSSHVLPPLTKLCPAFLASLLEKRPVANE >OB12G25110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13908535:13908846:-1 gene:OB12G25110 transcript:OB12G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIREQDAAAMATSLPRLKHLCLDGCYLPKQVLLAVIHGGPELEALSAKLCVGFDEGDEDVAREAAMIARFEVGGSRLVDKFDQRDADGQDDDTSSYVDVM >OB12G25120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13913789:13920350:1 gene:OB12G25120 transcript:OB12G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHHRQLVNRPLRRLAALAAALCVVVAATHGRGESSSPTVLRFLHQLALLNSHSALVLAQSQLASLPALLTSSTSTHFTAHLISSQLSPAQLSSERVLQVEDDGLIELLWCNGHVVMQSQAPRKPPRPEKTAPAAAAVAEDESAAWFQYPVVDDVLEKDLFSELFGEMAAAGDVRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAPPVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPAAVQSSPAVSMADPYARCLAVDHLQPPSPMHYLQGMSFYQLATAKNLQQQQQQNTAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRAGPPRRRRPCSH >OB12G25130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13931804:13933227:1 gene:OB12G25130 transcript:OB12G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVVVTSFLASLPFLEAAAEEEEEVEVEEGEKRRSMPKTETDLREPRREQTGTLRTGATRTCAEWFCSHWIINYAAFSLT >OB12G25140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13939171:13944181:1 gene:OB12G25140 transcript:OB12G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16650) TAIR;Acc:AT1G16650] MECLRREPVESLLVLPSGCVQEHWPSALRDFVVTARSLVLPREQKSPRSLLPDLHVASINTVLAQGMNSKKKHEIETLAGIVHAITKSCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHATVTNTRAERIKKHYAAKCVGKQQLIVPRTVTCHVLCSDTLAAVTLEACQDNHAEHVPESKIFNESTQQIEKPNHSIPPLVLAGLHACGDLSVNMLRVFVSCKQVKALISIGCCYNLLSEECHEDTKTCPGFPMSKAAKLSNLVLGRSIRDVGCQSAERWRSLTKDIAIQNFDIHAFRAAFQMVLEKHFPEVSRSSPSIGRQGKALRRQRLRKVMESHMAIGKINELSYSTPKEQIMTKDGRLPTNPDEFAVAVDCRPELSAGSVDSAVFGASIVPDDIYLDKSQKFTLFKDFTVSGLGRLGCDLVEDVSLLEIWKDVQPFMEFIGPFWCLRTALGPLVETYILLDRLLFIQEQGSAIEAYLFPLFDPTMSPRNMAIIAWKSSANLSNA >OB12G25150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13945836:13946636:1 gene:OB12G25150 transcript:OB12G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTTVPVLPMMDQHQGGHSEPSRLFPSPNPYPDLYTRRCPAKPQAVVVKFCPANNPW >OB12G25160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13946739:13947158:-1 gene:OB12G25160 transcript:OB12G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFVDRGSMRRRKLTWHNSHKRTHPRGCETIAVSSPVPVNTARPQPNSVTRAARTGVTQTTHACVRPAALPCGSHELSLCLLSSRSFPFLSTPSHYTHQTGHAAARRQRNLARRMDGGDDGPGIEQGRSIFGQGVYRQ >OB12G25170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13950242:13956602:1 gene:OB12G25170 transcript:OB12G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPAVASIGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQPSNFSSKEDWVLCRVICKRKSGGGASSKSRNLPNIVHDSSTPTSSPPLPPLMDTTLAQLQASMNTTNTSSATAVAVAAALEQVPCFSSFSNNNIASNSNGNNNSNSATVAQPCYLPIVTGNNGMSYLDHGLPEFGSFLDTQSCDKKILKAVLSQLNSIGGEVLPSLPPPAEMAAAVTSSWMNHF >OB12G25180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13956750:13959387:-1 gene:OB12G25180 transcript:OB12G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:J3NEW0] MDLEKGKKPSEQATACKIMQLKEKFITLQPVLRASVFLATAVAAVIMGLNKQSYTTVVAIVGTKPVTQTFTAQFKDTPAFVFFVIANAIVSGYNLVVMVMRRLMQRRAQSLIVHLLDMVILTLLATGSATAASMAQLGKNGNLHARWNPICDKFGSFCNRGGIALVSSFIGVALMLALNLLSAASNSSRSNVSGQ >OB12G25190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13962231:13966044:1 gene:OB12G25190 transcript:OB12G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMDGDFEGGHFGRDGEFYYRERRERAPQTRDDALSDDAAGTRRRRRKRRRDDSEPDLTRPVHFQSAGKFMPTNEPEPEPEERPGIGASAASSSRFAAAGAEDGVEEEEEQELDLPTAFGQRIAEGARARREERARERMGLGKNEQGRVAPLEGTLRPKNAGLGSVEGFKEPKPFLTKENLPPPPPSAPAKKEQQRWSKKASAKKGPVLTKNELLAMRTEQEQDEQPMVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDAQLRREQEKVASLVREKEKVGKQEALQKRQLHVMETIAGVLEQVRVDDTAGVLSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEVMSSWKELLQGDQAYDFSGDVESMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLHFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIYTLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKDVFDPASWEDLIVRYIIPKLKMALQEFQINPANQKLDQFNWVMIWASAIPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTSGLDMMNQAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYTAYNAVPGAAMADLSFKESIQAYAMEQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLMEMNRKAII >OB12G25200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13966828:13969685:-1 gene:OB12G25200 transcript:OB12G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPAFWRNKKSRSKIVQHDKDIPIISNVKIYSSKELRKATKNFCSGQKLGQGSFGCVYMGKLRNGQKVAIKVLSSESRQGTREFLNELSLISNINHHNLVKLHGCCVDGDQKMLVYNYLENNSLAQSLFGNAHSSIRLDWKTRVKISIGVANGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRFHTDPTLPLQDQFLLERAWALHESGDLESLVDNSLKGVFDTEEAQRLLKIGLMCTQDTPKIRPSMSMIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSVSPPKSPSESNSQVSTLALAGSTVVEESP >OB12G25210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13975128:13977609:-1 gene:OB12G25210 transcript:OB12G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKHQPGRFPLAIVLAPTRELAKQVEREFSESSNVETICVYGGSPINQQIRQLNYGVDVVVGTPGRVIDLLKRGALNLAEVQFVVLDEADQMLSVGFDEDVETILERVPSQRQTLMFSATMPSWIRKLTQKYLKNPVTIDLVGEDDQKLAEGISLYSISSESHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDITQAQRERTLKGFREGQFNILVATDVAARGLDIPNVDLVVHFELPNSSEIFVHRSGRTGRAGKKGTAIVMYNYQQSRAIRMVENDVGCKFTELPKINVEGSDLMMNGGFDSFGGGGFGREGSGRSRSFGGRGGGGFGNSPSRGGGYGDSGFGRSGGGFGRSGGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGGGGGFGDSGFGRSGGGFGDSGSGRSGFGRSGGDSGSGRFGGGFGSFGGNNSGQSGGFGSS >OB12G25220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13983921:13986531:1 gene:OB12G25220 transcript:OB12G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYKKNSTRAIERSPLLVAVGVQRRRRRRHAGDGGPGGGGGAGDGGGGGRRREARRRGGAGLLDDHVGEPRRSPPPVLLLHQLRRERVQLLPVGLLRQPPPPEQLRLHVALRQHPVGDRRRLLHVQRAQRLRRARRRRSLSTRRGADEERHGEW >OB12G25230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:13984285:13985877:-1 gene:OB12G25230 transcript:OB12G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPPPVEVDLGKLSYEIFSLLESNFLFGAAAGGGGGGGGGVCSLPGTPGRAVLGAGGGGGRVRVLTIDGCGRGPGDALLAAAALVRLETALREKAGDGDVRVADFFDAAAGAGAGGVLAAMLFLKGPDGRPSPPSQIGRLSSNRFANLPGAASPACSTKALSSLDVKKAAAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEQDRRRASPGLPNVVIKQASSATPTRLSSATTASSVTGTTATARTAVSSMPSPAAALDSDRH >OB12G25240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14000945:14002039:1 gene:OB12G25240 transcript:OB12G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MARSSPYPPLSRRKIASLKLLIPCVLVLSVAVIVVTQYFQNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPHVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVIELDPGSRRLPFHVEAGHRRALNMLLRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPVDYNSWRATAHVFTEHTLYRHSRQSNLMLADAGWHCSFCFKDIREFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIKNADKFRFLLPGGCLRSG >OB12G25250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14002594:14003102:1 gene:OB12G25250 transcript:OB12G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALEVSSLCFLLIMPLLTSAVAEGTRTETCGYTFLSKTYTTRFCRKGPCREHCDDEGGAGGTCTFILPFVRCICDEPCS >OB12G25260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14004297:14008184:-1 gene:OB12G25260 transcript:OB12G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGQYSLPSKNSPLNQFEGVKTHLYRLGSEHENGTLRSFAYSDQGSPSLLDTIILSQWEDFAWKGHFGYDVTACNLKVIEGGWSFVVQLNDKWNSCVLKEHDTFLGPAGCLKPNCMNSYDELLLCISQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSAINQLSFFWDKRMFSLVARIASEVNSAAFRVFFDNCTSTMPDCTFFQACYFANLLPVESAPSVAIYDSKATTGVCLYEIVDYPMKSLVFTGNDVDTLANFVSEVSLTLHDNNTAFSLLISNNGTKVFLFPQVKNLATGCYLSAWECGGYFIYRTKYDFDRASETEISSRMASATLQDGAFEDLKNLCSAVADDLIM >OB12G25270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14010123:14013608:-1 gene:OB12G25270 transcript:OB12G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQNYITSLRQEFETKLWENQNRISAMNKNWKEKISSIAALRDELGAIFSVVSASESGVLSSHGSLERIEELNFLKMKDDNESQITEKTTDSGELMLDIPDFSLLKHMPNEEVTSFLKTEWLKLRRQHESELHEKTEELFRLKREFAKDRSLLPFRMERELEVIKSKLLQSISKLDEITSREDNPCCDNYDNDGVCRFKDRIGSLLHKNEHLQGLLADKRMVAKHLSLQVLDAESKIAQHSLSELKLVKQVDKLRHELEDLKIERHLQDLLELSILREVFGNYENQIDESNQEETFLRKLLTEKEEQLSIVYDDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDELTIFREKVCEQELLILESKSESNSMKSCLYEALQQINVCKHEIHGLTDNLTSMSIALEEAKEQNASLDATIREMKKASVPSINNHKGQADHLEYALVSMEKLSKAYSDFEIRLAQSMKRNENRLTSIICQFNPLVQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILGKIYIALDHYSPVLKHYPGVTEIMKLVQKALKGEKI >OB12G25280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14013659:14014012:1 gene:OB12G25280 transcript:OB12G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding AISLSLSLSLSFLSPPSLPPRAGNQNEGRLKWGGGGSGKGAAFCTKGASFFFSPSTSTSLSLSLTHTHARLISSGLISTKPERDCREEEVAAASCACGDWRSGEIEEAVGNGSHQEL >OB12G25290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14015910:14021605:-1 gene:OB12G25290 transcript:OB12G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVLSQKHILTWAHMAASGGTERLAFLVDRHWPAVSRTCVSSGRLALAALRQWRGCAARGILEMASLGPASVFVILWSCFVCMTSPACALYALLSLGAAGAVIHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLISGGCMCSLKHARFVIPVLAMYAVYCVAVRVGLLGVFLTLNLSFLTNDLMNKLLQGYEGSTEERQFEETKHSDPVMDEFYRSCEYPTAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDEMKRIMDGLTHYEVLGIPRNRSIDQKTLKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNTYDDQLRKEESRKMTQRSRVVSQQTGVEFLSEESRRIQCTKCGNFHLWICTKKSKAKARWCQDCSDFHPAKDGDGWVENKFSASFKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMVPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >OB12G25300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14028871:14029778:-1 gene:OB12G25300 transcript:OB12G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPEGRVFATDVSEVAIDVARLNVQRYGMQDKVEIRHGFWFEPLEDLKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKDGLDHLLHLCEGLSSVLKHGGFFVFEVTYLLMHCI >OB12G25310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14030061:14030855:-1 gene:OB12G25310 transcript:OB12G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASASAAAGAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPLGDLEALWLGHVRDRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVGEVQGFEAGWWADLGTGRGAITGAGGGGGGALPVAEPQVQGHVCEQQQLRQRVQDGELPRRRVQVARPRAQVLLQEALLATAARSPRVTLLTS >OB12G25320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14031086:14032113:1 gene:OB12G25320 transcript:OB12G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEQQQKHHEEEEEEEEEEVVEDEETSSDDEEEEMSSEQDEETSSDDEDDGVIIASVYPNKVAFRIRRRGFAVHGGTSMLITNRLGVWSVARRRYVRKTRYGVFNDLNAELPKVRSTTAPPLRQLLGEVARCCVLEINMEYD >OB12G25330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14034994:14040768:-1 gene:OB12G25330 transcript:OB12G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSSQHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYTVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDVPSATRTLDLASALEVGPGGASRASTDASGVCNRSVLTIAFQFSYENHLRDSVAAMARNYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRVHTGADIRWSDTEDADSPLALLWKHNDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >OB12G25340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14057975:14061311:-1 gene:OB12G25340 transcript:OB12G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFHDVSDNHGADERQKQLRQGEPEDQQETSATSTGSQTMVATPPTDYATPYAHLDMAHAMGQIAYPNIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKGEHSDSSSKDNQDGVAPRDSSQPSSSLSPKGASSANQNKKSKTCN >OB12G25350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14064393:14066610:-1 gene:OB12G25350 transcript:OB12G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGEVRRRGCCGGGAFFPEESFASWGAYGRALMETGPRLRDRVTARSADAVELHEVRGWSGAKMRRTLTWWDLIWFGVGAVIGAGIFVLTGQEAKEAAGPAVVLSYAVSGVSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHAASLSDDYSRLDPVAVAVIVLICLLAVLSTKGSSRFNYVLSILHLAVILFIIAAGLSRARLSNLTADFAPFGAHGVFAASAVLFFAYIGFDAVSTMAEETKNPARDIPVGLVGAMAVTTALYCVLALTLCLMQPYYEIDADAPFTVAFTATGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPCLAQVHPKLGTPVNATVVMLAATAVIALFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVSGETTNADRNKLAACLAVITAASVVTAAYWGLSADGWAAYAATVPAWFAATLYLQLRVPMARTPEKWGVPLVPWLPSASIFINIFLLGSIDGKSFMRFGIWTAALLAYYFFFGLHASYDTAKALAAEAAAGKVEEGGSKPADGGAGN >OB12G25360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14071096:14077559:-1 gene:OB12G25360 transcript:OB12G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVQAVESSFRGDADACRGRKRARVLPLEEAGEDMEESTVAASGDARGDLVRWFSERQQVASCSDGDQTQGAGMFAAMQENTCSVDSNGVVYPQSDLRYSAGQNGTHGDGYVQNQTLEGCMYMNQHGQMCGPYSPEQLYEGLSTGFLPHDLAIYAVFGGKMANPVHLSFLKQFLSQWNSNAAVDTRNKSAGNKKLASVSKLLLPDALSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGAEHSESAANDSGSLNALMSDIVDDISHQLHAGIMKSARKVFIDEIFSSVLPEIIACRKTEKQMAAKLKSQAAKTDNVSNKNALVLMGKGDGTSTHPKKLNSFNKVLGDPSVAAQSTALQYEFADILSAVWTTIYNESMKSIWDEVLYDPVMDYSDAWLKGKNESNLLSTVVLGTSNNQKMQATDEMSPKAICDSNAPEGDMDFPPGFGLNRESAEHSHSVFLFDVEHGTDKTSGKSESSSTLFSGPLRRVQVMLANELYMAAKETLFQHFKEVIAEEITNCLCFGLEDDINQERIRTPVHAPEPSRPGISGHETPFPAEMAQDSPAQMARDEMLDTAEMAVDTLPCPADTTAYGTSADKMLISYVGNQLCSASYASIFEKLDVHEEAELDESFDEVPPGTETGLASLVIMDKNKYQPSKSVGSVPEIYRYTNWALFRQLLHDSVMKEWASLFSVALNNCLDSWFTRKNTVGKTMDETSRPKQYTYYRKRKFRKNCEAASSEKPMDEQLSRPLCDLVERKGNMKNIHGSIKAGISQRVSVVDKPSKKHAKPLHNNDINLSIQQDLKLLSNKVPKRSRSSHPTSKPFVNNKVPTENRTTATMPGKKRKQKNLPIESNLKAKALVLSPESHGCKAPIENRTTSTMPVKKRKKNLPSESNLKAKPLVLCPKPHDCEAPMENRNTSTVHTKKRKQKNLSNESNLKKKPLVLFPESCGCARASVSGWEWRVWARNATPSERAQVRGYRVRSILSAPESNVLKSSQVKGASARTNRVKLRNLLAAAEGADLLKITQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGQLIRRQVSDIREAQYEKSGIGSSYLFRLDDEYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIFIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSQRCRGSMN >OB12G25370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14078836:14079459:-1 gene:OB12G25370 transcript:OB12G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRPEIFSVLFFRRRKHIARSPSRACARSLAASPPSRACRPVPCSGVRSPAYHRRCPPYRQKTAITVRLREHGHIEGKNVFYWFQNHKAHQRQKQKQQSFDYFSKLFRCPSSLPVLHRPLVHPFPLTVPPAKPLPPSAPTACNTGGGGGEVKVLLLTF >OB12G25380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14080375:14083468:-1 gene:OB12G25380 transcript:OB12G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGAVAEKPRQRTEYDRDGDGEHGEDDRDDGDGRSGRWEPRRAANAGARGDEAVMQGRRERGVVKVNLEDVLCIFCKEMLLEPCVLNCAHAYCLTCLLSVDEKEIKCQACGAPHPAKPIVCWNLDNFLKHHFCEEYSSRQQRVSYSGTSEKKTGPSKVQSSSLKHTHVGVGCDDCGVFPIQGRRYSCTECVAPGFDLCGECFQKGTSTVGRFDQNHTAEHTMQLDDSFRFPKLLSYLDEKRIGEQQDGSEEEFVLVDEDEVGVVWDDE >OB12G25390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14085495:14086882:1 gene:OB12G25390 transcript:OB12G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFGFLAAHVVLLAPREWTKLTAQSVECVFLGYSLEHKGYRCYDPSSCRMRISRDVTFDENRPFFYHSSTQSSYSPISPPLCYVFLHLFHNLHVKTTSTSTTTPPITHVFTRRPRVPIVPPSNPSSANPNTPDFDESNNIDESHVISDESQVGRRYNLRDCTSLEPPDRLGFPRVNVVFAEPSTYKEASGVPEWQSAMLEELAALDHAGTWEIVPLPPHVVPITCKWVFKIKTKSDRSIERYKARLVAQGFQRTQGHDYDETFAPVAHMTTFCTMIAMAASSPWTLSQMDVKNAFLHGDLHEEVFMQPPGVDAPPGDDAKHVSFIKRHLSQQFQMTDLGPLSYFLGIEVLHSDKGYYISQSKYIQDLIARSDITDNRIAATPMDLHLQLRSTDGTPP >OB12G25400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14090139:14090300:1 gene:OB12G25400 transcript:OB12G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAACIGGFSAGVLIIFADLIGVLCSGTAIMLAVSAIYPYVDGRASEVGSFGF >OB12G25410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14110518:14111157:-1 gene:OB12G25410 transcript:OB12G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFHDVSDNHGADGRQKQQRQVEPEDQQETSATSTGSQTMVATPPTDYATPYAHLDMAHAMVHYI >OB12G25420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14116360:14116836:-1 gene:OB12G25420 transcript:OB12G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQKWKQISSESGRCRSQPSDVNRTAEIPAWRLLPCYIYGTRSPLRRVKPSYLPSPAAGVDSTPLRDHGGGRRSAAARVLRRRRVLPGGVVRELGRVRAGADGDGAAAAGPGDGEVGRRRGAPRGARLERRQNEADADVVGPHLVRRRRRHLRAHG >OB12G25430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14122954:14127612:-1 gene:OB12G25430 transcript:OB12G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARSDPGMMSVVQAVESGFRGDADACRGRKRVRVLLLEEAGEEDMEESTATASGDARGDLVRWFSERHQVASCSGGDQTQGAGMFAAMQENTCSVDSNGVVYPQSDLRYSAGQNGTHGDGYVQNQTLEGCMYMNQHGQMCGPYSPEQLYEGLSTGFLPHDLAIYAVFGGKMANPVHLSFLKQFLSQWNSNAAVDTRNKSAGNKKLASVSKLLLPDALLSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGAERSESAANDSGSLNALMSDIVEDISHQLHAGIMKSTRKVFIDEIFSSVLPEIIACRKTEKQMAAKLKSQAAKTDNVSNKNALVLMGKGDGTSTHPKKLNSFNKVLGDPSVAAQSTALQYEFADILSAVWTAIYNESMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVLGTSNNQKMQATDEMPPKAICDSDAPDGDMDFPPGFGPNRESTEHSHSVFLFGVEHGTDKTSGKSESSSTLFSGPLRRVQVMLANELYVAAKETLFQHFREVIAEEITNYLCFGLEDDINQEIICTPVHAPEPSSPGISGHETPFPAEMAQDSPAQMARDEMLDTAEMAVDTLPCPADTTASGTSAVPEVTGDEMIISYVGNQLCSASYASIFEKLDVHDEAVLDESFDEVPPGTETGLASLVIMDKNKYQPSKSVGSVPEIYRYTNWALFRQLLHDSVMKDWASLFSVALNNCLDSWYTRKNTVSKTMDETSRPKHYTYYRKRKFRKNCEAASSEKPMDDQLSRPLCDLVERKGNMKNIHGSSKAGISQRVSVVDKPSKKRAKPLHNNDINLSIQQDLKLLSNKVPKSESFNPHSPVSILIYIFTELLHSSFIAREQVFPSN >OB12G25440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14129424:14133146:-1 gene:OB12G25440 transcript:OB12G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTVLQQIQLFTVGLRDDIVVDVELDRPEDLQEAMSLAHAYECKATRLATTASRPTHKCARLFYIEYDDSTPEEDDINAEDISDEPRVTLNALSGVGDVDTMHLLVNLAGSNVVALIDSRSTLHDRLLRTLGPTLWDFNRMRMAIWRSTREVTLHGLADCCSSHFAAINFGNIMGRLLEEFEDIFTEPRGLPSARPIDHPICLKPDASLVAVRPCHYPQLPKDELEQQCPAMLKQGIIRPNTSSFSSSVLVVCKHDGSWWFCVDYHALNTATVKDKFTIPIIDELLDELLGAKFFSKLDLCSGVKVSLEDVLCIFCKELLLEPCVLNCGHVYCLTCLPYVDEKEIKCQACGAPHPAKLTVCWNLDYFLKHYFFEEYNLRQQRVSYSGVPEKKTGPSKVQSSRLKHTHVGVGCDDCGVFPIQGRRYSCTECEAPGFDLCDKCFQKGTSTVGRFYQNHTAEHTMQLDDSFLFPKLLSYLDEKRRGEQHQDGSEEEDVVWVHEDEVGVVWDDE >OB12G25450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14135590:14136314:-1 gene:OB12G25450 transcript:OB12G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEEDPMVGKEDHVSIFIDGVMPGTGSDQGAWLLDRWFCCPICLEPAYKPVVLVCGHMICLNCCSESMSIVESSRCCICKANHDHLPRQCGVIGQLISKLSHAEKKYV >OB12G25460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14143747:14146367:-1 gene:OB12G25460 transcript:OB12G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVEDISHQLHAGIMKSARKVFIDEIFSSALPEIISCRKTEKQMAAKLKSRAAKVDATKRGGLARFINHSCDIVSCFFAHQPNCYTKVITVEGQKKIFIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSQRTKSSLRREKLHLNFQALNQGKKWVLHCLEPVIIALTSGVPWINELGSISFRDKNFAILIVQHGMHLSNSKWLRTCGFEVPWINELGSISFRRIRTHYSVHTEEGTSALSVGFKSSCTAAVGFEVLCRVPAKFFEHWEEWAYNVGSDVNNELSFG >OB12G25470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14147852:14149888:1 gene:OB12G25470 transcript:OB12G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWRRIVALVPEVQYPDRPIPFRQKVIYTAIALFIFLVASQLPLYRIDHQPRTIDRDPVYWLHLILASNHNTLLTNGIISILLPEVAMKISVETKSIVLDASAPETSVLLNGSQKLLGIYVTIVGAVVNFYVQNQHFNVNTALIMLQVLCSDIIVIYLDDVLKKGYGLLSGISLFTATNICGNILWKAFSPMSIIYPEGDEFEGAVVAWVHLLITRTDKLSAMSEAFCRQNLPNAISFLSTCLFVPLAIFFHGFYIVLPVRTRHNFQAYCHIKLSHFLYGPILLHRALLILPYAVSKVLYMKYSGNILVNLLGKWDGSNHFGQSIPVGGIMCYLTTPPTLADLNRGSFHAFVYIAFVLISCVILSMGLMICASSKGVSNEFIVLNGVRSLRVAQSDSIRVNEIWSHVMKAACIGGFSAGVLIIFADLIGVLCSGTAIMLAVSAIYPYVDGRASEVGSFGF >OB12G25480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14165423:14172473:-1 gene:OB12G25480 transcript:OB12G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVHDVSDNYGADEWQKQQRQGEPEDQQETSATSTGSQTMIATPPTDYETPYANLDMAHAMPNTLESRHQPMHNDDLLRPPSEGRTSGGFLSGAYTFFSSVDAAALKLEQLHDFDGLVSRYNGEIAGGSGLWMNRWVIGGGILAGLSAIVASYVGVQVVFCTKTLVTLITALVLISIDKPNPLESRHQPMHNDDLLLRPPSEGRTSGGFLSGAYTFFSSVDAAALKLEQLHDFDGLVSRYNEEIAGGSGLWMTRCVIGGGILAGLSAIVASYVGDVVIAHPGVYFLVLLMGFAIIGIFSISALRHL >OB12G25490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14190593:14194949:-1 gene:OB12G25490 transcript:OB12G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKLGSKPDAFTRRGQAWFCTTGLPSDVLVEVGEMSFHLHKFPLLSKSAILGRLIEENSDRDECVLKLSDIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEYLEMTEETSGDNLINQTESFFNQVVLRSWKDSLEALRTCDGLLPHAEDLHIMKRCVESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNCSSDWWYDDASSLSFPTYQRLISSMESRGTKPEIIAGSLTYYAKKFLPGLNRRQSTGPVPLPAAALSDEEQRHLLEEIDRMLPLQRSLISTNVLLWLLRTAMILKVDRACISNLEKRVGMQLDEATLEDLLLPNFSYTMDTLYNVECVHRILDHFLAMDQTAGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAALPEYARPLDDGLYRAIDVYLKSHPTLAEADREQLCRLIECQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCPPPSDHPGASPRTPPRRAAGGGGGGARAGGAGGGAPAAVRENQALRVGMDSMRLRLMELEKECSDMRHDIQRLGRRXXXXXXXXGWAARVQRMLGPKMMKLQMCSAQAQQDAAPVPVPAGKQVETSTSEQEQHKRAVVEKPQAKLANHKKQQLPVDGDGDGDDA >OB12G25500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14196449:14196865:-1 gene:OB12G25500 transcript:OB12G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSREQKHTRDIVKGSKEKKIHILPLCIPMFLPRPNFNGAVSTLCNLKASTMWHVPTLRADGYAGFTACTTSTVQQSSQKCSPSPCKYTVFDFANTYCFNRISVKLLKLKLHKLFYKSGSKNIYYKYFYIYIVENIS >OB12G25510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14196708:14197024:1 gene:OB12G25510 transcript:OB12G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALRLQSVETAPLKLGLGRNMGIHRGDFNGGFILHGALKRNEKGHEKGPVMHGAPLQPPL >OB12G25520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14197691:14201269:-1 gene:OB12G25520 transcript:OB12G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAINAVTSDGDDDIAEAAPLGTFSSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSTKVVLLEDIQKEPTKFDREDVPILTKSQVDAELARMRSMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRSSAKLIIRA >OB12G25530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14204300:14207051:1 gene:OB12G25530 transcript:OB12G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALAAAAPMAKEEPTKEKKSKGKAKSKAAAPDAKRAAVVAAVAAFLDSGGFPRTLAALPPAARPXADSWRSSPVSLEELVSGFLDSSNSAPGAGTDGSIDQERTSGGVACDAGKKKKKKSGDAEVSEAVNNAAEPSAAEKPSEDVDAETKEKKQKKKKSKKQENDDDVQARLEKAESAIINKFEVMETSKEDSKNALVDIAPVEKGKKKKKEKSTSETSDKIDKGATAAGANATSDAVETEKDSNEKKSKKKLKKSKENVQVVENKEVVGNNSGMEIEQGENGMPPRDNGVVGKKRKLEEVEGSNPPSKEDNTANQKLSNGSSDDDTKPNKRQKKSSEPKTVNAFQRVKLENVKFADERLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >OB12G25540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14214533:14216523:1 gene:OB12G25540 transcript:OB12G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGVMSPTKLRMKLLGSHGSSPQKIDEAKKSPARLDADDDGDDHPKNSLLAQELDEGLCFLFDSFSCTVEVRQWWWRCLIPAIGSSEYPKDRSDSSRSRSDASHGRAGHGGGGNGYDSGHDAGGGGGFEFYREERPPAAVVGGTFFRQVPSKWNDAEKWLAVQSSPRGCSNATAASSSSERELQIRTRREIAVLGMQMGKMSIASWASKQEELVARASPEKRPHPGDDGDGDGDAKRHEFEARAVAWQETQKCKLALRYQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRLSALSHKAEGKQARAEARRSRQAARLARQVDRIRDTGVAPPSSRLRRCCSWLFL >OB12G25550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14217157:14223300:-1 gene:OB12G25550 transcript:OB12G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:J3NEZ7] MKLSPSAAPDTLQALPENDGEQRCLNSELWHACAGPLVSLPMVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIARDLHENEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSVRWPNSHWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFNGGGNDDFARYPSLMWLRDGNRGAQTLNFQGHGISPWLQPRIDSPLLGVKTDTYQQMAAAALEEIRSGDNLKQPSATLQYQQTQNLNGGLNSLFASHVLQQMHYQTPQSPLQAVQQGHCQNTGDSGFVQGQLTQLQLLKEQEFQQQQQQQRQQILQEQSHHEMPQQLPSSDPRIADVASESGSATQAPSSLLNGSSFYHQNLLEGNRNPSLQLHNNFHNFSSQEASDILSLPPSSQLMASNGWPSKQLAVESIVHPEAQPMLPKIEKVNHHTSISHFPGTFPSQSARGCSVVQDCGADADNRLLSSSFELQDGMTSIISDASRETDTMAIPLLRYNGADLTTENTLATSNCLGESGTFNHLNNVCVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELEHLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQKLVRGGDGLLSSPGARIQQSNACDDYSASHNMQNIPGNIASVAPLDY >OB12G25560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14229845:14233237:1 gene:OB12G25560 transcript:OB12G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:J3NEZ8] MVAQFAVELRGLRAVEGEEPPRILHLNPRLRGDWSQRPVLEMNTCFRMQWGKAHRCDGNPSKDDDQVDGLVKCEKWDRRDTVDSKETKTSSWLNRFIGRAKKPEMRWPYPFAEGKMFVLTIQAGVEGYHVSVGGRHVASFPHRMGFSLEDATGLAVTGGVDVHSIYATSLPKVHPSFSLQQVLEMSERWKARPVPEEPIKVFIGILSATNHFGERMAIRKSWMQFPAIQLGNVVARFFVALSHRKEINAALKKEAEYFGDVVIVPFIDRYELVVLKTVAICEFGVQNVSAEYIMKCDDDTFVRLDVVLQQISVYNRTMPLYMGNLNLLHRPLRHGKWAVTYEEWPEFVYPPYANGPGYVISIDIAQDIVSRHANHSLRLFKMEDVSMGMWVEDFNATTPVQYIHSWRFCQFGCVHSYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >OB12G25570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14236383:14239111:1 gene:OB12G25570 transcript:OB12G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKRPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHRDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKHKSSKTDDTGNGLS >OB12G25580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14238263:14238688:-1 gene:OB12G25580 transcript:OB12G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTRIMMTAFILFLVLILVFIFVFTPIFMPMFMLLMLLVFVPVFVSVLFMLLLFVFGLTFRFTRYSWYTLFSLMNGQIYWSCFSKLKCLTQCFHVKWLDIRRLVPQVCILNKLI >OB12G25590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14241740:14242726:-1 gene:OB12G25590 transcript:OB12G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHGSLLQGMRGKLRACSIARTTLAFSSVSQLTLTRNIGNSYKQPNNILVCHPYWQKLLPGRMPQARQAMGTVTQEGNGWGASPIQREDLVTYGDMVDMAYMTFAAEDEAYESTLSSLLAGIDDCRYVATAHLFATIEPVPKLLEALPVLRGLDKPYWFGYVAVARRGDCLDIVVAWRGSATLADWMMDIHADLVPFVDGAKGGVAGKVAEGFYKVYTCMDSMTERGKVSAKEQVVTEVARLVGHFRRGDSGGERQSVRVTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSQCAR >OB12G25600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14241983:14242264:1 gene:OB12G25600 transcript:OB12G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPRHLRDHLLLRADLAALRHAIHARVHLVEPLRHLAGNAALGAVDERHEVGVDVHHPVGERRRAAPRDDDVQAVTTPGDGDVAEPVRLVQA >OB12G25610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14280516:14281115:1 gene:OB12G25610 transcript:OB12G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTTTSEPAISLPGCPDKCGDVSIPYPFGIGAGCALSSYFTITCNDTFQPPRPMLRDMTTTMEVINISLERGEVRIYGPVSYTCFTSNTTILENYTTGFTLEDTPFIPSTTRNRFMAIGSQTLGIIGGYMHMHSNDLYVAGCYSYCQGINSTSNGAPCTGMGCCETTIIPDLKDYAAILVMNQSAVWSFTRASMPCL >OB12G25620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14281035:14281884:-1 gene:OB12G25620 transcript:OB12G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIINLEKLIMFSQNLLEDKDSLHILQSICTGAIPDGSVMDDRNTSVGPLIDKPEVSNEVLLPEAVPSSLYKHGIEARVKPHGALVHHQDCCIVF >OB12G25630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14281244:14283069:1 gene:OB12G25630 transcript:OB12G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPKDYACVSSNSNCVQASNNQGYLCKCSEGYEGNPYLPKGCQDIDECKLRNEDPKYKELYPCKHGTCQNIPGGYMCKCGIGKRLDGTNYGCQPVLSHVEQLVAGLGVSAVGLIALVCLLVMKLQRRKHRKKKDEYFKQNGGLRLFDRSRQVDTILILTEKEIKKATENYSDDRVLGCGGHGMVYKGTLDDGKEVAIKKSKLIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDLRTPIQLDLRLNIATQSAEALAYIHSSVSRTILHGDVKSLNIPLDEYNAKVAGFGASALKSMDRNDFMMFIQGTLGYLDPETFVSHRLTDKSDTFSFGVILEIMTRKRALYSDNLNENKALSHSFPLMFHQKRHCDMLDCEIVDSKVMIVLQKLAELAMHCLNPRGDDRPTMKEVIERLQMLRRLHMQLVSKSGPTRICYSDKGPSVSVPSDTIKYQSMETAKLVLDTDIAR >OB12G25640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14286594:14286788:1 gene:OB12G25640 transcript:OB12G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTQYLLLSYTCILKVIHYCYRYARHQKLPRCQIGLVHPNTIVACSELCTGKKLTPCKICSC >OB12G25650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14287116:14289472:-1 gene:OB12G25650 transcript:OB12G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63410) TAIR;Acc:AT3G63410] MAMASSAYAPAGGVGAHARPGRPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALQPADLYSRKLRVVDVGGGTGFTTLGIVKHVDPENVTLLDQSPHQLEKAKQKDALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRIGGVACLIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKRAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKAEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >OB12G25660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14291994:14294081:-1 gene:OB12G25660 transcript:OB12G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAMAMASSAYAPAGGVVAHAHAPIGMASAVQTVCGQAYGARKYRAMGIVCQRALVLQFATAVVIAFLYWYAGPFLLLIGQAADVAAEGQLYARGLVPQLLAFALFCPMQRFLQAQSIVNPVAYITLAVLIFHVLISWVAVFVLGFGLLGAALTLSFSWWVLVALTWGFMVWTPACKETWTGLSVLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPDAETALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPNVARLSVIVVITASVAFSILATVVVIVLRYPLSTLYTSSTTVIEAVITLTPLLAISIFLNGIQPILSGVAVGSGWQVVVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVSVQTVALIIITARTGRCLWWRRRFSDCGKPPWMMARFPSSTASSDLL >OB12G25670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14294852:14295858:-1 gene:OB12G25670 transcript:OB12G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLDAVKRICPKRALLVGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >OB12G25680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14297373:14300907:-1 gene:OB12G25680 transcript:OB12G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHPAAGGGTPAVPSSSSLLFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDEAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDIRIVQPFSPTNDIDPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDVEKPFVTSGLEFVPLPIYDDMHELYAI >OB12G25690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14303760:14307170:1 gene:OB12G25690 transcript:OB12G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30290) TAIR;Acc:AT1G30290] MPRHLTKSPLLLLHRPLLLQPRRFCSTATSSPAAGEPISSVSAGREASRDDDLAEESRSRLVRDTCRLLELRESWSPKLEAQLRHLLRVMSPPQVRAVLRAQAREDVRRAFEFFRWADRQWRYRHAPEVFDEMLSLLSHTRLHDPARRVMRLMIRRGVRRGPQQFAQLMLSYSRAGKLRSAMRVLQLMQKDGCAPDISICNMAVNVLVVAGRVDKALDFSERMCRVGVEPDVVTYNCLIKGLCGARRVVDALEMINVMLQNGCPPDKITYYTVMSFLCKEKRVEEIRGLLQRMRNDAGLFPDQVTYNMLIHVLAKHGHADEALEFLRESEGKRFRVDEVGYSAIVHSFCLNGRMSAAKEIIGEMISKGCRPDVVTYSAVVDGFCRIGELDQARKMMKHMYKNDCKPNTVTHTSLLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFLTTVEINLLIHALCKEGKPAEAKDFMEQCQSKGCTINVVNFTTVIHGFSRQGDLESALSLLDDMYLSNRHPDVVTYTVVVDALGKEGRLKEATGLVEKMLNRGLLPTPVTYRTVIHRYCEKGNVEDLLNLLDKMLARQELKSAYNQVIEKLCAFGKLNEAYSLLHKILRTTSVRDAQTCHILMESFLNRGLALQSYNVACRMFRRNLIPDVKLCQKVDNQLASEKNKPAPRKLMVKFAERGLLKPFNIQRRRALNTEDRVME >OB12G25700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14307294:14309540:1 gene:OB12G25700 transcript:OB12G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:J3NF12] MEESSSALSPLLDVDESSAASEELLRREHLPWNVLSRLAAWEAGNLWRISWASILITLLSFTLSLVTQMFVGHLGELQLAGASITNIGIQGLAYGIMIGMASAVQTVCGQAYGARKYRAMGIVCQRALVLQFATAVVIAFLYWYAGPFLLLIGQAADVAAEGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITLAVLIFHVLISWVAVFVLGFGLLGAALTLSFSWWVLVALTWGFMVWTPACKETWTGLSVLALRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPDPETALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPNVARFSVIVVITASVAFSILATVVVIVLRYPLSTLYTSSTTVIEAVITLTPLLAISIFLNGIQPILSGVAVGSGWQVVVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVSVQTVALIIITARTNWDNEVAKAIRRLRQTAVDDGTVPIVDGIE >OB12G25710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14311947:14312754:1 gene:OB12G25710 transcript:OB12G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEKVTVHDSEDARIIHEIDCGKRVLAFASAKKGVLYTGGEERGIAAWDLSSGKVTSRIEGAHSTRVKGIVVFDDKNDGSEVCNLIASASSDGIIRIWDARMMANQKPTPLAEANTKARLTCLAGSSLKCKLAELSSINNIYSTFIK >OB12G25720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14314004:14318300:-1 gene:OB12G25720 transcript:OB12G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT1G72250) TAIR;Acc:AT1G72250] MSETCDFIPYGDDDDGGGSEAADSAGSSDVPCDGGMHDTPDYIRRGAARNRIAPLELFSAPSPQDPPPPANGDAGLDASNDGVAESEVGPEGISPVIGAGGALDTAMGPQSVHETLHAEENEGKCSCCGQLKQEYSLLLREKEECRRVLEDLMRENELKSKECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSRWCLLLNDLSEKFKALKTEHQNLLQESVECKKFVADATQMTMTIRQYVSQYASLECEFKDLKDKFTEETKERKDLYNKLIELKGNIRVFCRCRPLNGEEIEEGASMAVDFESVKDGEIIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKERQGLFQYEITVSVLEVYNEQIHDLLLTGTQPGATTKRLEVRQVAEGVHHVPGLVEARVNNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVRGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMAGRAKQDSKNKDAQIKSMEETIQSLEAKNKAKDLLTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHQHQQSMKLESSPYLTRSPMAERNLNSAAEKPALHKDLGIARQMFSDSNTDTYSINQLMSMSSEKENNPDGGAGQLQARRISLCDGASAYPLPNKARRVSLCGGAQQQQPAAAPRRGSLIPLPRRNSLMLPLPTAAKPAAAAAAAAAVAGLPLDMITEQCSSPPVSSPPVITRNDSRGGGGGRSKRIINSILRRSLQKKVIIRPPLMAAQSGRKAGAGVGATGGGGGGMTRARRVPASGGRGGVQHNRDKERGWNNGTSLRQL >OB12G25730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14322368:14324677:-1 gene:OB12G25730 transcript:OB12G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFSPCRRPQAKSRTAGQEMAAFLRSRCSSAGRTLMGGLGNNLFGAANSTVETVSRPSHCDPIYQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >OB12G25740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14331735:14332454:1 gene:OB12G25740 transcript:OB12G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSPAIQFYDLQDRLGYDQPSKAIEWLIGAAASAIDALPSLDCSFALPTTASSPAPASSPPDDAEVSTSETSKSSVLSLANAPGDHGNGTAYNGGGANGGAFAELLHCSANGNKPLQHQHQHQQQQATLAYYAAQSAHMAPMPFEMTMPQLAFTQEQQQHATVAFERGTLQSNAVAASLWPPSTAQHPYLLQRFAAAPPEVAGLPFFLAGGGGGGATAPATTNAGERRLQLWDFKEERKT >OB12G25750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14348693:14354593:1 gene:OB12G25750 transcript:OB12G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGMMKCPAPMRATSQGAFQGENPLDFALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLQHVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVVAIWLFLRPVLAWMARRSPDGEPVKEVYICATLAIVLAAGFVTDTIGIHALFGAFVVGIVVPKDGPFAGVLIEKVEDLITGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSLIVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDESFAIMVLMALFTTFITTPIVMAVYKPARPAVPYKRRTVDSGDADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAISLVHRARRNGRPFFNSGDKQTEQMVVAFDAFQQLSSVRVRPMTAISDLDTIHRDIIDSAAAKRAAIVVMPYHKTLHHDGAFQSLGSEYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAVLFFGGPDDREALAYATRMAEHPGVAVTLERFRPSRAHSDEENAADEAAVEAFKSKVGIVKDGSVRFEEREATTREELLQTINSMSMFSVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFKTSASVLVIKRYDPAANPASKRYDPKARPPAATEEDELDEATAGAGASSSVVPVARSPTSNDVV >OB12G25760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14356069:14356543:-1 gene:OB12G25760 transcript:OB12G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILPSAVVPSVEAARQAQRLRSERSGRGWSVRAQAAGQLGRHRGGQVGSDGRAMGVSVGGAKASGNVSNVRAGPDGCAKGAGGGGSSSSLQSNLARKPVDGKTERSWASS >OB12G25770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14357374:14359866:-1 gene:OB12G25770 transcript:OB12G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPSVLGRSSQFMQHVFPPKSMSVLDTLANIGLLFYLFLVGLELDISAIRRAGTKVLAIALAGISVPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLDRILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVVAVVLLLRPVLAWMARRSPEGEPIKESYICATLAIVLAAGFVTDTIGIHALFGAFVVGIVVPKDGPFAGVLIEKLEDLVASLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSFLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDESFAIMVLMALVTTFITTPVVMAVYKPARPVVPYKRRTVDSRDADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAISLVHRARRNGMPFFNSGDKQTEQMVVVFEAFQQLSSVRVRPMTAPPPPPPPPRDVVDSAVSKRAAIVVMPYHKVLQHDGAFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAMLFFGGPDDREALAYAARMAEHPGVAVTLSTFRPSRPVPGGDRATDEAAVAAFKSKVGMAKDGSVRFEEQEACTSEEVLETINSLSMFSVFVVGRMPPTAPLVENPDELGPVGSFLASPEFKTSASVLVIKRYDPATNPASKSFNPRAKPPAGTDEDALDEEVDRSVP >OB12G25780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14364922:14369871:1 gene:OB12G25780 transcript:OB12G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 5 [Source:Projected from Arabidopsis thaliana (AT4G18370) TAIR;Acc:AT4G18370] EARVVRLFQEASPSVVFIKDLVVGRSPGGGGRQVEAEDDEEAGATVEGTGSGFVWDAAGHIVTNYHVVAKLAGDGSAFHRCKVFFEDSSGTSYSKEGKLVGCDPSYDLAVLKVDVDDDKLRPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSMSNRF >OB12G25790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14378194:14379084:-1 gene:OB12G25790 transcript:OB12G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLNMRAAADAEKSSKQQMMVAAKVSISILVMALPVLYVSFLHIPPATLFRDTTFWFLMSNSIIIVIAADSGMLFFARRPSSSSDNQQAMVVSDLGDISHALVIAGGQQDDDDVVKPEETATMSMMLVPYVDAGEAVVVDDDGAVVQEARPQRLTASRSLASSREERPATATRRRRRGRAHSHALATTTVPPPAVQEKSAVVREEKKLALRRTSTEGRRQRSPPPEPEEETTASEYSQLSDEELNRRVEEFIARFNMEIRLQLEKEEEQAAAA >OB12G25800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14387005:14388009:1 gene:OB12G25800 transcript:OB12G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:J3NF22] MPDCFKVLLCRHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >OB12G25810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14388376:14388757:-1 gene:OB12G25810 transcript:OB12G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSINWAGISGFQHAIFGLSSTASCRQELLAPIPIISNRDAASWTRICNSSSSTAGFWTDVAMRLDDGRLTDDPTPGTNATSTMKGRTGQTYHDDETEGIYS >OB12G25820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14396651:14396878:-1 gene:OB12G25820 transcript:OB12G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSCRPHHQAGSHVTAAADDLATLAAPAPRYTVDGGSAVLVVVDGGGSSVVRRQRQRETGSHQQRQVDLNLKL >OB12G25830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14399675:14400142:-1 gene:OB12G25830 transcript:OB12G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVERSMCKGPLSQRQLRQVAKGVPNAIAATVVSLIIGSSSSKVSQGFVGPLGHVLSKPLSQKKDKCHVGWSYTLHNTWSYEWVPPFIFYSFSYPSLSLYRLSSSLPSLPKVAVDGGARRRGGMVVDPSGGRIPVATWRIWEAAARRVGGGSRR >OB12G25840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14406203:14407591:-1 gene:OB12G25840 transcript:OB12G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDHAHKLGKKFHIILVDSCLNYEGQALLNRLVAKGISCTYTYINAISYIMHEVTRVLLGASSILSNGAVYSRVGTAVVSMVAHAFGVPVLVFCEAYKFPERVQLDSICSNELGNPDVISRVPEREDLWHLKNCTHNENLQHLNLKYDTTPSDYVSMIITDYGMCHMDPSTLSCKFVPLHCQRAITPHNYSAKFAMRCLFYAVFANCCSQTKAMLT >OB12G25850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14407283:14408566:-1 gene:OB12G25850 transcript:OB12G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLSPALSKQPKTVKTPFRKDPPQVTPPVASDKKTSERPPDRDRKKDIPPPRMQFDDVHRVEKATRRSLVIQAEAQNRVELFRHLPQYVHGTQLPDLESKNFHLGPMHPSVYKVGLQYLSGVISGGNAHCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIDCRPLSISIGNAIRFLKNRIAKLPLTLSESEAKANLQSDIDRFIKRR >OB12G25860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14447472:14448825:-1 gene:OB12G25860 transcript:OB12G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGGELAGVDEGAVEAIGDARRELDGGLEAHVGGVDDEELGGAGGLVADEGEDVAVVLAAGGGGGGAGAVHGDEAGLAAEAAGAVVELGELAGDEVDPGEAGHLGPRLGDGEVGVPGLAEDGVGGCHAGADHHHLVGLRLLPRIPQVEAEAVRHVHPHHLRRAPRVLGQHAEPRRLLLVEHHGRAATQHLLELEHHLVIGHDDVVLGDGEVVDHRRLLQLDLHVRRLRPVGAEVEVLEVRLLDHVVAEREQLAGDRVQLWVRDHGAPELPVEVVPPDRLEVAVAGDPDLVREVVLGHGEEAAVEVDEAGVGDAGAVRGVDEAAEAARVEEREAGDAGVAVELADGLGEDGAAEGALLLEPRRLGEAAGVGLGGAVADADGVDHAVAVEQVVTGGGLEVRVGAVARVDAIDEGRDAARHR >OB12G25870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14447580:14448869:1 gene:OB12G25870 transcript:OB12G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAVRIRNGAAESYACRFTETARLKQERSLGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTGNGDLETVGRYDFDGQLGCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFGADGTKSPDVEIELEQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKYARSSSEMVWVDVPDCFCFHLWNAWEEPESDEVVVVGSCMTPADSIFTRPPGRPPPPRRAAAVDAGEPRGRHGQPQHARPQDQVRLPRRRRAVAQGVRLRQGRPRHRRAHQVRLRPRPLRRRALLRPHGRRRRRRLPRPRRGRRLHPLLRPRRGRRHLRAPRRQRRRHAPRGHRPAPFSRPLWLPRHLHQRRRALLPGLDRPTGRPAAAMVS >OB12G25880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14472120:14473370:-1 gene:OB12G25880 transcript:OB12G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVPPPNDLELQAPLDVILTQTELRCTFSVTLFLCMDGRKPASRVVKSILQMMQLSQAPLHFELKGETLEQQTLKYSSELLKAIESGTGSCGQEVA >OB12G25890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14472370:14472501:1 gene:OB12G25890 transcript:OB12G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKSSCVRHIQPNNLGLITVCCSKVSPFNSKCKGACDNCII >OB12G25900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14478193:14483509:-1 gene:OB12G25900 transcript:OB12G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 3 [Source:Projected from Arabidopsis thaliana (AT4G04850) TAIR;Acc:AT4G04850] MDIASAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGLLSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRAAGVIDEKSETQEKPAEMVNYDATEPVVILGFGEMGKVLARFLSAPLSFGLDKDTEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGISSPKAVMVMHTGKEKTIEAVNRLRQAFPGVPIFARAQDMSHLLDLKKSGATEVVLENAETGLQLGSMLLRGLGVMSDDVSFLSKLVRDSMELQAQEALKNIENREIDIMKPLEVRVSDMVERNGNGSRMIAQEDSLRLSSRPNIPVIEVPLEDRITEMKVEDDQTGYDFNNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSV >OB12G25910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14485517:14494413:-1 gene:OB12G25910 transcript:OB12G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NF33] MDVDSRMTTESDSDSDAVAAQGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAPAAAGAMGPRPAPGYTVVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSATPPSPAGSAGIRLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYIYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAVSDMQAGREPGRYAYNDEQTGQPATVTSPDGAVVLGTPVAAPVNGDIYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGEVEHSPDGEQSPDATPSPKQNSSLIKPDYALSNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSIEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLMVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQVANNDRGSLAWI >OB12G25920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14506622:14508022:1 gene:OB12G25920 transcript:OB12G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASLLLLLLLRLSPARAGVQAAAASTPPCAVEGYSAARTPDPAPPTTRCNLTEGKPTPAPERFAGTEGEGEVVMTGRSVAVGGVGAVFTIRTTGGAAGGRDGAGSTTEDSWTTMVERSTAASREGAPITAAATPTSTIFSPCVVPVPDCKNGTETSSGMIGIGHVPAALACAAAILTLMAIAGGMSMGAGGEGDDQTNCQSCYMQKAIFKLTCCGSSICQLCLPDFLRNKDKHGGEDKPCTRGLTTGSIVAMTNPEDLSLGNEIKQPGYHHVLHYPPWFFSSVTKKNGRPGASPDAYGDGGDPCGRYRWTPVRPNRHTAVHQEATILRALVAPVLR >OB12G25930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14511135:14513258:1 gene:OB12G25930 transcript:OB12G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSVLRCRGLSRAWAAALSSDAFVDXXXXXXXXPGLRAAAADGLTPLMDIPHCTRNGRVTPTGRPCRGLILLRSIAARLYFVCNPSAGQVTALPDGRMAGFPQPWQASAAVGLGYDARTRTHKAVRLLYHHGEPEGCDVYDIASASSTGHWRPAAAGAKPPDLVHMNMLAVYAQGHLHWITKKGPDEATTIMSFSMAEEVFGVVPLPPEMTLRWPNMTELNGCLCLYPDRITPDQPTDIWLLTDYSTATWVLRCRIDPSKLAVFMYKKCFTPLPRANPTLVRNRTVCRKVCHGLVLFAGGDGATNTVHNPVTGISTDFSFRSRRPYPPKIPEVDDGRGCAGLGDDEAGGEHVLVSLAPPAAGHCTVRLWRLRDVRAITVTPPPPPAVRADVDLPPVHIAGKMYWMVVDQRRRLGSPRDILVFDISTLLFDTVPAPPTLPPDDAGRTILTELAGKLCVAHSCRPTETMTIWAKIGGGWETVHVIQLARWPAFSPRTTELVVPMDVDGRDGGRVLLDTGKALGYYDERSQTLETVCASLAGDDDGEFKFIVAAVCEDSLVRPYDRKCSIW >OB12G25940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14513526:14517378:-1 gene:OB12G25940 transcript:OB12G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAAPSALGPLHFVPGLCLAGTPQPGPFGHLYPLRLRAARRPSIRRRRERFSSSPSALLCYAPPRAVLVDMGGGGGGRKDRGEGLGRALVRQRNKAAAAAKERGHALSLARRARQPLESVIEVSDIDAVLERAAEADRLHSALADAASSSSDLVIDLDATGETDEERRRLQKEQEALHATSLRVPRRPPWNARMTVEELDENERRAFLVWRRNLARLEENDKLLLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPINVRKKWADYFKAHDILYVFWSAKAATATLEGKTLGGYSEDDSASLDLDTKIYGRDELLMRLQTEAECIVAQRRASATKEDQIASSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISQELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSVLEQIYRITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGTVDAEIEHEETTGLEGPSTSAHDESDGSGSDEHDDTIDSAQPDIRHVLSDLESFDLATEGSKPAGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTAVVRVFQNPAVNFSAVSASERN >OB12G25950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14519984:14524954:-1 gene:OB12G25950 transcript:OB12G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDSLPESLRRYLYLTLARSLCVSSYGLIFLFPLSSLVLISLKDITVGSMEHDGFQTVASAMSQGNGPQVSECGAINLGQPKRKRRDLKHAMPTDAIPTGAKANKGKKALKVKVSLASRAEKVKKPRKNKYTQIKSTPNVRKERKCTLLRDSRGRFLPKESNGENTQEITTVMEIDYGRVINPRAPDCATILSILKGKKGIRQCNKIRRLKDPDFVSLMNAMNNTDHVAKDDGHYDVLKVLMQADGWSE >OB12G25960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14531378:14535306:-1 gene:OB12G25960 transcript:OB12G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYKSHEGFGQVAAVTSQASNGGASLSWWAGPQLLFGEPLGQGKPAMSPEGVVPRAQTPLDAPVPPMDRGVPEVLDFSMVPGKGDKGSEHSTTIALQSPFAEYSGCFELGLGQSVVSSNYPYADQQYGLLSPYGVRPMPSGRILIPPNMPADAPIYVNAKQYAGILRRRHARAKAERENRLVKDRKPYLHESRHLHAMRRARGSGGRFLNTKKETGGKTTGGGRKAVDIIPPLPAATTPSSEIQHSEQCNRSSVSSLSGSEVTSIYEHEDADHFHSFEHLRTHFFTPLPSIIDVEHGAGNPFIKWAATSDGCCDLLKA >OB12G25970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14545178:14545417:-1 gene:OB12G25970 transcript:OB12G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSLSCPIDESCTFPSFLLLVSLFPLFSSLFSCFLVGRLGAWKETLGMHYIIICRLGITQQPSTHKGSNFVPLGADPKY >OB12G25980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14546553:14547638:-1 gene:OB12G25980 transcript:OB12G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPAIPDADETDDLALFRRAVLLSSAPSKDSSAGAGSSSLFGRRPPPKVAFLFLTNSDLVFSPLWEKYFAGHHHLLNLYVHADPNAAAFTAPDTPSFRGHVIRGSKATQRASATLISAARRLLATALLDDPSNHFFALLSQSCIPLHPFPTFYRALVSDNGGPRRRHRSFIEILDNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVVRDRRLWNKFKLPCLTKRKDSCYPEEHYFPTLLDMQDPQGCTKFTLTRVNWTDSVDGHPHTYQPEEVSGELIRDLRKSNATHSYMFARKFAPGCLKPLMEIAESVILRD >OB12G25990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14553545:14557725:-1 gene:OB12G25990 transcript:OB12G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKSLVGIKKHEKTQTSESSGVRSSTAQLLQKQKDSIDTECAAAVEELTVQTEPLACDTNIQTISNFTSSPSTTLLVSQTELDTREHHAATVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRISLESQVTQKKAPEQNIHEDHVWEIEERWCDGIGSAEEMQAKVLKRQEAAAKRERAMAYALTHQWQAGSRKQKAASLQGLEVDDNQWSQNWLERWMAARPWENRLLDSNAKESAPIGDDNHGDENEAKAPNKPKGKVSISAVHSNGSSKKKAANHKKSHSDVSGSLSGQSAGVLPTNSLGSSKPKSKPSDEISEEVNSQLSNLASRSTSNPKERPTQINASSKKRLSLPNNGTVGGGVGKGATNGRANHSMSSKNAAKGSSKLELKQQRPNPPNTTAKRDSES >OB12G26000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14569410:14570961:-1 gene:OB12G26000 transcript:OB12G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGERDSAAIAEEEEEEAGDLEPFFYDEAAAVAEAAAAAERRRLQEEEEAREKEARAGRLAAHNAVMELITETDPETNIVFYNRYHEEDFSQFDIDEVSPLPPMRFTATDYRPGEAKMYTTNMVNVLAVRIVPDDGDAVPFPIAVYGSLIVRDDLDRKCLPLFRRSRDDPQLIASKDDSLILTGPQRGLVLEDALYFEFDLKLKGDQVGGEDRQLSKGLLWFNGVYIETNLLLEQKLLTAVRGVTLDRCRRLNRLYPVQVMYAFVSDAVEATVSVQVLQGHFFYGKITACTSRVKDSILLYDSSLVAGGAAMAAHQGNDHFASVRLLRPVMAVCLHEMLIISILAQVDVTKYTRRTIHFKPAVNGGHETQITCGVNSLLVKVNWSLMYPAGK >OB12G26010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14574317:14578447:-1 gene:OB12G26010 transcript:OB12G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDEQPAVVAAAAEGEQKEVVSVEMPAPQGWTKKFTPQRGGRFEIVFVSPTGEEVKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATEDEEAKDAEAEKEAPSEDAAKDTDVEKPTEEAKEAPSEDAAKDTDVETKTSEDASKTADADAPAPVPAVAENEYGKPADSENAPPAPSEGEKKEGDKPAELEAAAPATEQPAAKAAAAAPVENSADKGPHQDSQPPAAAKESSSPVNNGQLPAGASAVKCT >OB12G26020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14581414:14586003:-1 gene:OB12G26020 transcript:OB12G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNRTRLVAPAAAVAWEEEFERVETFPATSHRKAAAAAFHPWELAFSVFNDSNKGPKGELVLGTASVNLAEYTSSVEEVEIILPLSVPNGASESSPSLHLTLSLVELGPPHQSPDASQRSVATAPLSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVLTRRSKKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDEDLDNRERDGDLAGSAVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHQRSEAGYSVEQEASSTAAEPVVSISRKSLLPWRKKRKLNLRLLKVLKNKGEPLLKKGNVEEGGDDIDYDRRLLTTSDDHTLEGSSDSSTNSMVSIFGDDNFVVGNWESKEVLSRDGHLKLSTHVFFASIDQRSERAAGESACTALVAVIADWFEANQDLMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVAPNKSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCNQAYILKFDDNTTIHKVPAEKKESNADSSGRLKDSSDSSSTDQDSGTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIIASTPLHHRLQIEFHYTESCPEIPPPAPLPTFEAPFEFSWPEPPAAMEVTLAPTVAVI >OB12G26030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14599126:14605507:1 gene:OB12G26030 transcript:OB12G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSMFISDQAFTLRRADDLVQPHDASAPAAPSSSRAYALVAADLFARARAWHTDSGKNGGKNSLFPEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTADSEPVHIWDFSGRTTFILMNEWNRGPQDTRSSDQEMPLEIHFFDLSEPMANGSNGKKDELSLTMSRSMSNGSIMGMDLDSSGSSKQVGTGLTGLDNLGNTCFMNSAVQCLTHTSKLVDYFLGDFYKEINPHNPLGMKGELAYAFGDLLRKLWALDRTPVAPRQFKGKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYYEAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGITGPSPYTVSVPKSGDTKTLIDALSIACSLRGDERLLVAEVYNSSLIRYLEEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKTFGTPLVSSLPDTINGSAISDLFLKIMTPFLVSKDDSSDADHIIGKSSPVDETADVDMNSDATESTSINNNACEDETMTEDGMQFYLINERFPDQRMKIEMDQPIRLTGSQKRLHVVVCWQDNGLEQYNFGSLDNLPEIYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGNRGQQMSNHYQLYAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPISEESIKTSAAYVLFYRRIQDDDRLDTETGIDSSDCTT >OB12G26040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14608029:14611394:1 gene:OB12G26040 transcript:OB12G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:J3NF46] MAGGAAGAADGKKPRHKGKHDKPKPWDDDPNIDHWKIEKFDPSWNEGGMVEVSSFSTLFPQYREKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEISCDIIKIGSIVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSWKGLKQVRRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDRFLPKFKKKNVKQKKLITKEKKPYTPFPPPQQPSKIDIALETGEYFMSDKKKSAKKWQEKLEKQSEKTEENKRKREAAFVPPKEDTSTPYESAKSTSNSDEIADMAKSLKKKAKEFRKNEAQENVRLESYVASNEGLRPKKKHKSSKSK >OB12G26050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14626955:14634828:1 gene:OB12G26050 transcript:OB12G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAEHVCYVHCNFCNTILAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQENNVSGCFVRDQNGYPEFGLSGASSSSKLRLQPPAAAAMVSYSQQNQQLDQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGSKKLVDVDAIPTAPSSKKIQGFYS >OB12G26060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14644194:14649940:1 gene:OB12G26060 transcript:OB12G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62310) TAIR;Acc:AT5G62310] MEQKDSSGGGLARRVMLDGSGREMDSPRFRAILRATSGRRKRATDVKSFSHELNARGPHHPLQQRKPRGCANSPEEFIGAIRTKFIKLKDEVDAELGVFAGDLVGALDKAEGDEERVVLEDLLVVAQRCAEMSPEELWVKCEGIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYIADDDKQHVLGLHQLSDLGLYPFQVAGGSGELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVSSGDTGDSPGSGGKISSWKKLPSPAEKNLRKSTESITAAAAAEEKTTPTKKKAITRNKAAVDEIVERIDAASIHPDGLSYLADSAVKLEVPSQYPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVMACFEAKSPHIAECNHHETARGSTSSLTESDGSIDHDNLSHLLTLPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIESIKNYEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDANTESLGPIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHLKLTDFGLSKVGLINSTDDLSGPDVSSVLLGDHQPTDAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLSENPVQRLGATGAGEVKAHPFFKDVNWDMLARQKAAFIPYTDDEYDTSYFACRHTWDDQVNVASNEYDDRSETSSMSCGSSPHSDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLMTKHNEDPLQSSKS >OB12G26070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14652536:14653016:-1 gene:OB12G26070 transcript:OB12G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSMGLIAVTLASTGIIIISYSLHRRFHADLRLAVGENKNPRAQQRHQQPQRRERVRRVRFADDVVDPSSNGEEYRRRYIVSLPNPSLSNTASSPSSSSSSSPARPFARPRRVV >OB12G26080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14657609:14662450:1 gene:OB12G26080 transcript:OB12G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMAQAAVQSSLNADPLIGRAGGAPRMKRKTPSELRGEQLKRRISDKPANDQLLHAAPFDRSSNGFRNTEQPKISKYINTRVTEVFPVKKSRNLGKENLKDALQNNEKALKSADAVTTSGFASSPLPCGYGDSAKLDPAVPLHTEAAKPSFKKVEKCSENALRSVSELHVGDEHQSGTNRFDMEKVLKGFGARDAFVASKLTESNIQFGAVPLKSSDVCATEITIPGKRAPLDLTLKTTLQFVSSSSVKWCHKLSTSFGRSSIVGPIAQSYHNGCQNSGCTRPERNKDFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLVKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLEKKQTSNAYLSQSTRGIRSLLRRHGVPFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQTLHIDAMSDVDNTTQSLLSFTGNKSVHGLYDFLLNYKSFLNSLSATDVPVLYSPVPFQNGCLHIPEVKCREMRKADIGLASSGFDAEEPGSTFTSVTGNICYSMEIKDPVLPPWVVSGICAAMCCDANSFDLTMATEPSSMGLNAAFNCIGTASLSKSLPSEGCESLGIPDATLVPSLRSSSSLRRLSYKGGEYIAYTTV >OB12G26090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14663417:14667009:1 gene:OB12G26090 transcript:OB12G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVYASRVMRHRAAGEDLGARRDDDGDGAFWAGGAPRLYDFSQQREQEQKPPPLPVSPAPVPPSPEPTSPVSAAVESVAPCLLTLQCSGVGWGVRKRVRYVGRHLGRHALVGLPAGERAVAAQEDEESSTKAGKKEKSPELEQQEAAEEEENCKDDHKATITTDKKKRRRSRGGHRGRGRSKRPKKGEEDKTLQAPKSEKLEEEEEERPAAASGMVDRWKATRYATAEASLLAILRAHGARAGNAIPRGELRKEARKHIGDTGLLDHLLRHIADKLAPGRADRFRRRHNADGGMVYWLEPAELFAVRREAGVADPYWVPPPGWKLGDPVSPEAYALEAKKQVEQLAAELAGVKRHMEQLTSNVMQVSKGMKSEAEKSHNSWQDKYTCMVKANSNLEKQLLSLEEKYENVRQANGDLEEELLFLKEKYVSVFENNIRLEQQMSALSTSFLSLKEDLLWVNAEEQHMLEKEDDLFVKEPWEEDKQEGDATTVVAAAANQLAVDGDGTTTSSNGTEGRGGSGKRTSRKCSVRISKPQGTFQWPSLPFSAPPSPPLTPTADIASAGANSLANFATMDELCEFMMAGGLPTPPSASSTTNNAGAGKLPAPACTSPVKTAALDAGAGGGNVGTELALATPTY >OB12G26100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14668037:14672408:1 gene:OB12G26100 transcript:OB12G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKISSLCASLTAVLTHADDSSRALSDAISRRPIHLESAASAFLQGLDRRGGGGEPAGADLSRLESMAFGTVSFEELLGHCGEALSISSRHADAIESRLVSFGYAPPEEESEDEGVGEDWDAEKLPEVPGNGCFGGGSSVLRSSVEMAADDDVLFENSMSLKNLGFSDACLATLSSHDSGLTRSTDILYKKPESVADVQESVEHAESVLNSQGNDAQGMIKASKEDYENLPPFMKTLASWEELEEAILKLNSYFGSDKAQGSIALKQDDVGEIGLGRKGRSYLLILLRMNQLTMETIDGSIFYNLRKE >OB12G26110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14670936:14675469:-1 gene:OB12G26110 transcript:OB12G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDGGGRGGGAMRALMRRKQVDSDRVRAAGGHQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPNSLPWFLARHELPWFDVVVDPCAAALVFVVTALLCKGIKESSFVQGVVTILNCFVMLFVIIAGSYIGFQTGWVGYKVSGGFFPYGVNGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCSLYMLVSVVIVGLVPYFAMDPDTPISSAFAKHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNPRTQVPVKSTILTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGPPVDENHEQESSAVQSINDPLIEKKQDATMEEAKRRKAAVCSIASVCVGVVVLTTSASATFLPFLLRCFVCVFGGLLLLAGLGVLCWIDQDDARHKFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEVIYGSSSSSGFVA >OB12G26120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14679644:14683845:-1 gene:OB12G26120 transcript:OB12G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGRGSSRGGAEDDAAAGSGGMEAAPPVKRRRXXXXXXXXXXXXXXXXXXXXXXXXLFQACRAVFRGPGTVPAPAEVDLLCSMLDKMKPEDVGLSAEQEFFTTREEDDGIPLIKNTTLYECENFTMVIFFLPQNAVIPLHDHPGMTVFSKLLIGSLHIRSYDWVDPEPAPSCCNHCKLSMRLAKRVVNGVFTAPCDTSVLYPTTGGNMHRFRARAPCAILDILGPPYSMEDDRDCTYYSAVPYSRHSTKNDATEQHAGVDQEGHRLSWLKETIPRELRMRRIRYGGPPISDE >OB12G26130.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14687947:14692752:-1 gene:OB12G26130 transcript:OB12G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT5G41480) TAIR;Acc:AT5G41480] MLSRFPVSLGRARGLLRGSVRCGGFVSAVAGGGGGGGEDGHLGEFSEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRCLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGESGGPVSAAVLRNIFGHAKEAIDQSIESEDGALTHFEVFTALSYLLFSRENVDIAIVEAGLGGARDATNVIQSTELAASVITTIGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSSDIEQILRDRAFLTQSPIISACDPGVRSITRCIRWDCGKPYQSCDIIIEISNNIPLFIELHDVKIQLLGDHQRQNAVTACCTALCLRELGWDISDSSIRAGLEKTQLPGRSQFLTEEETSVLGLDGASSVLVDGAHTEASAKALSDVIKTVKPRGPLAFVVGMANDKEHFAFAEQLLSGPRPDIVLLTEASIAGGRSRSMPASSLKEVWMTAAHSQGISYSDIGMITGIEAPIIASRRPAATGSSSSDTPMLIGCSSAPFSCDLIRVASRLLQGQADGDTGLICVAGSLHMVSSVLGQLRQ >OB12G26140.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14699321:14705051:1 gene:OB12G26140 transcript:OB12G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVETVPVLVGPVSYLLLSKPAKGVEKSFPLLSLLSSVLPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELEPALSGLNVLVETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLASLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKANKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHDFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSAEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >OB12G26150.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14705587:14707049:-1 gene:OB12G26150 transcript:OB12G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRAIAYEEVEPVVEWRQVGDDRDVVEISLPGFRKEQVRVQVDNHGVLRATGERPAAARGGRWVRFKKDLRLPENCDADGVRARFEDHKLIITLPLVVPDTRKEVQEVARVGAPAAEEKETKEQPPPSTASGTRTEAENERRLLVNMAAAAAVLVGIVVAVWRTLSS >OB12G26160.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14712562:14713903:1 gene:OB12G26160 transcript:OB12G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGDHGARCRYVRKHPPCAPEGYVDYLRLLYCGFGREPWLGYAVLALWLLVLFYLLGDTASEYFCASLEGLSSVLRLPPAIAGVTLLSLGNGAPDVLSSVVAFASGGEEAGDVGLSGARGPVTIERRGFVRDVCFLLVALGYLLAVLLTGAITVWAAASFLSLYAGYVLLVWTSHCCAASAEEEEAIKHPVSSLVAPFLLDDDGDASPPPLPVSYKPAVAAPRTFARRLIDLLHSPLYLPRRLTVPDIAVHRWSKPNAVASALLSPLLLAAISLPTTPVIILSAALAGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGVRGRGVPRRRAGVGAGGAAGEGDEAGRGARRGPPRHLLRLPLRQARHSHAIALIIKQNIYY >OB12G26170.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14716579:14719681:-1 gene:OB12G26170 transcript:OB12G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMIDDEWDIVYEMISSVDGTGLEMGSLMMDPLSSKAAFNGLRLFVKDLDSNTLPPFLARVCDPVKPCSYSDDEMLCIFETAAQAHGRKIVLHVGLIVSTLIRIISSSVAVSLQNASGCSKAVCTLSRYVVDPLATEEEKTGIFGSICRPLSDCLMSTEESISFGSALCVAALVESTNWRFASNELVNDVCLKVSGALQEVHGQTVAHLNLVVALSKQNPLTLEPYGRSLIRSGLHILDESAKASSPQMIVSSIQMIHSIMKSCIISSEINSIIHAMEQFQDDSVTDVSIAAFQACETARLLDRQESGHGNNLSQLGNYSVRHTRKGPHSHPDMDIRDDSSCDSHSCEVRSVHLSTDYDSRHSMGQCVDVSGSRSARRRLWSNKSDKSHGISNYDFFQTVKPDNHDVSGLMAHSNSVDPLKPGRRFSDVPTRVVDQCYVCSTAHATSHCSQISKVQVLSGDIRMKSTPRKQLHSCTFCRDSERDGRPVPESPAIQHCSGPCLNILQFKKNSEFEERREDVDPFQQEYQYYMQNTDVLIEDLKLPADNDESFDAAAKSPCQECQDVNEKKTGGKKRNESHSRYPVFVFVCVVAIVALLFCWWKEDYTELYVIPT >OB12G26180.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14721223:14724047:1 gene:OB12G26180 transcript:OB12G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDVHPPSAPASSLADLFPHQPAVESDGSGIEWLSVYVEDCLSTSASCTNPVSAELAPITMASQGTAKPKLPPRPSSHARKRKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEGLVQQQEQEEDEELVQQQEQEEEELAINCSYCLSSQSPQWWDGPSGPTCDACRLRIEAENGLTTISKKRYGQEIDKEQEIEKRRDKKKIKKAVYVNDELPPEEPVKRCTHCMSYKTPQWRTGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRQSVSHPGK >OB12G26190.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14724583:14724747:1 gene:OB12G26190 transcript:OB12G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKERSIGSLSAVLLSCWSLFLVAHGWSSSAIAMLTIAILPTIRAPLIIYHYVLV >OB12G26200.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14726154:14730706:-1 gene:OB12G26200 transcript:OB12G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:J3NF62] MAANAVQVQRTPSPIRSTYTAPRWAPHAVRQPTVDRACHGPPLLLYKTSPRPASSESRHFFFAVEHGRRKKKKDCLFAVRSVLDGMGEASPAIAKDVTELIGNTPLVYLNRVTEGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLIIPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTPNSFILQQFENPANPKIHYETTGPEIWKNTGGKIDGFVSGIGTGGTITGAGRYLREQNPNIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVDLIDETVQVSSDEAIEIAKALALKEGLLVGISSGAAAAAAVRLASRPENAGKLFVVVFPSFGERYLSSVLFQTIKKEAENMVVEP >OB12G26210.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14738031:14738938:-1 gene:OB12G26210 transcript:OB12G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQAQTVRRPGDVYPSSAADRHSAXRHSALSAAAAASPHDGALHVTQADLPRQAPRHRHRRRTGDGSVHPAGAGHRGGGGDRRGHHRRGAGGGGLGGRQARRPCRRGGAAGR >OB12G26220.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14756519:14759775:1 gene:OB12G26220 transcript:OB12G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALADTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASAESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEDDIFRGKVTDKWRKFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVNKAKKLLSLPVAYARAAVAS >OB12G26230.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14760866:14761856:1 gene:OB12G26230 transcript:OB12G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVGSGGGASGADAAPHKMAVCHLTQVFAPPQAGVCRPAPALPFPFESVAHHSRLGLLHLALCRSCEDFREPPLEELKAVDASVNGWLREQLTSTLSALNAPDDLFNFFDKLVVRFVLWEEVLSPQHCMLRTNLQTDEYSLLCHAFL >OB12G26240.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14763395:14764075:1 gene:OB12G26240 transcript:OB12G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGHGGLELRARAHIVLAKCYLSDPKFSVYEDPSAVLDPLNQAAEDLEVLEEDAAASFKQHRLALDNPYKEEDRFTDMLTLSSEMAS >OB12G26250.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14765840:14766191:-1 gene:OB12G26250 transcript:OB12G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMIRRLSRVGDCSSPSSSLPFSPAPRRGGGGGKRSSSSHEGVPEGHVPVYVVGGGEGGAAERVXXXXXXXXXXXRRAAQEYGYDHHGPLRIPCHPDVFRAALAAAGDEDDVDSE >OB12G26260.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14772208:14772534:1 gene:OB12G26260 transcript:OB12G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVPHEQQQEQRAWSRTGGAAGPAADDDDDDVEVDHYGMLNFQPGFGGGDDDDCFPYETITICAVLVFLAMCLYGLSKLVLPYVPATTSVHRGFLMLRMHITLLSS >OB12G26270.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14775047:14776458:-1 gene:OB12G26270 transcript:OB12G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSRANASSGIGVAAECRQTFLELQRKKAHRYVVFKIDDKGKEVVVDKAGAATESFDDFIDSLPEADCRYAIYDFDFVTQENCQKSKIFFVAWSPSVSRIRAKMLYATSKERFRRELDGVHYEIQATDPSELDIDVLRDRAH >OB12G26280.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14783945:14784919:1 gene:OB12G26280 transcript:OB12G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDAQPAEAPHPRPPRPPPRLPPPAGTRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPRPLAAETATELPDSCGRGAVSPIREVESSAATPRLSFADSDKGSEVSISVSVSSIRTDERSELDGGDSTSHPTRRKEKRRWLRKLIAKKRNSEKPKEEKESSLVSSHGELNQPDAAGLVVGNAAEQPEAEQQQSPAEGPRGGALSLAAVVLVGLVAGKLPAVAVTVLCAVFFSSVERRAHQLA >OB12G26290.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14789690:14798984:1 gene:OB12G26290 transcript:OB12G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:J3NF71] MVAEAFTVDLNKPLVFQVGHLGDQYQEWVHQPIVSKEGPRLFGNDALENFGHGILIKQLVFQFLTRTEWWAIPLIWLPVFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSDPGKHLKELYEYTSMAAVSPDAVTKEMEVLSVEQNPQAKNVVNAETHATSSKDSKVADSNGSHSSPPEDDDDDEAQGDGPSQDGGSEAAKKKKKKSKSKKKKGPPQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >OB12G26300.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14799570:14800106:-1 gene:OB12G26300 transcript:OB12G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASSAVLAVVLVATLAAGANAATFTITNRCSFTVWPAATPVGGGVQLNPGQTWTVNVPAGTSSGRVWGRTGCSFDGAGRGSCATGDCGGALSCSQSGRPPMTLAEFTLGGGGRQDFYNLSVIDGFNVGMSFSCSSGVGVTCRERSCGDAFQFPSDNSKVHACNGNSNYQVVFCP >OB12G26310.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14801914:14804012:1 gene:OB12G26310 transcript:OB12G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTQRNIFLACIYHALLSHKIYHLLLWEEDADLSWDFGGRAQLGVVVGEVVRVQAVPDPAGERLAGAAGEAHGDVEPVDHRQVVVVLVAAERELRQRQRRPPGEGRPATAPPQSPHVDQGLRQAIDEFSLGNGYWRHDQLSLKLLTKI >OB12G26320.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14803237:14803644:-1 gene:OB12G26320 transcript:OB12G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAGCGAELAAPSTAPAAGGASPATAAARWRGGPLRVAAADVGGVHARRRQGLLQPVGDRRVQRPHELLLQLRRDAHLPGQGLPGRVPLPRRQLQAALLQRQQQLPSRLLPLVVMTTMTYSSCMQLGALALLPYI >OB12G26330.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14806960:14807457:-1 gene:OB12G26330 transcript:OB12G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAATSAVLAVVLVATLAAGANAATFTITNQCSFTVWPAATPVGGGAQLEPGRTWAIDVPAGTSSGRVWGRTGCSFDAAADDRGVHARRRQGLLQPVGDRRVQRRRELLLQLRRDAHLPGEELPRRVPVPRRQLQAALLQRQQQLPSRLLPLVVMTTMTYSF >OB12G26340.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14811503:14813071:1 gene:OB12G26340 transcript:OB12G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRALVFLLGVVAVVCGVDAVTITVVNRCSYTVWPGALPGGGVRLDPGRSWTLNVPSGTKAARIWPRTGCSFDGSGRAPPGPARXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRGRCVTGDCRNALACSVSGTPPTTLAEYTLGTPGVGDSTDYFDLSVIDGFNVPMSFQPASNGERCSRRRGPTCAVDITAQCLPELRVAGGCDSACGRFGGDTYCCRGQYEHNCPPTKYSKFFKEKCPDAYSYAKDDQSSTFTCPAGTNYQIVMCP >OB12G26350.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14817722:14818426:1 gene:OB12G26350 transcript:OB12G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIIALVSSSLLLAAVVDAANITMVNRCSYTPTSGGAGCSKGRGPSCAVDITARCLPELQVPGGCASACGKFGGDAYCCRGQFERECPPTSYSIFFKQLCPDAYSYAKDDQTSTFTCPAGTDYRIEFCPPTSAVTTGEHEDEDVAGEIASA >OB12G26360.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14830851:14834718:-1 gene:OB12G26360 transcript:OB12G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45170) TAIR;Acc:AT5G45170] MAAARCLLLRASPPAPTPREISKASSSSSSSAAASLPLRSAVPPSSSRRVRPLRCSSSSSSSSSSSQGSASPDIALLLEVEGVLADVYRFANRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMLLLFFNRIGWPTSLPTNEKESFIKSVLREKLKALEVFSASDSLPLRPGVEKFIDDALNEGVPVAILTTYGRNGEKTSRSIIEKLGQERTSKLHIIGQEDVERSLYGQLVLGEGIASSLDEQLVREAQKAASAEKQRIAEEVASILKLSVDINAASESSEKIIITLRAGSEYVGRDVQNCILVAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >OB12G26370.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14836384:14838611:-1 gene:OB12G26370 transcript:OB12G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKRLERIIKESGSPGSAGTGSAALAGVEEDLQDDDLVFPGFRFHPTDQELVRFYLTRKVEKKPFSIDIIKELDIYKHDPWDLPKASHGVVLQGSSSTTEKECRYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIFSLTGAGGDCIGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSSSPAPDHPAAANEIWTICRIFKRSTSYTKGRAAAASMNKRSHHHQLQHMQHIQQQQHYYHHDHYRRHYASMAAATNSVAVESSDAETTRSQLPQPYCHVDLADIRHHRQSAPFMLDFHAGASSSSSLSSTGWSELVSLRDGGGSSGNSSWDELGRIMEISTNSPN >OB12G26380.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14847154:14850807:1 gene:OB12G26380 transcript:OB12G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAVVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPAEEAKTYAQENGLFFMETSAKTAINVNDIFHEIAKRLLQGQQAQEAPAGMVLNQRPAERMVSSSSCCS >OB12G26390.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14851102:14855418:-1 gene:OB12G26390 transcript:OB12G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19670) TAIR;Acc:AT4G19670] MEERLPDAEGGVTCNLRGEDDFRSCCGDEDEWEDTEESFTASVAKGELDEASVRLFFKGVSTSEAEGKKQSGIGVVMERSAGVPMLQVQKKLDFYVDELVADHLALMDGLSVALQNGIRKIFAFTDSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDIILLPGFELERPLQLAKEAIGIMYLSPYEVGTCPICCEEKRGAQMIKMGCSHTFCYSCLNAYAQEKLQASKIPIRCPQLRCKYHISLSECKSFIPVSCYDSLERAFAEAGTPDMERFYCPFPNCSVLLDLSQHFSRASSSSQSDLNCVECPECHRDICINCGVPWHIMMGCDEYRSLPVDERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMNCWCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEASSAAHSTQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLSGGFNLGEPPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >OB12G26400.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14854511:14854987:1 gene:OB12G26400 transcript:OB12G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKCWSFRRLSDCSSYERRSIISHPRRVHVNHRRNELQSPVCNTENSDQNHQLSETNRLLLELTEILARLLKATKEKKGKKTPRQKCLAPESNSKFCGKKITEADLENTSIWTPRARKITREQQEKTLPHQLPWQAQQTQQNSQGKKRRVRIRTHNPIG >OB12G26410.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14862829:14865409:1 gene:OB12G26410 transcript:OB12G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSSSDDWVMLDCDNPADSDGDDVILALSSSCATPTCCSDDDDMEETDADDDGFFAEELEEDPPLARPLSGLFYHTASTKEPGYLAFDALRGAKQLIPDPRFSAFLEPVAVLASTRGLVCVRGESSGCYYVANPATFRRVRLPRHTRDHSAFGIPAVVLTFEENATPIEHFHVVVAFNIEGGVWAFESFSSRTWEWRVSSEISVVEQVVPTSGVGALGRAFWRTSIGYILCYDPEKGYSDVLPAPQEVETQPLWELGEMEGKLCVTCMDERITEVVVLCLNMDHLLDGEPAWSWAGQFEGGRLRNREGAELLRSQGAAEVVMWDPTEERIVVMDLDGRTTRTIGPLSDEDYSAGFIPFVASVTEIASEQLSAKHRISAADANTPNLGADASTLNFAASAAQVH >OB12G26420.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14867730:14868872:-1 gene:OB12G26420 transcript:OB12G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVIGIRLGLVQAHDCKTADYLSVNVVAQFHRNGKPVVTHQSGGLEEIAALTNIVYRDEGLEEASPQSPIGIARGAMAINMEDRRVG >OB12G26430.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14868631:14872675:-1 gene:OB12G26430 transcript:OB12G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G49555) TAIR;Acc:AT5G49555] MDFVIDSPPPELRHEYHQSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGTMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVKIVTNAEVSQILVHPTSGRVQGVALADGTEVPASIVLSNATPYKTFVDLVPANVLPYDFLSAIRTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWKDSSVRKSFAERCFSLIDEYALGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDFRTK >OB12G26440.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14877031:14877875:1 gene:OB12G26440 transcript:OB12G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTFGEILESKIINDRETGRSRGFGFVTFSNEQAMRDAIEGMNGKELDGRNITXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAATPTGTGGTEWWGPRGQLSCYRCLPSHHHHPIASYPF >OB12G26450.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14894412:14898901:1 gene:OB12G26450 transcript:OB12G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSFFMQWAMDTLHQLPSDAAAPAYATDGGDGASFPSLQALRNGASASHGTAGFRDLTVQVDNVHRANSWSSRASPGGAATAAGWSPHLTGGSSSARGSRPMSWNFSAASAQPTTDDSAGGGGGGVPAPPLEPARAAGKKGGGGSSSGHAAAAPGYGPDPIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVKELQEKVKSMEDGGGGGRSAMVVRKQCRQQQLQSSDGGTSRLPEIEARLSEKSVLLRIHCGNARGMLVRLLSEVEELRLAITHTSVMPFPASTVIITITAKVEEGFNSTVEEIVRRLDSALLHQYNNTNSSTTEETEEIIKKRD >OB12G26460.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14901377:14906396:-1 gene:OB12G26460 transcript:OB12G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:J3NF88] MEEQPQAHAAAAAAAARRMERLASHLRPAASQMEESPLLRGSSCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTSGVTADISHMNTGAVVRGFLGQPQLENALTGMDVVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNALVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVVGGHAGVTILPLLSQVNPPCSFTSEEISYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVTELPFFASKVRLGRCGIEEILSLGPLTESERAGLEKAKKELAESIQKGVAFISK >OB12G26470.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14915449:14918441:1 gene:OB12G26470 transcript:OB12G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLPLLLLLVGLHGTAAAGAVSEVSALMAFKNSLTIPPTAANFFSRWDAAAASPCNFTGVDCGNSGGSSVTAVAVDGLGVGATSVPFDVLCGSLPSLVRLSLASNAFAGGIDGVVRCTGLEVLDLAFNIFSGPVPDLSPLTKLQRLNVSQNSFTGAFPWAALASMPDLVVLAAGDNGFFEPTEVFPPQITALTNLTVLYLSAASIGGVIPPEIGSLVKLVDLELSDNMLTGEIPPEITKLTNLMQLELYNNSLHGELPPGFGKLSKLQFFDASMNQLTGDLSELRSLTQLVSLQLFYNGFTGNVPPEFGDFKELVNLSLYNNNLTGELPQNLGSWAEFNFIDVSTNALSGPIPPYMCKRGTMSMLLMLENKFSGEIPATYANCTTLRRFRVNKNSMSGDVPDGLWALPNVDIMDLAENQFTGGISDGIGKAASLGSLNLAGNSLSIARNAISGPIPASLGTCLSLNTVNFTGNKLAGAIPSELGSLPRLNSLDVSGNDLSGAVPASLAALKLSNLNLSDNQLVGPLPEPLAIPAYSESFRGNPGLCATNRVDFLRRCSPGAGGRSAGTARTVVTCLLAGLAVVLAALGAVMYITKRRRAEAEAAMARGSGGKVFGKKGSWDLKSFRVLAFDEHEAIVHRDVKSSNILLDESFKPRIADFGLAKILDGAATADTTPGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRTPIMAERGGESIDIVDWVARRLDVGGWVARRLDSRDKVVSLLDAGIGEEWAREEAVRVLRVAVLCTSRTPSMRPSMRSVVQMLEAAAIGREFTTLTSVKVKMIQ >OB12G26480.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14924735:14927928:1 gene:OB12G26480 transcript:OB12G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G49660) TAIR;Acc:AT5G49660] MHLSLSTSRTTKQRERSQKEEEEEQSYHLCLHVMVINLSSPPTLLLLWCVAFLVVAGDGGAAVAEAALDAQATYLSQMKQEFAGPAMARWDFSAPAVDYCRFQGIGCDGSGNVTAIDVTSWRLSGRLPAGVRLGYNDIRGGFPAGLLNCTSLEVLNLSYAGVSGAVPDLSPMRALKVLDMSDNYFSGAFPTSIANVTTLEVINFNENPGFDIWRPPESLTALTGLRVLILSTSSMRGGIPAWLGNMTSLTDLELSGNFLTGRIPLSLARLPNLQLLELYYNQLVGVVPAELGNLTQLTDIDLSENQLTGGIPESLCRLPRLRVLQMYTNKLTGVIPAVLGNSTQLRILSVYRNQLTGELPGDLGRYSGFNVLEVSENQLTGSLPPYACSNGQLQYILVLSNLFTGAIPESYAACRPLLRFRVSNNHLEGDVPAGIFALPHASIIDLSYNHLTGPVPATVAGATNLTSLFASNNRMSGVLPPEIAGATTLVKIDLSNNQIGGPIPEAVGRLSRLNQLSLQGNRLNGSIPATLADLRSLNVLNLSNNALAGEIPESLCTLLPNSLDFSNNNLSGAVPLQLIREGLLESVAGNPGLCVAFRLNLTDPALPLRWVLRARQDAEHDGVPTSPASSSSYDVTSFHKLSFEQHEIVEALIDKNIVGHGGSGTVYKIELSNGELVAVKKLWVSRRSKQEQHNGGGGGCLDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWDALHGGGWGFGFLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGDRDASTTPIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGETRDIVQWVSGKVGAGAEADALDKRLEWSPFKEEMVQAVRVAVRCTCSIPALRPTMGDVVQMLAEAGRTANYKDPKL >OB12G26490.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14931146:14936528:-1 gene:OB12G26490 transcript:OB12G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTNMTEVHGRTFGVWTLLTCTLCFLCAFNLENRPLYLATFLSFVYALGHFLTEYLIYNTMAVANLSTVGFFAVIMPSHQLYFVKRDIDCMDAASMEFSWEIAWFSSCEAVIRKMYQTRRRVYDATERISLVSSFMASLLVGGYACIDQTDGAGMNLMDIATRELRSDALEATAPNLQDKIGNLAPAYAVAGTLSPYFVQRFQFRSNCLVIQWSGDNPNSLAGLTLSDPGDLAISLGTSDTVFGITDSPEPSLDGNILPNPVDPKTYMVMLCYKNGSLTREDVRNHYAERSWDVFNKHLEKTAPLNGGKLGYYFKEPEILPPLPVGFHRYIVNSLASGSLDEMVEHEINQFDPPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNQIILKTMASIFGCAVYTVERPDSASLGAALRAAHGWTCNQQGKFVPVSYLHSGRLNRTSLKLAAPFGDSEEDIDLLNNYTLLMKKRLEIEQKLVERFGRHS >OB12G26500.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14939959:14940396:-1 gene:OB12G26500 transcript:OB12G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAALMIIIAFLLQLLLPVQVLATTTGAITHQQATKMNGGVQREEEEVGSRPPSCEGRCRSCGHCQAVQVPVNTTTTTTTQQEEEEAAAAAYADHSNYKPLSWRCKCRLNS >OB12G26510.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14942082:14942890:-1 gene:OB12G26510 transcript:OB12G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGYPGAGDGDASRSGXXXXXXPVTATPAAVSSQQPSDQYAPATATPAAVSTSPSKPATPAAQVSTSPSKPYPPVTSSPSSKAKPSAEEAKDGLNEKSINDIVKEHNVFRYREKVPPIEWNATLATYAQKYAEKRRGDCKLEHSTGPYGENMMFGTGKEWTWKKTVDDWSNEKKSYDYNSNSCQAGKQCAHYTAVVWRNTTGVGCGRVVCSSGDTIMVCSYWPPGNYENVKPF >OB12G26520.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14950902:14955307:-1 gene:OB12G26520 transcript:OB12G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAQEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSIRIFSLAALLCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVEVRSRWLWVHCVALYIISGVACILLYLEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKATKESCSNAVDDFFTKYHASSYLFHQVVYKVGKVQKIMTGAKRAYKKFKHFTDATVDQSCKAISYRCCLCGASSNSFQLLPTGLEQNQGKSDLQDSSFKLDDQECAAAFVYFRTRYAALVASEILQTSNPMKWVTDLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMFLFLIPVTFIQGLSQLEQLQQKLPFLKGILEKRYMSQLVTGYLPSVILQIFLYTVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNVFFVNVLSGTVISQLNVLSSPKDIPVQLAKAIPGQATFFITYVLTSGWASLSSEVMQLFGLIWNFLRKYILRMREDTEFVPSFPYHTEVPKVMLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCRNRLLPLFKTSPAQDLIDMDREDERSGRMDEIHRRLHSAYCQFHDSEDVSLEKIQIVGRDEDQGCSSGKSNGKETCEEEPKVELSHPTLNGLPVSRLRHAVRSITFLVRLQKRGLSE >OB12G26530.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14958343:14959201:-1 gene:OB12G26530 transcript:OB12G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAVDDEKPVVLVTGCAKGGIGYEYCQAFSSLGCRVVATDAGSATDAGVFARHVARRVMSPRPPREIVYGSMTALFAALAAAPLWARDAFFANRFGLAKMMR >OB12G26540.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14967225:14967665:1 gene:OB12G26540 transcript:OB12G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEEAAAAPVTVFSWEKELRVSKQTTAAVGGRDGGMPDSPRKAPPARRLSVPPPPGRLPSNKSFSRARAVRPEDDPFLAAYLACTKSTGSGGGGSFAREARGQRRFTWAGLGLGLGLSCKSSNAVVEGSMVKKAKLPEVHPKDA >OB12G26550.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14968991:14970169:-1 gene:OB12G26550 transcript:OB12G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLTYPRSGRMVAPGTIQKNDKNAISVDGQMLNDYVDILVNTIFNQHTLMPRVYGMITTLGKAQACCIPWPRGNLMHPGGQALHCKVSAITRQISSNQGEKSANQNLALKNKCLTVHCKVWLENVGDEDTYFKTKNLGNVGDEEIKHIKCFSRVRLHDIFNLRSSVPGNVLMQAILKSVSVEKPCLETWFLS >OB12G26560.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14971107:14976771:-1 gene:OB12G26560 transcript:OB12G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVDMAGLSDPALEAFLADIGFGVAPVTEASAPAPSPRDQGTAEPAVDDVDDQDEAERRRRLRRRISNRESARRLSRGKGWHCSARCRLKRGYHGAHILQNSASASGESMAMGDGRRLSELLQEQQEPFLPCRRRLLGLAFRPRRAAVRKRPALGLGAAVFCGSAVRRALLASCFSCGARESFRRLRRAGGIASDCDDDDDEEVECARQLSPVSVLDMDMDMHSDEESSHVLGGRKPSSASRFQLCSLQFRLSFLDTGEEDESPSTSGKSSPPPPPEHGSPCLTLYEGGKNGQTETGEEYKKTRSSKLEEQVIISSWERIAGDISRIPMLVQLDLTGSARQWRRPREEEALQVGASIEAMIFEEMRVEAVHDMLL >OB12G26570.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14981291:14981692:-1 gene:OB12G26570 transcript:OB12G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRATASSSSSPRCASFACRRGDHAHAHALGRACGRPLGRAAGFVGSGIAAAFFASLEGCYCVEILTDDDDDDHQPLDGASRSRSRDVSEAAPLLPRATAAKKSRQTTAAAGKGKISSWGGFGCCENTNTAN >OB12G26580.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14984359:14984739:1 gene:OB12G26580 transcript:OB12G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXGPGGGYSRGGVEVPTVVCSDKGPCYGKKVACPSKCFWSYSRSGNGYGAGGGGGSCSIDCKTKCTATC >OB12G26590.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14984680:14987493:-1 gene:OB12G26590 transcript:OB12G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRRRRQRPAPDAPLPPGTPNDTGANPVYNLDEPTMEEKLATLKGGAGRAGGADEEPPLPVLLPPSADSVHVLLKQALRADDHASLLSCLYNKDHKVIVNSISLLTPADALKLLKFFVLLVQSRGAVLVCLLPWLQNLLSKHMSSIVSQESSLLLLNSLYQLIDARTSTFKSSLQLSTSLDCLFSEIVDDESEEEEGSPLIIYEDKDTDDEESEVDDMEINGESDGLCGVTDASEHSDGSEVMSD >OB12G26600.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14988847:14991465:-1 gene:OB12G26600 transcript:OB12G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMSLPDLLEQHLGDDKDSWPPEACLLLAAHDGNLRRIKEVAATLDDDGVGIAAAVASTTFHGMNALHAAHELPVYRYLLDVANMDVNKADDTPGRKTPLERAVAGGDLPVRYLIDHGADIHHESGGIQGNITALHSAAKKGRTEILKLLLSRGAHVDGKSDRGTPLHFAAGKGYESSVKVLLEHHADPNKAVPSQATPLAAALFATSLPCVKLLIQAGADVNATNNPLALAAESGLTEAIKLLLEAGANPNCPDVVSLRSVVFAGFAWATKKRLWMM >OB12G26610.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14994164:14994676:-1 gene:OB12G26610 transcript:OB12G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHARASIALHCIAYISSPPPLLLLPLHFFLTDSCFCRLYYNQPIKINGPAPRRTLGPAARGGAQGGAEAAVQALARGSVVRAAAAAQGVPRRAEGVQGARPEAHRRPGAPAAPAGPVRVRRADAATALAPRCRGGAALRAVVRLPHAHGRAARRRPWRPHMRRRPRP >OB12G26620.1 pep chromosome:Oryza_brachyantha.v1.4b:12:14999468:15001120:1 gene:OB12G26620 transcript:OB12G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGAAMVVTVNGNGKDSSFPSHARAQPTPPPPGPLKPLLLFAVLAIAFLAAALLLLGGGGASSSYYGLPRLTVPDVLSAAARQRCAKQQQEEEEGLRVAATLERWTRPAAASAWHNMSDEELLWAASMEPRVRRDPPPKVAFMFLTRGPLPLAPLWERFFNGSSRELFSVYVHSTPGYQPDFPPASVFYRRQVPSKVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYRYLTTPRHSFVGAFVDPGPHGRGRYRPGLAPEVSPEQWRKGAQWFEVGRDLAVFVVSDERYYPKFRELCRPPCYIDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGAHAGEAFFRKVAAEQRCLYNGQPSEVCFLFARKFAPSALQPLLALPPTLLGY >OB12G26630.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15003251:15004081:-1 gene:OB12G26630 transcript:OB12G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAYWLAEHPAIVSFRWSPTGLWFSTWAFLLGFLASYVALCLALDAILAAALRRRRPLTLGPVPAAHALLMAAVSACALLGCNLLCHVGVVWMHFGGVAGGGCSGIGAWVFNTLLNAALLWVFLHCYGKRGVCDDDGGTTAARKDL >OB12G26640.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15005644:15005835:-1 gene:OB12G26640 transcript:OB12G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRISFLFFRYYASVTTIPSSLKSVTNLVLIDIFILDLPFNLQRKYLPCYKYSFFSLNNENGSH >OB12G26650.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15013616:15014335:1 gene:OB12G26650 transcript:OB12G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSGGGDAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPSRPAGQRPATAPQADPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHGHGHEHAFHGGHAHAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >OB12G26660.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15015312:15020672:1 gene:OB12G26660 transcript:OB12G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLSAYHGDVRNIKRKAKVLDEDGKGIEATVANTSFLGMNALHALSWLGKVPAYQYFVEEVKMDVTKPDTIQGYTPLEHAVSNGRLPAIRYLLGHGADLHQVRSKGNVTLLHAAAVKGYSEVVRFLLSRGVDVNAESVMGTPLTLATYRGYDSTVKILLEHNADPNKESNSLFGAPLDMALTCSSVSCVKLLVQAGADVKVEGPHNHSVRAAEKGLTEAIKCFLEAGATPTVPDMFGRMPIELAAEYGTEDVEILFPFSSAIPTVANWSVDGIINHVQSEIKQLEDDKFVKKRKSDLKQQGDAAFKKQDYLNASVFYTQALKVDKFDGTLFSNRSLCWLRMGDGQRALDDANACKRLRPKWAKSHYRQGAALMFLKDYDKASTALSQALELDPESEEIENLYCEVYLWVRYYKILGTLEVACIFSGCCFAPWSVWNCGVDSCMPNYREKLTQNWEPLWCYERWMDAAQTRLFAAFVYIEYLGG >OB12G26670.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15023778:15026771:-1 gene:OB12G26670 transcript:OB12G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGSGHPSTMSQDPSARESDMLASHQGQRSFGHVKDMKNEMLMHMMDGAQGGGSELIHDDAQTGSHLEFGVLNNHSSSSVPSVQGQGLSLSLNTQMMAPSLPYWSIKPDMLTPQSYHDSLRSDDIRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKEKAQKEKAESGKADGKETDGGPKGEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDMVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEEKEDIKNSRARICETSQLSEGRTSIGTMNVGGAPIGFQNEANPDDSFMNLMLKDQRSNEADGGLLLHNTVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSGSNLGVPNAEPSFPGVSEDDIYNATAPLGVTVASSDYDSLNQMDQRQRFEHSPLLHDFVA >OB12G26680.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15040515:15042483:-1 gene:OB12G26680 transcript:OB12G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51000) TAIR;Acc:AT3G51000] MGTAGEVRHWNADVNGISLHVADQGPADGPAVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSTAPDDPAAYTIFHIVGDVVAVLDHLGLPEVLVVGHDLGAQVAWQLCLFRPDMVRAVVTIGVPFFPRGPHPPSDAFAARDGLYIMQFQEPGRAERAFARYDVATVLKKFYSIEIDDLTAPPGVEIIDFLEARSSPLPWITEEELGQYAEKFQKSGFTGPINYYRVMDTNWRLTAPWQNAKIMVPAKFICGDKDMGLKSFGTEHFIKSGAFKSFVPNLEVVTIEGHHFLQQEQAERVNSEILSYLDRFTGEKA >OB12G26690.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15043937:15048261:-1 gene:OB12G26690 transcript:OB12G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPPIKALSKIACNRLQKELAEWQFSAPTGFNYKVSDNLQRWVIEVTGAAGTLYAGETYQLQADFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTLSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKV >OB12G26700.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15050491:15052066:-1 gene:OB12G26700 transcript:OB12G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NFB2] MAAVAVVTLLLLLAVASVARGGVTSTYRRRLQALPDMPLDADVFRPPAGFNAPEQGGFRRYSYGRKYRSGFIYHATLTGLEHATKYHYAVGSGTTARAFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLTHYEASGADAVLFIGDLSYADNHPDHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETMPFKPFTNRYPTPFKASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWTWLQGELKRVDRKVTPWLLVCVHSPWYNSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTHRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADNFRWPQPEYSAFREASFGHATLQIMNRTHAYYEWHRNSDGVKVVADHAWFTNRYWMPTDTN >OB12G26710.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15054441:15056650:-1 gene:OB12G26710 transcript:OB12G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:J3NFB3] MAFGVAARLALLFALATCAVAGVTSEYRRKLEATVDMPLDADVFRVPSGYNAPQQVHITLGDQTGTAMTVSWVTANEEGSSTVRYGRSAEKLEQAAEGRHARYDYFNYTSGFIHHCTLTGLSHATKYYYAMGFGHTVRTFSFTTPPLPAPDAPFKFGLIGDLGQTFDSNSTLAHYEANGGDAVLFLGDLSYADNYPLHDNRRWDSWARFVERSVAYQPWIWTAGNHELDFEPSLGETVPFKPFVHRYPTPYRAAGSTEPFWYSVKVASAHVIVLASYSAYGKYTPQWAWLQQELTKRVDRKVTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDLVLAGHVHSYERARRFSNIEYNIVNGKATPAPNRDAPVYITIGDGGNIEGIANNFTAPQPAYSAFREASFGHATLEIKNRTHAYYAWHRNHDGAKAVADAVWFTNRYWMPTDDDHV >OB12G26720.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15058961:15059920:-1 gene:OB12G26720 transcript:OB12G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHRQPRDRLRAGAGRDEALHPPLPDAVPRQRLVGAILVLGEAGVGAHHRAVRLLGVRQVHAAVEMAGGGAGTSQLERDAVPHLGVALAVVQQQQLPLHGGGVHARAVREVGRRRQGGPRLLRPRPRLRALIPRLQLTYQVQHHRRAVHAGERPPRSRLPHHRRRRQHRGPRRLPAAGLLGVPGGHQEPDARLLRLVPQRRRRQGRRRHRLVHQPLPHAQPRRLHPHQAPVIPTPLLTTNSSSSSLSPHTSCRPRGVLHVHVMSII >OB12G26730.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15063388:15065169:-1 gene:OB12G26730 transcript:OB12G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPAIAVAIDTCSCVLPEPRVDVPRVELPGPPRERRHHHRGGHRHRRDDQERVFVAPHPDARQLLLPRRHGHGSLPPRLPVVHAASDADVEDVRPHGARQVADVVQRRVVLEPEHLRDDGQDHRVRRPEAEPDQHRRRVQRPRHAERHQEVPRHGEDQHAGDQQRPRDAVPRQERVRREAGGHAAEVVPDADERHEGGDAALRVAQRLADLAHVVDRRQRPAHAEHRRREQQQHVHAHQRLYDRVVLAARRRPQRAEHPFHRRRWRLDGRGRWFRGRGHHGQRRRVCLLFGRLPEQRSLLAQDNGEGGEESREHDGGADGVLGAGELEPLLEDDDHQEVGGERERHVEEAAERAGLAARRRWRARGAYDPDGAEECEGDGGREPANEQDERLGVAAAAAVEGEVELREDGAAEADESLEQAEHDAAALREVLDAGDERAGVGERLRVGADGDVEADKPKRRIRPDAAGDREVEHEVSPEIHGCADGEDEPWRRDLGDEAGVDADVGADVLEEADGVELLLSVAERGLDVLGVDGEDVGGPGRRHDEERSIRHEPPPPQHLRREGRRRRTRHRRRLLAFLGSLQRVGLSK >OB12G26740.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15063445:15065211:1 gene:OB12G26740 transcript:OB12G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFVLAIGAAMNLGGYLMLYLSVTGRVGANTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLSFYGGGGGNTKPLILLVGWLPAAISLAFLGTIRIIRTPRSPTAARRESRAFCGFLYVSLALAAYLLVVIVLQKRFKFTRAEYAISAAVVFAALLAPFAIVLREEAALFRKTPEEETDTPALSVVTAATKPSPAAVESPATPMERVLRALRPPPRGEDYTIVQALVSVDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATFVSLISIWNYLGRVSAGFASDALLSRYGISRPLLVAGVLVLTVPGHLLVAFGVPGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNLACPVGSYILNVRVAGRMYDREARRQGAVAVAAGKKELTCIGVRCYKDSFLVITAVTVAAAVVMAALAWRTRKFYAGDIYARFREDAAAGVDGNGNGRVAGEEHKVVESKEEKATTS >OB12G26750.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15066573:15068313:-1 gene:OB12G26750 transcript:OB12G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAFVFAAQVVRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLVAEVTPTWLVLAIGASMNLGGYLMLYLSVTGRVTAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIFTQPSLPFYRRWGRAGGPPRPPIFPGGGRPAAVSVVFLVTIRIIRTPRSPTAQLREYRAFCGFLYVSLALAAYLMVAIILQKRLHFTHAEYGLSAGIVFAMLLVPIAIVLREEAALFKIKKTPEAEPDGPALSVVTAPAKLAPESSPASQKPATTTARILRALRPPPRGEDYTILQALVSVDMLLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATFVSLISIWNYLGRVASGFASEALLARHRIPRPLILAVVLLLTVPGHLLIAFGVPGSLYAASVLVGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDREAARQGGGHAVATAAGKALTCIGVRCYKESFLVMTAVTVAAAAVSAALAWRTRVFYAGDIYAKFKEGEKTPLGATGNGAP >OB12G26760.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15070519:15073004:1 gene:OB12G26760 transcript:OB12G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKKDVIERKVIWDKYTPGINWKRARRERRQLTVEKKLGFGEEKSTATATQRHPVPSPPAAALPTSSLPVSATRVESSPPHGPPYALLYRLRPSSRPLPAVVLRPSPRPPLPPRP >OB12G26770.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15075556:15079303:-1 gene:OB12G26770 transcript:OB12G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62710) TAIR;Acc:AT5G62710] MDSKMSGHGIPRKVVAALLLLAAASMLCSTSMALTPDGQALLDLKLAFNGSSQRLTSWKPSDPNPCGWEGISCSFPDLRVQSINLPYMQLGGIISPSIGRLDKLQRIALHQNSLHGPIPAEIKNCTELRAIYLRANYLQGGIPSEIGDLIHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLSIQKACRGTLGFPAVLPHSDPLSSAGVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGSYVKMDKQTIPDGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQDEQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >OB12G26780.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15083912:15089421:-1 gene:OB12G26780 transcript:OB12G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMLPPSQEQRKLVPDQLKQKQQHISGVGVGVRYHGWKAMPYVIGNETCERLGTIGTTANLLVYLTTVFHIPSVRAATLLNVFSGTTNLAPLLGAFLCDAYLGRYATLAAASFTSFLGMLLLTLTAALRSLHPPSCTSSSCQGPTHSQLAALLASFAFLVLGAGGIRPCNLAFGADQFDPGTAAGRRGIASFFNWYYFTFTIAMMVSATLIIYLQSNINWAIGLAVPTALMGLSCVLFFMGTRLYVRVRPDGSPFTSFAQVLVAATRKRRLPAPAPADDLFDPPHRSKLVAKLAYTDQFRWLDKAAAVTAEDTVVDLNKGRVDLFYLVVGAMAAANLGYFVACAFWYRSKRTDGGGGELEMEFDGGAPAMA >OB12G26790.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15092395:15097966:1 gene:OB12G26790 transcript:OB12G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:J3NFC1] MGSKSVDEVIDDAAAAAAAGVHYSELRLEELNIQGSMLGEEQPTTSGLENGLKEPFVIGVAGGASSGKSTVCEMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMEKLKQSNAVDIPNYNFKTYKSIPSARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLARRIFRDTIQKGRDIKSVLDQYSKFVKPAFEDFILPTKKYADVIIPRGGDNNVAIDLIVQHIRTKLGQNDLCKVHPNLYVIQTTYQIRGMHTIIRDAATATDDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTKTVYPGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSRRFPRVKIVTSEIEFGLNDDFRVVPGMGEFGDRYFGTDDYQSSTPFFSDDKNRVSLL >OB12G26800.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15098697:15100502:-1 gene:OB12G26800 transcript:OB12G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKQQPAEKESEAGGVVRYRGWKSMPYVIGNETFEKLGTIGTTANLVVYLTTVYHLRSVHAATLLNFFSGTTNLAPVLGAFLSDTFLGRYTTIAAASIASFLGMLLLTLTAAIPSLHPPPCTSASACQPPTHSQLAALLASFAFLVIGAAGIRPCNLAFGADQFDPSTDSGRRGIASFFNWYYFTFTVAMMLSATIIIYLQSNVSWALGLAVPAALMALSCALFFLGTRLYVRVRPEGSPFTSFARVLLAAVRNRRAPAPASPDDLFDPPHQSNLVAKIAYTDQFRCLDKAALVTTPPTATPCTVQQVEEVKCLARVIPVWSAAIVYFIVITHLGTYVVFQALQMDRRLGRSGFEVPAGSMVVFNMMALTVWIPVYDRVVVPALRRLTGREGGITQLQRIGVGLVMSVATMLVAAAVEQRRRRVGAAMSFFWLVPQQVVAGMSEAFAAIGQTELYYKQFPENMRSVAGALFFLGIAVASYASGFMVAAVHRTTGWLAQDLDDGRLDLFYLMVAAIAAVNVGYFFICARWYRFKNTSYSISMDDVELPDYQGSSNTIASSKV >OB12G26810.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15112781:15114583:1 gene:OB12G26810 transcript:OB12G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDDKTTPQNERSSSANTAVLSSKRERKKSKYLSPPYTNLGGITLVEKAPDSPKPSLTAAAEDESKVLPKSMQENVDAQEVLLLLRRAGIDVFHRVRSMKAVDAFLSSYRSSLFVEGADYKSYKAHKCPAENAFANAGADTAGSFADSRAALKIGKCVKRSRKHNQDESGSPSNKTEKRGKSSPAAALGCGVTITPAIPIRQVRAEDMKSLVKPGSGARGMAGCVQLDKGKPDFKSPTSTSVKVAKEHGQEQDQANGQSVLKTPADACKNLFHQPAKQNDAGMVEAKQLHSSIQADTVMQGIVVDVPVRNVLMEAVKCEANISVHTDEQGAPMREDISLSQSTDGNKEHANTEVRTVQESYASLEAMVPEMLMKAEVANGTAVAAASNALKDEGQSIEQPSLNKMIPGASVNHSSGDATNSAFPDLANSTPKKKKKKTAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIESETEIIKDNHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLGGHSSVKKAATPSDGSKQENLLGEMQGLLAKVDKMLSGPSAAAPH >OB12G26820.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15116000:15119518:1 gene:OB12G26820 transcript:OB12G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT5G20170) TAIR;Acc:AT5G20170] MEEGVRVDLDKLPIKRLQAIDEAGNEHYPPDTSSDEQRLSAIRRIDFSWVIDKDAKKPKKAAKDTAQQAWPWQGMMESLQQAQQELSIVIDLISTVEANDAVAVAGMLKPKSLPNETLVDTAVSAATKLQRLRHLGRYFKQSAKTMEQQFQKESRFYGSLIRLQQNWKVKRQRFGGSGPGSEGFMFDLVDSSQLDTSVMPRLSSLSLIPIDQDSSGTLSVQVPQKSCRFLSLNFRGDSTNGAESYGYKLKDGISNITPSATENDADNDDVNKSIKNAHSILRNIHESIFEEQVFDMVIRETFVQSQGINVTGMREDFLQLSIGQECSLCLSLAHSGDGIDSEMVGREGRTNSEDASSLVLATMNGKQDPLRKDVIGFPNPRSLEIYLLQLFHANILRKVREKSLNVGRYQSPAQVAGDDYGLLGHFCMTVAHRIFSNKVLLELESVVSGVPYLHLRSLPTWHSRTSSWSLCLKVPQPILATDRIAKPSDNHEPKYKSRSQFNTKVIVKDSQISLMGEGSPSIAGSLSGKSSDGHLINSYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVAHVDPDDAYGCISWYLTIDHPTEDGKMSADSYEFEKRRFLGYVSLEVLYSTLMDLINLCNAGAHH >OB12G26830.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15123807:15138744:1 gene:OB12G26830 transcript:OB12G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGAGDGVYSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVRLKCSLRMRVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEIHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGSKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTTLVVVGGGAAGVYASIRAKTLAPHLNVLVFDKGRFLSKGMARNYPRGHKELRGSFFKTHGPQDTMRWFSDHGVDLKAGKAVSGASVSENGEFVVKVEKRTIDFVDYVKANYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPRVKAKLKLNGIQKSTPELTQTGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFQHKDHHASIDGDTYWSSTPNNNLNTIALRLKQWIFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQSNLFFAGEVLNIDGVTGGFNFQNAWTGGYIAGTSIGTLASSNNVKQQQSYLQLEG >OB12G26840.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15135884:15138463:-1 gene:OB12G26840 transcript:OB12G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLGTLTQCARSFYLNGSRCTTDGGASCTCPEDETSVPKRQTANVIEQKFQSTRRSSVKAQTPSVQHVVGSIGRVTDYPAPVVHAVPSNSSQETQPTSSIISNHARTPQDIRNNYVQPSKRNAQNISHSTIAGTGTYSELVNPKSTSNNGSTNQAPQLVANHSCQSSSDAHPSNIKAHSQHSFPEAKMPYTPSMQNNLGKGVSRSGYTKPKQNISGPPAVVPNSPSQIRNQRHFSQRHTNYHSNNFSSDARCDEVQAQNLSARAIYTGSGHKSQGPMGTIKSHGGGPQSNLRSMKSLRAVEQYYHTLQQMKWGPMTEHILDNLRCKIDAFQANQVLKLLHDHTIALGFFQWLKQQPGFKHDGHTYTTMIGILGQARQFGMMRELLDEMNSAHCKPTVVTYNRIIHAYGRSNYLREAIKVFDEMQNAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLADAYKLFCEMVQNGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRHDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLNQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLFYLPDAEPGGQNVKDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQKNVYPDSLREKDSSYWLINLHLMSEGTAVTALSRTLAWFHRQIVTVGICPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >OB12G26850.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15142497:15142694:1 gene:OB12G26850 transcript:OB12G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLRPLSPPSEYWESNAPAGLFCCRHVCSRNYPIVASCRSNQLKTVCLLNTQSVISMRCFTIEFRKE >OB12G26860.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15144237:15147029:1 gene:OB12G26860 transcript:OB12G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRWSALAASALIQCFAGSSYCFAVYSPALKASQSYQQSDLDAVAFFKDLGANAGILSGLLAAWAPAGRRRPWIVLLAGAALCAAGYFPMWLAVTGVPAPLPLVCLYMLLAAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTLHISPSTFILMLAILPTAITLLLMYFVDVHGSHKRYNKKFIDAFSLIAITVAGYLMIIIICDQVVKISSAEQSVFFVILLLLVLSPVAIAVKAQKTESAKEEEETTDEERIRLIAEETSRSGDRCQEVSSGKENLNLVQAMGKLNFWLLFLAMSCGMGSGLATVNNISQIGGSLGYTSKETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGLGRPFFIGATLLTMGVGHAVIASGVPASLYMGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNVVAVASPVGSYILSVRVVGYIYDVESPPGKRSCSGNHCFALSFVIMACVCVVGSAVAFLLFLRTRTFYKRVVYASLQSFL >OB12G26870.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15150236:15151213:1 gene:OB12G26870 transcript:OB12G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:J3NFC9] MMAPPPPLPGQGSVQNNKERQNFINSRKMVKVYHSILSNISLEISSKSSQFVEKEPLKPRGEHEDEEEEVSTKKDWKFQFLPRPAASQMSYKFRYPVTPSMGHFIVELQPPAHLARDVYKPIKILFRSRDLYVLGFLHGNLWCLTRDVEVGDNDRQWVHYLPFHGGYGDRGLDCDHSTVKLGSEGMMDSYLALSHYNPMHQNPGQVIRAVQTLVGNISEPARFLSLQRRAMKAFKEQETQMVKEKETQMVNDDIKAAPDNEKDEQQVYGKTKAHTDSSAMFTKWQSFCNYVRGRGTFLPSPCCGIYSLEDVFSELGIMLRDAANA >OB12G26880.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15156131:15156487:1 gene:OB12G26880 transcript:OB12G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQDCEDSWPLSSSICSDRSTTHQETGGKAASGDGGTGNGDARPRGGGEGEVAAAGEPSGVEASAADVVASDGVRRTARESEEDPGLRGRRGEDFGNAGSGRGGGRRRRGESTKVS >OB12G26890.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15169260:15169865:1 gene:OB12G26890 transcript:OB12G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEKEAIIDDLTAFRDSKDYYTKVGKAWKRGYLLHGPPGTGKSTMIAAIANFLDYDVYDLELTAVKNNTDLRKLYIETTGKSIIVIEDIDCSVDLTGKRKKAADDKKASSDGGEGDADKPKLPTEPEKEEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIDDKSILNLEGISFHLLHTI >OB12G26900.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15170259:15170612:1 gene:OB12G26900 transcript:OB12G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCRFEAFKVLAKNYLGVEEHPLFGEIRRLLEETEMSPADVAENLMPMSKRKKREPDACLAGLIEALKKTKEDAAVAKAEAEAKEEASGNNGDEAAGKTEMDKSSSSSGSKANATI >OB12G26910.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15183927:15185679:-1 gene:OB12G26910 transcript:OB12G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDDGDCAMLEPFFYDEAATVAEATAAAERRERERQEWAREKAARAKRWAAREAVLNSIKEYDTDEGRYIYTRYYFDDDLDLDEESLLAPMRFTATTYAPGQALRFLCNMVNVLDIRIILPSDSDDDAAAAAFGFPISVYGSVIARDMLDLKCIPLFRRSRDHPQLITSQDELSLILTGPHRGLLLSDAIYIEVDLKVKMQEGCEDRPVSKGLLVLDGVRLTTSSTHLQTVVKTTTLMRRSFRPCTVDVTYAFLRCAVEATISVKLLHQGAHFCGQITACTSTIQDSILLHDSKLLADTGLMDDGFTIRLMRRVIAVCLYEMLIVTIVAQTGDGANKYRRTIDFTPTVNGEDEAQITCGATSLLVKVNWSLMDPVIDQ >OB12G26920.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15195147:15196619:1 gene:OB12G26920 transcript:OB12G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSFAVAGWSAAASLLFFFSSLQECIPFQLQDYLAALAARLHSLLSPYATITIDDRSDDYFSRSEAFFDVEAYLGASPCNAHARRLRADLADGADRMALAVDDHEGVAMWWRKTKALPRANVITWSPRNAERRSYHLTFHRRHRALVENAYLPHVLAEGRAVTVRNRQRRLFTKNPAADWAASEDGRAWSHVKLDHPSTFATLAMDPARKQEILDDLDMFRDGKDYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNIELRRLFIETTGKSIIVIEDIDCSIDLTGKRKRKKKKAKTSEKKKKMMPPWERDDEEEKKVTLSGVLNFIDGLWSACGGERIIVFTTNHKEKLDPALIRRGRMDVHVEMSYCCFEAFKVLAKNYLGVEQHEVFDEIRRLLGEVEMSPADVAENLMPRSKRKDVNGCLERLVKALHEAKLAVGAGTRQSKKSTTRTLTLTVTRIQRRRRISSRY >OB12G26930.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15195609:15196225:-1 gene:OB12G26930 transcript:OB12G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSPPHADHSPSMKLSTPESAAVLGGGPVGGGIFGEEAALPVADGDGAALGEDVGQ >OB12G26940.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15202187:15207305:1 gene:OB12G26940 transcript:OB12G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGLLLLLVFVGGILPASRGLPLCTDSRPPLVLNKTLTFCAYAGASCCDAAADAAVNKQFDAMNVSDASCAALLKAILCAKCNPYSAELFNAGPNIRTIPFLCNSTSSTSAQSKDSTQDYCKLVWETCKNVTISNSPFQPPLQGSTPLPSLPSKLTDDWQSEIDFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPEQGSGGILQFDETSPFVDLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGLDNGAQPCQYQVVVSEYSAKGSSSNVSEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDIDNAPRQGEISNQSLWGNYSIPKDNPYTDDSALEPEVWALGLRNPWRCSFDSERPSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFHPPSAPGGNTSLNSINAIPPIMGYNHSDVNKNIGSASIIGGNVYRGSTDPCLYGRYLYADLYASAMWTGTETPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGQDNNKDTYVLSSKGVYRVVRPSLCSYTCATEKPAANTPPAASSAASVTETGALLLSVVVFWVLVR >OB12G26950.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15220110:15221955:-1 gene:OB12G26950 transcript:OB12G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNYMALLGDEMVNMCNALVLSVLAIAFLQLLKQLRAPPLPPGPRNLPVVGSAHRLVNTLAHRALRDLADVHGPLMYLRVGQVPVVVVTSREVARDVLKTHDANFATRPKLIAGGIVAYDWSDILFSPSGDYWRKLRRLCIQEILSAKRILSFEHIREDEVRMLAEEIRAAGPSVAVDLSARLHRITNSIVSRAAFGKKRSNAGEFLVAIKQSVVMSSGFDVADLFPRFGGVLCWVTGMRRKLHAIRDTIDSILEEIISEREEERRSSTSTAPVEDRDSNNNLVDVLLTLQDKLDFGFPITRHTIKAIVLDVFAGGSGTSGSAMEWAMSELMMNPRVMKKVQSEIREAFRGKQNISEADLRASDLKYLKLVMKETLRLHPPAPLLVPRESIEACEINGYMVPARSRVIVNSWAISRDPRYWKDAEEFKLERFTEGSIDFYGNNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWNLPDGITEVDMVEAPGLGVRRKTPLLLCATPIYA >OB12G26960.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15224780:15227166:1 gene:OB12G26960 transcript:OB12G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSILSANYNTILFEFGVILVTSKILYALLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSALLDAPTAEAAVAYAGIFSTAILVTLFHTPIMRSTSGIVHEHSLRAFLGLAAALANTASPVLTRLATDLKIAKTAVGRLAVGAGIASDMLTTLLIALGSMVWRDTGTEQAASPFAQPALTAAVLAVVVMSGFVSRAMAEWVDGRNPEGRRMRGFDLSLVALVAATLCWFSSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLSSFVLPLYVAHVCLSLRQTTDDVEAAGLSKDEGFRVYVMELPFPWWKVFFVTAMGTLGKLVGCAAAGLLRGLGWLEALALGLLLNVKGYFHVYCALAAFEAGIVTDKSFMAIIFMVALNVAATPMVGMAIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLAFLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQAERGGEGVVRVRRVLALSSFPDMHSDICICAEDAMAALVLLPFHKRQRADGAMEAGHLGLRLVNQKVLQLAPCSVGILVDRGRGKQQADGAPQGVVVVFIGGADDREALTLASFMSKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGRSTGCSWWGVVGTGGPC >OB12G26970.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15225099:15227378:-1 gene:OB12G26970 transcript:OB12G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYIYIYIYIYNYNYILQRHELLVDDLVVLLGIVLLDDEHGGRGGEVRRGKDVADGAELQALRPLLQPLRQHGPPVPTTPHHEQPVLRPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFDMNDASVSASRSSAPPMNTTTTPCGAPSACCFPRPRSTRMPTEHGASCSTFWFTSRSPRWPASMAPSALCLLWNGSSTSAAMASSAQMQMSLCMSGNDDSASTLRTLTTPSPPRSAWYASSASPMSFRISDTSSSTTVTPRAKR >OB12G26980.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15228973:15230498:-1 gene:OB12G26980 transcript:OB12G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFSEGDNANHQQYNSLGTHFSYLGFSPSVGGDGLSRYRTDFYEIEQIGQGNFSVVFKVPMRIDGCLYAVKWSIKQLHNDRERRQAVKEVQALAALGAGLTITP >OB12G26990.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15232787:15239591:1 gene:OB12G26990 transcript:OB12G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) TAIR;Acc:AT3G63520] MALAQDQEQDEAGGVVGGAPQPQPRRGLASWALDLLERVAVRLGHDASKPLHWLSGNFAPIRDETPPAQGLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKLKVLDFTYGFGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPEPVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDKNIRWFELPNCFIFHNANAWEEGDEVILITCRLEDPDLDKVNGYQSEKLENFGNELYEMRFNMKTGAASQKQLSVSTVDFPRINESYTGRKQRYVYCTSLDSIAKVTGIVKFDLHAEPESGKKQLEVGGTVKGIYDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDEKTGKSQVHVIDAKTMSADPVAVVELPNRVPYGFHAFFVNEEQLAKQSAGQ >OB12G27000.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15242703:15245252:1 gene:OB12G27000 transcript:OB12G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLSDSMVMAGVLLLLLLLRLVLAAAAREVPVEFLTYSGGGCSPADGSPLPGADTCAAAASSAPPNFNYMSLRVGEGIGYFANKFASPDTSGVELPACRDLCSANCSCLGFFYRNSSKSCFLLQNQIGSLLRGDNSAAVGFIKTLPPASRHQDGSKSSSLSFITIVFGIALPTVAAVLIGFLVYVMGSQWVKRRQVGKKPVGNRSWFKLPMLSSQQASSVSEGQGDDDGDEVLIPGLPARFTYAELEEATEGFKSQIGSGGFGCVYRGELPEADRSAVAVKRMNNLGSQGRREFLTEMAVIGNVHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQCLFRAGAATLEWPERMGVCVGAARGLAYLHAGCARKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNAPITDKADVYSFGMVLLEIVRGRKNCRGDLQRQSSSPGKSSSGEASSDGGGYFPALALEMHEQGRYEALVDQRLEGRADLSQVARVVRVALCCLHEDAALRPGMTTVSAMLDGTMDAGVPRTELLGYLRLYGRGLVDVRSGGKGKGSDFSTGAASSSSWAPTSCVSAQQLSGPR >OB12G27010.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15246790:15246957:1 gene:OB12G27010 transcript:OB12G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKCSYLAFLVPFSLYRLQCNARILPLRFLTARLNMMPRALTPLVVSKAFYTAK >OB12G27020.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15247781:15248020:-1 gene:OB12G27020 transcript:OB12G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHELKVCVLYNVSGKLELVNLDEYGLYCTFHFSLMCYARIYSLESLGRKFPLVHSTIYFSASPSVYLGKHSRCKVCH >OB12G27030.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15249815:15256114:-1 gene:OB12G27030 transcript:OB12G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSTKHNRSPDSGDPADSPKRRRRHHRHEDDGDDSPLAAEDDVEEGEILDDAMDVDAAAPDAAPEHELCGNHDQLNSDADAGVLRASRLPSHSSSRDERKSNHTAHESTSGGIVFSDADDTKGYRESQRVSKSPQLTRGKDRKYKDEHKSHRKDSHLKEHSRRSPSRHTSQDHARYHSRSRDTGAEANGSRASTREDSDRDSNDRKSKHGRHATRSRENERERSSSHVVCDEVYGEREIYKHERRHRDNPVDRDKMDLHELTRRDRERSSSHSRSDRRESAHHIRDESRESERRSSSLKHKDNDRKDRIKDRYKESDRVESGHDRDKMRDDRDRARHKDLESGKRRNGEGKDRDSNIDKYKDSSRSKYNTSDGHKHRSRSRERGKGAERRGQRSEGLKENSSKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQLQKQQLEILPKSNDEEMVEMNRGDNADPKDGIDSRFVASEEAENKHDSSDAIVGETDFTVGKSPANSDGVGTLDNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGFRKLGKDDGLHIEKNALHDNWDDAEGYYTYRFGELLDGRYEIIAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRVILNIKPKDIGSLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGK >OB12G27040.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15257489:15261842:-1 gene:OB12G27040 transcript:OB12G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSCFGFLRKPRTPLLHFTASDSFDDLLVSQHDGDDPNISFYAGQDTDFLDQSDNGSQGPVKRSQEIIRSRAQSGFVCRQVPVKETKKAICLEDENGNKMVNEYIHQRKIGSGSYGKVVLYKNMEDGKLYAVKVLHKSYMKKIHVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMVLEYVEQKLVCNNGLGETSSRKYLRDIISGLMYLHSHNIIHGDIKPDNILITINGNVKIGDFSVSQIFEDDDDTLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFIGETLHETYDKIVKDPVEIPDGMNPQLVDLLERLLCKEPGDRMTLQGAAEHPWVVGDDGPIPEYWCRCGFGRRKQGQPVQSQASQQHNAGGGQ >OB12G27050.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15265015:15269046:1 gene:OB12G27050 transcript:OB12G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGLKQQLPQQRQKLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELGEAQAEIKALRLSERAREKAVEDLTEELSKVDAKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKIARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLSIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVNTARRQSIGGADSLSKTNGFLAKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHINGSGMNVSTDSSEDKESNNSDEKANEFTSVESEDTVSGLLYDTLQKEVVALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKRRLEVGAMEKEMAALRMEKEQDSKAKRFGSSSGSANSSQLPPGRTLPRSGSARNM >OB12G27060.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15269419:15270657:1 gene:OB12G27060 transcript:OB12G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQEMATSSSSASSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OB12G27070.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15271842:15286943:-1 gene:OB12G27070 transcript:OB12G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDSEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTIALLVLGVALGSLEFGTKHSLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAGPGVILSTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTVIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDAIIEIALTLAVSYIAFFTAQDALEISGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNFHFERHGASWGFLLLLYVFVQISRILVVAILYPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRASDAVQSYLKPVDGTMFVFFTGGIVFLTLILNGSTTQFLLHLLGMDRLSATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYIKCLNDLDDEPVHPHALSDRNGRMHSMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPSQALCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPALMRDPLLNSTKETVKVHGTILYREGSRPTGIWLVSVGVVKWTSQRLSSRHSLDPIFSHGSTLGLYEVLIGKPYICEMVTDSVVHCFFIEAEKMEQLLQSDPSIEVFLWQESALVVARLMLPMMFEKMATHELRVLITERSTMSIYIKGEEIEIEQNYIGILLEGFLKTKNQTLITPPGLLLPSNADLNLFGLESSAINRIDYCYTAPCYQVEARARILFVELGRAEVEADLQRSASLISQTLELPRTHSKEHSGLLSWPESFRKSRGAHNTASLAEIRDHPASFSARALQLSMYGSMINDMQAGQGERRQWHRHTKSSNSKGHSSSYPRVPSKSSNTQRPLLSVQSEGANMRTAAPPAEAAGRRRQRQRKAIEEDEDNSSDEEEVIIRVDSPSMLTFRQPSADHQLPQPK >OB12G27080.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15285771:15286208:1 gene:OB12G27080 transcript:OB12G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWVNGMEWKWKDKARGLTERSEGDAEDEESDGVGDAGAAEEVAGGDAENEGDPDEEHGVIRLAVGSGHGGGWRASVLPEDWNSGSHRQSRELQEAALLVWFGFGSLGALARVGDETNPRGKRHYYYQLFFPNIATIGRNVMIR >OB12G27090.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15288328:15289287:1 gene:OB12G27090 transcript:OB12G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29700) TAIR;Acc:AT1G29700] MAITMGLHHQQPITISGCKTAPRHRRPRPIAVRSSSSGAGVMKGQMKLTYLEINSWLWEVGGARILVDPILAGNLDFGAPWLFDAAKKRLKNLGVQDLLLQTEGGLDLLLITQSLDDHCHARTLAQLAAAAPALPVVTTPNARPVLAALPTPFRDVTYLEPGQSTTTACNGVRILATPGPVLGPPWQRPENGYIIDDQRGLVVYYEPHCVYDRSFLESKGLRADVVITPVVKQLLPANFTLVAGQEDAVQLATLLRARYVVPMCNGDVDAKGLLTGVLATQGTVDAFKAMLAEALPEAQVLDPTPGVPLHLDPTPPNIS >OB12G27100.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15289075:15296492:-1 gene:OB12G27100 transcript:OB12G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G09960) TAIR;Acc:AT1G09960] MPRRPPAPPGPGPARKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRLFGDPITPGSTRFGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVITTCITVASVQEPQSFGSDEADHPSNEQEAFLWELFGSFRYFTLPIWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSMTQSYHDGVRMGSFGLMLNSVLLGFTSVVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIIIASLVVFTILGVPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASSRRRGHR >OB12G27110.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15298873:15316620:-1 gene:OB12G27110 transcript:OB12G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:J3NFF3] MAAGKGGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVGLVYHLPGTGDELHFTRTITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKTRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQKLKLAKTEHHLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSAFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKRLQSALVDVTRAIDELNEQGQNKSEKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNADVEAKKNLEENMQQLRNRENEILSQERELRAKLNKILHSIPRHEDELAHLREEHNKISKERQSSGVKYQMLKQRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDVIQYPFFPKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLHRLESEKCNIEEEINRLEPVKEELETRLGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIMKLKETRESLEKELKSLQERESGARAEAEQISNQMEELKAEAEDWKSKSDECETAIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQERAIHEKCELEQLKLPTVDDPMDTGSSSQVPILDYSQLSETYLQDMRLSERDKFEAEFNKNIGVLIAEIEHTAPNLKALDQYETLQRKEKEVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVEEQNGGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >OB12G27120.1 pep chromosome:Oryza_brachyantha.v1.4b:12:15301508:15306651:1 gene:OB12G27120 transcript:OB12G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEGVKGGNERGHSGELGNSGELAPTESPCLVGKGEGNWPNLGGNNKGNESLSDRWVGNQSWNPVWGNAPGGNFGSGNMVSGGELAKQNFHACNFEELPDGGRGGNGSDGRGRHSQGKTDQQGWRNVKTMGETKKEWGKKEFAGDAQKNGDQGGRLGPVLKCFRCGQDGHHQATCTKPPLCYACQQIGHISANCPSGVGKKVVKSCGFSIPGQGFYSLQVDRNADNKNKHIARGILSIKEGQADVARVVKELNELFPDLKWDWRVKQLNETDFLVNFPSEENKNFFTRFPSFDFRCLSIKAMHLYINKVRYDIVWEPEGYQPKEKDATPPGGDDDEDKGGGDGGDNNGGGGDDGNFDDEDLLDDDDEDTDFKKMADKLLQDKISNSVPPTHKRKSYAEVTSSTQNLCFKRDVSSEQALVLWEVKGNQESQEEELPLSAVPLSQAEVISGFEGDSKVLELSQEEKCDIPTDSDLERLREEEDLEDNTQFQEVISKKREVNKKKGPALASRMSLRQKDKDIPVPLKAKMLTQRKNLEELDYHINAIEIPYIIGGDFNLYRFAHEKSNDHLNCNKTNFFRFEPAWFLVDGFKELLSNKMIPRDGEYILSHWNKMLALLRKFLRGWSANNTRVQKQAKRAYIDQLEKLDELDEGEGLSHDQWKERYEIEESLERLYEAEESAWMKISGEQWILEGDRNTDFFHAVANGRKRKCGIHFLEDGDRTITDQVDLNQHIISYYKMLFREEESASIHLRDEIWENRFCLKEEDKEVLIEPFNIFELDKVIKEAKLNTAPGPDSFPIQFYRVFWDFLKPDFLEMLILLHNELDLKRLNYGVITLIPKVGDAINIKQYRPICVLNESFKIITKVLANRLAQVADKVISPTQNAFIPGRAIRFEACLSSIPTYAMGLYLLPEGIHKKFDSIGEMRTYGSTERVWGMGV